-- dump date 20170509_025438 -- class Genbank::CDS -- table cds_translation -- id translation L198_00001 MAETSAGLFLRPAPSVQADASSPRKGAQEAVTRKKTHRVMDAQS QLLGQEGAQHNIVLPALGRFQHRFVADGELDRDMLKVEELGVEEHALSERVDRGDEQE SFAGPVWW L198_00002 AAQTGQERMRRKGLALGCKVIEAREDEGELFGAAALGFLSSFIA SEPAEGRPQCFVRKVTLGYCRRHSSGLDETTVNETWASEAGERGEVEELHERLQSHLQ HYTEPSPANVGTTTYAGVGPLVTTWLWYSNAPGIPIVVVCLRRPISWIMLPRMWECKM VPLGFTLQPTTYALLKWYLLHRLCIVPPPLNTPPVSAPAIVGSTKFPFNHLANVLDRD AVMVLYWVASLAPLIHCPFLRRGRTGLPCQLRLPHRLPQRELQRPPLCPHRSGSPPHR PSRIFSCSYASVNSFTQRVLDPIEHASSPGQETWDNACAIDDIVSFLCSDISDEQPAF MQGQGKALSERGIVTVVCEGERGCWWCGARYGL L198_00003 MASSTARLAPAGRPPSLEDFFISAVQTILSSSSTNAPYSSAPWA RSTKSERSTNDKPLVVAMAEAKVCYFNTTRTFPPLHHFRQEELSQMLLELFYPIQPER YHSRRYKFVRKSKAGSTPARYVVHGKERRQYEEDKAEFDDAPG L198_00004 MGSVGGLNGKEKSCNLNTGEERKIVDPDSGLLSCTVEMLPLGGI DGEPFDLPPSTVVTDRFNKLAIADVIESYRPFLSISEIDLFSFAPLSSRDEKAKVVVR NLVDDYVTLGLCLEVSFPDRLVAQELKKKCEAALEECLQRVQGWKMKKGAVDMAGRAW EKKAEADWLGEGKGVEWVSRAEDQKTKKARIWKDVALLEEGKGVNKRLDSGK L198_00005 MSGIDNAPPPTPESVTFYIKRFPLHDLKYETDSKSTRKRTRDTY RIWQNLEEKGIRVVHDGTLNGRIRESLDSQKASLEGNGVTPSMESLLEAGRDQAQRRT ICSENDLCGVMLTNFFDRSTDAINAGLPEHLHGEWRQCPDKKGSGRTDFVFRLGNGHI IAIVEVKLRTVLTTAGDDALSQTDDRDHPVEMTPRSMTQGDTAGREGAQSAARVAQSD AREAQSDSSEAQGDSSDSDPSQADQKDSDYVPSDEEEPDEQIHMHLSLRLEELLRECK QDQGLKLILAEREVKGGEAELALRIVDQEGEIVKNMTHWAMGLSQLWEQLDRYNLEFV ILTSYDVWIPFQRDRQFENLLRMGDPIHRKAPGTATESGKMSPMELAVASVIGIKPAP PLGRTFLPLPRWPLSEAFANDSTQKNQNKRGAGASGSRGTKRGYDEVGGAGGAGSDNA IDGDGNVPRDQLVTVTLQVSVPNHRSPDALHVFKQRNLDNGYMTGRLSPSVIASLTDN DSIHLGLPTSELKAHLALGSYISSGRLWDVYHSVLTVEGRESKQLVAKVMVPDTYDDG DEDYKEFFENSKEAVAAYRLEAALYRGPLASLQGGAVPASYGSFSGAMSLALVSGGYP LHIELMEDVGVPAAGEGKLRDLPLQDRQAIRHLYRQIHAVRVLHIDIEPRHIRRRADG RFALIDFDASCSVEEGPEGDRRLASEGRRVAAILGLKDRVVGKAESRHLQGPQMVADL GAVGRGIQIV L198_00006 MSDPRPETSLDDTPRHAGTAPVRAEYLIRATPIVESTSAADLND IHPADAAKGHTPSDSRDSRDGGDSRDSKRRKPNKADKKERKGQNKGRKFAIVREASVR ICRAWETTGECERGEGCKFKHSWEGYFEVKPADIGYRPEWEKRDEPPYVRLGERLVGG EDALGKTLDLNTVCPVYKDLGYCPFGWRCRFLGGHINKVDPAKEEQKGGPQKRMGEWQ VEGWEQSELNEEGWKQGETNWPEFENLQNLRHSTAAFPFSTAYLAHVDPEKPFTLGDR NDSRNKPQKRKKTVVLDEEEAANEVEGDEEAQNQVEEVKGYVLGESESFDVPMRPEEK RRLNWEGGRYLAPLTTVGNLPFRRLCVDYGATITISEMALAQPLVYGAKEEWALVRRH ASEKMFGVQLAGGFPNRMVPAAEVLAREVGSGVDFVDVNMGCPIDLVFNQGAGTALMD SPGRLGKILVGMNRALGEIPLTVKFRTGVAHGKPNAHKLIPRFATEWGASALTLHGRS RQQRYSKPADWDYIKSAVTTLRESVADANLPPVPIFGNGDCFSAAGYYEEMERSGVDG VMVARGALIKPWIFTEIKERREWDISAVERLEGIRKFAEFGLSHWGSDTQGVNITRRF LCEALSFQHRYIPIGLLERLPAKMNERPPAYRGRNELETLLASPFVGDWVKISEMFLG RVDEGFEFVPKHKSNAYGGEEAQG L198_00007 MGFRFLELVRPFMSILPEVTAPEKKVIFNHKIAWTAITLLIFLV CSQVPLYGIMSSDSSDPLYWLRAILASNRGTLMELGITPIVTSGMIMQLLAGAQLIDV DFSLKDDRALFGAAQKLFAMIISLGQATVYVLTGMYGSPSSLGAGVCLLLILQLVSAS LIVILLDELLTKGYGLGSGISLFIATNICESIVWKAFSPNTVNTGRGPEFEGAIIALF HLLFTWNDKTRALKEAFYRERLPNIMNLLATVAVFAAVIYLQGFRIEIPIKSSKMRGQ RGTYPVKLFYTSNMPIMLQSALTSNIFLVSQMLASRFPENLLVRLLGVWEPLEDSPSQ LNAVSGIAYYMSAPHSLTAALQDPFHTAIYIAFICTACAVFSKTWIEVSGSGPRDVAK QLKDQNMTLAGHRDASIYKELKRIIPTAAAFGGATLGLLSVVADMMGALGSGTGILMA TTIIYGYFEMGVKENAGIDAGGLGDLLF L198_00008 METSVKPTSTLVFDKDLDLKVDVYLPPSIVDGQPESGEEVLKRQ DELKKLPAVVYFHHGGMVSGDRAGIFPPDLPERLTSKGIILFSPDYRLLHPSNASDLL TDMHNFFSYLSPYSKPKALISLLSASGFALDASRLVVLGASGGNYAARGAAVMPTVSP RPIGWVSAFGQGNDWLIDDWLKPKDIQTAFPPSHFAYDDAKAEELLKLEEKDFSVADK FTLIDGKFATEKGRSNLQRIWQRDGVYLDHLLCAPGLSESLSSIPYPSRLSRLSSLDA RYPHLLLPLSPSVPPNVYIVHGADDKIISVQESKSLEKALGRLGVGKGGRKREVEVDY VEGAGHGLSAEGKKLGEYAEGYDKAMDKLTEWIVKVLA L198_00009 MAIFSSLAYNWQHFANHVGIPLFFWRRPTWSVRQMSEQSGKVVL ITGGNAGTGYATALALYNAGANVTIAYRSLDHAREAVEGIKKNAESKVGTVDVLELLD LADLGSVQRAAEEYKTQPCQTAGLALLERWHHGHGNGPSKATLSNLKLWSSPTIASPP ILCLSSCPPAPSRPESTSLASLAHNLAPPGGIDYLSLIRHPDDVPDPDGSPKRGKNEQ ERWAEYGQSKWGVYRFGEVSCGLAEKYDPKELIAVAVHPGSLSTNLFQPSRSGPVPIP FPEALARNGRYVTPFNHALEPRGDLVGEEGKKKAVELWEWCDEQGKKFQ L198_00010 MSAPVSSFRTLLASSSRSLRPTLKPRIQIRPSSSSSFPPPTGPR FTTAKPKSEWKKPTTILLIMVPFVTGYLGWWQIQRLRWKLDLIDEVDRNMEKPPMLLP SHINLAAIPDFSFRRVLVKGQFSGPPILLGPHTYDGIAGYHLILPFHRSDGGSTILLN RGFITTTRATAIRNRSQSVPGLTADGQSTGEEVVIEGLLPKTGEKSGFTPDNKLETNE WFWKDVDLMAEVAGGSEQNVQPVLVDAIAEPEISPTLLMQQGTPVGRPPVVELRNQHA QYAAIWLSLCASTTVMVGWILTKGRAGGKGSAGKRPKLY L198_00011 MTNTHPQFDILGLTVALSPEKLAELKTHFKHVYYYPHDGKEELP KEAAAEVDVWYCSWLGIPEYIKYEDVPNLKLVQLTSAGAALALKSSVLSGKEAQENII ISSASGIHSTAIPQWIIAQIITLYQQLHLQNFNHRTNQVWTRNIPQLPEYHTQGFGRS LYGKTAGLLGYGHIARETARLLKAFNVNVIAANSKGDKRVDDGYIIPGTGDVEGDIPS AYYSTTDKASFKTFLGKSDILIASLPSTPQTQWLLGEEEFALLPPGAIFINVGRGDLV KSETILSALSSSHLSGAALDVTDPEPLPPQHPLYSHPRVVVTAHTSSSMEGLGYNDAG ADLLIDNVRRVREGGRERAINEVDVEKGY L198_00012 MVAPSDATSVKDAQKRAEPELKNVVDFLRAKAGPKVRMGVLNGK RVEYFKEREEREREVSRMGIGCERSEIRWDRGVFSGWYQLTHIPGKTAVRCLLSPQYQ KLKKVPAITTEDEARALLVRILPFAFFLRTDRPPPEALPPPGQPKPLRLAPQQSFDPA SYYTWFYDGSPLYTYLGGAAMVFIMLAGVMFPLWPVTLRIGVWYLSVGVLCLVGLFIV MAIVRLIFWCLTVMVCQRAIWIFPNLFEDVGFVDSFIPGWEYDIPKTKSSKRPKTSKS SGRVKTLKPVLAPGDNPGTSSTSAPASPASPANDPVASAGGAGPAAGKDGLKSRRAFV EEVEDDE L198_00013 MAAPANSAVLQNIYYERKVGTAKSCYICHRPTTTVLATLKTEDF LYTCEVHLSDPASPIAPPPQLSAPSAEDIRKVVADYNARESKKKEASGKPDEKEKDPK DAKSPSPAPSPAPSVPTSTPPAQPTHKKFALHGKMFEIRKQEMRRREQGVKASEVGRA TKTRWNAATAVISQVNIFSTSSTTTSGFLDPRDNPRHAPKRLKNNLWYALLPKLPAGR SLTLSQSPISPSNDVSEEQLAGVFSEAGPVSNVEIKFDPQSGRSKGYAFVSFYDESTA LSAVRNLQDAPVNGRNLRVELSTDEPGPRRRGPPVGAVSSGPPPPGRFGGPSAAPAPF AARGSYGGPPGGGAGGGRFGDRVDTPPPARDYGGRRGDDLDLRLVPQGVELPPGEKAV DAISKTLAAINPGQMQDVMTSMKQLVQTNPDQARVLLSQQPQLAYALFQAMLLLNIVD PSVLQSIHPLPPPTVAAPPPSNYGQPPAPYGQDAGSKYGQPPSGYGGGGGGSAGGYGY PPASQPPQAFRPPPSYAAPPAQTPPYPPYGAPPPAAHAYGSAPAPPPAAPTPMSAPPP VASGVPGMSALPPAAQAALATLPEDQQAMLIQVLSLAPEQINALDPTQRASVVQLRQQ FLGTA L198_00014 MSSQTLPETPAEDKPITRVQLSGEDTTFAAQALQALGTEPFHQS FWAGRRQTAPGEAQGSDAASTSSITQDKTYWSYKYPNEMYDLVSGDIELRERATVIQK YVSHTGTSGDSAAAHRSLFRPLPVATCKATCKKFDKDRTQIGTAWPVSVTYTLEAQAQ LSSTFSEDEKAMLAMRLGANWSAFGLAGNSSQFEEGRAAEDDYPPFSQMYRRESEGIL NDQVSVSVPDTPQPWSYETEFLLNDHSKGSIVNTYAKADADISTFLTMKVYDVAPES L198_00015 MLARIRNRQKAPVTPPDPEGINYTQEHVSIARTIRRRQKILGEV WRRLPTIQWVLILAGMGWLLALPYEGLWRGTYVDEHALQPAQVTVYFDWANVHKADLY LGELERIDNITFEERTEYLQRSFSESGLHTSNTSTATYAHVSPPRSAGTETILVSANW VSRDGGPNLRGIATLLAMGDFMRGQNYWAFDFVLVIGEGYQTGLADFMEEYSSLFSGK VWTGVNIDYPGHSFSHLGLFYEGTNGRLPNQDTLNTFSRVADSIGVPVRYHNIPDEVE VYRWPFGWLGQYLLAAKHLLHHLAYAGLGRGSGGHGPMARHRIDSYTIYAAPATGPHG FHSLGRTLESTLRSYNNLLERLHASYFFYLLPRLGRFLEVGKYLPAAVLMGAGLTLGG LDVPKPLEAVGLLGAGGVVAGCIWLWPLVYVLLPLLSRVPRSTDDGRKSTESLLLLTY GALVPTLAMINFPQAVILALISIISLKMHRWVRFGTSLVIVVAMPVVLRKTGMDMGKE WEEVGNLVWPGVHAVLLPLCLVNCVLTKPF L198_00016 MEQYTSGLFASSPKRSPNKSPTKRRLPPTTPRRHRSSILPSTPS NPSPQKYKSSGGEQSVERSFEGVMKTLRRMGISTPGKGQAVNQSRWSAWSSEDENEPQ QEEGEGFWKGRKSSDTTRSTRSKLSFRARKGVPQVWSGNDPASVYEEEEGRKSSDGRS VKSSRSMRSVKSKRERGRKSEDVERPAFDEERVPDVPALPKAMEAPRTPGKKAKLMSG LVRRLGLTPRKKGSSMAPSETMVTPFDPSQAPPLPLPCTPRTQIRPESNLPPPPGIRD DLPLPRKTSLSTLRSALTKKGSATTLRSVRSNHTANTARESNFGASCTSLAGFEMDLD APPLPPGLPRPREWGSPKTPRRGGGDGKRTPKSSIGQPKLKEETSPSAFLEQMPRRAP ETPKREEFGGGEGTPGLVGGDGDVVMGEDVTDESLETTAIITPPAPGPVPDVTQKHPF ASSLRSTTAIRSTSPLGNASPYSPFSLNSFSPAQSSTPLTAKAFAQGKERKSSRLGSL LPALGPAPAAVGHGSKPLRTLRSKKSTDALSVSGASVLGMRDVNAMGLPVPPRSATSL GAYSSFDGASTGKKESNKLMKKSKALAGVAVGQTRVSLGSKVVGLDVDGCFGERGNPF ESVRVPTPVPSLVAGSHDSPPPSYQFPAPPTSLPAPASTAFAPEAREDEEMLDKSRTS FNDDDIPATAAAMGGGEAGMSFDDGSFYTSEEPSYVEPLPFTFPCPRPTHTPQLSAFP PPSSFSSSSPHTQNKHIPTPSADSLLSLQSLATSESFGSALTHDTGVTAQTARTRGGG VDSWELERYLQEAERGEERDRGGGVGVGGGRGGYF L198_00017 MALPKPTGLVMQIIIPKDLITVHNWPTGPLMAQSAHAATAVLHK FRDHPDVKRYLEGEDGRGWEVMRKVVLQVKDGDTLRQLAAKIDAMKNPIGYHLWTEQP ENIPAALALVPNKRPKALKVALDEAGCELFQ L198_00018 MPPRPPPPRPRPPIQHRRTPIPLYHLTLALFFLLLALTIIYVPI SGVYDHLQSLQAYISSPKRDDPFVRLVEGKGLDGRSLLERAPEGEVYGHADFQDGRRQ TVRPEFLEPNSEALGWVNVNLGNGGPEPNLSGGMIPAISTPFGMTRWTPQTRANYVSM CPYNQTDTKLHGFIATHQPAIWMGESAPLEVSPSLANTPKVLFDDRALPFERSEEYAS PNYYRNLLKDEGGHVEAELTASSRVGHMRFTFQKSSPSSSSSSSSSAEVVPRILIQPA RSTFLVHGDKPDDRVPYHPSGFIRVDWDKGEVWGWGDERQDHILVGDDLPAKDFKGYF VAQFSHPFSRFATSKNGTITYDDEQAEGAVLAGFIEFEGGDEEDEMVVEMKVGISWVS VEQARRNIELESTPFPASPKDSSTWAEHLDRLSVSGASGSNKTVIYTSLAHTLVYPYE VSENTTFLTRANSSSPTWSGDTEKEDGESTVAYYSGYLDALAKGESYSGYSLWDTARA QHPLLILLAPSRVPGMITSMLQDWKEGGWMPMWKNVVETNIMVGTYADAVVAGAVLSG VKGFDLDEAWEAVKKNAFTPPERDTELQFGDREENTPQEARAGLTEYTKLGYVADDLH TESGSRTLDYAYLDHAAALLASHPSLSHLDLSSTASLLTTRSKNYKSLWNNGTGFMEA RNSDGSWAGDENGWTEGDKWAYSLDVMHDVPGLAELMGGNASFVDFMDRHFAGGHNLH TNEPSHHIPYLYSLASAPDKSQFWLHSLASSEYNHTAAGLSGNEDCGQMSAWYIFTAL GFYPVDPASATYVLGSPLFDKIRLRLPAAPWDEEGGERVLEVVADGASKGRVYVKGLT VEGESWEGVDVSHDVVTKGGKWVWEMDDRPQKWGQP L198_00019 MSSSAEVAHTVDILLVIFSYLTPRKHLVPLLRTSPLFFRLVAPK LYNPLPISNACNPLAGVSLSGEDQGPYSKARLLNSAPAVVVERAKGPNGRSVWTNFDR HPSLPSVEIVIMQSLLWEEQTSGIDKAEPTRLSNSIIEHLCSAATRLELLTPAYTLVG ASVSRERMPSLPNVETLVVKGTAAKLQGMVHVVGDYGDHDKLPCPNIKTVHLFLWGDV MQYPLRDQSRTSFWDMDKGKITLQIREMEHRLYKRSYLLGHLPDMLKALGSRVSSVDG LDFWLYNVDPIFQRSGYLKGTRLVNRFKYMLERDFEWKMLSSTYPDPPDEDRKIRVFF RTGVDFYKYKAFNSIPISAEEEWYYSAVLDPSARLVSLRASLEAETGLHGRAFMGLGE HEAEWLLRAYTRPTFAELYLQRR L198_00020 MDHAKETPATLAGSAFSKVSLEDSLSSPSAMAPISLLKQSPSSL SSNPPKTPFPGTGTFSNPFIVDYLPSDPLNPYNWPKTKRWGVTMLIGVTALCPPFASV SYSATLGDVVDDFGISRELATAGISLFIAGFGFGPLIWAPLSEIYGRVPAFLFSYPIF TLFNLGTALSHSTAPLMVTRFWAGFFGGVTLTNSGAQIGDMWAVWERAVATSIFALAP FLGPVAGPIVGGYVAEKCGYRWVYWIQFIFAAVMTVLCIIFIPETYAPTILRREAIRL QNVAQEEGRDEHFISKYDIERKSKREIIQIGLHRPFQMLFTEIIVACLSVYGALIYGI LYLFFTAFPIVFQQTRHWSIGQSGLAFLGMGVGLMAGVAINPSISAYFYTRSHLIDGR PPPESRLPVCCIGAILAPLGLFWFAWTSAPPVHYIVSIIACIPFGLAFLLIFTSMTNY LIDSYELYAASALAAQAVSRCLFGAIFPLFAKYMYEGMGLHWAGTLVAFLALACTPLP FLFYRYGAFLRRRSRYAPSTSIVVPSSHDEEKGEEKEEEGLSKVRSRGEEGLEPEWAR DAGEGAGREKRSVEV L198_00021 MPCINGCSNTDGLPDGRQCSTCGLKAYLATFGDSKGLAALLHCL VICASCARSMKIIGSFCPGASAMTSMTCSCGENGQLCFFYSSVRRVLTHATLDWIALD VSSILSSVNTKSKSSSSSTISSSAPAASHDDRSVPPPPPHDLASLYNKYYKKKIEERS SAETKTKGTDELPAAHPTSSMAQPRKRARQ L198_00022 MPQADDTLAHLASISLPSHSPAPSQYTPHLAPAFTRIYDALENL KHASTASSHASAAQTQAFLELERTVRALNAGIVDDVQRAVEEGVRKGVREGMEGMVLR VEWKEPGVGCAHPQPQPQAQQGQGQQQQREEVRAAPVNATAGPSRPANNTSSPTAWNL SGLTGLGNLSSAAETSQLSSLFSLAQQSQQSQSIPHPAVHTPSHPHPHPIPPSSDTPL SSFSLSTPSQNFTMSREVKSVPDLWKEYTIGWDGQPAVRDIYEGNPRKRRRGKRFRDD SERKFYRRRKKVLEMVEALVEKGVGEEEAVDRVERLRERRKCTLNKLGEIIPEMTSEE IALV L198_00023 MQDNICTPGVSAASKVAHTTDILDIIFSHISARNDLVSLLRTST LFFRLVDRKLYQSISISNKCNPFFGIPYGDISGGRGGFYGKARLLEFVRAVVVERASV PIEGSVWKRWRMLPPLPLVDTVIMQPADSNTQQDGGSDRSLPKNALVERLCPNATHLC LSLPWLTPGAKQAHPVPSFPKVKTLVIKSTAANIGDYHFFLGHQGKAEWPNVTMVHLY IWGDLVSPRKYRRRLGWPNKAEIDWSEILAIGNGTYKELEAIHDYNLDCLMHRIATLL SISALRLYNVEEMLDRLKSWKMTRRTFKTSRGRMERAFREEMRDVAKYSGLSDKSAEV DISWRPAQEFYQTFGGAWDHSEDERWYRSTVLDPSPRLVALRARLAVKTAYPVSNFIG LTESDAEYALAAYKG L198_00024 MSSPSQVAHTTDILHIIFSHIPDNKDLTSLLRTSPLFFGLIAQK LYRSLPISNVLNPFSGVDAGGRGGPYGKTKLLELVLQTVVVERAPLPIDHPVWANWYK LPPLPAVETVIIHPADRNARQEGDQDTTLPNNALIERLCPTATRLHLSTPCFTAGARG VQSMPSLPCVETLVVKANAGEIMKLKYVVGKLNHGLWNHGQKERPPCLNIKAVHILLW GELVYPDAKLQSSDWDKDRVDKLAIMGLSLSTKVKLRDKIFHNLCEIAERLGTRFSID ELWLYNADTVLERLSKWSTSRKVDDMKAEVERAFEGEMKTSAEYLGMPEEAAEAQVFW RTGLEFYEAFGDVSARDETEECQKAMVEREIGEEMKTLAEDLGMPDEAAEAQVFWRTG QEFYEVLGDVSTRDETED L198_00025 MHLLPPLRGHRCRIPPPHIAPLLQTRRSKALQPTPDLKHLQSFR GTWRFSLVSAAFSAYPGQSPTGPRGSYGKAKLLQLVLTVVMERTPELATGVVWENCYH LPPLPSVSNIVIQPVDRHARQDGGEDTTLTRNTIIEWLCPSATRFHVSLPYGPVGVES IRPLPSLPRFRALLLKVVLCKLDPRGGLFIKGQIVSPPWCSQSGPNLLLSCSSSQVNS ELSSNLFLGGLSVFLFSFSSRYPAFYIYDHRLASPHAIEYSAQAATLHLVTAATPRRP LDVPEDPRMRIRRSPRRAAAARVANTADIVEHILDHPFASKDLASFLRVSPLFFHIAG RKLYSTLPISNALNPFSSSSHGGERWGGRGPYGKGQFFPYIRTVVVEKAPIPNRPTWA GWEELAPLPSVENVIYKRGPTSKLCCSATRLYLSTPRYASSEDSLQRVPFLPNVHTLV LKGRAADIENHDLVAVEQEHDAVSAWCSNIREVHLLLWGDVEYPYGPLDHIGGPSRSG VTYHQLMMLFGASSVHLSAFFYGRLRYSIRDLASLENVEVLHLYNVEEILKRIANCNP SGWRVGVGTAEEMLTGVKESFIRKEEDASQDEASEDEANEEEASEERVSLEGASEEGA SGKEKKSLSVTFHPGAAFYKTFGSYGHSQKEAWYRSLVLEPSAKLVALRQELADMTGE PADYFSGLSEKNVETILDTYEG L198_00026 MLARNALANAQSAYPRCVPLTRALTTTPLLRRVDKTNTPWDASS SQRKGPNSFRNDARPGDRRPPFVPFRQPGKPIRMPAKHFNMPGIGDASPLTPESIASW IRSFPLDRLEYDREKLNMNTRMATPSTYVLWDTIPGKGIRVVYDQTLNERLYASLKSK LESLEGNVVTPDMEEDLRVGRRDARSTTVRSEHDLCDVMLTNFFKRCTDAINAGLPGH LHGEWQRCSDDKSGSSAWEFRRDDKTIAIVEIKLRTVLTTAGEGAVSQTGMELRERPQ GDTAGRGGTQSGVSHSDQSQADKNVPDDEQKPDDLPPKLEQLLMACRQEGGLKLILAD RKSEDGRVRPALRIVDQEGKIVKKMTHWADGLSQVWKDLDHYELDLVTLSSYEVWIPF ERDPVIKNLLRVGDPIHRKEPGTAPEPGKMSPMELVVASVIEREPAPPFDYTFSPLPV WSSSEASGNDSTQNDQNESGVGASELRGTKSGYDNVGATGGAGSDDAIDRDANIPTDQ LAPVTLQVSVPNHRSRDALHYFKQRNLDNGYMAGRLSPCVIASSADDDNIHSGLPTSE LKAHLALGSYISSGRLWDVYHSVLTVEGRVSKKLIAKVMCPDTYDEEYGGHKEFFEGP EEAVAAYRLEAALYSGPLKSLQGGAVPAKYGSFSGVMRIGRIPFPLHIELMEDVGGSV AGEDELEYLPLQDRQAIRDLYHQIHAVRVLHCDVEARHILRRADGRFALIDFDASFLV GDGVKGDRELALEGREVAVMLGLSDLVARKAESRAGRRHLQREEVVVDPGAVGRGMLV V L198_00027 MPLGRYHPAFVPSPGTPASPLYPRIHQHFHSQSYGPHGRRHRGP RYGILIPDIGPHGAPAGFSFGLGAASSGFALKVGKYERVFTSPTWVWDCLLSTWIVAR AGREE L198_00028 MGIPVFGAIITGLACSFIQSLVFQLDALPIGILAPLGAVSLVFN ASGEPSSLPGIISQSPTQCRRRDIPISMPPSNYASPRSPAAIPFRAAHPISVSPPSKA TSEERDSPLGHKAPIRRLPASSMAYNQLEEESRAADPFPSAYPHVVWSRIRGSVWHLV GDSESDVDEELEAGEGATLLGGDTSNEPENSEPARHS L198_00029 MKASEHGQGMSAAAQVATTPDIFDQILSHPFGNRDLTSFLRVSP LFFHMAGRKLYSTLPISNALNTVSLSGPSGESSGSGSYGRDQLLPYVRTVVVERAPIP KRPIWAGWEELPPLPFVENVIIQPADASARQQGGQDTSLPSNALIERLCPSATRLHLA TRCYIRGANSMQPIPSLSNVHTLVLKVRTPDIHALWQVLGDSEGASPPCSNIRQVHLL LWGDVSYPSKVFRRIPWPSKTGVSRRDLMDLTVASYGPSTSRFLAQLCAAIEHLGDRE HVKVLHLYNVESVLKRYAEWAGSLGSVNGGTVEDTLREMKEAFCRETEGSGDGDEVSV VSFNPATTFYDTFGLYGGDKQEAWYISAAIKPSARLTALRQQLADRTGEPADHFLGLK EHDVQTILDHYKE L198_00030 MPSASQVARTTDILHIIFSHISAGNDLTSLLRTSPLFFGLIAPK LYRSLPISNVLNSFLGVNAGGRGGPYGKTKLLELVQTVVVERARPPVDHPVWADWYEY PALLAVKTVIIHPADRITRQEGGQDTTLPNNAVIERLCPTATRLHLSIPCYNYTAGAD CIQSMPSLPCVETLVVKANAGKIMKLEHVVGKLNYRVWKYGQTKRPPCPNIKAVHILL WGDFLYPEEELQRSYFDLDWPDLSMKVTLKDKIFHNLCGIAVRLGTRFSIDELCLYNA DTVLERLSKLTKSRKVDDMKAEVEREFKEEMKTSAEVLCMPEEAAKAQVYWRTGQEFY EAFGDMSARDEKEEWYHWAFAPSPNLVSVRAGLAAKTDFPADAFVGLTEGEAEWMLAV F L198_00031 MLLQPQNVIRWAPPSDPPTAAWWLLSGMVNLVLKDFYREGQVGL GVEAEVFAKLLGEKDGKLAKAFREIGLHRTCFLLVASFTILALSLDRLLRLSQDHHSV LEYLQNLPQDSLMLPENFMKACEGVRYEEKEYKRTRAAVEKCLMR L198_00032 MAYLDLRELTQLIHLADRGGIGEATANTDCVAEQPDQLMFIQGD HLVLLRDLGEVLLASCEGVIGWARRRDLASLKLASGSAPTSPSPPAAEEVLPTTMLTA PSPPPAHVEQFQQPLQATIAGLGLEGPARDDTIRRDSGTSGPFELETDSPQPSPDLYS SFAKEGEAFDLPPRPPKSRFRQSPSGKDKIRGGDGVQGGGSVASSSEASSSGVYEGIG GFMMNGRNGGTSPDEEIPTSLPTPTPHRPSSSEDYESDRSWDIYGDYARESMYGPLGN IARHSARMAAGAGEMLGLPKGKPRRWSSGSGDGSGSEGDAGDEGTARGRTWAALQGAR GRQEERTPEGERIPKDGEQDTPKGDSYPSPITPVFDDLITPSEPDTSITASIDSFHTA ANTSEEGRAPVLPSVSEQSPEMTKSSSEGSHTLLASPLPIEDVPSSSGHSSQSDHFPT PRVSTTPRHPNFSPTKSPFYYSPHFSPNPNPNPSPSPHGSPVVPWSPDSPSSPHSIDA TRTALVKSRDSKKDGKRPRGLTLVGRMDNDLRAATGPVPITFLVNGEGFPIPPPLPTP STAVPGPGMNGREGIGLGLPGHLAQGQGPERRASSPLASPTNVETPTFPAHPMPPVRS VTAPITPIPTPQPKTQAAGIDKELESPTAPAAAARPRSRSFSSSVARTLGVGRKASTP SALSISSANEHSTLPSPLPQQQPQSATLKKSFLSKSSLAPTAQSTPPHSPAKSTLSRA SGNNDSVLSLPLPPPSATSASFSFPSSKSSSKSASARKTSRPLPSPVSHKDFLEETVK ADGMDFELVQPRKPQALFSPTSTSFDSDLTSAVGSGDEGKTLEGSIRSGTSAGGGGGA GAGGGLKVTAETDEWGFLKDRSPTPEIFMSRNAPGEYRAIEGKWLSIISTPLNGAQPA KKVRKFVIESGVPNSLRGRVWAWFMAGTLSARVPGLYGELLEHDKGLEDDRIERDVVS AYPDHSIFADPNSAGQQDLRSILRAYSNFAPAGYRREMSLIAGCLLIHCVAEDSFWLL SGLVNSVLKDFYGEGQVGLGVEAEVFAKLLGEKDGKLAKAFREIGLHPREYLDKWFGQ LFIRHLPWPTVLRVIDAIVCEGTRFLLIASLTILSLSRDRLLRLPQDHDSVLEYLQNL PQDSLMLPENFMKACEGVRYEEKEYRRTRAAVEKELMR L198_00033 MSSAFRVTHTTDILHIIFSHIPDNKDLASLLRTSPLFFGLIAQK LYRSLPISNVLNPFSGVDAGGRGGPYGKTKLLELVQTVVVERVPLPIDHPVWANWYKL PPLPAVETVIIHPADRNARQEGGQDTTLPNNALIERLCPTATRLHLSTPCFTAGARGV QSMPSLPCVKTLVVKANAGEIMELKYVVGKLNYGLWNHGQKERPPCLNIKAVHILLWG ELVYPDAKLQSSDWDKDRVDKLAIMGLSLSTKVKLRDKIFHNLCEIAERLGTRFSIDE LWLYNVGTVLERLSKWSTSRKVDDMKAEVERAFEGEMKTSAEYLGMPEEAAEAQVFWR TGLEFYEAFGDVSARDETEEWYHSAVLAPSPNLVSLRARLAAKTKFPADTFVGLTERE ANGRWQLLSRTRYTPV L198_00034 MQDNVCTPGVSAASKVAHTTDILEHIFSHISARNDLVSLLRTST LFFRLVDRKLYQSISISNKCNPFFGIPYGDISGGRGGFYGKARLLEFVRAVVVERASV PIEGSVWKRWRMLPALPLVDTVIMQPADSNTQQDGGSDRSLPKNALVERLCPNATHLC LSLPWLTPGAKQAHPMPSFPKVKTLVIKSTAVDTIDIDYITGDQRAKAEWPNVTMVHL YIWGDLVSPRKYLLRSGWPNKAAIDWSEILAIGNGTYKELGAIHDYALDSLMRRIAML LSISALRLYNVEEMLDRLKSWKMTRRTFKTSRGRMERALLEMMRDVAKYSGLSDKSAE VDISWRPAQEFYQTFGGVLDQSEDERWYRSTVLDPSPRLVALRARLAVKTAYPVSNFI SLTESDAEYALAAYKG L198_00035 MKASEHGQGMSAAAQVATTPDILDQILSHPFGNRDLTSFLRVSP LFFHMAGRKLYSTLPISNALNTVSLSGSSGGSSGSGSYGREQLLPYVRTVLVERAPIP KRPIWAGWEELPPLPFVENVIIQPADASARQQGGQDTSLPSNALIERLCPSATRLHLA TRRYIRGAKSMQPIPSLPNVNTLVLKVRAPDIHSVRRVLGDSDESSPPCPNIRQVHLL LWGDVVYPSKAFRRIPWPSKTGVSRRALMDLTEASYGPLTSRPHADLCAAVKHLGDRE HVKVLHLYNVESVLKRDVEWADSMGYGDQRTVEDTLREMKEAFCRETEGAGDGDEVSV VSFNPATTFYDTFGLYGGDKQEAWYTSAVIKPSARLTALRQQLADRTGEPADHFLGLK EHDVQTVLDYYKE L198_00036 MRIKRSPRRAAAALVANTADIVEHILDHPFASKDLASLLRVSPL FFHIAGRKLYSTLPISNALNPFSSSRLGGDRWGGRGPYGKGQFFPYVRTVVVERAPVP ERPIWAGWEELPPVASVEDVILQPVDVWSLWRKSLLGEGGDTALSDSPDSALIERLCY SATRIHIATPCYTNSGDCLQPIPLLPNVHTLVLRVHAAELAAFDDVAIVDALDNLLSR CSNIREMHLLLWGDIVYPSGVFYSIGWPRKGRICHEQLMDLVDGSYKLMEDFYHNLCS AAGELALRGLEVLQLYNVAAVLEKLINMDRLFNMDGSTRWLTGETTESLLTGVEKEFC WERDDLNVDETSEEETSEEEVSFYPAVAFYDTFGSYRDDAEEAWWSSVIEPSAKLSAL RQQLADRTKQLADHFMCLNEKEIENILKTYEG L198_00037 MSALSPESLRDVILQSLDRSAEIPDSRELAWDGKLLKTAEEQAV VRAALDSLASKEMVEYKQITTTSYSLTEEGDGIVSNGSHEYRVWQVLPVKGQGEPVGV PDLKKLLGDETTKVGQMRAFKNKWIAKDGAGFVRAAEAPVDETLNVIKEIKETGIVPG GEAAAKELQKRKLITPKKHIHYSISKGPQFSTEVKQLETDLTVEMLQSGAWKSSDFKQ YNFAATGVPTDGGALHPLLKVREEFQKIFFDMGFTEMPTNRFVESAFWNFDAMFVPQQ HPAREMQDTFYVKNPVKALKPDSEYYERVRKIHEEGGFGSIGYRAPFNQDESEKLLLR THTTAVTTDMLYKLANQPGGFKPAKMFSIDRVFRNETADATHLAEFHQVEGLVADYDI TLGHLIAFMQEFFGKTGNTKLRFKPAYNPYTEPSMEVFSWHDGLGKWIEIANSGIFRP EMLEPMGLPKGVRVLGWGMSLERPTMIKYKIQDIRTLVGHKTDLDQVKKRPAVRLEKG DD L198_00039 MGKYYCDYCDIYLTHDSMNARKAHNTGRNHIANVRDYFAGLGHN QAQSLIDQIIQQHESGGGRGMGGMGGMGGMGMRLGAGFMNPVGGPPGGFPPPQAFPSF PPPGQTGTPPFRPPFPPTGTPNSLPPFPPPGAGMTPPQYGTPNAGAGAYGAPPAFVAQ QGQQQGQGQVQGAQGGFTPAEGVPQGSGTGIHPDRMRMLGA L198_00040 MAAHQNSRPSSLTSAIWLDSGYMLPTPLRLVAKRKMSKYATWSK EQLLQKLVALEDANNSPPLAAETEPTKAFVKAKKKDKPFHFPSHPTRHISLLISYTGW PYSGLALQAPPTPHPNYPPKSALPDEITTVEGELLKVLEKTRLIEEGKGWEGCEFARC GRTDRGVSGDGQVVDLWVRSNRAEGDGGGELGDGWRPAREPAPARPPVTEEEGEGKKA KKAKQTPEPKAPNEFPYPKLLNSLLPPGVRVLGWSPLPAAFSSRFSCTQRHYRYAFHR RPTPTSPPLDLELMRQGAALLKGEHDFRNFCKLDGSKQIENHSRRVLDAWFAEEEQGM GKEWVVFNLVGTAFLWHQVRHIIAILFIIGSKLEPPSLVSSLLDTEKFPGKPSYAMGH PLPLTLFECGYAEEDVDWRFGTYDGPWRGLSEEEKKGLYEGAMGGREGLERQLAVAHQ EAELKAWHIAAPLRKLHSLYGPVLAEKAVKAEEKNGKGKEQKVWPVGGGEYGQTGKWV PVLERTQGATPEEVNEKYRETKGKARAERKAVEIEE L198_00041 MPPKSPSTPRKRRGMKGGKQPIASTPTSPGEQAKQSKQQQKHHH RHVVATAPVHLPLQKAGKLSWTLLGLIFAILPWVFGRLHYALPEPLPPLDADGIPQPS EEVVRSHIQALEDIGYRIVGTPAALAGEQYVLDQVLELVEQCNARNVLNCEWWHQKGD GLHAFEILDHEVLKSYTGISNIILKISAFHPPSYDIHNPKPDKDAILLGAHIDSTFPS PGAADDAIGVGVMLDLARTLIHQNDPFDNSIIFLFNGAEETLQDGSHLYSIEHSTRTQ VKAVINLEAAGSKGGALLFQATSGEMIEAYGKVPYPKGTVIAADVFSSGIIMSDTDFG QFEKYLGVSGLDMAIVGHSYFYHTHHDTLSSIQPGSAQHFTSNIHAVVSHLLSPSSPL SSNLPWSPPDKVYFGLFDKVFLYWDMKAADRWYTALAAVSGVLAVRRLKGKGWKIITT ASLATISGLAGGFIGPNLLAFTLTKLGRPLLWFTHEHLPTLIYIPTAFLSSLSIHLFF THFLSPVERTKLEAVHYGVQMLWCSWMMLALQAVKVRSAYLFAGITLFLLIGALGDGA VRVWGKEREGMNFKATYLLPSIGLIAFFVEAITAALDIFTPLTGRMGKSAPAEHIIAT LTAACGLGLFPTLTPLFHRLPRQTQRKVVLVLKIWVAGVVGYLGLGWLYDGEHPKRVG LNYNYNHTDQSHIIHIALMDLGPTGTIPSSLHARYALPSSPLIHKDLEDEDHDWDAIY PVSTFLDTYKFELDISGQGFAQGLEGQGKEKEKEKGGWGGVEGVENFEWPEMGWSVKE KGGDGFRDVEITFNFTDLVWPVLSFHAHVLSWSFPEPAPPAEYMQHHIKFANSVDSPL TTLKLRYKVEEPGQEKLGVHWSAFDVSQMNPFTTPHPGGGKPATVVLTDMWDWIGEQW GGDLDLVTGGVVCGVVQI L198_00042 MSISPAQTIASLHEIVTCIVASTSSPADLAALMQTSTLFFQLAA PKLYHSIPISYTCNPLVGASTTKPDRDEVLAKPFGKDALLTFVEIVRLERCDVSDWTP EISKRSNQRDAGYTSKRKKAWYDSLQPYALPRLRTVDIQPHPTIIENSDTSPSSTSSY HHYSYISHPSFSTLCERATHLHLSTQDVHTYGHDEENLPFLPLPRVRAVTIKARMSQI ETLITMTSRLGIVFDASHLPNLELNIYVWDDVCLVDNARPTVGQGEEVRLDGQFLKDL GLHTCRHLQTPDFKSILNSLVAYLSIQTSFHTIRLLNLPSILERYAENLGESVESIER MLVECFGQVRDLQKQLYGWKEEEVLGMVFEGGGEYYEKYWNGRWVEEYVPPGMMASEE VYYCLMVDPSVTLVDLRTRMAKDADIPASWLSSLRQDELERLLKWYDSSNQDLSRSP L198_00043 MSLKSTAAQAANTFDIVTHIISYIDTPHDLASLLRTSPTFFQAA GPKLYRQITISNERNPLLGADQEYDPDYAEDYGPYDKDVLLWHVREVYIERTELWFWE LPWCETVNAYPLPNLRTVTVEPVSYAKLPHSTYDSVENDFSLPCPQLIHGLCANATRL CLSSLSQKGFVNLIVGLIGLLHEVTTLDLKVRVQDLRELASIRPLLDAQEVEEVNLYL WDEIFPPREVIPTDDDDLSDLIVWLGLRNFAMLSDIGEIILKFLDIPSLFSLQIYNLR QVVGRYITEVEESFSTGPGFPGGAKDALMELAGFFEQVEKEPTMLSEHANPELELSYP SAKEWYDDEAWDGRWAPGEQAYYETIVDPSEKMLDLRQKLGRRMGRKEKCFVYLSEEQ LERIWDVVTAKSPIHPLDRAVFTPREYRERTFISDMWMHLTDSLLDLRRVDRYR L198_00044 MSTSNQILTPLASNYDILSHIISFLPISNLPAVLRTSSLFFSVA APALYRSIPISHTCNPFIGASAEDEDKSTWPYGKDALSERVIEVYLERGPVEEGEELW HETTNVHPLSHVEQVAIQPHPNTFVPTFDHRQSPLHPLPTAAFIAHICRNASRLHFTC TGASRGLPGDWYSAPGDLPPLPGVESMTVKLRVSRSRDAVSFLNACSAERENLYPTCQ KINFYLWNDIIPNYNAFDDAISRSMPVSPSLCQNSLVKWRACCLRRGFGTADRLAIDT LLPQLARLVAERKEVKAVAWFNVDPILERFATINEKTLEETMLVKREMESSWISARKA VFSGEEPTLPVPFTFHPAGEYYKTLWDGRWAPDDISPLPSPSSPSAAHRGLESEWYYH ESILYPSSPLTHCRTKASRLNQVPMVCFLFLPQERLRYLLLNYTLDDVVYREMERETE EGEGMDEWARKYFRNPENQMNVGSGIESFEMDGGVAVRGHDENLP L198_00045 MGDRQQLLDMGFEADRVDWALRATRNAGLQPAMDHLLNNSDKPI PAAATEEVDEDDEDAVKAHIEKLGGTVDDSDAVAKSIKCTECGKTFRSSATASFHAEK SGHDQFEESTEEIKPLTEEEKAAKLKDLREKLAIKRKAQEKESSAADKANEAIRRKAG QDTGKIREDMKIKEMQKDVERKKREKLEDAKARAAIKAQIEADKKERAEKAAREKALR DGTSAPAPAPAAAAPARPASNVKSSENPETRLQVRMHTGGAPITKTFPSESKLREVAE WIASENLAYDVDTVTLSMTFPRKKFTSADMSKTLKENGLTPSAVIMAN L198_00046 MHKETQPSFQVTALAGPPRLSIHNPPPPKSYGKNEPAWVDALSG SPADPSDHKDQHNEWRVKSTDYSIGYGSEAHHLIVPGLREDGKLVYQTLHSRRSRNRH EMGYMDNEPSHPAWWDEQMKKSTEWFIKFREDGGMQPPTAEGQEKRITDQDGNLIKDD EQAQKVLSMWSSIVIPDFEDALDKNKSSYYGGYNGGSRPHATVISKDDVSTALTSKPY HSGDGAKPPDATLSFNKNFQPDPENVIIRVKDAPRWERERNNGPTRGSSHLLIHSEYS KSFLPSTTAMLAYPANLEDLDKLSTEARTLCDGTDEDATAYMQQMIKNAGTAFDLFDK DRHHSTTIEESIFPYHNRGYQVGRLDRERRATARSNYIAASVCRAFDEQDMRNSTRSG SYIPPYVSAPSKYAWDQALKTGWIEASSLHGPPNAIEEILEDTEQRLAAHTGTGTRVE GEAPPPSYGDTIELGETGFTAPITSGLGSSISVFPSEHIDHPEPRGGPSKKEPAGYED DDTILLGQPTAAAASSSGTRQASQYGVSHLSPTGRETITNITRVGGGGRSPGGSRHRR RSRLLSFCGNSDESSDE L198_00047 MKTIKDQDGNLIKDDEQVQKVLSMWSSIVGPDLDEALGKKGSHY RGGSRPHASIITQDDVSTALISKPDHYGDGPKPPDATLSFIGNFQPDPDNVIIRVKDA PRWERERNNGPTRGSSHFLIHSEYSKSFLSSTTAILAYPGNPEDLGKLSTEARTLCDG TDEEATAYMQQMIKNAETAFDLFDKDRQRSKTIADSIMPYHIHRYQAGRLDRERRATA RSNYIAASVCRAFDEQQDMRNSTRSGSYIPPYVSAPSKYAWDKALKTGWISASDLHGP PYAIDEILDDTAQRLATLTGSGRREEGEAPPPSYGDAIELNTGFISNSSGLGSSSASA FPSERIDHPQPRGASKKEPVGYNVDDNILLGQPTAAASSSAGTRQASQYRVSHLSPTG HETITNITRVGADSRPPGGGSRRRRRSRLLSFCGKSDDSTD L198_00048 MPSMVTAAFALLSVIACVTLEGYGYWWMLSIPSAIGCIPMMIFW VYKTVKPVNDYFHTLNDALGTLYKGMSVQYATDVGRVGMAFSGVCTGVCVSVVIVVSW IQMKERSSRDCFWT L198_00049 MPAFPTRPLNARPNPLVQKVRKHPFIFFGLPFVGIIVGASFALQ AFTQTRYDYHQTKVQTIEKESDLGMKADRRKVDLREEYYRLNNPDALPSTHSASSEPS LSSLDARSTAKPSRKKFSMAAVSQDDYEPVRVPRPQGVAEWGAGNAVEEAPLKGYRKE DRWV L198_00050 MLAPNPHRTPTPSSLLTSSPPLSYTWSDTDTELPPGTEGMHRGG PSTRTSVWSDEGTAVPRPASGGVKVGKLIDYEDEPKKETPGDKLRELLRQMDQEVKRA QPRSTTPPPTRETYNRPSGVPSAQQRLGALARSPPIEPPREEYSEEEYEDEVEAGPSS REVSPVRSQRSYEASEQESSPPSPPPRFGNPYNSRRVSGERERSIKSGRVPSRAAVLQ GASRSFSPEPEPSYDPEPASSNSRERSERSERSSQLRAYIASHTQRSSSSASQTLSDP SSSRTIHNQQSLHRSRNSLERSFNHSTGSRGSKGKERGSFEAPSPPRRPYSQPSRREP PTPQSRSIHPIPTTHDEFASGLEVHASEKELDIDEGVSRVGWEDSQSSVAFTQEDEET EMREGRIGTVRPEVHERERSRSRSRSRSRSRSFAEENSHRSRSTPQPSRHQSLKDSYS RSHISRLPRSPSPPPLPALPDMSQSSSSSETEAYSSRRATLFRSGSKSTSQSRSLGEE SKVLRESLHSSGRVSPRVGGGASLRQSVSRQEVEVEEEPEEIPSEPLELVVEEEPSPP RRSSLRTSYTSPPNPTPPRRGTPLSTTPSPKLNRSPRFSTPQAEPLSHTSSPAGRLHT PARQADTTLPTPKAPGAWNPSARVQTPIRTEGNTTLGNTTIGVTPKPPGAWNGTPVHR VRFSPLKYTLGAGSDGGENGGENGGEVRKRSPLTNEVSISMEDKLDEDEDEEERKEEA EVSIVRLKMSPRRSPRGKVVEEEVGDVSWLEGFTRSMRSRLMTPVKVPQRSTTYTTHL PSLRSASEETSSKEVALREAHSSWLSSLNSLPALPATPSLASSTQHLKRSIRKGARWS TWLWMVLVEMVLLWAVFRVTLDYAGSGMNLSWVDPFSPLARPLGLGPVVPTVRSPSLG SAEEGTDNQVTWLSAPKYLNLHIPIPSSLRTLVGNSEYQHPYSAYLGVLGGSANFFDL VEQWETWKALVGDGTEGFVDGWRGGVPT L198_00051 MPSPSSSSPSTDESFPTVYAPAPVFSPSPVYSSSPTSHPLTSPF PLISLSRDLYKQHVHRLYRSVALHKGNAELFYREIFDPEPFAAISIAPDEELWKRLAG MVNGGREPDTRLGPHDFRPGKSLLNKLCLFWEDNHLTTVDVDAIDDTDEAADLLLDLA DHIFSAITKTTTEQPAPPVQLHLFHHAVSVCYRWESIESMCESPDDRMFPKTYSSAWA GRPLVCVNPPSTPMLGRILHRSSPW L198_00052 MPTISSFPPCPLLPELLMSIFDDYQSTCSGSALISLICLSRELY QQHVHRLYRTVNLHMGNAHLFYREILEPFELDVMEHEEQWKRLAGKGGREQGTRLGPH VFRPGQSLLNKICLCWEVDHISMLDLAAIDNADAAGGRLQLLANHVYKVLGTATQAQT QRSRLYIFHCEVYLSLTWASIQPLCTDPEGFSPRTHMSRGAFGYAWANRPYVCLDLPP VGETCALSVPDLYTVLETILSISRVFTLHNCPPIDLSSYPASADFHPERRLASVAFNA AYAPIYLVPDLESMVTECVQKAVQGHRVKGSSPEGHRFEFERFSEVTVSRLEGLLRLQ EQRVKCYQATKA L198_00053 MVFSDEDVWEVPDDSDDDYFEIQEAIIASMEPPSPTTTNHTRTK TNAAGAGAARIPRGQSPQSLQELVQASQKSTGTRKIKGRAVWEAEVADMISSWVGIHE IEGYAGMRGTVQALREGSQPNTMSFNLQFEDIEGEEHELQLEMNFRDLDTYPMSYTVN IKSTQKLPRRAQRTFNRFTDIYGLSVPTLFQKLLASLQGDDEATGGDPDDVSDMEDED DEDEDSVDDPWVDEVEKPVEQGEEVPAEWTSLRKHFEQAKSWGYRPGLTRVSDYWVIS YSIPLKNISIDPNILATWDDSLVEAWEDDQRFVLLMGVDNYPPKPESMKFWMGFHHDY KPSRQLVIDTTRGSGLPPFFLSSSLLEHIKGFHRVFNLQVNYNLGWEEANRQGLDEQV YYNYISTNVIPRPDSRGSRGKRKHKSERADDPVARGFSDNIPLCAFYWVLKRFADSPK FCMNCGSEVEAVSVRPYVCSKTLCLYGFMSLGFGPSIDHTIITQPGVVDLLLSFAHSA ASSDTRMALPTHLHIIVPADCPYNTKKEAILLDDMSDEIVQRRSVAWLIEMLPRVSHI KAFLESGGKLKDIECPSGAIGVLRWVVGTCRAYLKETKEGEGVMNDHSQIDNRYNLPG TTTEGSVRQFTFVVGDPEQEVKFKQEIQTAQGQNPNCRTYPTILAFHGSASERWHNIL RTGLDYVEVANARAYGQGVYFANEASVSIGWYSRVSVNRPRANADFLVSKATALVELV NVPETFVSRNPYYVVNNLRQIKPFLLIVHGALNPQLVEEAESGEADDTTLAGVTIADA QGQNTVPVVTAPAAPGAATTAASGTRSKIAGMFGTGEKKRKTLKPTTFQHDPKVAVTW RSQPLTLQMPAKFAPTPLYDTQPDDELDANIINPPLPKPVDHYVPTLEARLPNFQLMP PPTETSIVASKALGKEFKACIKAQGEGALPFHVDPDNDSMYCWLLELHEFPDDSHLWK DMKKYGITSVVAEIRFPAAYPHSPPFMRVVHPRFLPFTRGGGGNITGGGSICNEILTA TGWNPAFSVEAIIRDVMVNMTEATPSAKLDPQHWNIPYGMQESISAYKRGWEVPSGFD KLAL L198_00054 MSTSASTRAGTTLDVLVNIIAVGNLSSPDLFHYLLTSSTFFHAA APALYHTLPISHACFPLMKGATKFTKTSRARPPSTVTSPHSPYCKDKLLQPVCKVLLE YTCFSPGHTEQDEGLVSSQVDGETMEEHSPVLFEPDSKVSARFAHHFDIISYFVATPF LSKADLFHLLLSSPIFFPTAGQALYHRLPISATCNPLIGATAIASPRAPSAQSPYGKD VLLRYVKEVHFERSLPSPGKECWSHTWPCSPLPYLQHIIISLPPAVTFKSTSARLSGG LVPDDRFLRSLCSRATSLHIHSRAWDFAWTLYDVISVLPHLRRLCIKLRLWDVRGLWK AFQRLAKLVPGTKLEKVCIYLWDEPGMLELFSQSPNSSPTAVPTTSHLRTSMIWAGGG MRTLLEKLAELESVKWVEVFNYDEVRGVYEREELVESGFWEDRQMIWGLGFSQDTGWF LFLVDMLEIHCLPTGGKAISFLPGIDYYNTLWDGNWILDEERYFEEAVRAQRGTVNIL GNGEDGELAKRLNQTRL L198_00055 MASSIDPELELFGLVTKSQDPSPNFSPFVWITKVDLAILGVSYK TNNKSFYEIRNDLAELVGDPEVSVPTLKVGDTYLQDSFNIALWLDEKYGKNNTLFGPS QNTKAHTKFLEQWVLWTLAVPIQALNRSMMYFRLDPDSAAYYLRSRYNNDIPTLERRV KEVSEPSYIASQWALLRSHLKPLNILLGEHEAKGKRLFFDGEGPTHADAAVFGWYAFT RENEGWREGWESEEIGYVKKWLGNMIELVGGEKELPGR L198_00056 MSIKASTRVGKTPDILAHIGAASDLSPRDLFSCLLTCSTFFHTF APALYHTLPISHACNPLKGASKLLKDAAKKISGPPYGKDNLLRLVKKVYLEYTCFAPG HTQDYWDVDCPCYPLPNLHSIIVQVFPKDPLFSQSSPPSMAFVQRLCAKATKLFMGPH AYSTSTLGDATVFIPTLLNLRSVCLSIPFAEAASAVELLQNYMPWQSAFAFTELHLYL WDDITPLDDPLLTNDFEGPFGPRHLDAMKERTLNVVRPVSTWEEDITEVMELCGFWSW TKSVSIYNIQSILSRHGVSTGKKHLFADHEAKYISRPIHLGQSRRKSADGSDAVSLPS QTMPITFHEALEMYDKGFWSGVWNEGEGWYYGQVVRPSEEIQKLREEVVQEIGVEPVA LLALPEADLIRFLHLWQNPPKARYDLHPPNEAGETMVSDLHLASGDPFDHEFSSDEEA SEDEMFLLPWEEGVDWRAQAQKIWREGMDVDYDGYEMEDVDGRYFEELKACKERIAVE EPSMANYLFDSRQPYQ L198_00057 MLPTLLRATISPGRLILRTMSTSAEPLVIPSRSATNNVAILTLN RPKALNALNTPLFNELNTELTKAENDKSVRAIVITGGDKVFAAGADIKEMKDKEFADA YTSNFLGSWADVATARKPIVGAVAGYALGGGCELAMICDILLASPTAIFGQPEITLGI IPGMGGSQRLTSLIGKARAMDMVLTGRKIDAQTAERWGLVSRVTGEGESVTEEAVKVA EVLGRFGKVAVQAGKEAVNGALELPLDQGLRLERRLFQQLFATKDQKEGMAAFAEKRK PVWEDK L198_00058 MPRFYENKYPEVDQLVMVQVQSIEDMGAYVKLLEYDNIEGMILL SELSRRRIRSVQKLIRVGRNEVVVVMRVDPDKGYIDLSKRRVSAEEVVKCEEQYEKGK AVDSIVTQVAKKGGVTPESLYEKIAWPLHRQYGHAYEAFKLSISEPDVVFGSLGLDDE TLTDLRAGIARRLTPKPVKVRADIEVKCFSYAGIDAIKRALTAGEAVSTAEVPIKVRL VAPPLYVMSTTSTDKNGAIELMEKAVEVISESIKADKGDITIKMKPKVVSETDDLELK KLMEQFEAANIDQAGDDDSDSDEE L198_00059 MSRPAEYALSDSPFRGQKRRQSASTSTSIDEPSPKLEPLSPDAP PLSTTPTLPPAAIPPKATSSSSTGKSLWEVAHIILDRDLYALDPIKYLQISPHHYRLG VRRLYQHVTITPGLVDLIYECSERPAGSRFLDNFRYTETIVVDDFWLLSALRNCCIIS LEKAEEIIHRANPTDSEMHSGKVWKLPLFPNARSMTMPRSSFHRAGEQLLNLPAPFFH GYTSKLCGLHIDFTLGPLFSPRKMTEFIEYADESYSEDCIPVIYLAEINLLLGGNLKT FTSVLGSRRVPTWDAPIPQGKEPANALHLDVYLRRHGPGPPANTITIRTIYNDPPGTV RVPNYWKSIEQTILSWSSIVTSAPHVKHVNEIHIYQAAKAKEEVLKLQGGVAEGLLEA KPFEFVEVDYEAFPPEDRDAEGGGLVWEEASWLTQSAMTRRYSWPIAHPAEDVWGAQ L198_00060 MKQRPLWKPVTFGKASLPVTSASTACAVPPTRSDKSTSAGKKSL WEVAHIVLDRDLFDIAPVKYLQISRYHYERGASRIYRHITITPKTIELLHESSDRPAS NFLSNFRFTETIAVNDFSLLSSLHATIESLQKAEGVIRKANKAWWRKRNSSSHQKAWT LPLFPKAHSLKMPQSSFLQAGDALSEYSFGPSLDSRPRRDLTLGYSLGKLFSDRMTDF LLDGDERYSLECIPAFFLAEINFLLGGNLCTYTSLINRNTPFPVNTPFHLRDASTSAM ILDTSVSQNVGSFALPANTIVIRVIYNDPPETVRCHCYWESVQKGILSWTTDATSPRV RYIYEVHIYEAEKARDAIIRLQGGVTQALLDTQLVRFIEVDYDAFGMASTNQRKT L198_00061 MRQALFLSAILPILARGVLANTCKARGASSTAAANAADATSVDG DASSSAADIGDGLFASGDASTSGNAAASVTSAVASVVTSTGQTAASGGASGDAGDTDI AAAATSATLALSLAASDAANATSTASTAATSGSASASSSGTSTADDPMGTQVGTDLVL GEASEDCKCGYKVSSLNDQYFPYQFSFAFSSVDDGSADSLADQKWVLNDGDFVGGAAN GNRCWGKKDNLYIDGGNLVLKVPKDQTASPNMECAEIAFEETNITGGIFQTTAMLSGV DGTCQAFWLNHSIATQYADEVDIEVISSTIDTDGIWYSNWPPNGDPNTPDDLSSAHTN VVVPDIDSSDPRKTYNNYTIAWLDDSTNRYYNGAKQDSPTDNQPEHSMMFVINNWSNG GSGWTLGPPTGEDSLLKVKSVLLYYKTADKTQMSDLGGDCQESDVCTV L198_00063 MIGSSTQWRPLALLVLGLAILLTSGVDARSPHPPPLRRITTTSA HLSILPRKPPPPSKKNGYLSPRFSTPLPPPPPTLKHSDSLILSLDLPDLLPFEVKMLV HPSEYLFHPEAKVSYGQSSFGSERLRQEDWRMYTGSVIAPYYVDRLTALHSISANLPE SEKQNILGRASVMVHHSGDLSGHGAVWEGSFEVLGQMYNVMTRDKYDRIKTTEDVAVE GMGEMVVFRQSDMRHDNATSSSCSHDNLHFNDPHHNPLFRPSSPLTPPSLFAPLLDSL WKRDDTAGGMDVSTNYIDSINSTSGCPNTQQIVYMGVALDCTYIASYGSTDAARTQVL NDWNQVSALYKQTFNISIGIIELQVQNLTCPTTAVNGEEWNVGCSNDVTLDERLSRFS AWRGNKGDDGAGLWHLMSACPTDSEVGVAWLGTLCQTDSSTQSGQTVSGTGISTATTT EWSLISHEIGHGFGAIHDCTSGCSLSGSCCPLSRSTCDANSQFIMNPTTSSSETTFSG CTLGNICTNIGNRQVSTSCIQNPGVRSVISLQQCGNGIVEDGEDCDPGGNTTSACCDS STCRFISNARCDPSNSACCTSSCQYAAANTTCRAAVDPTCDFAETCPGDSADCPEDTT ADDGTSCGSNGLACAGGVCTSLNQQCASAGGNMGLTTACRQRDDTSCVVSCQDPNSTN QCVVLQTPLIDGSSCGYAGHCYNSTCEEGSWQDTAAAWYRQNLQISIPVTIVAGLIFL ALVVAICRCIARGCTGNRGAAGKKYKGQQVPTMAQYPPPPAPAGRMNGAGVGAGYGQQ QW L198_00064 MSFDPFETRIRFLQILKSLNASQQSIHKGTAFAIKYGSRCGEDL WECIIEQTGKGTLNTRINVFYFLDSLLAESIALGPSETPYQELVTKNLQQLIDNVVPD TTSGILNLKSTKQILESWRLRRVIEPGVVEGVLEVMEGRKYEAVPNNKRNHEHAFSRN EIVRRMEEDRERHKRLRERIWILPIPSLVPPRASIPQTSPATPASPHPSSSVMPPPPI PPAGDGENGDGRPGGGGGAGVESAMDVEFEQVWDRAGELDEDDLETMRE L198_00065 MTLEVDSDLKDAFKLLYDAQDDDWASSDDEPESPAEDAQLEHSP ETLYSARITRKRLMSTLDSLFSSGPETKKQRIYNPPSPAIPSFIPSTQAIPDLPSSST YAPFSPLALLSRLHTFQPFSYSPAHPAALSPVKAALHGWVNEGREGLKCEECKVKWGL GGLGDIKEEGIRGEVVCRLKKGFESRHGSSCPWRINRSPDDLYDKLRHLTHPPITSSL SPLAYRLSSECPALTTIRTSSPIPDSQTKHLISLLSAHSGKEHDVEESAAVMALFGWY PYHPNEPSIRVVLENEVKETELVACRLCHRHIGLWHFKSPSPSSSSTPTPPPSSSIPS KSLDVLDDHLTWCPIRHQPWEASPWWEKTALLGGGDEGSKARMKEGDVKGLLRVSAKL EKKKWRKL L198_00066 MSDDATADFLAREKAALGEDADFFSTDATTSAPTPSGLDAFPDL SSPAAEQPPAKAASPQPQGISAFPDLDSPGFDGTQVRVTGTAGLGEDPDREQFESAFP DLSGETGGEAAPKPVFNALSPQPYGASPYPATAAPAPRSANSILPAPEFNNILPTADE DSEPIKAWKAKQAEEIALRDEKDKKKKAEVKNAAERAIDAFYADYNKQKERNIRENKE QEAAFLEKLQESVAKGTSWERVSELICLENSQSKTIRPSVPGGSDLARMKEILLALRR EGDKAPGASGF L198_00067 MGFLDFLPCCGPRKDKIKDSEAVDTTTLLPPTRPESIVSADSLA NYGAAEQQGLTDEQMSRIAAIGRQVGNHMLPVSTSPQNRTSSPIRRLSTSSIGSSRPP SPSPERPDTNPLNGKIQSSASGETLKPEGDEVVRKNLFAGGNPGGGGGKGKKGKKNKG KGKGKGKK L198_00068 MSLSQSETETLLPSEVFSHHLDSADQDRPVKVDDFKRNVKICKA VLGLVLPVTLALEIWNLVLSSGELAGLETRDRAIKAAIVGQVWIDGLGTAAITCLAFL YVAALFRTITIRTLSLTPEIQHASLHRALCQLGFSSTLFLIGLHAVPGIAYTIITHLS PPTLSAAHGTLLRHLRTAALVATLLAVGLMRRGPKLYFPPPRLGTGFGLSNEKEEKED EAGEDERVVIKLTPAAEEADPLASAVTIGQQQHAIPSEPASNVFDYDNSAMLVFVVIG YMAPLAVRSAYVDSLQQSDLPMLEDRTRNSGIDDSVFSADRKDEAFIPENKIGSWQLV KLLWAGKGWTVFISFALETTRNLISFIQIAAMHEIIDSFNQPAGSDKSYAYLMCWALF FGQVINVLLSAYCCVRENYMLHVPVRMSLSSMAILRTTDAKALEAHNVDVDDKTQDNR GRSQVMNLLSIDANTVASLATRLWDFANGMTTLVIGTGMLYKLFGVSAFVGIVCVPLS TPLSWLVSKLIYKCDKEWMRARDARTGALKEFLLGIKVIKLNAWEPYFGARVAKLRAH EVKWQRWRFTLGTAFNVLADQLPVLSLLITFWFHTKVMGNSLDAATAFVALSIFGRVK DGLQAFPQVLQNILTSRVCLGRLSRFLSQPEIDADRWQNASRRISANGATITWPAADG IKVEESGRFKLRDVDLRIPEGKLSVLCGPRGAGKTLLLRAFLGEAKVENGTVFAPRSL PDATPVLSEEESTCIQWTTEMWLNDSVAYAPQQSFIRHGTIRDNILFGQPMWEERYRE AMRQAALMADLDMFGDGDLTEVGENGVTLSGGQKARVNLARCLYSRASTIYLDDILSA VDAHTAQYIFAECLQGGLLENRTTILVTHHVRLVLPAAAYIISLTKDGKVDQACSTSD VKLGELELEDPPTSPSNDEDLNTRSNPQRKLSVKQSDKQAQSQDVFKIPRASRQLYRE EHREVGRVSRNHYFLIFRAAGGKGYWTSLFLIFGGLRAITICRSFWLEHWSADPSPEH LNYNLAIYTIIVFFSISVGAFRWIWLYGVKNVGFYSRGSRLIHDVVMERLFSAPLQFF ETTPQGRILNVFGQDIWRLDSNSADAFGRDSRKSMRPREILSLIIVCQAVALITLIFG IPLYWVSGHVNKLRSDIRRLTATASSPLYSLYNEAIDGVVMVRAFGQNKLLMATMKTL NNRERVAWVANWWVSAMVRSVTSVVITATGLLLVGQNISASQAGLILSFASLVSSGLF NLMEQYSQLEQTFVSAERVNYYINMPDHETEEGAMPPKEWPTSGKIEVQDLSVRYASD LPTVLNNVSFSIEPGHRVGLVGATGSGKSTLALALFRAVGEQEGRILIDGVDTSTVLL PELRKRLNMVAQDGILCSGSLRESLDVTGQRTDSEIYEALRKVHLISGDMTEAETQEN PFTDLDTYVAIEGANFSQGQRQLLCLARALLKDSKILVMDEATSSVDFATDAKITATI KECFSGITMLVIAHRLATVMSYDRILVLDKGQIVEEGKPEDLIRDHTSRFYGLCMAQG IEEYNSLLAMVSGEELN L198_00069 MADSPRSSSSKRKTPPVDGTATDEDSFTKVPTRQEKRKQKKIDK RKPEFQFNVSDMRYGKKVTLAHVRDMVLYILAEAQKPQWLQIDHKASISHVVLLLIPG LLPEHLGLDPEVTHSSMPFPITSPSAPLDQVSLIPALSTLFTYGCPTRAPGDKTRMHS PINQLLMSPMSDAVKKQKEDESKVRNIPMQYLVTPNQMIDNDYQLPAYIKPSDVPGGW VETPEAKGPPADGKYPILAVDCEMVVSADGDELARVSVIDFETGDSIFDELVQPKAEI TDYRTQWSGITPERLLSATHDLPAIQNLLLHGPSPIITPHTILLGHSLDCDLSALRIR HPLCIDTALIYKHPRGQPFKPGLKWLTQKWLGKDIQAGTEGHDSAEDARACVDLFKMK LIKGPSFGNSVNNMESIVERLGRSKTESGRARTSCYCDYGDPRWLYGAKATTAVQAHN DDDVVKSIVDNVEQHDFLFGRMLELSQVQGWNESDPTPDNSPETLNAALSSLSTRLST LHASLPSNTALVIVTGNSNPIPMLDLQKKRQNWERVTKMKGSTGGMEGEERWMAEDER DLEGKVEDAKEGMAFLRIKS L198_00070 MSTISTESSASIVLHEKNVYSDFMTASDQGLPVSTNVATKVPAT HFGKRTTIQPDIAQYYRGPGQVPQGLILTCVTHGSWFRGTHFSDSQVYIYVCRNAASR IPASSASVRFFAAMLDRGYGKVSYESPERVDRRLAEDIAFLKEENAKLEGFVQVKFTS VLEFWIAKRLAKRAGGAWLKGEHGGQRWTPNHKTYEDTSGRIRVSASTDFDHRYMRM L198_00071 MSSASKVAHTKDILHIIFSHLSDNKDLPSLLRTSPLFFGLIAQK LYRSLPISNVLNPLLGVDAGGRGGPYGKTKLLELVQTVIVERAPLPVGHSVWANWYKR IPPLPAVETVIIHPADKTARQQGGADKTLPNDALIERLCRDASRLHLSTPFYTARAKG FQSMPSLPCVKTLVVMAHAGEFTEVKYVVGKLTYGPWNHGRKEYPPCLNIKAVHILLW GDLVNPGAGFLWLTGSTNELLGNKILHDLCEIVENFGTRFSINELWLYNADTILERLS EWTKSRKVDDIKAKVEIKFAEEMKTSAEVWGMPEEAAKAQVFWRTGHEFLEAFCDMPA L198_00072 MGLYIYLSEQQLCAQLAGSLGPDHPSLLGRLRASSYGSQGPQGC CTRFLIIASLTILSLSRDRLLRLSQDHDSVLEYLQNLPQDSLMLPENFMKACEGVRYE EKEYRRTRAAVEKELMR L198_00073 MRFAATFSLLAAVAAVTVNAAPAAESAPLSLSSIPGSPSGAPFG AASGVPSGGNFSAPSGNFTGGGGPIDNSTAPSGGDFGASPSGGNFTAPSGGNFTAPSG GNFTGPPGGGNSTDFPAPPQNGTAPSGGPSGAPSGTPTEGSSLLLASADKEASATAAS SAVSSGSAGVVDVDESSPVSSSASASITA L198_00074 MVADTIHVGTHAAGHQSVHIFGQELILDISIPALILSTVGAAFL FKYAFSIFRLFLELAILPGKSISSFRSRSGETWAVVTGCTSGIGLEFAKQLAGKKYNL ILVGRRQSALTELGKEIGNTKYSVLTKSVVVDVSTPGAARDGALNQLELLAKNLDIGV LINNVGASHTMPVSFAETERAEIDRIIETNVTWTYLITRTLLPSMITRSSPTAASKSL ILTIGSLSGRIPSPLLASYSGTKAALSTWTKALAEEVQPQGVVVELVQAAFVVSNMSK IRRSSALVPTARDFVKSALGSIGRPGGAQGRPHERTPYWTHALLDYAAGFAGYVSEIV AIKVILSMHKDIRKRALRKAARENKKE L198_00075 MAPELRVLVSAPDSPGYPPTTPIPVNSPDPSPLSTPGFEGHIWV FVKDYSGPHLSGDGAEYFAEAGREGMTYGIVVKGRFREEVGGDEVVLGNVFEKPIRDS LPWGTSVATKFMYFIDPTLELDIYADKPWALSPALATMNYLSLQESSDIPKDLRIKED APEYLKAKTGGQSFSFPPSPSPTVKGSEKAKITARRKWLGSKTNRENVKLNKDVVVGM EFANGLLDFNTLSATLPPPFKASFPLLKYWDGQPVTYSCQRRAKDGESPVGQEVFWSV AFEIVDENAKQELEKKHGGKGGEKEKKDEKESVDKSAGDSQADDVD L198_00076 MEKYSKWRDPATGIQPFLQPIPPRGAFGIFAALLYPSCLIHSIV RCLLLAVIGVFHVIVVDWLCLLFVPIPPLYRLLTGALTALTCRLALGLMGYWWITTEL VSPRRGKAGVAQISSRSPQKGDLIIANWTSYVEIIFLAFRHNPTFLLPVFANDIEMTE PKAKYGRHTGTGSANITSTPSLPQRSLLGYQPHTLLSIISLTGLPPAQYDTPPKGLYK TLKEARRKERRPVVFFPEGTTGNGRAVLKFGKGVLGEGDVGGDDEGIVWVKFFRHSAP TPFSPSATCPIPTPLKHLVLSTLFTPSLFPHRSLHIRTLHPAASPSSPSFLPGEILAN QPGGLEGQLGKDGAGGVWREAVNVVLAETGKVRRVRGMGWVEKKAFLEYWAGNRK L198_00077 MFTTQKRRKTNRKNVYSHRTREFVHGYIDEKQPTLALEVFNSFT QTHYVPPPQDVQFVLYLITSPSEFPSVPPSLPFHALDRLLHTHNPLDFATSIPSSSSA ILPANTKTEFRDALPAYLRWDYDRGGMDKAIWKRMRRCRDEGLWELMYEEPEEGRGRG RGRGQTSPLTTPSSLRDGEDDEESPATHRRLSMPGWRLLQWFVAVCEKDTALHVPRGV SYSPVFLRQIKKPYDSTGQMQRSEADHIMGILHEAFEIEDEETETETDFESLPEEEED DDDGVEKSEKELEKMEVEAAMRAKRARERYERYREMILSGLHKQELAIRLLSLLVATA TNLSAIPTPEPTNPSRDSSPTPSDSAERSAPLTPPTSSPSRSLSLTPAPPPPSKAPFH TDTLSFHIIHLSSSLSSPRAREKMLQGWQGAEGVEWGPKSHLCALWVEHWAGVGKVKA DERRRGKGKKNVGKAVEWKKGWCGMSAPTAGYLLELLGMSASGKDLATQQILLQSKLA LVSVLNENKTVYLENEDRVVISRQWQKVKGKVGKSLEIGGKGIDATAVKSLHRVGQFV IHAVDSLFM L198_00078 MGFTLLIDNYDSFTWNIYADIAAIGGNPYVVRNDKITLQEIQKL YETDQIARIVISPGPGHPRTDSGVSRDVIQWGMGKLPIMGIGYAGEIMHGKSSLVTHD SIGCFHDLPQDLSSIRYHSLAASISTIPQCLQVTSTSKESGVIMGVRHRVYTVEAVQY HPESCLSEGGKGLMANFLKLKGGKWGGENAWCGVVPEGEASTEPAEPKKVTSSNGTGA PSLPTILNKIHSQRLQDIDQSRTILSTTPDNVSKSIALHTAPPLISFYDRVKNTPHTA IMAEIKRASPSKGDIAPDASAPEQGLKYALAGASVISVLTEPKWFKGSLIDMLAVRNA VSTLPNRPAILRKDFVLSTYMIDEARLYGADTVLLIVAMLDPDLLSTLYNYSVSLGME PLVEVNNPKELELALQIGSKVIGVNNRNLHDFNVDMSTTTRVNAALKGRGDVILCALS GISQPKDVETYVKEGVKAVLVGESLMRAKDTGAFLRSLIGLPEPAQKQVQEQPLVKIC GIRSAKEAQLAIDAGADLLGIILVPGTKRCISLDTAREIAQVVRTARASRPFPSSPAI PSSPEPWFRHNLTTLSSRPKPLLVGVFQNQPLASVIHQTTAIELDLVQLHGDEPQQWA QFIPVPVIKVFRVDDEGKVRGGEVARPGQNSFILLDKGTAQGGGGEGLSFPWKVAKSL ISAGEANSLAHPLPVILAGGLTPENVGTAIEETGDGVWCVDVSSGVEGEGGKDEEKVR AFVKAVKG L198_00079 MAYHKFSTASKYKRVVTGNNCPLDRQLRGIKASRHEFRVTHARE VAANEAARKASIARKVQHHRAEAQASQWEDVPMAPMDDYDMGPGDHSFDFVDERLKWL NAPSSGSVRKGAEVSIEAASTSQNPPTALPTSSTPASGASAAPSVEEGRPTVIPQVGE KRRRDDVDIAEIGVDNGGEKDVGGDTVGNTHKTSKHSPIPPDLDRTPQPSSSPSLTND TPPVSEHEQKHRKKSERGADVDPLHPLSEPVQAVKAL L198_00080 MGGCMSTPEAPKKAQESKHTSSSTSSRSKPPAQTTSSNSGLSPT QPAHPAEAGTPNSAAGFVGNGAAGGAGGGAAGGSQGLAAALAETEPVAPDARGNRDRS NQIDRQLEDDQRKFRKECKILLLGSGESGKSTIVKQMKIIHQNGYSKDELLTFRGIVH KNVLDSAQALIMAMRKIGVDPEDANNRSYADRILEYRIDADPSSTIPAEILFNIESLW HDPVIPAVMDRSSEFYLMDSATYFFANIRKIAGPDYVPDEADVLRARTKTTGISETRF NMGQLSIHMFDVGGQRSERKKWIHCFEAVTSIIFCVALSEYDQVLLEESGQNRMQESL VLFESVINSRWFLRTSVILFLNKIDLFKQKLPKVPLVNYFPEYTGGADINKAAKYILW RFTQTNRARLSVYPHLTQATDTSNIRLVFAAVKETILQNALRDSGIL L198_00081 MTIRRLLTSLASHPPSPPSTSAPAVSRLIPPYHHTHNPATPPTL RPPYSYSASHPQALPSGQNGKGRTLKARVNYEVVKQQQQEVGVDGWTAWAEGVLTDET KRAEVERLWAETLVGLENVKVSGNQIPQITFHSLASHLSSPSTIDAIRQTGSVVIRDV VPDAQAINWAKEVLQGIEDVDGRSIYWHPALLSARADPSIISATAQISRSLLPTEEIY LKADTVREGLSPLPTRLAPASNGNPWGADRALGASLALTPTLGAAAAGGMGLEMRVPP TVHAATYTLLRPLFRPHKSKISFYNANDYLKADNWFLSSPSSTSISSLPGHDLPHLKG TEVSLPPLFPGDLILHHTALPFFPSSPLAQAQASGQIFLPLNPVEKLAKGSTEWVTKQ REAFEHGLPPPDASASFVVDGEGLSVKEAMGEKTDIPSRAGREVMGY L198_00082 MSSSQSETATLLPSDEVFSRHLDWTDQDRPVRNEEFLKNVKYHK VALGLSLPVTLGLEIWHLILSLKELTGLKTVNQAAKAAIIGQAGIDGIGTAAIACLTL LYVVTLFRNITIPRTLSLTPKMEHATLHRALCQLGSLSTLLLIGLHAMPSIAYTIITH LSPPSLSAAHATSIRHLRTVALVATLFAVGLMRRGPKLYSPPPRLGTGFGLNNEKEDN VRGEESPRVVIKLTPAAEEADPLLSAVTIDQQEQALPSEPSSNVFDYDNSAMLVFAVI GYMAPLAIRSAYVDSLQQSDLPLLDDRTRNSGIDSSIFSADNIRKSSIPASKVGSWQL VKTLWAGRGLTVMVSFILETTRNIISFVQIAAMHEIILSFNEPSGSDKSYAYLMCWGL FFGQTVNGESGVDEPWTRLTITAVLLSAYCSVRENYMLHTPVRMSISTMFLAKILRTT DAKALEAHNVVADNQKKDNRGRGQAMNLLTIDAKTVASLATHSWALTNGMTTLIIGTA MLYKMLGVSAFVGIACVPLSAPFSWPVARWIYTCDKEWMRSRDARTGALKEFLLGIKV IKLNAWEPYFAARIAKFRADEVKWQRWMFTLTTVMKVLADQLPLCISLITFWFYTKIM GNPLNAATAFVSLSVFGRVKDGLQSFPQVIQNILTCRVCLERLSRYLSQPEIDTDKWE HTSRRISADSATITWPAAEDIKVKESGRFKLRDVDLNVPEGKLTVLCGPLGAGKTLLL RAFLGEAKVENGTVFAPRSLPDATPVLSEDETSCIQWTTEMWLNDSVAYAPQQSFIRH GTIRDNILFGQPMWEERYREALRQAALSADLEMFDDGDLTEVGENGVTLSGGQKARVN LARCLYSRASTVYLDDILSAVDAHTAQNIFAECLQGGLLETRTTVLVTHHVRLVLPAA SFIIALTKDGKVEQACPTSDAKLGALALENEPPLSELGVAREGPRDLPGGRPLVSQIE KKDRARDVSSAIPRASRQVYAEEHREIGRVSRNHYLLIFQAAGGKLYWALLLLIFGGQ RALTLSKNFWLAHWSSDPDPEHLDYNLGVFAIIIVLVLSVGALRWVWLYGVRNVGFYS RGSRLIHDVVMTRLFTAPLQFFETTPQGRILNVLGQDIRRLDCNAADDFGRLGIATAA GVVFYKAPAVTFVALLFGLPLFWISGHINKMRSDIRRLTATASSPLFSLYNEAIDGVV MTRAFGQSQLLKVTMQILNNRERAAQLAAWVAYQWVTAVVKSLSSIVITATGFLLVGQ NISPSQAGLILGFALRVSSGLFSLMERYSLLEQTFVSAERVNYYINMPDHESEEGILP PNGWPASGKVEVQDLSVRYAPDLPKVLNKVSFTIEPGHRVGLVGATGSGKSALALALM RAIGDQEGRMLIDGIDTNTVMLPELRRRLNIISQDGTLCSGSLRESLDVTGLRTDSDI YEALCKVHLISRDMSEEDRRENPFADLNTYVAIEGGNFSQGQRQLLCLARALLKDSKI LIMDEATSSVDFATDAKITATIKEYFAGTTMLVIAHRLATIMDFDRILVLDRGEVIEE GKPGHLIRNHTTEFHALCMAQGNEEYCSLLAMVDGTEFK L198_00083 MAPTLFTVTFFSLALTSLAEAYNVLHTVENATGTFYYDYGYEST GDRCSGRGSGAGTLSAVPHCENNGPSYSDLGTNRIVAMNRSMVNGDLSAWCGKEVKVF LSNGSEVEYKEPLVLWDVCEAAETAPIIDFSVDFYLNLMENGDCMSNNGNNPAGLKIQ IVDNQIWAPAPGSDSYSPTAASTLYSGGGYNGINPKNTEIPAWGDGKGVDKAGQIPLT VATAAATTIKTTSAGAVSNEQVASSGAAQSSTSVLGAVQTSTTLDGTCTKGQQKCENN QLFICNYISNSAGGLSEFWRWIWKGDG L198_00084 MVRTAATSSATSKPKPDWTWLTSTSRLEDITPEQRRQAAGLVGG VPCAVDLTPRLASDLEREDKANGGGNGGRGKDVKGQGKGDGSEKGNGNGNGNGKAQGR GKGKGKGQAGCRARGCKTNPVCYNHLGIEPLLEHDAKQSWITDHLGDSASALSEQRDP DEPAGLRNLGATCYANAFLQLWFHDVPFRNAVYACATSENTPLFQLALIFGMLEHSEK KVVDPMGLIDALRLNKGDQQDAAEFSKLFMSLIASEFGKNPDPKLKNLVSDQYEGKMR YVTKCECGYESVTETTFLELELSLKDNTTLQNRLDTFLLPELLDGDNKYNCPSCLSAR PATRRQFPSHLPPVIHFSLMRFVFDMASLSRKKSKAGIRYPKEIVLGNSVYQLRGVIT HMGPSAHHGHFICETYDEPSDKWYIYNDETVSPKPPRPTKRLKISSSSSSPPPPGEES SKDAYMLVYRRRDGPIRAEGVPGVVRRKVEEVNGRWREEINGVVVRREVLVDEWDHFK GGKMDTDFIVPREALAGWFQAKTFDELYAPFDFGPVMCDHGQIDPSTSSELRLISALA HDKLSHYSNLPSLDICPDCIGVEFAKRLKDAERQAAVEGYDELMSDLTGDDEGEMWCI PQTWVLHWRAGKLPPGATPASEEYTLFCQHGKPSPDIPATSNITASALAHLHSIFGDF AAFHPSDPICEECSQSGQADAAMMAQWKVDVKFDRAIKKHLDPKPPAYGLDYFVLPTR WVGEWEEYMKTPRERPELDMERDGYCEHGMLDWDPETTRCRIVDENGWNLICQKYGDQ RKPVKIQFGPEIAQGKKYGIQSVSASVCEPCRSARLSTYESTTIPIIFTPASTNGHAN GQSNDNDKAANGNGKTARTTGRSLRARNKTLEIPATPRTTIKEIKVEIMNQTGIFPML QKLFYHDRELDEGEKTVRGVGYLEGEEMVLEEVDGEGEWDDDGVKSEGVEEGFGGTAL LARINCPDCTYENDGTATCCDMCGRVSLSSFVR L198_00085 MPKYTLYQLVGDAEKPDGRALSPHVWKSKLDLAFFEQEVKKVGK TFPQIRGELAESTKNPAVTVPTIVDEDDTIITDSWKVAEYLEAKHGTPEKSLFGGKAG KEFAKFIEIWSNTTLANELRPLIAPAIYEHFDAPSRAYFLKSKWQNDPSLFSAHQTKF SSPSVLDAQLASARNRLTVIEALLGYKKEKDEPLWLTGKPSHADFALFGWFAVSRVNG SVEKGVWRHEENPLVGEWLDLVLGSGLVDKSQLD L198_00086 MSLKAELTTWAAALKAYEADDMQSALLDFEKIADTSKVNWNMGI ILATIGRHEEAIDRFYEATSLDMYMTVGYHQAGVSNFMLGQYEAAQKDFEDALLYLRG NQTIDYTQLGLAFRLHSCEVLFNCGLSKIYLGQFDSGIADLREAAGCKQTAEHGVIDD AIRDQGRDYNVFSVPVGVLFRPSANKLKNLETRDYMGKAILVAASDASDAYTTFTGIT RLQRGQNPSGAPLEPGTALGRSASVSQAAPMATPVQARMARSNTVAAPRQTEPLTMGG MGGGGPKPGLGRSATQIRPTVKIPNESAPTIPLPAPLRQTSFDSSSSSSPQSVPEPLL QTPQQERPSNSLRVTELYDDYYKSPGAFDDDIPPDLPPIGGKKIEQWAKGTPFGASPA VPLSRKGSASVSSARAPPSAFQRGPSSRRMPSIAGTESSYRDDASEVSSFYDMVKIRV KVHYRNLKRGMSVSPEQTFDDFMSALEAKFLEMSGGGGVQVRFKDEDGDELSMQDEGD FEAAVDVARVLAKGRAEGKLEIWVE L198_00087 MHIAAPALIALLAIVPAQASIFTKKNARATFYYDTAETSKGHEA CGSTTGDPVPAGWADSSGINKGIPYCEYNRVKTLDEIGTNNIVAINAATLSGDPSKYC GREVQITKDDGSKFHYSGGKLYIWDGCQACQDANSAIIDLSAPAFVELKGETCLGNNP EGLTYEVLDNYVVHPSSVGF L198_00088 MSSIATIYAPSVMAVHKRVHKKVRFAPLNKLGQETFTPSTAKLL VGKGPIIQPTIGEDKVPQGFILTCVTHGSWFRGTHFSDSQSYIYICKNIASPSPASST IVRAITSILDKGYGSPSFESPRRVSKGLESDVAALKAKNDNYDGFVQVNFDDVWSFRL ARLAARHAEGEWKKMKSGRETMTPGQKTYFDVSGRKRCSASTVHQLFAI L198_00089 MFAAASILALLAFVAPAQASIFTQSDSEMTFYYDVSESSGSEAC GSSSSDPVVANWAVTSGINTGVPYCEQSRGYTLDQIGTNNIVAINAATLSGDPAKYCG REVQIYNADGSKFENSGGALYIWDGCAACETADSAILDLSAPTFVELKGGTCSGSNPT GLSYEILDNYVVDPSVGLGSSYSAEGSVDSSSSTDAASASSSSADPIVSVSASIGVSA SVGDGTPSSSSAAAPSVTSVRTTRYSSTLFAVSSSSADAAEESPSSAEALLANAITSA STASVSSSASSSAAQSVASASTSLTSGTDGGCTYGAWQCDGLTLQVCNYQTTTSLGWE TIETCGSVCEITDSGSVDCE L198_00090 MFTLFTFLSFVSILSSFAIRPVAGEVLFEMQNVVATVYYDVDWE TNGDVCAPRFGAGWAPSQIGTPGCEQNGILQADLGTNRIVAMNQTWMEGDKSAWCGKE VKIFKEDGSELVYDEPLVLWDTCAECADHVKIDLGVGPSLALDDTVCSLQANNPSGLK VQVTDNQIWAPAPDENSYSPTSASTLYTGGVYNFPSSGSLSAPWGATISGDDSNLPVV VKTAGGAQASAAASGAGASSGVTSSAAAAGTGSSALGSSSAAGVTSGAGDSSVAKGAT SKANATGAASSETGGASSATATSAAIAETTVAGGSSSLDLGGERFVAGSSSAESTAES ASSAGGAQTSASAASSIGAENLASASSGSTAPTAQGATSSAAVASATTTTCAGDDGED YIAGDHMCDGTTLKICANNESGSSNVDTVS L198_00091 MPVPIDSLPLPTTILPLQHALPRLPLPKTPSAQRRSTIFKSSTD GIWAPVTPLWAPWPIRLSPEEAIAMGYDPANPEGLGVDEILGRWDPVDSSPVESKANG LIMKSSRRRLEMEPIILAVSPRALQEVLPALDPGDILSITRSGTFPDSPNAARDSFID ILSTRKVLSSDTYGPWSTRYAGHQFGAWAGQLGDGRAVSVLETESEQGGRQEIQLKGA GRTPFSRTDDGLAALKGGLKEFLGSEATAALGIPTTRALALHTHPLSTLPVFRSSSLS PASILARVSPSFIRIGHFEALSPPEGGRGGRQVFLGLGTGSGGGREEWVDNQAEKEDE AEEGDPKERVIGNLEGLRDLALYMKALMGSSDSGLESWKGWIFKIIELNAKMVAKWQV YGYMNGVINTDNVTLLGLTIDYGPFAYMEVFDEGHICNEDDTSGLYAYRHQPSRILFA LQKFATSIAPLVGYLHLNHGALPQGYTKGSSKEDVKEWATKGEEVMKGWNWEEEYWSV QKGQELDGWRARLGLKTVQESDQKSIRSLLSLLHDHRLDFHSSFRALAYFDPIKASSD SEEGDYLPKFARRLVQEAGRGKGSHEDKTEELEKWLAEYVTRAGTAEERAAWSSSSSD FLPTRLASLLSLNPSFVLRPSILSSLGEKVEVLLTAPYKDATSKDDLDNEKVERGIVE ARREIWNVLERAFDPFKDCNDDKS L198_00092 MGRLAEMQRKLLEQMMGPEAMGIQPLNLDWWNEKVCRNFLFGTC LHSLFGNTKMDLGPCPKVHSDRILKSFQEHAEAHPADPRVNGFRQEHENHLYQFVEDC DRRIRASQRKLEKTPEENRKTVDLMREIGEIELSIQGGTEEIEALGEAGKVEESMEKL AAVDALKGLKTEKEQELQHLNENAGASGHQKLRVCETCGAMLSVLDSDKRLADHFGGK LHLGYHELRKILAAFAEARMTGRPLPIGPPKSPKPDDPTPAPAAALPAAGNGTPGLSA AVPAGPKSAGLAPPSFPQGPSGSEPHTPHHSRVPPSDEMPVVGHGDKVKREAGELVED LKEERAGEERDRYRSGDRDRGDRDRGGRYDDRDRSRYDDRDRGHRDERYDRDRRNYE L198_00093 MPSWFSRSRGGESQDGTLDPSLQGVTTKNGTQPTGYLLSYVSNG SFLNADSVYTSIDAVLSPEATSNMTEDEIKQLSRTAIKLENLLSRKISYLVMTRYADL KNDSISREVEAASRERYEFADELLSRSEYSDWAHVSYNSKRGMRKAAKTTRTPSTLQP SVREEVFSEIDEEVGAGITREEIAREIVKKAKEARNQR L198_00094 MSPVISLLLLASLVNGAAVHPHNERRFLWGSWSFNTDSRQDSSS YWSNSNGWGNSDSTFSTTSPQAATYLAQAQSGVSGLHQGWSAAGCYDDSFNARTLASA STSSWWMTYSTCTTFCGNRGYTYSGVESSNCYCSNSINSASSIQPYYKCSGICGGNWF EDCPRTNYINVFTNSKTTTSSSTAKTSSTFSPTSTSIAKVSITSPSASGSTMKSSVPA LASSSSTAAESSIVPIVDTSVISAAQTIISSAVSSVASEASQVVSSVSAQLNTTSVAS QIQSVPSQVSSAIPAINTTSVVSQLQSVASSVVSSVEAVPTSVASSVISEVSSIASGA STQVIPAVANTTSSVASDIANATSAVSSIYSETTEAASSTVSSVASDISSAFSEESSA VSTIAEIASSVTSSAAAAASSAVSSLPTGWEVSACIAEGTSGRALPETSTSSSDMTQS MCASFCSDAGYTLAAIEYASECWCSDLLQNGASLTETSSACTMSCSGDSDEICGGPSA LTLIVNTSAIASLNDDLTAGIVILPTNWSVPSTSCIPEASSGRALTGSSYTSPDMTLA TCASYCTSEGYGIAGVEYSTECYCSNMLSNGVSLNDSSSSCTMTCGGDGTTFCGGSGA LSIAVSSTITSKLSSDLTTEITTLPTGWSAASSPCISEVTSGRALAHASTASDDMTIE TCLDFCNAAGWQYAGIEYGRECYCGDYLANGASLDLTATCNTACAGDDMSICGGGNAL SLYVNPSLALDLTVVLGYHLQGCVQEVTGRLLANSSYTSSNMTVDACVGFCSDEGLSL AGVEYGEECYCSSALTSSPLLSTECNMACAGDDSQNCGGADAIELYLAAGSVLSVL L198_00095 MYGQLRVAQRASGIKGPQRRLFATPPTPPPAGTTVVRPHVVSIP PPGAPGTTTLATPPPVRKTHRFRNFLIYTTLGTVAFYAGSGYAGTKSEGYRDLFTTYV PGGESVADYAEENGWENLTGKVVKGYEKVAGRPETRVERVERKVGEVPGQFKAAAADV KDKVTPAPPTASEKLADKAAELSKLASEKTKEAEEKLKVLAEEAKGKVQEATKDVPFN VSEGAEELVRKAENALGKVEHKAEEVKKEVTKPSSPFPDTQRPRELTPVGVTPQKPSY EGKKLYTGPPLPLGHEPPPGYYLAPPVNTVKQKIENKVEEVKEKLPLLVPKVKEFAAE EPIISQLASTIDSLTSSLSTPAKALSPDATGILSRAQDDLTALNSRLQEVKKLEKERL EKTFSEKKAEFEALLKNRDAEKDKSEEGLKQGWVKERQTLVEDWRKELEGELEHQRQG IETRLREEVVSQGIELQRRWLRSIKTQVETERGGRLAKLDSLSTSLKQLERITLDNSA TLDDNVRLHKLWSALRAVQGKVDKGDVSFDDELRALKTLGLNEGVVKTALAQLETSGI PQTGVKSFPALSSWFTNTVAPRIQSSSLVPAPEEASVLSHLASATLSKVLFRPTAGRV PGESVGATLARAEWCLGQKDLDGAAREVNSLTGWPAKLAGDWLVQARRKLEVQQALEV VATEATLDSLLLA L198_00096 MPRSTPQPSRSTRPSSRAASTNTPALPLLPYRSPTPRASKSGLQ PSALAERQTPSSVGSRPGLVSRWSDWGSESSRTAVGGKKSGERRFSGGLKKMFTRSEK KESTASPIASDFMLISPPKSAPTPTRSRPVSEYFPNFLKLSTYSTPSRPASARPPSTR PPTRQEDTVAGCTPLAKRHHRKGSDLSELIVVHRPSEDGNRPSRSSENGRPSTSTTRS PSPLISRTDSLIRGLQSLDKLPESRYPGPLHVGSYGVPTPERPKPKRGYAQKEVPRSA ETMPSLPPLLMSGDDVFASPISRPKQARPKKSSAPPSSRPKHERPSRQRSQSMPPDEP EPVVIPPIHRHKPSQGSLDRSEALDLIQRMTSTRQPRKAPEKSKKSTDKLKSGEDRVI VPKSLYGGIISESDGSLRPKAETPYTEKSSPLGEITPENSPSKRELQAYKRMGMSPAA ERSPVRSLLTVSNLQKHDSTESFADSLAYLTAPQSLSQPKPGHSAANLSTFHLSSSQV GSFSTGQFMNDEEEFLLDHHQNKGVEWDGQRKGSLGRQASVTDMYVGTLYGEWMSEDG TATSSSTATNGSGGGLRMISEGPLVGRKSSRKTKKAWE L198_00097 MSNSDGFITNSNGLGYVSGSAAKEQQQQQVSAAPVVSTEDTLEV RFEFGGGLHLLFSSKPQHVARLPRFIPDTTPAQPLNMRYVVKWMKENLLSEREEMFGE GDGVRPGILVLINDADWELEGELEYELRDKDEVVFISTLHGG L198_00098 MAHTSLLNSPIPSIPSRTSSRPFPFPVWSRMPHESKRCTLPNNR AALRPTCLSLLWRYNSMFLIPLILVTTPTTNPKHTPKSHHQRCRVEKDPGEDIAALCS EHAKYEGFVQIKFGSVLAFWSAKRAAERAGGVWLKSEDGSQRITPGVKTFVDTCGRTR RSASTDFCHLLNRKK L198_00099 MSSVIPLLLLASLVNGAAIRPDTERRDLFWSWGTSSGGYYTGQG NSGSTTSSSQAATYLAQAQSSVSGLDQGWSAAGCYDDSNSARTLASASTYSWWMDYST CTTFCGNKGYTYAGVESSNCYCSNTINRASTIQPYYKCSGTCSGNWFEDCPSNNYINV FTKGSTTTSSAKTSSAATSTAKTSTTSTAATSTAKTSTTSSAASTSTVKSSTSAASSS LSSAASSSSSAAKSSTSSTSVAQSTAAQSTSASSSSVSKTSTAAVIPTISVPAVNTTS VISAAQNVVSSAVVSVASEASQVVSSVSAQVNTTSVASQIQSVASQVSSAVPAINTTS VVSQVQSIASSVASSVEAVPTSVASSVISEVSSFASSASAQVVSAVANVTSSIASDIA NATSAVSSIYSEATEAASSAVSSIASDISSAYSSVLSEESSAVSSVVEEATSVISSAA AAASSAVSSLPTGWAVTACIGEGTSGRALPATSTSSSDMTQAKCVSYCSNAGYTLAAI EYASECWCSNVLQNGASLTKTSDACTMPCSGDSTSICGGPSALTLIANATAIASLNAD LTSGTVSLPTNWAVPSTSCIAEGTTGRALTGSSYSSADMTLAKCSSYCTSEGYGIAGV EYSSECYCGNQLNNGASLTLSSSACTMACSGDSTTMCGGSGALSIAVSSTITSKLSSD LSTAVVTLPTGWEAASTVCIAEGTSGRALAHESYASDDMTLSTCLSYCGNLGWQYAGI EYGRECYCGDYLANGASLDLTATCNVACGGDSTETCGGGNALSLYVNPTLALDLTTVL GYNLQGCVQEVTGRLLSNNSFASDSMTVDMCVGFCSDQGLALAGLEYGRECYCGSALT SAQVLSTQCDMKCAGDSTESCGGADAIKLYLAGDSVLSVL L198_00100 MSANQSHAVDSVNQSSVPYSVQQNAPRGLEESLPDSVHNTDPSK DERNVSHATGKSIVPEAIQKAAPEGLERALPENAWSTRTARRAGWNE L198_00101 MDGDALGFRCDHPGCTKAFTRKDHLMRHSANHSQTSFSCSKCSR EFKRFDLLQRHEKRNICGEEAASGSGRSRKKRRVSESDSEECAPSLPPPHRQPPPPPI DTMSSNAIASSTIVYPNNTVFAPDGGATIPNNQFDLNAPLPSAIGNPIAGNDFVIGEF MGDWGFSLWAPEQWEALLHESLAPTNEPMMDLPWNMPDMAHMPQQESSERGQDKMASA MVVSRLQRSYPEFDVPLSWVIEAIQTYWSRTAPTFPFIHRGTFDLATAPTELVIMMAI VGSVHMSPRRSFLSLVSKIRGMLVQGCGLDMPVTTLQTFCLCHVHDTWYGTAESQFVA QCMWPVMVAHSRKKGIGVVGKTDTEVHQEEAWAAWAKEEERRRAAYCVLLIDTQLSAF WNQHCSRQLSIFAHHLTLPSDRSQWQAPTASEWFRLRIRQPSTPPTPRRAANQQRSGY LPGLHPEFQVSTVTDGYSSAILASLALEPLAFKADLDNCLTVQMILIGLIAIAWDCRT RGGMGIRFKEGTKHWRSIVFRSVVALRAAYEQEVVRMGEAIESRDLRDSFAICIISIL SDIPMLCVAAGSTSFCGSTIGPRQYADAKRRLRLWAKTDDAWTCVWQCARYLRQSLLS EWGLYTPWAVFLTTLVCWAYSETLVREEPPLAVHQPLPHLTQPVNGSAYPPSTSTQIS PNTMHISRPHTLSHSPHNVTHPHPGGMNGHNGHNGHMPPPPPTPAQQNKEPIIVFLDK ILCLPGRMDVLEGGEMDRLMEYVASVLEEVNGGGTPIMGGMGIGAGGGQGTGRENGAL LRRLVRGGRK L198_00102 MSTSTPLSFYSLPDKGQLQKAFVGKPLSALRTPALIVDRKVFKE NCERVTGEANARGMAFRAHVKTHKTTEGTRMQVEAAGGVKAVIASTMVEVWQVVQSGL VEEGLVDDILYSMPIGADKLEDITSAQDAMVGKGVIRIMVDHAQQIQFLQAYNRRLAS EGKERGKWSVFVKVDGGGRRAGAPPESEQMKDLLAAILAAPEAISIFGFYSHFGQSYA SSSLAAGSSFFHAEIACTQTAAKLARSLGALGDWVISVGATPTAHAAVQEAVTDLKVD GKLELHAGCYCMNDLQQLSTSLPSPSHLALSVLSRVVSLYPAEDRREAMCDTGALAVS KDTGRQPGYGRVIWPKKAEGWDLGRVSQEHGTLLRREGGGGGEGELEIGDLIRILPQH ACLVCACFPWMYVVDDGGKEVVDVWVPWKGW L198_00103 MLPDILFISPTIISGAEDAIPYPADVLISADTGLITTIAPPFTL SCQLLFERSKARERGDKVQEVKEIEAEGLVLCPGFIDLHAHSDLYVLTHPDHIAKVSQ GCTTEVLGQDGISYSPISSPSQLTAIRSQIAAWNGNPNPADYPEVTGLFEWKSTGDYL DCLERNGVSVNVCTLVPQGNLRLLACGPWDKIATADEIEQQGQLLRKSMREGAVGMSS GLTYTPGMYASTSELSTLCTILAQEFPGSFYAPHHRSYGYKALESYGEMLGLAKDTGC AVHLTHATLNFPENTSKAPLLLSLIDSHRSLGCDITLDTYPYLPGCTTLSSLLPSWAS AGGPEETMRRLKDEVCREKIRRQVEIEGCDGGHGIPTDWGVIAIGSTSNPALSHLNGR LISEIARSSSLPPIAVFFDILLQDKLGTSCVMHIGNEENVRMIMGHETHCAGSDAILH GEGLHPRAYGTFPRFLGHYSRDLSLIPLPNMIAHMTSRPAKRLSLFPLRGLIAPGSFA DIVVFDPKTIKDKSTFDKPKERAEGVKWVVVGGKVVVGDGEVLKGVRGGKVLRRREGG KVW L198_00104 MDNTPRQLFQTPNNVYVLQQPWQFVKELGQGAYGCVSSARNSMT GETCAVKKVTNVFQKKILTKRCLRELRLLHHFRGHKNITCLYDMDIVFDPPGSGQFRE VYLYEELMEADLHAIIRSGQPLSDAHFQSFLYQTLCGLKYIHSANVLHRDLKPGNLLV NADCELKICDFGLARGFQPGAVQTDQGQAGFMTEYVATRWYRAPEIMLSFANYTSSID MWSVGCILAELLGGKPIFKGEDYVDQLNKILNLLGTPTEDTLRRVGSPRAQDYIRSLP IKPRVRFETLYPTASPLALDLLGKLLTFDPAKRFGCQEALEHPYLAVWHDPTDEPLCE VPFDFSFEEEDSVSGMRDFILNEVRSFRHLVRQTTPPPARREQHESHELPPAPVANQH TGAGVGPAFHETANEGADSEEHPGSALEKQLEQGKSRW L198_00105 MFHSKSPLTPNNTLYTPISRVPPTSATHRSFPQSPAAHSYPPTP RPLLENTVSRQPKEPKKKRKRLKWTKVRSILYHSSFWFCVLLNAALLVAAAWGMGAQA WRTGGQKNWNVLVVVLAYVITMLKTMPKPYIPTKPDDVPPKVANHIATEYSRTAVISH ISQATTGQQEGWGRPGTKWEGKHFRSWILGSVSVMREALGVKDDLSYSPLSYQPFYNA LKRIPSSSPQNPFESPSSSSTIPPQEDTGLRVLLNSWVKYIEQAKYAKREPGEREAGA VERLVEIVLLTMKIKEEKGNTSAQNKS L198_00106 MASASGYNHHRFARAVLKKSRKWEPSLTPMNFQYEGPMKPFLLA VRSQVIPASLIPFLYDIQPPISFVDGCLVVELQDFRRTPESRSRVVMRPAAETLPQTI DVMLERKGQAWDDQLALELESRIIAATSPPLYLGTSIMATRNASLALSITSPAHPNLS SEGSLRQPLSAESDQASSLDRMRKLVLAGSSQGSGAAPFQPDWLVLRSREQFEAMKMA RDRGFAPPGSQGPGSIGPRSSVGPGMGLDGKDDGGKRKGKKRPGEEDEAEVAKPKSKK KKKNPQAAVEVEDKKRPSTKKGGDKKKASKKKDA L198_00107 MSNSNFLDKAIALVQKAIDEDVKQNYAEAYKQYQDALDYFMMAM KYEKNDKLKELIRKKFTEYLDRAEKLKEHIAKSDEKRSKSKMSATGAGAGSSTGGSDV KGEDGDDPDIKKLRSGLQGAILSESPNVQWDDVAGLAQAKEALKEAVILPIKFPQLFT GKRTPWRGILLYGPPGTGKSYLAKAVATEAKSTFFSVSSSDLVSKWMGESERLVKQLF QMAREQKPAIIFIDEIDSLTGARGEGESEASRRIKTEFLVQMNGVGNEETGVLVLGAT NIPWQLDPAIKRRFEKRIYIPLPDVAARRRMFEINIGSTPHGLNPADFTHLAEQTDGY SGSDIAVIVRDALMQPVRKVLSATHFREVTEDTEAGPKTKLTPCSPGAPGAVEKTWTD VASDELLEPLLSINDFEKSIAVNRPTVTGADIEKHITFTNESGGEGA L198_00108 MRSIIDDEFAFRVEQEIQRCLAAWQIRGASIIIVQHDSHNILTF GQRDEHSPVTPSTRFALASNSKLITALLLVRALASNSPPLPVNTPIKAILPSFRLANP LAEAECTVEDILSHRTGLPGYDEMFEPGYVMGELVERLATLELSGGFRERHQYNSILF DLAAILVEKLTGQAFDVYAHEQLFQPLGLTSATFYHTPDQGEFAKSFWQRVDQNGEGD EGRWIEYGIERCQGAIGTGRLWMDSNDMAKWTQALPSIPEYAEAVIPRTIAGAAGGLE FPDQTVLYGLAHRASTHRGVLIHEHGGEIPGFLSKVARLPEYNAGFAILCNSDPGGKY LRALVKCRMIEYFASLPRKDWFAVLDAKRQGAFRSFSAVSIRAPFTSDELAVDVSSLV DTWSSPGFATWIIDHHQVVPSLPAEMGSLPFVASLYGSVELIFSPAPSSLLEDGSTNV GKKGERWNGCKAWTSYDTGEVFFGDPFHIEVVDNRMKVVGMTGVGEGLSEEDWPIWFS RSV L198_00109 MFINYRYDRKDDQTLLVRLDTPYFARGEANQRDLEFLIIASINA GVEAIWSPCRRNDKSTWVKATWFEKAGGSRTAESLDFKTEIAPFIEKELKAYKAPPWS RRFGRIPGATTHAISLPFPSTANTTTVISGGDFTTHPDFLIESSHHDQFTFPGYCGAL SIDLEVTRHSMASAMVILQQLAAHFSAAHPTLAGWFDTEDHVPYIHPTLDTLIVPPPN PHLAYHATQQLFAGGTAPQLV L198_00110 MVPSLLYHSSTPAFYPTNTAHSLLVLRFSAPARVASVRIIPEGV RSLVGQGVTYPHSWSGQLLFNISPSNPVNALVSTTIDYEGGDHAVDYPIDMPEGTVSR MIMLRAPVEQLTISVYGYTNPSDEAAVPIEASDISSSVSSLEDYSWLWTWAGDSPTAL LDCLSSSADSAEISRALECLDLLAETDKSVLSATLESDQYLFSILQHPSSPFAKKLLD DWTYASQPPLSELLPNDHPFKPLLHPSSSERHTAAWENLSIGVPALSSILQHQTQIEE DDLLRVEPAERRSNLSRLLELGERFAKEEDSEGLRLVLDLLHKAQVQDVLVWRQLVGV VPRWTTISNVLDPNYQRSLSITSGYARQVLANLLLASSEVVGKKLAFPEAKRLAGPYL APLSPDDPLRTCFSSPPPHPSTPAMPSSTESAFLQTLSQPPLSPSQSSKTPSLPLPTL LNLLAPHLTQSLSTAISPPFSIPPSYCRIEGQEGPGANASAWGGKVYSSHEFRSREMF GGGGLGIGGAGGGGGVIGGRAASKHVDQYETA L198_00111 MPPKAPPKRPSTSSAPPKKVAKAGPSTTKPRAATAATKGKAPAR AEQVDVKPKIERNLGEEEWVELMKQTYGDKKGADWYAKGVKTVEDKWQLLPAFLKVKG LVKQHLDSFDYFVKVDIKAILAANSLVISDINPRYYIRYTDIRVGRPSRHDANQVASP LTPMECRLTDSTYSAPIFVDVEYMGEEKRIKQRGVQIGMLPVMLRSALCNLKDKNEAE LARMGECPMDPGGYFVVKGTEKVILVQEQLSKNRILVMKDSKKDEVTAEVTSSTHDRV VKTYVVTKANRLYLRHNSFKEFIPIVIALKAMGLTADKEILQLICGSDERYQEAFGVS LEEAAKEKTFTRRQALEWIGARVSPNQAKDDGTSAQKLTPTDVAQQALAAMVLGHVPV KNMNFRPKCIYLATMARRVLMAMVDDHMVDDRDYVGNKRLELAGQLLSLLFEDSFKTF NSELKKRMDKILEKVNRAGPFDAGTLIRQGGDPITQAFVRSISTGNWSLKRFHVERAG VTHVLSRLSFIAALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCPSDTPEGEACGLV KNLALMTHITTDVPEGPLHKLVWMLGVEDISLVTGNELYRPGVHLVQVNGTIVGVTKM AKKFVRTFRKLRRAGRTSEFVSIYINHHQKVIYIASDGGRICRPMIIVEKGHSRVTTE HVRLLKEGKVTFDHFLRAGLVEYLDVNEENDSFIACYEHEIEEGTTHLEIEPFTILGA VAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQLNRIDTLLYLMTYPQQPMVKTKTI ELIGYNKLPAGQNATVAVMSFSGYDIEDALILNRASLDRGFGRCHVLKKQTVPMRTFH NGSHERTAYPDPPQRPDAYTFVDKADGMVAPGATINQFDVMVHRETPVDTRGTDTQMY KANPVTHKTPEPILIDKVMLTEGEDGALIKLLTRQTRRPELGDKFSSRHGQKGVCGLI VPQADMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELLSGKAGVLAGKLQYGTAFGGSK VVDMSQILIENGFSYGGKDMLTSGITGQPMEAYVYFGPIYYQKLKHMVMDKMHARPTG PRANLTRQPTEGRSKDGGLRLGEMERDCLIGYGATQLLLERLMISSDAFETQVCETCG MLGYNNWCPKCKSGKGVVGLTIPYAAKLLIQELMGMNIMPKLCLEDTV L198_00113 MTDNSNWLDPAAIQTWWDTPSQAAIHRPYSADTVASLRDVFPPV QCSNAMPRKLRGIFEKLQKNKEVSLSSSANDLVGLGLMADAGFETAYVSSSTHVSNPN ESALDISHDTFETVPKNVQSLYRSQLLSARTIRLNAPQSSSPIPLSYEASASTSPSTD AGNDILPLIADAATGHGAHTVIMKHVKLLALSGAAGYHLDDSLSGASRHDKKDGEGEV VVPVCEFLRRLTAGKLQLDIMGSEMISIARTDAPFATHITSTIDPRDRPYILGSTVPL PRDFYHAEGHSDKAEWVREAKLATLDDAFKTSRPEQWAEFEKKSKGLNASEAYALAQK LSSTFYWSADAARTTEGWYPYNGGLSAAISRARITARIADVVWACGHGQGVEEAEAIA KGVQETHPGKWMAYNLPAVATTPSDLKLTVSTLASLGYTYLFLPSSLSGPRILSSSTS PSSPNVRKAFERDGVYGYLAHVAREAEKHKADGTDEEWWWDVMGKFADRAGDAVGKGL L198_00114 MPPSTRSKLRQPVLSPGKQQAEERARGSNNNKGDEDQPTFEIVV LGSGGGPLETDCAGYLVKPYGSKWEDGVLGVEGGSGLGALATLLSTRKAKDLFPGVVF PREYNTPLLKASYIFSFLSSYVITHSHMDHVLSLVMLTGSFPYLAPEPTSAPAQKSDP SLPSLPASSPKPPTTVYGTKGTLKRFSMAYKGALWPELADFDPNSQEADDPEPISDML WDYAIEGGDRQKKRKLEELAQPNKSLYVAGAPVLPTSRRSSYAALDPASESALSSPGD ILHPCLTFAPLATTKSVGSMVQNLPLSIRPFPLLHGATSQGEFESSAFFIRHVPPAHS FAPPPQNYNKSPNSQEKEFLFFGDMESAYRRPGEETVDKDRAVEAGKMNRDVWTEAAK SWDEGRLSGVFLECSYDSSRPAQSMYGHISPPGLYHELKTLASLVQSKDEKEPLRGLK VFVIHIKESLLPNAEGKAQREIVMRELRQLEEEGKLGVILTDPKRGDRLVI L198_00116 MFKKPLVHQSNATPIRSSARRQLLAAIFEQFPSLVASTDDNDKK ELGKLILPEGVKTGTFENSTGVEGTFWIAPDGNPLWMSFGRNSKEYIPTLYLLTLPLP RPILPVIQIYHPMPPPILTGAPLFIPAVRNIDKPHLLPDVQKDRLVAFATSPSRNDDV HYVGVGRIAADGGMRGAWERRIQLLQGGEEKEEGKFADVLCIIEDHLWELGSKSTPPT FALPAPEVPLASAPGGKAKDDLPPIENLAVSGDATGESSEPTISSAPLTPDEISSLLS LSLLQALSSLQPSSFPMPASLLYSSHILPSRPSYIPKDKRDEVVIAKSEWKKLAKWMK EINKEGIVKIKESKGEVTVVSFDPKHPDLQAYEGYTTIAQEEAKAVKKAAREAAANPE GADGKPAQSASQPIDIQELWKPISPALFFWEACGLSKSEYYPPSALRQTLDEYINKHD LIVPSNHRFVLLDEELGKAVGVKKPDPGQSMARDDVIAKLRNGVSWAVSIGGTIKKGA LQPITLTIKSRGGRRTVTHITGLELFSIPAESFAEEMRKKCAGSASVQPREGVSPKLG LVEVQVQGSQQKIIIESLVGRGVPKRWIKDEGKK L198_00117 MPDLLTPQVLAMGKYTHFCVRPLTPTTAGPLVLDTFIKHYLGNN TSKHKDKDKAREDLMYDEAFVLMKTFLEMSTKHPVAALQRFGQVRTPSPPWVAVHRIH VPHPTLASAAAYLIQGFGGEEMAYKIAGGTKWWQVRAGPGVEAEWIVMKKDWREVVAA EKKERKEKPGKGKEEKEKDDFRPEMDRLRCMLYIHGGAYYWGSINTHRYTIWRHARKM HGRCFAVNYRKAPQYPFPCALQDCLAAYLYLTNPPPDAPHTAIDPKNIVLAGDSAGGG LCLALLQVLRDTPGLELPAGAVLISPWSDLTHSFPSILENTATDVIPPYGFIHKPSSL WPPPPPILTDAVQSRLRTKMREAIVRVREHEKAGKVPPESDVAEGNKLKKILSKVSSH HDKEVRASSPTDIHSPRDTETPGSPDPKDLQPTVEGSDAHPKPHHPLPHSSAANPASL FSHLSPLSGNAGQPKTLALDHVPLELRVKGEKVVLDTQIQLYATNSQLNHPWVSPVLG YLGGLPPLYIMAGDNEVLRDEIIYLAHKAANPKAYPLRPDVYPLLPSLEGIESRYGPT NVHLQIYDGVCHDLPLFSMTKAARGCFRAIASFARYVTPSAPGSHYVNRTPAGSSAPS RRGSADGPERVDGEGKVILGVGVAASPEKLSAQLPEARLATPSTLTLNSSVRPLAPST SKGSTTSLISSASGTSTPMTASFTGIAPAGESISSEREVFYTPNQGDFEGMEVLKTED DPEKERGILPPKRGKSLLSETPSGTPGRLESPDMSSVPSQLDALDPNHPHPIISPNRH SSTLQPSTPSTPITPATPATPATPATPATPGSAEKTIRRHKSLAWLQGSSGSDSRKGS HGSSSEKDGDKEKEFHGLDDSRAKEGESGWPGMYRGDNPFGSDHMIRERIDPLGITRP LTPISDIPALQIPSDEIGLIREGPAMRYINGQTLWDKKYKKVGERVEKKRRRNLKEAQ KVAERGGEDGGFEGVVREAIKAEKESNALKKANKEKAKKEASKGGDEDWESEAQEGGS GECSRSWDWALQGEAPPPSAIVSRRDFAEARQLALMADRIDSSASHSTPFHGLNIWVG LAGLFGGSGNEKEKTKEMLQELKEEREKEKEEEKLGREKGKDEGHIKGGKGKWWKFGN R L198_00118 MSAPYKLAFSLHGHAADVRHVATPNAGIPLLLSASRDGSALVWG PSTTCREWDVKLRVEGPEKRYLSCAALTRSHGAPYLLVGSQSGILASYPLPAPASAPP AQDELPEPVHTLIEHSQNLCCIDVSHDGLVATGSWDKSVIVWKDFKKALSIKAHEQAI WSVKFVGEDRLLTASADNRIILHSLDLASGRSTPLQTYTGHTQPVRGLALRPDGQGFW SCSNDGNINIYSFDKPAPINTLSGHTSFVYSITALPDGSGAISTGEDGTMRVWSDSEL VQTIPHTSNSLWSCTTAPPPPSSPSSGPYIVSSSSDSTIRFFTNSPELVAPEEELAVW DAEVKGRQLDKSQVGDVKHSDLPGMEALGREGKKDGQVLMINNNGQVEAYQWSTPEST WQQIGQVVDAIGQSRKQLYQGKEYDYVFDVDVSEGMPPLKLPYNTAQNPWIAAQTFLQ DNELPGSYLDQVVQFIEKNTAGQTLGGGEAQGYVDPFTGGSRYTGGGVPMAGGQANNA DPFTGGSRYTGGGVPTHTPSYGGGDPFTSSGAYSSTPPPASTPAAKKQKQAVLPVKSW LAFKQINVAAVKGKVAQFNEQLKGTGAELTTDENKALTEVYAFLSLPSVALPNPEGGD GQEKFDPNTLTGIISRWPEDKRFPLVDLLRALSATSPATSALSPAIITQTLQASSGPL SKPQDTNLLLTLRALANKFNTSSGRGVLNQETVGQQVLTALGGISWQGVGKNSRVAAA TVALNYSISAQFSLLPSSLAPLLLDLVIAIISTEKVEGGDTETVYRAAVAFGNLISAD KVSGGLAVGKVEKGRAVVKKWTGKEPRLGELGREIEEKLSQGYAV L198_00119 MSVQVTPEQMSQLRDTLLNTAGTTPLHERFRALFMLKAVGTDEV VDIVSEGLKDPSPLLKHELAYVLGQLLNHRALPTLSGVLANPTGEHCAMVRHEAAEAL GAIGAEESLPILRKYRDEEENREVRETCEIAVGKIEFDLSEEGKARKQNPDYPTIDPA PSAEAADIPSLTAELLNPKLPLFHRYRAMFALRDYGSGSKEAVHALAQGFSDGSALFR HEIAYIFGQLSSPYSVPSLLKRLRDPVEDDMVRHEAAEALGGIASDGVDPEEGEGEGE ELPEGGVLQVLREWAVKADAPPVVRESCQVALDMWEYENSTEQFNPLDSLGAKENTTG MERSAAAAVAAMAA L198_00121 MPTDPQSTVDKLGIPIPGIQDHALIGNLRTAALVSTNGSIESMC IPYFDSPSVFARVVDANKGGHFSITPTFDFKPKQAYAPNSNVLVSKFLSEQGVGVMTD FLVPKGATQSSQKPHLPWLIRKVESIRGKVPFRMEFSPAFNYCRDKHTTTMVPDDSSA SFATQPTKALFTSPSLVLDLRTVAWSSDSCVSDPEVTFNIEDFQESRGLLGPSVTSNF ELEEGQTVVFVMREVGDYKYESEKHEEIANPRSMRQKDLGLPLDQMLEATNKLRHKEN PVLTRSLVESLLKNTNTYWRKWIGKNQYQGRWSEELLRSALVLKMLVFEETGAIVAAP TFSLPEHIGGVRNWDYRFTWVRDSSFTLYALIRMGFTEEANAFVEFILNRLKERTSDG SLQIVYTIHGGKDLEEIELSHLEGHKGSKPVRIGNGAADHLQLSWDSWVAIRQVVDYV CTQTEVEDLSIWEVRGKRKNFLYSKVMMWVAIDRGIRLADKRCLPCPQRQKWMDKRDK LYEDVQNKGWNPEKKFYAQSFEDLDALDSSVLIMPLVFFTSAADPRFTSTLDAILKTP EKGGLTANSSVYRYNAALSDDGVGGEEGAFSLCTLWCVEALTRAGVYDEKYLDIAMNM FMDFLGYGNHIGLYSEEISAGGEGLGNTPQAFSHVTLISAAFNLNRALSGARVD L198_00122 MPGRTAEDILLAPDTRRAPLSQPTSPAPHRPPKIEINATARPPS PALRSAPAVLPMPTRKKSVQVPLTANSLNSLEREIERLTAACDEHERVIRSTLSTVRH HLLTNMQGILERVAKTDDDAEVALQVYIPAFQDKLRQTIRHRAEDVETMRERTTPWRD VVIGGTASSQKQSGEADQGTLASGGRKLKRVEDGGKGDALTRMEMWADEVEMYLNNEI VRMNESLRVKRTRHVSVYYTVIATLVALGAVGSGFLIYYPSAAIWSWIQHSREELQRA L198_00123 MPALHQWDYLFAFGCIFAALDAYNIGANDVANSFATSISSRSLT LRQATCLAAICEFLGGVLAGAQVAGTIKNGIISMSAFKNNAGMELLGFVCALVASATW LMIATRKSWPVSTTYSIVSALAGIGVALDGPGAVQWGWNGGNGLATIFAGFIIGPGIS AAFGATVYLLTKYAVLKRKDPVRAGLRAAPVYFFGVAAILTMSIVYKGAPQLKLNQLP QTTIALAIVLTGLVIAALAILFWMPFVHAKVIKKDYTLRWYHFFYGPALWWRAAPPAP PSGQEMAHVPDYRVYDRDDQHPAESAKPTTSPEAVRPTSVTLSDNDHTSSPDGEKSIS QDKERDLEANVAPLASEKKVYGSKLEELEADEHKLEGNLLSPKNLWILFRYRLPRALL HGTSVDIHAMQSHKGQGKQSDRMMAMYAQAAQYDNETEHLFSFMQVMTACTNSFAHGS NDLANAVGPFAAIYYVWSEGVVTPTDTETPIWIFVGGGLMLVLGLATYGYNIMAVLGN RLTMHSPSRGFSMELGSSITVLLASQYGIPVSSTMCITGATAGVGIVSGGVKSLNWRA FGWIFLGWVLTVPIAGTAAGCLCGIIMNAPRF L198_00124 MSASESNIPMREVSTATPPVTVPPASATEAVPISPQAPSAYPQP NFQSDSQPSSAPTAHPLLHEPSPGQPPKHNLHPPKLISTHLLAHPDHSASKAIRKVFP QPNPLMRLLRRFKVKHSVIDGLTEKEESRWEAQGHELRQRAGWKLEGEEGDGAVVSEL FWKMYLSLLPTLERDPLSGLVPPDLLGSTTTMPLSIISLIPDIMQHYRDVIVRAEKEV FLATNYWQPSNSVNTITQALLDLSATIIKEKKPIITVKVMYDRGSWEQLWNAHAFVPP SGWTPLDLPAKDAIPGLNMEVINFHKVLLGTFHAKFLIVDRKVALINSNNIQDRPNLE LMSHFEGPIVDAFYEIALHSWYNKLTPPLPCMGTPYAPPRDAEGNIQYLFQDHNPYFD DIEILKAARAARLLLRRQTKDNEDSRFAHHDTAGERLFAAVQKVVDQQRQRAAEWKPA EELEARAQTAMKELREFKDRWGLGMQGRMGSSSRVGSRGPSRRPSRERHRDEEKHRTL LAPSEGTSSPTITVELPPKSKTYPQPGDTLADDAWASRMIADGPQRRDTFDSEGISRH SLEHEHGEGRAKHHVAFAHEDGPMYGVRGLTESPNASTLNITEENAPGKAVANESGTI PGEDVTLQGRGRGRTLERTADQPTDAEILAAVSAGHGLKPAPTNSREETLPLSAQMPD EMAAGYETAKKSVALDIPEGKKSSTDETQPEGTGSKRMFQLSQRFNAGALSEAWATVE DSDDLDNYRPHVVHAPHEPFPIAMCCRKPHGFPGHHDIRNPQNAAWLAGFRYAKKKVF IQTPTLNARPIVRAVKQACRRGVDVYLLVDLGFNDKGESIPFQGGTNEEVVDRLFKKL KAEKKEQYLKVYWYTGKDQVRPLNAVVKQRNCHIKFAAFDDEVLIIGNGNQDSQSWFH SQEVNVMLDSRQIVAEMMDTLVSNQNTMKYGAVESDGVWRDEEGHTLEHYGATAKGQF RGLSGFIAFAKTI L198_00125 MSFTQAAHKLLVIPGPIEFSDPVLLANATPGTAHTSPAFIPVFG ESLSLLRDVLLSKKESGSQPFLIAGSGTLGWDATAANLIEQGEEAVVLNTGYFSDGFA DCLEAYGAKVTQVKAEVGSIPTDDAIISALASKPKLLTITHVDTSTGVLSPAGHIASL VKKHSPDTLIALDAVCSVASEEIKFDEWGVDVVLSATQKGLGVPPGLSVVLASKRAIQ TVESRKTPIGAYYISWKRWTPVMQNYEAGKPSYFATPPVQLIYALNTSLKAILSSPIA DRFAAHKKASAYVKDSLAELGLDFVPKSRDIAANGMTAVRFPKGVTAPDVLPKLAEKD IVVAGGIHKAIASEYFRIGHMGITAVDRERGDLEKVIKGVKEVLGKA L198_00126 MDPLQTSAENPEREVRALPAVDTSREAWLYVFAGFSMEMLFWGP MYSTAVYLKEYAAMPLFKDVSETEISLVGTLGLLFGYILSLPLLYFYNAYPRAMKPSL WFGVALYVVSMLIASFVKSMPLLILFQGVGPGIAAALTASPIIRWIPEWFDKRKGTAG GIIFAGGGVGGVYMPFLFEFLIGKLGYQWSLRITAISTATIASVAVFFANPRIPISSR ARINRMPMPPFFTTYLRWGFLCTFLCTMFQSFGYYNVNLFLPRFSDTLKGAEGAGLLA AFNVSCIGSQIIWGLLTDRMKPAAAMAISSSLGALFVLTLWGFGGNQGLPVLAPFAIL FGLAAGGFCSMWFQNAGDIAGPDKEQQSLLSAGWSIARGVGAVIGPTIGSALYRTPSV PGSNRWGSAGSPGLVGLVAASLAGSALVVLVFRYAESAVKALRKYRNGEMERRRVGDF GVELVERST L198_00127 MTSRRTNANMTAGIAAAVTASPVIRWLPEWFDKRKGTAGGIMFR GGGVGGMYMPFLFEYLIARLGYQWSLRITAIFTAVIASIAVYFENPRIPISTRAHINC MPMPPFLSTLAMEFHGDLRLNVVLFLPRFSDTPNGAQGAGLLAAFNRMKPPFAMAICS SLGVLLVFTLWGFGGNQGLPVLMPFAICFGLPASGFCSMWFQNAGDIAGPDKEQQSLL SAGWSIARGLGAVAGPTIGSALYRTPSVPGSNRWGSAGSPGLVGLVAASLAGSALVVL VFRYAGNSVKSLRQYNNSEMGQRRPTSEFGVELNERR L198_00129 MSDLRAVKKYAAQHDLFNRLHSIAADDAFVKSVANNWYGGRFEV VPNQRCGTWYCDPATSSKVYAYFKSTDGHMSHWDFNLRRSNLSFASYAAERGGLILVD STRKGKRMPDGLCAVINLAISLREKRGEEWDTQVYMPPQIVPATERSQIEARLQGWAE SLERSTLPLPKLNKPLRPFFLHPSTSSPPFIADSVDFTPIMCLSASRWVNEGGDKIPS VTSVGLRKVGFEYVPGGGDDDELWARGLKPAVFHAHRGELLSAERDDLPALVDKIVGS SNSESTLSTRLDDMSLSSSAEFVGVPASHSRLALDVGSPSSPSSSSTWKRSHISYVAI IELDKCPKNIKHLTTLQDGERYVLAVPSAKADGKAYSTALSELVAFAKELEGKRGIVI GSATPSDLDAAVKQCSSDAPVNPFSAARIPSPIESRKAIIPLALVLLCALPSIADLPE QTSITKGTIADRLHSMVSLWPDGNPARAALKRVNEFLMGEGRR L198_00130 MSSPSTPTTSRLDHVLPIQPPTTGVSTSENMADRYLVKINQECR RLDILAQKTFDVFNMLCKGTFDAEKYWEDRELEKMKYLEKALGGYNVASTVSHERHCT LESPTSSHSEDEACHGGKTPLF L198_00131 MARLLPFLLILLAFALMICQAAGAPTPTDEKPSLVDRTEHNMSN SQRLRRGMPLRKPGHYFDARLGPRAEPSAVP L198_00132 MTFNFKDMRPLVIDCDTDTIRAAFAIGELFPAPVITIRACYAVP TASSSKAGDTNGDIQVADGQEAGSKSGWLVGEELLNAQEDGRERSLEWRWPFRPSEGA EDWEGREFVISHVYALAGINIPSNTIPLLLIPPASPPLLPLSTQASYTQFAFEAINSP MFSILPAPLAGLFALGATTGIVIYIGPSESSVFLVTDSIVRWECTTTADVGRLDCEAF FEGLLMEDEDLDKELKAAAGKESLQGEEKRKLVKEVAEFVWKECTGPDIEVPALDSRA AEAIRGVQEEEDESFDVAKKLAGDATAAPAVSSHKSKKQQAQAVAAANRAAADAAARA AEQVDLIVVTIPSLPEKEIQLGPVRHRLCEPLLTGKTVGGDTVWEAVGRAIESASLSL GEKLAIWDGVAVIGETAKIQSFSPALVTYLSPYLLSSAELVSDCQPSKLRLLSIPEYF ANYRKSTTDLAPFLGGQLVARVAFTETQGKHSISKIDYNYKGPQAIYTVIGEDR L198_00133 MSFTRFPRITLSARQFSTSISRQQGSQKSPMELLRGHLDQKVAQ SGTAGPSSASSVLGEDRKVFKKNEFLRPQAFTAESLSPEPRPRARSPLLGPPKKIAAK LDPFHITKTNPLSHDLNPHYAYEFVNAMGKIRSRAETGLTWKSQRRVGKLVRRARAMG LISRWVNRPVPGGMGSVNRPY L198_00134 MSKPASKAASQKPYGRPQKVVNKTVDLLKKNERASAAGKGKERQ VLGDVMGLVDEVKRLPNLIQVEKFAQTRAMEIHAFQTAIKSAATQGNTRAFQSLPRHL RRRAASHNPRRVPKRLRSRAAAEIDAADNIAKKHRKIAKLRAKGNLRSHLSRTALFRI RQRSKRWLPTHIWHAKRHHMVNHWGWRLPMSPTLKSFRPAYRAGRRKAVAWDVSYYGV IELEGWREDIIRLLGGVTDGKFAGDMFESGARVASISFYQYDAFPQGLIGPGEVFWQP TTHAKNGRTVWIRLHPSIFNHVWTLFKTTSLHILSEAGSSSSASQGLQIRDLRDQLEA LEIMGPKSGEVLRRVLRLCKSEETLKQRVFNGLQDPSNIPDGTVIGLQAYDPRLHFPP PKLSEAEQSGTNDGVLRDDIIEPTMELAFSLLWDAESRENASEVTYTKFQLDARRHKL GLPGTRLHPRQSDDRLPIMLFKRSTHPPPSTTPQSFHGFTLILPTSWAQYLLTSIAYT GTLIGGLNERKVQHREAGVHSFPEYFSGVCEAGDRWHEGKAAFEKETWDRKPPGKRVE FAKVGTSSPWTPDWDKLLVSVYLNSGMLVADYSQSGDTSAEEASLNTSSPCKPYLLPH PFSTYISSSLTPLQLLKQLNTFRRQRSLSALPPTKAEALFNETVLHVEIDVLGRGSPG DMAMICGLVGEEREKWIQAYEREDDGFNFAGEVTELQKLGQAPSSHQRLIGYTDSGNI SLTRGQGHALGTITLRGYVELLQAAYPSAAGQKGHVKGWEGKALVCVKNRDGIVGRFG EVRVCG L198_00135 MSLHPQYSSDDHDPFLSRNPSATSSLPTVEDASNQPQYAPEQQH YAPPPLPPRPSAALTRKPVPAISDSDLPALRRRRSSATPPPVPPRPNNQYSTYVAAPA AAYTVQPQGPVDSRLPPASSGAAYVATNEKAGYEPKRRSCWPATRRGKWILFGIIAAL IVIIAVVAAVCAVVIPNHSSSSSSSSSSNVGSASDNGGHPLSIADGGVDKGEAGDIPV HGNRSADHFVMTTNRSIVVTRLDPIVNPGAIGSHMHRVHGSSYFTQNLTTATEMQELA NCTTTIVQDDLSAYWVSALYYRYSNGSLVSVPLDRTSLYYFQKAPTGETIYPFPDNYN IVAGNPYRRSVNESDPNHTALWYQCYRGDGNDLKSWGFPKSACSGGLVQGIQFPSCWD GVYADDGDYTDHVTYPTDDTNGYYCPSDFPKKFITLQFETVFAVYDFPYNGNNNITWL LANGDTTGYGIHADFMNGWKTSVLQGVLDDFADDPPNCPHLNASINLDITYSCRLQTS IVNEEVGETVSTALTYLPGCNAIWSGNTSKPACPDGRVEGGDLDLVSGSVYYREEPYI SGGSEALVA L198_00137 MQPNGHPAYYQYPQSSISSSSSLPPQSPYSPYPPQSPYAAGELG QIPHSGSPYGSVPSSTSSRSSYGAPPPIPSHSYPSNSYPNPHPYSYSNRIPSVEAVPS PVPKVAYEAPVFQTFQERKRAKDAALRAKASQGDLRAGRGAMPPPPIPQNLPPVPQIP QAAYSVPPSTMRGPSPGPEAMAMMAKPGGMGPPPVPPTRSRSPAPSPSNSVPPIPVSS QGGRPLPSPRGRTPLSVNTSPASGGRALPSSPVPHTAPSQTPSPHPYASAQPSPTIST PSQQQPDLDRSDTLSSVKSLDRASFSSSPSSASRRPLPKPPGGVVASKSLDRGTPSLG DMGEAYRKGRRQPSTVNEESEPEDELANGMRAMGIQANGGSRSPSPQPPAIVVPTISA PSESSDPHAPAKFTPLPSFSFSDSDASSAASEDESNHVTPKAERTSKKEDPDAAISFA GAPMITVSSSDTADEPAGEISFAVPSISVGGAPALSVPVPSISTPHSHSHSHQHPNDT RTRVQSSSAILCTGCGQPIIGRIVNAMNQRWHPQCFMCAECGELLEHVSSYEFEGKAY CHLDYHDKFAHHCHHCKTPIVEPRFITLNDDVLGQRYYHELHFFCSECGDPFLDPSKS SAPGTEKARANGDDEGDDGETSDFVIHKGHPYCENCHVRLHKPKCKGCRKPIRDMAVG ALGGKWHKECFVCTNCKGEFGNGLFFPHQGGAFCTTCYQETMVGA L198_00138 MSVSAYTDTIMLFGDSLTQALSAGSFSQRMSEWYLRRADVVNRG FGGYTSEWAISVFEQVYATQEERKQGKAQNVKLITIWLGANDACLPGTPQHVPVDKYK ANLVHLLSLLTSAASPYYSPETKIILITPPPVCTSKWLTSRISKWEFFGKEGEKPDQN RDQAVAKTYAEGCLAVAKEQGVEVVDLWGAIVKAAGGEGDAELDSYLYDGVHLTPPAY AVLFEEVKKVIVTKFPELNPETMPMRMPHWADIDPENPRPAFEKVKKGRLQGEL L198_00139 MSNHASLPSHEYQVPVKRILSKAHLAAFQRSQAHKDILSFIEDL NTSVVGKKLSEAGEGSERTKPVLAVLDQVLELAHATPPVDNKLSRFGNPAFKTFYDKI SSSILSLHEVIPELPKEAIKEVEVYFQESWGNKERVDYGSGMELNFLCWLLCLTKLGV FTKDDYSFLVLGVFWRYINVMRYLQSTYWLEPAGSHGVWGLDDYHFLPFLWGAAQLKD HKYLRPKAIHDPEILAEFSKDYIYLSCIQFINSIKTASLRWHSPMLDDISAVKAWAKV NEGMVKMFVAEVLGKLPVMQHALFGSLLRFPSVEEDEQLRKDLEAEGWVDGESGKEDE HGHVHDAGEKGWTMDCCGIPVPSAFAAAQDAKDKGLPALTNRPGIKPIPFD L198_00140 MSYHQVPRDDPSDLPTTYPPVQHIGPPYTLRPTKHRVTPSLVLK YTLYAVGSLVVFHYVFVGAFPSSRYTKNFREQWQNIGWTEDDKVYAEQSTAASDLLAQ LDPDAGQPGTFFRDAYPLRTMLAFWELAQKEVEERGLNTCSDQLGKGLVEGYHRSQLD YCIPPGKMGLDLIDNNMTAWEVEAGEKVEATRIVCSPVHRDSFTKWWPYPAAPCVSTN MRTVIGEEKKYRAVGCRVTNDGQDLNNEMGREKFIGTSAESLDMDDERGDCKERIEHT MVLIPRQDQWNPFHVSEDLITTLVSLFISARTAPHLINTRMQLVFTDNFVMDRNHFTP LWDRIGAWAPRRLRLDPWNEGECLTNAIHSVSAGASLLSAMGVGSSYTCASTLTWAAA HYYRHLFGLLPPSLSASATEEKTDKRKPINLLWLSREKLDRYAQSHHDWSSWRDVRHI NNEKEFISTLREGIKSMCEEGSESAAMFGKAGCVFEDSRDTPETWASTTLSPTDPVPI RFAAIDPSVHALETQIHYTGHTNILLSPHSGALGLSLFLPPGEGVIVELQVDNVMGNY HFQHMAGEMGHRYESVRIDRTVDSKRVWFYVKNWLEKLGAEGV L198_00141 MFVSRSAIAGPSRIPIQATQTVCRRAATRRYASEAQEESAEPAD ELSQMKLDPSPQLPAGLQKREGRIFYQDWMRVEGGQFRVPQKGQKAKWLGGHVPYTSN PSFRPPPPLSNYTQDAIYADLVRGADVAEVSTKFNVSKSRVDAVRKLKEIEIEFQRRS LPLQRAFLEGMEPLLGVRTPINPRTKKYDVAIARDIDMAYESHPSVSAERLEEQRFES GVGQEGAFGDRSRESAQPGIERTAWEWRDEEKALEDRRLLAAKEAEVKQQPGHEGIVH EVLQKEVQSSSMFPTPAVEESIKRKEREELKAAKAKKDHVDVEGVTVGDIHFVDTSFT KAFVSENKGQKAREKRERRKNRAAGQA L198_00142 MSRLGSLPANLCAHLQNTSRAFHPRTAVPYTSSSLAISSILLRS GLVSNVSLGSPEGPDPKSFEALPVPAKKLWIGLKHRDGQPVLRRMGLVSKSSFRVVVS REELGRLLVGKRARNVPGVGLGEILIVRTAEDKREGRTGVDRYMEGWEAWRAGLGGEV LCRVA L198_00143 MSQGYPRPNPHPQHPLYPSPVVLPASSDTIVASSPAHGITQHPD SMRRSYPIGLSSDAGLVGVDGSLKRRKISPTLYENTGGVQGIPTPITAAGFFQPPHQQ PLKQSAPLNPVISTPALLYSYAQSAHAESQTHLQQSFIPPYISADRKSGYPIARLYPP SPPMTPPSPLATPLPRYTHDSEARQKALGYLLLALDLLRAGLKSNELSDREKVVFALE FGLVGVKVWSAWKSCSITGKERRKNESGRLMDEMQEFVGQASLVAERQSSLALLKLQL ELLNARLAFMQGKFNLGKRLVRNGLAACKNDHCHRYGLYLLHLEHIETTGPGEYLNIV TEFLNEAERNKHQEIVQLASLLKARIAFVHRRWELVPSALAALAGALNSPFSDHTSVP ANLLPGASELEQSWLASMNVHYLTLKALWEGRRGDDAVTKAVLKQVYALMDMSSDKGT FNALRASGGVFMLPLPNSQPLLIQLTPPNITYMLTYLTTVVTRREFTGSIASCRTLVH AKVFKETEHVARAEDMWDIGFSGCHGLADVVALQRKVASIRAEVSLEQATALMYRGSF KESLSLLYETVDYLQKNDIFAPLSPQLCLLFAQHAHLLGLTETATRYYTACKALINTG SELSLIAEIGILGAHSKLEGLMQDLMVQDEVNGLAEKCKGSTSATFSAAGQFLASMID DNRVNSKKKLSTAYEISQKANNHILRLLIFAYTTSTHHYGGRQRMQRQLETGKDIAKM LGGKDRPDGVGQVVLGWWFARRLKEFYRQEGAAEAAAEAKQSEKVHLERLQDVRREAE KMFNDN L198_00144 MNTNQLGSMFSTRLSSAYNQLRGDLGAPQTATETIEKLVDRIQT SAAVEDRRTAVLGLKGLSRDWKEDVGHNALPSLIAVLEHDAPFDVEIAKATLETLMQL CETAEKPAKDDLGLFFTDAFLEDPKPAHTLINLISSSSSFYPRFFSIQFLSQLLASRS QVAQSYIMSAPPPGIDGILAVLDTSTGPTMAGGASEMLRNEALLLFPAMLSGNQDLQK IVAFSGAFEKLFQIIDSEGGVDGGIVVQDALAAVGYLLRFNVSNQNYFRELTLIPQIP HILGFPSPLAKDEATPEEFALQYWPEQKVDNTSLVLGLVRMLVGGPGGGNQNAMAASG VTRCLLELSLASNAPASIKSQCLSTLTPILTSSPINQDLFASLQVSHLLAVPADEVNP NGGFVRVPPKPAVVALVESVVEGDPSSGGRSLRSRSAGVNMFQAYLSGNDDARIGLLS SLLDPTADGQSQDQPSASSLILTGLLELPHASLSPDFDPYPPLFSCLLLSHLIRNSEH AKKLARDVTFPSGDSDSAVDTDDDKVSLIQLVVGNLLLASREQTECVNKAAKEGASDG LKEEEEWTRVIVGYLMLLCTWLWDSPKSVKEFLSESNNLQALIQPITQNTSIDPLIQG LSAFLLGVCYEFNREPGEITRATLHPILHSRIGPDQFVSRMARLREDVRFRAVQPLDF ELDEQVAQQQSHQGEEEADEDVEVWFDWAFVDFWKNHYYTIQRSIAIDPDAVRGSNTP DDTETTAIILSLRSKLKTQTDEVASLTSKLDSIHAEHKTSQDALTQQTFELGEQVKTL TAQLEESKALVEALESELAGLREAFAKSEETAAGAETTSKELGNVKEEFEKVKADYEK ASSELQLARMSAKGRETKMKDLEGKVKKLEEEVAVAKASPAPATAPSDPAADTGKQAE AEEALKKKEEEIAKREEEWKLKEAEYQEKLKQEEVRVKELEESAKASAEKTTAGEDKT ATLESKIKELEEKLAAAPAAAAAAEPAQGGSNKQAKKRAAELDGKVKELETSLAEEKT RREEEAKEHEDLLVLLDELTGKRMRDKEVMKEKGIEVSEDEEEGDDEE L198_00145 MDFTDDQPLWGTGSDDDGEDEYLPFGAGMRNMNQSPVVLALPSC HPSFQPPPARPFHPASPAFQRPAPSFPRSQNTTELPTGRHQRAYLSDRPDAPEYNSRS REMSFASANTLQRAKPGVKAQKSEDFGDEIWDDDDFVQAANQSLQYEADAVYDDDEPV ATADVVKNRESMKKNVAPNKRGKSLVPISRLPMDERKLFKFPAFNDVQSYVFDDTYSS DENLVVSAPTGSGKTTIFELAFLHCLSYKTPDDSIKPLAIYIAPTKALCNEKAKDWQE RMGVALPDVICVEITGDYGNASTIYNSIRGADLIVTTPEKFDSMTRRSRNMENMAHRL RLIMIDEVHILRESRGATLEVVISRMKGLGKGIRFVALSATVPNIDDIARWLGPARYE YGQLSRGVIIGKDLDKKKTQGETNVDDMPMAKVYKFGEEFRPVPLSRETYGIDSGGND WALASRMDKELFPILLKHAAGQPVLVFCPTRKSCQATADLIFSMYEESRAKGLKLPWQ HPPGVRLDLQDKRISELSTCGIAVHHAGLDYGDRRAIEDGFRDGKLHMIASTSTLAVG VNLPAHTVVIKGVMAWQGPATGFKEYSDIDIQQMMGRAGRPQYDKSGVVVVMCERSKV RKYETMLRSQTVLESCLHENLTEYINSEIGLGTITSVYSAQEWYSSFFHIRIQQNPRY YALSNAKDKPAHDSWEEWLDHYVEEALLNLERDGFIERSEDDGLTPTETGKIMSGSMI SYGTMCSIKEMSPMSTLQDLLEILAGATEFADLRIRQGESSFLNKLRDNQEIRFPLGE KVQNYADKVFLMLQVTFGNIILDDIVKKTEISPPIQTLMAIYNHAPRIAKAIVQFALN REYGTAARSALELHRTLSGKAWEDSPTIFRQIPSIGPKSICVLGQNGISTFEELLDVG TEKIQLWLNRNSEFARGIHDQARRMPRFHVSIEEEGMNYDGASNVLNLRINIRPKSKV LSTESKGKRGGFITLYNLSTLFLRQDGSFIGYRRMELRKLDNKDTSFVLPVTLDRRCE KVVAVVAAVDEVAGCCTMEEYETHLDSSVYPEDMEEQDEALAQNPSQRTLVEPDPEPE ERLPNGNVPCHHNCKDKQSCQHNCCKVGVPSRKKDKAAAKGAGNGNVKTETDRMKETQ KTIDALQGDSRPTAVAKAVQRVQQERSMSRSPSPIASSQKASQTAQPTPRNRTQSISG LGKPKNASNAPNKQALKPSTAISRVRESRIVRRSESVDEQGSRVKSTAPLDSEIEDTL ESKVNHARHHQEPLFMAHSEDETPDTYNLDDLAPSDEETEPVKSRFFDKSKSGKSSKS KKRTAPPARDRGSHLLASQQNSQVSLEDPPRSQRPAQPIASKKRKHDEDTCELMPTRG IFSDGYETLTDSPDFGPKPHKKSSVHTQPTAKSQAEAPLTLSSSPHPVQDFNVDDLLQ EYEEEEEEPHHMTRKQSPFRQSRTDGDWDLDMRKMSIELNSRPCTPLTMGDDSKDGGI PRDEMPVSRPTAIAQPVRRLAPLNIGGFGRPAAKRLRMMELSNQHKTQPNLYDEVSME TVDTDRRGSAMRNGNVVDQRAVLGLEVDVPVDENDEFEKWCTGGI L198_00146 MSSQPSRPDTPSTSSTPDITDDFSRLDLHTLREQSSSSASSAIA AGKDKVDEDEELERFRAQWRQELLAKKSEGGVGGETGKSLPKKENREVEDVEVDGKGK GKQVSPKATKAPQLPTFQDEYDEAPTMGPLAHTVAPTINRTHAPKKTLTHRERAIQTY AKAVESEQSGQLNEALIHYRRAFKMDDEVDKLYTKSMAKASADQIAEKAAISEDLMSE IPNSSDIISPSAPAEEPYSFQRHIQLDADYDKSSALPSIATSTAHKSPLSTILGSLPI NPWEFAFLPENDKLPIPIANLPAELIDPILAHLDVIWIERFASTCWRARYLTSASMAW RRVCERIYRPPAMVPPGGAVKTQDLVKKHGGEWRTTLIEEERVRMDGCYIAVCHYIRP GAGEEWVTITHLITYHRYLRFYPDGSVISYLTTDHPSDVVPVLKPSLRGKGLHFGRWR LLRSDAPLDPENDPIWVPSEPHEKKPARIFVSGLLEPGIKEAKYEFEMELALRETSRG RWNKLDLLEYRSVNLGTDETLGLGLRNQKPFYFSKVRSYNPPF L198_00147 MSLDPNHLPSPAPTPSEALLLPGAPTPAPSAPPAAPDQEAIRTA VENQLLQLAQDLYEMEISAGYVRENREDAVPGYLENINKAFVNLSQLSSQMTDSVPHQ IVEHVDRHKNPHTYTKQAITRATGENQYALGRVLGLESFRRQLHEAVSENFPEVPLPE RRHQPIKPPQETNGGGEEGSESAQNVPNGGGAGETGAPDGPAAVNGQHQP L198_00148 MSSQLPFVSQAGLTQHNYSLYALPVGYLLAMGPFWYAVGLIRKH AGKKAFDLANPRESFKKLGEAKIDPKINRRVTRATAASDNTFSNLGYFSASVVAGNLA HLSARTLNTCVAVWIVSRIAFALLYINTENSKNARYRSIIFTVGVLACTTLIIKAANK LSSVPW L198_00149 MSSQIPLVANAGLTQHNYSLFALPAGYILAMAPFWFAVANIRTK VGWEAFDLTNPRQSYKKLETAKVEPRLYGRITRALAASDNTFTNIGYFAASVVAGNLA HLSARTLNTCAAVWIVSRIAYNYAYIVTEQTKFGRIRSFFFTVSVGACFTLIVKAANK LSSAPW L198_00150 MIASTLLALLPILGLTSAAVVPRESAKFGRLLQWDDLTLCVKAN DAHIGAAISFGSCVSNGTEGYDLQMWNFTNSAPFDNKVINLQAYPDLCIDAGYVPHNG GKYSLQPCGWNPAGQLLSYASDGLISTSNGICMRKWEDSKEIDQHECADKVDHELTFT PA L198_00151 MAALVAHRSFLNNILDRQAERRQLIAPGETFPAQVRIRGRAPQA APRSGAEDGLPSKDNVVGYVKEEETVRNDYCEWYNATGECGSNFIMGAGEGEICDEYP ALKKLMNLKTQLVAANAHPPLYAPLSPSNSPRDSLLNNFSTCKFDVIRINPVSDWDKT ADIPIRQLSADTAVVFLWVGRGDEEGLERGRECFAKWGFRRAEDIVWVKTNKGKNGKR RDGEGAPSGALFASQKEHCLMGIRGTIKRSVDVRFAHCNVDTDVIIWEEPEGDDGPKY PPYLYTLIENFCLGTRRLEIFSQPHLARQGWVTAGLSPFPSTAPTPSGESVPVQIFNP ATYPSLVPESDGKAILPFHPEIDQLRPKSPQRRGPRLGQGGGSGPTGSSNRPSPAPHR MSLGSSGPNTPMVHPSQSFTAHVQPQMQPGFPNPMIMQGMSMEQMMMANMAMGMMGGN MGQFGGFQPQPQHQGGRGMGINGGQPGFDNPQPQGQFGMAPGFPGMEMQGVTHGMGQM GMGQMGMPHMGMGMQPQPQGYGQFQPQGQMGYQMGPRFHGGWQGQGQ L198_00152 MPLLKHSIPLGIIYLLGLISSVKAQDDSDTSQWPEWVTNDYDCV IGCLSGFNDTITTIPQADLESAAYGCSSSTCTGDSTGNYYQILYYIQLFYATGSIYEW SDSAPDGYKHATFTSSEATSDAAVSGSSDDSNDGSDSADSTDSSTDSADVSASATDSS SPEGTGSADGDSGAAETGAVDVAESSSTGASTSSKQSSSASGSASSSAKTGTSSPSST VAADQSEGTSSAGTKVGIAVGVQGVLAVAVAVAAGGLWTGL L198_00153 MSSFGRTLAPLTTEARPSFSPLAVLKPFLLAIQASLLRLDLSRD PRKTLNRLKQHKFTLGNTLPLAFMVACALHSLYIMEPFLLKFAIPLVYGTAILLPITS QFVWPATPIFAWLITFFCARFIPSGRRPTIHVALLPALESVLYGANISDLQTRYTNAV LDVIAWLPYGVLHFTIPFVVALVLWSVGPRGAVQYFGLAFGWMNLLGVVCQILFPAAA PWYEIIHGLTPADYSMAGSPGGLMRIDRVFHSSGYTNAFGSAPLVFGAFPSLHAGTAT MEALFLSHFFPRFKPFFWAYVGVLWWATMYLSHHYLIDLVGGACLSVLVFYLCMPEGF KDVDQIQWDKVEGEGYEMIGGPRTGTGPDIDLDEEIRKLEEEGEAIFESIAPGDEESR IGEEFVAGGSEGKAPKPKVKKQRSVSWGETKVLGEGGVQQEEGSRAQA L198_00154 MAPRKNKEAASWEVNKDTPKPQGETRPRRAYCVKELVTEPAPGV TTVHDLLLYAAKTHGDKKGFAARDVIKVITEEKEVTKNVGGKQQKEMKKWNYFKLSDY DWLSYNQFLDFAKHVGSGLRQLVGEDSRKEKFFNIYGQTSRNWMLVAQACAFNAVPIS TAYDSLGPDGLRHALNEAEVRGMFTNADLFGTLIKVIEQTKTVDLIVYDGKADEKLLE QIKGVREGLKVIHLDEVVELGKKQPVEAIRAKSEDVYCCMYTSGSTGTPKGVLLTHLN VVSAVASVWTLLYEYLTPKDSYLAFLPLAHILEFVVENSFVFAGLPIGYGRVKTLTDA SVRECKGDIAEFKPSIMVGVPAVWELIRKGILSKVDQAGGLKKSVFNFALKAKTAANA YGIPFVAGLTDAIVFDAVRAQTGGNLKIMFSGGGAVSKSTQQFLSTALVTMIQGYGLT ESTAMAAILNPGWMQYGAVGGPVPAAEVKLVDAPEAGYLSTNDPPTGEILVRGPAIFK GYYKRPDLDKEAFTEDGWFRTGDVGQWNKDGTLSIIDRLKNLVKLSGGEYIAIEYLES IYKSCPLVANGAVIANGEHNKPAMVVVGHPQNLPAFAKKNGLGDSEDLEHLCTDEKVV EAALKELNAVGKKAGLKGMELLEAIVLVADEWTPESGFLTAAQKLQRKTIDKHYEDRI KAVYP L198_00155 MILALDPGDLNLYMVEDLGRECCSSPSDDETDDADDVSSRDSET CTSSSSPSAHGSTSAALHLQSYQSPTPTLEPSHTRPPTCSRKPYPLSRNISLEDDTPI TMPLPSRRIYLIYHIARLLLQQGIDGFFVPDGTMVDSKAEGQRRLIDINSVLRGMVYD LAKCLEQLLEPGFYIRTWACLDKQHFDSIASDFWGLSMVKKYAGKQVKIGKRFVAREK EQATKAPVRIKQIYTNVFA L198_00156 MSALQRFLLDPANHDLLAILKGARNGLVYGCKIRFPHALVMTFL FSHKPLPAKIRGIFTATKTHALNLCKFVTIYKTLLLLQKKLNGGKERNLDTLVAGGLG GYWIFGDRTPINEQIVLYVLGRNILALLPRLYSQSTPPAHPFQPLSHPLPSITSPAGN PKPIPPAQVPFTIVATLSWAVAMYMFRHRGERMQPGLSNSMRYLYRDSETWTSLKTLL WHNK L198_00157 MVFNSILHKDSSSESKYSLFGKSRSTSCCPTFPPPCPKRLNASV NLALQCCPSPLDIKGIVLGRVFFDLTSRFDCGLSIQVGCGLVESNFTAAKGGPGEVRV CDVVHYRITQRVLASTMLALLGRLPRPRSEREAEDLLCQVRGLMKGVWADIDQAFNNP SSMRAFETIFLPFHDDRAGFQLALNSLRQDILPDEWEPVLRMGAGMLKVQMIVHSCNG ERRKTYQRMAGKMICGHLTDKRSPLSEIERGRVEEQLRVNIDCTPSLESPCYG L198_00158 MPPPGPSPGSTTPASLSHFAIFNPYLKPSEIHKNPNEEERDRDD EDDQREAAQILFYTAREGGGVSRNTMLRQVGLAKGLMAFGDMVAKEDAKFWSIRSHKQ RLIIYTPEPDFYIYICILLANVGENNDPAPAAQGLSDQLLVSGLTRGYEDFRLLHGTL SSQTPLTPSTSSTVDRFFTRFAFEYEASHVSESPSMRKWLGGFPLVHVSLDETVNTFM KEWGEEYPMMIIGKDGPLHTSSEITDLPLLRHLTHLVQLSLPPPPPLPVSSKASKAEQ TSSLGFGLLSLGAGKKKAPNDLEKGRKASWPTLGWVPDLRRVSSPSLPSFQALYNTSL PQPPAVLPPVSEAGKGADVGQDKKNWDFGLGAIGDAVGNVRNVFGLPALPGKGTKPAS GTKSEATQEISEAASEGTESDVSATIVPSLPSETSETPEPAPEVPDTIVPQVVKELDE AEREEDPQGQVEAGDVSLPELAEAVQADEEMEWDDRSVWITEAGGGLEERRLYWIIRD TILIAIILPTKLEKPYPLPPSVLTLKLVASISSLMWPPPEKGIKSEKGKEKEGAWVYQ RGDQMQEEGEIPKDLEKTFVNFRGAFARSPVINEFMGKTASSRFVVAKRNQESEMYLA CAPADGSLTDAEQSLRAIVRAHPEIEI L198_00159 MSLAVENYLTLKGCLGIIEGTDVEPSRAEDKARTVRAGSVPPTE KKMDDDAKGDWEEWRKREACAQGVIKSSVDAGFLVDIRDLFSAKDMCGLSG L198_00160 MSSSEIKPEFHRPVRQSALRAPSITTALALGNAIDDGDESETEF KRPSLPPSTSGSSTDTPPKKRRGRPPGSTNKGAKASFFGSSKKPLGKAREGRRAGEAC TWCRYRRQKCDERDNCSLCQKDKKGCVYLSKLPRHQRDALSKPISLDDWNDMKDDIQF IGAGAGTLPTPPPSPAVLQKKKFVNEMKSEATAPVPSYRRLSMDEDENDAMSGDESDS ESPFPATFDTSYPTPSPNRATHLALSPRSHPFSLLAEQSQRTDFFGTIDPQRIHDPSS IAPGGLEHNALAISSCASGSSSEGAHVTLGDVELDSFEWCAEEFRVGGEEGELVQTHV DEFTEAAKKSWSTMNEFTPYQTLV L198_00161 MPARILAPPRSAHAQVAAFVDRHRQLLALERSAEEEQTRLLNSK CSPKLLEQRGLAINSLGVSDISIGLGGKSLVELHRPLAYHTSQALPPHTFRSGDPVRI EAHVSSSTSKSKGKKKDNDDEGAVEGVVYRVGPEKVVVAVSETKEIDLPERLRLLKLA NSVTFDRMNRTLDHLARLVLPEPDAPPPRAFNFPLIRSLLGMQLPTWSEEVPPTRASL ETDGGEKVNDIEWFGENLNDSQKEAIRFCLKAHDIACIHGPPGTGKTHTLVELVYQLL ARPVPSSSNSASTSQPPRILICTPSNLALDNLLTRLHALSSLSPYSSLAPPGSILRIG HPTRVHKDLVRETLDWRAANGEQGGLLRDVGKEMQTHLGDLGRKRGEKGAVKGKERGK KWEEVRELRKEYRAREGKVVSTVVNGAQVVLATCHSAGARQLNNMIFDICIIDEATQA VEPVCWVPILKASKLILAGDPQQLPPTIMSKDSVPAVKNVEQAIQSISLGPSLQPPKT LETTLFERLEKLYGAGIKRVLQVQYRMNEHIASFPSETLYESALVSDASVAERTLAHF PGVEGEEEEVKDDLEPTVVFFDTAECEFYERTEGDEDKSVKAAIGEGSKSNANEAEIA AKWARKLVSYGIPPAEIGIVTPYQAQVALISSMLHEEFPEMTIGSVDGLQGQEREAII LSLVRSNVAGEVGFLGEYRRLNVAMTRAKRQLCVIGDSKTVARGSKYLKKWMDWLEAE ADMRWAGDEMV L198_00162 MGLLDSFSGNDNNSSSNNQSGGGGYGQSGHNSNSSSNQHGSSGG FGSSGNSGSDSYGSSGNSGSDSYGQSGHNSNSSSKQHGSSGGFGSSGSSGSDSYGQSG HNSNSSSNQHGSSGGFGSSGNSGSDSYGSSGNSGSDSYGSSNKGGKDSYGSSNNSSSG GGYGGSNDNNNSSSYGSSGNQSYGSSGGRGGSDSYGSSNSSSNQSSGFGGSNNSSSHS SGGQGGYGGSSGNDSYSSGGQSGGRGGHESSGGGFGGNSNSSSGGGGYGGGNSGY L198_00163 MFTSPLIALLTPLQAVLSCAVHRSPDLSSISSIQSQSFPDLAKS FSQGCSVSNVTVALGSSSLSLVDGQPSSTIAVGRGIQNYTCTSGAYVSTGALANLFDV TCLYSMTAGKVDTNDLSTLLPEMAFSALQYPDTLNLPVAIHHLFVDTPGSNTTGAISP LFVGSTDQVLVSKTAASNDLTDPSVNVPWLHLTAVEGQGTLAKSVYRLDTVNGQAPST CDTEGEDLSVQYAALYWFTN L198_00164 MESPSPRCPCCQLDQHPLYCASCLREGIALHNELLSNLQSRIDS IVSQSAGLLESGPYAAGPSREKGRGVSAWRELKAEVADRERRCAALRSKIAEKEIATE DARSRAAQSTVHQRRETLSKVKASSSPATYLQQSINNTRLGQQDATLRIIHARQVLVR EAVAVFGLCTRPSGEWEIAGLVLPEPERFRLHSSYNTNAALLHTIHLLSLVTSYLAID LPYLPLPPPPLEKPHIGRPLIKPNLPFVSTTKWRDKHVLWMSSTASIASKLKTRGEPP SSAKLLSNPTIAGIIAKSSSKQKQFLTSFALLAFSVAYLAWSQDVPGIGIKDADELSP TESDDETHSRPQSRAAPDRSAVLISATSILHLIHATALSPTLGHKSHAPGGTRQLGHL GFGLDVAKVVGTVLKAEEGKWGGRRGDDGEVLSEGWDMLDNGGI L198_00165 MSAPSASTSAAIPPAPADDERLLISDQKDASSIWAIKVPNFLLR RWEEVQEGGIELGRLLVDNSTTPPKVTLRLTHPNEHEVEGQRAKRARYDTEGIPDEFD VNIPVERSKNTYVFSETKKVYEKGSGSGAGDRGAGGEDVKPGVKAYVPRDAWGRKKRD KANPKLVARVDHEGHVQPVRNAKYLQLVKERGLDAEKSKRPIVRMEDTGLSQAEQNQL ASGFKSANSKFGSNMILSNKPSGERFARLERHELTDRIFHCFRDHPYWSLTSLKQTLE QPEAWLREVLKDVSEQVKDGQYQGYWQLKAVWKEGNWNGAQGEGVKGEVKDEDGLEPF VKPEIMDEDEDEDDDDDMEEVM L198_00166 MSTLFNIKSGQSRTFKPKKVAEGTKQWQLKQYAQQTLGSGNLRT AVKLPEGEDLQEWIAVHVVDFFNHVNMLYGTVSEFCTPAECPIMNAGPKYEYFWEDGT NYKKPTHLSAPAYVEALMSWTQSILDDEKHFPQTIGKRFPPTFMTTAKTILRRLFRVY AHIYHSHFDQICALGIEAHLNTNYRHFLLFVDEFALLSEKDLVPLEDFNKTILNETGK L198_00167 MLSRRIAATTSKRGFHASATASKEVIMNRYSRTITQPKAQGASQ AMLYATDGVDAPEDFNKAMVGVGSVWYEGNPCNGHILALGQRVKQSLVNSGLIGYQFG TVGVSDGISMGTKGMSYSLQSRDLIADSVESAAGGHWLDGMVVLPGCDKNMPGTLIAL GRLNRPGLMVYGGTIKPGSCGGEVLDIVSAFQAYGKYLQDGQTEEAERQRQATIRNSC PGPGACGGMYTANTIASSAEALGMTIPGSSSTPAVYPEKLGECDSVGDVMKNLLEENI LPRDIMTRQAFENAMALTMVLGGSTNVVLHLIAIAHSVGVKLTIDDFQAVSDRVPLLA DLKPSGKYVMEDIHNIGGTPAVIHHLIKKGIMTGEGLTVTGKTLGENCDRWVEKHGSK WEGQKIIMPTEKPIKETGHIRILRGNLAPGGAVSKITGKEGVRFTGKCRAFDEEELFV KAVESGSIKKGEKTVVVLRYLGPKGGPGMPEMLKPTSLIMGAGLGYDVACLTDGRFSG GSHGFVVGHVVPEAQVGGPIGLVRDGDIISIDAVANTLTVDVSDEEMERRRKEWVAPP LKVTQGALLKYARAVTDASQGCGESDVF L198_00168 MTLRAITLIKSRFSTVPPCELDLAEFPSFPVSRQRTPKLHLVLR EALEDYGKEAVLRLNGVLLARAFVSHTSQYPCKIDVEIGGGLAHKYNAAPQLRDVIHQ HMIQSMFRDMMESHLRLLPPNDTLEEAQQQVENVQNFLMEVVGDIEESLNRPGLSFAF FFLTIVEHDAFEGALATVRRDTLPEGWGNIVVIGKTVLLQKMKASHSRTVQVYERQMA AQRRGQPQKRLVKTLVACSP L198_00169 MEDPEAHQASLQDLLQSSTATDITSPAASSYLDHLLALPLPELT RQPSLISLESSTVESDLTNLCFREYPTFISVHKCSSAVKSAFDDFSGSLSKLIDSIPT LEEECRIFTNESGKIQRVRGKASLIQEHQDKLLDLLEIPQLMETCVRNGYYQEAMELL SHSRSLSERYKDISLVQDVVKEVDNVLQLMLAQLLTLLREPVKLPSLVKAVSFLRRLE AMDEIELGLVFIASRYRNYRTQLVHIERDKGDPVRYLRKYIDLFREYVYDIIAQFTTI FLDSPASLPHVTAFASQAVTELVALVHSYVPRLSSDAASMSSILIQLGYCVMSFSRVG LDFAPLISEPFGETAMASFSGSISSASTEFSALLRESSKTFAAPSKSLVVPDYIPFLV SAPSSPPSLPTNGEIIEVATHYPPFVTLINAHLTAFNNLRLLAPLSLHSQILALHSAS LLSGTTALLAYLRHTSAHSEDAPPSPSIASSPTFAGPGGRPTHTRTPSAPRADLLRRN SEVLLTPEQRASRRREAKRVSVAAGHVWAKVVVPYLMDKLEEGVFGDEGAEIDTKQER VIEGELGKRLEQLESWVKENAEGLTLKEPVPRKEQREREKEKDRAEVEENTLHEEPEE DVDMSRTPEEIEAIVGEEAPDTEAAKVEPASQQEGSTSTPKAESEASVTQAETPGRSL PEPVVPEAPVETVSTDPSVPDLPVSSTKPAATKPALSEGTSVETAGEVEAQNGEATDE LVEKTASPQKEVSEPEPGVKDVGIEASSPKAVDTEIAPVISATEPPEEKLPLNGTEIT AKTPEPLSDLTSSGVEDTQKVAEDIPAEAPVAKENTPVNSTSPAVEEAVVTKVEDSVP ETVEAKTGNVSAELTAERDETTVGPVNNGEEIKNGNAVEESVLETIPTSSIEESSNAV GQDSEPVNPPSAEDEGQELVVPPFEALAEPPTPATVDTSTSGQASENPSRAPSPEAGQ GEAAAGGAGGGGGAKKKNKKKKKKGGK L198_00170 MLGGAGMDPGYILRHPVFLVTFIVAIPAWIIAFAGQCAMEAKFT SGNGHTPVAGTQWFNIWLQLYVSQHILALTSDTLALHRFQISIFLAIATALAVGGVQF IFQTEGPFIASGVGWLLLTIVNLIWILYLTSEEDTLIYNLLNSRGNGGLSTHGNRRIG GGIARRDSDAYSGGMGNGGGRGELGMGGGMGGGTVGMGTGMSRGISSNNVNGASAGYG GGYAPAATDTTPQKQGPAAREYGAASPAMDDPYKSRAKALYAYSASADDPNEVSFMKG DILDVVDASGKWFQVRTPSGATGIAPSNYLAML L198_00171 MDMFESLAKQASQITMYDVKSYYEQAKNAVLNVSEMEAKVREAT NDDPWGASSTLMLQIAEGAQFNEIMPCIYSRFMEKEAREWRQIYKALTLLEFLVKNGS ERVVDDARAHISTIKMLRSFHYVDEKSKDQGINVRNRANEIALLLGDVDKIRIERRKA KANRNKYQGGGNDGGMSFVTSSGSRYGGFGSDSLGGGGGSGSSSGINSVSDHYRSAPR GGFNDSSQQTQFDEYEGADDFDDQPPPRRTTSVSHRTGSRAASGGAPPKPVAKEEKKA EKPKEVNLFDFDDEPLSASVPAAAPAASSSVLDGDFIFHSVTHPANVNIDDFDDFQSA APTPAPAAPKTTNNVFDLLNSNSSSIPTSTPAPASAPSTSFGAFTSPPLTASKPPTTS YPSYTSQSTATASQAQTPAATSPKPKGSTFDDLFASSLSSLGKPVQQQSGGGGKTIKD LEKEKMSSTLWGAGTGAGAPAAAAPQAPAAAKPAAGGNFDDLLL L198_00172 MAAPSPAVRPAYTIKVADSQELVQACYDVRIEVVFVVEQGFSHD DEIDEYDPQSIHFLLTTPLPSPPPVATSSLTPNPATAGGTTEYPVGTIRFVPGKNKLT RLAVQKEYRQYGFGKVLVEALETFVKENAVKQNLGAVYEEGGKKWIDIKCHSQLYVIP FYTKFGYVAEGPQFDEDGAPHQLLIHKLQVD L198_00173 MDHVLAPSMTTSDLQNDDLAPCCILHPEDTLLALLHGLDDIVVL SLSPTTLDAAPATIDALPSIADVILGWIPIFFDGGVRKGLDVFRALVLGADIVLLGRA VLWGLAAKGEIGVGLALDIITEEFKLLMALAGVTDVSQMRRSTLALVNPSQIGLDRVE DAEWEDRRVW L198_00174 MAKSKNHTAHNQNKKAHRNKIQRPKTNKYHSLKGVDPKFRRNAR FAAQGSEKAQREAKASA L198_00175 MRSSRPLVLLRRPQTLPLRPLLRRPLSSVPPTRNINHRTNEYTD STIYAFSYLSRTIKYVLISLVGIGATGLIGFEGLHFWVEKVGMGAPSREDSGIDGVWG WDGEHQGWTGGPKGGTDPRLGFKARHALRAAWISQEWGAGSSASIEKGMHTSAFHPDY VAARSIITRTQADQAGGGVRKRVDRGYEIADEYVDLAIKEAKKKGLVFPPELSGARAS GPTFDNDGYKGLHGDPAAMDLLLLKAGVLERINTFDSLAHAKDVYEQVLSSLSSSALA PGSVSPGGQAKVMRLASKVGDLCARTGSRDEATKWWSWGLGHVGIDVQRKVVGQVEGK PAAGVKDLPPPVLRATIALITSASAQLATTSSLQAASELQALGLTYLTPPPLIPANQT PAAELHWTWLTQRSSLLKLHLSSVLYALQKTNPRSLPLLAEAKEEAEEVIADINPMPK EYLDKSKATTPAAKLLARDALLTGAEIAFTRGLLLERTVSPAASTSSSWSIPFFSSLT TSSASKLRPTTLTTLQAAQKEFQRATALSLLESGADKRKKGPKEEGQEEAVVVGRGEE WAKYNRSLERVNGKIDELLGVGQGAEVKEEVQKV L198_00176 MSRAFISSLRPGLAPRTVIAPLSLRHASSSSSPLEPSTSHTQPS HSQPTGSLPLSWPKYLTLRRQQRLWHSVTTVPTTLAGLFLGGGYFASLEADPTQLIFG IEPITSRTAKLALNLLTSLLGLGYLLGPTVGSGLFSITHPSLARGNPAPLEVMDREFY HRIKKNRADPRFQSVQNIVPDFYGEKIVSLSTYRRWLRDQAAYKKKAMHGLPDEQE L198_00177 MTYPQSWLCKEYEKWMSEKALKNPDLAFTASLRYTYKRAEGSKT NESCTSKNSLLYTVFDLKDDELLDIVCPAVFEVKSIVTSAATALVQEAAYLLGDHAAC GWYLGGFAVDRGHACMIVLDDKTVLFEVPNGIPPK L198_00178 MSLTFPSTKSPILLVQRPTLLAAPGCGSSTVATVISTFTIFFKA ATFLIGAAPKATISIKTAYTATVAISSTSITNNTSITTCTSTTNGMSIVRTIPPPAMI KFQITVRIISRSKLFPPGHLLEDIIRGCAGRWLVRVGYSIEEAFQCLGWFQTLFTATA PGDGLFDPQPSAQTITLSQPRRWNQSS L198_00179 MSAPPLLQPQNIRPPRDHAHHPAQPERAHSSSQHARTNPPWPVY YPVGGTSYLLEGTGIFVDPRTGVGLSVWIDPSLEDLYGLIKVIWKEGGHISPTPDSPA TQLLLVSPHQTPTLERYSHPERLPSLRHHNINIPKEPLPGRELAWQVKVMLDRCWIHR CLTAGHFVGYKDDWGGCRIGGPPAHVKMYPLEPMRWLMRFVDGGLALDGTGRAGGEIS RDAMRREGDDSDEDILALPGPPRVPASRSNVVGNGRPRVESAVSSSSRPVAQQHNTGT FNRNPNAGPGPSRAAGAGAPTGSPAPVLPAKPKTIPSPSKYESPSLHPTLQGYTSAKS KPKPLPSQNSSSAVPAQSFYQGSGPRPSNKGKTPARDEEQSAESDSDVGAYESDGDWP TGSQVPKSDFVSRETTTLSELDEIMTIERMCLGPGIRDSYFIGLLRKKYGAYSYAAWR DIYICWLYQNGQFSYLSASCPDSLEYSTRSAQRPIQADEYHDDTPRYIPMEPSTLSWS DVNHVFEKENEIIWNANLNLDETGHYLGDKYGVYLGSTWKAYFTRWRKQKQGKLIDED EQEPAPKAPVKPAVQRAPTVIRPTRGPKRKSPYSPPPPAARRKPSPPSQQRFPGPSRR KSLARPMVDTGEESDLDDGAKDIDSDDEPAVKTGTGQGVTEYMTNETLCKIFEERDAE WEGRGASRSEIAGWLEKEIGVYSVGSWQIYYNNWKTKKGRFIYLAKDTKPKPSPKVVK PRPSLVPPAPPLMRAAPRPAPRKSAPRAPAVSTNPQPSASRPSIGKSPAQPAAKPAGP KKQKKPKVAVVPKKSFTDEEEKAMAQYVVNYNVTTVLPSYLTWQGWAANPRGYPKRTP TSYATHCWKFRDRMEALVAQFKGTPNDTRWHGQLAKGGPGTKDKDRTLTVDPSDRLAM AMALAGVDMDTDEEEEVVVDADEELESE L198_00180 MAPKENWEKYEKKATDDKEEKIVALDESDIQILKTYGQGPYSLQ LKKIEKDLNEIQKRVNEKIGIRESDTGLAPANLWDIAADKQRGGRPFQVARCQTIIKS ANQQPADQPLNPQDGAGAGNPDGDRYVISIKQVAKFVVGLGDDVSPTDVEEGMRVGVD QVTYKIMIPLPPRIDPSVTMMQVEERPSITYADVGGCKEQIEKLREVVELPLLEPERF VNLGIEPPKGVLLYGPPGTGKTLCARAVANRTDSTFIRVIGSELVQKYIGEGARMVRE LFEMARSKKACIIFFDEIDAVGGARFDDGAGGDNEVQRTMLELINQLDGFDPRGNIKV IMATNRPDTLDPALLRPGRLDRKVEFSLPDNEGRTHILRIHGKSMSVERDIRYELIAR LCPNATGAELKAVATEAGMFAIRARRKVATERDFLDAVEKVIRQGTKFSSTALYAQYN L198_00181 MLHASNTSPSPASLFISRLHAPVTQGSESTASGPSGQAGTDRRR TPYLRGTEGEASDPVPDPSSSRGAYPNTTSYIPPAASRHPLFAHHALSPQRIAGPSGS QTVAEPPLATPAPQRQLSHVGESSTASSLSSPPSIRPSPQPSPASLFISRLHASKAAA TSADEPGTPAKRTINEGGLSGGSDLRRISSLRGGASGPSFGEAASNMSSPQGPNPNTV YTPPAASRHPLFSHHANPPQRQLSYRSNGGASPSFLSDPGPSGPPSPSISDMSNLSAN AFSPANHFLSYFSSSSSGGASQVAPDAEGARVLGYTLGKLVGRGGFSTVRKAILVKTG EVFACKIVKRDDLSDRSGSLEKFEEEIQTWQNLPKHPCLLPLLDMHRTPSVTFLFIPY MPGGSLLDVLQKEGGSDKTARKWFPGVVAAVSAMHDGYSGFPGGLLHGDLKLDNFLVD LSGKVMVCDFYMAQQVGIGEPRRGREMATIPPPLNGNAGGVGRHSTLPSNFQRGSSRL PSPYRHSNPHSHGPRRVSNEHHLHTPEGAAVASQPFPSASLPYAPPELLRAPPSGPSL AQDIWAVGIILHALLTGRLPFVDAFDPRLQMKILRGSWEVPQGLGKEWEECLVGCLDG DREARWTIKRIRESDAIVGWREVQSRSKSRSRSRAKGFGLGDRYLDPLGRNSSQPVPI LSPNPRLRDISRSRDRSGQIEPPLSSSNYTPRDPFALLPQPNMPSVGRSRSVSASRSR SSGHRPMFALDGVELARGLESVELDRGRSARRDYDYASSSNSPYPPQRNTPSALAFAR QQGTPSNQTPVPIPSSNNRSRSESANRAGHVWHPQSAPAQSGKFREAMATNFPAQGPR PVPTPQNDQRSHPNAKSRSRSRHSQTSQTSTSPSASRSLSRGGQAWTGERDGHGGVWE AAPERYAYGQELGAVHEEDRGRDRSTGLAIGQAERGVSRGRKGRREW L198_00182 MSSPQSAIRHPPPENPPGPSHDTAALKNDVAGGKTSLQGGEGDR EAVAGEQQHNPPKTPFTPLQPTQTSLSQRPYSAFSKSTKRLIVVLGGIAAIFSPISSN IFVPAIPTLAQAFNRSESDISQAVTVYLIFQAITPSIFGSMSDSFGRRPLYVATLIVY LCANIGLAVIPTSAYWLLLVLRALQSTGGSAVVSIGFGCISDFAEPRERGRYAAWFQT GAMAGPAFGPLLGGVLTQSLGWRSIFWFLAIADAVVLIPLILFLPETLRSLVGDGSIP PPSLNTSPIVLYQRKQMAKRLAEEDGEMEPVVRPEPKPYRPFSTFMILLTPEIICCFV FTSLLYLQFYSSLTLFSTALKNSYHLSEVKIGLCYLPSGIGTLISSQLNGRQLDYYFR REERRVGGDYRSKPLLFNIEKTRIRCLAPFAIMSCLATVAQGWCLQAKAHLAATLVMN FFVGLGSGTNGSVTVYGQDIKPGKGGAVSAALNLVRCLFGAIGVASIQTMYKTMGAGW TFVLLTGLVILGSPLPIITVYKGRGWREKRNARHAKKAEEQANKAVKV L198_00183 MFAAPAPQQPPLSTTSSSTTLSQDPTVIDGEGFGVEQVANQDAV REEALEAREEHEQHQPISTSSSTTAVNEYISANKHATFSDLGHKCDSVEPIKPVNPED PPPPPSSATERPYSAFSNSTKRLIVGIGALAAVFSPISSNIFVPAIPTLAEAFGRSES DISQAVTIYLVFQAVTPSFFGSMSDSFGRRPLYIMTLVIYLGANMGLALMPTSQYWLL LFLRALQATGGSAVISIGYGCISDVAAPRERGKYAAVIQAGSTTGPALGPLIGGILTQ TLGWRSVFWFLVIATCVALIPLVLFMPETLRSLVGDGSIPPPPLNTSPIVLIQKRNAA RKAAAVNAPPEPVEEIERPPRKPYQPFSTFAILATPEIICCFIFVSLLYLQYYCSLTL LSTALKDSYGLNEIKIGLAYLPLGLGTIISSQLNGRQLDYYYRKEESRVGGDYSSKPH QFNTEWTRIRCLIPFAVCSCLATIGQGWCLEKHVHLAPTLIFNFFVGLGSGTVGSITV YAQDVKPGKGGAVSASFNLVRCMFAAIAVACVQTMYNAIGAGWTFVLLTGLVIAGSPL PIITVFKGKQWRDKRKEKKELKKMKKAEKREEKQAGVKN L198_00184 MCNGSVLPAPWLPLLAAPCPLQLPAFLAALRAQLAPEAPEAPEA PDRPETAPHQLVVRNTQLDLVDVSLRFSPPHSTTGATLHHAIHFYSAPDHDIAVIVLT PRAPVTKASDSPFCPRIQKLAYVWEPWQNAQQGDSIPTTTFDHAVPCGRVSIHYVPFP TSPPRSHSPPPPSFLAPRGAHPALASIASRLSSKPKRSSPLASTSSISSISVNDHNDL RPPPPNKSDDKSDNRFEGKDDRPSLYQHCEEHLGRLFRQAQDETLSSDPWAILSILSI PLSLSSKAPVSTHDSLLHYDAKVLDFSALYLILKERHRHLAKKKSSFPLDQLRTSSRS LSHHPDDSALPDDLAEWGQHDIAAAAFLMIMWRDLYPRGDNDKDGACGGGAGGPRRAW DTWGRPKAGFVDLGCGNGLLVHILASEGYQGVGYDLRPRQAWSSYPSSTQARLHSLEI DPPAWFPATLDEWKSGGWSGSVVRDDSFLIGNHADQLTPWIPLFSLLPKTPIPFLSFP CCLHLFSPSAMFTDCTYDPPVHPHSPQEWLPLVNGEQEKHGVYSSYVKWLGWVGLKAG WEWEVESVRGEGVKGYGIVGNRRWTESADQDDASRAWALEQVQSVRKGATKTNADK L198_00185 MRIAIQGCSHGSLAEIYDTVEQYTTTTGNQIDLLLLCGDFQALR SVHDFPSLAVPDKFKQLGTFQQYYSGQRVAPVLTIVIGGNHEASNYMWELYHGGWLAP SIYYMGAAGSVYVNGLRIVGASGIYKSFDYTKGKCHHERVPYDSKSLRTIYHIREYDV EKLMRLTPSPNTIVMSHDWPTTIAHHGDKQALLRRKPFFAEEASTSILLGSPPLLKLM NHFQPAYWFAAHLHVKFAALYQHNFPSHSQDLIAQNQSVHNPDEILIEDEEPLVETGK AGNPDEIKIDDDEDMGDGAKGNPDEIVMDDGEFEDLPATGGGVKERGNPDEILVDDDE FDTPTAAPQGVSQTPAGENPDEIVLDDEIDDPPSPAAPTNDSIPAPAPAAHTAAPVPV PASEPTQPSTTLMEPIDESADLLAAARAKGDGSTATGTIAPSPDDAIAERLRKEAEEE KKRWSELAKNAPGGQGVPGSTKFLALDKCGPNKRHMQFIEIPDLEPNPSYPPRLTYDP EWLAITRALHPYLPLEYHAIRPPPSHILGQMIEDERIRMREEGLLVPQIPKEGEEEGE ELVWEKGKIDVGRVQRFWWTAPPQGEPGGSATAWYTNPQTLSFCGMLGLDNKINPAPP VPLAPAR L198_00186 MHPTTVLATLLATLAVSNALQVTSPTEDDVWSSSGSQTISWDSV STDPDTFVIELVESGGQNGVTIVRNQTSSENSVTVSYPDGDWPTGTAFQINLLSARSA ILAQSDQFNITESASSSSSSSSESSSSSSSSSASSSSSSASSSSSSAAVTSTSAAASS SGASSAAAGSSTSGSIPNSSAAASSASSGSALTSSVAIGSIALAFVGALSVIA L198_00187 MAKLKNFVLQVDDTSSSLNAGLPLAKGMSLSHWQQTTRSHPLLN ERTTRVLPAEADIVVIGSGLSGALTALSLLRSDTPPASIVMLEARELCSGATGKNAGH CKPDAYRGYSEYEERFGAKEAIKILANEKRTWQAMVAFVKDNEVDCDLWTGKTMDVFM DEESTTKAASVFQAFQEAGGDVSAIEVTSERSKARKVSRLEDARAVYAWDASTLHPWK LVAHIIQQCLKAGLNLQTWTPVLSITESSHGWTVNTDQGSINTPTVIHATNGFACALL PEMTSLIDPTPHMCNRIYPSSSFSGTNALHNSYGVIYANGMYSVNPRSISDGVILVGG SPPSLHELEEYVAKDKKRQTDDSLVNFEPVTTSVRELGSKGFRWESSDYGAATQYDCS WSGILGMSADSVPFVGAVPGKPGQWMSCGHNGHGMARIFTCAPALAKLVQGRSWSETG LPGCFQVTEERLRGLKKL L198_00188 MTDSGSIKLATTDIAVFEVDATAGGDAVTTISHSHRGLRPRHLQ LIAISGAIGSSVFISIGGPLTGGPLALLIGVSLWATVVWAISNFLVEMSTLLPIDGGF VHYSSRFLDQSIGFALGWNYFVTQVALIATELTSFNVLVAYWAPDLHPAICISVGLVL LLVVQVVNVRVYGETEFWISILKVFLIVGMFIFTIVTMLGGNPLHDRYGFRFWKDPGA FAASTPTGRAYDVWHAVQWGAYGIVGPDYIALVAGEVKDPRRVLPKAFKSTIYRIIAF YVGGALFVGINAPYNDDNLLSASSNTARSPYVINMNRLGIPVLPDILTAGILLSLFSS GSSMSFAASRTLYGLGLQGQAPKFVTKVNKHGLPYVCVLITLALSCLSYLAVSNDRRT TVLQWLINLTTATQLITWIVVAASYLRFRAGLVAQGIGLDFLPARGLIPRFSAWYTLV WSAFALIFSGYTFFGPGEFVVTDFIFTYGAVFIFICCYAGWKLKDFLRNGSITWGVEA KDMDFESNIEEIDAITLAAEEKRATKPLSKFQHVTRRVFRTPS L198_00189 MLEAACGKPHFARTRAVLEQLVLVDVWRCLATGQQPQLIAEPFQ PWWYDHATGQGERSSEDFRNKCGMDAGVLELANRVNILVYEENVLQSISDPTYIGQNT TKANDLLLELDIWVAGEEMDGQTPLKIILGNQIMIYTLRVVLLVDLLHHAHSHETVQT AACKGLRLLEQSRSITTINMLIATIILGSMMLEETGRQRARSVITSMRSHESFSYDVE EAFGMLNRLYALRDEGHYDPSWRSVVGELLLL L198_00190 MFARQLTKNSSAIARGFASSARAHKQVAVLGAAGGIGQPMSLLL KSNPAVTGLRLYDIRGAPGVAADISHVNTQSVVKGFEKDDIKEALTGAEIIIIPAGVP RKPGMTRDDLFNTNASIVRDLAEAVAEYAPKAFIGIISNPVNSTVPIFAEVLKKKGVF DEKRLFGITTLDVVRASRFLGEITNKDPKDVQVTVVGGHSGVTIVPLLSQTPAGKGVD GEAYKALVNRIQFGGDEVVKAKAGTGSATLSMGYAGARFTDSLIRALNGESGVVEPTF VKSPLYESEGVEYFASNVELGPEGVKKINSVGELSAEEQELLKAALPDLVKNIKKGVE FVKA L198_00191 MFRPNSLLRATQGIPASSSATATAFRPLFAATRSFHATSSAQLA TPVEAKPSKIKEFKIYRWNPDVPNEKPKLQSYKVDLSQCGPMMLDALIKIKNELDPTL TFRRSCREGICGSCAMNIDGVNTLACLCRIPKDTKTESKIYPLPHMYVVKDLVPDLTH FYKQYKSIEPYLKNDNPPAQGEFLQTQEDRKKLDGMYECILCACCSTSCPSYWWNQDQ YLGPAVLMQAYRWMADSRDAYGAERKEKMQNTMSLYRCHTIFNCSRTCPKGLNPAAAI AKMKLEMATNE L198_00192 MLTTPMEKDFDSKPSSNYSADPKETPAQEGQPIIDYNTRNAGDD REAYADGDVLVRAPLQRRLKGRHVTFIGFGGGIGVGVYVASGAALATAGPAGLLLAYA LVGTVTWALMQSVGEITTLLPSAGNFPYFATLFVDRSLGFGMGWNYFLGSGLSVASEL SAAAVLIGYWSSLNSAIWITVCLVPMIAVNFESVKWYGETEVVTASIKIITFVVLIIL GIILDLGGGPKHDRIGFRYWNDPGAFNTYPGVTGSLGRFLAFFNTFINAAYSYTGGEV VILAAAECTDPHKQIPRAVRRVVYRIVFFYVIGVIIIGMLVPYTDSRLTAGTGNAASS PFVVAIENAGISVLPSIFNAAVLISAWSAGNTYIYAGSRSLVALSLSGHAPRFLSKAS RNGIPYWSVACTSAFGFLAYLNITALTYLLTWGALCFTYIRFYAALKSQGISRDSLPW KSMFQPYLAWYGLLMCTIIVLFSGFPVFMKGNWNASDFVANYISIPLFLIPIAGWKIY KRTKMVKASEADLYTGRLEADYEVPDKPPTTWWGKSLDWLL L198_00193 MNEEKNVDVKAGSNYSAETPAGEKGEQDIECTVVNAVDDREAYG DGNLVPRDPLQRHLKGRHVSFIGFGGGIGVGLFVASGAALANAGPAGVLLAYSLTGTI SWSLMQSIGEMTTLLPSAGNFPNLAGRFVDPAFAFGLGWNLLLASFGLAIASELSAVA VLIGYWSSLNAAIWIAVALVPMICINFISVKWYGEAEVITASIKVITFVVLIILGIIL DLGGGPTHDRIGFRYWKDPGAFNTFPGISGSLGRFLAFFSTFVTAAFSYNGGEVVVLA AAECTNPHKQIPRAVRRVVYRIIFFYVIGILLIGMLVPYNDARLTGGTGNAASSPFVV AIENSGISVLPSIFNAAVITSAWSAGNTYIYAGSRSLVALSLGGKAPKFFAKTNKRGI PYYSVACISSFGLLAFLSAGSGGATQAFDWLQSITSLTGLLTWGSLCFTYTRFHAALK AQGIERNTLPWKSLCQPYLAYYGTLMCSVIVLFSGFSVFLKGNWNASDFVANYISLPL YFIPMGCWKIFKKTKAIKASEADLYTGRLEEEYQIPDKIPTTWWGRFVHWLL L198_00194 MSNTYLFALLFLLLPISFAQQAGNFSLKLSTSSSSCIILSQLTI PSADSPVTLQASIPICSDSSPLADDGSGERNVTWPLAEEGSSAASSLAIYGNIDKGDG DLVEAGCVMELSIDQVQYLLGRDLSWNPQDQLWDNGGDGYVMNGTTGGTLTCSDAVAT LLPANAQLPNPYRVSADDDAWSTAITLDTSSDSPISASESSSESSASSETTSSSSTSA QISRTTVTSSSTASSAESSATDDGSGESGTSSSAQQSTASSSAISTSSYSSSTSEQNE LTVKTSQTSSSFDAASITSSDIVGVEASSIASSSSSVLSSSSSSSTETVPTSASTTLP SSTQKAVTTTAPSTSASSNSDGSCVPVTITQVQTVTVSAGSERRWWMYRD L198_00195 MPLCTRCACPVDTLYTVYNSQQNIRLTVCPRCNQFADPLIEHPS LLLFLDLVLLKPRVFLHLLFNRGSVPFLSAAPNPPEEEREAIRRGRLVDDLSLLLSLS ITAEVLVRLLGFENLDTERVGATIGLVLLEGVAQHLATLGLALAALKVRSWDSVSLKQ LRAQPLELQDGRQQYFLPILIPITLLYTALLPLLFQLFLYPWTNPVTTHQTPTSLLST LPILGSWAPSPAITAFDKHLSLQWAQTDKIWAGTRLLGGMSAGFGLRVLLPTKPWETT GIVLAGWVAAGLVGAFGKELLQG L198_00196 MSIFRHSISSLADNNDSPFALDSTSQTPSSPPASATLPGSNSHV SVQLPDHPPSHTRSPSYPNSSHLPSAMHHTTGIPRTRTNSSSQGDGKYRRKVGFEAFE AGPAALFAFTCQAKSEGYKRSRNTRVFAVACSPDESGESALEWLMSELVEDGDEVVAL RVIEVDDGERQSDKTQDEFREEAQSLLKLVLQKNDEADDTRKISVIVEFVAGKVSEIL LKMIALYRPDSLVVGTKGQRSKLQSWGMALGAPGMGSVSRFSVSHSPVPVIVVRPERK VRKHLQKRQKDPKRGQYAAILGPNGLALSRSRSRERSTGGSTGGVSTGGISDYEP L198_00197 MSKKHLRNDRVNDDQDRRSPSVRSLESEEGMSRVSREPEIVMLS PSPGPKTIAEPAVGPRGSSSTKNREAASRSPKSPHHLPLLFRSSSLALRPLVTDTIVP QHHRRKSSSSHVQVRGGSGSPRYSSSPRSPRAPGHPTRPSYHHPAPYYSSPHAREYDH RPPLYPHPPHHAPHAYDPYSPAPPVYPHRHHSSLPPIHTQQAEYYPTPISTDRLYPPG PPPGHPHPRAYPHAYEAYNLLYPPPSYVYPPRREPGYRAPWSAQRSRLHGHPYAPPPG RGYPEDGMRYHSAGPVPSEGHRNLAAGPSAGVRERRSPASAIVPRGAGGANFKSPRKR ADDMQLSILSDVFQRTAYPTTEERDELARQLGMTSRSVQIWFQNRRRAVKVDQQSAAE RAEAEYRELAVDPRTHDMLPGAMYDERRPMSVELRIAHDAVEDREGVVREGAGVKRER VSP L198_00198 MGRTFRVYLAGEKVLACKQCGNHLAVSEGVISEVGRYQHDPSSG PEWQYRSHFRGQHGTAWLVQQVVNTYTGDAEDREMSTGRHTVRDVFCRVCHTTLGWKY DYAFEYSQKYKEGKFILEKALFSEKPERRDIGLVPRIEEIPIRDIIAARV L198_00199 MFRLLFQPFSAFFILLATPLALAQSSGTITVSSPSTCIILAQVA SLETPNVITAMVPVCAASGSVSVDWPISSSGDAASSSMGIYAQTASASGGLTAGCVVA LSQMQAMYLLGRDLKWDESAGTMYDNTAGGNILDPTTYQPIICGASDSLLPSGLVLPD PSTNDASAAVWSQAITLSAGASATEATSSADAATSVFPTSSVEPTTSVAPTSSVEPTG SVESTSSIEPTTSSVESTSSVDSTSTIEPTTSVDPTTSVESTISATPITSVEPTTSSV EPAESSSTSENIPSATSDAETSLEAATSQAETSQVVSAETSSIAAVSTTPISAPSTTE ASSTIDQPTMVDQSIAIETPGTTEQFTTTSELAPTSEETSQEPSTSQTPSTTQQSATS DSSSSSLASSTQAVAVATAAQSSAAEESSTSQMEQQTTTEEQSTTAEQLQPTTTSEEV AETTQEASATQLVASTTEEITTSSSTLESTIYTPTSTSASTSSTESSTAQAPPTSTVT DAEQITSSSAAQEQPSSSASYSSLAADASDVSSLYSSEVSTSTSEAALVSTSAQEERP SSTFEETSTTSQSSTSAESTTEAQITTLEPPAEVSSKSVKATTDVSEASSSEYVEPST TEQSSAMQYTSKPSTPFTSAEEITTTAAQPTTVVSQDQQPTPEISEESTSLSAASTTS GYETSTTSEASAESTAQTATSSQMAVQQTSATQQQASTDETPSQAGNIGAASSTSDSS SLANVASSVESWKAASTSEAWASEASGTSDVWSSEASGQSSSSSSESWFEASSAFYGS DETSYGAFSMSTTSPTPTSSSDSDSVDGDSPFVFTAGGTTIGQFTGAGGVAAVQTDVT ASGSMSASEGLSDGMGGEADADGLGATLTFSWAENGPTQSQWTSDVTTAIGTSTESSS EASSDLEQTMTSESTAASGLIVPTEKASVAQRPGDSLSDGSIMAQTTMPTTTRAGNSV SATASGNASEQVDEEGSEKEGPEESAASDTGDEGLSASSGTLSTTSLSSDDTAHLTNS SSGSLPTPVNPQLSTYFHTGTSSQSEKVSGSSVTSDSESESVNSIPTSSSGILAAVTE PVKQGLSSAEAASAHAGISSQSAEISKDDSSEVSSTITSTGRAPISSGVLAVASDTVN SSSLSNMVSSWAAQGSSANREASVTEPASSSVTSSWAQPLSNHTTSRSYPYSLASSAS AVTVSASAESTDSNDGGKSSAQDRNGQGRGSKSSGSGKTCSRRRKEKKRAERARAKDV GVF L198_00200 MNFFSRQKTKSPADNVKSLRDNILRLENATGEGSKKVSRAGASF GRWLGLPGCACSAILATHHLPRWDISDRQLTPSFYPQINEEISRQIAAVKAALSGESD GEPSPDIVAQVANEVYAQDLLSLMVLHLGRFDFEARKDVCNIYNILLRRQLGTRSPTV DTIATRPDIIFDTLKGYANPDVALNTGMILKEMLRYEPLARIVLYSDQFYTFPNYIEN TSFGISCDALANMKETLTRHKPMVAQYLEANYDRFFAMYNTLILSSNYVTKRQSLKLL GEILLDRANYNIMTRYIGSEANLKMMMNFLRDKSKNIQFEAFHVFKVFVANPNKPAQI ASILRRNKDKLLVFLKEFHNDKDGTLYTFPSLQTLTGHVR L198_00201 MDNSPTALFENYDEDLKQLLGGLKAKLDGEVKGLTGEQRKAALK KVSEEIDEAEEIVGQMEVELPSMPVSIRSTYQGRLATSKQGLDKVKKTLRDLRFESQR SELLSGPGGAGQSGRCPHADDPYSDDPEPYSTRSRLLQGTQTLEDSSGRLDNAHRIAL ETEGVGSEILRNLRGQREQLENTRDTLTQADSSIDRAAGTLKKMIFKMYQQKFLSIGI IIVLVLLILLVLYSKFH L198_00202 MPKFSNNLPKMEDVMKTQPQPQVLRDLLAARRVQAAAIIHNDFP SKILHIQKLLEGENDPNSPFWKDIVFEKAYAEPTIGQPELPKGIGGLDLNDSNGNGAA SESEEGTMGKDKFQVVLPQDIVTRSTNLTEEQEGGVRMGMHWFEVFKVNETQNECIKI ITKELEDLHFLAQDLKLWLDLEIPLIEDGNSFGAEVQQLVIRELLDTYKKCNSMQNGV RAHYTDRVKLGMDWAKYPNMADWKAAIVASDRFDHFLLRSYLRNILMSYSSVLTKMER NWSKVINPKGSSDVGGMY L198_00203 MLTPYFALSLALLSTLAGAAPSLNYPPAEQLPPVARVGSTFAFD LLPNTFNSSSTITYTTSTLPTWLSWDSPTLSFYGTPALSDEGETPVQITATDDTGSTR SNFTLIVTNYTSPAVHESFFAQTAAPSLHDIASASILPNGTGVSIPPWWSFSLGFQWD TFRLSEENNNGRLYNAAHAEGFVGLPSWLNFDNNTFTFTGVSPGEGSYTIVATGTDFW GYTGAQTSFVIEIGEGQSIEMARDFNFSSISTIAKGKVDYDVDLSGVLVGGSAAEESD LNVTLASEDFSWLSYDSSKNVLSGTVPDSYQNGTSSSLSIPLTIASTNTSSTLTLSTW LALDITPYFFSTYSLPNATVSPSSQYSLDLSQYLTNSTAQVNATVTPNDAASWLTYFT ENKTLIGTAPESPKYNQVAVVFEAVVGALTATTTLDIGITGVSDTSGSTGTASVPSNT SSSAAAHHGLSTGAKIALGVVFGLLGLILLLVLLWFLCCRRRKDKKDDDESDEKRPRA SAGPDLGDPFRRSVGLEPVRSVNDTTLGYSDTTAYTARSPASMSSNATAVEKPHRLDG MKGIITWDETGEEHLEYNPDFSRDFVGYPDVIATQDPVDANMSDYTRSFMSASSRASW QSKSSFNWSSGDGSGEGARPFSSEGQDLERAAAAGGIVGLGMNRMSTAESIPRPRPDF TPRYPRHQSPAVLARLTGEDSLSSHDSFSEFHSSYEGAHDSYQSRSAFDTGSNFDETM SNAGTNSMMGTGSVFQSRSQQMNSSGVDSMGFRRSGLSQIGESSDFKTSDTDGTDGEG EEAAVLATARRTSVDPRGDSPRVIQTDRAARDAHTTSGMFDDADSRPSTMYDPSSNAG LGYPNSVIYFGSPQPDVAEAGDEAYTSQRASGAPSESTARASTIRAVPFRDSNPISPS LPQPGSFIRHRRTNTAGSGFGSQSSSRVVSGASASGANDGRVYATSNETFSIHPAIHP PPTVQLSAATWSSNPPSTYRAELEGGGSLPGWLHFDARELELWGVPPQRVVGQQWVIR ILEKLPRDPRRADPSSFGYEPAQEREVGRVMVEVTEKMRSPQVSYEGSPHAL L198_00204 MALHQPLLQPLQDLAASCPPNPQHSGPISTQELTDKLSSIWHSY SISPELPDGLAKNPDIVRSVLELIGREFVVLAVINGQLPEPSEDASEKDKQVFQVALQ DRLDIILTLYEVAFIANQESPSLEPGALFIPLLEELVELLSITTWRRLWSYIETRSKR YTKGMLPSRGKSLPLLRTINAFLRFLPRTPSDLVFRGRIHQFASSVFSVADKSAINMR GDYGEVKTVWEEEEAPSEDIKVDEEVKEETEEKGDVDMVNAEEKEAAPQPLTPVAPPE ADFYSTLWSLQRYFAHPPSLDGPPVGSPPQTPFQTFRQKSGLVLPRLFEETQKEKELS GKDETVGKKRKREDGGFFHPRYLTGKRLFSYELLDPSFRRQILVQYLILFQFLLNLTP AHAGKQAFTGGMPRSFVLEKADEEWVTAKIKEIRGEMKKMSGGAGFEDTIFSIIRQEA HYASWKNDGCPEGAFEIPPLDASSSAKSASEDWSRRLNAPYPYSFKVGSRSLSMLWSN GFKSIDQLKGKAPATTVEGLDELIRKMEEDEEDDKAMGQVDPEKLAANKERKTTANWR ALRLASQTSLKHFPALKQQRSIHLLLETIKQSQEPKAQAPKDGQGEVKVGESEEKEGE EEQKEIESQETAGDGKEGEVEGEAKDEEAKDEEAKDEEAKDEEAKDEDKAGEGQAPAE PPVEKEEVGEDKPEELRETTVDSAVTTAGGEEQTTAQIEEPEAQGEEQGDLDAKEESP QNGDVVMDQA L198_00205 MPNDDCPTAPAEYKIGWVNIHLPLIFDDGVKWIVRIPRRHNGDA PPNLHNLVASSEAATLRVLHQNGAKVPNAWMPVEGNPDEAANGRYFFEEFVEGVPYID GDYMNTLHPDRDRFTASLLEFAQHYIAVADIKLEVKGIGSLYPSDNSCGYTLGPITSM GTFMRPEPPYFLGPFKTLRDRYIAHIEQVLFHIRNLSFLMIAPIRTYVWLMELQDIIK ECEVLGREEDDFYIRHADDWFRQTMRDSEGHLTGCLDWEWAYTTTKSEAFSSPLHLHI AHPWTEGDNALSPDELLMIDCFIKLGRPDLGDCIRQGRLYLRLEEALRIDWELFYMNR RGNFNGVLAAFRDQGQQVPGPFESDEELKVWLESLEKKRQENGELDEVRATWRAYSAV WKEAERRDEETWEDVVAEQYKKLGLEEVDSAEK L198_00206 MPAPSNRKGKSRATEEETVQAALPLGKQLAHTDKKVRDRAIAGL VAFVSQGSGEGEGSKYVPLEDKEMAKLWKGLFYCAPGFWMSDKPLVQQALATSLSDLL LLIAPSNPSDTFPAALAFLAGFWQAIVREWTGIDRLRMDKYYMLMRKYVNATFRLLAR EQWKEEKVAAVNDVLMKKGGPVGWEDRSVPESLANHLSDIYLEELDKVLALPEVTSQP PCPVASLLTPHTILLARTPTKTVHTRLMSSLFTPILTSLSLASSTPVADSERPAKRTK KEEPMYAHIVMHSTAKEGGKERSTPEELKGSVLRGMFNAAAHGGATEPNRRKIYKVWR EEGGDDDDDDDEE L198_00207 MSSFFGSGAAGTADMANRKEQMKQSIQQELAIANAQQLINKINE NCFAKCVTKPSTELTGSQETCLSQCMGLYMAAFDQVSRSYVQRISKERGGLPGL L198_00208 MPPKGSTFRGPNAQHFQLVHRSQQDPLINDPDVGQRVLKAVSRG NDKKAELSLAELEASLDKSKIRSNEGEAALYGITYDDSAYDYMQHLKPVGGLAAGGDS WLIAAPKGGSGVAPGMKGGKQKAKGGAVDDDMFKLPETVLPSKAMMSVQEARARSEAI PTELQGLQPDMDPHLRQVLEALDDDEFVDEEGDDEDWFGSLVKGGERSAQEEVPWEFA EWGVDEQGKPMDKKPLEEGEEREETWEDRFKAFKAQQHKLPEVVSEAGEDMWGEEERS EMADTIGSLASNMSDMMVQGGKKRRGKRGPSDASGMSMSSSSMFRTQGLRDLDDRFDK VEMDYLYDDEEEEEEDWDDSDDGTASIAASNFSTASRFSLASHASRASNATAVPPELS RDDFESIMDDFLDNYEIVGRRLRPALGGTTMTGPEKLRVMRAAIEGQDEEKEENRKRI MEIEREDMSRGGKGPKRPAQTERVRQEDGPKWDVESILSTRTNTENHPGTIRNPKRKP REAQAAPPVREEESESDSGSETEREGGPRVTVARQKGEAADDKKARKAAVKAERAARR AEKKSHTETFATERKKQLKSAGKLVADGKAADVPSGREGVVRLR L198_00209 MLEPKIGGGGIYPGRAALSPVAAVSPGVPRSPDRSVQARLIQPP DIPKWRDADSLQVLAVDLVNRPASVQARTHKLKKIVPEPNSFFMDVKCPGCFAITTVF SHASTVVQCQGCATALCQPTGGKAKLTEGCSFRRKN L198_00210 MDAPSLPAASILLNAPGRPPASPSSTPHNGAPLDSSISGSSLQS TSTGTSNGNPFFSSDSSQTSIPHSSPPLSSSARDPSPAPSHTSSFSSQQGSGGYYPSS MTAPGSPSLGAVNRRTSTPKISFAPLPTVPPEFRRRNSISLGVASRRNLIGAQNGLPP GVQKHSGVHSVIMNDEEWEEYQRQYHERKGNDGVVDVGQLAKSGAKALWRSVKRRRSS SQTSQASQTSTDSASTNLSNAQSAGSGSASGVSQQGSLSTKPGGAGETMSSLHTVEED DEHHSPPAPAADLVRDDSGAQPLHAPLAKRPRSPGVKLTKEALAAEGLDDGDGEGGEA TPRRRPSPPPRLADKLPTADELAEGREEEDDGEDDYEDSSVGYEEEHDELSTVEEKED ISAHAHHHAQHEHHDHEHESHEERDRDRKASVLGFDVERFGLMEIGEQQHRGRR L198_00211 MTPLTSNPPRSHHTRAHSTSTAFNGQPQSKTRAARSKSLAGPPP PAKSAGLGFLSGGLPTGPSPQLHPYDSISSLPDVEALGLPPASVSELRQRTQQLIQNS ITSTRINATPFDQPTFQFPSLATLPGEVPLHMQADDYALLQAMEQFGVGNSYANNTQA VPALNLGHNRQSSSISNVFDPPRFAYSRTGNRAFRTRSRASSRASDTFVTEGREAYGP SKTLWLGNIDVQVTKGMIYEEFEHYGPIENIRVLPEKTCAFVNFVNSTSAVTAHEDIL KRQGGVMLSLNKTAPVLLGFGKDNSHRRTASTLSAAFSTSAETATGNNGCPHGIAPTR AIRIERVPENVSSTTLSQIFSPFGSVENTRILPGKRHGFVNFELLDSAVAAYESLNGK PLFGPDFGPVRIDFARVPTRAPSQLKVTFLTGDSLAEALGTVKGADSVPMERQMCDED GDVENYRSPFLLDILKEGVHEKVLKKGLTLDGHVSEKQLIMQMLSEEDEDEDVRAVDT DRPVRLAYKTDIPQLQSLPLETFTTVDLKQIESELKDDTTVTEEIDEFALNCLSSLTQ LALHGVGNGIVQSLFKSASSFIRLTIIRNLAPQLASIGCHMRGTWVTQALVDNSKARD ERRAIVDAFRDWIPALMSDKIGNYICSALVAYGPEHNSVVFDAAVDRMLEVAQDRFGA RCLIRCLDSTHTTWYQKKKVATAIILNSIPLVTSSNGALLVTWLLESIDIPRRCELLA KRFLPHLTHLCIHGIASTAILRIIAQTSDNAASSLLISGIFRTRNDNVLQELLSDPQN GLPFIAKIVAIDTVPHDQRLVLEEAIKRTLPIVHNRSSTEYQTLSRSLKAGHQHHTTS KKRPSKPALRTSRSNILQPKQFHERRKSSLSKLPPRFTTPQITSEQAHWYDTPIVALP SPEFRPVKIVAPDERKKGYKYASDGRSAYQADGDASDDDDASSTSSYKTFHTGMPDHS MEVPAMDILYLDDSIDSHQELTPAMTTSSLSPTPTVISTPALSIQFPGHYPEPSHRFA SESRPSDQSTDGLQLNVAPEDIKAEVKVESKAEKGESSTKKARRLSQSVAHVPETIVE EGSVP L198_00212 MSQPTETSPTILLFARGTLALLDLWPALTIAVAEEWGGSESADK KTWIASTLIDEFESRATYLPADPATPAAAPTVDPNDANDPPLDQDDLIDLLTQIMEDE FEARLEDGSIESVASDLTRLWKHVLTEANPEAIVSTLENKAREVRKSGVQASKGAAAE EDDSSSGEDEDDMEVDGDQAPQLEASEPRRERQEPVVDEDGFEMVQKGRRR L198_00213 MAESPTAPSKQTPPTVHEDYQKGDVHLLSSDNVLFCVDGYRLGK ASKVFSDMLSVPRPITSSTSDADAKVDTGIENSSTRAPSTGAPIEMEETSATLQCFLE LAALSGNRTFPLSVDETGNLFLFHRKWDLNDKLSRKIVDEFYRVAIYHDPWGWFHLAS QWGDVEFAARALHAMGDGKTFLEGRKDVGFWRSLRELGGSWDIIVLEEAFGNPGRYEF VNYRWVSRKKYHYEQTSIFDGLPLNAPWEEVCEVLKERFKAAGGTEEGSVASLEEEEA GQVHM L198_00214 MAVENLPPRDTLPPTRRTSRLRLRESHSLLASLCRSRVLETDTP SPLMDAFQFFARPSMTNDGQDVGEMRLHGLRLNVGDHKSDDAGSIFVSPPTPDRVESP SDEVTLTPPPSNHPVVRSSTPLSMASSIPAFVARSSPLHSPLSSAPSSPGSVSIPLHV FPEVRSPPKKTLAMAADSLTIAAKRGRRSKLFGFTEIPGSNFSSATSSAASSPDNTPL VKPRAVHRATASVPTISTPLPPPATSASAATSPQMVPRRHPSTVAHTPPIHLPPRRRP ENGLKLNLDAIPPISDTPAIHTAIPSTRFGSALIRKKSGQVLKSALKQHGGSSGAATP MDEGRAMYESKSCPSTPSALKFVHFDSHLERIKLFVHDQKPLVVSRDGSPLMEDEGDD YPFGDMEEERKVLQISLPNFPTYHDPQSDLYLESIFLNDDRQSLRGVIICKNLSFQKW VAVRFTFDWWQTTSEVTGVFKESVKGGQYDRFTFTIKLGDLIPKIEQKTLFIAARYNV NGREIWDSNGGQNYQVLFTKNRPARQPTKGARDAAPVQPGMGKAVGGKSSQWSVAGGD DRMADLRAKLDRLKDDDDRPPLSPNSSRNFSFNNKKASPRASLAPLEVKAADSNGRGG SLAARYDFGASLNLARRNSNSPLRSPVPLPEMKTGLLSFDAPKRPSSPAATTFYSPKF DQDKLVGDSNVFTSPRSNAKAVPVPGLKVEGPSPEGPSPEPIAPSLTPKTRTPPSVRA AKVVSTQPPLVRTISAPPKFTIGEPEHIEDNSMGSPPSLDSSSTATATPPESPRSPYD NGGSWSPRTSEASESSTSLNSMSSMASYSSLIEHFCWAGDPSLDVTRRNHSTSELDDY FGHPSSGFSTPRAGTPAGGASAATTPSSTSTYYTSYASNTPTREDLDAVENSLEEGFG RRVGQRPMVF L198_00215 MPPSPALQAGPSVPGPQTAPPATAQIPPFLANIIHSHISSAAPS VPPPSGSPLPPHGKGQVPSPTIFEGAKGQTTASGQGTGVATSPPTLIAQHPPPNALYL PPPAMPAPEEDLVPPENFSLVSSGVYRCGFPKKRNFKFMETLRLKTVLTLVLEEYPKA NLEWCQSQDIQFMQFGIPGNKEPFDNIPEDVICAALVAICDKRNHPLLIHCNKGKHRT GCLIGCIRRLQSWSRTSIFDEYRRFSAPKSRAVDQQFIDLFDIGPVWEGIVGGGKGGG LGNLPEWGMLGLPKGIVEVGKDGREKKKIERDTLHMRGL L198_00216 MPPSEPIVIDDVEEDFDAVEDNQAINEQYKVWKKNTPFLYDTVI THALTWPSLTCQWLPDVTDVPNSDYTSQRIIIGTHTSGQSNDHLIIAEVLLPKKGAEI ADKALADLYDEEKQEIGSYSTAPPARIRAIQTINHRGEVNRARYMPQNPELIATKTVN GEVFVFDRTKHESKAPANGECKPDIRLKGQTKEGYGLSWSGLKEGHILSASEDTTVAH WDIQGYAKKDPTLQPLRLYTGHSAYVSDVEWHPQDENVFGSVSDDGQIMIWDTRAENP AKPSSQLQGSNSEINCIAFAPSSQYLFLTGSSDNASTIYRTVALWDLRKLSVKHHSFE AHTSDVLQLSWSPHSPVHFASASADRRIHIWDLDAIGAEQTPDDAEDGPPELLFVHGG HTSKVCDLSWSPNSPWTVASTAEDNILQVWEPSRHLRAPYEADYDEKDLE L198_00217 MGALLSIPLLTGGLGTLAGSFASGCMVCMGGTAASAFFKSCNCN SSIATRVGFGLIFALSSMLAYLSKTDIAIRQLEKLSWDWIKMDCSGGKCYGLLATHRF CFALVLFHLFLSALLIGVRSTKAKRAALQNGWWGPKLLSYFLLCFLSFLIPNEFFMAY GSYIAPIGAFFFILIGLVLLVDFAHTWSETCLDNWERSNSNLWQFILVGSTFGMFAAT ITVTTLLYVFFAGSGCGTNTFFITFNLILSVLVTVIAISHPVQEANPKSGLTQASMVA AYCTYLTSSAVVNHTDSAGGKCNPLHARGGTETTTLLIGALFTFLAIAYSTSRAATQS TALVGKGHRAGAGGAIALPNDVEDEGEVRLVTNQPKGRKDEMRYQAILAAVNAGSLPA SVLDEPEDDEDEIEAAMGEERDDERAGTKYNYSWFHIIFAIAAMYVAGLLTDWAIIST SPVAHPTEPQSAGLALGAFNEPDVFIGRSETTMWMRIVSSWLCFALYTWSLIGPVVMP DRFGDL L198_00218 MSAELPKVESLKLSKEGKKEEKEPEVVEGEENDDDDDEGENDDG PANGESKKKKKKKKSKKKKTAAATQSEPPRVGLTKIYKNGIFPVGEEVEYKDDNTYRT SSAECRERERLAQGDPSTQYANIRRAGEVHRQVRAYAQKTIKPGMTMTEIANLVEDGT RAVVEEHGFESGIGFPTGLSVNEVAAHYTPNPGDKQVVLGDKDVMKVDFGVHVNGRIV DSAFTMNFEPTWDRLLEAVKDATNAGISAAGIDVRMCDIGEAVQEVMESYEVEVNGKV YPVKSISNLNGHSITPYTIHGGVGTRPGKSVPIVRQHGSARDEAKMEEGEYFAIETFG STGRGRVIEQGACSHYALNASAPERYQGQWSAKSLLASVKRNFGTLPFCRRYLDHVGE KNYLLALNTLVREDFISDYPPLVDPEPGAMTAQFEHTILLRPTCKEVVSRGDDY L198_00219 MGQGSSSLPSSLPDLALHCLRVADNSPVDGLVEPYFDYLVGVQI HSSPNEPTSTSSPSAFKGTGEREGGIGINGVGDDQALRPEVLGRILEDNEGKRIGLRV YNAKSQRVRDVYVTPSRAWSEEQTRQNGDPDAKPSLLGLSLRVCNPAHALESVYHVLD VLEGSPAEMAGLVPWGDYVLAWSGGPLHSENDFYKLIEGHVDKPLRLFVYNSDLDNLR EVILYPTRQWGGEGLIGCGIGYGLLHRIPRPSTPPSGPDSGADGYFGNGVTSGVLVGA A L198_00220 MSTYSQTNPNNPHADPELFYVRQNRIGKGSFGEVYKGYDKRTSL PVAIKIIDLESAEDEIDDIQQEIQILGQLDSEFVTRYHGSYLKGSHLWIIMEYCSGGS CSDLMKAGVFREEYIAILARELLRGLDYLHEEGKLHRDIKAANILLTANGDVKLADFG VSGQLTATMTKKNTFVGTPYWMSPEVIKQSGYDHKADIWSLGITCIEMAMGEPPYADL HPMKVLFLIPKNPPPQLDERFSRPFRDFVSLCLQRDPRNRPTAKDLLKHKFIKTARKA SYLTELVERYEQWKAEGGAKPSDDGSGGMSQEPGYGPAADALWDFGTVRNNLPGTVSR AAGRTVPPTASLSGTAQTRNTSTPTKSTHSATPTRSQTEQSLPPSMSGRALPPTPSRG NPSYNNGTPSYNNGTPNSVISGHSQQGSATPTGTRAEGGDVMYPTVRGAVIPPGSSVP VAGQAQPEAQAKQQQHIDDEGDEEVMLEGVIIPAINNLATRVPNDYARATLARLREAF IEAERSIPGVTSAFVLEIVENVEQVEEH L198_00221 MNPAVPCYTIIHDDLLDVPSSADLRNALQKGSDEVKLETMRRII VGTLNGQNHSSLLMPIIQYVMPSRNKQLKKMLHFYWEVCPKLDEQGKLKQEMILVVNA IRNDLQHPNEYIRGATLRYLQKVRESELLEPLVPTVRSCLEHRHSFVRKNAVFAVYSI FQDHEHLIPDAPELLETFLVAESDSTCKRNAFVTLCNISQPTAVRYLLENFDQIDSMD ELMQMSVIELVRKEAKTEGSHRAKWIRCISELLGSKSHAVKYEAATSLTTLTQNPAAV KAAAAALAELIVKEADNNVKLIVLDRFNALREKHEHVLDGMVMDILKVLNSPDMEVKR KAIGIALEMVSSRNVEEVVLFLKKQLQSTIDQDFDKNLEYRQLLIQSIHSCAIRFSEV AANVVYVLMDFLGDSNNPSAVDVIAFVREVVEKFPKLREAITNKLISTFGEIKSGKVY RGAMWIVGEYCEKPEEIKRAVGEIRQVLGEIPILASEQRLLDEHEAADQGHAEQDEDK PKAVTTTRVLADGTYATETVYTSSAAAARLEAVRSATKPPLRALLLGGDFFTGNVLAS TLTKLVLRYSEAAGANDPTGINTLRAEAILIMTSVIRVGQSKFSAVPIDEDAEERIMN CIETLAQLQGNKALHDVFLKDTKAAYAKMVETEEKKAREKKERESKVEVVQADDLIEF RQLAKKSTVTEVDDVDDVVKATGSTQPQDDFVSKLSRITQLTGFSDPVYAETVVTLSQ YDIILDVLLVNTTNETLQNLTVDFATLGDLKLVERPAAVTLGPHGFHSLSATVKVSST ETGVIFGAITYSKQGASDADVSIVMSDIHVDIMSFIKPNYVNEAQFRSMWTEFEWENK VAVQTAIGHLRGYLDHLLKSTHMALLTPEAALSGDCDFLSANLAAKSLFGEDALANAS IERTEDGHITGHVRIRSKTQGIALSLGDKITLSQKANVTVAAA L198_00222 MATPTVNVVRWSALLTGITYGVFHQSTLQTKYDEDKASTGSASC RG L198_00223 MILYKQAKHHAAHRAHLVQEAKKAYAAKKVAGAATGLITDPEDP KFDLEKVIESWTKDS L198_00224 MGTSLSRSSLATAYNGHSNTSHQPTSSLESLSSLLSSVHQSPTS SSSTSLSYKVTLHALPKLIQSVEEMKRVSVVAKVVGDLVAAPGGLDGEEKRLCDERGM ESAVQEQDPAAREFLSGTDNIMPSPHLATSQHQSRAPQSPPFTLLSRPTTPCDDTSPA GHAHDPLQCSPPRALTSYTPRASIQRLQSLPTLPRLDMIDCDEEVAAITGTIMEKRSG KSLGGEGQERETRGHVFQVTSDCPDTIASGTNNTNKLPILVSPCPSISDPFHQRITVS SMLSQSDSLESLYSRYATMDGGRPMEESIHDTMPELEALDVKERAQGNVEAANVSETP KGTKPVVGFQHRQQEVMPDLDSSSSSPISPTVQTPKTPTRPFSALPIPVIIITPEIPA SSSSEIDYFAYNARLSTRLSTSAFESPSKTGKGGSKRQGMLEVPKRETKIRGRKNVSS KKMREKSTRRKLGDESVVVQREAGASVGM L198_00225 MSTSWDNARRHVRALETALDSKLSAYSRLAASIARSSSGLGGSG GGSRDDIDDEGIGGYKLVEEEVEELLRKLEQAIEDLMALINSPSQPPSASMQHAAQTH RDNLDDYRRDFIRTRSNVEQSIRRSNLLGDVRKDINDYKSGRSSATDALLQDRSRIDS SHRMIDDTLNQAYATRSDFASQRTLLGSVESRMGGVLNQMPGINSLITMIKTRRRRDT VIMGLLVGHNMGTAESKHDQGDAYVTLCPVPSTRSVLVQ L198_00226 MALLPRSTLAALYLLLLAACSVSATALTAMLGANERSCYYADVD GLGEKVGKSCFYFAVQSGGNFEIDYIVMDPDDKVILEGVAEKQGDYIFTANKLGEFSF CFENEAYTQDKLLDFEQVSFSSLIMVESEPRRILSGQQPPLKEHTSALEESTYKISGV LNSIARTQKYFHTRHHRNFSTVKSTQGRILWLTILECIIVVGMAAAQVWVLKTFFSRG SRRYKV L198_00227 MAKASSSKSHTQRAPSIEDDYLQSDSEEDEFAQGYAPGIAEQYD DDEEDDDEDDIEDDSEEEEGGARWEPDQWNEDQDTASESDGGSEEESDDDSAELVNLK SLPLATLAKAQKSLGQKASSSSSTQSKEEKLAAAKAKLAQLQRGKGRGVSVTDRPAGR VNRDASDSDDSGPESAAAGRSNKHAPAAMSTKRQVTRKRQVIDVPKPERRDPRFSSLS AGHANADLHHKSYSFLPSLLKEELVSLKAQVAAAKKAEKQCSLRDKPQRVEERERLEE EMGRVRTRLARGEKEELERETLAKAKKEEREKRESGKGAWYMKKGEKRDLLLKARFED LEKSGGKTAVKKLVERKRKKIAGKEKKSRPFAPGGGSVGEGGKRRRVA L198_00228 MSAPAYSSTPGQQPNARPKLTREERKKHTRPPLPPPHVLAALVP DSPAFLELMKVEQKLDWTLMRKKAEVNDALGKPTRVKRALRVFVSNTAHDQDWQKELD AAAAGLAPTGEANGDGIAGWILKIEGRLLDSGNVRLDRTKRKFTTFLKSAIIEFDNRE APTYPEGNIVEWHATAHQGPPLDGFEILRRGDQNVPCRITLHVSHYPERFKVLEPLKG LIAVHEATRAEVLSAVWKLVKVTGAQDKDDGTIVRPVGGLEKIFPQGQESVAFHQLPE LASRYLAHPDPVVIPYTIDVTKDYNFHQKCFDIPLEMEDPLKSKMASMVSSFEGPEGA EVMKMEDKVAELAYFVRELKEKRDFLESFAANPQSFINSYLAAQARDLDLSLGYQIGQ ATANGGSVREEDLRRSDLFQMPWVDEAVGVVEGGRMEAERRAVGYGR L198_00229 MRVDRCDFSGYKVYPSRGKVYVRGDSKTFRFLNSKNESLFLQRK NPRKIAWTQVYRRMHKKGITEEVAKKRSRKNVKVQRGIVGADLASILAKRTAKPEVRA AARQAAITKAKTEKRDKETRKAANKPTQSNAPKVSKQAAKGGAGKGGR L198_00230 MPATPTSTTSLSILPPEIQALIFHSIPFCCSKPELASFIRVSRD TYSQHVRGLYECIELTRHNASPFFREFLSHEPVKEDWSIQRWPVACRPDRCDKPAVFH SGDSFMSKLHLFGYVKTLKAQEVEAIVHMRQAVRWLEVFDLNTYVEHVQEEKQERELL RLFPNTEKIAFGPSALWQLSEQQVFVAAPHCALYKAWRANKKHYCLHMPNKEDTALFH SSGIIRHELPNFMSSGDTCTVHNGDPSYFITPPGDTSRLSERGIEAKEIKMFVKPGEK ANENRIDLQKTKMLAFFNSYLPTEIARSRGSTPQYESPKQFVFANVTGNKDEILDAAK RSCGSDPDRLDRLQSVKIFAKGDASVTPCVVCGEM L198_00231 MPHKATSDRGQPPPGSMLELRALAAEHVDKFAKEGRQAVKDPFF KQSPGLVKRLAAEARNDAKRRRFADEDGPDEEQRREILKAKARKYEQIQRGDYSGLSK KEIEEAVIDFERKLEDDSDYSSDEDESARPTRAHWSDEGDSDGDDLAQVEYLDELGRT RVGTRREAKEAERQSRKERGGPKELIESGAEGSAYAQVQQSEVIHGEQHVFPVYQPDP EAIKAKYRQAEEEARAHHYDSNKEVRVKGAGAYQFSLDEDLRAQQQAALKASRQETEQ ARAKASQRGGLTAAQEARKRKMDERKAMINAKRAKLLGGEEEVERLRKEKKAKEADEF LKGLEMELK L198_00232 MKDRETGRSRGFGFVTYGTPQEAEAAIAAMNEQELDGRRVRVNL ANSRGAGGGGGGGYGGGYNSGYGGGYGGGQGGYGGGQGGYGGGQGGYGGAQQGGYQSG GYGGQGGYGGGQGGYGGAQQGGYQSGGYGGEQQGGAQGGYGGGY L198_00233 MPVPATNGTAHHKRVFQPGVWAPIPSFFDANEDLDISTFQKHVV DLAKVGMQPVVCGSMGEAFHLTDDERVTLFKAAREALDEAGLVDTVVIAGTGANSTRA TIALSKQAASAGADIVIVIPPGYFSGALSPLALKTFFAEVSSASPIPVLLYNYPSAAG GIDLSSDLVEEIAKASPNVCGIKLTCGAVGKLTRITAATTTSNFKDQYPRKDEQVNPG FLTLGGFADFLAPAVLGGRGHGAIMGLGNIYPRSIAKLFQLSLSIATSPSPSAAYLKS VLALQDLVSGADASFAKAGIAGTKWYLQKHKGFPSARLRHPLLEFTEEQGALLEKDEA VVRLMQVEKKLAFE L198_00234 MAPPSPAPRNKLVIRRLPPTLPEDIFWNAVSTWITDKSCLCKSY VAGKAPNGNLDSHPVHSRAYVLMSDPEALVNFHRGFDGHVFRSKTGAEFQAVVEYAPY QKTVHKARVKPDNRQGTIDEDPDFLSFLKFLDTPAPESAQHTFVPAAPQPSTTPLLDH LRSQKSAKSKGKGKATPAPSQAPSQSATPVPTVSAPLKGKAAALASIKDASQRRHLLA QGTPSQVMVAGKGREVSIAPSTSTSPAPGSSAAGGGAKKDGKGKAKEKGDKGGEGAKE TGEKGKKGRSRNRSKKDKKEKGDGAATPTDRQTPNLQPKSFPPLPQSTPKAPTPAPAP PAAAVPAAPAQTVPPSSNQRALAPRVAQPPRPPFAPQEPAFTARPPPQSPIRPPPMRG GHVRPMGQPARPHIPAMNQGMPLNHSVRPVSPRGAPPHMGLHANSPSMFNGGARPAPG PSGQGMGPSSMAPGGSGNGSRRGNRPPPKQAAQVTILARDAAAGAGSDTGASSARIDM L198_00235 MGEEQPAWFTLQHQRNIIELDFAGTLTATAYLTVLPNNPSLQAI YLHASPRLQINNVTLSSPTTSEPLLPTPASFALSNPFQPLPVREPPIDIKSHPEIKRK TWTALGESDEGELAVSVSGGWVRLVESPSREGQDPHVAFAPIQVQIDYQLVVGGDVIE GIVFKRPGDGGNDSQIPHMFLSPTTYNSARVWTPCVDSLFERCMWELEFIVPRYLEGG EPADGEEGFPVMVVSSGELMEQITHPHDPNKVIFYYFQSTPTSVQHISFAAGPFEMHC IDDTAQKPLIGFCLPGELDLLRNTTAFLPAVMEYGVKRGTSYPFSDFKIVFVNGPRVE CLTAATLAIASSDLLHPSTVIEQSIKVRQTLALPIIQQWVGIFIIQRAYSDTWVVNGI AHWMLAEFIQTLLGNNEYRFRLKKDIERCVYQDQGQQWPLCVPGQVDPPDSSTTAFID LKAPLVLHILHRHMLAVGTAGGLPPVLTRTFVTALGDTLPENKLSTAFFLRLCRKISG HDLQAFQEQWIYGSGCPRLSIRTNFIKKKFTVEFMVSETAPAWETIHGLSEKQKKVAI WRRPTKFFEGPLTIRIHEADGAPFEHLIDIKTPNKTYPLPFNTKYKRTRRSGHIAARF NRTQDAAADDSAGNDNEEEAGLQAVDRGGVFVHPPWDDEEERRRWKVAEWGEGEANAM LGEGGGYEWIRVDPDCEWLAVFEFAEKPWCWISQLQGDKDVIAQLEAINNMRMYPSPV IASELAKTVLVKNYFYRVRMEAARALAMYNTSECDYVGYFYIMKIFQSFYCHPTTGTE TEAPEIQCRPLPNNFSHIPDYFVKKSLIAAMSDLRDPASRTVWRHVRTTLLGLLRSND NTSNQYSDSYYLASIITAISNSFTTSSVGIGLLSELDLEAEQILLKEADDVLDRAITV DRLVPSFHNVVTKAVLQSQIKSILAGQRTNDARELLSYTRREGNFEPIRMVAFDGLLL CKPPGRSVNVDRYLLDVISFDSSLNIRRHVARSLSEAVLASLAMGEITGTLSLPGIVD VTDETEQMREQRAEGQNNAIVKALRKDYGSKPEVKQLIQAHNGFTSPDPEVRFALLKV AEIIASSTAEPLPGSLISISTPTTSTPVAPLPPKIRISMPSAEIMPDSNGYGFPTVDN PVITPKSSKVVLNPTATTTAAPKSGKKKRDGPKSQSKGLSDNDLKAITIALTKLVSDK RSFFFRQPVDPVRDMAPDYATVIKHPMDLSTIRAKLDNGMYSSRQEFVEDVRLMIDNC MAYNVSPQSVVRKAGEQFERFFNSLWAKTENTLSAQRAPVAPAPMAPPPTMAEAPFKL KVKSAKSVHIDSNPVMPPPPLPPTKRVSISAPITPVQAGPSTADEKKEKKKRKEPVKA ARNDLDELLGAEVDAITFSRVSPDDPVAQLLDEPKPPSKKIKLPRSAPKRLDSSSADP KPSSEGKPKKPKIKLGASAEGSSSPAPEFRAPPPPPPEVPMSIPSMSAPMEPVPVGLV VRPPPPQPPATLPPTKSNTMPFKAKRAKNLWNMLQKDPSAILFLRPVDPIMDGCPTYL DEIKEPMDFGTIGKKIEQKKYKTMGSFAREIELVFANCRQFNPPGEITALADAVEAVY WKEWPKAVSPKMNGDEKKSITSLMNQALKNPLSEWFRFAVDPVALGIPHYFDIIPRED ARDLGLIKSKLDKGQYQTVKQVDEDVELMVENARVFNGEGPVVDAANALGKWWQTQRS KLEV L198_00236 MPEEAPPPPPTFERALLSGAISGLSVDFMFFPLDTVKTRIQSSA GFWNSGGFKGVYRASAFFVTYEALKKQLPKIELFANNSSLTHMAAASGSEYVSCLIRV PTEVVKSRTQTSAYGVGKSSIHSAMSIMKLEGIRGFYRGFGITLTREIPFTSIQFPLY EFFKSRLSRHYLGGRRPTSYEAAACGSLAGGIAAASTTPLDVVKTRVMLEARTAAAAS TPAAPLAPGTTLPQYPSPSVLSFPPRLLGILRNEGPAALFSGWVPRTMAISMGGAVFL GIYDLASSLGAETVNQPKLV L198_00237 MSALTTLRPALRTVRPIALSATRGMVSKFVKYDWEDPLDLKSLL TEEEIAIHETAKAYAQENLLPRVVEAYRTAKFDPKIMREMGELGLLGATIQGYGCAGV SSVSYGLIAREVERVDSGYRSAMSVQSSLVMHPINEFGTEEQKEKYIPKLATGEYIGA FGLTEPNHGSDPSSMETTATKTADGWVLNGSKTWISNAPVADVFVVWARVVEDGEKGK VRGFLLEKGSKGLEAPKIANKIALRASITGSIFMDGVKVGDDALLPKSGGLGSPFSCL NNARYGISWGVMGALEDVVARTRDYALERNQFKRPLASFQLIQKKLADASTATTLGLL GALQLGRLKDKGTWSPDMVSMMKRNNCGEALHHSRVLLDVLGGNACSDEYHVGRHAAN LQVANTYEGTHDIHALILGKAMTGLQAFAN L198_00238 MASYKSFLSNELFSIKGKVAIVTGGGTGIGKGIAAALTLNGAKA TAKELSDAAAEAQTGGECIPIEGDVATKQGVTEVYDKISAKTDKLDYLVNNAGFSANW RKMASDLNDPKELAEQLWSIEDCDFANMTAIHTAGPYFMAIKFLPLFQKSENPAITNI TSLAAHFLNRAVCEFSYAQSKAAETHLTRLMAAGLLPYKVRVNAVCPGLYRSQLTTGS TDPDAPFWPPQINAMNNGGIPAGREGKWEEIAGAVLMLASPAGQYFNQAELVIDGGWR MCTSALDVK L198_00239 MPSTELPWVLANPILIHTLSVAAVGAASCDSLRILSLVKPSPSQ VHYESTTPDAPVHLVISPVDNTNDVHHGLPIAGSIGIVALVAYGYTAHLPLVGAVLTW TYSSNIRMNRLKMGSTILSMLGALAVSCIVVGGVFAVLWGLGTWNDEPNKKPPLEDPV LSDWVTNLNAFYLCLITLTHPGLIITMTYRFEYSQLANQLTLAEDDQDKEDSFSGVTS VAPVCIPVDYPSFPCPITITSLLFLFLCLAAMDLTLGKNIEYVSPFPALVVIFVVPFF TAVAAAQQGKFGEWWRYQETWVPPKQDDEDEPRL L198_00240 MSVAAQEQPGPSAQHTLPNLADLVKAGTKRTRVVYAGEGASIDD GLARANKIKLATKLGIEYKDVQTLPPILQSQTAGPAGPKRPSQAAIAAPGAAAAGVKL IGGPEARSTSSSGPQAPEPQALVKFRHQQGYAAEGGQAGSRLSQALMRKKEAREIKPE YHPQWKLSRVISGHMGWVRAVAVDPGNQWFATGAGDRVVKIWDLASGELKLSLTGHIS TIRGLAVSDRHPYLFSCAEDKMVKCWDLETNKVIRHYHGHYSGVYSLSVHPTLDVLVT AGRDAAVRVWDMRTRANVHTLTGHTSTVADVKTQDSDPQIISGSMDSTVRLWDLAAGK CMQTLTHHKKSVRALAIHPTEYSFASGSAGGNNIKKWKCPEGTFVNNFVGHEAIINTM SVNSEGVLFSGADNGTLTMWDWKTGLPFQHLKDIPQPGSLDAEAGVFCSTFDKTGTRL ITGGADKTIKIYSEQA L198_00241 MDSYQTPLSSRYASKEMSKLFSSATRFGTWRKLWLNLAIAEKEL GLTISDKAIEQMKAHLELDEAQMKVAAEEEKKRRHDVMAHVHTFGTVAPEAAGIIHLG ATSCYVTDNADLIFLRDGLDILLPKLATVISRLSSFAQQYRDLPTLGFTHFQPAQLTT VGKRATLWIQELLWDLRNLERARNDLGFRGVKGTTGTQGSFLALFDGDHDKVEKLDKR VTELFGFPYAYPVTGQTYSRKIDADVLGPLSSFGATVHKIATDIRLLANLKEIEEPFE KDQIGSSAMAYKRNPMRCERACSLARHLMVIYQNTLMTSSVQWLERTLDDSANRRVTI PEAFLTADILLTTLQNISEGLVVYPRVIARRISQELPFMATENIIMAIVKAGGDRQEC HEKVRVLSHQAGAVVKEEGGENDLIERVKKDSYFEPIWAQLDELLDPRTFVGRAPEQV DGFVSQWVEPALKPYAESLKNVKSAELSV L198_00242 MVPKSLSRLPSLRSRGLCAPRDIRLLSTTPSKSTIPKVPLWISG KEVFDSSAGTVTQEHTRTRQDSCEVVVAGEKETSEAARVSREAFQAWRGVSAWERRAI LRKVPSLMQERLEEWKRLLLADTVYGEVMLGVDLHNALHLVDGAAETCVCCGSLPPTV DGSLGLVSRVPYGPVLSIPAFNFPLCLAMRSIMYPLACGNTVILRGSSVIPQASAFLG PLFADVGIPEGVLQILNFSEHDSGARTSQLIADDSVRFVNFTGSTKLGRNLARQCGEH LKPSVLELGGKAPALILPSADLELAANNVLFGAFFNSGQICMSTERVIVHQDVAAEFE SILKREAEKAKWNGGMELVRPSGVETAQAMAKQAIDSGARIVFSAPESSTDFPNAVRP TIFTDLPSTATILNEESFAPFFTLQTAPDIPSMIRLANSHETGLSSSVFGQGLDAIEV AEKMEMGNVHINGMSPHDQHVLPQGGTKNSGWGRFNGKSAVESFTQMKVIRIDRQRTR YPLSALYAGL L198_00243 MPSERIVEELMDLRQENIAFFGVAEATTFVIPDFDYKYGFRGAL PVNNETSSDEDTHSNAALMRSSSTRSIINTVGVT L198_00244 MSRPPSSTGDVLLYFLALFIPPIPVFMKRGCAADLWINVLLFIL GWIPGVIHAWWIISKYERPAGAPVGQTYRY L198_00245 MPAPAAAPAPNGKDVSNGSVAAPVKTSGVKSRGALKRLKAKAKA GVPKKEERASVPVTEPESEAESTTSTIDNSILEIDPNDPNFSAFSSVFAHFQEEATEG DEAAQGPQKGEIYYSDEEDDDAEAKERAVRKAAEQEGMTRRQKRQAAKLTVAELKQLV DRPEVVDWFDCDARDPRLLVNLKSYRNTVPVPQHWNAKRDYLAGKRGMEKPPYLLPPW IADTGIGEQRDAMKAKEADQSLRQKTRERVQPKMGKMDIDYQKLHDAFFRYQGKPSMS KFGEAYYEGKELETDLRTKKPGELSTELNDALSIPPLAPPPWLIAMQRFGPPPSYPNL RIRGLNAPIPSGAQWGFHPGGWGKPPVDDFNRPLYGDVYGELQGAEIAQHQNQIDHTL WGEIEDMPEEEEEESEEESEEEEEEEEESRDVPADGLATPSGMATPSGYQSVTSTVPG GLETPDFNFSELRKNARPQADERPSGPRELYQVVPERETSSRGFMGSSTTYDIGALGQ NGGPAVLGAEDGGRKRKAGDVEISLDGDEDLTQEQLRAKYEASRSQASKVYVPGADVD RSGFEDVVSGEMKKRARKDEKKGREKGEKFKF L198_00246 MVKAVVAGAAGTPLLIPPAVCSPLPTPGGIGQPLSLLLKLNPLI TELSLYDVVNAPGVAADISHISTPAQVKGFLPADGGAEKALEGADIVVIPAGVPRKPG MTRDDLFINAGICATLASSIAKACPKAYILVISNPVNSTVPVFAETLKKAGVFDPRKL FGVSHLDVIRASTFVATVVGKPEDAAKYTIPVVGGHSGTSILPLLSQTKPAIPDVLSN KEQRDALVHRIQFGGDEVVKAKDGAGSATLSMAQAGAEFVNFVLQAAFGKEKGKVVQS YVYLGADAGGQGVQKEIGADLDYFSVNLELGPAGIEKILPIGKIDDVEKELLAAAVKE LGPSIEKGTQFQPPPPKL L198_00247 MAEPATLPAFSFPPAPLSSSPTDPTSPAGLQFDTEALALTPENE PWDRELEPNMGINPLIDPSSYQPHMLNHAWSNSSLSSFHSTHSNPGLHVSTDSPIEIP SASHSRHSSYSSLNGIDTKMPWNRPPFMDMYPVQHVGEFNPVIATGDLFSASDIKQHP KLAVQTQGLGKIMTTRERSASRSMPYSRQRSESLSIKSEEGDDIASLISASTTYSAHT PWSTTNSIAGGFNDLSLHHRRTSSSTSYTNTPLRTSPPRPMLSRARRSSSMIIPRQQS QSDLSRNEFKLQGSAAERQAVVRSDLTEKADEIKGMSSSSQQDKARALWVRRCRLLMS YTRSGPHTVPRQGLYHSYTMSCDEYGIKPINSASFGKAVRSAYPGIKTRRLGVRGNSQ LMIPRKYHYVSIRPAIQIEAERLNDYGDSSGAWHVAPEDGSMDFQSSNDRDMVMDGED VDDSDEEDQKPFGSLSYRPSQQSLSRDRSTSIHELFTPQRPKAAFTRRHTTSSVNSVG FRASQSHVRVFSLPGFPTLSVAAELGNEVSMESLQSFWNSFCQHEEIIVECIRGYHFD QYEMNCRTFWNSLPPSLVQVCLHPVISSMITEAMLVCYDHIVGILLDKLTSPCPVTSQ CSLRALADNLEAIMEESLGIFPQNFSESKVEMSARVAHLFLRFIDLHQLTAALSPILA NQSQVRTMITAWENLDVRNVSDQCALSCQCQQDVIEAVLQDFYHWLVEAEEYLAQGGY AIDRLGGWVDKMLRDVQAATGGASLSALVCKVGFVTSQVMRDFTLKSDQTFGLFQLIK TWLDDWVSIAALRKTKLSIGNGSPPVPTYIPAMSVFIPQHDTITNPDLSTAVPFGQGS SQWPQSGLHAHHQPFDGQQGQYLAMPSFGSEHNASTPRPMFGHAMGQQQ L198_00248 MDPDAIQDLLRGITEEHLLDPSSDPPPPSSPSLPTLPPSSPPVD QDGPPSKRMRLDLDRHLISTPSASSSGFQTAGGRAVPPPSAASRLKAMKMFDEIDSAA DPLLSATSPPEPVPLVGFTTGRGKKVPPPSAAAQQRAMKLFDEINSTAVPDAVTALPM LPETGVGPQKSEERHTPPATAVQRSPEISVDTGTLINLVTSVPTALDTPSADLFVGFK TGGGKAVPPPSAAARQRASKLFDEIESSTDLLCSTPLPNSPGLQTGFKTGRGFAVPDP SAAAKQKAYKLFEDLDSPSQLLDASGQAKSGFKMGRREEVPEPSLAARHVLKHTQSLL PKLDTDSPVPPAFRSPAPKSGTHLRSGHDISVSLVGDAENVASPTPATPALEPFKKRN TNLQPATPTRTPLSAKTNTYASTKKPIQIRTPASQVQARRIGLGSTPARKSKRGFVTP FRATPAPFKEVATPSKPKAVVSNPVFDLMRPESRLSLKQAFLHPQYYSRNELATMNIP DEIAAVTPDNAALYHFALDQSTFGTQQALKAIRECGCSLATSRWVENHWTLILWKLAG LVQAKPELYEDMWNAEEVTKQLQYSRYEREFGAAQRPLIRRIQEHDSSPSLPIVLVVS AVHRSTEDASHISLDLTDGWYHIRAQIDDCLRRAVEKGRICVGRKLAVTGAKLESGSD GAEVLDAYKSSRLIVSGNSTSLARWDTRLGMQPHPFIASLSSLTVDGGVVTLMDVVVE KVFPMAFTSGDRTSREPPWDEEEEQCRQDQWKKQYKAERGRQEEKLRKEMDKLQNLGA MLAGYAEETNPTISEAPDSIEDDLEELLGCKDAPLRIRKLASDHIVHLALCARSRLNQ ELEEGRSEIEAQLRTTCPPREVRDFRIVRFKDAQEVQRESFRTGMLNVWDARGLGEGK LKEGGRYLASLVPRFRDHY L198_00249 MPHGLPDAFCQLLANLRQSLTSLGLAFKPPVTIPAALQQLEKIS EQINQLISCAIMAKGELGKEWKEGIFAVEGELERHIQVLESGGDYLRSTGMVWETIDR MTKDISKDERSAVIRRWKSHQSIIKDAWEEFKETLEGDGENEDDGWDELGLGEGSLSD EEKARSTAIKPLLALHQLLHASMPRYLPQLPENDLTLLLTLSEDLIDAYDELVSATHP GQDEEEIREALTRLRDLSLKMASVVTDKSIYKWKERFQQEQEKWESRKLDMSNLSEAL RDA L198_00250 MMRSSLQSRLSSAVRTAELRGTQARPVAPRSLPAAARPIAGPSV LSARTFATKALSTPRFPAAAFAPIRLPSRGYAAEAGGKFARAKPHFNIGTIGHVDHGK TTLTAAITKYLAESGGGKFMDYSQIDKAPEEKARGITISTAHVEYETPNRHYAHIDCP GHADYIKNMITGAAQLDGAIIVVSATDGQMPQTREHLLLARQVGIKKLVVFINKVDQV DDPEMLELVEMEMRELLGQYGYDGEGTPIVMGTALAALEGRDPERGTEKIKELMEAAD SWLDVPARDLDKPFLMYVEDVFSISGRGTVVTGKVERGTITKGSEVEIVGLGDSIKTT LTGIEMFHKELERGEAGDNMGALLRGIKREQVRRGQVLVQPSSIKSVKKFKAQIYILT KEEGGRYTPFMANYRPQLFIRTTDVTCALTFPEGTEDAHEKLVMPGDNVEMIGDLVHD IALEPGSRFTLREGGKTIGTGIVSEIFA L198_00251 MQYKEIYDFAYSLAEKASATIVEASAKRWTSTTGLNEKKNSVDL VTETDEAVEKMIKDAVAERYPSHKFIGEESYAAGDRPPLTDDYTWIVDPIDVADISFV HSYPFVACSIGVAHKSKPIVGVIALPFMKQIFSAYSGGGATLNQSTPLPLTGGIPQPL TDLSRCMIGAEWGSDRGQDTFTKKTSSFAKLAGDPEKGVKGGVMAHALRTTGSTACNA VAVAAGQLDVYWDAGCYPWDVCAAAIILQETGGYFAGGKNTLDNPVGKVMMGRRYIFV RAVPPTEKETSYEIQARLAKELYEVVDEWTNEDMM L198_00252 MGKKKRSQVFVLKPWCWYCEREFEDDKVLLQHQKSKHFKCQLCP RKLNTAGGLMVHSQQVHKCDPEPLTNTLPGRDGYDIEIFGMEGVPDNAQSEWKARKEA EAGTALLAAAAAGKRPQTIYAVIPEADLRSALAQHKVLMANRNKAPSAPLPFPPFAGA PPPFPPPGFPPGAFPGMPPPTMPPGTLPPFAPPGIRPPFPPPPGLAGMPPYPGAANSP NPLPVPPTPSSFPPAAAGPALSSPAPDIPVQPPKDGVIWPDTTASPYEKRAQQPRYRY TSPTPKEGDDDGSGIGKKRKAAADFL L198_00253 MSSLRLAARCFHSSPPARSHVGKVPIPVPPTVTLSLPPSSIDQS IHPTNPLAHRTFTVKGPLGSATLPIAPSVIITPPTAQNASITISVHDPTVKTQRSLWG LTRSILNNAVTGVSTGFDLEVRLVGVGYRAAIEPIPEVFRKLAKQTNAQPGEVLPTER LNIKLGFAHPVLIDIPPEIKVTVPAPTKIMLSGTDKQKLGQYAATIRQWRRPEPYRGK GIFVGDETIKLKEVKKK L198_00254 MQQGCGEAWSGMGGGLGLLGPDLSTWPAVVGCRMVSKDVWVVDT ECSRTDEESVNGEVAIHKERFLILEATGEVIASASSARPVQQHESDNVIEGCRVRPDW FARIQQGDASHPLLQMIKTKEEDAYPAGISKSWQSRVGNDQELLKTAERAVLASCALN STSGCKMTAVEMDAESIGKSTVVPRSKAGERVALYLPESLQVLSVHIPLEPFHPALAQ VHRQTGHSQYVLRDTGQIVGNEDGVAPLWQGLLGCDYAGQRDDKLAESFWQGWEERLL S L198_00255 MNAATASGFQNTFAISPSPSPADQHGATLDVLLLQAWAGCADIL KYQMDQIEDARLTKKDFDVLGSLGEGQFGVVEAVRYSQGGQVYALKTMQKAYVARAGT QLSLANERHIHNLARSESVPLFPHLTAAFQTPDSLHLLTTYAACGSLWDRLCSMTSLG SSFPRLSEEEIGWWAPQMVAAVDWLHQNMFAHRDIKPHNFLITETHHLLLTDFGSAAV LEAAPPGDLPYVPRHLCTQPIGTPDYIAPEILAIAEDAIIQSSQSQSTINSEAVLSKG YTSNVDWWSLGATLFELSTGKAPFWAESIEETYQELISYHALDSRLSMMKQSLEAHEH L198_00257 MLSNRSKKKGQAKKGQAKTTTATTYLWNWTEGTDVSSFGTAIPH IILGKCWGCHILDLECHVKGTRLEQDEDYFMSSHAPLITHSGTNDLGTSSSSLSSLTA SSTPALQTNAGVVPSTLSPPTTFLAAPDPTITTIPTAPPSPPTTFPAAPDPTITPLPT APQVLIAPSPPTTFPAAPDPTIIALPTAPLVPPSSAIATGRQETKTNLPRREETPFAD TMTALSPSPMGSQRSLVFSHAGSGYEWDDISVEGGDDASAGLTSAEGGDREGKADREQ VSCPAQPISSVNNDDLPDALPYLVQIQSLVGKVLDFERKRDDRDSLARKQMKEQYEEE KKELKVLAELAEQKRRGAETLREAHWRQNRELKQEIEDERRKAVFALEKQKDAEVRLS SAREEVQRMEQEVAQLRLSISSLTSDRDVLVEEARKLKEEGENGLSLAHRQMEEERIK AQEKEKHFAKMKRVIRERDHEISELKKDKQGSAGVGVRENV L198_00258 MSQKDIQVSQFQQGVLPSSSFEDKLDLVIPRHQKNFSTATAVSS KEKHKHENLRLTVRRPEAGLNKMTLSKIRPSGRHGDSQKEMYITSNIKITELRQWFEQ EPHDYHQTDFVQHLHAEIKWEEVHIGLFPTDIRARPFSVVCDDSIKPRGKRVIEDTPV LRVVFACSGSCVCGKPSPDVKRSEVEDDIDDAEDFDDEVEEEGHVKCSVGAKIKVEMT VKQACMGECTITHMPISEHKPPPESLRYHLKGSRVLRRWLFLQAEKLGVTPSAIETVS VTISIDGTSGTYRRLLEDVSKAIFRFAKKIVETEPGHQTSFPGIDHHELLQACRMVVD QGRFKPAFMVIDGCTAESKAILEVWPDMIIRACQFHVMQALRAKVRSFVTWKKGEAKE EVVNRVLESFRLLQRCNRSSDFGPSKELFSTQLNAISGSHGGFVKKVVDYLDATWLSS RWQDFVMDWGMSDGLTRTGLLSTNNHCEGAFRTFDRVILRGTINRRNDHLLFLILFYW FPRYRNSSVSQKRLPKSDKQIYQKAYHYWESGYVQNASAMDIDNSVLKSRTLVKDFAD SDEVWEILIPDQETATAGRLHNGNMTDIERKLQQMQTVVDGLQNVQDDEGAKGDDGWL EEYRVNDVFDSFDDDESDSDDLDDTHPIGCQTAPKGMTPQPTQPQTSVLESTTSGGIP PSSCLSVVEATTTTTLPKAKIPAIPPQPPALPHPSTTNKPSSAEDAAVSDSDDPVVVL TNPPPSSSFPKNGSSQACAPLSTGRPAKHQPLNPRRRAPKSASKGQHHQQGTGIVNPR VPLSLAAGDKAQASRIINKQNLHRRRVLDTLRPGAYMMCEVYDLYVKLLRERARLAGQ PHWALTTLTSSFLTRDDPYSQIAECEKLGSETEKINLFNYFTVSIPIYTPHHWHAVIV NMTQRRIEYFDSFHGSTDPEYTRQKRIEYSEAVGDDVPGAVSAVVPFL L198_00259 MADLAAAFHAVPPVTRTLLLALAAVTAQVLFPAPLSLFWGPVAR RFELFNIPIGLPFLFRPLLLAQTYVWCRVNPTVRVSIFGLFTLPTSLYPPALILLDLL TGGPAKALGGIVGLLAGHLWWFLSTYLPSHAPSHLRRANPLATPLFFKRQFPASQPMP QTVTGTGSGYTQATGHSTARSEASQAARHRWGGGQRLGGSAL L198_00260 MPPPELSALRLHRPPFATQTPVSGWPPLATVKPWHTEYTLVFVV DRTHSRFLLGHKKRGMGCGLYNGYGGKPEARETMLDCAIRELQACRVSNNHIRSNIIF RQEESGLVTNKNDIRLKGLLLTSRPTSSDNAVKSLLRIHIYECTSWSGDPIETEEMAP EWFTQDNLPLERMWPEARKYVPVVLDSILHGASSDLFLARVDYAYLTAESAPTALPPL HGQSVLLARC L198_00261 MADDPSKAAQDESTATAILRQKRSPNRLAVDESPSDDNSVAILH PNTMEALVRGKRRRDTVLICLSQDDIEEGKVAMNKVARANCAIKLGDLVHVSAANDIK YGKRIHILPFSDSIEGLSGNLFDVYLKPYFLEAYRPVRKGDVFQVRGGMRTVDFKVVE VDPSPYCIVASETVIHTEGDALDREAEEADLNAVGYDDLGGCRKQLAQIRELVELPLR HPQLFKAIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFLINGPEIMSKMAGESE SNLRKAFEEAEKNSPSIIFIDEIDSIAPKREKANGEVERRVVSQLLTLMDGLKARSNV VVMAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEILRIHTKNMKLSDDVDLEQI AADTHGYVGADMASLCSEAAMQQIREKMDLIDLDEDTIDAEVLDSLGVTMENFRFALG VNNPSALRETVVEIPTTTWNDIGGLDKVKRELQETVQYPVEHPDKFLKYGMSPSKGVL FYGPPGTGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCV MFFDELDSIAKSRGNSSGDGGGSSDRVLNQILTEMDGMNAKKNVFIIGATNRPDQIDS ALLRPGRLDQLIYIPLPDEESRLSILKATLRKSPIDPRVDLNFLAKNTAGFSGADLTE ICQRAAKLAIRESIDYDIRRERDRKEKAEAAGEDVDLLGEEENEDDEVPAITVDHFEE AMRYARRSVSDADIRRYEMFSTTLQQSRSFGNNFKFPESGEAAENTGATFQNEADDDE L198_00262 MLALQTDSMRCLLLLALPLAAAVLAQARNPVPSHQAYDARHQDI KPEQPSELMIHQMLGDTALYRSVTRSECHSYIAASLPSACGTSEGKYLAEKDKRDIAI SFTACSMRSALQPIPSECSKWSFEDGVAGSVPTVYTFWRWSEVEEDQQRSCLSALHRS PQDWTSYNGFLSDASQLCHALRGEHDNELVRQLYVNATSGQMSFLRKLDLQSEARKNR DARFEGVLGDQQSKLEHTASVMHSAAEAVQYSLLQHEHLSKLLHSSVSDIQVGKRDLL ESLELAIRTQTESTTSFVETYLTRTEIQLRDQLSGQLDDILNKARRLLGLYQI L198_00263 MCHPRPDQKGPWISRKCAVFIVFGLAIWSFYVVVGRVCTPMIRE VSSSGIGRSPGAGTLAAFVVLWLMFIWTYLRIITTPPGFAKDRVNRSPPPDPADYPPP RSTSPVPVADNNNAPDPSTLAPTFNLASQTIHRWTSHNGSADTTRPSAPMVQMPPKGV KDWRQVPRPLPYVEYVPKWCSYCEIVKPSRTHHCRHCGTCVQQYDHHCVWVGQCVGWA NHKFFVIFNFWTGCYCLFTMLLLIITASKTSGIDGQTVALVVVSGLFGLFTITMFITH VMLITSGRTTVESYASRDQLERENSLLQKEYGYLWHNLEKRKVRKRWKEEWGGTAVDE RWKFGGKMDMWREEMGPGPLGWIFPIGKPLGDGQHYKSNPRFGPHGEWLLKKDWPLGV SV L198_00264 MSAKVYLGRLPPGVQKGDVEDYFRDFRIRDIRLMDTFGFVEFDQ PRDAEDAIRDFNGRPLLGTNISVEPTRERRREPFDNRSAPRAAPRQGVRISVTGFTGT TSWQDLKDYGRLGGNNIIYADVDKYNPGKGVIEYPTMEEATEAITRLAGVDINGAPVT LEIMSPDSYERRPTYDRRPPREHYEDRRPPREHYDDRRPPRGYNDRPPVRDSRDYYDR PPRGDPYEDRRPRGDYYNDRREDRYARERSPRRDYPSRDDYTARDSYPAREDYPARED IPARNDARRRDDDRRHDDRRHDDDRRREDVRPATDA L198_00265 MIICIAVGYMITKQGIFAPANAKGVSILSLNVALPALIFGSMVS ALSVENAPAMGPLVLVAVIYQILGFMFAWLIKELFYVPVDFRYGILVMGTVSNWGNLP TAIVQTMAKSAPFDPDTDTELGVAYIAVFVFIMNLTLFPLGLHKLCAWDFREENLTAG PPLPRRERWRQRLQFIRGVFKKDRPTNSDAGSNKGKVKLSNQSAEHLHNQKRGSRDSE VSSADDEERGEKLGEMVNRARFAGGAEFARKKSRASSFHSMMESTRPIPATAPLDASG IAQPCFSPSGENTNQLLPVCSTHAETYRYHHPVTPAASTRSPTWGQRIKSLAIAIFTP LTLSIILGIICSVITPVKALFVDVDNWSGNGIPYAPDGNPPLSFITDTATFLGGMSIP AGLVLLGASFARLKMPKKWSDLPIAAIVAMTVFKMILLPIFGVFVVQVMRDNTTMYPA KDKMRTFVSILVASTPSSVNQLVITQLYNPLGSADTLSCFLALQYALMPVLSTGLAAI ALYITEQQ L198_00266 MSFRGMGGPATAPRSVRLQLTALRGKSSSAKCHFHRAITTTALP AAPFAPHYRPLGIRQKPFSLLSPVSLRRGLHDSKLRPLLPPRAAKRERRLPNLRNLAV ILGLLSLLYYTYPPFRHSLIAVVRCARVMEAVTADVLDYKKVFAVEDQLAALGRELTE EEVEKKRQARRECHTRSAKRMLAALDKNSGIYVKLGQHVAAVQVLPKEWTETMRPLQD QCFPTPLNKTNDMLREDLGEGINDLFTDFDPNPIGVASLAQVHRAVDKRSGKPVAVKV QHAALQEFAAVDMATVNFAIRFVKYVFPEFEFSWLGGEMNEMLPLEMDFRHEAANSSR CKGEFLHLRGKTSLYLPNVLWAEKRCMVMEYIEGARVDDLVYLKKHHIDRNQVAQELS RIFSQMVYLNGHFHADPHHGNILVRPKAPGSTSPFNFDICLLDHGQYFDIPDDLRVNY AHFWLSLIKSSSEKTIAERRHYAKLVGNIDGDMYPILESAITGQINMADDTNTHDSAN DPRPKSLLDAKTFDKDQIKKLRQAMLEKEGLIASIFELLRVVPRQLSDLQRSLDQSLH TTHGQSRVFVIVAQYCAKSIWQGDCATFKRSLSVEGFSFKALRSFLGAFFNYAYWNTS LSIVEMALDMRARSVKVGLWLDGLGKGGLKAAEDEMAGLPLKATQAA L198_00267 MVVVVEEVRDEAAEHIAPQENESDFETESETDSIFSDDDDFNPT DETFYERVTALKDIVPPETRVSLYKQYRSTAEWAWWSVQSAGTLAWWVSTSALLVGLP LALAIEDEARVVAQEKEMQMQSAGQQQLLGSSQQGGQPQGVLPPGF L198_00268 MSAVHSAVREPDFCKQMLTLLPVKITAVTHHIPYFLRIPAEALI GEQCYSTLVYDFDITDVDCLKYSLSKGLGFGIVVGGGIIKIPQIVTIVSTRSAKGLSL SAYALETVAYAINLAYNSRNNFPFSTYGENFFLTIQNVIITLLVVYFAPQRGAVIGAN PLTSKKNPNGGKVFTGIVITIATGLALWSEQLCPLTFLSLLQAATLPLSIISKAPQII QNHKSRSTGNLSAFAVFNGLLGCVARLFTTKQEVNDPLIFWGFAAAAAMNAILALQVF IYRKSDDEILEDRRHSASERGDHVVLEKPVVLEGGNGKRWARKLD L198_00269 MSFDYVRKLVSGKKARFIDPEAAVNLDLVYVTDRIIIMGYPATG FAGLYRNKRRDVLKFITSRHGEKWWIWNLCPLYENAYSPESMHNRVSRYPFPDHHPPP LPLLPLAVREMTAWLEGDEERIAIIHCKAGKGRSGTLLCSYLLSLPSLPPPPEMDRSY SYKELAKRLAQREETDKTQQVSASSGDGKEGWVYIGGGKDAAGFDTRDSLDNEGGTST PARSEKVAVAPVGTQDGGIASSGSSTTTVSRPSLASSEIEISSNPPYDPIDIGDEGEA GDQGQQDRVDGRVDEVFKLHSSRRMKPTSTGRGVSIPSQRRWCRYIHLLFNNQAPPSY LSPKPQRLRLLSVTLLITPMTGWQKSVASLVVGSGGTGQGHAWTSVARYDDEYVEDLK IRGGTGEGVAGDVTWGGVAGEGKHDTHKMFRSCGKMVATDLDDDIKAVLPADHEQHIV HHLTPSHSHLTLDRSREFRLKFHLANLPLGWAWLIPAFHLPEASAGSQRVHTLTFPHS QLDFAVGPGAALQKVLVRLEEVAEGEEGAPARLLDDEEERREGVDDGEKEDKVKESED L198_00270 MTVDTDLLTSLMSSPHTANPESRGHYNMASPFNFSQSLPIRQHS FDYSMPSPLSTSINVAEHLRNSSLYGAPVKFGNEHPEPPINLNSSSNDVPDGQRSRSQ STSNVGKAPSSRSRQSRKSMTDVRPPRGSIQRGRSQGPGTGKPMGLGVDLDTHVEGEL TDSISPPDFGANATFGLAIPRHDSINTDAGSWSGSVPSMVPGSLGSYDNDDVIVESPL TPVKSLPNLPPVDDSYKKQRRRECHNLVEKRRREHINAKIEELNTLLPEKYNQAVDQP DEEEEEEKAAKKKKKRGANTVSKAQKDAAHCKGRILTQSVNYIRELRETADTQAGRIA HLEAVIAGLGISGETIHDAPAQNFAQNQDPLFWLNGQHNGGTFENSGHQLQAMRPSPE PADRPFSFDIPAEKPWSAHQEYMPFHPSPSSTDQSSATSAHPAYPFSSLRRMSHSTSS DMSSPLDEGDRGRPKGRGVRQDSQAELQMGMSGLFSGHGQARDASTGSIW L198_00271 MQQNYQHPNDSHGSGPNAQAGGGPGGGQPQGGEQWGGPFPSLHL WPLQDTFQMKMIHLPEGQRIKIGRQTNNKTVPGERNAYFDSKVLSRLHAEIFEQGGKV FIRDIRSSNGTFINGERLSPEGIESEPVEVKSEDQIEFGIDIVSDDNRTIVHHRVAAK AYCVFNDEDASKSAREFANYQTHDPRVRRLPEMHHHPSSNPLSHMGPTSMSGGGKPGA QSNTNGSGSGLSFEHVLNKLQAELEASKEMSGELQGLAGAFGGIQETLSGGVAPKENG SAEKFIPPQYRSPSADPNAPAPAANGDNLSAAAQSLLAGPHGSEAAAFLSLQSQLSST QSSISTQLTKIQELEAQLKEHETLRGEVERMRGEMEERRREMDMLIAGGGARGRTMYR GGRDEEDDDDDDDDARSVATIMDDEESERRVRERRRAERDRPRTPEPTGEDDESDAVE EQTESAPAPTATLEQGALTIREKEMLEQNNALVSQLNTLSTSMTEALTLSHNLQSQHA ETISTIQALSARISSLESSLEEKVREEVGRSEEKWEGWRVRIEEGWKKEREGWERERE RLRGVVREWEEASRRAHEEEEERRENELLSDDGEYVDDEDIEEDDELDDEEDKELWDR SEKINLSPSRKPAARRRRPSHKTLLAVSALKAVADGVNGSTLDAGALTPTSGEATARP NPRSLKNRRLHEIGRNSSSQTVKGGKSRSSGSSSDPSKPRYERGDGGDDQDGSKESTS ESGRESGDTLKEGEREVGKKRDLKNGVHGSILQPQQAILVLVVAVAVGAIWYKHKE L198_00272 MELFDDITPKTAENFRQLCTGEHRLNSIPQGYKKSIFHRCVSSR IPQFMIQGGDFVRGDGTGSFSIYGAQFEDENFKIKHTGPGLLSMANSGPGTNGCQFFV TTAPAEFLDGKHVVFGRVIDGLLTVRKIENVSTGQNNRPRLPVRITECGEM L198_00273 MAPKAKARRILVKLVSTALTGSFYTTSRVRVGDKLAKIKYDPIV KKHVLFTEAKIK L198_00274 MREKLPPSLQASPEESKEESAVQSSKVKTKSEKLWDIQLRKIRS SATRLGPGGEVPQDEKRYFEWAVDVEGKGVESWEKGGKWMGKASRGWVHRDTPLGKVM DLVITQSRTPRPFSAAEGLALAQLYTPPGGKPTVTPLTLSHPASGLVEGSLIVLVSGS SNI L198_00275 MATPALFPKEAQAASKIQPPPFSVKTERHEHSITIGPWTIIATK KPILNGKEIDASEKALNLPLPEMTFGNNSLTLTYEPPTSSTRTPLASANAPTGHNSER NSQGFTLSFKTIDALSGVATGEGWEERVGGGVLVSMAEKWGQNKSWASENTASTTSPG QTASSGGLFDVPVPSKPVKPHDWTYSTLYAGSITGTSTFIPSPTHSLPLTLLARQDPV LDQILYYEDVPLYEDELHDNGESMLNTRIRVMPHCFFILSRLFVRVDNVLFRMYDVRL YHAFGSSEIIREVKGMEAGYGEVKRHLEKPTDLSPLTDPNWVYRIMQSLSSLPPQRRQ FIHRRSSSSASSKNGKPWPGLGEKVDVLRIPQGDGVQEIRAKLGEVEI L198_00276 MSHPTQLDSHEDKKALEPETVPSLHRDDASSAEAAQEKSVGVSK VETFNKVLYQSGRSGKFLLWSLAVSIGLTMFVYAFDQGITTTIFSPWATSSFGEHANL AAVSTASQIIRAISKPFIGKLADITSRPTTYAVVLVFYVVGFVVAASAQTFAAYTVGM AFTAAGKSGLDLLSDIIVGDLTVLEWRGFFGAVLSVPFLVTVPINGFITEGLQDNWRW GMGMFAIMVPVLLLPAIATLYVMQFRGKKLGMVTMAGSNNERTGVDGQSRTWRQSLKQ GLIEIDLFGLVLLGFGFSMLLLPFTLRKAAVGGWKNPSMIAMLVTGPVILGLFLLWEM RFAPKPLMTRKILFNRAVIASIIIGSLSQLASAVRNTYFYSYISVITPWSTYTQTIFV GITTTGLCLVGPIVGLIQRRTYRYKSLMVFGNSCRIIAYGILVVGGSNEMTRSTARLT VAQLMFFGSQASVPHEDMASVIAIISLWSTLGSSIGGAIAAAIWTDMMPDELARQLPD VPAATRTKLYGSITAITKYSFDDPVRQGCIRAYAVVNGHIAIAALCLATIPLIATFYM PNFYLGKQQNAVNGTGLDGEKVVVDDGNRTEAEAFPAGEESAPKGQQKLIQRLRVLYQ K L198_00277 MREQTTLQSTQTARKSTGGKAPRKQLATKAARKQAPSQVSGGVK KPHRYRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQE ASEAYLVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS L198_00278 MSSPAQSAEHDPLDLESLDADSLFSALTGVEKAIPEILLQIKPI LTQLSSPTEGSGGDDESRGMEARQGVEKYIDLLDKIQFVLRQTVYYLRETKSNPNTLC PPPANNIPTPFASSIPSLTPASPSSPSGTRGDIVDDRQEATKVAELGLYASRIEARVL SDMGKAVRALVEEAVGQGRQVQG L198_00279 MPDTTPEILGTTLEALGHDTSSALWGSELLLSQPGVIKEVHRRY WEAGSDLVESATYQLTPQNLQDHLSISPSQASNILQTGVNIVSDSAPAPSGPSRPGRG VVLSMGPFGSTLQPGQEYAGIYPAPFGPGGSSNSFLEDQTEQEEAAVEALAEHHLSKL LAIAADERTWSKVEWIAFETIPVLHEARAIRRAMGRLEKKRGGGRKKFWIVAPFPDGL HPQILTSGKHAPLSLYLSTLLGLSQEDSVPDGIGVNCTNPSYLPTILGELTSLVTAYS TSVTKPWLVFYPDGGQVYDVVSRTWTVAPSSPANAQDWAERIGDLAIRYGHAHTEDQS ETRIWRGIIVGGCCKSSFDEIKALRTVIDRA L198_00280 MDDQPYANGDYVPNGTEIHVDAHTHPAVALTYDLDAKPGPTDMI VDDETLHNPHQYANGLKDDDIPIDPALQSESEDEKDKRDEKEERDERDDREGRDEWED REEGSEDVPGAVIGRKREAEDDLGDDEDEKEEHEQEQEDGIVEGDENNDELEDEDGGV DANDAKEEPVRDLVLGVEAVEEKAAGGRRQKELRGKSGSGSEKEKAIDPDDSIAVARQ QAKHRLIDEALDNLDQQEKELLNGSHPQLLLLWQEVARRKDAQLHWVEAREEAAVREV TKMRDHWRDSAITNFHVEVEKIQDDMTHANRFMIARLAGERVALRRNPDALPNLRAGR GGGGWALANKHLLSKDEQPLVSFEADGQTRQRRSVPKDITVLSHADARSDLGKMGIQT RSFAHRSPSPPPRRHTPDYPVHHGRSAPAKRPPPQAQTAPWPQHRDRYQPPPPPPILP PQTHNGGMWDRPSSMYHHTLPPPPQPSSYSARAAHDYEASLRRDRDRERERERERERE RERERERERERERERERERERLPPPGAIPYQPPPRTAEPTGRYHFWQPPAAGGPVGSS SGAPKAVPPPMGYYSAYPRTTAPPPPPGIGLPPPTRL L198_00281 MPDSNPIPSTSPDTQQPGSMQPPALPLKKTSLPPGEGGGKKGGV KIVKGEKAGTGRQSFSCAECRRLKLKCSREWPCTSCEKRGCAQICPQGVMQTGKGKRL ILADTAELHERISELELALSQAHAKTTDAPHPLLASPYLFSPRESPSRSRPSPSASGL RHGSLPGEDRLEGAVGTLTMGQEGQATYVGSFAGSEYLREEAVDEDEKSATEGPLRTP PATARTAPQTRPPQEREEDFWPSLGLHASLMAGGEVKWDLNSLRAELPDYEIEGRVLV AAYWENVNWQYQMIPRVMFENDHILNAYDTASPVNAHKLACVFLVMALGVMYDLHRPP FDPRGEQLFSLGRACISTVGIEHASPATVQALLLMGTYILNDKRGNGGEVFWPILGTA VKIAQGLGLHRDGSNYGGLSQYEIEERRQTWWEVVTYDRLQAICFARPCSTSFKWSDT KLPETPELIGDEAGFHRAKYQFMEFMERVIEIQTQVDPVSFVTVAQLDQEVREFKKNL PELLMPNVPIQDLPLDSQIHPHLVIHRFGIRLQIAQTRLLLNRPLFVRALQDSPTDPS HSAKLGESFVALFESAQEIVLLVKYLVIYHPSLIARWWFFWFHAFSAAVCLSAITIRA PYSAFANPSFHAMSMVCDISAAAREGCRAKRGLPILLRLRVRAHDALAAATAAAAHKS RSQTAKGHGSSGEDDDLSHLAGSGKLRRVQVPHQRRLSGGSGPQGNGLEGTPSPGSGG SIGTASTLVESGLYEGIIPPAPVETPLASRILPSSANSHQQRTTASGSGSSWHPGEPM GPFLTDMTPTPSFAARPQDPNFLAHQSSNWVPSSPPVDSSNFSGSGVSPEFDPSTEMD ISAAFGMNSGMHMGMSLDNHSIHHYGAGEPLVRGIDIGMMGMNVPGSMQHGMDTGAVM EITHEGGAEAETLGFDFAAFVDNMGL L198_00282 MVTLVHYRLKVTLNDGRSLVGQMLAYDKHMNFVLAECEEFRTVK SKKKTGTNEPAPPTQQKRTLGLVILRGETIVSVSVEGPPPVQKDEPSLAPGPGQGAAA GRGMPLGAGAPGAMGARPMAYARPPPGFPGAPGLPPGMPPGFPPAGVLPPGMPPGFRP PGFPGAPAGMPPGFPHG L198_00283 MAALDRRRIPAPEISHAPTYEPLSSQAGPSRTDRSDLESRPIFL KTGLISQANGSAYIEAGGTKIACSVYGPRPKQPPYMTQGERHYEQDTEPLPLSNLLTQ LLLPSLHLSAFPKAQLDIFLLVLESSSPASVLSAGLTVASAAVVDAGVEMGGLGVGAV VAKLEEGRNLVDPSKDEEAESRARAVVGGMPALGKITNMWLEGEVPVSEACDMIETAL VSTRETHTVLAQAIIEGVEERGLGL L198_00284 MKLTISALTAAAYLALCTSVVARQDIPYARRGPGGAIIKIRQDD SFDYSNSTSNDTGAVGFDNSTAVDVGNSTTNETSFGGSDNSTAVDLGNSTATATASSS AVSATESASDDDDYDSDEDDEDDEDCEEEDETSSVAGSAEATQAASSTVSDTVSTSPV TSSAALNQAAAATASAEETCDGSVSTVYATVTVTATVTAGASDASTTSGAAGVVAAAV DSTSSVTADASTSTESATSTTDDEDEEDEEDDDDEDYPDCEDEDEDTTTTVSQSPTAA SSAASSTSSAVASEEDDEECEDEDEEETDSSTVVSATGSSSASSSVVSATASTTPVEV IDTDSQSASQNVTLPTETDSFANVTESATSVLSSETASVSATASSSAAELLASGSTSA SWSASESASESASESASVSASESVSSTESASSSSEYASSSETASATPTLTPTESASES ASSSANTSPVTVVAADASAAADVAVKRRVAFWA L198_00285 MSDKTPYVSSSGALTSQPLYNRISNSASDMATIVYLFFETLISP IANPASWTDPSARPAQRAQRPARRSAGGGGGGNGPRPGGGGGSGFMTMGDIRGGETVD GCRATCG L198_00286 MGAKPISRKLVVLGDGACGKTSLLTVFTKGYFPTTYEPTVFENY VEMMEVDDQVVELSLWDTAGQEEFDRLRSLSYADTHCVMLCFSVDSPVSLENTESKWI HEINEFCPGVKIILIALKCDLRDDQGVRDKLARRNLHTVTYDEGLATARTIRASRYLE CSAKHNRGVREAVYEAGRVAVGSRAKGGGSGGRLKGEGWKDKCVVM L198_00287 MPPSPRTLAVLLAWAAAVALIHTFVFPLPLPAFSLSKPTAQQHF LSTTFPQPPHRVGDDQVDSVDPRWRPFDPLPAPEPPFPRLRPTRFLPPKCLEQWFMEG ETLCGPSDFGEEEKLDATWLWVNGSDHRWRDSMVAWRTKEDIYSPEHHFREQNELVHS MRSILDALPGRMRTFHLILADYPFNYPQDLDLVPESVLPQLETAAASRIKGRTHARDI PAGPSHGGNLTERVSTADISPALAEYLKDQWRVVQTPTWLDFSRRDPSSPSHPFHPYS VTKEGKSAQHYAEANYPTFRYAAHSEIFHLPSGDRDGRHLGEADWREQEWRKKALPSF NSMAIEGRIGWLPGLADAVIALNDDFFLLRPHAVSDFHSPLYGSVIRFDHGYGQQVKP DIDRNNINNAGEIGGLYHANALLSQRFPHRLRPYFAHVPKTITRGLHHEASLMFKEAM ADSGERRFREMKLGDGDVQMQWLLTALRVERWREALLWTWVVTNMGTRGSTKAHWDDN TRSEIKDLFGFNDGDGDVVKIQVHRGERWTLENGRMHKAFEQAGWEAPKATEFLWSSM DGPMPHLLKNGKDPEENNKCVLDLNRCFGSFWSRGEHFASDDMFKRLTFQYPECGDCL TMALVTASGPLGLSAFFPPKDTTFTAPKLKPNAEYPTFLPPPHLPLTPTWHEADFSLE SVMASTALPEEEVDLRQYSMKLLSRYLYLDAKSVSHFHMLKNAEHAGRVFKQIQDTPS VSILGMNDDIEADYDETKRLMNEWFEMRWPRKAVWERDWDAVKDRYVD L198_00288 MLANSLPLLTALLPLVALVDAGSAQPPHLRHRRMANSLRTVEHP GARAVAPEGRDTAHANAKRAIKKNMKKRGNTCRPRDSASASASSTEAAQVAAANTDST DSWSSSASQTESAAAEPTWSAPATLNNNEALAPQAESSSSSASWSSSSSDAWSSSAAS TSSSSAAPSSSSSSSSIVAGLSGLLQITDSTCGYSNADSDSPNGSEDWLNCGLTGAGW TPPMVTVDDLIAVELSADGTFSACADYIDKFNTYGAQYNVKPVMLASFAMQESTCNPS ITGGNGEAGLMQLTAANCEGAPDGNCYDVDFNIQRATKLFADLISSNGGNVLLAIGSY NGWYSGLTQAAGTAAASTGQCSAQNNLDYLHQFCNGWMQGKSGYNLGTYLNLASC L198_00289 MASLFGKKQRHRSSNSSSATSPTNLVAVPYSQLTSGPPPIAGPS TSRSDNSKLVSAPNTNPTLTDDGTPLNANSRTYGAMARPAPPPDTPKRRRTGDDMAGA GAGGGSGDRPRMSNVTDPGIHESSYSSRSGDGHQEMLQRSVSPYYDSVSSAGAASAIQ RSHTSTQEFGGRSYVHPYAAMGQRNPETASVHTVSSMASQRSRIHVEDTDPGRYPLGY PLGPSRQQYTTQRESDGRTTPTQSPQPHVTTFTIPAPLQGRDEWQRPSDQVIEEWYRH LLQNTDLDPSSNHTTSPNPSLRSSVSSSTSRAAMSAAQVPVESKWMLVQQYWRSRPNA PGGRKQETPRVAGKRATKGDKGDKGSVEYFLSQLFNRDALTPALLSSLEISLRTETLD WIQNFMDHQGQAALGNVLTKLTYTNGVCEQPSAKDEGLMHCLSRSICKHRIGCNDAVT KPRTIERIILYLTCSNMNCRMWAAQILTVLCQISESNGDKPGLAVVYMAFDELKEKVN QGVLDIRKKVERFSLWMSQLAEVLEKQGRMGSKVRAHPLVKGLDVLEYCRTMVLLLVS LPSSNDAKTRESIRWQLELSGAPLVVARLRQLRDPEINMQLDIYEENARNDMANLVDS KKESDLKKLRSPEQIIGMLLEKTKGKTEGLYLLDILRHFVLIDAEGDERPRYFQLLSE LTSTIVMGASPDLHGEFESAFHRSINYVLGKLAENKAYDEAMAEVKELRATNERLTYE REDLQDEISAGNDGLVGRLKAQITELEKKLYNSRVAMEAALDEKEGMRKDYEKRLHEL RLWLQRLYNIIREAGLELPRGMEGMANIPDFSRLETEWKMVIDKDKAHDQTFEKLTGS SFHGKDGSRSSSLKRAIRRNDSTDVDDESEEEHEDGEVLEAAKVALSNVRGQRRSLKK RKSRTPGGKAKHVSVSQFEDAGDDSVLLHIENSLQEADSVSPVPRTHGQQSSRSGRLQ EVITAGTPTRANFDPAAPPIGEKSVWRGQKKPSAFPPRFVAELRAKQISRSSSAPAQL NDLDSNSDHDDSDNRDSQYTERNTAYTSGVSEVLKTPGSRDSFRLQVLSKAKSVKHDL DLEKDFSQDGNALSTVTEDEEKSGRMLATQVPDESESMAAPPPPPPPPPPPLPPPGFL GPAVARGPTSSMAPPPPPPPPPPPPPPPPPGGMPRKPAAVPAGAPDMSNVFAGIKGGH SLKKTAGSTVPPPPPPPPPAAPSSNPASRAPLESVSSLLYGVNDSRKDVSMIASKRMK QLQWDKVSKAQLGKTVWKKAEDDKMEEEVVNMMKAHNIWDEIENEFKAKEVMYDAIKK RREQEIISVLAPDHRKRIEILISGPFAKTYKDNPEGLANAISEFDSELCVEAFLNELQ SVLPNDDDRGKLLTHSADDPEQFAKLHPADRLMVRLIQLSHLNERVKGMLYRVRFPQN IDLLEQSLAVLEDACDALMDAKQFQALLALILTMGNYINGTNYAGGAFGFKIASINKL VDTKSSGGQNLLHFLEKTVSLHFQNIGEFLNELEIPATAARVNYADLQSTSKTMLDDI YRIRDALDKQFDGDTSQYTRKMNIFVTISSERVRNVRDGIIAADRKLKEVQTFYGECD DMGRGMQSQEFFGIFRTFVSSYKFCQAQNRARAEEQAALEDRARRRLERKQMGLTPQP TGMSTTSSTGDFEDTITKLRKNGTPRIPRERTKREFAPPPSPLSESVDLEKMMLAASG GGADGGDGGGYGMEPEYEALMAQRLLSTTFKSPFDSSEWSADVLNGMDEAKDPEEAGN DSLEDPDATQVYKLSAPPQSTLSMSDSSFAEGVEYVESSTPRATTPTSPLRDGPSPHT PSPMIEGLAGMEALIWPSTPSPTRETLVNGADADDGKLHARTVRGDEQD L198_00290 MSFYLAIVSPTDSPLYQLSFSSSRPPAPSAASPSSSSFPSWSTF TAPNGADNAAAVDGARGRLTGALLESKSAPERDRAMCQMIAHMSLDSVEEVMEGTGAL YIKNVDRHNEWSVSAFLPTGVKFILLHDVKNDDGIRTFFVELWEIYIKVLMNPFHTVN TPITSPAFEARIKAIAKKHL L198_00291 MPIPYPLLYLATSSAILIALYLLFTGQGESFNVGRFLAESSPYA WALVGIGLCIGLSVSGAAWGIFVTGASILGGGVRAPRIRTKNLISIIFCEVVAIYGVI MAIVFSAKITGDVQDIHTTNNYYTGFALFWGGLAVGVCNLLCGVSVGITGSTAAVADA ADPQLFVKILIVEIFGSVLGLFGLIVGLLVSGKAEEFA L198_00292 MPPAASGMPPAAPRHRSPPAEPASLKRMREGSLEPVHVEETPSA LSAKKNRVASSTSGLAEDSAEQIQEEDNEDKDSDRSGDEKNVGEVRKKVEKLSHEEKI KASEAPSPEHQDSAVPIEEEEEEKAAGGEVDGSKGEGLKRKALDRNETSFSQVQDDVS SKRQKDTPSPKEDKPAPPKKQPTFTSFASSSSPFASTTKTASPAPELEGATPSAVEDS VAVAQKKPATFGDFANKSPFGSAKPTPSATPKSETSQSTSDPAVEPPKKPQSTFSSFS ASSSPFGSKPKQPSAFSAPLSKTSAFGNYSTTASAFGGGNKADHEKVSEEGKKNFGEI LKDTKEDLGLTKEKVNMQEQDVTTGEEDEDTVFQVRAKLFVNEKGWKERGIGLLRVNV RRNDGGGARLVMRADGVLRLLLNSKLYKGLNPTVEGKTVLMTLPNVGENKMAIICLRL SNAKSAEDLADHIHEHIPLDNPSPSKSPRPEV L198_00293 MPSNSPYSAERFTVASGSPSQTQSPRDHRHPPPSPDKMVMNRGR GQYGPQLGARESTEHGERQREKEPMLPPVALTRPRTQEPMVPQDESSVPPAPPPTTAA TAPTTPASGGEQIPLSKRLFHALVNKPKLSKTWEKKSFTDELRSAPAALGESTRRGRT GVEPGEGFASPRSRPTSLYASPADLASFRPLPLMMEERHHHSDSEVGDSARTAEGGRI L198_00294 MSFANYGEGIGEPWATPEPSAAPEPSVESALEKEKILKDILTLR DGLRGLMVRVSEVEGENDKLAQENETLSLYVENLTRNSVVAAGNQK L198_00295 MSTTARKTFEVNNDVQTLDPSAAIFQYGREEESALEDNAPWKND PHYFHTVTHARSGGLYEIMGVMYGKVRDGTFWIMDVAALPVQGTETRVNAGNEAMEYM VNFQEANREAGKGELLRGWYHSHPGYGCWLSGIDVNTQMTNQTFNDPYLAVVIDPNRT VSAGKVEIGAFRTYPENYSPPSAGKSQYQSIPMDKIEDFGVHAASYYPLKVEIYKSRL DEKMLDLLWNKYWVATLSSNSLVSNLEYNTSQVKDLNAKLQDATSNLSTSSAKLKLKP ADASKSTSGKGKEKEPEEVEEEETPLSKVAKDSTRITQEAHNGIVSQLLKEKLFNTPL SRPVGEEAALAAVQGKQVVRL L198_00296 MGIRGFDVYLKERKFLQSCPISHLANTRIGIDASYYINHLLTDP ATREPLVAATGGIPHSIIQKIESDLRALEHRSIKPVFVFAGLPLAAKEPVKLPDQKAE RENVAKNEAWSLYDQGEPLLAVDKLNSFNGGNYVDQRDQLRSILRLFRHRFVEYVIAP YSSHAQLAYLLQHPKGYIHAIYSSSECLMWPVERVITSSDWSTSFQFADKVRILNDMN LSSEQFLDMGLLCGSTLLRTMPLPTPEFSLKMISDFVRHHKSGLAVCQNLPHIPYKTQ TYTESFWKARLAIKFSLVLTTEGQCVPLPTVIAPQQQAFTVQDVPADLEDIFSPKLPD EIYFYICRGIISTQVVGWVSSGTIVEQQPLLETNEYRRFIKDVITEGPTSPRCTTIAL ILDCMHREWSNKRINVQYWFDPYVTGNNRGNNQVPYSDPLTQSLIARCQDWMVPMFNL EMELRRQNSSTIDLKLCLGALATEDLVNKTFKPKGDRTLDKKDEVVANSVWRFLEVRG FVQQNHTHSSIGKALHAAYDKSKINDRFQEPLYLILELLRSGVVHGEKWGGPSAAPLP GGPSFGENDEQNTVRLVMRCISVLPLTNRPQQWVGPLSQELLAFNSFVKALSKSLRQL FESVSCHLLLSGDGRRNRDDFNDIMISLPFQTDVNTGFGILAKSYLDATAYHNDGEFV TEATAASEKSKTAKREAIAFVEANFSSVRNPVQELDRGFRFWDTVMVAIRTLAQAQGP TPTLAQTVVGKDVIEQFERADRWLKPMRP L198_00297 MSTASAPVPVPNSDSQSSSSDSFGSPGSGPRRQRISMACAYCRH RKIRCCGGDPCRNCQRSKRECGYAPVPEEVNRATREKKAFAKANKIASQFLPPATTTS PYYAPHASYDHSVYGSPLHSAPYSHRRSSSLPSADVPWGSAPVYGSGQSWVSYAKPAP YHHRPSAPTPVYESSYGYHHQDSSQGYHHNQLGVHFEHGEPQHVLDSPSPTSTNMSST TSAVTHSSLEEEQHAMWMTPGLVTPTYGRPAASRSLSQSVHGVPLPSDSPVQKTHSQG TVPITPASSTFARPLPSPTVYSYSSQGSAHYDNQAPIFYSPVSNKTRGDYSSPMIGGG LSKEPIVGLGLIDGRGVYMGGHYSGEEYMAPPMYYQS L198_00298 MSQGLTRRRPGHSPAIPQTTAQLESPTLPGSSSPGPSPNPNTQG RDGNEGHKIAYDPRDFENVDEKDQMPRLTLMEEVLLLGLKDKAGYLSFWNDNISYALR GCILIELALRGRIAMVRDPSRRRLALSDRLIEVIDDRQTGETLLDEALKMIKSSEKYG AGAWVDLMSGETWNVMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHPIAD MNAKDDVMRRVLSLLTARTAAIPAQALQKENVKYRYMRAVALVCAAYASSVLENALQR LSYDAREAAFGRCDDILAEFCTWPFGQTTSQSSGPVAIGSGSGRRREGGSGGAGRETV QELVREARKEMAAASGAGQGGNAAGAGGGQEDQEELCFEVVATVFEIFGRMDSLASLT GPPFVLC L198_00299 MSNLYATEPATNGKVIFDTTAGEIEVELWGKECPKAVKNFLALI MEGQSSSHVRPTSD L198_00300 MGGESFYGEPFGDEIHGRLKFNRRGLLGVANNGEKNTNTSQFFI TLDQAPELTSKHTLFGKVVGNTIYNVLSIGNMDIDAEERPLVPPKIRRIRIIENPFPD IQPRITAEERRAQNSARIEAKKELEKREKRSKAKKNTKLLSFGEAEEIPDEDEVPKKS MTRQDLMEGGPPVNDSKLGKSVDVPPSLKDIGSDDLVKKKEAEKKAIDLKSIREQHAK DQAGGANDRKAEIARMEADLKRLKKRSGSMSSSSSSSSTRDRRPKGPSVLEQELAKYE ANRGRAAQKTGKKRGRKEEEDDLLAEMKKFSHRVVQAGDSDGEEDGREEGETGEKKEE KYGLGLGEDDEGVEVDDDVGWMRHTLKFVVDEKELTRRAEDEYAVIDPRAKARDIQSA SHPSAKRSRQDDRRHGGRGRDGGRRY L198_00301 MSASIYRHAPDELSAVLDVPSAHLQPHHDLLAPDFNSPFAADQL LFNPASHPASLSSQEGDSSFLLSPAGPHSSSSLSPRLSLSPSTGEPSIRPSSLSSYAH SHASALSPNRSYGTASPHFDPMSGSELDLFLLPELGLNSDASSTLDLPQHNEKAGHSL YLPGRQEGAMSDDWIQDLFKDPTFNLGQQPQQPNGPVPRTETPSGSQNIHLQQHQHIG QTIQPHQMGQLGESQQGWPGTNGDVQLNMPYQAPQPQSHPQPHVQTSKPRAAPAHRTP SAKASDSSAPAPTVGKHNKTERRYRQKVQAAQADLRDAIPALRVLYGTSTPEQAATID IKAADGTVDGLGEVTRPNASAKATILIGARMYIELLQRRSAKLQRMTDELQDFRKTVE GEAGFGAWKGQFDAKEAEIERYEAEQLAIRIAREEEEESDEDGGEEVDQEPSKKRKRA APAPKKPRAPAKSKVQATAAAGARVFAAFALSFSFAPSASTILHPVSQSSTSQLLGPL TKRQVLSRVPILTAEHTSRLLARALPSTFAPGPEALVEWTWKLLIAALLAVLMRSVIS RWSAQDKRPTTPGSLRGVVEDLVQFATDKNEGRDGDDWEHLAAAIVGNSAPLPTAVKW HTILHLNMTASRPYPLALLALLQPEVVLLRSPASIWTSAQSLVDSTTPAALVTVLQLP LHEVQKCLTAVSPTSAPLPALAEQITLTHIHDLYTRFFIHIVDASLPPSASSSTLRTL LADLEAYGLGTHLQTSNFDKEIRATISGVQKGSAAHALGLVLIGLWGVFVRQRPEGQA SLVASLAGLQVSGGVQGLESVGALLELLYPGCSEFAPAPSHEENLSKTAQKVDHLALS IIEYISLLLSNPSSSASVSTENKPRGNRKEESMDVQKRVVSLRGILNKTGWVEIESSW DDDDSDDEQASAAPSQEGQSHLETERTKYERAKERLVDVLVKIGRRAAGRARGRDEDS GLEGDLDEL L198_00302 MKLKDISRTATFAWDANSSNTPLLATAAVAGALDENFSNESKLE IWQPDFDNVNHLKLADKAPLGSITVNSRFNRLRWSAPFGSYQLGLLAAGMETGEVSVY DPSKIIAGASADEARILKSEKHAGAVGGLDWNPIQKNLLLTGGINAQIYIFDLNDIAK EPITPGPVSTKLGEITSVQWNPTVARVFAASSSSGFASVWDVKAQKEIVSLQYGGGAA KGMETVGGIAGLQMGKRRGMSDVVWHPENPTRLITSSEDDESPIIMLWDLRNTRAPEK ILSGHQKGVLSLSWCKQDADLLLSCGKDNRTLCWNPESGEVIGELPVSNDWTFQTSWC PRNPDLLATASFDGHIGIHSLQTTSEPQPITQQLEEGATPDDIFGALSNQQPSSECSN VLSLKQAPKWLRRPVSATFGFGGLLTTTSNLSGANGKHQSGVVHLRTITTEQAVLDRA EALDKTSGETEKLAEFCSTRASGQDEAWKALQTLFKANSRDELIQLLGFSKEEVAKKV EEAVAKFPNAVTLSKPKEEPVPPVISVEEDGKTPTAEKTESELLDDASVKSEAGVESQ KAEEKSESGFFNDEAGPGTPAAAAAADFFSSMASGSLRNPQLDNIVPHKSVTVDSSVA ATVGSRPSSVRDEIVNTENTFEIYPEGESDVDKLVTQALVVGDFKSAVDLCLASERFA DALLLAVRGGPDLLHSTQNAYFAQQTTSRPFLRVFQSIVTEDLLDIVQNADLSEWKAA FVVLCTFAKDGEFANLAEQLGQRLQFRWRVLSGSDSPEAKEGAQVARQDATLCYLAAQ KLEKVISIWLDEMTEEEEAVESTQYTSHAQALQSFIEKVSVFSAATGYVDEDLATPTQ SAAAAEAGARTYKLAGLYDRYYEYADLLATQGLVDIAAKYVKKTPVDYKGFGGTGGFD KARERVLWAADEKTTAVSSSIGQSSKAGPSRSAGRYIPAQPAFAASAYGQSNGPYGAP AQQPTYQQAPVASRSVYQPSNAYAPPAQPTQAYENNPYAPAPSSYQTNAQNPSAPNGY GSTPYGVPQQSYNRPQVVPPPPRVGQASGPISASASSPPVVPGSQRRDVPGWNDAPTL VPKRPQSAAKEVKSAAIMSPFPNASPDPLAAAGGGLGGPSGPGVMSPPPMGGARTPVG IAPPPKGARPPSAASKAKIQPPPTAQQQQAQPQPQYSQPPQHQQLYQQPPPQQQQHFA RPPPAQAGPPPSTLSRPPPGVRAGPPPGTLAGPPPPGRVLSPLNPARVTSPPNIYGAQ QGQQAVYGQQGQSQARPPPPQFARPPSRPATGQEKAVRPPPPGSRLAGPPPPGRS L198_00303 MPDPFFQSQKKRKRNSRPRQRDEDISDNDADNGPADLDLMDFQQ SRQDVAMGDEEYIDENETAPEKRVRLAKGYLSKVRDEVEAANADQDYDAADIDRELIA SRLQKDVAEASGKIHLFLAAHLTSLTSRLLPTSSHLPTHSAMTSSYIYTSTKRGSVIR HATSSLRKAGQNFGRELEGKEGGHKGEILCLAASEDGKWLVTGGVDKTIGCWNVSGRE PTFVTALKGHKDAVTSIAFPPLNNPSYHILSSSLSRHLALHSLSTLSVVDTFFGHQDS ISSVSSLQPTTGVTAGSRDRTCRWWKVEEEVQLVFRGGGKTRIGLDGLMPEERKERLG GGWTEGVEPEAPQEKKNKPKEFVEGSIDVVCQLDNSHFISGGDSGSLLLWSTGKKKPI YTHAFAHGIAPSPSPDAENPLPEPRWITSVAALRGTNLFASGSWDGEIRLWALGEDMK GFKSVPFSVPVRGFVNSLQLSALPYDTVSHDVLTGEEQAKKPQSEIILTAAVGQEPRM GRWLREKDVKNGVLIARLELDDAGKAMMI L198_00304 MAISSRHIIRSALISSPLLFLLVFFLVKPSTPSTSEFPHTPGEP RTFDFESTEAKGWREGLRGGVSDWRDKWPSLGWGSGRETVEQMEVEQVEEDGWVDFDE GLHWTKYEGGVPGYQVFTNLYLSGASLTAITPSQAPSPLASDDAEETDRVQESPFPET KSIISSSKRGVTAGPDRWRIEGPEVGRMEFGKTGYRLGGLTFIFNDGPGPGIYLNTSN SRFRHFVAEAFLGAVRSLASTLPASASIPVPKRIWFPRCGATPSWRDDRGENVWFLSH AVPSASIEDSTGFADRNLAGVTMQLEKVVIIDRWAAHSITGDIAKWGKMNVLVPTVAA PGDIFQQYRDNAIQSFGVSKSKIGSRGLPVVVYLSHQKEPPRLRSEDHAGMITALKSL TSIAEVHIVKVGGMPKIRQVELLSRALVVIGSHSDDLVHVIWMPPSKGSTIIELFETG GFQRDFELMASSLNHNYVAVAGDRVVPEEEWKIAGPSNGERPKGEITINPEVVVRIVE DIVAASDETLVQLGRSRRSRN L198_00305 MVQSTFKRFVEVGRVVLVNEGPSAGKLAVIVEIIDHNRALIDGP TTSVPRQAFPYRHLILTPYTIASLPRGISAGPLKKAIEKAGVAEKWAESGWSKKLAAR QSRKETSDFDRFQVQLAKRARRDVIRKAYVKEKKASA L198_00306 MPKIVHIVLWKLKRPSVLTSTSTEQVFAEAKKAISALKGVPGPE TLHLGGPLIDARAKGFDYGLYSVFSSAKALQEYATSEAHLKVVKENVLPNVDEVLAYD FELEE L198_00307 MLPRPPDSSNPHRPPPREDRPSPYANPPPGRKPDLMGVGGLPTG LGRLSGGYGLFGSGPFRQEELRERDRQERLQRERERELKPPPTSPTQRTPANPYPRPS LPPSPTNTRNLPVPPQSKSSISPQLPPRESAPSSNPRPSLPLPGYGSGLGARALPSPF ERDVQERSTSGSALPSPAATQQEVPPPVSGHRQSLSNSSSRDIPGLPLGDRSPPKPSG PSTARSLYASGPPPLVSSVNRDREPQRSPVARTAASPREPSRDAISPSAIPAPTKPSA NAAAPGVPSSRAPYGSSYAYPNNPAYSGFSGGFGLTGFGGYGAFGGPRWDQDREREAR EARDARERAERADRADREEQEEKRREAERAEQRRPKEFSEADREREKWRLIREQERER AMAQYAGDKGHKAAIPAPRDPYRRNTGSFEGKPPASSNYSRHIEVLNHPDPAVSAPIQ GSTFERETSVIQQVAPTREPRPYGYKPEPTPREAALASPRESASLNSAASARESTRER ERERERDFYSAQTGTPAMPASTAQKRSRMDAVVEDAQVAHQAQQAQRRSSQAKSKRRK MEEERTMGHHHHSASHGAAPPRSSPAEKRDWAALTQPPAKRVEVSSAPVESWLKALPT LAREVGKIEYGGNPFLISQTGLYKRDNEGGTVVVRVGGGFLGRGWKVRGEPGWDEATT KRSGRVVCGAESSDRACWGTDVYTDDSDLGLILVHAGWIRWSPVPADGLYTAEDRKRD EQEFINVTVRLVPTLVHYTGTERNGIQTRGWGNGHDGGSIVVERVERVKIDKQYLASR KRKTRMAQWAKQRALVDPLPALPPPDRETGAEVSVKEPTLRPSLPTEPIIFTSSIAPD AKGLLVSGGFKYTPEALGAWLNLPQEGGGSDRALWDYRLVLSGRGETYHLSMSQEATY SYPLITLTQLSSTPGIPNSTILSPRPPSAVYFLPEGLAALTQDERWMLCQVGRYRWEK LSDDEKGEWDRDEIEEVEEDEQEYSELPLEVEEEAINEGLVMQDEPLAYPLPVSEAQK EESQMMAEQDQASADLLEVAQGMKDAVEVAVEAAYGEQTGRIAVEEEENMDVDA L198_00308 MADPAPRRFGAHSQAALVPPPPQRTRSASSTSSATPPAFDITLA ADKAQQWLSTWAPRGEGKGRDFIINGLNGVASVASTVSSGLNTRHGDGELSHFANRST SSFLTPSNSSASPSTSPDGRFSISPPPLGPLHAHSTPQLGFSQAAGSGKRPPQPANLA RLGHSVTTPAAPVISNGPSGLGQQRPKAAQSGSTSSLPTASHRTAHGPSHLGPNVPAH RRTSSSHSYNRPASLIAMPGTGSKSPSMSRSSSMTGISAGPSLKSAGMPYKPGFQPQG VRSDRTEEFISAREDLVDLHFNPTITQSISTLPRSSSSSFSFASAASDKRRSIMSIDG ALEALKPKDMFKGFKAGSGPGGDEGRRRAAEQTIVKWEDDSEVKKCRICSSSFSLSNR KHHCRLCGRIVCSLPPTPAALLAVEIQLFAPADPSASNQTQAGLPIGTRRERCSLLLV ADWKTGRGEEVEEGFVGWMKTANGEDGEDGERTPRPNETEDAVLESKLVPQQPKEVQV KGMRVCRECWSVVSRKQTMQDRQRVSGFTRLYQALRMLQSEIEEISIELDDLLSDFAS SAVQSSSSSFDPSPELLATHRQLVSLFTQYEHLSKRLCSLPCLENSGSQAVVQGNIAR SAAAFMAHEMGRLQAIPRLQKRAAEAKKKGMVINEVTLAQLDLEDEEEEESGADDVAV LLQPLLEQEAQLELYISDANAQRKYEDSKALQEALNEIRAEIGRVTLGASQRQR L198_00309 MPYLGLRGKALLGAISATAGTGFCLFGIDNASLGGVISSVPFDR RYHLDTTGQGAVTGAYEIGCFFGALFFAVCGERAARRTIILIAIIPLLIGTVLQVASY SVAQLSVGRVVAGIAMGAITATLPVWQNETSPAALRGTLICATLSMLVVGQLIAYWTA YGLLDRYDNDMTWRVMFSLQGMAGVIMGALLLFMPESPRFLLSHDRPEEARQVLAALA DLPDEDPVITTQMNEIVRAIELEHSSAKSWKDLLTRGKDSQREKRRMLTVTSFSSCQA FSGSTVFSYQEAIGMSSHTSTLLSGFLQIFFLICSFGTWWLIEHAGRRRLFLLTAFAM AVSMFAIGGLVKQNTKPTGIAAAVLVFAYQGFFSWGWMAGVWVYSSEICPLSWRSKGM GLAVALQWLFDFVLLMVTPIGIGNIGYGMFILFGGFNLCFIPFVYFFCPETAGVPLES IDAFFILGVDPIKESERIRREIREASQSREEELLEVGALTEIIGEYDEKVGAEK L198_00310 MSEASSVVSSSSTASLSSELEQLIDAAIFSALRTDALHITYWSA LGLAFEGLVLGAVLTVSYRYYEHFGRHDSWLTLSAIALGIASCIGQFGLNLWQTYRFI DKAATAFYLVMEKDIYADMVVLLLIGIHNLAGSLFFIRRTIKLVGHSWYIGIPLVAFS VASFGLCLTSVIFGCLIPWDTTKIMSWLSRIDVYVLTWMTMSVISDVSICVAMTWALT RTRRDIEAAASSLWRKLMMLTFETMIPSTTVVFVLLIYGGVENATFGNFTRALAWIVG PLYFLAVVHSLVSRHDVQHLLQDEFQKREEPHELSEDSNGHPFIRSEKERGPIIPTHI AHFATSFGSTPDLLENSAGLLPARHQKMKPRLQNMRPPPSPPRTEAVTVVPVEIDLNE KAKKDLGFADDNEFGIYL L198_00311 MPRLSPDYPGYRPPRIQYLKSFLPVVALVLLLSFALSSYSLLSH SKSPAAKQHLGWQAWDLVDMAASGSAEEDTDLSLSNDTTFVPSIPLDNWDPLALHTTG LTEIAVKPCYFPPWLFPTYCAPETTPQLDKSKGKWVIVERDLNARTGIWYLNIYYRRT RRLDTKLITDIRILEHPPSAEDQAILDAEEWVLASGDLHNGVWPSQNEMRIWYKLGDQ SWGDWKRHRERQDDTESEDQQDLDQPGEEDSPPVSEGEGSSDSGQVEEIGDKVDWSYG DFINEIDIIYGDDDPFFAFRRITGGPVMRAEKGRWDSVDIALRKGNPVAPRAIVPTFH SDGSLKIMQIADLHYSVGTGECRDTDKEGCIGDVDTATWLAEALEAEQPDLVVFSGDQ LNGQETSYDSRSVLAKFAKPVIDRKIPWAAVFGNHDSEILGDREAQIKTLQNMPYSLS RPGPKDVDGFGNCESKMHVFTLYFLDSHDYQKRKLPWSKPDYDYIKTSQIDWYRNVSS SIKPIQRPFTPDGASDLAGIWPRRSQPSRLPRAEQTLAKPNAMMWFHIPLPEAYAPAD STLDSGELDVGRQIDGDGSSPRNSGFFYNAIKASMESEEGEGAWKTKTAEVKVLSHGH CHLTDRCRRVDGIWQALSSVMCFDGGSSFSGYGQAEFDRRVRIYQISEYGEKVESYKR ESSGAIVDKQTLVGDGAPTGGGQDQV L198_00312 MVRTSQEPIPTTPQLRRSTSTQPRPRPSAVNATPRQGQKTRPVL GEKLENFARPIPQSRGLKNAVKSVPMSKRPRPTDSPMRPNLTRFIAKSPTATMRSPPS SSPFHLNTGNAPRPSAIIPSYGDDSQLLDMTMGGGMDAFETTDESEDELGVWRAGGAK HTGMLTPANSQTLMAEQSSPQTLKRTRKSTVGRQRTPVRLPSPPVSQATQAEGNSSGS RIAFTSHVRNRPSPTPPRRVSTLSACVLDDTFLQKLPSPNPRGKKKARSSGGSTGSTS GKVVEVIVPRRRTTQEPREVSPQAPSQSLPRSTKGKGRSAGASLSQSTSRLPSATLSQ STTSKRRTSGGSLKSSTSSTKTPAPSRKKSIPSTAPARRRQSKPPATASVKEAAKRRA STGTALSKSTIGNPKSRRRKTLTPELAQALSGILPEPIHGSPGDDPLLLKGEFRRAKK WDRRVNGSGERGSQGLGLEFEGDFGSPVRKPEARQRTNSPMPRPAAVSEPPRDQSPEP ELEPRIEGYNYNEFGEIDLGQNDAYFDNAGGWSDDGSEAGDDTFVHLKERNSRDGEEE RAQDEEQEIPASPFIRRPVSEPIMEQHREQEAEAENVPDDDGDITFEAVQGEWDEEDS GETAVRYTPERSPELPDLRHLPGTSMSPMGEASEWLAAPSSRAFSTSEVGSPIKHRTA SATPVPISRRQTPSHTPPGSPRRLSPSPEPTPTRRQSIPLSTPTASTSLPVHSHDFAT DISIEQPEEHVSAEASPVLSVTSRQTARTPTQSPSASVLQRSFHALDFTTSDLAQLRE SSMMMSSPSMSVSSERTARMASRSPLPLMSSSALRSSICAPVTPLARMAIEPKAGEVD VGQSSAQSLAVPIGSQCLTSVSLGAADTPRKLGESLTRSPFSLIKYRGEVQSSPMVPF SFTRELSETPTDFSDTKPAEEDRKDDIVEQAERMVARLSMPLSPRFDCAGLRESTPSV VVQEASSPRETPTKELTHAAVENTNSSALESLSPIMVSVSKRRTPSLSPARERVPLRA SSVMSSGQVTPALGLLSPSSMSRSPHRGEISQRNETPGHEPSPTQTSLPTLRIPTPVR EPSSARAATPVEKANPTRNRSSPSPSAVYAVGNNMSCSPTGRSPTPAQALRSPTLHVT TPNIAEQIPLSLDGPTPKPMPRCSNGTPHPSASFDMSSSHLDLSPSLKRPLSNKQSML GSEGSPQRTLTPELESYSTHSSPRRSPRLSIHARAASLPSVSSHWPAHPSLLVEDQGA AVRVLEDQEAETVPDDLGSQSVTPTSGPEINEPRSLHPASEHSAQLGAVYEDEKLDWT EDEIISNGDASSDEEMTEGESVLSNMTDKTAEAEAGAWDDSTSFDDQLPIISKEAQVA ARKDADGEKSISDDESEKGILEETESDTEQEICDESVSGEAYPIDGEREEDGEEEDSV GEEAEGKIVISVVHRGIAKIEPEEDEEMVINDNPAQQRDAVLPVPPSPAKEHAETPAP STPTRPQQSQLTTTPQGSPGPTRAWQATTGSMVAQTGERPSSQGFTSGPGPSTPAALS AWQAAADSPASPLYPSLENLASPLAAGMSFVTSTPAQHQAPNLTVSLNTPVQTPALRV AAKNNSGEGILALEKVFARRQVGQSKLSRQVLPSSSPSQADVDGIIEAPLTEKTALQE EGPSHLSGTDCDSSAEMEASPKAKGDKADQSIRIKKPRESLYDELTAAAVAKGGEDAD NSFNSIVEISSKDPQAAARAAALLKMNHKYIEYGILTSATEQAKEASFASSSSHRVDK RDLLYEAELDLVSQRRSRSRSTSCAPSLAREWEGTRGEREMSVMSFRTDDFPVPGGFV KTPMKRPRSPLPPSTLTRYHSNTVQADASVAARRSRSKSSKAWGVHDWKRLEKAFELN KEAWLKEREVKALPGGLISWARRSTFGQSTVVNEVKSWDAEGFVAEFLEGEGERAKRE EWNPEQILLRVHALESRSERSKNGNVSTSSLLDLQTPAKKSRVERDSSVSIEVSSVDT PASTSQAAAPSTLKKMFGYVWGRGGSSTETTQEKGKGLMKDFEAVKGKAKEDSQMKVI ATTKTPVIPTTSASRVPASIFIPRSTSTPALTTSASSIPNTSYNSSASYNSDSYSSSH GNSSGRLFPPLEPSLTQRTSAIAKLFPDGASHIRPPPPKETQPIKLPSSACGVKRSGS VKDMARALEESFEKQA L198_00313 MATATDFASLSVNSTDPRDKKVVIRQLTPDIVTFSVPFTRMGVL PIGGRTTAVRLSRPAKPTVTADSIQPSPQSGDGDDVFVYASHPLTAATKEALAKMGTV KWLVTPDGEHGMYIQEYVDYYPAAQAIGVERHLKEKPAIQWAGLFGPNSDGSTKKYGF EPEISLHEVYAHVNHELTAIHHPSGTFIEGDMLFNLPPKEQYTRAGGLPKLFGLFGGG TSLSPGGIVHARMANGIAKDKELLKKELAPINAAKWDRLIPCHGDVIETGGKVAWAKV WSQYS L198_00314 MTAQVATQDASQPLVYCSQAFTAYHDWEIHFEVEHMPNEKAQDF SGKMRRRRRDGQWELVEQRFSGTAENMPLSGATGDTSLTMSLPPLSQNIPNDTQGHMD PRMIFPPQRSPDLYDHDQLVGPEDEYLNLDGALPRQLSTSLPEAETGLSQQSEGQHFR FSEASPSEAALHSSQPQSTNQLFWDQDSLTKPQSPKSSPSVPLSTPAQRRLMEHNQDI LVPSSENRTTPGGARQTSSGKFGGQSSSSHLSPEKKTPSSSLESKSNSDSPRTIQWGG AATTAGGKKDTPRAQEQGMDRGGIGFGFANK L198_00315 MGGSAFDQQASRLTQVEHARLTRIITDLAAPFFQGTSAPRNTAS KQTHGDVDVICGYAGEKCGGQEEWDPESKTLVPLKGGKVVAGQPADELRALAVTLMGT IGAVGLRRHGSNLNYKVPLSILNATETSSEKFYQVDLVLVAPGDIEFNTFLTSYGATS FLIGRCLRFYSPSVVLHLTHFAFRHAPFFGIPPIDITITNDPAEFCKWFGLDYQVWKT EGLQWRWDWQFWKWLTDVEDGTPAAVAYKQMIRRVKRDGAPVSFGKKDRFSSEFYQYL LERSKWSKEWENTTSAEEVSERKRKQVPDEMQSIIDIDNPAEYDEVGAAVLTYWGKEK VYQELFEQRKALAAPIAASQKIKLEKKARQKALLDAQEAMIKVNFGGSAVEQ L198_00317 MLEPVEAEFAQPPIFNDRQEEAFREEDIEESNTISKFKENPSVT ARKSDTIPSEATTLQGHRSEEQLPEKADIEKGHGDRIIVEFAEGENPKEWTKGSRWWA TITASVLCLAVALGSAMPTGDLPGTAETLNVSNEVIYLSISLFVAGFGIGPLLFAPLS EVVGRRPIYCVSMVFYFLFTLPSCLAPNIATMLAGRMIAGLASSAPFTNVGGTISDVW AVHERGFPMAVFSSTLFMGPCLGPLFGGWIALETRQWRWIYWVLFIITGACIIMSIFT PETLAPVLLRKKANKLNKEHNTDVYVTEHDLNRLPFSETMKIALLRPIQLMFQEMIII FFTIYLSFIYALLYATFFAFPIAFEEIRGWNMGMTGVSLVSIIIGIAIANLLMPIQEK LYKRHCEKHGSVPEARLYPMMLGAITLPIAMFIIAFTSYPGISWVGPCMGGIIFGFSM VIIYISGNSYIVDSYSNYAASAISAKNMTRSLIGASVPLWITQLIHNLQFQYGMLFLA LFSVVIGPIPYFFYYKGASVRRRSKRATA L198_00318 MPSQEGTIEHALAMADTQPRPQPRFKRLASKPLKLAASTFKQRS SRAPSPGPSATLAPDNASSVSVSSIATVGGWKKRGAGHQRLSRASASGLTPAQVASAA RGPSKPLEGEEPAAWLRVRVVEAANLVAKDRSGTSDPFLNLLMPPATRHTTPVIKKTL NPKFPAEGSTFDCPLYLSLAGVIGGRGLEGVLWDKDLIKKDYMGELSIPVDKWFADGI ARLWDEQLPLLTQRILSTRRRHKVSGNISFQIGFLPPKGVTTNDEALRRIKIVYGSLV EQGNLSKGSAGVLGVPAYKGIGTVKMRARDAQTEERPHVHGAFNAIQGAASAVAGACS GGHRMSPALNQDRNELDDDEDAEDDDEDLLSDDGMSSSSSNDEYEDAQDEDYGGVGLH RTESPSAELLSDQTAGLTIHPVDPDDKVPKTAGYLDPRRKYETPTRKTSLPAPGYWEV PNMAKSSSADSAASSSGALTPGVPVSGAATPGGTKLKRPLFGRGKSRTSSGNEGKMVV KKKSKGFAFDAEQGKDMLGIVILEIQSAEDLPKLKNALRVSFDMDPFVVISFGKKVFR TRVIRHSLNPVWDEKLLFHVRRHEAAYTAQFAVLDWDKVSGNDMVGTCTLPLSELVED APKPDPSTGLYDKEVDGKPAMKEFTLPISTDKDMAWESRHSPKLTIRAKYEPYDALRQ RFWRQYIMQYDVDETGALSYTELTAMLDSLGSTLTRNTLEGYFVASGKSPERDELTDE EVIRCLEREVNKSRYEKAKVSRNGASDDVATTGSSTPAAQSGKDGLGFVGPEGNESSP VDPDELAQSILKSKPRNQEGAGDDDTAGNQTNIESTASHRKDSEVPAVKVERTTSFDG KTVPAPGQPGADGSLTPMSASDVDMDENDASPADDRERIINIKTCPLCHRPRLGKKTE QDIVTHLAVCASADWSRVDRIVTANYVTSGQAQRKFLSKIVNKVAIGSYALGANSANT IVQDRITGQLQEEKMAVYVRMGIRVLYKGAKSSMHGARARKLLKSLSIKQGLKYDSPA SALDIPGFIAFHNLDVNEILDPLDSFKTFNEFFYRKLKADARPVEEPDNPHRLVSCAD SRMMAFETVNEATQIWIKGREFSVAKLLGPNYKDVIDRYDGGALAIFRLAPQDFHRYK SLVDGKIGKMTSIDGEYYTVNPQAIRTTLDVYGENVRMVVPIESPQFGLVMTVWVGAM MVGSILSSVEEGQEVKRGDELGYFAFGGSTIVCLFEKGAVEWDNDLLQNGRASIETLV RVNTGIGHSTRQA L198_00319 MATTSHPPLTPFGSALAGALGSVFANSLVYPLDIAKTRLQAIDD PLADLEPDAEPDPAATPFEEKTQEWGMLTMLLRIVHTEGWKGAFHGFGASMIGTFSMQ FAYFFFHTFLRKTYLARLSSSTAHLSTSTELLLGALAGALAQVFTIPVAVIATRQQLW EPPSQPAISDKQAWQDDSPSLLDTAREVIQESGITGLWTGLKPSLVLTVNPAITYGVF ERLKTWRLAANAKAGRGGPKLSAAESFWLGAASKTLATVVTYPYIFAKVRLQAKSVET VPLAKEIQKGVAPSYADMAKSSPSEGSTVLVEHPTSPSQVEEGENQAIKPIEAVREIE AEHPHPHPPAHHYRAAIPLLKAVYAEKGIKGLYQGLGPQIFKAVLCQGILFVSKDHFE SYAWMLIVLFSQLRVKVGSSKR L198_00320 MPTVEDYFDDDTDIPLPAASASKPRPLPHLGERGALLEEITDDD GDMDFERIAEQGRGVFGENSSAPPPRAPSGNGKGKMAQRDDDLRPSTSQSGPRIDPNT PMGGFMGDMMKLQADEEERLVKLRRQFGNAAVGGDPSLYKDWNSLYPIYFDAKASVNA GRRVPRSSAVWWPLATHIAQACSALGLPSILEPERCHPADWENPGRVKVQFEKDGRFV NPIVKNRTDLYRHLADQIRQRYPDLAFNPSTTPSRRHKAAAPAPATKAAPKKGKSKAK AAPKAKLPPPPPKLPARPPLPPTIPALDDRLPLHSPVVPAGVAVAAIKREKEQEKERK KNGQVEGAAPGEGKAPKVKKIMVRGGKR L198_00321 MASPGTQTANAIAAITNRSNLVIPEIDFTQHQLENGEIVSTEQR VVKDVQAPAMYVPTDDQFFSKTDKSKPDIAFLKNHFYREGRLTEEQALYILEKGGEIL RSEPNLLEVDAPITVCGDIHGQYYDLMKLFEVGGNPADTRYLFLGDYCVLYLWSLKMW YPDTLFLLRGNHECRHLTDYFTFKLECKHKYSETVYNACMESFCNLPLAAVMNKQFLC IHGGLSPELHTLDDLRSINRFREPPTQGLMCDILWADPLEDFGSEKTNENFLHNHVRG CSYFFTYNAACQFLERNNLLSVIRAHEAQDAGYRMYRKTKTTGFPSVMTIFSAPNYLD VYSNKAAVLKYESNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILNC CTKEELEEEEAELPIAAPETQVLDPESAAERRQIIKNKILAVGRMSRVFSLLREESER VSELKSISGSSTLPAGMLASGAEGIKEAIQGFEDARKSDIENERLPPDIVDPDEDNPA SASQSPSMPATPEELPAGFRDSPIIPPSTPVHKVASPGGAGSPGTPGTPTSPHMDVWR PGHGRRISLGTTKTSPSTRRRSLENTMHLIRDVVGGKDAGGDGQLERVAEVISSPNKE KGGK L198_00322 MFPDLPEHLQALNALPGNSPPSAAINAEQEEAFWGFLHADELFR NFGSAPSPSENHDDEKRKQQLQAPAPAPTPATVATPASSTKEEQRPTLESFLAAYMGH SSGSASQQATTAQTISNYLMPLPAPYAAPAQTLSQTPAAVTTQDVMPSVSSGRSASVS LYDEDDDKPSGAKKLKSLGANQVEIEEDKRRRNTEASARFRAKKKEREQALERRAKEL EDQVSALAAENTSLENENRLLKAIVLNGSNPAAAALATAGTGSQDVSANESLQAALAA LGKRKRDE L198_00323 MLAHSLRSSATTAIRASAARVPAVRSMATLVDEKRLPSKFGGKY TVTLVPGDGIGREVADSVKEIFEAIKAPVQWEQYDVSGETTGGEALFQEAMDSLKRNK VGLKGILYTPIDQTGHNSWNVAMRQQLDIYASVVVCKSLPGFPTRHADVDLALIRENT EGEYSGLEHQSFPGVVESLKVSTRAKAERIARFAFDFAIKNNRKKVTCVHKANIMKLG DGLFLNTCKRIAEQEYGHTGIKFESMIVDNTAMQLVSRPQQFDVMVMVSLSKLYGAIC SNIASALVGGPGITPGCNFGREYALFEPGCRHVGKDIMGANKANPVALILSATMMLRH LGLETQANLIAGATYDLVREGKVRTADLGGNASTTDVTKDIINRIL L198_00324 MPAPTDPAEAIRQTVADKWLKPNGPPVGTTFGGRLLKTEDDVFS QNAWDHAELPSDFKERAEQVMELHRSSPVAQDVRDKYNERPADYWNKFYSQHEDGFFK DRGWLRLEFPELVACSEADAGPKTVLEVGCGAGNTVFPLIQRNENPHLTIFATDYSET AVKVVKANKMYPKADHGLGVMNASVWDITSKPSSPDTADSAATQPPVTYSLPEGIAPG TVDVISVIFVLSALHPREWKQAIHNLYTALKPGGLLLIRDYGRHDLAQLRIKKNRLLD TPNLYIRGDGTRVYFFEKEELEDMLVGAPADGAVDEKKMFEIQQLGEDRRLLVNRKER LTMYRIWMQVKARKLA L198_00325 MSTPVAPRPIPVALLGLGGVGKAILSQLLSPPLASRFQLVLIAN SRQSLSLPLPASPLTPSNYQPILEKYGTALDVPSVVSVLSTHPDAPGIFIDSTGSDVI PGMYPQILSMGVHIVTPNKKGFSGSEALYKSITEKSFPNTPVTVYGESTVGAGLPIIQ TLKDLVGTGDEIEKVEGVFSGTLSYIFNEFSKPEGSTVKFSDVVKIAKEKGYTEPDPR DDLSGSDVARKLSILARLVPTAPALPEGYASIPTQSLVPDVLSNASTKEEYLERLEEG DSFFANLREEAQKEGKVVRYVGVLDVKEGKVEAKLAKYPVDHAFATALKGSDNIISFS TKRYSPRPLIIQGAGAGADVTAMGVTSDMVKIYERLAVTRL L198_00326 MPPKIPLFSKQPAIARNIAARHFSSKPHLLRHRAELDYLAPFRR SAVPPPSRADNQLQNVLNTSKQPEAYSEGHLPYVTSSTAPVANSLQEVPAHGTGSRVA DPVPAMKDTSGDNSANKDSGKGFRSKQMIVQGVAVPPKPIAPGEEECCMSGCVNCVYT IYSEELEEYVAALDAARDALERAHVPKSDWPAEVGTSGRGDAAKGDEKDKAVEGIDPA MSAFLALENKLKNKQ L198_00327 MSSNGSFSYLPGHEDPSPGHGLHISHRESIVDFHGQSPASTARK SSSKHQNPANHDGEGDDQPQKKKKRRVALSCAECAKRKQKCNRETPCQHCVARRVPEL CVPYSRADSPSKGNKAGGSKKDKDGPKVKTEEGRAIVPDKMKPSMLPTISVRVGRLEA LVNAMVNRVPEVNDTKAYSDWRINHPPADSPPVLGAEFDDEEDEQDEDVEGSPRPETR GGDIERPATASSVRSRRDEHSAEWGANSEGEDGGVGGLDRATSSRNPLPQSMMHSSQP VYRGLDYHGTPGEQLQKLFGDCGVSPEKVSDLMKDLPAKDFADALVDWFFQKFNFVRY PIDDHAFRKALESVYNDDTSPSAVLALPLVFIVLSISMRIAPDALIESEEKKRSMSLR MYWSSKSAVIIASAVKAENIQLVETRICTGLYLVLMHERRLAEGWSEFRSALTTGQAI GLHRDGKRLGLDPYVTEYRRRLWSYLVHADATYSCLLGRPTSIDEESVDTLPPSNTDL PDILENKAIKPKPWSEPTYSTYLILRRGLGKIVAKITKQFQHLQGQTGYKDVEAIDAE LKELVANMPPSFAMHNPDKSWDDKLWFLPIHRYYIQTEILHFTIILHRPWLLRRLRSS RYVLSRNACFEAAITDYKIRQAFKIDCPDFFETLLGSSFREFRSSHTNDMRQIVQSFM EHYPHDPKADDFSQKEAAIIHTLHQRAVEMEERRLRRYQPRLSTDNMSYERGSPRDPR PPMAPPLAPTSSHDGGENGRSDQSRNAPLTSPSGSAQAHFRGSPYGAGVGPTPPGMGG SPEDDHPQRLLDHWLVTNQFFGPGADSTMNQYNMSLYPTPAGGGGIPVDPVLQDSYGG QSFSHMEQVARSMPMQPQPQYPQQGQSGWLDPSLFSATPVASLEAPPVINGMPPGFGA VEGNNTQYWNMLIDGIVGGLPTYDPSFPAVQ L198_00328 MSDPASLVVGVMLGLAGVITNVGITAVQAHRLLTGSSDYASIIV TDMKDNIATFAIARILCTAAAGHHTWRVREMAGISRRHWFCSHLILIVLAFVGMTGAV GPQNYASRHITNLSISNLDSFQTWLPIQGDLYRGWVALLAGGNICIWAALAALIVEQR KGFGQYHGLGRMVSGSLQVSPRLLPSVIVGCALLVQLCASTPSLGMTDADDCQCAIGG TSRTTLQTLPALALISVLGPLNYRRCLQAIVETATEGADKLSVSHMTGSSMFKSFSYK GQPCDEEGEGSVRVTVDTYVASHVSSLASNANTRNGGLPVTSPTPTLISDVLHGAIVD VSCCNQVQACASLRQGETRSFRTTVAPSFKSAVPSGKSVARTKSVASKSAKSESVA L198_00329 MVKKRGMIFVTFFDSRAAERARDGMHGMKVNRRPIDVHYSLPRP EEIATACSADKNQGSILIVAHPPRPLNLSDVRRMAERYGDIKDVERGHKPLEVVVEYF DSRGAILLQQHMNRQVFMGVELELRHIWDKVGNNVPPPPVSERIPRTSHVHDRPAREP LRRDSYPSARSREYSRERSPGIRDRRASFPSGFHTSSGPGDRSLPTPVAEDALEKARK VQALIQNLSNVPSVVSTAVNPTSRYQPALAPPTHPPYRAMGAAAGYPPSPSFYTTAAT QSFPPYPSPSSASTTTNLSYQNQVFKAPPAASPYGQSPSSYPSPPTSFAAPSSNAPPP SSYVPSSNSHVAPPVLNNTSPDGPTGVQDVSSLLAMLLAV L198_00330 MVIDLIIIASQVRDEFSDFRESTIAAFLTQSVKLDENSTIKFEI WDTAGQERYKSLAPIYFRNSNAAVIVYDITQTSFEKAKQWVKELKRSADPSIVIMLVG NKIDMASQRKTPRELGQQFADEEGLLFTEASAKTGEEVEELFHAIAKKLPLAPPQQRS QSSSKGVNVSGQEATPQACTC L198_00331 MSDTPPKRRAAEAASSVPPAKTSAMSATAPPFNPTRPLSGKSKA SFHNIAQEMKPRVQTGSEENAMEVDGDREDSAPRVTKPLPAGKRSVPRADFVPQQASV PKSNEDKENTRRLIVVLSQACLEAYKISSGSGGKNASGKEAKYALLNCDDHQGILAKT GRDIADARPDITHQCLLTLLDSPLNKAGLLQVYIHTAKGVLIEVNPSVRIPRTFKRFS GLMVQLLHKLSIRGVNGSEKLLRVIKNPITDHLPTNTIKLTLSADAPTIRLSKFLPTL PETHSVCVFVGAMARGDDNFGDQFVDQKISISDYSLSASVACGKFCCAMEEIWDIV L198_00332 MGVRGLQSFVKENRGSLCRSVILPEKDARGTEKGAVPIIVDAWG VIFKLYLDSLPWVSGGEYLRFYQLAKRLVTSWRKVNLEPTFVFDGAAPVEKHATTLKR MTESLVAPKLFFTTSAKSRSGPSFGKDSTGKVVLPGFASHVFLFALHRLGVATHHVPY GEADGVCVKMAEAVNGYVLGQDSDFLILVGKSEKMIGYAPLDMMSWIEGEASEKDGDS DSDCTPPSSAFQEVNGRRKKSYYPRHSSLLPPPSQNHPTLILTVIAPSSLRQRLRLSA NYMALFASLIGNDYTPPDALKRFYEPSLNPSKRIEKAAWILREQLQVLSASKGKSVNP GDFVVELVRRVVKKLCIWQYDTESDLMGAVNGIIEAALQYSIPHFSQCCTSYPFCGEL GQLGCQTPLSRPSIPALSDNHIPNKETTQQQKALEAYAATQRKGCLTFITHAWLYPDR IYLRSGMEDPSIPSSRGLETSREVRRTAYAIADEGLGGFKFTAEPEDDRRAWSQEQAE DRRDLFTEDAASKKDAQESQDHPASEHGEHDRTEAPSHSDDRVRIMVEYVRQGSAGRV IPYDLRLPPKESSESTAPSCVQPLPDRLRAYLRPMCSDTAAIRELPSSLQPLIAIVRY CAVEMAAVNSRGESPRAIDLRWRKSEILAVLKSGIGTFGQWRRELDYEELSVKRKSKP VSSEDEVKEWPVLENRNAQIVGQLSTAMQNSLSLAESLLLLSTQTPYFTPLQMESLPQ PLTGDPIGDFGPTHLSPFIFFSGVNLHTVLMGHQPPPHLKWKWTEEEDRILETCWTAL LADLQDGVILGVQQEVDDTEGDEYHGKNKNKRAKRDRKKKAGMQKANEGTGTRLGERL GGMFDLLGDDAAMI L198_00333 MQQPNQHHGWQGHQPGGRPLDSTGWPSNDLSRQNDWPSQMAHIP ARNPSEGGTKRKDVMGSSDLEIAATGAGAVGASSSTMPHQQGIAYISQDVRNEADVGG YYFESGADSYSENRGHSGTEGASSSGLYTGHLPKAQPTEPSLRRGMACKFCRRRKLRC SGERPICSSCVKYKQVCEYQRPPKIPRSQPAESSTSTGLSDFVHQPVIPCFQSAFSSQ PFANTENVPSATPFHQDLPGPSSCAPASNIYQPDYTVTHRPFDISIINMPPSTLPFSP PDHSSIGSTSVFGAPVDPTSDIHFQQFPQYAAEPSSYSATRLSSSSPSSFLPQGPQGH SINTVLSDDFPSPTAPRNSYSGARPSLPMSQPAIPSNSCAPAMPAPTPDFSFVADNAF TAMPLVATQPYRSNTDFSSFTHSSASPRVTNIPSSTPSSTTLNAAVPPVGDAPAEYPA GASMRFVSDESDPVDNITERLGEFLFSHNESPVTTGDNTAAGSPEDRDAQKRRRLSKA KAGQGWAGSGPQKTSLLHNRVESDGLQDEHRNMLLDCFLEHVRLFFEMSIPRFRYRMT FLDKRRPHLTLLNAMYLWASRLSQAPNPVALEQHFYAEALRHLDAAAASNDRLVDAIR AAMLLSAYTYTNGRHHEARLAGRGNCCPTGAFKRLTSWCVYSIERCGALATGFPSSLN DGDIITPFGRPIDEIASQTVTSADDTSVRDLYRGHIPAHPQGDSPYVRWVKAVTVLER TSKLAFLDPDDDSEYSRVWTEYANLSSSPSSAPPASLPPSWLNQPKYRNPKDYNECSF ALEQLRRELGVDGIFPVERKNRANNEGIELVFGSKIVLLHHHFASIEMLLHDINSIDA DNSVAVSAAQRSVALFRHLPEISFFEVDAEIVLVWCMTAKLLIKELDRFARQGDPISC QSLGDDVDCIINELYRVGYVMHMSRTQGKAMEDLKKAALANLKQV L198_00334 MSSTPESPSPRLTESFDLKVSPKLPLLTFSSMPLTPPLTPPISL SPSKQSKPSSVKIHSPIDITSYPLPPDSCSYSLHIPLKAHLALAHPNTPPSVVSFCAR RLLSPEIPHGFESEWEWKPIHLQENGLDLQTAGVGKDATKELREGQNGPGKEADEKLE MMYGVARTSWRILESKVPTENSDPEHLGGPPSPPSPAPEGNAPPPAEEVTHLRLGAHY GGLVLASMLGCLVRLGLSALGKYDGALIYPLAWSQGVGSGIMGLSLGLKNDISALYPP LFTFLTTGIAGSITTFSSWMLEGYLAFSNFGNYDRKGLHDVSSFQIDTERG L198_00335 MPAYHSAFNHDTSARQVGNTAVLPITTKIRGPAPISSTPGEPDI IDEALDLFRANCLFRNFEIKGPADRLLIYLILFISECLTKLAPTAGRPSPSYQEATKV LQTLAVDNFSLPGEAGFPLNSLYHAPASRVDADHFRSYLTQTRTELVLRLCDRLYPQE QVLGPDGAPTGQVGPRATKPSKWWMSFQKRRFMGRSLGV L198_00336 MAFTSTSSRPSLTAIVAATQENGIGVNGGLPWRLPGEIKYFARV TTGENPSSAAVKQNVLIMGRKTWESIPPKFRPLKSRQNLVISGKGVDLGGAENSTAHE SLDSALSALPSGPEAPRAFLIGGSTLYTTALLSPSSERPLVDRVLLTRILSPFECDAH LEDFASHQTPSGEKLWKKSSTQELREWIGWDVEEEQEEKGIKYRYEMWVLNQS L198_00337 MQRGTAPPTTRRHTPDYSPWNHRVPPSAYGSASHPNPYTDTPPS PGDQLHSALPLHMDDTTDLKRRLSEKQPLNEPTHGELSLDDDSGKWAKGHGAGPGFGG RRGLPPKQRIPGWKGFAIEHEEWVWAGIYTFLSMLTRYWRIGAANYVVWDEAHFGGFA SRYLNREFYFDVHPPLGKMLVGLAGLLAGYTGNFDFKSGVQYPPDVPYTAMRVILATF GVALVPLAWFTAGELGWSRFTRHWVTICVLCDVGWLCISRFILLDSMLLFFTFTTTLG LVKFHNQRHDAFSDDWWIWLVFTGWSIGCVCSVKWVGMFITAVVGIYTIQDLWAKFGD LSMPFRTYVKHWVARIGALMVIPFLVYAACFKAHFMVLNRSGPGDAQMSSLFQAHLRG NDFGESPLEIAYGSKVTLKNYGYGGGLLHSHVQTFPVGSLQQQVTCYHYKDENNNWDI IPPWYADPIDPDGPIHFLKDGDVIRLVHTQTGRNLHSHNIAAPISKEALEVAGYGNQT IGDDNDLWVVEVVDDTRPSKSAKEDGKIHSLTTRMRFKHRDLKCYLRAANGVLPQWGF KQVEVTCTKENNPKDPHTYWNVESHWNPRLPAGDVKLYKSPFWRDFIHLNVAMWTSNN ALVPDPDKEDILASQPFDWPLLHLGLRMCGWGDHQIKFYLLGTPIIWWFSTASLGIGL LLAAWYVVRAQRGYKDWGKGEWEHWLWVGQVGFSGWALHFLPFLIMGRVTYLHHYLPT LYFAVLIAGHVLDHFFFSSRRLSQKQKIIWFALWAGGVILSFWWFKDLALGIRGSVND HHGWDWRSTWNIYN L198_00338 MASRPTVTVFSAAGEASGSVPLPQVFTAPIRLDVVQQVHKSIAK NKRQPYAVAENAGHQTSAESWGTGRAVARIPRVGGGGTQRSGQAAFGNMCRGGRMFAP TKTWRKWHVKVNQNQRRYAVASALAASALPALVLARGHRIEQIQEVPLVISSDVESVS KTKAAVELLKTVAAYPDIAKVSNSRKLRAGKGKLRNRRYRQRRGPLVVYSKDEGIVRA FKNVPGVETSPVESLNLLQLAPGGHVGRFVIWTESAIAALDSIYEKKSGFNLPTAKIA TSDVTRLINSDEIQSVVRPAGQAVQKRPFTQKKNPLRNKAVLFRLNPYAKTLRRQELL ASERKANKKGSVKKSAPGSAGKEFLEILHSA L198_00339 MLFKITVLGDGGVGKTAITVQFTMSSFVETYDPTIEDCYRKQWV VDEQACLLEVLDTAGQEEYTALRDQWIRDGEGFLIVYSITSRPTFERIERIVERVLRV KDEGSLPYNSPYGGSPYGHQQTHFSPTSPTGPSAAGGVASRVPIVIVGNKKDMFHSRE VSTDEGAMLARGLGCDFFEASAKTNSNVEAAFKCLVKKIKVVKQGGVMAPVGGQTKKK KQKCVIL L198_00340 MSFAEERGRQPGHIVSTGRGGAGNLVAHAVPDDVQPGAERGREL SPHPNGELVHSGRGGAGNIRPSSQSRTRAEVKQDVKEDVLQEKLIAESRGRQADNAFS TGRGGVGNISRSRSRSKVRTPKDSVEKRREGSALGLGRSRTREPPHNSGRGGFGNITE ERPSGDSNKESREDAYEANLLAKHRTNDSAHPHARATGKGGAGNIYQPGPNEEPDLNG LSLEDKQEREAHKKLQAEENRHWIPAGRGGAGNMHRASEHSPAGEERGRGANKGGGVF GNVLRSLSRAAGREKSSDGARD L198_00341 MTFGSVGKAATKKHHKQSPFPATPYLHAAAENSAAENRDRQRRP SDPDVDQHVVDIAADYITTQHAPPSSLPGIKGDLPSRGALSRNSSFASQSAPPSPSYA PSEVFSEDSTVSHHPYTSRGAIRTTRMKGRTATANTRAPGIMEEEEQDEVRGLRSESK LGLGGLGLNQSSLSVGSRHKADKMLGLDPNAKLASMYMVSGLGKSTAEWSLADTDATR GVQPLEDSLGLFWRPEMLGSSFSGDKQDRTGSTAPEDGTRARKDSKSSTFTNGGLSKD LRGRHVADAGPGGAQRLVSKAIKFAHPRDVEVVNSALAPPTTCHAFSFSIPRQDTLAA VARERLGSAVSGMRNASAADLDRPAPVTSKDMTSATELTYYGVTLTVYSHADKDRALQ LKVIKTRSERLKLGTQSSLNSMNPVSPNYHPPGTRKASTTSDRKRGRRHLGNLLRNQS EGDVTLGSETETGVSDSDMEGPLSRRRWAGDRLSVVESVPEDVRAVFDEQNDVFWMPY AITIVSRFPIYDTLQDYLRLSWARFSKNARVHMTQVGRLLNTTPPRPGEAIFLPVGTS VDDEVVLEVSMPGGLIDFDKGLVKVDFQIWPLFQALDLDHILTCAEVALSNSGRIIFC SKHPAMLNIAVSSLKYLVELRGWNGITVPVIHARDATFVIEDPGPYIIGMSTECRYLL VPPAQVVIVDIDTNSLSCRSPPPNVITPRPRREKSKHKLLAALGPSYPTDRSIPMEFK VSYPKGSFRPFNKMTWAKGEKPAYLGERLRVPGWWKAESVVVVFDKILADKHKKPSLI QRLTRSGVNRAQAQLTVGEQLAKGMMRRRARKLLPSLSIHYVEARDDLEVKVAKINRR LLRLIQEGEHWKSQFETFEKYADRLTVEANELKTKIERERKEARRLSSIANEQTKANQ DLEEKLHNTESARAQAMRQLSDMHSSIQELEREREEIMNSIEMQIAGALNQYLPPPSP SISSRPSTPGHDGASPDITSVTRSLGRKADRPFTADSQRSGMSGMTGVSVLGHAKGER GKIPIGREGSVVETVQTVEGRLPGSLEGSIADRVANIQIKIKLEMALNVVSSQRASSS MTNRTNEESEGEDEEAYADADDDAETSANTSTETAVEEDDGSSPDKTLIEPPEVVSTL GLSDLGGEATSGEETDIETERGSRNGASRPPSVVEAEAILKGDFPPVPIAPVGIVGLD RGKTKIRPRRETAKSTVSVEDKKLVVVKPTAAADDEKVVATNKAKATKVKSRARPTKT ATATEGIVLAHVGVQSDADSDSGRSDMTAGRGKKGRKGLGVDTNGKRLSAMSTASTTI TFGKAV L198_00342 MVNVNYTTTSSDLVWTDDDGTEYIYNLGDIGFVIACMALVWLMV PGVGFFYSGLLRRKNALSMIFLSVVGVAVGSFQWFFWGYSLAFSDTGSSFIGDLRYFC LKGVLAQPSAGSDRVPALVFAIYQCMFCLITGVLAIGGFAERSRIGPVMVFLFCWLTI VYCPIANWTWNSNGWSFVMGGLDFAGGTPVHISSGTASLAIALYLGKRRGYGTERLAY KPHNTAFVVLGTVFLWFGWFGFNGGSALSANLRAVQACIVTNLAASCGGLTWMMLDYR LERKWSAVGFCSGAISGLVGITPAAGFVGAPAAVAIGFVTAVACNFATKLKFLIHVDE TLDVFASHGIGGMVGNIMTALFAQASVAGFDGITEIDGGWFDHNYVQLGYQIADLTAG FAYSFVMTTIICWILHFIPGLRLRASEDAEIVGIDDAYLGEFAYDYVGTDPELRLHRV DSRPHLTSGDVIADNGSNGHESSSEKVEPHTAGNAAVGGGRVDV L198_00343 MPTLSKFVPGLALRLRPQLASPRATRLARHLTQHALHPHTHQAH HAPSQGPSLFKKWGTRLLIALALPVVYVAGAAFPPSIVLMILPRYAPPAPVKDSPSGR AHTEDVERSLQELEVVQNMRYEIKKGADWYETRPYNNYDPQKIHNSLTAGSLRGPGKL AVPPILFAKHDESEAIAIVHLGRSLCGHDGIIHGGLLATVLDETLGRNALLNLPSRIG VTANLNLNYRSPCMADQFVIVRTSLKELKGRKAVVEAKMETLHGDRIVDATALFIEPK WAQFLASSGVTEAMGRPIPRPANTPALMDGSETERII L198_00344 MSTFAMGKGLIDPRYCCCAVPLVNTGIYTVLGEHAVIGAAIGII VLATPDVVGASFPSFGGVIFAIICFVIAGLQPIGFIGVVREKSSTFKVYTLLNGLAVV AGFVCSAALIIASALKHDTAVTACEEKFFSDTTNTSSSANSTLASEGQTLCSAFAWAD IGMMGGLWVIMLIVQLYFLYLTRVYSTSQVDDHKLYHSVYNENPEAFTMSVLRSSRYN PGSTYNMPGPHADAWDTRASMDSVQDQGNQRAYHDAGYQEDYQHGQYEDAYGEPQGYP PTYSDGHGQQYPFSTPGGGYVDHPAEARMRDEEITPVANQYHEGQGVGYSGNAGVGAG AGGISRPEEAQYHPGGH L198_00346 MKMEDSWRAVPEIPNPSQPDGDSDGGYSTVLLVFIPVLVVVLTV LLGLVVFMVAVLFMRRRKGIRLLEDGGPLDLSKGDGVFGEGGTEGVEARWLETVDPDI REAYNRAKDWQAQFPPTSIPTDITLSQFLSIQEKGVSAWAFEPNYEENLSLYVQSRTE ITFLSDGPGMPAREGGGNSVMANLPLPKLNEVYYWEVKVYEKNPNTEIAIGLATKPFP SFRLPGWNKHSVAYFASDGFKSHDFPFTASSYGPPLAEGDVLGVGYRPRTGTVFFTRN GRKMDDAYTGLQRLNLFPTVGANGPCTLHINLGQAGFVFIEANVKKWGLAPTVGTLAP PPAYGSERGSILLESGYGTPGADSSGSHAGMGALLEAARNRGIPSYASGSPGPSSSSA MYASGGSGRTRRHRHRRPGPENHVPSPLRPADESAEDVHLSQSSGSSVSSHGLSYESP TDAPVPQTRVPPLAVESSSSASPQSGLSDGGMNTPRRDLGCEQSPIEHNPPTPNLLDI SMHSLRGGHYFPPEFTSEDTDSDTTPQPRSPVTPAESERREGPPMVTRLPGPDSPPPP GYAPLDPHVYANGLPADLPEEMVTQAIAAMSEA L198_00347 MFRPALRQSSSSSYAAPEVKLSKRGHNTRRDSPYPYRLNLYERP PSLDITLEEFEACAIARLRVLSYIESLSHRSLQYTQFASQLDKYSKTHIPLASSTAKN ENLDDQRRRDEIGHWVLRLAFCRSPDLRQRYIRAESQLFRSRFESDDKQEREAFLDSV SFGYDKVDDAEKAELATQLKAMTSSVKGEHHRNDVWYKVPWYNVPDLVGSRKVFIKGG FAYVPQSLQFSLVLQLFCDRLEKALEFTAKNLPRLDEDERLGPVIDHLVASFLSGIGD ADYQPAENAGDTITADTIDEVARKHFPPCMRHLYDSLKRDNHLKHYGRLQLTLFIKGL GVPLDQAIIFWRRGYGPKMTDDDFNKNYKYNIRHSYGQEGKRANYPPKDCQTILTQNQ PNGSQENHGCPFRHFSPDNLTTFLSSTYPDHFTRSSPAMKEIIDSVKGSHFHVACTRV FEVTHGVNRGEGLGNGESVSHPNKWADRSREMEKEAVAAVQAKVEESIVVDQ L198_00348 MRLTASPLPLLPLLSLAALAAAKSATGDRVLVVLESAVARDDYS HFWASLEQRGFQLTFRDTKARDAELIKYGEAQYDHLILFAPSSKSNANNLVAIAPGFA PKAALEAQLKGLNTLYLLSSDIPESQREFFREYDLEFVSPENTLIDAFAHPSDQSLST VLLSPTETAIRNGPILSNSTLTGGPIIFPQGTVHTTGQNPYLIDVLHAPKTAYIGQVK AVTGDEAEVEKAVGGKKGKDALQTGKKATLVSALQTRDNARAGFVGSGALLSDKYWGK TVKTLDGKTVETGNAAFATDFTKWVFQETGVVKIVSSTHCREGESEPRTLYTKKDDIT YSLTLAQHATLPDGTTAWSPFLADDIQMDFTMLDPFIRTAMVEDKNTTSAESTTYQAR FIAPDRHGVFKFVVEYWRPGWSYIRTSTTASVVPLRHDQYPRFITGAWPYYIAAISTS VTFLAFCAIWVSLGEADRDVKGKKKAE L198_00349 MRFALEDVDSDSDYDILAPVHASVYSDDDDDSLDGLEWVNTQPR SSLGRSLRAALDSSDDETTASDGSIEFIGFGGRSGKGKQRETDHELDAELDSEDEVEF MGFGPGPSRPRSGMSSSKSSSKSQPWNVFGSSSQKKSVSRSHAPALLRNSLLTRSATS IPSKTKTDLADALRDEDGYEGWVKKTELDAWRDNQRRVALQRSQIRDISSNARQKIQS LQQVQMSREAEEMSQMLEGLVIRHRKEEEELQRTFKEREKALWEDIDGVIKAAEKQYA EAEARAAADAQAVAKKEKEEQDAKIAAAERLALAQKAEVERRAKELALKEQQARSAKE AEERQRQEAEEKAQLESRRRDKKGEAGAMWRQYVEKQQWMKSEVIEAVKADKPTMTAL NKSKRMMTRWLGQTLNTKESVTKITNDIHDILVQHLPTLPTTASPIVLNNDIPRPYAY LLSHISKVLISQAQSEITSKPASAYPLGKIVYGLMLRGHAALGDILFARFVKKCPWVV PFYPARQPNQSREEYEQSTGRGTDESSHEYISRMSSLSTLFFAILQTPIPSLISTLPT PLPTPQQLEQLIPVPMRLSYAWTWMALALRDPMPASPPIATLVTTWLEIALAECISVY GRIQTDKIREVLEREGLQGGGLKGDGGMALDKLEFVLDRWRKGDDMALKGKDWAA L198_00350 MAASLLSTAQAAWALHLLLAVFSAVAIASCAPAAAGGSEMYAGS PGDIRGVVVVLGSSGLIGVAILRIAYITLPKTWRLYLDRIEFSLIAMLWICWTSATMA FSAFTLEQGVCSPTLPEVLFPTCPLLTFDLSLLHLLSLCTFALLLVILSNALSPGYYA SLLEDEEVAEAAGVGKTTGGQGFVMWELAVGSETGSPILGPSRAEDRPNTASTSVSYG TLSPTTALRNPYAPEHAEAQDTSDPLFPAPTKRDKFPEGRLWTYLPMALCSIGVAFAG VVALNVGVMSSSVVFVLVIAILSLIFSAVCIKAHFSRDQKPLDGDSFISRRQMRAIEV AAASTFFILWPLAAIIYTLHPSTPYLPCSNPASSAVPSPREDADLEESAALCTASVVV VTLAWAAGWIGLKRIMGLVFPLADIKPSVVGDAEGARGAGEEAVSLLSKKRTQSNENE IRRPQVGWGRIVAGEAFELGEDEDCEVIQL L198_00351 MPSLPTSLLLLLPPLALAFTQGPHHLRDLYAYPKYQVQFLNDYP ISESVAKLVREVGLGSQSEWEQLIPGRGKRLGDDPTEKLDLIPMNFSPRDTDPSEAPH QYLCLMPSQNTTRSQLATLDQLEEVEDELDPAQGWAALSHLDGKCLYSKQGWFTYAYC HNSYIRQFRAAAHPHAHPTQGYVPQEDPNYEGYTLGRAQFDSSYERSQSKFSRSKVKG AKPGSPAHEAKKSVDSTSGQTSTSPSVSFGHGASSRYLIQRWSDGTRCDKTSRPRSTE LQVHCSMTSSDTIYMIKEVAICQYVMIIHSPHLCGLPGFRAREAEVGGAGVMCREVVG DHEWEEWEKERSLAGAVKSDKEAKSEEQQSFSAKPGKELPNLRFGLAAAREKPKADEA SKDSAEPNKIKQGVILEQVVFGVDKDEDIKAALKKALGALAQEARRESVDEGQQENEG EVLLLAWDEDDEGGAVLVEADMLVRDGEEEKKVGIKGGERELLQQILRQYLKGSEDDD EESEGEQRVRDEL L198_00352 MPDTPRTYSEPLVTPGTTQSTTLSPSILRTSLDNQGPQDSVEEL VRPVEMPVGLLDTSSLTPVDVKADLVLKSIAQKQEPARVKPSSAGTGFVARPAPVKRE NVGPRMTKAAALRQGLAWDEVKPKRDAQAKSEKEEKEKGVPGYKRTGLNINVASLGTP SIVPRQTKSSQLRTGQAGTPQSVVKRDPEAIAAANKARQQDEKERRRTSIMTPASLGA PSIAPRQTKSSMLRTGVMPPDSVSSGSSITRAMSSLSVERTPVASRTHSSVDLPRETE AEAKARRRSSVQGVRSLGAPSIVNATSEQIGDASRSPHLSRIYITPAVVVHLAERLCR LAAASSPAVIFSVRGAEYEYGFHATGGALPGPQAYKVESAACGTEGVVFREVDAV L198_00353 MSAIFTLPHRRPSEQDDAAWSISREIETGLRGTPQPTVPGSTPE DSKWAFKRSVPTVVLYDEEGLRLYDKITSDAPEYYLFNDELNLLKDHGKEIARYMGFQ GGKEEHGHQETGYQSGPEPNWKPGRWGDAAVGKHNIGVNGEQGLGGGWKPGWDVVELG AGALRKTAHLLTALTDSLPPAPSDAASIPAPITYHPLDLSYPELDRVLKEMNDAIGDK IDGKVACVGLHGDYEAGLQYIREGRLAELRECLAGGTGLFEGTAPQAIPARETVAESP TASPLSSYIMTPREGTSCLPPLDDDSISHKRSSSAHSTSSESAEERFKIYMGNAQTQQ QVREEASPGGGKNTPSESTRPLHYVFLGSSLGNFDRPSAAPFLKSLPLRPGDTLLLGL DGRPAPGPEGNEKVRVAYNDPSGHTRRFEEHGWDVVKQELGIKGNVEFVGRYNEKLGR HEAYYRSKELQTIHLATYNEDITLEKGELLNIEWSYKYSHKEAMDLFTQADLRVINSW KAPSSDYHLYLLERPSVRFIAPPTNDIAQDKMVALSMHDSVSGGCLEKVKGIPKWEEW IGLWGLWDHITLQMIPKELLHKKPIDLRHVCLFYLGHIPTFLDIHLTRMTKGKHTEPE YFKTIFERGIDPDVDDPTQCHDHSEVPMSKEDWPALAEILVFRDRVRQRLLSIYTDYA TGKRTLSRHEGRVIFMGFEHEAMHAETLLYMLAQSPITRAPTAVKAPEWDILAKQWKS EKAENKVLSISAGDVVMGHDDAEADDAKYPTTDGWENHGFGWDNEHPHVFQHVKAFKA DSLPVTNNDYLAFLTATGALNGLSDENAPAMWTNVSEQGASPEWHIKSFYGPVSFEVG GDWPMMGSKLELEAFAKCKGGRLPTEGEMRRLWESEEGPRVAGTVNNIGAQNWHPVPP TNTVTDNAGNVIHGHNGGVWEWTDTPFQGLDGFVTSELYPGYSSDFFDGKHFVVIGGS YATIPQIAGRKTFRNWYQSNYKYSFVGGRVVYDI L198_00354 MQAIKLGKKYPDLKQDEIFDLINKFKQIDVDDKGSLDKATVIAS LQSSGDADYDSARETLKNVNVDSSGKVELEDWVQLHSLLKAAKTNPVLEHNKGRISVK GTAGTNAQHSMNEDERASFTDHINTSLAADRDIGHLLPIPTNTMQLFDEVRDGLILCK LINDSVPETIDERVLNKPAVKAGKARPLNAFQMTENNNIVITSAKGIGCSVVNIGPQD LIEGREHLILGLIWQVIRRGLLSKIDIKIHPELYRLLEDDETLEEFLRLPPDQILLRW FNYHLKAAGWHRRVENFSRDVSDAENYTVLLNQLKPDECSRAPLQTSDLHQRAEQVLQ NADKIGCRRFLTPNSLVNGNPKLNLAFVANLFNTWPGLAPLEETEAPPPIEDFDAEGE REARVFTLWLNSLDVEPGVYNLFEDLKDGTILLQGFDKVIPGSVIWRRVSKPREGQEL SRFKAVENTNYSVDLASANGMHMVGIQGSDIVDGTRTLVLGLVWQLMRLSINQTLASI SKNGKGVTDQDIIKWANETVKSSGKSSAMRSFKDPSLSTAVFFLDLLNGVRPGIVDYS LVTPGTNEEEKRMNAKLAISIARKLGALIFLVPEGKSTGSSPDDTADSIVPDIVDVRP RLILTFVGALWSASLQ L198_00355 MGLLTAHGPSYAEEQLTAQLANIATLPVHLPPTAQRFSASAQPS HHIQTALSDLSDPKQLTSQKLVQVLEGLVESREQQVHTQEQTSELDELFEKEVVGRAV TVLWKEILDEFVQGALKLENERAWWDSVLNSRRGVSYYLIQTMPHRLWSAIPPRSQFT LSAIRDIRFPSKDLLFKPLQSTTSAALTSITSPWTLTRREILSSRTELTQARDRAAHK IGVLASQGPRWGQEQEGVQAFGDVGSETQRIYTALCSILDVPLPKPRKSRSATPPQSI ATPTTLLALLKTHLPSSKAVLERTVTKNGRPGSLTRLWFPLLFLPPTLYIVVTSIARN KEWMKEQVQNGKETVKGFFVQWVWEPLEGIGKTLRGGGEGLGVAPTTVKSDQESLERM VLDLGKDYYHLSGPALEALGEKVKTGNMEEVLRVYEKELQSPVKNALMGSLIRTLLIQ VQKTKTDLSLSLLSLDHLLRSQQLTFAFVGLAPSLLVLYATGGWLQGIWKGEKRGKGR RRQYWSSLRDIERLLITAPHHQSEMSPLGQGLLIVGVSNLRDWAKGMAGGKREAFMDD LRMLENPSLGRGDKLRVVERIWRCWGVDGRGKV L198_00356 MSSRSRRAAASSSRYPADSRPAIEPEGDPEYLALSVKQRRLIDK TFNKGIRSTGAKSQKRKRRKVADGQAVSVGGAEEDVGGDQGGGGFFPEEDAGGFMPDD DAGGFLPDDNAGGFLPDGGAGGFMPDDDGGGGFFDEDVPDQGPSSIPVSQGPSSPSAS AARVPVYLLPSLLTSLGLPSDDDVLQVFRASASGWNDPRSNRRDQEDDGLETGGVELK DFRAVCAALMGPEEEAGESRDVEIDSDDSDEGQDTFQPSEAESDFSSASWSGSAFGGT KTATTQKGKGPAKPKRRGKMEVAKLSSNQKAMARTIWDMLKPPGRQTGRGADILGRDE VKEWVRVLGEMWSDEEITDMVSLFSSQHEGRGLTFDDFGKIMLRAGLV L198_00357 MTTTKPVWVGILEHQLKENPKALVTPSSILLTTTDTRMSKPAQL HHSPKFEIAWWLPETNVQFRITGQAYAIPPTFAQDDNAGHIIKELGLEGKEEASAEWW QGKREELWENVSGHLRAGFGRPAPGTELRDAEDSSKWPETMPAQSDDEKEKELVKTAL NHFALIALRPTGVEFLELKPVPNRRTQWKSQDDKAWTEIKVVP L198_00358 MPSKIRVGWHREHFLSPLLQLVEKDNGETIELVECPGGTGEMQV KLKEGEIDLCIALTDALIAGLANGQTSYKLVGRYIASPLRWAIITGKDSKYNSVDQLK GTTFGISRLGSGSQVMASVLSLQEGWTEEDQPKFKVNGQFKPLRDSVNSEETSVFLWE WFTTKPCVDSGEVRFIGSVYTPWPCWHIAASPAADSAAIKTFLTSLQPYVQHFNSPAA RESEDVDFVHNFFGQKKEDVIEWLKSVRWEDQLLEVKEDVVRETLRVLGKAGVVKPEA EKLDIETFVNTDVATII L198_00359 MSHVLSPPALSQASHNPSTVTPYPSPSPFSPISPAETRQQQQAN SLLVPTDREIDELETKWLPNLGRLRVEREVVLKGYALYSLRNWSVISAYLLVPSLELS EQEGAREIANAVQVLAAETRSQPRKTELGTLLVTTPSAFGPDINPVPGGDFRIAKPYI IVNTGLRRLGCGGRAILGLESPIPALRRKFHDLYRIPTLSPQPTQATASSPTRSMSIS GSPQRHRQLASPTSPIPNGDGPAHDAISSDPFMYLIVELVKVIQAALALWGMFEDVGE DAHGRAVGPGMEIDGLFCDETKGAIFRWRRSMGMEHEESLKIEKETSGGCIDPKTLTA LLSSITSLHYQLEVLDVERLPKDPFTSIRRTLKTWLNFQTMMKSPLPSPFLTVPSVRA LFQHYLLDRRHTGDSLRVQRLLSEVAHTASSLSANLKGVGEDPSLRRREHHLRHRMDE QDLWDPGVLMIIPEGDVGSVAPPDVITSDLEAYVKGVLRSREKDWDVMGARRLADLWN GTFGASVEGRKRRRGSVSMGLAGGRERHVLRKRTTSRDDTIREEEGDLRGTFKELSGR AGQALRDGLGIMSRKGHAYETSDSETGAGPGPSTLRASLLKKKQAAVPVLIEPGVVDN DAHPDLQSTSPSPSKSHRHLNAPGMNFPTLPSFLNTASRTNSRAPNARPPTINIPSGN ESDVWSRADYNQGRSPGEEQSDLDWGQAGGNKRASFVSSSGANAAGAGTSQVSDRAVA WRNKGRSTVMLRSSSDGADVVLDDSGMEWEVMNPRGTGKRGDASPRHSFDNFEELEGV RMLSAQHLQVDVEMCSVVLELRLKERILSQKVKDAKMLEKALFTGATQFVESANARRR QVDALMAQSAALVSSLRSLETDEDPEEELPYDRFHYYLSEETHRPELLDDLGRLKEMW EQVRKEGEDRRKSVEQEGHRKGWWFW L198_00360 MSFGRPGFTDAFKPSPPARGSFPLDHDGECKSAMIAYMKCMKEN ANDNGKCRLQSKKYLECRMDNGLMQRDSMDNLGLGDVRDPESPPPNPTHVPQSPVQPP PASQSRQV L198_00361 MSSSQSCRIHRLTCVPNDYPWGEVGNDSLAARLTKNASKSFKVK PDQPYAELWMGTHPNNPAHLYSSPETLLSSYLNDHPELLGSVKKFETPFTGAKGSGTE GQEEGHVSFLFKVLTCKQALPLQIHPNKDLAQKLHEENPEQFGDVNHKPEIAVCLSDR FLGFASFRPFAKIVSLLQNVPEVSQLSASLRSAVEAFISSPSGKALQKTWGEFLKLGD SEEAVKVFSQRVLDQGPSAFSGVDIEDDDKKRLVRAVELGNKYNPGDGGLFSSLQVSN CLELKKGQGMYVGADGPHAWMEGEIVELMAISDNVINVGFTEDDAKDDPSLVAEVVTC TPKAIKDLILDSQIFSKGKNGNTRVYSVPFEEFSIMKIDGDEVLEALDGAGIAVVIDG EYALEEEEGVKHGGQGPDGEGGQGTVWFIGSHTETKWTARDGKGEVWIAFYDKKAPHD EVGLK L198_00362 MSSSSSSSSSSSSSSSSSPYRVSCLPPPPSIPLSGPSTSPQRFL YFGVRRGTVPGVYTSWNEATTQVVNHPDPALKTFSSKLAAEAYVAGWDGAGRHSLPSS TPRPLRDHLAMSFPGSVNAQSPASPRRQSYHARLLSTPSELGSLRLDTSISTLRQGSV SRSSYRNSMVRISSPLRQQVDDEDEVSSQESGSSEGRRSTMMRAASFVGVGGLLSPPH SPEGDEKILAGSVMDRERPTTRRNANDSGPWSSRGHRDFSSAPQSALGLTGLRSPPNS PQKPTASRRASTNATSGLWADSIPQPPTPVRAATVPQPDFVDSTAPKFSRSALKKSGV VMPVSAKRSSSSLSLSGNMFSSPNGSSSSLASSSSNGSDKTVRRPSQPRTPSFSRLSH FSSQDRLESLAETSMQELNGEGSGVSPSLLLPRPAFMRRVSSASSISSNDSFTSMGSM TSGSSAHTSSLDSCEPITEETEPDVHIRQAREDEMQEQEMMGMSCTKSDGDASVDSGS MKYVKTGKKSGGGLFKRLSKVFKKSDKVDTGRRESF L198_00363 MPYGSSGAGPSTPSASLTRRPQGARRRGLPSLVIANPDNSDEDG DSPPKTNTQRSPSGAGASPVSVASSSGRGNVPIPQPPSPSLSVKDRGNLPYPSIPAPQ SSPLPTISAFPSPQPLIPTLLPSQSAYGPPDLSPAPPQPPPQPQRHLQRAFTTPIPEP VPRPHAEHSASAGVYPPSSPSRALPPLPSPRAANRQTTLPSPQSHHPPYFQGRVSSPD DLISPATGSEGGGSIMSVNMAHRNRSGSVQGQQVRLQVTTDNEAFHLVDITGMNTAEG IREKVFSKLRIRDDDYPTLSMYRTEIGEAADETPILPAALLSLCSTLGDSRASLKFLV KQTDVPPSTAASVIPPVAPQNDYSYSRRSGMTPITTDLPNPLLDRVTSRHSKEGNADE WGISRSARKPSVSNAGVRLSNSTGGSKSPITEHSNSVGTSSPAIPTPPVVLQGIPLQI REPSILSSSPSSRPSSNNYDATSPIDHSKSTPILVHSGPSNENIYSGNASAGPSNRSR MDQQGLGLSLDDDMDPETRALIEQLQREELDAQREEDERKRKDEELAIREQQSERELW EMMQQMQREKRQREQAQIADDEARARQFEAEQRREEEERQAQEAVRAAWEAEQREHQE NRFHTFEQDRRQRKKFFMDQARNGMSIEDTTRDATWTGAGASTDPILGARRPSDGRAP LISTPTPRPSQTPMPARQNSQPVYPIPPSPYPTEGLYPPSRRPSAFTSSPYPEPPYDR LSDPRLQQSTGRTTAPGQRSQTATLPRPESQRSRHPFSYVPHEQSNDHLQTPALQGAR SMDNLRIMSGPQGLASSRQNAGYSGPPSRTSITPVSAVYGERRNLQPVAAEPAYRSPT SERAGDSRYPGSVPIRSGSTFPLSNIDTGLVPFPSPHPSSASPKTASWQGSSHPFSSS APRSSRGSTFDTSSRPNTVHYDRSPPPPTSPETTIPPRRPSTLYDEVYPADGTQTAGM DGQYHQRHATSSFPLDHRSRSGSFSAYRTSSPSPTGGYRRPSQTAHDSDESQLPYAHD PRSESLWLPATKSGKGRSDTDASSLAGTVSSESTVRPSEDNDSSDTAKAGQWDKHLRD MMARNVGDGDQTFMPRKDDEDEATLFISAPPTQSSTPAPTLSRSGAVRPSPSKPNLIV DTASLDEATDQRTSRDRNTGTPSDSATESEGTGDFEGSRIKRGKSFARPKDPNQWSFR PDPEQLYENLDTVFPQIDLDRPLVQGSESQPSTPGAESPSRVEMVGGMLLPVGAAPGR QGGPQAGTGSRQWQGQQGPPSSSSVHLSPGGFNQSKFNKADKRRSLRYIARDKHLDLQ RRISRHGPPPAYDFGEAEVPEIPTREYAGVEKTEEQLKKDNRRSSSMWDHKLVEVTRF AQVKGDTILESPADSQPGVVRWVKGELIGKGSYGRVYIALNATTGDMMAVKQVELPAT EIDRHDQRQQGMVKALRDEIELLKGLEHTNIVAYLGYETSPEYLSIFLEYVPGGTIAS IYRSLNQARFEPQLVRSFTEQILEGLAYLHSKNIWHRDLKGDNILVDGQGICKISDFG ISKQTSDAYDSFGQATNMKGSVFWMAPEVMHAYSERSYSGKVDIWSLGCVVLEMWTGK RPWGEMEQIAAMFELFNKRRPPLPADCIISAVALDFLNEKCLQTDPRDRPMARDLLEH EFIRDRDPNWTFEDSKIGKAVAKRGAKRMKA L198_00364 MVVHTSDPRVKDLKSEALPAPLGSPGRQPSKKRSWGSMLVLLGI PFGALGVLGLTSSHWHSGPQTAISRLHSFKGRDSREIPDAAQVINPKDFAVLDYVQPG TEFNGFSLFVPPGATEDSLKEKPFHIYDESFYDIIGDSPSLTLLADGGDNPLFHEAVV WHNETDEVFFAQAAAMDLGFGLNKSAVVQKISLSQAQDVQEGTRNSTDVTVVNSTTQV VNPNGGTAYRGKIIFTGQGQGSNVTSALYELDPNEPYKTTVILNNFFGRQFSSLNDIS VNPRNKELYFSDVTYGWLSGFRPAPIIANQVYRFNVDTGVVQVVADGINMPNGVTFSP GGQYAYISDTGISAGNFGTNYTYPATIYRYDVQDDGSFENRKVFVFTHVGNADGLKTD SNGNVYAGVGDGIHVFNPSGLLIGKIYLGETSANFAFAGDGKMVIGAETQLYYATLAA KTWNPEA L198_00365 MATDSKSKATSTARMSVNTSGLQERGTKREETLPAPLGSPVNAP SRKKSSWGSKLVLLGIPLGALAVLGLTSSYWYPGSQISGPRMNSYRRRDNQGIPDTAQ VISPKSFAVLDKVQPGTEFNGFSLFVPPGYTEDSLKAKPFHILDDSFYDIIGDDPSLT LLADSGTDPLFHEAVVWYAPMNKDTDEVFFAQNAGAKAAGTGMNKSAIVQKISLSEAA NVQQGSRNSTDITTINSTVQVVNPNGGTAYRGKIIFAGEGQGSDIAPALYEMDPNEPY DTTVILNNFFGRQFNSLNDIAVNPRNKELYFTDVTYGYLQDFRPAPIIANQAYRFNVD TGAIQVVADGIQKPNGIAFSPGGQYAYISDTGISGGFWGNNYTDSAAIYRYNVQDDGS FENRKVFAFTHVGNADGESSALGNVYAGVGDGIHVFNPSGLLIGKIYLGETSANFAFA GDGKLVICAETHLFYATLAAKTWDPEA L198_00366 MVDQTPAISTQSAVASVEQTLQALPPELVINIIDWLALSPTIDT LCASRTLYNQSLPHLHTHPRLDEGNVEAFYGHLFGLSNDEDFEDDYGVIEFYFHRQAV TTYEAELHDYYRLAPLLRKLLCLQHAETLTICDSAALQKTIVSVSHYHSFVNYVRRCV FKTPEIKKRLEAPLFQEVEEIVIYREDIAKDASKDEIKWKSLLSLTSVFPELKDVVLH LPSAIPCEGFYDPMIKELRAGYLQTLTIYGLSPAAREDLEKAMGEKLAEAVIFHTI L198_00367 MSSLEAILPPLKSESLQCIRRLARYNPPPKRGRLPKRRCAAVAI MLFVGRLGDLHVLLSTRAGNMRTFAHDTALPGGKYEPGDVDQEGTARREAFEEIGVPI DQERIRKLCLLDPFLTGNALIVTPVVFVITDRALTPLLNPDEVSRLFSMPLASFLHTR PSQIPSFPYSISSRLAKLPPGAIDSIPPPPPIHYAQDEGTVGGKEGRFYGWRDVKWGV GLVRMHRFLTGRESEGVKPVYGLTAAILIRAASVGYGVNPEFAVYAPGQSSMDDRIEY EIQYGKGALRRAIESEGLSMDWIISAKL L198_00368 MAAITPIPSGDNLGEPNGTATQPQPDFAQSRSQPPIDLTGDDSD GDDVVETSNGVHRANGNTTSRSPQTSMFLGARAPESQDGTPSTQFLPKAKQQLPNVRS PFSHSSYMPSNPAPGASRSLYNQTVSGWAPGNAVHGNGMVQPPAPPPINAGVNSSSAI GLTSRNIPSPPPQVYDDKHPICIGSLRSSALMLYPCPAVVVGAVPSPGTRERYDIVEH RGVEFIKVKLKYRASGTLAKKDDPESVLPLETIQVLTPSLTVYIGDLDERVAMPLASL MARGLCRLEGFVPRLHDEAPQYQVHVDVLVFTLPSNINYIAEFLTNHNLFLLDPIPPY DPARHSDHPPYRNAHGSGEQAQRMLAMAQRRALTGDFVFSDKERDKTTQVEVQRKQVD EVFKTLEDGMELEQSDPGPLIKTHLFPHQRKALTFFLLREQDSSCLRQCKKAAKKLLK KRGESEMEAEEEKARKRDEDEGHGSLWEYTRDDKGKIRSWKNRITGEELRGKKGKPTD CKGSLLADDMGLGKTLSVVSLIAATRSSAREYARSKPEPARESPKQAEEDAEMQAGQF RTKIFGMPDISEQLRLDADSKKRKRDEDQTIDAAARRARIACRSKATLLITPMSTISN WEDQIKEHWNGPVEIVGGASGVMPPKKIERKWKPPKANGEESDNEDLDNFDLLRIYIY HGPSRRPDPKFISEFDIVITSFNTLANEYSKQSGSDTESNTPGETANNSGDDIPDNKK VDTAIKPGEVAAAIKGGKKGRGKAKGMETSPLQAIDWFRVVLDEAHYIKTASTVASQA ACALEADRRVALSGTPIQNKIEDVWALFKFIRISPVDDKDVFTKYIASPCKYGEQIGV ARLQLVMRCCTLRRTKESQHEDGSKILNLPPRSERQMWLTLREDERQVYDERASRAKN KLGELKANNETSKIYVNMLQEVLRLRQICNHVDLAMLGPVEEDYDGTIMDYEVAASGI EKHGLTQQRAVAVVCSQKEGEGANCVSCGFDFGEWFPWIGLGGVEEEKEKPKVKKLTN KPLMTKCLHLFCPTCFKNSVYPEYSKRSKTTVARACSCCNTMIRLPSDVIEVLPPDSA DASEQALGEQVAKRGARKKYVRQPGEKLNLSTKMQFLHDELLRFSKRNPHSAHYDPFA MDAENDVEEMDAEGKPFVTKSVVFSQWTTMLDRICDMLDETNIRYARLDGTMTREERS KAIDALKYKKNVEVLLVSTRAGGVGLNLTVASRCYLVDPYWNPSVESQAIDRIHRMGQ TRPVVAIKLMIKDSIEEKLDKIQKKKADLATLSLKTMTRKELLAQKASVHMFLIHAKL TVL L198_00369 MSEEPKTSALEDVLFGSVAGMVAKVFEHPFDLIKVRLQSQPTDR ALQFTGPLDCFKQTYSREGWRGLYRGISAPIVGAACENATLFLAYKKCKETIILLRHG GEGSQGLELDMQETAIAAAGAGTIASFVLTPIELIKCRMQVQMLAREGALGGTPSTSA PVPGIHPFATTPNTTISHTAAAPRLSSPLGPVALIFDTIRQSGVRGLWLGQTGTLLRE TGGSAAWFSAYEYGARHFIRRHQKKIGSARKATKADLTGLELMASGAFAGVSYNVILF PADSIKSTMQTSAELNPHKAPPGFFPTAKDIWRARGIRGLYAGCGLTVLRSAPSSAMI FYIYTRLESEFGGFLG L198_00370 MRTSALIALLPFIATTAVARPCHSEESTPASLRARKSLSFGPSH SHAKFELVDEPASPDFTTLLAGQSFQAKDVVAAFLESKLGFREGSEYYIRDDSYTDAR TGVAHLYVRQLVNGLEVSDGDINLNIDRDGRVISWGNSFHPGSAPSLSDVHAPSSGES ERLCSILEDNIESHNAQLAELKGETGAWGFVKSTAQNIFGISLPSKKQLDHHAIRRLH KSSKHLEDHSDAVCQPLVSGGIMSPAEGLVSLLSRVANDNLRDISADELESTPQHSLK AKPAPAEPPTEIISGLALAEAGVMSDVPARLMYTQVSDAEPRLVWKYEVEMKDTWYEA YVDVYSGELLRIVDWASDFDFSELQEELDQLKDDIKELKGGKQKPLPSPPSKLEPYSY QVFPWGVNDPEVGNLSIVTKPWDTAASPLGWHQFPTSANPWSTFDLPGQTTDSNYTTF NTTVGNNVYAHEDWEGRNNFLNNYRPLNDSHIFVYDYGAEEGLAPKEYIDLVVTQLFY TSNMYHDLLYRYGFDEISGNFQAHNFGLGGKGGDPVITNAQDGSGYNNANFMTPPDGT PGRMRMYIWDTATPYRDGDLEAGIVIHEYSHGVSTRLTGGPANSGCLGYGEAGGMGEG WGDALASLIRQVEEHKNFENNTDKFSMGAWAANTDKGIRPYKYSTNSTVNPHTYKALD KYWGVHDIGAVWAELLFVLNERLIEEYGFSKTLFPPTNTSESNDFYTATKEASVDSKG HPIPLIPKHGNTLAFQLIIDGMKLQPCRPSFFDARDAIIQADQILTGGENACIIWKAF AERGLGVDASLIGNTPWGGGVRADGYHVPKKICKTK L198_00371 MASLQNLRPWNSLEERGLPSAGVDSLSGQSAECLERLANYDPPP LPDFGIMKQASVLVGLFEKDGELHVLLTTRAKTLRRHPSQTALPGGKVDPEDEDVIFT ARREANEEVDLPLNHPSIHHLTILDPVMTILPLNAHMKNHIVVTRKFLTSREATRLTS MIAVVCFLSDPSLLIHLRPSPDEVDAIFTHPLKGCLTGEVEGKNWEGLSEKGGEWWPY EEEFHSTEDRIGVTGGYRMHRFRSERTPIKGLTSDILIHTATVAYGSSPSFARFASDQ PSFSSAISDVVLELPRVINSSSSTSPGGTPRVLEWGGTEVGQRTISGETWALD L198_00372 MPGPWAENMVGAGLKAREIYLAEQTGKVEAEKGIIIVNIVILCL TVLGAGMIVTSMTINEFVRGRPGTTRTRIVQALILSDLILGIVGLVAGALTLSGDGHR IAHGTAACSGLGFMLTTVLWSEHLWTLILAFATYMILIYPLHTVTLWLERRWYILWGF VWVLSPMIGLVGYKVYGYYPAGGVCYYGDNTGLYAELIQFIPRAVVCFTVVILYSRLI VFLRRPDKIRAGGSGSTTGCLTQSETIDTRRQSRLVSFVNVFRRQPPKYNHSAEEEVK YMTEVQIELSTPVDSSEPRRPSRTTLLPFVRSQPTSPTSAPIPLSDLPPWERIELPPF QVDGEKYGGPQQFTRNSNSMWNGWKGLGGKKRLSASSVASPKQQPKSRFGSISSTGDG SNRKGSGSATGGPTSPTLLPKNPRMQSISASQDSSFSPIYEPVQSVRFSELLRQQQQE TEALSPTQEAPPDQVRKPSSSATMVDNLYSLSRPLSPGTYFPSNTQAWNRTPTPTIER QRPSVSTVVDDLDSGEASRKSSVPSDERSQMPLLLQHPNVGHATPGRPLSPVISQASP PSRPQSPGASFSNQSQIPTSRTESCAVPLEGRQTTSSQAEMGTKSSISGAGTGMEDGD EEMDLLKMLAGPPPAHMVDRFAPPTQSHPGKQYELVPESMSSYLNRKTAMLMLWFPLG YVILFSVSFVRLIYDFAGNPPTALRAISRWFVLSQGLLDAIIYGFVEWHTKRVVRKRV RRGTFSPHETGSYSANGMKMVGNAARAVQGLATRMTGQGSSGGKSGTGSKRGGLAGSQ QGTALGSQTGRVSFTGLQSQASGQYEGQRGTRSGSGLTSHHEEEMSLEEQERGS L198_00373 MTSSKLLSRAGTEAQARRGARGCRIQQEAQFIEEKKPSWDAVAI NPPYVLGEIIHQCDKPESLNTSVAAFYE L198_00374 MAPITKGDLILVTGASGYISSHTAKEFLKQGYKVRGTVRSQEKG EYLRNLFEGLGQFEYAVVEDIAKDGVFDEAVKSVDAVAHLASPFYTANVKDPQELIGP ALKGTTGILKSIQKNNPGIKRVVITSSVASVMSARSRKTPVIYTEEDWNVDSIKHVEE NGVNSDGGDSYRASKTLAEKALWKFIEDEKPTWDAAAINPPLVIGEVIHQCDNPEKLN TSVHSFWEWLTGKKSESDLPAPGANWVDVKDVALAHVRALTVSEAGGNRFIVSAGPFA GQDYVDVLHKRFPDLPDIPVGKPGTHDEIVKDSNVFNGAKATKVLGVEYKTFEDTVVE MAESLQKRFADKF L198_00375 MLHVWHDSVLSDAGKQTEYGLTTSFSSLNLTSEEPTIPISSFAA PLQLAVLVLAVRRHNLHQAGTPAEDPAWKNASVKPESLSAVSPSDLNHMSKLVLDMFA LAKQRGVAASSAGRLQRAIRGRNDAESQLATKSTQLETTHSMLERAVVKMGQDGTTPE DIAVHIAMPLDQVKETLLRFGIVV L198_00376 MAATVKNNRKQTSPIDRPRRLSTVRSEDKNAKDNELFALKKYAA DGSLQVFVIPDLVNADWDWDTPLLKDVNAIAHVASPFDLPLPTYEHFAAPVIAGTRNL LQDASKNPNIKSVNVVDRTLEDTVQGTIDTLERLGVLIEFLLLYLLSPG L198_00377 MPPRRNLKRHNEDDARRPNKRAGKNKRNPTYDTYDEALDGGVEQ EEKGERYRDGEKSQRFYERAIELYTKAAELQETYDAVYNRARALYTLATDFFLPPSSL PLFRESITLYQKANDLTNSPLLRMDVAFNLSQAFSTLADVLEDLQTDDDTDKDEVVRK LRCDARDTLAEVMDGQEAFLRTVTMQEGGETDEASEEATEELEGCAEGKGMEVDAGSK EDEEGENSATFETHLPTPSTFIDTVVTLMDIHLTLWESVPTPQPPTEDEQIAVRVILD RAAAIAPEERQPELLLLEIKVLLAMDRLIWDAYKGEAKAGSGVERSLEGAVAALGKVL ESLTGAAGEDSHLRAEVITTLADTHMTIASRLSFLSTQLPPGPSSLAQDAWLHLTEAT THLSTAADLPTDGNTPRTFKPSIFLSLSKASLARATLSEVNDAAKRNVVQLFDNAATY AGRAGETLGWKFVRIGPAPTSTGGVLSIGGSSIGSSDLPYQAGWESELLGRSITLQQL RVCFYATQTGLIPSESLVKYQEGSTKLLVKLKALPEGERKIGKKDVERWVGEIENEGE LGEVEKTWWNELKLELQS L198_00378 MSLPMHLRSYRDKSKDEVTRDFFNVPLQEHLPAPDQPPAVVKIR NNNVNEERLGQGMEINAVLSVEGKEEVYAGRLSLLPPFLCFISLDRKSCRCTLPLYTI RRVERLNSRAGVFALSLATWHGMRIVLQLTALLPTAEHFSILLRDALKSQVRLPSHVT GTCSYCSQLPEMKKLKLFLPTLYSEYLLAPPNASNQTQTEDLISTSDLASSGAAHDGE GDLRGPGGEGKGEYERGLGETFGFPGDARKMRERSKMRLWREYYMIHGRNFTLLRYPP FQRLLQVGLPSRLRGELWEVMSGSIYLRYANPQTYSLLLSQNAGKHSQSTDEIEKDLN RSLPEYKAYQTDEGLDKLRRVLVAYSFRNPELGYCQALNIVVAGLLIYMSEEQAFWLL EILCDRILPGYYSPSMEGTLLDQRVFESLVQRCLPMIHEHFRSVDVQISVASLPWFLS LYINSMPLIFAFRIVDCVLAMGVKVLFQIGLAVLKINGEELLEITDDGMFINLMRQYF STIGDSAHPDHADPRVRAITNFQELLVVAFREFSVITDETILSERKRLRAIISDEIEK FSKRAAVRNLKKVGKFSKEQTGIIYDHYFAAVCSPEAGPAVHPSVVSLPGDQFDQPRI QVDAQGRVETRVDSKTFKIFLSGIATWAREETVTTNAFMQRTDKKIADHDLLDRLFFA WDHQGQGSLSLQDVVIGLDRVMSAGLMESIEWFFELHDKDKDGYLTKDEVIRLSESLL FIFRNEPGDIYLASVSKFILNAFEFGDATAPEGSETASSVRERSDSTAAPHNVPYLNL PTFRMVVLADELLESFFDHDLPASFKLEVAEAEDYHQAHQRPDGLLGGLMNLVVTNEN KSRLNRFADGFGAALGKHAEWRKPSLAKAADPAVANASTDLRARESLLTPAQQQGFFQ RNRSSSSTSHISQASQASQASNKTGSTIGGASMEEKRSLADVAARYREESQMVKAAQE AVMQRPNFAIDAIGDSDDEGDEEEDGEGGDDETAAIMNEVERFLAQNGGEGETEEEGG LKGEEKKMATELLSAAPMGKPGGNGNGALVDL L198_00379 MSTDDERLIEFRNATNFRSVKRADPSISAILETSVYSVVYHYDE RSERWEKQKMEGPLFVVRREKTPEYQLYMLNRQTVKNPAIPLVPGEMKMTVIDDGMLQ VARRGEKTRIGIWFSEGHEQVETFRAAILAIVGQPSKKTPAVTSPQVAPNAPSQSFAQ QPSQSTQEDGLSKLFAGLLPPINGNAAFLGGAPAPQSVSQGPTPREERPAPPLPSHRP SPAPAPPILSHPQYQSQPQAQYQVPPPTQIQASVSVTMPTAPPLPDSTALAPTSENKL ETADDLLASILGTVPLPPRSVPAPVAQRVSPPAPASVQPHRQYTPQPSHPVPQAAASP ANAFQRPQNYAGQHGYVSPQGQQPQIATEPLVRKSSRIGDATFAQAATAACFSGVSES SGIPGSPSNLPQYNQSQYNATHTGYPSQPFTYNNGQRTVSPLPPRPTQLSGYRPNAEG KAVMAEAVTDVIVKKEQQENVRIWGVELSAEQRKLEFKRRLVDLMMTDEEFIDDLWNS YLERMAGMRTAPKAANGWFEG L198_00380 MKSAFTHRHLQDISTRLIPISRTPPLSRLPPHTATYDRGRAPKE SGVLIPLMNIKGCPHVLMQVRAHGMRVHAGEASFPGGKADPTDRDLMHTATRETEEEL AIPPSHVQLLGGLEPEYSLGNKSRVWPLVGFVHSTPNPWPNDPNSSLPSQPISSLVPS PDEVSALLPLPLAILTDPARLSTHNFRLNWYKPYHKIRVEDLVIPPAPGASLDVEGLE VWGLSGWFLNKLCEKLGWLEPPEVEASPED L198_00381 MRKHAFTVYGGFESDQPRGLEEPVQGACTSKPLPRLPTAPLDTQ LVFSQNPHSRSPSCPSHPFALSNSSRTSLMISPMSSWHFGVPDTPEECSDLLGDWFER EEKKVFLQQRPLRELPIEDDSSNSRLTTPPKPIHLPPTPPSAKKAKRRSPTESRSSLS SSIGSIIASTRDRPPTLMNPLAIGGWGREWERGFNHRKFRESAGSVTEEDDSEATTSS ESSAASPAPSSLLERRMSESLSLASLGFSPVPLPHPFSQRRTRPRRPPTPYEREKEPG KRLDESWLDFSEDGEDARVWEDDEATVKAGSSRWSAILSETDQISTPSETDSRPTEAS QIRDAYLASTFCRIVVELMST L198_00382 MADDIELNFAPPSANSVRQAKPKKGGRWTDRLINKREARDAYRS ANAKPQEAVQVAAAPALAPVAAPKPKYVPPPAPVSRPPPPRPAAPARTLSNAEAGPSR PAPRTVSSSAPRPVSKPAPKPRQSNPDVNYDRAPLPSQAQSAPQQQAPAAPKAPQIIS SLFSYVDMPQVKAAEQPAPAKKLPSNAPGGDPTTFQGLGLNKLLVRHLKSKMGVEKPT GIQRNCLPYMLSSPLNPDKKAGDAGDEPLRDVLIQAQTGSGKTLAYLLPIIQTLLPLS RLSYIDRSIGTLAIILAPTRELAQQISKVVESLLHMSLASNEEDSDSDEDERPFTRWL VSGLLTGGSTRTHEKAKLRKGVPILVSTPGRLLDHLQNTMSFQCAKTMFLVLDEADRV MDLGFEETIQGIIKALEGRRRNEINIEKAMDEEGGGTMRWPFWDRGRLNVLCSATVDA KVEKLSGAALRDPVLFRSGKEEKDGKKLLDGKPDVVAEAIEQASAVVIPQEPEDKFTP PSQLSQKYVVAPTKLRLVALVALLRSLVSSASKIDVDAKGTKVIVFLSSTDAVDFHWK MLGGVQMGEQEEEAAEDSEDGSDSEEDVDSDGEPKAKKTKSKSKSKPKPKAVDEPVSL TSSLFPNTTLHRLHGSLPLRTRLASLGAFATASSQPAILFATSVASRGLDLPLVRAVV QYDLPTEGGANEYVHRVGRTARAGKGGEAWAFVGPSEEKWVPWMEAKMGSAEGKGGVN LAQVGVEDVLKKGFGGKGWEFENRATEVQNGFETWVLNSEPNAALARRAFASFVRAYS THPLEEKRFFHPKSLHLGHLAKAFALRESPAQLAGALNAGKTKKAKAGSSASAQPGKR KRGEEGDDDEDEVKGGKELTARNETERRMYEAVRKQGRTLKSGGKLGVFAGGKGSSGG AQRGGAASGGEFHVVDTGELERLVSGRK L198_00383 MFDPTFLNDGHGNNVNLSTRTSTSSSALLSSVRTERLAREHARR QELAAISLQKHWRGKKDVDRLRVRILEDLEQNLASDEGLSVEKAGRAMVVMLRSQATD SDELARTRRAIGGWCEAGLKEDDGKPRLIQPLVGDPEWGVVLGSLSVKFLRVVEHHPT SPEVPGILSGLEAIVSLRTFDDLPQHAVPDWLDIAQRHGWVDTLVSISRKLIKSSPPK KKHALLSPVIRLIAAPLLMSRNISELAPTITALLNQLLTIPNLPGSLPLPALTFISGH LHIFDILIPFASHNPHILSEHGLSDESGKTYFLANLATFGISGQLLSQSGIVGACAWM SVVGVVLSGVKEGWGKWVEGIEEDEDVVMAVVEEDSDDDEQGGATAAPRKPTRPRRAV LPQNIRSKLVHLSTPSHMAVLSQYVLSPPRNAPPTLLEDFSSFCIGLLSASRGSPKWE TILDSLVDGRKGLALMKSIWRDGVRGWWDSSEDPSMWNQFSENRNAPALLLLTHLYCH YLLFTPDDEFFSPSHNPFTTDEVLQLAVIWRNLAFWGYLGGVSAPLESGYTSRERQKK DFKGSEEARSLFTKGVTRVAERNARNKFADPEIWMMNVQMDMKGFAEAAVYEDVELSG LNDTEPEISDSSLPRWVRSRQRYSKRQLAYISPRLGLLNNLPMSIPFETRLQVFQMFI EADKTKIGIDYYSRRQRLPAKIRRDHVAQDGFDELASAGPALKGRVDITFVDQHGITE AGIDGGGLYKEFLTMLTKEVFDSNRGLWLVTNQNELYPNPHHFASESFNLSWYRFIGQ MLGKAIYDGILVDATFAGFFLAKWLGRQSYLDDLASLDKSLYKGLIILKNDPKPEDMA LTFSMTEEDFGVQKQVDLIPGGSDIPVTADNRHEYIQLVCKYKLDKQIAAQSKAFFLG LSDLIDAKWLRMFDQQELQQLIGGEETPIDLKDLRAHCHVDGFPNDTTPTLFWKVVGS FTEEQKRDLLRFVTSCSRPPLLGFSQLYPAFAVKFNGLDMDRLPTASACFNLLKLPGY TNEATLRSKLLQAITSGAGFDMS L198_00384 MSPILKRDIGEQFRQARKLAAAASGIAHHDDHSYNHPPYEEQPE DWIHKSGQPTSGGIAGSYASSSSARQGIGVERNEIEDDHGFREARWLDTKSERGDGKG KLRQAESDSGDEVDNLTGGRPSSESWIEEAPSDHGVPRTSFGYAEPDLYSDANIGSPA KWRDFVSLVIESTCSLLLSLVGLVFTGELLEHLARWEVFRRVDELFILVPMIGNLKGN LEMCLSARLGTSANIGELDHRQTRKTMLVANMTLLGLQSLLISCVAAIISFALGLVTV HRLGDTPEGVSYNSTVPGLDPGLESADQEWHEGYTRPGWKQLVMVLATGMGSAGISSA VLGSFMGSLIIVSRWAGTDPDNITPPLAACLGDLLTLFILALLGTALVHTMDTILPLC LLIIMSVAAGWFTKRVMRNQWVKEVARGGWVPLIGAMLISSGTGMVLAKGVGRYRGFA LLAISMTGLTGSIGAIHANRLSTQLHTLLHPTHPHPRPLAHEIAPHPGLSPLQSAIAL CAIGFPCQAAFLLIVRWAGWIDMSLGWIGWVVFALTILISITMAHYMTLFFWSKNLDP DSYTLPIHSALVDFLGQLLLMVAYEICISRGKDVMDTVPVAPKTFDIAPSTTIKDAIN KKYANKLVPDKGLALSVFDILTAEDGKVTWGNGLMYYKVSFRLMLFAPFIGEVIVGRV LSTTKSYIRISLGFFQDIYIVPSLLPPNSAFDPQQRAFFWVATDEEALSQEQLLNTVV TERLYIDAGEPIRFRVDSVEWRDVRPTPQSLMAEQNGEDVPEKDPIEKAGFKILATIA ESGLGVTAWWPGREENEEVYE L198_00385 MSRFTEYSVVGRTLPTEADPAPKLYRMRIFAPNEVVAKSRYWYY LRQLKKAKKANGEIVALNVIHEKKPLKVKNFAIWLRYDSRSGTHNMVKEFRALSRAEA VESMYQDMAARHRARFRNVQILRVAEIEKTEDIRRPYIKQLITPGLKFPLPHRRTKSK AWFAANRPVSTISINKIPNTDHHQSTWA L198_00386 MDFSHPDRKSDAQFQQILDTFVGENQTVLTGNLPDLLAGYEDDH DVKILEESDFHGVKHLCEQYPDLELGPTDLFGFLMAVLQRSTSPPYPTPETTPAPQHS TPQDDSRRRRRHSDRIRSPSDGSSSSSEEEHPHLRRQDSAPATSLSFPLSSTTQPPKG FSGPVRTKTLSDPNRSDSSMDSPLPPQRVRGRGPPPSAFTGGFARPNPASRRRRGSSS APVEDDLKSPDLYNPRRASSRASSRAPSPQPWNAASSRPASRASSPMSPNDQLDHSSF HESWHDRAKSPDDMDNDVEAERKVLADADYDDEVDEEEERRDGLDRDVTGSDSLMPRF SRMTTESTASLHTSHDHLRRLKKENNELLRKLKETEKSLAVQGSENERMVEDLQARLE EAQGEIAQRRKDEKDMRGKDRAQLIQISGFEADILSLQRTLENAKANHANMQKMYNSQ CDEAQRLRDMLRDRDAEIQELEEVTNTQSADEEKLSHEIQALEAEVKRLEGDLSLARQ AESHLQVQKQENLGLKETIDRMRFDLDEARAQAALAGNAGRGSNSATASSMGGTISRN LGDELGRRLVDVEKVGEEEGEDGFVETIVTTQRTRRVGGRRSPVQQLGSSSETPQEPV YVEEGVREYVDASTATDSSLLETPISSPPAYTPEPPPINIDQVLSRAHPKAREHQHDL HGGVIDGEEEYQQMVDAVGVRCTVIEDEMKMQKLDKIKRGVETLRRRAKRAPWVENST NGIYQYIFHGTTDVRDNFGRYTVFAVAVFAFGMIAGSHLAGPAAGLHPRDYRLFQQMN TLAGAAGIGEGFLPGHMLGVVDHGARLVAGRIPT L198_00387 MRDHDAVRASSGEEQVFISPYTSTPCDTGISLPAAGLCASDSPH GALSPVTSSLGWPDDAWAASVSVRPQASGLSAPAPLRDGGGMAGEGQKAGGRKLRSLV K L198_00388 MSNESIDPIDPVRTKRILPPPRYYSQDSSLNDPEYTERSRSRSR SPSPVRASRSGTPDTNESDVESIHRDLSSFNPRLEVEYGGDFTSAISRLKQWLHQKAS AQRQRSTAETPPTNPSGPSYTQRGPQSYQSYAEDVPEETDRTFHDDTDSLFDIGGDDE PSAEARGERVGAGGKGSEGYEASRGRGLDESSASTPRSLTFDDFLPKSAFGNHPPPPD PSRSHSSPKPDPYLMSGALPDVRRNRYEDVDDDPLVKIWRRTKPKEDSDHEYRGRSRS RFTDERSKVAEEEPRSRRRSWSRGRSRSRSRSSLGDTTDGTESRQSPPPLTEASRRDR LRDMLGLPRLDIQPPPPPVETPQWDPPAPSTLWERFTETGVETNLYARGEAVARRMIM ESQMSQMSQMNDTYPSSMPSSRFGPPSQFGYGMDDYNSWQPQSRPSFVQPPLPTFPQS WKPSGPIFSQFGQPSRPSFSQFGGQPQSRPNVSRYSRPPFRQSFPTPQQQRFSDTWED DASDTAAETEEDYYDPHMPPQRRPVRFGGTPSQSFGTPTPRPSFGLQSYNRSTGLRSD QEPPFMRQPPPPGTSIPGYGVYTEDNRFIPSAMSGQSQRPMPFGGGSRDFSRY L198_00389 MSPTQTNLVTHYARQYSGSVPSCVATCMSSGNATGCSGVTDYTC VCASTAYITSVSECFSSSCTAAEAAVGQTYSESACAYYVRCPLTTTDNSTATAEVSST ASAVLSAPVTYSHAYINIQAIFSSICGALLLLSLASGFISCRARYKRERAFTQSRTWT GVGSTMVGGNTQATGGGKSKMFASRNADPTATFMSDNFGATSSNFGGTTVNGGGQGVS FGGAFGAGAAYSAGPSYTSGNGGFTNRLAVGEDEDSKSEEYELGDLTKKSSIRESIKE EEGLDSPTTSKGPGSEVDLDGSTVHLTRLNKDHVY L198_00390 MSRIFRRTLSSLPGPSSAPAPFQAVANTLPLAVRRRRLEQPPSK FASPSPLSDAALLDPASGKKFPLPLEKDYRSLLKRSEFDSSRTDAELRAAYLSATSEW RSRVRGYAPQGKNSKHAFLLKKMGGRSLFEERVQAIAGPEGEGAVAQEEELSDIVGQR IYLPNITIRLVRNHTPPGEAYDPFVATFRIPRGMTKTDLRSYLHAVYGLEVTFIRTDN YLGQVGRARTAEVVRKGGGTETYKRAVVGLKEPFHYPDDVEEYHAKEEEYGSGAEAAR LRKEYLQTNYSLNDMESWRDKALFKFYKGSRWRSQTHANAGVAVKEIMKRRKEREGLI EKTIKDRYAVARDIETPAATAETQNA L198_00391 MQHLDNRQQSFNAVARPKSRAKPPFPLSPARYPRLTPDSLAQAG FYHTPGPAEGSLDNCKCFLCSLELGGWDEEDDPFEEHAKRSNCAWAEMVCAVKVEKRK RDRDVKYETMYETEQALPQSAESIQVRIQTFKKWWPYKQKAGWLPTVKALARAGFVYN PSTESTDAVFCPYCEYGVEGWEATDDPWEIHQSKVPDCHFFRAALSGQAEEPVSKSSR SKKSLAPSKRSKRATTAATAPSEAEETDLEHTQVDDETASQATTASKRAAKPRATAAS RSKGTRGQKKAATVEPEADREPQTQSESEGEREQPEPEPQSDVEMESQAEDQEATPVK KPKGRPKGTKATDKKPKASKAKGRSTKAVEEDAPMESEAESQAQQTEPEEEPETQTTP KAAQPSSQSLRSKTSSRSAKPPSQPAKPSSQPLEPSSQPAKPSSQRSKPPSQPSQPSR SKPLPNLSPSSFPASARSPTASPLPLSQLHRFANIPPSSPVVTPRNIATLRTGQPSSR ISPHVPLNRSDQDASIERGAQSAHQVMDDLLHSPALASPAKRTAQASSSPLVAAEPQE PGQLPDEIMNMTLEDLVRAEMKKAYEKLRNEGEAKIEQWAKRAAGEREKIEAL L198_00393 MSRVSPKQRKLAKYRRMACLAIALSLPYKGLLINMYTALARSRY MKRPKVYGNLRDRDWRRTIDKIHATARKNTTNTTDFRQRFRLTPSEFTGLLGLIEHHP IFHTGGPRKQAPPMYQLSVALYRLGHDGSGANMNVVGHTFGVSEGTATLWTKRVVVAI ISLEKQVMRWPDSATRAALVKKFAEEGIPGGCVGVVDGVMIPFYQTPARADAADFFSH KSRYGYSVLAVCDADKRIVYAQYNFPGSCHDAQVFNASGLIQNAAQHFTPGQYLLGDS AFPAGDYCVPIMKLQRNAPRLEPPEAEFNLNASSIRVLTEHTFGMAKLRWQCLRGLRV RMKNETDDAIATGMIRACFILHNLYVATGDWYRHDNNHDPLTEAERRELEQDRAQWLQ NGGGGQ L198_00394 MRSGASMRSNWDRWRKAPKGGNGGRANLHQGAIHSVPRGEGLLQ PAEAGDFQDEGGVLERCQHFLDLNQVLFRQAAPMPKNGADTLSMGDEDPSSVQLDLIV QHILGKHDDVEDIEEKDDEEEDKDDGDGDGDNDGDNDGDNDGDREEDDDDDDNGDGEE RPPIAGRRSNSQVIATPNRRSVAGNCKAAHKPKTPLSLHDSAGKGMAADDEMERLQKG AEEHTQRRHDEMILVEKEKMKAEKERLMAEKKRVEIEKKKSDQEVLASKLEMWHNHVV FNMERRNMSYEDASADASAMMPSFMR L198_00395 MTTTGSDLRLVSSPTIGGLHDGTNTQVYTNADMKNQATVWAGEL HKVNGAWHIYYSHKERIWAIKGGDNPLDEYADPVQLYDHFGIDNTVLAHNDNNYLLWA CHSTDVSNNTIAGSSMCISHLTTPTSINKDEISVISRPTEAWEQVGGHVNEGAQPLYW DGEIYVTYSASFCTKPDYSLGLLHLIGDDPMDPAAWSKVTDGPVFSSGNGEYGPGHNG IFTSPDGTELWNVYHAVTNANGSCGMDRQTFVEKVDVSQFTSKGPIFGTPAKKGVVAE GPSGEGNNTGQSSSSALSATSAATRSSSASSNAASSSDATPTISLTTSSIVASTALSL TASLSTTESSGESPTASSSASSEEEDEASTSSHSRGGHHTTQSGDGGIALIASGSESA SSLDSSSRTTVTVGQGEVTRTTTLFVTAKTSQALAAEVTSTLGTGGLSCKAKRARVAE AASLLVV L198_00396 MSLDRSISTESDHIPERKRSASIRDQLRGWHANRAGRSFVRCMI PSIRQPVGGEEPETKNPFKQIAAVPPFAWLLFFSGWLAWTVDGYDFFCVSLTLDDLAE QFGVSTSSITTSITLTLLFRSLGAVIIGLCADRYGRKWTLVVNCLFIMVFELGSGFVN TYKQFLAVRSLFGIAMGGIWGTAAATGLENVPPIARGLCSGILQQGYAVGYLLAAVIN LTVVETSKYHWRSIYFFGAGFSLLAAIIRACLPESEQFIQGRKEAKEKGISSKQASKT FVRELGNMFRTNWVRWVWGVLLMTFFNFFSHGSQDLFPTYLKTTKGFSGKLASKATII SNCGAVVGGTLAGWCSQFLGRRFAILVCCVWTACWIPLWILPNDFGGLAAGGFFIQSG VQGAWGIVPVYLGEISPPAFRALFGGLTYQLGNMASAGSAQIEATAGKTLKLKGTSTP DYAAVMGILIGVVIGYGIIVVILGPESDGSHFEKARVAIEQGVGEDAPEDLIETRRKQ NAKELDLDRKGSLQHVL L198_00397 MSRIPTAPSSSSNSPVAGSSRLLPSHEDSHHRSIDPESQREWEN NGEDYELEEFDPKEEENSLLPSGLLPFGKSARKRSSDDSSRRVRPYLLSFGAVAVVAG ILVTTYFFMGELLPDEPSEEAPNSASVNLSIPIGDFDSENWKENTIALDYDPTHVLIP KHDAPSIPLLEPLHDRLPYPILAEYFVNGKLPSSIDSSNAPPQHPLDLVYLFVNASSP YWFREFEARHEEEGLSSGRNKVARHWRDNGELRAAVRSGVSALGDEAGRIHVVTADWD VRENDTELIDMGVQPGQWRIGQIAEWLNWSSQEKEGRLKWHFHSDVFQLPKDGDWVDL ERGASLKEKQEAMEAQNNGEDVVARETWGSEAAWREETLPSFNSFSIEQRLAWIEGLS ENFVAFNDDMFLLRSLSTSDFRHPLLGNLVRFDPGLLVPQYMTDKQLTDPGEWGALQH ANALLAGRFFPRKRFYMHHLPKAQSRALLHEASVMWAPQLSTASSRGFRASRRGEGDV EMAWLITHLRVERWREAVLWSWIVAKVGGVEGVWGEEQKDELRSVLGMAKGEGADKGQ VQISRCSRESLNDMDGLMGQAGWEGPKATEYRFSSMDGHMPSNPDSPVESCPFTFNVC LPDTFFDSSTTFAATDLFTHIAFQHAECGDCLIDALVSASGKRGLSAIIPNERQIFYP AEEKEEGRWRRSEPMLPLVDKWPEADFTVAANVKAGQDVWEESESRADGGVSLKAWTI KLLSRYNYVYGSTPARFSPVSTVYALTKALEQVDNTPELALLCVNDDQSDTSSDQARH KFGAWMEKRWGGEIEGVDWERTNVSWVD L198_00398 MEEDHHMEVDPIAAREVLPEVLQEAPKVTPSPLTLDLGHQVRLF LGDLNQSNSVSGSLPQAIYDYARGNGSTQQLLSALSVLAADDGLFELVEARFEPVLLD ILARWFEPSAFTDSDLIEKRLSVLAKLAETSPQLWSLIYTFILQSPFRASPFSCLGQQ NLTAVPAPRLHSLLLAYIRLIIVDPFIASRNDWSIIPLHALRTEHPDAGVRLLAIQVL SKQRKWSETKRMEMESAHVGAVEATDVKILFGHTVNVLPQGGFETQEMVVDGWLMPIF EAERIKKARKSTNDLVYASSATIAEHDLSSRTALVADHLILRNSPPNPSLSITHVRTE PMDNALRTLCPLVQLTAPILLTSPPSSGKSHILQYLSCVLFPNQRPSSRILTIPLADT SIDVKSLIGTYISSPTKPGTFEWMEGALAKAIRAGRWVVFEDVDRGSTEMLVTLAGIA RSLRSTRPGKRATLAVPGREDVEAGEGFGLFVTRTIRQGYTPPSFYGHHIFTETILEA PSDSDILAFLSARFERLPRSITTTLVDIWHQLRPFDRVSGQVKARDIGLRDLEKWCAR VVRNLPPSASLAALEQSGSIFANTVLQDEVFLEGVDIFVASLDNKGASLEKKKQMMMT IAEGLGMDEDRVLALDGRKPNFEASSASRQLHVGRVVMELAAPERSRRAASSSSRPFA LTKPSLILLERVAVALALGEPTLLVGETGTGKTTAVQHIASIVRKPLTVLNLSMQTES SDLLGGFKPIDASIAARALHTRWQKLFCETFAMSKPANGSYVEAGAKALAGRKWGRCV ELWGSSARRAIDKLGKGEPDAPPAEGSPLKRRKISKATRVSNEWQGLLADVTEFDLHH AKMKSKLVFSFVEGPLVKAIKSGEWILLDEVNLASQETLEAISTILEGPTASLVLTER GDVEPIERHPQFRLFACMNPATDVGKKDLPPNLRARFTELYVPPPDDDREALLSIVSQ YLGDAAAGDKSVVLDVAELYTTLKKLCAAKEIVDGSNAPPHFSMRTLARALTFAVESA PLFGLRRGLWEGYLMAFTMSLDEASARIAHEAGERHVLSPMKNARAVLAQIPSLPSDM DADDYIRFGPFWLRCGPLPASTEGRYIITPSVQSKLTDLARVILTKRYPVLIQGPTSA GKTSAVEFLARQTGHRFVRINNHEHTDIQEYLGTYVTDPQTGNLVFQEGLLVTAVKQG HWIVLDELNLAPTDVLEALNRLLDDNRELVIPETQEVIKPHPNFILFATQNPPGLYAG RKILSRAFRNRFLEVHFDDVPKTELETILCQRCQIAPSYAAKIVQVFEELRHRRQASR VFESKQSFATLRDLFRWAERGAVGYQQLADDGYMLLAERARHDEDKVVIKEVIEQIMK VTIKYDMYELFDQSAGILARFPQTSLPPTSMVWTKAMQRLFALVAAALLHNEPVLLVG ETGCGKTSVCEVIAHMFSQKLVGVNCHQNMETADLLGSQRPVRNKLDRKARVIDALAK YIELGRDASEDDILEACSELLQREDVDHSLVRRCQQEIKQLSALFEWSDGPLVHAMSA GDLLLLDEVSLADDSVLERLNSVLEPGRTLVLAEKGGVDIDEATIVANDKFHVVATMN PGGDFGKKELSPALRNRFTEIWVPALNDRGDLLQIIEQSMRHVELSPTGPLILDFFIW FGEKLGDTSGLGLRDILAWVSFSNDMFAKGLNAAQAFHHGGQMVLIDGLESLPQTSGM STSSIKILREDCLVQLGVLATSLDSELQTESNLDIKISSEAVHVGGFSVPKGPVINEV PTFMFGAPTTALNTMRLVRGCQLPKAILLEGSPGVGKTSLVSALAGVAGYNLQRINLS DQTDLIDLFGSDLPVEGGQPGEFQWRDAAFLDAMQKGDWVLLDEMNLASQTVLEGLNA VLDHRGTVYIPELGKSFDRHPGFRVFAAQNPLQQGGGRKGLPKSFLNRFTKVYLQEHT PEDLMIICRDLHPIPVEMVQKMISFNETMRVQTMVTRAIGREGSPWEFNLRDLFRWFN LLSKKNGLEKSEHPVEFFQMVYRQRFRSERDRQMVTDIFENIFGIQIDSTRPVPSVTP SWLQVGHSLIHRGAASSVEAHLNHGHLEVAQSILKGIEMGWLVILAGESGIGKRTLVH NLAEAAGRELGEFSMHPGVDTSEILGSFEQQDVSRSVDAVFTDVSNIISKLSDTHPSF ASQLSELSSARRACTNRSDTRAIPAFYEMSQTIVQTCSSWADTTLAQHSMANLAKLGP NAVGFAWVDGQLIHAIKNGGWFLISDANLCSASVLDRLNSLCESNGVLVLSEKGSSTG SPEILKPHADFRLFMTYDPKFGELSRAMRNRGVELYVSQSGQRTSPQDVQPLVMSDNS MLRQVDTMYDDLLSEDGVAVAAAFAFQSHTSVPYLLRCSSLPITATPSLLNEVAMVLL SASVREISRTMASNVCLSQGLESDFVESLFIDPTFNPNVHSTAQIRGTMFSILSTLSL DKYRQQQLQVWLEEPANGKTVLATSAAAFRRAVTRQKVAAGKDIYPFLFAFSELVTAH IGSIATTSQDSLTKLARILAYVQLIQEHAKSTTFDYSSTKLLAKWIEDEMEGIQIFAP VLETLRNLAKTVQLTSGMGQDQIWTLFRAASSRLGQAAEIQRLAALSNEVVDSQLRLS VLEALSVLDDASVEDGVLSQLEVLLTNSKMIPRKEIDPSSYWTNWSVVEALELAALSK NNRQALQIIVEDRNVDLSSLVSLSKSLSPDKIAAHSFQALSTWLQRVWDHAAPNEEVL SGPADLFKPTRLSASLRFSVVESIKMANIPEQDDALNFSIKTALYDAENDDKRRYEAL SLVLTILVWIVSSFGVSVSSNLDVVKFMESITGAVERASQDDSHARVMGCSFEKWIKP AFIAITNTPHDLSALGSLWIAVSYFILDLYVTNIPIDPGVRRGLEGEVIEQLLVHSEA KLSAVSAAEMIVKGVSDSAVALDLQEEVTNLQHGHAALGPALERSSDVIKLSHLFNEV HAFLADQYEESRITALVTSLQNGQTHGFSREQDFQLASAAFTQRLTSNYAETPDLVHP IVTATLIGKFGIRLVARAGDLQAAKSNPALSSALSFPLAQHLQTLQNLTVDAPVDKAT GVPAGLLAVSSYICEIDNRHQRRAHVPRIAQRLDYLYQSWSAIRLREQQEASDAESMY RVKKTDIEVLSDEEQEAKEFAELFPQYEDDGANDAALEPEKAEEKEKKGFLSSYVSAF STLVRQGFGHASCTPGKLLKQLIDETIHYNFDSSKFDEEIDVRSLAFRVATLHRRQVD VRMPPSQPNFYLSHNEPEIRKAHDVLARLIRRLDVLIAEWPEQMVLVHIRERCERILN LDTRSSVAQVLSTLEYLLLHTEDWESYANRDNSLGPFRDEISKMIIEWRKLELISWTR LLDDQAEQYVSQDDEWTLRLYGALIHGAVGAEDIEKHMTETLPLISTYIKNSTLGHFA SRVDLLAAFQRMAIEMSHQAPQLSKIATMLHNLLANAGLFAIRVKDSLSTQRAAIDKA IKDFVKLASWKDVNVYALKASAQKSHRALHRSIRKFRDVLRQPVAPMMMELNGVVPQE APIPSEPWTPSAYDKMSLSNSALSSRASIAVPVPDVLLRLDETLSRYGQVHDRIRGTV TGSTASLVDTMAVDVIDTAAHLNKQTPSTLTKENEKIVNNLASRKRKAYSDMLRALRA AGFSQNVRADQLARQQSTMWLVSQPHIVIHGLSNDLVSQDANKVENYHHRMEILVAAV RAAFNGHSPDINSQDLQRGIGFVESLYATALNERTQIVNELQPLTKLEAILQRFRHCS EAESMISGASVVQAFETAQASASQIHRALAETEEGMRQLRELQGRQCRNEDLAAVHDL RQETNLLSQALSKTLDSVTDSTCSLFTQTEMDLLNTFERIRKSLVERFTSQAGATDDF RHLLVPVANMARSLELAVPVASAVDREEELWTQSDEIIQSLLVVAQQLKSPVVAEVQE DEYPHIPTDFKQQRSLVASLHISDIVERLSTFIGRLTAAPASTVPNFISRIIPFLEIF NQTYSHSLSVHTQSVKATYKLAYVIGRIVLDLAQKGFCKPQEQGDEGQGEDGDAIEGT GMGAGTGENNVSNEITEESQVEGLQGEEEEEKEENGGEDDDAFSMDEDFEGEMGEGKE KDEGSGDEEEEEEDHDEHVGDVDPLDPGAVDEKFWGDEKKEEDKKDSDELMDQQGQEE EGETEMTAKEDEKKEKQEKKEDKQKEEAGEQAEDSEQTEQKNNGDEGGDEEGEEMDDE EVPGEEHEEDGDDQPAGQDQQEVSMPEGETLDLPEDLNLDDEGMEEGQEEEDENLGDD MGMSVDGDEEEQESGQPDEIDGSNEQEGQEADDAPEATGQGEDETEEEANMGQDADLS AANEQAQESEVGKSMGGGLEGETQKEKEAEEEADAEKEEMEEGAQGHDGAAPQSQQQQ NSSDQQQADGPVDSSGAPLPSDNSAQPQTSRSLGDILEKIQRRQDEILSQNEREEQPS NDQQAPEQAPGQVEYVKEDEAKEDDQQALGKAGDEERQKLEDLQIVDEEDRGEEMPAM EDNPEEGEDSQGQERPQKHAESNHQTRGEPDAEREQTEKALTQADIGGKPSGDVGDDA MEVDLDDFEGGVEGERAPIDEEDEIELNIVDPTPVSGQVATAEDIWRRYASLTSDLSY ALCEQLRLILEPTLATRLQGDFRTGKRLNMRKIIPYIASEYTKDKIWLRRTKPSRREY QVLLSLDDSRSMSESHSVDLAYQTLALVSQAMNKLEVGQVSIAKFGESVDILHPFKEG GFTDADGAKVMSSFKFDQQKTDVASLVERTLSYLSEARHGPAQSASAPDLWQLQIIIS DGVCQDHARLRRLLRKALEERVMIVFIIVDSLQQTAPATASAGSASSTRPSILSMQTV EYKNVGGAMKLEMQRYLDTFPFEFYVVLRDVEALPGVLADTLRQWMTRVSQSQE L198_00399 MSSERTSRWGEAPASDSPGPAGSSATASDAAARAAAIASKIAQS LRPQGNELVKKDEDEGAFVKDIEINDLRNRYVLTKGATQKQISDETGCVITTKGVWVP DRSKMPPGESPLYLHLVATSQSILDAAASKVNDLITQELGPLIDERTLVARNRALGLP PPPGVLPNGRVKWPEQKLYIGLDSMRNFNVRAKTVGPGGMFVKYIQAETGARVQIKGI GSGFMESDTGRESDEAMHINIAAPNDEQVNRAKVLAEDLLEVLRTEHSKARDSVNMNQ QAGGVYGGYQSYGAQPSGQDAYAAYYAQQGATGTPGSQPAATPAAGAAGGATPAQGSD AWAQYAAYWAAYGYDVNDPQFQAWQATQYGQGAEGATQTPQAGAAATPAPAQPAAP L198_00400 MLLAALLLPLLPLAVALASPPQVFVNTAIARTLELAGATTHVTT QYNIKALVDAPGEYHLALAGDGDLIPAWWEVAIAGKAADGLAILSDRPPTVSVPLGHL KKDESITLSLAHVLDHQSRPLPAEIAQTDAQYLLFTTNSTYVDSWYPTDVERVKVRSP HVILTHGSVSDAYTRDNVITKAGTSLTLGPFHSLPATLGDAKVRQEPFTVHYENKESV IGITTLKRSAEVSHWGANLNIQDEISLANIGPKLKGHFSRLAHQMSRFHASSPAQILA ELTLRTPPTAHSPYYYDTIGNVSTSHFRQGVTPAQTKLKSKGRSPRTVDGFLELKPRY PLLGGWNYSFTVGYDIPLGDVLKVDKASDKKVLAVPFLTGIKDVVVDDAEVTIVLPEG AVGIEIYTPFPADSISHSIHKTYLDTTGRPQITIKKARCTEQHAKNVYVTYHYPFSAQ IQKPLAVASVVGSLFLLGIGLRRVNYSIDKK L198_00401 MEAYFQYRAFAPKSTPHLPTYDEQSLEHPKSPIIYVSFDSDDPR DPQNWSGLYKTFVIGSLSFLTLSLTFASSVSAAAEEGMMAEFGCSQLAATAATSMFLI GLGLGAMPFAPLSELYGRLPVYLITIFLATVFQIACAVAPNLPALLILRLIAGVWSTT PLSNAGGSLNDVGDPVLRTIAMPLFSTAGFLGPCLGPIIGGFVAQNPAYGWRWCYWVC AIWNGLAFLLCFFFMPETLSSALLKFKAVAYRRATGQDVWRARIEDETFGELTPKYLW RPFKMLGQEPVVQFFIAYLLVVYTVLYGFFDAYPIVFKKHGLTGGKGGLMFVPVQVGF LLLMIVNLLHWKRYRGLARDAKAGVERRGIKNGKIEPEERLIPLMLCSWLLPASMFWF AWTSGPEFNFWVPMMSGLPFGIGLLSIFQGSFQYLIDAYGPFAASALSGSTLVRYGVT GLVILAFPTMYESLGDQWATSLFAFIGLALTAVPFVFYLIGHRIRAKCRFTVRD L198_00402 MASSSIHNTLKQWRLPVSSSRRLSTVSSTARLPVSRRAMLYVPG SNPRMLEKSLSSPADSIAYDLEDSVSPGKKTEARKLISDLLNGERRPRGEVVARVNAI GTGYEEEDLDAVLRTRHVEAIALPKTDCPDHIEYVISRINALSAPHKRSGQPGAIKII AMIENARAMMAIESIAQSGKGHLDALLFAAEDYCADVGLTRTPTREELLYPRSKLVTT AKAFGLQAIDLVCVNYKDKSVLADESEEGKRLGFDGKQAIHPEQIDIIHSSFAPSEKA ILKAARVKFSFEHHDNLGKGAYTLDGVMIDAPVYKQATKLLAKAEAAGLSLPRVTLDD I L198_00403 MAAPQQKYPFCGCLLYASSRCDESVGLHHSNTVRGSERIIAYMA VKGVVKHDGVRGLYTGLTASIFRQMTYSVTRLGVYDLMKNQMSNNGQKKLTTGDMVIC ASTAGALGGVAGNPADIILVRMVADPTKPLEHQLHYRNAIHGLYKMVANEGPASLARG LAPNTIRATLMNASQLVSYDFFKDHILAAGIMENGMPLHFVSSALSGTVATTICAPAD VVKSRIMNMKPGAGQGPITLLTESLKLEGPRFLFKGWLPAWIRLTPNTICMFVFLEQI RGAIDLFRNKSSGVVDSPVA L198_00404 MSDINWNPQKPPLRRMGKWFYNASSASGQDPTLSATDSAYYSDA RSFRSSRYGSKADLAESGSPRHDKEGWYNKTKKRMGSILPFASKRDHSFISEDDLQDG YDSRSDGYRSDSSYSPYSSAPGEEIAMNLEENDGSLPPSPIVPHIPHELHNIAEDADG EQREESSFHSSTRTMDLSLHHPTPIRPQIFPDHVEDAWDEKHAEYGHIDNSQSQAGGH VSSSRPDHDGEVHQDVEREVTREGSVDCRSIPHSSRRSLGTTSATSSRQKIRYLFTNP ASTVRSLVATAKGKLFRARANKSPYLMRKQGTRDLSDIYIRSSTGLATDMDEAPEVHP LRSCPIQEERRGLDVMSHWESVGADLDYQAVVRSEGGLHDRAANHHGSQSQALSDLEI GVARSVGRRLDEDSVTDSNAAPLDVFSSDGTPASSDTSLQDSRDDLSISSNHGDLRER RRDGVVFLDRSTMPSTLNDVLSGYPWSTSFSYSTDHSPISLHKISQKDKRLSSVSHIS KMRDNTPRLEVDYGPSWLMPDDSGRTVHEVLLEEQAKRDARLEDVREKFEEQSKYLRD LMSDEGQIDVGDASYLSQHLL L198_00405 MLEEKYIGLGLALGGTFLIGSSFIITKKGLNDAAARSDEYPHSH QRSNNSRSASDDLAYLQNPIWWAGMITMVVGEVANFAAYTFAPAILVTPLGAMSVIIG AILASFLLDEKLGRLGVCGCAACIIGSVVIVLHAPSDKEVETVDEILSYAARPIFLIY ITFVGVFSLFMIYRVVPTHGTKNPMVYLSICSLVGSVSVMAIKGFGVALKLTLSGNNQ LTHVSTYVFLVVVVGCIVVQMNYFNKALDTFSTNVVNPIYYVFFTTATIIASALLFSG FNTPGGVNTISLICGFLTIFMGVYLLNISREPETPHPTTSLESGLMNPRMSMSGRLSM ESNGAGFGYGAVPGSSYAADGSLQSAGHGRRSNLYRAQNSTLFNAFEEEGVPLGELPE EDESSDDEGGRRGQRGQQGPGRSLLGGKKGREGDVEGGRHPAYQDSGR L198_00406 MAQSRCYDANDTLEYIIGDDGEEYEYEGEAPDLSMGSHPTYPPR LSSRGIDPGSFSASHPTQTGLPEDTPLQASFNHSTPGPHHIPTGVSHNNYMDVRIEER FTYRESYHSTEMSVADGFFTSAVQSQSGSGGPLITTKNHVDYTKPEYDWESQPLPSPA STTGGSAGKSSKALKKKKSLLSLFGK L198_00408 MPIPWHPPPSGPSQPPHPSPPSYPSSFGTDSDIDSGSDISSDDE SELDAMIQEEWEESLRQFELVVSIVVIPYFGKWFGRKWAFWAYERYQTIGIGKAFFGL L L198_00409 MSSGIRHQAVKGLALLGRTAPSKPVCVACQANTRLHAQSQSHSN NAWPRQTRKSNEFAVGSQGSPRATAPKHKVTDSLLKAQFQAAWNHSPQPVPKQVLHAA YPLVFRRTLRSHLDPHIRTIAPLVAPLLEERDLSAEERRQILVLLGHCYLCTAAKGLF VGQNVQRIGDVYGRALVREALGSLGNVEQLRTYLDGLESVLKGLSNSGATPVLGPEIV GAWAVLRESVGVKGDTNQSYVWPRAQDVQEFMADALKATGADGQNYSEALSTLMSAGK PSDHLPRTKDALEAELASMRNRGDWEAIVLLWRNVYSALSHDTSAEGILDPSPDIRFS VLSSFLLTFRRPVPHLADIPVDPPPPAFTKYASEVLSLCPKPLPKVIAYTLLALRVRP DEDTGLRAGHEVFELGTDERRSGEQGALSNLKSTWKESGERDLKMYMMYLEGLGRLGD LDGLKEAWGELVEDEACKHDYLREEKLRPDSPFPPIHALNQMISACLLIPLDGPIIAL DLFSQASRADSAIPCNLITINTVLRHHAREADIPSMSTLFQQAVELGLAPDVVTYTTL VQGLLRARRLDLAKSAMDMMHKQGIVPNQRMCSMLIADLAKPGTKLGLEHAEEMLRLM YQKNMRTNEVTWTALISGYFYGGWDGQAWEAIERMERLGIRLNRVAYNMMLRQLGTGE GETVDTMMMLWHKLLRQKINPNSDTYLLLLSALSSASRWAEVDEVMREMTRREYKPEK GALARLIDNIDRKR L198_00410 MPPKPPKTRYFKGKAPNAAVSESESDDDDEEEVDFRQQNRNKNT QLDPNTVAGGAGKVISDVREISVKKGKGMEMNLGGAKIGRGVGDGGVKLEESSGEEEE ESDEEDQNGPPKSTTQAKEEESSEYETDSEEEESEEEPPKPVFRPVFVPKGSRNTTAE KAAAEAEEARKREEEMEEERKQASKELAGETIRRELAEKEAAKIVHDIDDTDGLDPQA EFEGWQARELARLLREEKVQAERDAEKEEIERRRAMPEAERLKEDMEHAQKTREKEKG DMGFLQKYYHKGAFHQDDELLKRDYAGATESSVDMTMLPKVMQVRDFGKASRTKYTHL ADQDTSQGGWGAATRGGGGGAGAGCFNCGGPHLRRDCPNNDINGPNMIGGVSVPGAFA PAGGSGANTAALAVGSRTWGGSENGRVSGEERRTGDQSARGSTTRDSRDRRHGAERID SRSDRDDYKRSRDRSGDRAHRHRERSRDREDHGRDRDRERRRDSERGYHGRERDSRRD SPGRKRDRDDRERDYDRHDKRRREESDRNRDRDRRRYD L198_00411 MATAFDPETAGNAGEIEMQFAVKTVEHLEAYEKILQTLPPKLIK FTPIDEEIYEDLLEVFPEFKFEENLRTLNEDEMKSRQGKERWRKFIMPYEKKVTDYNF GTLVRARSDELYTETNSVLVTRVQFFAIEIARNRAGLNQKVYDDAQAKKAQSA L198_00412 MAQLPLASPPPLPLPRQPSPLSFSSVSEDQGPSPLPSPALGGGS EFSSRLRQPSGSSHHSTPRVSSGTIPTTASQNAQLESLLPIKPVPDSLLFKHTLSALD SSASTFKRLSKTVLAYAAVAHTLSEQLEKAEDDLFGAVGELGRWLESGYDVQVKGEKS GIWEDDGIRKINKEKRRRERVETQVRVEQGLKDVKAHLKRRGLAGGGAQNKYETNAKQ FYHATSVYLAPQSPPPSSSHTQQASASSTASHPAYDQAQAVRLAQWDLTRYTHHSMLL SAAPPSSEELLNLLVGLYGWAGAIVGEHPTAVLEGIDENSSTMIRRSSQSRPEQLRRS STPVQTPQHLKTSLSSCLSQLAFTRSDLLAAWAQREEQTRLLQDEAYKRQTELDVWQD NSSNVKFGEGLELGLSQGSGNAMASVSSSGVEYKKPKKTKLGRSMGGRLREFLSPSSS SHSLASGSTTTLPSNMDRSSRASFDGARRETKGEPIRRSSTIGIDKLSTHKETPDHHI KSTPTLVATKSPPTPTSILPSASSHDTNTSSMPTPSASAHVAASMPPPPPPKTTARPL MTTRHSVHMPGADYIAPFITTMSSPTDYDASIKLPSPFESSPDLRLGISSEKLRHSLD SSRPLNFGPSPTPSLTQPEQSAIGLGHPTGASIGVGVGGVGGLGAGGDEDEQREEAGR KKEGVLWGMGTWEGLNKGAGGKGKWEKYWVVLDHSSIFEYRDDGSSGPPGGAHAAIDL RFAIFEIVTPSQGRRLYQATSDQEMKQWLYAICNAIESCINGTSTVRTIDQTKGRGPS GAYDDHALPTRSKYNLAFSGRSMGLGLIPNGRKSMPPTPVERDTAEPRTRKTSLKKVL KSSGERFSTAMAGGSGSSEHPEKSKRNSFGGLEISRPVFGKGVNRQSLPPSAPETASR HAEFSQSQLLPSISTPPGVKSSWADGEIEKRVLEMSGLGLGSSPTTATRLAPGESPTS AKRRVKSEAVRKHSQKHQQGGEGMTRSKSDDGQLEEADEKRVLRKIAAEEGNSRCADC GGGMKASRWATISLHGKPIVLFLCIRCIGIHRSLGTHISKTRSVDMDNWTLEQVASAR EWGNIRANTVWEALLEGGRRPASGDEMKAFVKSKYSDGKWLKDEDRARFGFSS L198_00413 MLQAIRLSSLRATDDPLSPRIISLDPSFGANPYINASGLSDLDR WPEIGRALDSPPLDGGFLSGQTPGSRPDDEDEGKRGGGLKYTQTIMGPGKLGGAGMRV SGRHANPGESRRGQAIRANSSASIPSARSPNPPKDQEPSLASDTVPENDLFTPSVRPR ADSAPMPGPLPSPPRHLASSVLSTGKGATAGLLQRVLSSSQMSAGSNFGMDGTALVAT PEEKGSSIGIEATTGTQTGVDARMVAGLEDQGSDVDEEEAAEADVRGSGREATAIPGS RRASVDTTSIEENFDFTPIPIVSPSLSVPRPSALTSALNKYVPHLVSTGPKNSSGPIS PIAVAPINPFYSLYSSIAAPPGAPFEPLELYFPHSKNPTQPLVGKVRKDATVEEVTGY GLWRYWEEGRKPKLEEEEEDVKWSSVGWGLRIVEDDGEVDEDFPALDRESKISKFSYG QFAIVEATEEQIRQNASKAPTIPRRPSRVIPVPASAARPVPPPTTRQPSTTLAPPRSN QPPSASSSFSSSEFLSTTPTAGNAGGKLGSSMAMKGSVGLSSTGSDVVRLKVKVTASA DVHFTTTINVPADMYIADLTEVLCRKKRLQMPAHDWVLCLADLTLALPLDRTVASLEG RTELALVKRTWAVDRGVRIDDRRGGDPSASIFKRQSEPAPFQRFGPGLSDFSQTYKAS ARTTMRFQANAAIAIGRHERNLAIDGDYIHIMPSESRAFFDSMKTTSFHISLVAACKL TGRAGGFKINVWRDGTQKRYEFEAENQRQAVEIVSTIRQLMKAWMAENNNIVPAPGIA LRK L198_00414 MTNPAVESNPARANPDHGKCPHKEYQYLDLISRIISTGETRPDR TGTGTVALFAPPSLRFSLANNTLPLLTTKRVFHRGVIAELLWFVSGCTDAKVLQDQDI HIWDGNGSKEFLEKIGLGHRREGDLGPVYGFQWRHFGAAYEDADGDYSGKGVDQLQEV IHKIKHNPTDRRIVLSAWNPKDLPLMALPPCHMFCQFFVSLPPADSPGSKPKLSCLMY QRSCDLGLGVPFNIASYALLTHMIALITDTEPHEFILQMGDAHVYRDHIEPLQEQLVR EPRAFPKIKWARSKEEIGDIDGFKVEDFVIEDYKPLKSIQMKMSA L198_00415 MSSTTPMPSTPGPRVEVGSPRGIPKARLSLSLKKSQYEAINERL LAAHKEHVARVKSSFSRFACLQRHLSAVKSKGKKLSKKTSCSVLSPSSDFSVKKNGIV EEGETADLVNDFATKMSIDGAKPPKSEVSEVLRKRSSSSVTPDMEECAKKMARLAIAH DVHHTPSTILSTDLDSLSLSSEVEVTEGDKGRRSRRSSTSLSLRSNISAAEEDDAPEN EFKSILFFSKLLAGEVDGLTIVL L198_00416 MPSPKRTRSEDGSTPSSTPSTPSSSRSHTRAAAPVENIPVTPST APSARLSSRRQILTRSQSTTTNLSDLARPPRRTTLLGPTSSLPNMGSPSNPFTTGTNF AMTPPTTRTRSSGTSMLLRTQSTPSLTSAGSSSLKSLAGAPAGESEKPEKDGLGESRR FGKGKENIPPKRAEQNDEDEGPRKRIRMTSMSSFTGASGRGRSGSVASIRSESTNNGR HASLAPSTSSMASWGRASSPTPSDASFSTTIPDLPTPTQSFDRLTFDSDPLETPTKSR ATRAHGLLTPPPSSPSIMDVDPFELSAGPRFTKEVGAYKQLKAALRLSAISGSSLDDT IIGRDEEKSIISAYLDNTDSTTDVGMYVSGPPGTGKTASVTAFGRQRVAQGWKVVELG CMGLKVGDVWKRLGEEFGCGKSEKDVVEYMKSEATPTFIILDEVDSLMPPPPSKAPPA VSHLLAKLFSLPYSNPLVKLIAISNTLDLTIRARLVLPNNLQPSVLPFKAYGSTDMSN IVNTRIAAAAVEGVKVDNMTITLLGKKVEAQNGDLRMCLGVLGSAISLAEAEYVKKLS HNPDPSKPVVMTKVAITHMMKALASYTEKLRASAGSAVGGKASETGKKVKSVQLQGKM VLMSMLVYLIRVKAGLHGCPSMKAASAPASADPEIPAPVLYATYSYLLSHNTSPFSPA AQSDYLDLLTHLESLGLISQTATSGRGGAAGNMVKIVLCVREEEVREGLGLGEGQEKG IAEEEVQKVWEREEGRVRRVREKAAKAAKAGQDEE L198_00417 MSAVQIPPGSSQLALNELGYPIFPPRQNYSHVEALLERAKMVDC GITADYYYLSEDEDDTMSDDGLPAASPVVNGDPAPTYGPEEVLHQAYLASRSTYSMIE SPRVHPEEMVLPSWSPPSPVYCPASPVYCPASPVYCPASPIYCPASPIYSPASPTFSG FSPVYSPASPVYSPASPVYAPTSPVYNTTSTKIVDETLPASFPLSPLATISPTDALWS SVLPQWLPGSLFTESPDSICEVLCVGEDWDVEELVLPPAVCEGIDPLRAPCLIDRESF EHGVNSWVCPGSPIPASNITDYNTEGRERGASLEAEETWAASLTVYNASPESSPCPLL GKRKVEEILEEAAAEGLELPSRKKVALLRSSL L198_00418 MTPKHHNKKYHALHKVGVLHGDVEMRHWYRTPSGDINLIDFDRA MIIGEDPDLSLEEFEEEAESEMESVLEVFRDNKREAEERVGSGLQSHRQTTEAVIIGY HEERARDGIPSAALQVCTSPMISRSLS L198_00419 MAGTAKRTRQQDENPASTSSCPPPSGPSSSTPTRQTSSGATPTP TPPRTRASSVSRRPATRNHPSSGSNSPSILTRSTNSALSLSSSTLSSARGGNLANGSS FGGPRPFPAGPSTRSAGPSMLQRTQSTPVISSPSQIKSAAGSGAPEQPPKGDSDGLGL GGRRFGNGKENIPPKRDEERQAEPAKKRMRVTSRGSYNGGRRQRSGSVTSVRSETTSS GRHSSLAPSLSSSSLGSWGGRFSSPAPSVASSSSFSLDAVRPLDRLSVLDNEDGTTNF SGVKHRPITRSIATMLPTPPPSTPPEDEDVILDPGDVSDTETEKAPNNPYKQLKASLR YSSIIGASVDDTIIGRQQEKLVLREYLGEASDEDVGMYVSGPPGTGKTALVTALGREM AGEGWKVVELVCMGMRPQDVWRTIGTELECGRTEKEVKEFVSREDTKVMIILDEVDSL MPPPPATAPPSVSHLLAKLFSLPLKSPFTKLVAISNTLDLTIRANLVLPDNLQPTVLP FQAYGQLDMSAIVNARLASAGVEAVKVDTTAITLLGKKVEAQNGDLRMCLGVLISGIS LAESEWIKKISTAAKDPEPKPVTMPKVGVSHVMKALTSYTSRLRAAAGSSAGASSAAG KKIKSVGLQGKMVLVAILVWMVRVKSGLNGCPSVSGSGSSTPCSDISASALYTAYSHI LSHHSSPFPPAPESDYRDLLSNLEVLGLIAVVSPSSSTRSSSHSGNRISLIMKEEEIK EGLGLGEGTAAKSAVGEEEVRRVWEREEGKVRRVRDKMAMAASAEED L198_00420 MPTNSRYPAGTNPYAPSAASKHPASTRGHRPAGSKAPSQHQSQQ ILPVRKHIVEDAEVEEFEPPRWRTVMHKKVDFGKFRNSTVLSGRADTITTGYPDFYPS RPGFDQREDVLTEENVKNGFAAKLFISEAAETFSMHGPIHQHLTNGCLNMLVQLGKEV IQKQEDTLPQFTDRSFRIPVRVVWNDSKRLAFLSDLANPQIPLHRLMLGQVPHGFKGI ELLDAMYSPSTSGGHNRPSAGASQTLSEPIPVERAVWFIRVLGANEITAHRARTHQTA AASVTVFSPVAATPSSTNTINTPPSLPQGSNDWYTQEFTNIIITWLRGQLAQLALPNT GKAPAKPNTNVKSGGGVLQDAKTRARWVSKWDYSNRLLGELDTKQLLSTRLFAGWLSE HLSHVNLAQLGFLLQVISKHLLDISKHQSSARHCLRAACEKLKELRGSPGKDLLGKVD QALVDIIKTLYTADSDVLLSPATWFQHSALLSSIISPSSLQWDDLQRRNQSLMFTPSA AETSSSPRRQQMEEIRKLDSICENTDMVALANSYFDSSSSACHFPLDLPKIEEKVFNL LNWSMGQFQLGAHRPYAVYTLLKHWYDQHENYQNRQSRPQMVDLFDVVYKWMDTSSAA KDEDNVQAIGITVGELTRRGMFSYGRYLQNLIANGYTARHQRPDGSCVSHHLALLRVL PIFVMAKDLLQQRRISLNGDSLDARKQDEEEEERMIEIHREQIKEYVPEAFGLASYGQ SDQLKAQVDYHLPSSTQMTRYLYVYSRFLTAHKAGGILKAHDGQPAMSASVFARVTQV FRQSRGYATIADFIIRALQEAEDPQILDVIIDIIHRDADVWTAMDFWPRLGDKLLDKY HMLEREGKDHERLVDLLRELAVKGKLNEEEEDEVKHLPSSNVQKSEAPIADALEALPH VLSTNKDALAVSVVPALFAQNGDFDLWSSTWWATIVDVILRATVDQRSTLNGTLALHV SSVHAQYGHSFDNCVSGWLSGMTPIARLDVFGKKSSEIITRLLLFLVSQRYLSTMVLL EGMVFPIWKQTSAFALPPRKRLSSKMIQAISSSMDVLAQLLVSPPLSPTLPPLSIEES IITQASRQRVLQPPNVISLIQNLPVLVVFSQSRLLPEDMSAKIDDCLKRLGGTAEFKT AAFRNLSVLKDAFLAREWSEPGMEQELEGRMVDALKMIMSENTLQSSPKGSLPEYDSS TRFSAWRWTRVVLEMRVEFKTLAARIKAPETTAKEVQEARQTLNQLVQATLERETNAE DVDLLAEVFKGVDCVVTQEILAAGMDKLASLLGQAIGAETETQLEAAVKVIDQLLRLL QSTTSYLSTSGTPQSLLDPSIHSSRHKLLDLLALALQSVERHFSTDWEQSLPHGISPP QPGTLLKVVVELLKFTLGTMAGDGSAGGMGVVGPNFGHLSVCFFRAVMAGQHILDESS AKSMADILAYIVDCTPPQSRSVSQVSLLGETVSPHIQNTLASFPDLTAALPHLSPVPR SMSLVSLDASAVDNTKDSIHINGDAYDLESAMPLDDRKWEMFDYLGPSRKVCGPQDMY LASNPLRDQSSIPVSLFRPRMTRDAPPDSGEVRGNKDDELPEDGEVPATALPSPVEED PGKGKPWERFASERNVGDGLSGEVTGARQLATRLFAVVDDISGEGRGEQESGEPLEKK KKVTIGRKEKKGSGSSKDPIAFDDANSEESGSEAPAAKKSKTSKSAASASVAGSDATA PVNGAGGSATLGKAPARKSTGGKTTSKKAAAGKNTKEVTEDKPKAKRRRKSAMD L198_00421 MSGTLISALTWVPRGRAAVKPKKYQLDDDEIERVGKLGGPGVLE KLKEEMAALEKAGAEGGDEEGDWEDEDAEEDDEDEKDSEEEEDEDEKMDEDGKPFDPS DMSAFKMDQYDEEVSGGVAMGAFANVKGLAYYQNNEEDPYITLKEDDDAIEEEELTVD PTDSMIITSRTTSDLSSLDFHVYSDAEENLYAHHDLMLPAFPLSVEWLDFAPGPQASE GAKPGNYVAVSSFDPSIEIWDADLVDGLYPSAILGPSPSLEKPEAKPAGTGKKKKKQM VQPTANDEHHVQPVLSLSWTPNHRNLLLSGSADGTVKLWDLTRESPMPAMRSWDKVHG GEKVQAVEWNRSTESGLDKVCLSAGYDRTVKVWDIRSVDEAIGVQVGSDVECIRWDPW EPFSFFVSLENGLVLCYDSRTLSSAKSSPLTTSSPKSSGFLTTAQPKYTLSAHDGPAS ALDVNPHIRGCILTGGMDKTVKIWNIQDAETEGIAGKKREISLATSRDLGLGKIFTAK WSPDAETPLALAAAGSKAQLQVWDAASNAGARKAFGDRLRRHGRELGEIKKGGGVVVV DGGEEDSDAEE L198_00422 MAFTFSFPAATTAASTEDFLFHTSLIPISPTAPHRSAPCPPKQP ARKNGRHIPMKEPSQCGLFTVSEIPEVPADLSFDSDMSCDESMTDADLSILQTPSLSP EPRDAQRRFSWSSDGSEERQIVTPHLGMEGEDPFASWSTIPLEDKVDDEFEMVDAPSQ PSFTPFAKGISRARRAPPPLTLTTRFSLPPSAAATPTLSGFPGSATSTVADVELLTPL SSVSTTSSLMPALPIVPAEQWTTQRVHSTFQTPTTPTFSSLGRSTQKRQSPTATVDLA SALEELLTSCGEAVTTCSLTSPVSDSDDFESRSLRFPSARDINTPEKKTKRSAAPYAP RKPSRAHPHSQLPKRREAAELSSSPLEGDHSFLTCLARSQTPESGRSVKSARSAKSGS SVGSAGSGKLPGRKSLPMEWRYGQMI L198_00423 MSNFVKTGRKIVAIGRNYADHAKELGNAVPKEPFFFLKPTSSYL VAGSGPVEIPHNVKMHHEVELGVVIGKDGRDIPASAALDHIAGYTLALDMTARNIQDK VKSKGLPWSTAKGFDTFTPVGPFIPKHFLPDLAQVGLHFTVNGTVKQSGITADMIFDI PHLISFVSSIMRLEEGDLLLTGTPKGVGQIVGGETFAAKLTYPGVDGEVISEYEGQAV DRVGGYQFEE L198_00424 MDASIAVAHATLQPLLAPVHPLYCPFPLIDLYGAMRLSSVVNWI ASGAFGPPASPPKGAKGKRGKGQVAKRARPSALQEVAGIMVVVFGGETFLAMSTNTSP SWLVNPSYALLFGLIHLLQTRTPFIHLLPSKPSFLLEILLATPDAIGRTLLLTRFSVL PLLASTSPKALPPTPASLLLVPFILAVPFASLIFSATSFFTPTPHLSTPDELKPWGWL MVDAWAPVVVPAVFLSLIGPVQGWEFGLRWAEYEAVVVCMVGLSVVFALRAVYNFGGG KEVWGQLVEEEKVKVD L198_00425 MSFILALLIFGTVHQSAHGYLVNSSLYSSGALGPSPAQTFHSRF QWKGYPKTQPALVAEFNTSTDGYDLWVSWNGATEVVDWVVDGSSHSSEGRLRGERLKT EQRTVVQ L198_00426 MSFEDYEDQNGLRLSWNVWPSSRLEATRTVVPISALYTPMKERE EIPPVAYEPVTCKGTSCKAILNPYCQVDVRGKMWICPFCLQRNPFPPQYHHDLSPSNL PTELQPGFTTIEYILSRTAQVPPVFLYVIDTCLDEDELKALKETIITSLSLLPPNALV GLITFGTMTMVHELAYPDCPKAYVFRGSKDYQPKQIADMLGLNPSRPMQPMRPGQPIP VNAASKFLMPVQACEFQLTNILEQLQRDPWPVDQNKRPLRCTGVALSVAVSLLETAFP NTGARIQLFAGGAATDGPGLVVGPELREPIRSHHDIDRDSVKHFKRATKFYEGLSKRA SVNGHAIDIYAGCLDQVGLLEMKSLTNATNGFMVISDSFMTAIFKQSFLRTLSKDDQG YLKMGFNATLDITTTKELKVSGVIGHVISANKKSASVGETEIGIGQTSAWKICSLTPK STFAAYFEVVTPTGQNLAPNQSGLIQFVTHYQHSSGEYRLRVTTCMRHFQEGGHPTIA ASFDQEAAAVLMARIAVFKAEIDDSPDVLRWLDRMLIRLCQKFADYRKEDPTSFQLGP SFSIYPQFMFHLRRSQFLQVFNNSPDETAFYRHILNDTDVNNSLIMIQPTLMSYGFDS EPRPVLLDSVSIRPDAILLLDTFFHILIFHGETIAQWRKANYQEQEDYANFKELLEAP VVDAQDLLEDRLPIPRYIVCDQGGSQARFLLSKLNPSTTHMSGASGYGANPAAGQQIF TDDVSLQVFMEHLKRLAVGASTS L198_00427 MRPTSLLQGASRLPLTGKRGNKDFYKGTGQARVPGGGHRTGPPG KHVVRGTAKYRLVDEKVRVFVAPTQEALGNTELKPYVATQDLDNHKTFFNPFSHAYGE RPRLPSFSPNATPMIAGTSLSRRDYTDFSKRWANLSHDERQFVVMQQRRQWWEGMSKL YGSDVAAPGAQGTQ L198_00428 MEEDYYSITAILADNHKLSCTFAQDVEGLGYLEGGSDPNIQEGA KVELPFWLAAYPMLISEFTTFIIPLPYSSRVKDALIASATSVKLSNLVGGNGWWYRLG KRLVDILEDALANDIRNMLLKAFAGRLPILQDLAAHHASADHTLPEISSTRGEIFRDG MEGDERELFAIGQDSGRLYKAWYDRKKGGR L198_00429 MSVSLLLLAALSPLARAYLVNSTEYCSGALGRAPVQTFKSVNFT AAEWNFNVFPSSDLPSGYIFLAPRGTDVTTPTGIIYDSNGEVVWHGKEAGVGQTMSFS VGTYQGEQVLATWGGSFNSNGYGDGYGLIFDQTYSLIANLSSTINGTGIDFHEFAISD NDTALATVYVTEEYDLSSYSVSTTDGTTGWIVGGAFQEIDIATGEPVFTWKSLDHVSP SECYTTPGTSGVSSDSPWDYFHINSIEKDLSGNYLISSRHCHAIYQISPEDGSILWTI NGQNSSFTMGTNTTFEWQHDARWRDNYTQISVFDNAATSWETDEEMARGLLLEVDQSG MTVELVQEFLPWNETVSSSQGSIQEQDNGKYLLGWGQNPFFSEYSSDGTLLCSVQFGV GNVQGYRALRYNWTAYPTTSPDITIESLDNSSSAYAVYTSWNGATEVSQWALYGITSL SNATSPTKLDEQDKTTFESSFNVTEDVASSYPWVQTQAISSDGTALGSSVYVALGNGT ESSAGNTAISVGSSNTTATTSSAASGTSSNAVVSSSGAERVEVGLGIAGLAMLAVTMI L L198_00430 MSEHPVLRYISPGAWNLIESQISQRAKDLLNDVIPSEDLYHLQI PDDPATRWQAIPQALEDLKAKAKSKGLWNLWLSGGEFQGMAGGQGGGLTNLEYAVMSE IMGQSIYLASQATNCSAPDTGNMEVLARFGTSEQKQKYLAGLLKGETRSSFAMTEYGV ASSDASNLHNTTASLSSPSSTHYSLSGHKWWISGAGDPRTSIHIVVAVTDPSNPSPHK RHSLLLVEPKQKGVNVVRPMKVYGYDDAPEGHCEVVYDNVQVDKIKGVVGGPEGLGRG FEMLQARLGPGRLHHCMRSLGIASRALDILLQRVSSPNRKTFGKYLKEHGTVLAEIAH ARAELDQSRLLVYAAAKRIDQAGAKGALQDIGIAKFTVPAMALRTVDRAIQVHGAEGL SQDQPLAAWYAQLRTLRFADGPDEVHIQQIGKRELKRVEELQERSARIRRASEKLLKA NGKERAKL L198_00431 MSYSSPMTPPTSDDESGLTRRRPNPVDQLDPAYSPPHTPEAVPS KSRSETQNGVHPIKPTMQASDRHIPDNYVTHTISTQKYLPPITWKNLIYNIQWISFLA LTVTPSLAIYGIFSTDWNTKTAIWSVVYYFITGLGITAGYHRLWAHRAYNAGLPLQYL LAVAGAGAVEGSIKWWSRGHRAHHRYTDTELDPYSAHKGFFYSHVGWMLVKPRGKIGV ADVSDLSRNKVVRWQHKNYIPLILFMGFIFPTLVAGLGWGDWRGGFFFAGAARLCFVH HSTFCVNSLAHWLGEQPFDNKHTPRDHMITAFCTIGEGYHNFHHQFPMDFRNAIKWFQ YDPTKWFIWTASQLGMASHLKRFPDNEVKKGQYTMKLQLLKEQADELKWPKSSNDLPV ISWDDFKAEAKERSLVAIHGFIHDCSSFNEDHPGGAHLIKRAIGTDATTAFFGGVYDH SNAAHNLLAMMRVGILDGGMEVEHLKKRPASTSSSVTASPVSSAASDDIQSLPDDEDF RLDQTQLNSQGPKPKAPFGQPQAVVADRWTLSVPPSEKLRIVQTVPEMRPGLFTGKSA GRLESATKADVGGEVDELIGEAEA L198_00432 MPIAIPTQKPQASTSGPAPTSSAAPVQFPRNPARLAVATRDTPS GPSSRPVSSPRRVSQPTPVPADASSTSQIGEPSEAALKEDAETLKRARVRKAQLQKKV ERWMDLLSEDTVEREAFKKAAAHLTPPQYHEILHERHLNSLCSYPLCPNPPTAPYSSR RKFIISTSKRSIDPASGNEHEGFCGKKCTARSKWMERQLRGEAVWLRDLKGLGGEGGG VELLEEVEERGQVLSLHDVVGRRGSEVSANAEETAPAPTALRPQQVIAPAKQPLPADA AQVKPGISAVTALIDSLVIHERPVSAPGAPQTAKQVPVAQPLPLPLPLPTSVKSSRAL NAREQRRDQASLTTPPAILTRSVLSAAQSVSSSLPAASGGADSSNSSTPGGKNRNRGG PGQESEEEESDEESDWEKEMKFGPEDDEMRALFEEAEKAREMALDGEGAVKEEAE L198_00433 MEQDPSKEPDVHPAWPDTGASGLVELVSSDDVSFFVPRLLLQAH CPVLREMFEIPQAANFLPAPPSPPRRSSCHKSPADMAQPAPPHPRHVPIPLTHDFYEL ASTLAFFLAIISGETRGRLLEKVPRYQIRNFHATILLAKKWESAMVVHTLEAWLCRLA LDRWDNEQWKPSDIFILAAKCDMPHVARMVLETWTQVKKDSPLWNASKHNKASIDAHW SVGVSAEVSTLSPKNWTVQLWQEIGMDYIFALVKSAQEKQVDLRGIVFLKALGKYPEM AKSKQPEVPPKLTCDVYPSWPRTAATALVEWKSSDNISFFVPYALLAGQSERLYCLLE ESPRAPDDSTQGSHPIYQLSSEGKTTPAASFAFLIRILEGRSLQEVFDEFPRHQVENC YEAVMLAHDWACPCAVSTIEAFMFRVALDKWENPHWKFLDVLLVAAPEASSMDQVAQL VVEQHRTITKASSGWDAAKHEEEPLDNFFESSIYPSASIPLDPLQWDRIIWEELEILY THALICCRLEKDYIKRGFRFMDAIRTGR L198_00434 MAPNGTAAQQAVVCHAGLDLRVEDREIPAPKPEEVQIKVAMTGL CGSDLHYYLHGANGAFKIQKPLVLGHEACGIITSLGSSVNPALNLNVGDRVAMEVGVY CKACKQCRRGRYNLCAKMRFASSAKTFPHLDGTLREVMTWPADLVYKLPPDLELPLAA LAEPLSVVLHAYRRAQLTPGARVLIIGAGAVGLLTCALARASGCTSVVAVDIEQGKLV FAKEQQWATDVFTLPKGPRVSGLEALEVAGGHWEALQKSQAVQSVEGLEDGFDAAAAI GTKVLFVGMGTKVLSLPTGPSLLSEVDLIGVFRYCNTYPDALALLASGKLGDVSKMAS HYYALEDAEVAFEDLKRGKDKNGRTVIKPMVGNLELCGIQK L198_00435 MSSESPSPELKPQVKDLEGVPERVDDSSAPSPEPPKKPVRQKKI AVLTSGGDSAGMNAAVRAVVRQAIARGCQAFIIREGWEGLVRGNTSDPTPAPTAPQTP TLASTKRSVSFTSLPPSQQIDKLSSGLEQKAQSNGVAVTDPEDVCPLENTYLSFGYGQ LLRDGAGEGDIEELAAHGAQGLAIADAEDEQGRSLKGKYIVRVGWDDVRGWQGEGGTL IGSSRCPSFRTREGRLQAAENLISFGIDCLAVCGGDGSLTGADKLRGEWPSLVEELYA DGRISDDQREAYQHLNIVGLVGSIDNDMAMTDLTIGALTALHRICESIDSISSTASSH SRAFVIEVMGRHCGWLALLAGLATGADFIFIPEDPPKTDDWESEMCHVLEAHRKVGKR KSIVIVAEGALDKNLQPVKPDYVKKILVERLGLDTRVTTLGHTQRGGKPCAYDRILPT LQGVEAVQTLLDATPETPSYMIGTQENKITKIPLLEAVAQTQEVAAAIENRDFAKAMS FRDSEFQDMLKAFAISSTLDVSQAAPRDKRLRIGIIHVGAPAGGMNAATRQAVRFCHN RGHTPVAIYNGFEGLLDDNVSELSWLRVDSWTTRGGSELGTNRSLPSIDIGSVAAGFQ RHQLDALLLIGGFEAFNSVVQLEQNRANYPAFQIPIIHLPATLSNNVPLTDFSLGSDT SLNALVEASDAIKQSASASRNRVFVVETQGGMSGYIAAMGALAVGAVLVYTPEDGVSL KLLQEDVEFLKKRYNLDEKGKSEGRLVIKSEKASSVYTTEVLTKIFREEGKDLFDARS AALGHTLQGGVPSPLDRTRAARLALKCMQFLEEHAVPNAQSTAHHKARSAGKPVYSKG TATMIAIRGSKVVYATMDEVLKVTDMKLRKGTDEWWAYVKQLAETMGGRTGLMARD L198_00436 MAAIQRPSTPFPYTHTLVHSATHSYFEPTPLPYGHPLHFHLTPM PSPVPPSLLAKRRGAPISPLPTPTSAPMVRSTSRPVRPRPSRALLSQPQPSEPIPAIG QVLSRQTSNCPSECSTSSSFSAPSPSMPITPHYPTPQLEVPTPLALPSPLCSPALSPC EPVIATPPELPGFSYRLAGSGSDYGDDEEEEEEERGRKRSVEEEQRWKRPGTPVQFQK MLERLEALSKEKRGKEKRFRSVVDGGSWVVLG L198_00437 MTRSIPKPLIPLLNPTSYPSLPPLADLETIRSALLDYSSLIPSS SQSQAQSQAGIEELRKSKKRKEREDEERAESERLAKENGEREREREGERERERVEALE RSKMMGQQGGKKKGLMGVGGKGSPGVKVKRERTSLSPAPSNASTSSFKPPMSQPHTYA SSQTKKKKIKRVIDSDDETPSIPLSQTQSHSGSNRPVQPSPPKTTPTTSALKLKLSHP QAKRPVDYSPTPAPPTGPGAHIDFSLPPAPSRPLIPHRSGPREAPKPGPKKQSEVDDD YSNKKAPNQVAFPTFWSFVEPYLRDVREDDLAMLGFKTDPPEAYQVPNRGRHYTEVWD EEDGNPPGTRTRMGVPNLRQQQLAQMRKAGGLNQPHFVPSAEMRDENLVDEQRGLGAL TERVVAAVVGTAVDREKERERQRREKEDGGVEDSGLEPARVDVLDLEERMKKELRAVM LLGEHEDFDPNNRDDDEVSSALRQCQRLLAHQTSLNDARKARLVELSKQRLAYTEYRA ALDGIEKSIEEAWAKRIKKYGLSPKKHPHPPTVEGSYGTIIGEHGEVVHLNASGRPPV PDSVKRLVETRKGWIGSVGQVIKERPRGEMVGIPGSSVFEGVGTDRNDDAKETSQESV L198_00438 MPLQYKQPAISYQPRRPRALSSASDISVPSSLSAPDAATVHPDW HDTALDTLLVASDGVFFYVSRTLLHGSSEVLRDIFDSIPTPSPESPYRPLSKVKTKPK PPPQQRIDLTDPYESSVPLAFFLAILTGTSLSKIRRKFPRKQVQTFHLALTLVDKWKS PLVKGVMQGWLCELAMGGYDDEQFRPYEVFVLAAKAGMPTPARMVLEELSESPPHTLP TPFKPITDQYWHYTFTPENTWDYNQWSRGVWEEIGVKYLFAMKEALKCEGRKKRGEVF MKALEDDFYDPMAPETPKATPLRLRFTPSTVTTPVRVAPVSSSIKSPKSSKRKEADKY IMEFIEQSSSVASVPSSVASSVTSSEIAEKSHSSRSEKSVASSSDSSKVQLRGTLVIA KK L198_00439 MVAASHRTPSLRTKPPPNPHKGRKIVRGEQLKRNSACLSCRKRR IKCDAAKPHCASCVRSQQYLARTQPNEHHAIQCHYDNESDGSADDGHSERLSTGTGAE TAEEEAPGNPPVIVEPQRQNSEPVADTGRQFTDFTNREAVDLRGAQISTSRAYLHQFF DGPSPMVDLDSRYQSPLSHQPLQYQKPFQPPHQSPLDNLVWRYNGLQTLGAPLIPSAV PDPYQQTQNPLPPSTSMSLAPETTLPSMTTIPGDDDLVDALTPLERRPSRAATVADEI NEEAGDMDQLLMWSGWPSSLPSPTLVNHLVEIFFTKVPTVSRIIHRDSFMTRLSHAPT HPDFPHLALIHALCAIASRHSAAVHTRTVQENLQQTAQDAKKDNPGRGEPADPANEDC FSEQQAIYASRVAMSSLHHVSGRGLFDVLQATILVCHWGQSDSRWMDCWASVGLSTRL AICLGLLNDDLPGMSLSSLHQSILPPAKTDAEREERRAVLWYVMYYDTAGSACSGWPG TLPNDEITARLPAGRVDCDRGDSIPENPQSYISPDIYHNHPVPDSFVMLLKGNMLLYR ASKLIRKCRSMRTEERAMARDMEEFKAIERDLATLSLTWPSSLRDPIQYTQGNIKTID SDLISAHLVPHIVAIHLHEPFANVKDSSCTSAARLLSEARACLNIVFLIVRSKADITY MVPPITSCDYLYSAIKTLILFYHHALESADEQAASTFHSEITIFKNVFDRLSLRHSMV LHYLAVINTILASIERETLGHPVVDGDFSDLRSPTSRSSPFTSTASIPRSSNSYHTAG YSASFEMPTTMQVLHPDMVMAQGLQDALRAEGESPDSGSGERRGMGRSGLVHGILDQT RGFVNTKAPDGDLPDIEIGVGRGGGMGDDDVGDPSSWLDWQNVVTLDP L198_00440 MSWKFGKRKHSHASNTPSDISRSTTPTPGNPHPEDAVKPPVPRS GMLKIRVTAAKGLQLPQGVQIPEPVQQALDKSPTPAPQIATSPPNSSANPRNNRDSLQ RRQVWWLPYLVLEFDKNEVLVDALGGDLTSPVWMYSATFDVSRISEISGTVYLRTKEP HAEGVQKTENGEALQGEDMGNSDLCLGSIRFTPNLDSMRVSDEWVTVQGGGGTGTINV QVSFKQNQGQTLSIDSFELLKVIGKGSFGKVMQVRKRDTLRIYALKTLRKAHIVSRSE VTHTLAERTVLAQVNCPFIVPLKFSFQSKEKLYLVLAFINGGELFHHLQREGKFNETR SRFYSAQLLLALEHLHSFNVIYSRDLKPENILLDYAGNIALCDFGLCKLNMSNSDTTN TFCGTPEYLAPELLSGHGYTKCVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILTDP LRFPDSVRSEARSLLTGLLNRDPLHRLGVNGAQEIKNHPFFAKHIKFDKLWNKQIQPP FKPAVASAIDTSNFDEEFTNEVPLDSVVDDSHLSQTVQQQFEGFSWSVSPLGESVNTR Y L198_00441 MPRSRSPSRSRSRSLTRSRSPLSRSPSMDRKESLSPEIKDEDLS PFLIRVFVTKGRHTPLGDFDKATLPLRDEFQVYGSKQTSPSDLIRSLYPSFPPIYRSP QTRFHFKHIYVDASPRGLYRSKDLTSFIGRDLSYADPKQIRADEDTMIDDDEDEGLHK KGGRKIQEKTLDGYGFITGDFISVSLSVPEPRFPGGHGPAAVAIAGINGAAGPGGRGG DAPREGGWNDRRAPQGQGKDESEKGADAPRWGRGGPLPPQGRDAIAGRGARGEGRERE PLPEGRWGRGGQGRDSGRDAGRERDRSRSPSRGGERWQRRRD L198_00442 MVACGTGQIYWNVYNEDASRYNITVYAVNEGVDQAIESPSTTVV SSTSATSPSSSAVAQTSSAAATTSNIARSLSDPVKRTTLSINTTIITQYANHGYGWTP VRLPEGNYRIYGHVYDGYGTSNTSNVFTVRESTNTSCLTAFAAMSRTATATLSSGTVT GSAESVTATASSGAAAGGTEDDTGSNGLSGGAIAGIVIGVVAGLAFLAGLLFCLRRRR QQARRGARGDDGHPMGMTHRRMASASTAPSDPGHSPLPTMSGPGARGGPIPLGATAHD KSFTSSIDKDSEESFGQATAESAAPIVLAGGRQEQEEEEMLRDDPFRSPALPPSQNPS TVYPQPTSTYDPTRRYSNPAGAIPADQPTRTSRTDSQPSSPQRLPRRSPSGSASVKSS SNPGESPRVGALGRTPSSRRKPVPSLGPELRGELSKKADNKSQEEGKRAMADVGLGVG NVGQGHEAQSRRTSYQLMPDPPLAPPQE L198_00443 MTSFLRTNFLRTRLPNLASPASCSRIASTTRLFSSAAQLPPSEK DPSHPHLWYHPSSSYISLSFLPTKPAVYGSKTVIGYLPLGEATLDDFRDEPKFEKVLE DAVKDGLAKGKATTVEFEAETRPTDGWIHITDERAIPPMGRIGETEDIIGSVYVQEGK VITTAMLMCYKTMLTFHGVNMKIIADTYSAFPTYRLVTTNGVLRLPRGLDEHLVETLK KIDEEEKRESAATVF L198_00444 MPPRYKDKQPLKTLASASKSCATQSLAYGKCIGRSYTDVSKGMC ETEFKAFKECVQKAVGRKW L198_00445 MDIDSPPAESFPTPQDPHNHINLPSFSASFPLPFRVLFLVGVAL LLWAINLQVLSWIGLDMSWILDLRDDPGEGVEGLDDGVVGELAEEGALELVNSPRLRV EPPRHAEDQPPDTPIRPTSSRLVRPPSKSLHVGMYKLFAVYTAFVGVAWGLFWVLSGG GDEEAMERCRWVPGLTALGVVAAVSVPWRGVGERERLGFRRAMKRILLPKLNDPIYFS DVILADILTSFAKVLGDLWISAAQIWNGNITQGRVGQTGVGKWITLAMVSLPYLLRFR QCLLEFHQSSYSSPRPLANALKYFSAFPVIFLSAAQKTVVTDIANSKGLSVQELAAQH ASQWFGEHRLFRLWLLAVCVNSMYSFWWDVTMDWGLALLEVDTWLPRSEGGLALGSPG SRGRRSGFVETVKRLFGRSRDINHQRSPCPSPAPFPGSNPTSPTRAPISAPSPSHTPT PTPSRSLLTHGLRQHLLLPDPLVYHLFTIIDLILRFTWSLKLSSHLHTISEIESGVFL METLELVRRWMWVFVRAEWEAVKMGEGNRWGRGKVVWEGEDE L198_00446 MSFGGFGQAAAKPAFSFGNNSQSSTTPAQPAPSGGTGLFGSTTN QQQGATGGGLFGQQPKPAGGLFGSATSTSTQPQQQPGQSGGLFGGLGQSQQGQQPQQG QAGGGGLFGSTTSNTASGGLFGQKPAGSGLFGSTSAPAPAPAPAAGGGLFGSTSQPQQ QNAGGGLFGSTQQAPQQQASGGLFGAQQPQQQQQQQPQQQQQSGLFGSTLGAPQQQQM GQSQMQQSQLGQSMFGVKKEQDIESRIRAVQNAWDSASPECRFKYFFYNVVEEGTASR YGRPANATDDAQWAKALRDNPDPNSMVPVLAVGWSDVKKRQQMQENLAAVHQERTSEI TAALSHTRQTSLSSSIRLANLQQRQSQLVHRLIHLASVTPGIVPLNQQGGVLRDDEVA LARKLEGVKRELEGAAGSVRGGSVRRGDGELRGRLLGQVNELWGQLEEVRRRRKVRGQ EGRETWVGDERLLAEIAEVLTTQQTAIQKLSDLAQEENFDADVVLHGHGR L198_00447 MSRLAPLVPLLSVGKGSVPRLYFPLRSYSSQEKTDPYTKLRPLI STFKAPVDWAVAYGSGVLPQASSAPKNQVEEKKEAPLTDLLLSTPNAEEFHSINLRQN PTHYPLYARLLGAKSVARIQEKWGAGVWYVTMVDIGGTNAKYGIITSSTLEDDLKDWT TFYLSGRLQKPTLLLQPPPPSLEAALQTNLQSALSLSLLLLPREFTEDELWMKITGLS YEGDPRMRVPGGENPGKVGNIVRGPGAREGFREMYGPFLQRGDLGVEWAEEKKGKWTW KGTGEGKLVQHKDPKHMAYLASTLPSNLVFHLPSSPSSPETFLPLVTKPSFPTDLSKT LNEIIHTPALRQSVKGLFTAGLTKSVVYTGKKVGKWFKGRK L198_00448 MDDASDLWSVKKNEEAAAKKRPKVTAAQLRVQKDLTELDLPSTM KTVFPDPTDVLNFTLTITPDEGIYKGGVFNFSFAIKPEYPHEPPKVRCKEKIYHPNLD LEGNVCLNILREDWKPVLTLSSVMIGIQYLFLEPQVSFTDATDHAV L198_00449 MADREGWHTVELRIPFRTPEHAVIARRALDVDKEQNAGMVHREM SVEGDILVVNYYTTTVRLLRLATNSFLSSADLVLRTMSSFAPDPSDRIPTDKELEEIR REANRSTGMGGGIELKGDGKGAGSGEEVR L198_00450 MAVATQKIPKAPTAPSTSIKTYYQNKIESAELDITRKTQNLRRL EAQRNALNARVRLLREELQVLQEPGSYVGEVIKVMGKKKVLVKVQPEGKYVVDFSSDI PVSSLTPNLRVSLRADSYLLHSILPNKIDPLVSLMMVEKVPDSTYEMVGGLDKQIKEI KEVIELPVKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSEL VQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSSRGESGKGDSEVQRTMMELLN QLDGFEATKNIKVIMATNRIDILDSALLRPGRIDRKIEFPPPNPEARITILKIHSRKM SLQRGINFRALAEKMGNCSGAEVRGMYALRERRQYVGQEDFEMAIAKVLKKNAESNMS VNKLFS L198_00451 MAEPSPDAIKTNNSHLGGITRLCFSRDGATIFTAGSDCLVRIHK ADNPESEPGFHDDHHEDVTCLASSSDQLITGSVDNIVRHYSYPQNEFLGFVTRSSGVP IRFLDVDKAGERVAVCSDDMVVKIVDLKDTSIWSQTTICCDGKLKIYDTTGSTAICVK VFEAVVYASESDSKSSCYAQWHPSGAYFAVPTRTNDIAIYNRDSWTKQSTFVPDGPKA LIGELTWSPNGRYLAASAAANIYVFSTDTRQPVAAYTCPKGAISALAFSPISNLLAFT SLDGSFHRWSDPISSDLADPVSDDEARQKKFEQLLDDEFGDDDGEIQEKGEDLGDDEL FGDDAWIVDDDGKYTGYGKDEEDKPKGRTAVGISRAQEAFEPGSTSFRNKKRYLAFNM IGVIDVTDQETHNVVNVEFHDRSARRGYHFQDHHKYTLASLGEQGIAYACESEDEQPS IVYYRPYDSWTTQADWQIDLSPGEDAVCVAAGGGPSSELWMGSVVVATSKGFVRFFTA SGVQRYLWSLGEDVITMAAGRGEVVVVHREGPAVDGCQNLRYTLLDLDTFDIIQEGKI PLPRKIELDWIGFTSEGVPAIYDSAGLLSVLDRHRRPGQARWVPLLDTTSLAKDGRKE AYWPVGVSQTHMSCIILKGLEVEPWFPRPLLQEIELRMPMLNMIEQQGKSEESLARGS LTLTNLSPIDPEASYTKKETEVALDKEILQLIQGACKADKLQRALDLARLVYQPESVK AASTIAAFYHLPGLKDRILNVRGEKDKKGKERKLKEKEMERARGKDYTGVNQKATMPE TSSSRQFQDFAPRSRRAVGSSVNRDSTPASSNPVYVPETPGEDVEMEDVDFGRERGGS PAIEKRKRDKEFEEFDAPSKKRGSEFPFAKPAAVPAKNPFAKKPAGSNPFAKASGGAT PLDGKKSTSFFDRVDKLETDGVPKTKKMSKKEKAAETAASGGKQTSLFAAGISKKSKK ADPETAVEEEERQETDIGDDAAETFVEASAQESLDPVEEEEEDAEEVGTQVDETQAPK ISSARLRAFQVKMANPDTAAREAITIVLPLLLLFVASIWLFKRGGKKSSGDSKPRGGG RRGGDDNDGDNGGGGGGGRSHDLGGDGASRPNGGGGGGGGGGGGDGNGGGGGDDADRK RRRKNQDKKDRKDRKDRKDKEDQERREKDAEREKRKEDRKRQKAKEADERQEQEEKAR KEQEKAHKKADGDRARDRGRGDGADKGLGSRGANGSDDSDTSDDDGNNQDDRGGVRRD GRHKSPATGSSSPLTSAGDASDDASAQTGDHTPSGKPSRDHPPRSTQDPPSDSPLSSS DSDSSSPIEYTTGGGDGGGGDDPPPPGSQGKVRNVFKIADDVSLAVNSRGGQRYRVRT NSQSDGSDFDDARGGRGWPGGRGDGGGGGGAIRIPRVPPYTTMGPATPMTPGGAQFND LPPQTPAPFHHTQRIPGTPNTARTPASPVSALPPVTAALQHGYPVLINDEGVDPWAYR SAAPGKSSFTPSDLDPLVTKEAHRQPRTLSDILGVTGLEGSLGPGLDPGNKFGPTMFG DLARRTENDSRGGSSASPVMERTGVWGEAPLSPIDLQPIHIQNSRGDVDDDRPAIQLS PLKPPKSSLSEREKTKKKEKRHRSERSQTPTSASKVRFGDVSSRPLTPRIEEIPEASG ANIKKKVSGKDAVKDDEAEKVRKDKEKEKKDKDKEKEKKDKEKEKKDKEKEKKDKDNK DKDKNKRQTETKTDKEDDEPDKEKQIREKKAKKAKQEDTEEEEEVATENDKKKKDKKK KKKEKDNKSSDQETEQEPEMDGEKKKTKKKKLKEVEILVKDPETGEIKKQKVIKEVES EEEGPRKVKDAGKKKKTKGDKEKEVTESAEEDAVKKKKKKKKKSGKSEEEEEEEEEGE DSGKARKKKKKSDKGGDDDKEDGEDTKTKKKKKKAQEEAQPESDEESVKGKEGPGKKK KASKKQKERTKNDVVSDQDEEDEEAVYQKYTDKDGKQRLRKVPVDAQKKRKKQRQQMA DAGQYEEDEDGEQEIYYDRQGAPIKQRKSKQQRQRQQGQSDEADNTVPIELQMADQGG SVARQRGAQDNQQQQPLGDLDDDLSQNLSLEERRSIAEQRGRKLGNNKIPNRTRTDGV DNDVSRGEDEGEEGTDNASLKDKLRNKWDKAKMREAEEPAGKADTKSEQPSTSSDGTP LGEEDPTARSEHRQPKGPKGRVSDPEDVAHEADKGDKDQGKDLEQKQKQEDEKKKADE DEAATKERERLAKLAEARRNLMGQVPGQNSGLPPLREKASAPSDKSLAQLRANRENVR QGPRRLNDEISNNEDKQNENETQEEVREGEEQTGAKGDERIKPTNPQDAARQGAKDIE ERFRREREDAGNARDTSNSPQDGARTETKHEEQDRQERQQEQTPPVQESVPLGHEQRT SETPLKPDSIEEPQSPAVAARSISNAKAIDQGASREQTPRSNSDGATMQSDSEKRVAA ALKRKEIAEKEHEKKELEGRQREKEGRSSGADVDVARTLPPVSGDESSVRGDESQDLV PTQPSSRGYQDSQSLPRPSRSDKTFEENNGVTDMQTVDTRPRQEPHHNETESNDVREL LDLSQLNDREIEAYERDGTLPAAVQSGREENVSSELVSQSRGGRGRKDSALDEDVHDD QSRENESTNLVRQGDSRVRREISTQSEVPLDGAETDLASSQLVPGYAQRSGHEQGGSD SALTSGSRHLGRENTDRRGEAIDLSELNDDEIEEWERSGNLPLATREGSQRPSEPQEI VRTRRNEQRGEGAPALDQTDSDGERDSTPPARQRPTLPPIASKSATDEGIASPPLSGA TLVVDSSDEEVSGPRQTEAQRRNIKNIEIEQTVALKNAVADNPELMKNAIAENAKKEE MAGMGSGKGKKVKKTRGTSDIESSDEEEVRNVPIRRPLENRRGPEPTGDSETEVEEIE RDTSWQAQNNQETKGHNPRDSGYDSPGSDYGDNDTHSMHSEGQVATPPPLLPPRRQQP DQDLRQDHPEAPEAPRAESPVDDVSSEDEEYVDDPNKTPAENARETWRRKADADSKKK ERQQRKIQEEADGQRTKRDQAVADDEDEAPQSSQHEEPSRKRNGQRDGQPGDGEISPL SHNDEAWVNDRAKRRERGENVPEAGEVSIIEDETQPRPEQSQRQQRPERPEDDAPLPD TGSEEDKKGRKERMRFGHEDRKKQGEAQEEIEEVHKATREERRKQKQEEREKRREAAE GRQQRAEKDARERPSQERQGRKVRETDADDGDNSRKGRRQAADGESEESTVSSYTKHN ISVNPFTYLRSTWYLLKAAPTWSLLTTGILVLYIEINRQLYEMLSVSSGSTSLTKRAS SDAPVDLAFTQSWFSDLVSNLSFDPTAFFAFISMWSILCLPILGLLIHKTISVAENPR PKNPDAWWRNPFTSTGKLWQGFKNCCQSSTRAALLDRHLSGPRRFMGQAGRWTWLRIL IFSIQLAGFVLIIRQAMSLAYMVGIGGSESFSMLPDVASSEKSMADVASSLEDQGAFA ILNFVLFLSLLVLAASWYALLHARSRLPRRGQTTDEKQGSDGSKKSRWRLPGVRTSLK WLAIMIILIAVIVSLLFFQNIASYIAGEDGASDASSEVVMLGANMIFFVLMGAMGYVV FELEKVWRKHLKGRLGEGGGCNGSGCWGCGSDVSV L198_00452 MSFTRIPAQSITPMLRASFSSSSSLAAPKAKAAKAISSCPAGTP LSNLSILKDKPDPVALPDDQYPAWLWTLLDEPAAPSLAKQTSKTESGSEVDLKAQKKT LKALNRENIKASNYLKSTT L198_00453 MSASPVLILDNGAYEIKAGFSGIDWDPRVTIPNFIARSRSEKKV YVGDEIDGCKDLSGIVYRRPFEKGMLVNWDSEKVIWDRLFSPAVLDVNPQETSLLVTE PYFNLPNISETYDQMVFEEWEFQSYFRTTPADLIPYGGLFENDLGIPPQCTVVVDIGY SYTHVVPIKDGQIVWQHVKRIDVGGKLLTNHLKHLISFRQWNMIDQTHVVNAVREACG YVSMDWKGDNEICKQNPRKNGIVQEYVLPDFSAASTSTSRTGYIRSGPNASIPEDVHR GEDVDMDGDTRMDGERKRKVAEEEEEQVLWMGNERFAGAELLFNPSDIGKSQGQSSK L198_00454 MPEELRGMFWAHIGIFGGLGNIEALGERLERDLQSLCPVGYEIG IFEAFDPATPPYTSAAALTSSNIYLSTYPVTREEYLEHGSSICRRRFGGPAYNVHPPS SVLTGGAGVGDEGVEGAALDEDEQEMRYIMGLESIKGRKTKGKKGKTGKGKEDEEVIS GNWGGRRRRTMGGM L198_00455 MSQRIHLNPNVPRQQPTFQGMGPSVGGPGSGFGGYTPDPMPHAG AGQVNFSDNEFVANVQKWSSKVEDAIEVYTQPIRPYVPAMARFLIVVTFLEDALRILT QWGDQLWYLQKHRYLPWGISHLFLLINVVAMLGGSFGVIAKRYPDYSVFILLGVVVAQ GLGYGLLFDLSFFLRNLSVIGGLLMVLSDSLANKKKIFAGLPTLSETDRRKYFQLAGR ILLIFLFIGFIFQGNWSLARVIVSIVGLGACIMVAVGFKAKWSASFLVALLSIFNVFI NNWWSVHSAHPQRDFLKYDFFQTLSIVGGLLLLVNIGPGGFSVDEKKKVY L198_00456 MSSSPLNPFSHPPSVPDPQQSRHAPSSSPPANPMSSPTPFFRPS PGGQGSSSGPGLGKGKLSTRYTRPARKSLPSALASYSPAGPSNGDLFSEGTTPLEGAM WRERFTRRMGERTRRKQVKERNLEMRRGIGRPLFTDDIEEDEDELERKAKEDDDEIYR RITILQRKKAIHAQLVNEEYETGGSDPNLPDFWEDELEQMQREERDLIRRLEAGIPEG IEDEEEVPEELVREAEEIDMGEGRGMNMDAAVEEDEWDMDITWEEMEVARKVEEEAFG ASGNLRRSDGDGMDID L198_00457 MTTSILPLSGPSSNYPGAGSSSQSTGGRRWGQADGGSSGRGLGL GLGLDMDHELGNARGGLGLMLKRLTKFRSMDFELASWTLTYLVVAPRRVYKQTYHQQV AKQTKNQWARDDPAMLILIAGCLASSGVAWSLVYRLPALSFLTLPLLMIFRDFLLPSL LIAFILFFVANRLLLAPSVPHASTSDNRVEFAYAFDVAVNAFFPAFLTVYVGLLALGV VVVRDNWVCLWVGNTLFLIAQVQYVYVTYLGYAALPFVARSQIMLAPLLPVFVGYLLS LLGYNVGKHALELYFGQTWN L198_00458 MSVVAAPLLHQPFSDTELYASQGGSVDLPPVPSHLLPISVPSPS VPFPTFQQRHTSPYPMAEQHSFCALGPSDAESSTSSVSVVPPPAPKFPPANLPAVTPD LHPNPQTPLPPTPESSPPTSKVLGPTMMIKLAPPADDEYEYGQVDVEARRALEHLSGS QHSRESSGSTSTTPRRIPVISDDTAQAVPRELQRTPSPRIRNASAGLSSEPMFKSVSS EGKPPRPKSMGAPPRPRRTQTAHGHPQATRMAESRSADSRAKLRVNNAIRASSYGASG TGGSNSAARSINDTGVHVVPGKKSSAGDMAPPDLGGPEGLEAKVVLLGSQGVGKTSLI LRYTTRAFSTTPAPATIGSSLHTRKLVHSGVRVKLQIWDTAGQERFRSMAPIYYRGAH VCVLVYDISDWQSFQDVRSWLEELGKSVPKETVIFVVGAKTDLEAKRQIRSWIKPPPP ERIPPPLQPPPQRSLFRTSTAGSRHDYPAPTPHTAPARPHSYIAYTPESRSTPIPVSR SNSGGPSVPFPSARSKPSSPEKGKATEREDVSEKRRRRHSARSFPVKISTSTTSPNLS NLASSPTRLEFPSLQSPSRPTSATFTEPISPNLPGSRHKASNSRFSISGISEVLGLGR TVSMSGAVHSLQELAQAPSSPNPLESTISSPSTSSNDPSPPRVRTESSPLFPSYDPAR LKGPRRSDEWARSGWRMGEGPGAAEALGEFGAGVRRKESEELLGVAAMNKSLPALGNG GYKMPASSASTGVQGRGRGGSLGRDPRLLGETEEKEDGWGVDVEGVRLGECSAATGDG IEALFKAISSILVERKDKIERERMLKHKHSVILVDPAANISSSKKEKAGCCV L198_00459 MSAPSILSPYGYSSGTCGYCSPSGVRSAKKESSKYGMISKQMTT EFYQVLMDRGWRRSGTYVYHPDMARTCCPQYTIRLDALNFKPNKKQKQVVNRFNRFLS EGAKPGESIVVDGPGATGKCAQSGKAGGNKKGKGKANGGQRDLIAELHEYEVGYGNES QAVHRFETELVPAKATAERFELYKEYQVAIHKDKPAEVEMRGFDRFLCSGPLIAAPIP YKDKEASARGIKEKRLPKDYGAHHLLYKVDSQVIAISVIDITPLGVSSVYFIWSPSWA WASLGTLSALYEVSLAQRIRRAEGDGGKMGWVYMGYWVPDCQKMKYKSEFGPSFLLDP GTNVFHPLSTKLEKYLVDHPRGYRPFRNIEEEAEGVEDHDASSAAPNPRDDHEPGAKE DEDSEEEEDPVDFPSPPPPSFADPAAFSKEELDQVLVLLSMKGRQLFTISDLEFVDPT HMLDTFRQFMAALGKEWVAGAEDRARGTALKKAIMYLGY L198_00460 MSTPRKIAVILGVGPGLATSLASSLSPTHSLLLLSRSLPTSLPN LNIPASIPKENILALPSDGSVDSLRKALEEMEKKWPEGKLDVGVYNVNQRFDLKSFLD SSIEDLQSGLDSGVVNGWNFAQALIPRFLKNPDPRSLSASDPASREGKGTLIFTGATM SLRAGASFSSLAPGMFARRALSQSLAREFGSQGIHVAHVVIDGIIDTGAVKDKLGEDK QGSRMQTEEIADAFVGLVRQRRSAWTQELDLR L198_00461 MDRLVTLAHPLATLPPLQLAAASLAILTFAGYLWLYPIAYARLA YRNLPGPDPGSFFWGNITGVFASPTPNSAHADWHKAHGHTIKYRMQFGSHDLSTIDPA ALSYIVNNPYVFDKPPVVKAFLSRLVGAGVILSTGDHHRNQRKIMGPAFGQGAVKEMF PVFYDVSYALKDKLRDLISSQSRIVTSPSPIKPSELVDGGAKIDVLKYLTLAAFDIIG ISGFGFVFNSLSGEKNMVVDLVEAFLQNGSELGVQYILKQYFPFTLLVSESKLVWQIW LTLAQRSNRDRMVMEKRQTLILDITSGEGVQKRQDIGKDLLSLLVKSNIASDLKKNER LAEDDIADQILTILLAGGETTSSAVSSILHFLTQYPDVQDRLRKELLTIDEDRPSAET LNKLPYLEAVIREVIRLAPGVSLYFRTAVHDVIIPFSQPLVGADGKLMTEVKMKAGDQ LFLPLTAINTSPALWGPDGESFNPSRWLQEDPNRVKLPGVYGNNFTFVGGTRYCIGYR FALQQIKVVLFVLLRGFDFQELKSKPELFRATSSVVQTFIRGEEHLGTQNPLMVVPLG GSAEAPAA L198_00462 MSSSQVPFFTYVLERLKQSGVKQIFGVPGDFNLAALDYIEQDPD VQWVGNANELNAAYACDGYSRVKGGLAVIMTTFGVGELSALCGIAGCLSERVPVLHIV GAPSTKLQANQSLLHHTLNLPDSFTTFSTMSAPLSCSQALINTIEPTTSTAWTEAFDK TLREVLEQCRPGYVEIPTDAVHHKVSAEGLSQQLGPIDSPVAPPSDEVTGHVVDDIAS RFAAAKKPIILVDACAGRFGMAKEVRKLVDTCQIRFFETPMGKSLMDEHHPLFGGCYA GANSLPAVQQEVEAADFVLYVGALKSDFNSGSFSVNIDPKIIVELHSFTTTIGYAAYP TTDIRHVLPRLAGAFQKVGHPKDSEGAHETVEQKAVAHRVIGSVPKPEGNEIKHAWLW PRVGEWFADTDIVITETGTSSFGLTNVVLPSNSTYVAQILWGSIGWSVGACLGAAMAA KEDPRDRRTVLFVGDGSLQLTLQEIGTMLRRGCHPYLFVLNNDGYEIERQIHGWDAEY NDIQPYDHQLLLPFLAGKKCPTPFQSYEVHTPEELNKLLLDEDFNKPDRLRLIEVYMP RGDAPEGLVRQAKLTADANAEL L198_00463 MALLALLLPPTRACAASDQIQAFQFALSTALPVLPVAYTCPSPL QVSRTSYSNAKTDDPKPPYSMVALVHEQLYDAAGMQYERVYSVTVGLGQLDKVTEVAH PWGNGTQFIACMWSANAVSGGCQDLFTVVPSADLTAAAYQSTSSTCRTPNVLESWVTP ANETLDVSVTGVSGDLAINAWPPACATGSDIQFTPKNGTAPYTLLIAPAAHPPLNITS SDAAPMNYTVRLTHGQAFMAALYDSAGNSWAYGPLHAGYSDDVKCLGVATGEEVDDPA AGYGLGALVGGVAGAFVVGALGAGLLFFFLFRRPSRPKRSESTEDLYANPRPYRTSSH HTTHSNTASLYTKSLLPNDTPEFDTPATLYDPHMAGPGGYPQGHVKRGSAGTVGTQGR ESISSLRPFPRPPLHQRSSSGEYVNPYTPSPPQGGNYRDNIILADFGAGQRRGSGSPR SMVRPVEGDNGHSQETSYSSRRSAPVPGISPRLPASPGPSSHGRFPSAPAPSSPIPRF DPFNPPTQPLPPTPFLQHQNRSGSFHSLPGVGESPPASPGRMRNVYVVHADGGAGADV TIQLPGPNPHTHIIELPPNYRRTPSPPRSSPSLTPLMPGGDDFSPPPLRGGGELHLVR SRASALSGLSVQTGTGDMGADELRARAEAAIAEKDRPL L198_00464 MSSPLPIPRSSPTLTADSSTTASSLLDSASTNTSHISSSSIIPP TATRPFGAGWKSSDTLHAEKPADFEPDWTRSPSLPILPSVKPTQSSSYQQDLPDYSLY TSAPQTAFPNPAWGFDALSHKASLGSIDIELGGGSPGGHSPTHRNAFRPPSALIQQKS TPNLGRPDLSSRRSVRFEVDEDVSSDGSASSRALSASLPVLPSVGEGRNVFIHRVSHT LTEDELRHIATAFGEVVSVKVQQTRTAKPHAFVMFKKPERAAKFMSHLRSRAVDCEYG KEDHQVQTKALEDPLSANLYIAGLPPSLTYDALEELLLPGKICSWKPLMDEGGIRRGP VMARMQTRVQAEAVIKRLTGKYYPGMSERLQVRIADSEEQKHFKRYQMRERVTSVPSP SAAEFGPMRRRASMPAEPMRRDLTDLSFLLQKQSLLAFQLNAINEKLASSHLSPTHSN YPHAHPLRQVPSFSPSHVPGPLMEEDDGECPVLSPTISTYPRRGSSNTSVLSSASYNA NLSDQIWGASTPTRTLAEPWSIDPFAFPSSSPPLPHTHYSPLPILPLSAPRPRLGTML KRDSDSQVPICYDASNAGGGKVQMESGVGRVGMWRKGSSVGGMGLGLDVGQESKMSE L198_00465 MSTPILQSGWDDKPVIIGQRQQRPTVAKGSALNTAQRNGSILSS ESKGAGQSKGAANHQHIAKLDRDDAPKPPEKVSVDVGKAVALGRMAIKNAEGKSMTQK ELATSVNAKPQDIADLETGRAVPDQALLGKLERKLNVKLRGAKNLIGTPLHAKKDKK L198_00466 MSWNQYPGGGHQQQGGYGYQPPQQQQWQNNPPPPMGAQGYGRPP PPPMQMQGGYHNNPPPPQQYSAPSGGYSSGGGYQQQHSQPHQGNYRLSGGYVPPTNAP VESSYHQTGAGYMPPSQPQGQFAPYGNQGMPARPPTQSQHYGPQFQGQGGQQAQPYFQ YSQCTGKKKALCIGINYIGTSSALAGCINDAHNVQKFLIQRYGYKSEDIVMLTDDARN TRQIPTRANMIAAMRWLVSGASPNDSLFFHYSGHGGQTEDLDGDEDDGHDETIYPLDF KQSGHIVDDEMHDIMVKPLPAGCRLTAIFDSCHSGTALDLPYVYSTEGVIKEPNLLAE AGSGLLSAGMSYLRGDTGGMLSGIMGIGKKVYNNNSGAAERTKQSKTSPADVVMWSGC KDSQTSADTQEAGRATGAMSYAFIAALTKYQQQSYVQLLNTIRDELKGKYDQKPQLSA SHPMDTNLLFIC L198_00467 MTFQAHTSPPPIQGIATNIPQTTTASGPSSSSLQSSAPPPVPPR PGVERPSNAFFDLGESEGEAFADFQSLSLSAKQAMEKYASSPKKGKGKAAEQPLTRMV DSKGGELWYRTFRSEEEDLEGIIKLVETELSEPYNVYTYRYFLYDWPDLTWVVFPTSS PSAGEQPIATVISKLDSHRCKYRGYIAMLSVHPSYRRRGIARKLVDITIEEMRQKGAH EVMLETEFDNDGSLALYDGMGFLREKRLWRFYSNEKDAFRLILPLPNESDDEEEPGLR RIVEDDEDDPSSAYFT L198_00468 MSLPAWPPNLTEHQLAALTTHATTWALSHGFVLLPPSPTTPPTA TISAPLSLLPTPFPRQLFDLAVSLQPLYNALYARIALDWGFLDRVMGGSVSKVDDFQG ELWRGWKAVRDELVQEKQLGIFRSDYLLHEEGEGLGIKQVEFNTIAASFGALSQKAGE LHKYLAKSSKQYYNISSHLSSPENFPQNEPLKHLAAGLAAGWKAFGDDEAVVLFVVQD GERNVFDQRWLEYELLETHGIHSTRQTFSQLASLSSTSSSSTDLILPGPPSQRVAVIY YRSAYTPTDYPSSAEWSTRVFLEKTSAIKCPSMALQLAGAKKIQQVLTEEGVLEDFLL GEGRPDVGFGSGKGSVTQADVDNLRKTWIGLYPMDSSPLGQTALSLALSQPERYVLKP QREGGGNNIYRTDIPPFLQSLSATPVKEGEPERKEGYVLMELIEPPKGVENWLVRGGD VKPRRADVVSELGVYGVALFGGNATAVNEGAGTLLRTKGRESDEGGVAIGISSIDSPL LV L198_00469 MPVDIPEHILPYLERHPLQAGPLLTSVKDLSLSVGWTDVRIVSL TGDALEWAVIIGRKRKEDPLRAALPLPIHTTSLRPSVLRAIFKALESFDYDSLPPVMP PFAPTLGEMRETLGAQLPVDGELAGEGTGADGAVKTEAGAAEENKEFDKETLYTAIVT GDSTVVYYKIAKGIKKPHDIPDE L198_00470 MNPDQSAPLQFRGSCVLGSDQLQSAPSEAADVEDAGDFGSRTGS YATHIPYPKIQIQSLSTFAAARTPNAPTNTWRLLHSTVLPWCPRNDALGGRGRIGPIG LVAANRSGSPDVYSLTDDLKSKILGDALEARYMARLPPSRRPDLYRHTSKFTPDLIAQ DARPQAIGLATVPFREEDGIGNYGKYATPKSSAPPQVKGQVYTGRTARFSPGATSLMP RRREHERPLNVEGASQYHHPWNKAVRANAEHYDVEWATNMFVPVDADLSPSNDLKNAL ILLSVVGEAVLFVLLDSGCGDQYANKTMSAALPFWDDGPAYIGTDRRNPICEGFVLWD WTKPDRPYKAFDIEGTLMFPAARHQASCARREPRRSPGVYLSFHLRRGRRLGCGVFPI VPYDIFLAERHGRQPYGLRSGVLCDEVLE L198_00471 MLLLEDLQEVATTDPTVDPALPLPCAPPEAPKAEANNVLGVFGL PIRARERDLEDEFMRYGDVEKVVIVYDQRVQRQISGFGFITMRTVEDATRCIDKLNGL SLHGRNIRVDYPATQKPHHSTPGQYSYMGVKRGGYGGDNYQRDDRQGGGRYDDRRDYG RRDDYYSGSRDSYGDNRRDRDPYDSMRVEAGVTNTTTPRTSMPATSLTTMTTEGVLVV AGTRLLLLATGVGVSPATMMLLLPVAAAPGTMTPLLPFVPTAGSRDYDASAPPPPDVP RYWDGPVSSTILSVSKVLYKKSNPLHQVRVPYLCPIKQCKMAASVFKIEIRRGNTQDA KTHKSFEYQVASRSGRCEGHVSFVQDLVL L198_00472 MAPFSGLTSKLNIPGRTTQDAPAHRRQNSGSLLASPSSASRENY YHNTARSASPTYSDQMSSSMAHGQPQEVDYRGDADLVPPVAPFVPGDGLSSRRSSVSF LGDKESLKNRASSLSLNYVPAKFSRLHVQGDYSHRQKLGGGRDAFAADASRMGQMGTV DDDEGITFQYGAHGLKQKKPKLVWNRFKWVLFLATSVLFCYGMGTLVSAILVWLNVFY QSDVIRVGNRTELILSTVAASMILFTSLLGFAGIFLNNRAFLAVFTLLLWVDLGFLVS PGYLTYKQRIFNLEGKINSQWSRNLGNSGRLRIQDALKCCGYFSPFVEATVSPLCYSR SNFPGCKSRYLHLERHVLGIWYTVSFALVPAHILIILAGLLCSNHVTYRFGKGLTPET YRLDLNSMAVIMDEYAGQIAAQYGPEIAQEAMNQSSVNLANPRSEYDSSLLSVPNSRR GSSSNLEALRRGGNLPGSTRGTSLYDPTNPRASVDYSRSSQFGLSGLASEGAPRDSFS AAGSGQHHGNNSVASLSSSDEQRRRI L198_00473 MNPEQKENEYEGSVSPMDTTDGRPSSGYQQPATQRPLTMSSGTL PVDFASLTREQLLYHLDRSQPPLIADSCMTIQSGDENANFFDRGHPLYPQLAPVRDPG EVGEDQNQYTTNPVIVFSSSTLGGSFRNYTEDDFGQQGSRTTGGEGGSRSQNVTFVDN GNTHPSRSRRPTRAELMRHCAALTRENESLLSELNETTERFRKISREDMELLQQSEAR EQALTEEVEQLKSERSAAMILSQRLQHDPAQ L198_00474 MSSFLSPLTDFYFRWRINTQVLARLLHNQTPLFRPTRVHLVSPQ DQAVPQHLLVADMTESATKTARGIGPGTIALPMEVTGPCQEVEDASTGPHPHVQGVNS APIANNRWGNNNAGAVAADGDANLGNRRTDPEQEAESASQPVPVLTTTLNALKPDLIP AASAAAASLLPTSGAPASGVETTEAKRARLREEARNAKLLLDRIAHEEANLEQEERRA QEERRREQEAQKLRKIEEDRRRQEQERRREEELRLRQERELEERHRPEYERDVRERER SALFPPPGLRDARPDFRGERPDRGFLPPQDFAFAREPLPPQGALSRDGRREGWDRRRA SDGFGHGPGPAGDYPPPPLGERERVPMRDRLGGGPRDRVPFDQQQAPGPFGRRPLSPP PGHPSYDRRRSPPPGIYGPRGPPGPVRGGPLDHPMPPHMRGDVRDRDMRDMRDRNFRG RDIGDRDVRGGREPFFPPGPPGRGPESRGEPGFAGPPPRDLLRRLGKRVDHQLAEPMG GNLQRDDRRDVTPRRGPQQNNNAQTPQSRPLAERMSAVSSPSTPPLPPTAPASDPSLP VGSNEVLSAGDVIDEQQGKGQGGGQGQGQGQGHGQGQGQGQDKQERRRGGRKKRGGQG GRRDRGGGGGGGRNNGNGQGGASGGGGGGGEASEEKRGEDTVDPEGR L198_00475 MADEEVDWGMDEQEDEWRGGGLDDSARIDDDVISLEGGDDDASS TRQPATGLGSKNPPTGPKKGRKGEVPGGPRQGADSQTSRINAQSAAADSEKPSNGEAS PLPPGWTAVMSKSHNRYFYFHKESNQTVWDKPAFPAELEPEPRAEPVESGAEVEAATA VPVEQKENKERLVPTGPSASRNIATQPKFTHAPVPATTPANQDAGNQNVANAYARRQT QPPAPQGPGSGLGPGGRNARARSPLRGDRDGDAKRFKQDDGGRRSPPPHQYLISNDSR RTWHFPFPITTAGEGQLLEAWISGLLLGITGILQCR L198_00476 MIIPFYKPTAAPPGIFKNQPLPYSNASFAGKFFLQWIAPMIKAA WSRPIQQDDIWDITPDLSSKTLGDELERHYMRRVPPSKRPAGYYDPSRRVYPSSQKHE SQEGTKLSRRMRKKVQNGDVILEDGRVYDRSLTKALYFTIWRMFTYNNSVNSMAQVLR LTAPLVTKILITQLTIAYNYHQATKSGLDLNDIQRPKSVGYMLGVAFGLWSMLAASSF LMYPTWFGANLMGKKLRSALIAMIARKSMRLSSKSRSVMTNGRLTTMVSVDCSSIDAL CHISLDITSAPLSIILGTALLIYTLGYSALVGIGVLALTGPYKTFMFRRISKLRKAQT EIIDTRVRLLSEVLNNIGAVKLYAYEKIWAARIGKMRKKELDKLRSNCVGKSSLTMIV AFIPTLAAIMTYITYSLSGHDLDAAIIFSSLQYFNVMRTPLTMLPRIISGFSEAKVAV RRLSELFEAEEFEQDIKIDESSIYGVEAKACFQYERLNPVEEEEGKQQLAPYEKPDDA ADAGPNEKSPGAIDKIPFSLKDIDLQIPRGSLVCVVGSVATGKTALLSGLLNEMKRTE GEVVFGGSVSYVPQHAWVQSGSVRDNITFSCSPEDVDSARIEDVVEACALRRDVEMWP QGILTQIGERGITLSGGQRQRICIARAAYAQTPVVLLDDPLSAVDAHVGNHLLQNCIL NGPLSSRTRVLVTHHLDSLHLADIILVMGRDENGDGRIIQQGSYKQLMANTGTFQTLI SQFGSTTHESSSSSISEVEDIEGAIDQTADDADTGAEKTDPTSATKKVEETKLILDEE MAEGSISWTVYRKYGQAINSWILPILVVSFLLLSQAATVFNSLFLGFWSEDKYDSLRQ GEYMAIYGALGAMMALFAFGAIYTIFLSGIGASYTMFNQAWNGVIRSPTGWHHRTPTG RIINRLTKDIENLDDRLPDVWYLVANAVLSIFGTLALILYVYPWIALLFIPVYIYDFV SLVYYRVTTRDLQRLSSVARSHVYVNFGEQLAGLPVIRTFHQQSNYNQRLEKSVDVEM ATVMCSWAGLRINFMSYLLILFVAVFGVIFRNTVAPSQFGVVLTYVIATSSTLTGLIG WVAEVEQEMNNVERVQHYGALPTEASPSLPSDPAPSDPWPSHGAISFRDVQLKYWPDL PLVLKGLNFDIHPGEKIGVIGRTGAGKSSIAQALFRTVEISGGSIVIDDRDLQDLGLD TLRQRLSIIPQDTFLFGGTVRENIDPSNTHTDAEMNDALNLIHAGDSSSDLRAKFGLD AMVGNEGSNFSAGERQLLALVRALVRGCRVLLLDEATSSVDPKTDALIQRIIQSQFSN TTIISIAHRLQTVAYYDRILVMDAGEVAEFDSPLDLFDRSESIFRALCDKSRITRAEL LRIRRGAGLDNDGEL L198_00477 MASSSQTLVKLDFKETLIQQGKRETTDTLLKRLKTLRQKLSVLE QDATDTKTLDPVRKPLIHQTILHHKDRGVRVYAACCLADLLKLYAPDAPYSDVQLRDI FQFFHTQITQNLRPTTSHARPQGKNKAPDASQTTLNQRITDIPYYPDYYYLIESLATI KSIVLICDVPGAEELMDGFFSGFIEIARPDMSKTLMRYMRDVLVSIIEEASTLPQGVM DSIINEFVQHSSKPETPSFQLIVDVCNEVADKLKHPFYAHFSQIQLDHGVDPSPNDLK ILSQSHDLLLTINRFCPDTLLLAVPRLEENLQAADEVPLRSLSTRTLGHLFTQRAGAG GAGTSGDDNPAKKYPSTWRAWLTKQVDKAVPVRLAWVETTRGILVNHPAVRQQLEEAM VGRLADPDEKVRGTMSRVLGSLDYETALHHVQPKTLRALGDRILDKRSSVRSEALNAL AKLWESAFSEIEAGDDGAIKQFGWIPQAIIAAILKGELPMDMRAQIISVFKKSILPLP ADGDDDEDWVDKFLLVASTLDEHAQNGLVNLTNLRGIAEGTYPFAAFAEFCEKYQGGM SDSPTDLKPQMNYLVQAVSTKVFGDSQQARKHIQAFVDLNEPRLYKLYRTCVDFSSSL ANIIKARNEFLRRVHQSHEDLLPVLTVLLDNSAFDLINHSSIPTLLKRLQKPDSTKRA ATTSRFLVVIAKECAPMYKTHVAELVACISDKKNTKLVEVALQGLAAVSKVCPDVAPN ESRLIERVIKLGHEGTYRQGKFAARFLGKIQNSDLNCGKLIDAILKAIDYTEEGAYEK HLTYLTAFTELARASPKTFTAKVKEIIKYVLDEIMLQPSPSQEVDGDEWVNIDELESL DHAKIIGLRLFTSYCLTFVKDPEAEELLKPALNLLTSVLGNDGMVDQNTAEGGPARCH MRLRAALCLHKLAQAPRFEKIITAPDNFELMGGCVQDPCFMVRNIWLKKLQKNLKYQT ISPKWNIIPALAAMDPDQDNIIIAKSILTNIPRRCKQKPADVRIQHIELPFSRLILHL AHHPDLRWHEADEDEEDNEDDEDDDEAEKKPKVKEGITDIQNLKEIARFIELYLDCLS HKDNIGLFYYIAGQIKSFRDRFRENNKPLYALSELAQLIIRNRAEKHQWPLQVYNNRI ALPKDLFHRPDNPEERAKTQKTQFLSEEARAWAKSLGKRAIGGLNVRRPTDSNASPRK RHQTARKVGAPRKKRRVDTSDEDSDGSSSVSESDVETIVGDVAQESGDDEDDEAVMGR GGRRGAKTKAKKIVNKKKNKAGKKSEGANAKSDQMDIDGSS L198_00478 MSLSDFFLARSVVESKADAFSVAIREYDAKQLVSYWLNRSPTPI PTKTESLLAPVQVAQVQWDPATKQLSPPIQPGQGLPEWVFSSKLVGKPDQLIKRRGKA GLLCLNKEWQETGAWIEERAGKPVTVEKTTGTLSSFIIEPFTPHPADTEYYVCINSAR EGDWILFTHEGGVDVGDVDAKALKLLIPVGQQFPTRETVISSLLAHVAPAKQDVLCDF LIRLYGVYVDLHFAYLEINPLVVLDAAPGKPAEIHYLDMAAKLDQTADFLCGPKWAIA RDISAGQPSAGIKADRGPPMVWPAPFGRDLTKEEAYIQKLDASTGASLKLTVLNPQGR VWTMVAGGGASVVYSDAIAAAGYAHELANYGEYSGAPTEGQTYEYAKTIIDLITRGTP HPEGKVLIIGGGAANFSDVAATFKGIIRALKEYKDGLVRHNVKIWVRRAGPNYQEGLK AMRLCGESLGVFMKVYGPESPITAIVPMALGIGRPVSALTRDVTPLPSAPGTPPNGIA EPVQKSSNVGVVNSDGSREQPNDNIVRFETEPLAGSRPWFRPFDDNTRSFVFGLQPRA IQGMLDFDFSCGRKTPSVAAMIYPFGGHHIQKFYWGTKETLLPVYTSVGEATKKHPDV DVVVNFASSRSVYASTLEILSYPQIKAIGIIAEGVPERHARELLQLAVEKKVIIIGPA TVGGIKPGCFRIGNTGGMMDNLIACKLYRPGSVGYVSKSGGMSNELNNILSYTTNGVY EGVAIGGDRYPGTSFIDHLLRYEADPECKMLLLLGEVGGNEEYRVIEAVKQGIIKKPI VAWAIGTCAKMFTSEVQFGHAGSMANSDMETADAKNRAMRAAGFIVPDTFEDLPETLK DVYSQLVSKGVIVPKTEIEPPQIPMDYNWASKLGLIRKPAAFISTISDERGQELMYAG MRISDVFKDEIGIGGVISLLWFKRRLPAYAGKFIEMVLQLTADHGPAVSGAMNTIITA RAGKDLISSLVAGLLTIGDRFGGALDGAAAEFSKGLNSGLTPREFVDSMRKANKLIPG IGHKIKSKTNPDLRVVLVVDFVKKHFPNHKTLDFALAVEEVTTQKSGSLILNVDGAIA ASFCDLVSGCGAFTEEEAAEYLKNGSLNGLFVLGRSIGFIGHYLDQKLLKQPLYRHPH DDIFYPSNERVVVQPTTKKA L198_00479 MSSKVIVVGGGLSGLSAAHTILERGGNVVLLDKNSFMGGNSTKA TSGINGAGTQAQQENNIPDTAAKFFNDTKTSARDLARDDLIRVLTYNSASAVNWLVER FQLDLSKVSRLGGHSEKRTHRGGAQFPGMTITYALMEKLEDLAEATPERVKILKKAQV SKLLEENGKIIGVEYTREGKKHTEYGPVILATGGYAADFTPDSLLKQHRPEYYELPTT NGDHCTGDGHKMAMAIGAKGVDLEKVQVHPTGLVDPKDPEAKVKFLAAEALRGVGGLL LDNDGERFVDELQHRDYVTNKMWERNKFPVRLVLNSTSSKEIEWHCKHYVGRGLMKKF TSGNDLAKEIGCSPEALKKTLDEHNKYAKNPGTDPFGKKFFAGGDFSMDDTYHVAIMT PVLHYTMGGLEIGPDASVHDAQSKPIPGLFACGELAGGVHGANRLGGSSLLGCVVFGR VAGDSVSSYLLSNLANQTAANRLGNIQNHLLETKVRIDPSTRNVNLEFSWAEGGQAVP FTSSQGQQNQVPADSGPAKEGDRTVAQVEQEDLPPTQEKKKEAEGKGKGAKKAGGDGE VKVFSVEEVGKHNKKEDCWVIINGQVLDVTNFLEDHPGGVKAIMLYAGRDATEEFEMI HPANAISKYAPDTGEYPLPHIAQRAAAD L198_00480 MALVDKRDHTPTISSQSLLKTFPLHESWHEMRLSFIPTPWKWRP REWLTKQYWFLETADATFADKKGFSNADVDVVPPELRTWNWFNFMSLWIADGGNIGTM QISGSIMSLGLDVRQAIVVLVIGNCLNGVFTALQGYMGSRYHIPFTIATRASHGFWFS YFAVVSRLILAFFYFGSNTYLVAQCTQIMIGAIWPSFLNIPNHIPASVGITSGLMVAF LIGWLIQFPLLMIHPRKMRWLFFVKSVMAVGGTLAMVGWGVTEAGGGGPVFAQKGMLE GQTLAMAYVAGIVLTTNSRLTLAINIPDMSRYAKRPAETWWQAIIIPIVYIFFGICGI LIASTSQIIYGSIIWSPLLIIPRWGSRAARFFIAFAFMITTIGTNIASNSVAAANDMT FCLPKYINLKRGAFIVSVLGAWAIQPWQIQKNAATLSAFLGGYTVVLGPLLGIMVTDF WLVHRGRLDVPSLYRVRGIYRYQKGVNWRAVVAYAVAVPINLPGLGHAVNNNIALPSA YINFYTANWFTGIAIASSIYMICCLVSPPTATLLDAPIETDDLLPRQTVVEELVIGGG AGKAEEDVDDGKKPYTAVYVHSVD L198_00481 MSDPPPVATSEAVSSPTPLDTADKLAEEPILHKKQSGSQDDEGL ADDLEKMLEELKGSGTEAERAGEEEAKKQEEAIEAPESTDLFEATEKAPGTKTEEEFA GQAELATQEEVVGEPQAEAAVATVPEPETKLEVESPAGTRKEVDQEEESMAESSAALA VAVPTAHEAEPATSIDPAELVARDLGLVEKEAVEVPIVPAPVALNTEAVEAFDSKKAE AEKEVEAKRSSVGPVYVAEDVPEPSKRALAPSPIEIPSKEALNSRHAADDLHAPDSPT TFLISSLRSQLLSLSDQTHALNAKLIASISRSADLEDELHNVQDEHVALGEKAKSLEE QRDRWEDSMKTGLLVERDQIRDEMQRLAAGLVEEERRRGSAEERREQVENEVDDLTAK LFDQANTMVASERMSRAQAEARLKSTEENLANAEAVVQAMQLQLQNMSSSALSGPSED STKSKITRRFISSHIPYSEFLTFLQYLRAARPLPDQLRLAAGPPLITNLLTHNFVARI LSEDQEPTLRLEAAPALSIFSRRSVGAAIISGDLVIEPVSLHHVLTSTGAKWDDLSCS MCGKKVFGFIPPSPAPGGHFGTPVPHPQRSSASGASRFSLKPFFNSSSTPPPPGPSPT ASPLTSPAPGSTVHHSTAVFIFRITRSQGGATTPSGEKENSKLYPLCKSGWCLERLRA TCELWHFVKNGIVHQVWASEDGTLTEVTTAVETVAPVAPAVEVPPSSVAAPSEASSTA PAAAEHIQPPPLPARKKSWGLGLWRTSDSASDAQSPKDEDGLDKKDDDHSEVGSVGAE KDDVYGPHIVEEATRKAEEKERKEKEEKELAESAVEGKAKAEEAVLDESAVAEGEEPK PVEMSRNNSGSDASFATPKSEAAELPPVDEASVEEVKEETGPDAEVEKKEEEAESKKE QVIDITTPTISSTPQLGGSPVTPIGSTPPPVPKRAAARNRLSQLGVSSNGGSTSSLVE ETKESEKVEETESKEDGSSEDKKSILPPPPHHPKTFANYPPPPPRRGLSDAPLAPPPR RRVHSQQAVAEAEEKKDKTFCADGSQEGQKESWEEKTWKGVVRLKENMWKARVGVVDE E L198_00482 MDTIDDSLIDPDLLEAGPSLVERMGSVDMADGDESDIDYDNSED DYQDSNPDLPEEEEEGVPVEDDVFGRLAGYASRAGAGEDAGDGNAFQREIELADEEEV VPRTRSGKGKAKAKRTHKPSHQVLHLLGQANMAYLEGNLAEAIEMYLEVIRLDPYVPA AWTTLSSCYQELGDVEKARQMRFLGAHLDDEGDSWRDLAYEFKSLGQLEQCVYSLRKA LKYEPEGIDLLWDLGSVYIEQGQKARGANVFKTMMSVDPAISNDPAFVSLFRPVLVAT HQRALAAEAGRKTFDYHLTTYSTPTSPPSAPQAPPAMTMDFITALIDDLISTEEFEDA LEVVRSGQRWLHGRGSQKHWDALEDDREFDPPGTLRNDEESSGYEMDVAMRHRLALVR IKLADEEEADIHIDYLITLDPLIYHALLQSLGDALMDRSQWDRALDCYAIIQECDELA DDTGLIFKIGVCQWKTGALDSALEALQWVVTSIDPDNLDARLKTASVLEDMGRKAEAL DLVTEVIRTRASRGTLSSTLQPLQPHQKVSKRALEDQMRSQMQSLWLDVQEAERGTME GEEGAVDRFVEAAGVLVENYRMDRSNFGKNRGVVRVLKTKKAKKNDIDDQAAEMQDRL ERTLGLEDDEEAQYHVFRKTSFYGLSNEEWLTLIVKYCCVLMVRREEDVAMDILEHVV WSGLFHTRRCEIALRMTMIACAMRMRAWSKILESTAQLIVHSQFLPQPYLVMLGALAS GGLAAQSAYTIVTFQNLMNRDLRTFDDAVEHGEKKLHFNEGIGRWTANKGKTKGEDGE KSTKKPKGQWKKRRQDEPGAGDAVVDEDVDMEDENARLGSIEGEGIGWGYRPVLPKKF SPYMNFLLAQEMLASRSFQGAIFYLLRAYNIDQWNPYLCLLTAQAYFGRAMQRQSDNR PHQISQGMIFLDRYRKLSPKEGKPREEVEYNFARAFHGMGLSAFAVKHYEKVLEGVQS RMDESMEPEAVRRASLAWEAAHNLMLLYASSGNMALVKEKSIWMAI L198_00483 MINVGFRNIKTLPPADEEGKSNDATPSPPVMELSDPDVENNPAL VYFLSALKDDAKVEEVLEPYEGTKMKTVEAEMQFAKKWECP L198_00484 MADAVASAQVTPPLPDSPSPRASTSSPINVGQKRKSTLFNILRR TSVVEETTPPPRRQSVFDIMEREKLQKAQEPHALGGLEEDDEDDSHLPQVQLIPPEDE GDAVVLYPFPNYPPPTLALDNQSPPETPERNYNPHAPTTSSPLSSRPRPQSVATAPKP RPSSTFSNNPAWNGMAEGFNLPTAKFSRVGAGPTNSRSRQSVIMPKTKTQVVEERKKR MSQVMEWDMAGGAHGGVGRPVMHREGSSRPVRRDSEDVIRESAENVETLSEEPDMLEP VSKATTTPSSPTAPGPPVLFPLSAPEPQRSNSTPMPMPVEGTPRSSISSPRRSRTMSL SGAFPVPLWASSSKTESPISTTAPPLPPMPSVPTDQPRKVPRKQKSLKNLFFSSSTPP ETVTAEPKADVKEKKSLNKQRSKPDLRIDTNATRPIASLNSAPVLSGNRLSSATPPTP ALSTGRSESFYSIPGTPSSATTIVPSPIEGKKPKLTKKFSLSNMSVFKKRSNSAPASD GHSLAGASRDSFVGDGEGEVVPMVPALPEVYKKEKEAKRSKSEIFTARDRFVNVPPAP SVAPAAPTEVSSPGTITPSRVMSPISAISVYQTPSSNIEPATYESTVVQSPTDSISTL DPSSKSISSISDVDVEEDILDAQFMQLPSPKERDASQDFHKILANAPGRRSEVVVVQE GRRSLEALVVLGPGSVRPNVSPSLLNNRLSASDSEASMSSFASCASSDSSLARTIQAD HTRRGSAESEDSQEDEGLVTPICHSVETFGAGVRERKESGSGESGESGEFGMMDEEAE DTARGMGVRKFQSSRKLRPSPPPGSCFAPPESPSIAQVTSSGPPPNRPLPPAPGQIVE EKKIGSLDFDTLGLNFGNWDEREEMAGRA L198_00485 MVKGKRAASSEGEAEDKKPDITSEPQKKKPRPSTDNAESGGEAV EIEETAEGDPFFKLSDYRRVTVRTFKGKTLIDIRETYKDKASGEMKPGSKGISLTAEQ AILKSNIGSIDQMISKVEKK L198_00486 MPRTDKQSSKSRHSGERGQEQYDNAPDHHSFAKSRMTPVASATT TPGSKTPKASTRRSSEGSRYLTAIASNLPGAMASLSLTPASTSADQSAKFSLPPPEEL PWDWRHQTGMSLAMDEHDGDGASVRSLSSFSGGYTSGEEGRAFFVATEEQGKGGRNKA KKVVARRAERDWTLLSNEGQDAGDYHARFQAEEGKEEPEYSRDDDLESSAIFSRRTKD ENWQNGFARSGRREYTNPDSSGSKR L198_00487 MGASLAHVLSLRLPNLRLTSLSHRSPSTIARAAASGPSVQEADV ILSALPPSEAVKLAKEVAGHLGEKKPVYIEANAVSPETVAHISSIFQPHNTPFLDGSI IGFPATNDLGSIPKLYLSSRPEWGDKLREVAGVLSGGGDGKGLRVRVMKDAGEGGASA LKMCYGGINKGAIGLAALLVLSQAHSPGTAKALLDEMIESQPAFTQKLPKAYRWVGEM EEISAFITASIIGTPLASQHTNSADTFQGLAQVFQRIADDLKQKESVEKKDEEVKGLL DWAEEGKSELERRHSK L198_00488 MSSSNSHLMKTTRRGRPFVKDTHDLFCTLVVSLAFDTHRNFFKV YPNSFTTDDACSNLSSLKFSQSTRAADPKDPTRIITTTTTTTFSMSRDMAKGICQHFL DSRLIENATDLMSGTFKDRGIYMLTPKGLHILERFVSKNGITADHLLKVFASQPITMK LLHLERRSGDDEIIITRGVIEVLFRRFIGREPNLTKLSDDEVITHYYSRFYTKAPPLP VGETLDRSEGIIIRKSDNKDDYHFSSRSAIQWMLDFTSATGVDEAADVMGQFVRYGLI TLVSDKSKPKDGNLIVTVKGGGAGGGAGAIMQEAEYRVTERAIYKVTREGMIAAKWHE PTSNASAGVSKPNLHAENPSAASKGRSSHEGHPSVSIKRRNSASDRFRSDFDISGVPG GDNHVKDSHTARLKQILEEPALRSLFREFLRANFCEENLSFWLDVQDFKRRFQTTSSA VAAPGSKSKVSGHQAMEKHQQDLIAMAFVIYNTYLAPASPCELNIDHNLRADLVSYMN QITADKEAGVKGHIEPGIGNTLHASQLQTMVKLYERIQVYIFRLMATDSVPRFCKTER FLALMQQFSDYDKDDTKGFEGKTANLSINPRKAPVEDDDPGSPTRAYLTISQASLPKF LMGLG L198_00489 MFSVSTVTATDSHTYADDVPAVHRKHQAELDAMDLDGDEFGGAG PSSRNIVTPGTVITSSKEYMRGHGTYVEDTNVVSSVAGTIDRVNKLISVRPLRSRYTP EVGDLVIGRIVEVGAQRWRVDANGRQDAVLMLSSVNLPGGVQRRKIESDALRMREFLA EGDLLVAEVQAFFGDGAMSLHTRSLRYGKLRNGFLLTVPPQLIRRLKSHFYHIPPPCG PSGVDVILGLNGFVWVSNGTSVEKREGGEGFDLNAVYSDENDVIAPEARQAITLVANI IKIFGDEGIPLTETLIGEAYAWVEKNVPAGTLLDQETINQMLGEVTGVEVGA L198_00490 MTTEPKDLLLLVWVHGFKGNDVTFESFPDRICHLLRTTHESLRV ESRVFPMYQTRGELHAATLAFVDWLTELVVHLENDHGQGGGAGKAKIVLMGHSMGGLL CADTVRDIARNTREDDPMWPKVVGILAFDTPYLGLHPHTFKHHISQAATYFDHARSIA SAATMLSPVASSLGWNMFGKKSDASADSSNAQASSSKGKSRSTTVTETSEQSAEKSAA SNTFWSGLSQVSSGKSMYGLGAAALGAAAMGAAYYRREDFVTGWKWGYEHMTFVKNLW DSEGMTTRLEAVDGLIKERGLLFSNYYSHLPPNPPDHLVARTFSILPPTNHPLYPYFR PASNTLAKDEVSAHMGMFNPKTNDGFYDLGLAVVKEIGERIEKEGVGRKEGIVEEELG AGEERKATGETDDQKDEGIWRVERDENGNEVWVET L198_00491 MSSTIKNVERKIENTLSSGQPGTTGREPFEDSSTSIGGNNTSST TGTGQQYGSGTSTHGLGNTSSLGQGQTGGAYGSSGQSGLGQTTSSGYGGDKVTGIDSQ HNQGVGAGSTGLHSRTGTGQHSSTGAGLGHSTSGVTGDRSTGPTGLHSGSGVGPNTTS GSGLGHTSSTGQTDSTTSGSHHGTGTGLGAGAAASGAAYEAQKLGKHNTSDTAAGTRD VSGSSGAHGYNAQSSNVSGHGLTGDKTHSSTHGSNTHGSGNQSSAGNVAREAEREGSN AHHSGAGKNAAVGTAAGAAGGAAIGHHASNTHSNSAGDRSTTSSDPTSTQAPEQSHEG GGSNGLGTHAADCSGGPHDSTHHKVLPGNQPGSGHTGQGVSGGTRTAGEGVSTDKVGK QGQNAAAYERSTTEGPVTGGAANADRFDTDKSSSSHGGVKGAAVGAAAGAAGGAATGH HSGSHNQSGLTGSGAGKTHNYDGTTGSHSGLSGSEDPNKQQSGGAKGVFTTSDKDFTN NERLGKGAYEDSNAAVQNNTSGPGGNPALTGREGNSRTNPVSGETGLSNTSSSHTSGH HGKATGAGAGGAAGGTAYEANKHLGNHGSSTDSSNLPEQQSFNKSSSAHGSSSVPGQQ SSTGSSVPGQHPSSTTASSAGGAHDSAGHRAGVVGGDGTTATNASSGYGQGAQTGQYT GTQSTGSTAPSTGATSTSGHSTTAQNVETAAEDSTQKKGLLEKVKGAIL L198_00492 MSGQLETDNPSFVLHGVEDVTYENRPVPDISGDQVLIQIKKTGI CGSDVHYLQHGGIGPFVVECPMCLGHESSGVVVKLGPDVKPIHGLKEGSRVALEPGAC CRSCADCKAGQYELCQYMKFAATPPSIYGTLCRYYALPADLAHPIPESVSFEEGAMIE PLAVGVHSVANLGGFKSDQTIIVFGCGPVGLLCMAVAKALGARRVVAVDINQERLEFA KSYAATDIFIPGPKNEGEDGDAYTARVAAELQQTLNIPERGKGAIDLAIEASGAPPCV QLGLAVLKPAGTYVQVGMGAKMTVPVPLFYIISKQIRVIGSFRYGSGDYPLAVSLVER GLVKLQPLVTQRFAFGEAKEAFEITKLGKARDGKGVIKCIIDGPE L198_00493 MAFNKYIVKLNDATKADEPTLLKALDELLNNGIQIVQEKNTSTL GLVRVQVPEEIDVKEAIRNSTLLTQAVEKIDPIAE L198_00494 MRLPLLARAPRAFPRPLHTTSRAPNKGPLPVSNATDLSHAHFIS NASSASDFNPAQTPHAGQDLAESLTHEWKGHVSPTTSHLFKLIIPLPEFKRGVREGEP DVRPTAFLLHPSQPLSHLSRLISGSLAPPYSHADIAYLALTGEKDDVDSHLRKAEESD AEPPTSNDQAVYDSSSPQAHDDGGPFLRERKSDAGRWQEVSWSQSTDLSDFIKQACLN ENFKIVITPTRYWDGSELEEGQKVLPDVVLQVTIPSFASRTIYIRKRLLSLTKELDQL TKQKKEIDLKAHKGAQKLAVAALSGGVFYWGTVIHFTFFTEAGWDQMEPVTWATGFAA LLGSAAFLIYHNREVSYSSLLDLSITARQRRLYGEAGLDLDRWTEMVSEAKTLRREIE RIAADYDMEWRGELQGLEREENGKKQAEGGVEVGVGKRKKDVQEHVDPSSSTQPEPET VVKDTEGEGDKTEKIDIDKTIDEADELASQTENQKSRSSAAQRKSDPGESDKGSRTRK GEGNESEGEMRGRQAAQKVIDEK L198_00495 MAGSSSAALRADDSTDHTYRSPPMPLVSAMHNNGGQDPNIPHEQ KRGKARFAPSNLSHVWRSIKTRLTPPSHPSTTSESALGSGLNNTDNMYYEDGSAVRHL PLELLNPKAGTDGRHKRKSKNSGSRNGLRNRARGSQGATSTSRYGDDDDMSAKPAEPV SRIVVDNNFEHFTPMVPKSDSGYGSGRTPGTNATPGMDLEEEEDGSPLDRSDAASTQR RNSRVRWIRRNSVVEMMVDRVWPNFKHFLDSSYPEPSKERSFQKELWFTQKQGALASS VFLLINWVLTVGLLPTPLTTFNWIAYVAIAGVVTVPILPLVALDYPRRHPKICQPIIF SACWVFAFILLVEIRICGYFNDVNTCGNRNFMNLLGFAFGQPTLGLLTLREGRGFAVF GAASWLVLTGVLIMSEQNSPRLFFRNMVFFALFHAFLIGASFLKERSDRQMFALRQQL KIQYRATQSAQVMERRAADSKKRFVSYIFHEVRVPLNTALLAVQNLQGEKVFEHVQHE QGEMVDGLISSLTMMEKVLNDVLSFNRMESGKFAQARKPFDFHKSIQLVALSHRTQAQ MAGIDLDVELDKDIDKIGGIFVGDEMRLRQVASNLVSNSIKFTDQGSVRIVTKLLYPR LEETPAMEEDDPLRQAAINLQRQQQLEETDKQVQGRISTSVQPAPVQATHSTLHGFLH RSHSLSQTNSQSRPHSTKGSSPVAMDLEKGSISMEVRRDRDSMLAREKEREEERKRVQ KVVVRVEVHDTGVGLKKTDLLDGDLFSPYVQTEIGRRQGGKGSGLGLALVRQIVKLSN GRLGVESELGRGSMFWFELPYSLPPPPKAPRARETSGLNTPGPKSSGDVALERGGSND KTSQGGSGDQVPGVERSGGGGGGGPQRPGAMVRIQSTAEVINSTSHDGSERPAMGTTD STMPLLPSETLPVSAEEAVIDTYPPTSPSATSSTPSTWSSTWLDPFAVPMTYNTLTER RSSEWSEEMGRAAAAVQRLDSERAEEGRGLGMGVGQSAEARLSAEGRETNDTENAQSI KDEVARKGDGSAPLSTLVVDDDKLTRMLMSRMLTRLGHQVTTAENGKMALEIITDMLE GKSGAPTFDVVFLDNQMPLMSGVEVATAVREMGCPIYIVGCTGNALREDQDEYITAGA DTILTKPIHQKNLVEMIRDARKRVAGETSPRDMDIGPDEGPMG L198_00496 MLAFAFARSRSNAHHSGAGKNAAAGTAAGAAGGAAIRHHASNTH SNSAGDRSTTSSDPTSTQAPEQSHEGGGSNGLGTHAADCSGGPHDSTHHKVLPGNQPG SGYTGQGVSGGTRTAGEGVSTDKVGKQGQNAAAYERSTTEGPDTGGAANADRFDTD L198_00497 MSVFGSSSWGGNEREYVPMTTRDTKRSSTSLRILLIRLLPPAII LAIGVLIGSNFPFYLSVYNRRSSTASSLLPRALPPLTSSSTTDQLLSLGLPPPEEPIP NIVHYVYGLADDQPDFPYFAYLAMRSALVSLKPDRVYFHCIHEPSGYWWERVKDWEGW EDEEGVTRGLVEVKMARDVQWIGKSRRPVVHFAHKADIIRLEVLLEYGGIYIDIDTFI LKSFAAASLLQYDTVLGLEAHGLTFLGGPGSDDEMRPKGLCNAIIVSRKGASFLTRWL ESYEGFREDKWTEHSVEMPWTLAQVYPTSVTVLSERAFFWPLWTDDHIHAVYATTQYD FEASGQLAYHAWESKARPYLSRLDPSTIGHIATSFTRMARKFQEPDEEARWRAAGKED GAGSGTGVDEGVEEGEEEEVMGGQVTRGRGLWRKAGAMRWERLDVRRRIGRTI L198_00498 MSFSAPPTQPSSSSPFLPSPSRALSPVLIPPLSSTDRALTSPPL PISFRHPLHPSPPKPIPIPGSNDTSPVRSRPTRIPSIFRRPSLSTTIDLLARFPLTRH RQSSEILRHGKHAQTASPGAQPTEGKSSRSAKSSQVPTQQPISQQKSRHNDDAQRLAA HHAQTAQQQQQQQQQQQSRVPAASPNYREEAERIVADERAQNDKMPQYPGLEEYRLVE KMGDGAFSNVYKAVDRKSGIKVAVKVVRKYELNQSQHGNKHLNAKFQKRPRVTERANI LKEVQIMRGIDHPGVVRLLKFFESDEHYFLVLELMEGGELFHQIVKLTYFSEALSRHV IVQVAEAIRYLHEERGVVHRDIKPENLLFERIPIIPSKNPIHRPYDEEKEDEGEFQLG IGGGEIGRVKIADFGLSKIVWDEQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVL YTLLCGFPPFYDESINVLTEKVARGYYTFLSPWWDDISHSAKDLISHLLCVDPAQRYT IDEFLAHPWIKEAQPPPPPSTRLNVPSNAPMDSPLLASMRAGNREGRSPGVGALKEAF DITYAVHRMEEEGARRRAYNGPGGAGTRGFLHGLNEEEEEGDEAVQVEEARRKHGEVV ARQIQEHRGRAAANAASGVKEQPAPAQQYVGRGGANRREAEAALYDGRAGQRDRAEGK SQGGGGFELDLGNATLLGRRGKKVAPSPLAQMNQ L198_00499 MKAFQVSKLAHPNDISAYDSPTRVSAVGNGLTLMAIRSDIPIPK ADGSKGQVLLDVNAAALNFFDILQSQGLYQTKPPLPFVLGAEVAGTISKTSPIPEGCP FKPGVDRVFGITQGAYAEHAMAEWKRLMPIPSNLSMAQASTVPLTATTSYLGIVIKGQ AKPGEWVLVHGAAGGVGLAACQIAKAVGCKVIAAASTDAKRQFCVDKGGVDEVVDYTK DGWQNEVKRITGGRGVDIVYDPVGLVIPSLKCVAANARILVIGFAGGTIEKIPANLLL LKQASAVGVYWGATTENDPGTATQVTKGVLKLLSTNEIRPVVHSTIYRGPGEVLKGLK DIENRKIWGKGVVVIREEDGALKAKL L198_00500 MSYLQSLFGLQGKTALITGATRGIGARMALALAQAGADVILIQR DTSNTTTRDAIRATGVKADIVVCDLADSAAVSKLIPHVTKELGRTLDIVVNCGGIQRR HPVENFPDDEFNEVLQVNMNTVFTITRDAGRHMLESRGGVAGEPVPEGGVAANPRGMG KIINISSLVAYQGGLNVVAYSAAKHGVAGMVKSFSNGWASKGISVNAIAPGYISTDMN EALIADPVRSRQILERIPAGRWGTPQDFEGAIVFLASKASDYVSGECIVVDGGWMGRE SFPVLEG L198_00501 MRSPPLLALPPEVLEQVFLALALPDSLRFMRTCSLLRDIYNASA HLHYLALLQTSAYVDCLAQSSTPLDRHDEQPLSPTSSAPGTPIARRSNKPLAWRSPHT GKLRSTVSSVTLPPWPNEPPQSQPFSYPTSAAEKASKLRDREKRWETVDFREQRLLKV RGREGVYELQEGIFLLCNDVSDEEEDKPSSIRLIPLPSTDDPNLEDPPIQTKANELQM SISDLTMDPSQDLIVISEYNPASQDAMHPTPTHRYHLLSMSTFKPHPLATFPTLDFPP RTDTLPRTRQLLQVMGDTLAVLVAKYIPFWILNAFNIGNIWQRTHEEELVFWNWKTGR VLSRFPFTEKIWFSSFALLSPTTFMVTNTSLTIPYAGPPTPGISARDRRPTVQVYSIA TDPLHMVIPSQPLQNEVMDDTTPRPVLLAVLEMPKLDSDVQVAGFDVRPDPPFPARPK DKAGQEPAPTLTRHKPFTQDPSKGIMVLDFVLTERVVLGENAVDRIETWPFELFLPRE TLVKLGEEGEERLRDAWSAGGWDGLGVRGAQRDLEWEEWGEKGARLVDKIMPKRSWVC SCSGYRYISILPQDKSPFFEYDLDDQAPDDDPPQRDPNIPYDPQPSHIMLLDFSPYNV QKELSQTVPYPDSDDVEPPRRRQWAGETFVPPFTQGEGWKSRVVTEPTVLRKKNVWEG DVVSGLPYREVWREYGGLANGVMIDDQRVIVIKTKAQRNGDWSSISQEMTILCL L198_00502 MAFSAGPSIQWLQPSTSGMSYTQSFAIVLTSIFFVLLGTYLLLW PIAEWRLPASFRNLPGPRDDSWIAGVLPSLLTEPVNAPHTRWTNTYGLTLRYRIFFGI PRLLTIDPTVLSYVLYHPDLFPKCNATKRMLVDMVGKGLLVVEGEEHRKQRRALSACF TPNALKGMTSIFYDKAYELKDKLANMIEGDDPEICSPTPTKAGDEVEGGKQIDVMRYL AKTTLDVIGLAGFSYDFNSLSESHNELADAFGSMFAAGADIGFVAFLQVVFPFPIVKW IPTARSKAIAKAKEVTQRIGLRIIQDKKGEIMATHEKDLEKNVDLGKDLLSILIKANM ATDLKPEDHLNDQEVLDQITTFMFAGNETTSTAVTWCLYQFAKKPEVQERLREEVLAV ADERPSLDTLHSLKYMDIVVREVLRLYAPVPTGIRKAGQDIVIPLGTPVIGRDQQIIT SVEVSKGTAIFIPTGSINTSPLLWGPDATEFRPERFSQDLSTGGWDQIPGVWGNVMTF LGGPHNCIGYRFSLAEIKVMLFVLLRNFEFQELHSKPEIEKKASVVMRPKVVGEEEAG PQLPLMVRPV L198_00503 MTADYTSSVSQLYPHSSLPNQSRKHNISLDRLPLHIVSQVAFYV LVDDAGDAGHPAGLLPLLFSCKRVYQALYIKYNVSLYGELYKATFDYQALLRRYAWHR GNALRKEAGRANDIFQDSRLWAADYKDRWEMSRRLRMATGADTLEIPGVCDISMHNQD VWNIWNLWRRANQHSDGKNMLFLVHHCHLKGALELMYRDIVLPEAARPGFPVETGAKG IMAWLLVLSHFDSFKEDDQEEVDQKMFILRPYSVAAGIYYSSYAPYTVKKLPLQDYPS EAQAFAVVPHKDYTSTYHRFNTTWSRQPPQCILASEIGFFRLLERRSWQTSTGKGFTK EDSYSFEKGFPGILATPDASTLTSEAYDREWKRQASCQDPMNGPGLLPLTFKGCIEGT WRGKSLYMDFDTYRRILGGDVRLAFAGPYQSQAVEFVLREGLIKVRKGMVGGYGHVFH AGMINGDSLERELEWVANGCGHEFVSEDTIDEEGWTKEIIITGHGRTAWGVASIRGRV RSWDGFVLLSLSYAQDPGARWLWSGYVVPGGYLAGRWRDTVIPANQAGYEGAFVCIRE DAMPRA L198_00504 MADLRFASLKTDPRFRRSKQKNLKVEIDERFRDVLESEEFGGKG PGRAKVDKRGRAVAPSNHADQIKRFYRMKSPEADEEKEGFVDYARGGGALESSGSEDE GEEDSEVEEDELVLGAKRPNRLPSYSPSDSEDESDDDHLHIDLSEDEATSAFPPEADD IPDDESEAEPVDPSKRIAAVNLDWDNMQASDLFAVFNSFLSRPAIKGEAKAPSALGKL LNVKIYPSEFGKERMAKEETEGPGGGIFKSDKRKKDVKKARAVITREESGDEDEEEQG EGEYGSDDDESDEEFDSEQGESDGDDYDGPAPVSNAHKREEIDGLEIISDVESDAASD AGSEDINMDQLRQYQLERLRYYYAIATFSTVAASEYIMNECNGTEFEQTANLLDLSYV PEDMTFDEDSVNDKADKEPKGYKGNDFVTDALRHSNVKLTWDQDDPNRVKMTRRTLTR DEIEEQDFKNLVAFSGSEAEESDFEDENDAAGKKRVDKKKAMKERKEKLRNLLLNGGD DDDGADTVGGTKKPKSKASDDLEITFRPGLSTAPGVEEDNLTSLEKYKLRMKEKKQRK KEKMELKAAARDGSGDEGKDGGKDAFFGSDSEGDESEEERPRTAADSKLKPKPKSKAL EPTVHEEDDLSGLVGSNEPDTNFSMKDIVKSEKESGSKRKRRRTKKGEEREKELGQEG WKIDVKDNRFKALHEEPEFAIDPSNPHFVKTKAMQDLLDERTRRRNTQKHSEPEGRKS ASGVKNVEDKERNLDSLVESVKRKMDQGQQKAKRKRSRK L198_00505 MLKSEAEVPNITWARTYSTTFRYRFVLGVPRLVTIDPSTINYVL SHPELFPKPDHVKSDLISLTGGGLLAADGETHHRQRRLLNACFNSSAVRGVVPIFYDK AYELRQKLLDLLDGACAETPSFTPPQAIDLVEGARKIDMVKYLSRTTLDIIGLAGFGY DFKQLQEADTELSRPMKSLYAIILRPSILSILQTRFPLLRSLPTARMRAVKKNLKASR RVGERIVREKKAEALLAGGLFEKGNTGKDLISLLIQANMDDSLPAEQRLSDREVVDQI TTFMAAGNDTTSIALTWSLRQLVLSPTIQDRLREEFSTIIDDRPDLETLNALPYLEAF IREVLRHSPPAPSVFRSAREDHIIPLGMPILGRDGNMIHHIKVPKGTSIQFSIATINT SPIFYGADAADFNPDRFLDSPKKRKRSEVPGVWGGSMVFFSGPHHCIGYRFALAEIKT ILFVLLKNFEFAELPSKPVIERKAARVMRPRVVGEEKYGPQLPLLVKALGV L198_00506 MSAAPPGFAPPGFPAAPNGSEGDFFGNLSQDEIAKKARKWRQSQ KRKFDVKRRQGGGGGIDFGKADLPPEHIRKIIKDHGDMSNRKFRNDKRVHLGALKYVP HAVMKLLENIPMPWEQVREVPVLYHISGAITFVNEIPRVIEPVYHAQWASMWLAMRRE KRDRRHFKRMRFPPFDDEEPPMDYGDNVLDVEPLEAIQLELDEEDDEAIMEWFYDPKP LVDSPQVNGSSYKYFQLTLPQMANLYRIGRQLLSDYSDDNAFYLFDQKSFFTAKALNI ALPGGPKFEPLFRDMEAFDEDWNEFNDINKIIIRNVIRSEYKVAFPHLYNSLPRSVHI GVYHEPKNVYIKTDDPDLPAFYFDPLINPISQRVVQEAHTPLVSHEDQVFGFGIDEDD FELPEELEPFLENNDLENENTADAIALYWAPYPYNLRSGRMRRAQDVPLVKNLYLEHC PGDQPVKIRVSYQKLLKVYVLNSLHHKPPKAMVKRNLFRSLKNTKFFQTTTLDWVEAG LQVCRQGYNMLNLLIHRKNLNYLHLDYNLNLKPIKTLTTKERKKSRFGNAFHLCREIL RLTKLIVDAHVQFRLGNVDAFQLADGLQYMFAHVGQLTGMYRYKYKLMKQIRMCKDLK HLIYSRFNTGPVGKGPGVGFWAPGWRVWLFFMRGMVPLLERWLGNLLARQFEGRNSKG TAKTVTKQRVESHFDLELRAAVMHDILDMMPENIKQNKAKTILQHLSEAWRCWKANIP WKVPGMPAPIENIILRYVKSKADWYSSVCHYNRERIRRGATVDKAVVRKNLGRLTRLY LKAEQERQNGYLKDGPYITSEEGTAILISTAHWFESRKFAPIPFPPLSYKHDTKLLVL ALEKLKEAYSVHGRLNQSQREELALVEQAYDNPHETLSRIKRLLLTQRAFKEAGIEFF DTYDKLIPCYDISPIEKLTDAYLDQFLWYEADKRHLFPNWIKPSDSEPPPLLVYKWCQ GINNLTDIWETSEGESVVMMETVLSRVYEKVDLTLLSRLLRLIMDHNLADYITSKNNI ALTFKDMTHINTYGMIRGLQFSSFVFQYYGLVLDLLILGLERASAIAGPPNAPNGFLQ FKSQEQEGKHPIRFYSRYVDRIHILFRFTAEESRDLIQRYLSVQPDPNNENVIGYNNK RCWPRDCRMRLIKHDVNLGRAVFWNVKNSLPRSITTIEWEDSFVSVYSKDNPQLLFSM CGFETRILPRCRTQSGEQYSLKDGVWNLTQEATKERTAQAYLRVSDQGIQDFNNRIRQ ILMSSGSATFAKIINKWNTCLIGLMTYYREAVVHTNELLDSLVKAENKVQTRVKIGLN SKMPSRFPPCVFYSPKELGGLGMLSMGFVLIPQSDLRWSKQTDSGGITHFRSGMTHEE DQLIPNLYRYLQPWEAEFLDSARVWSEYAMKRKEATASNRRLTLEDLEDSWDRGIPRI NTLFQKDRHTLAYDKGWRVRQFFSQYFRLRNQPFIWTNQRHDGKLWQLNNYRVDVISA LGGVEGILEHSLFKGTAFPTWEGLFWEKASGFEESMKNKRLTNAQRSGLSQIPNRRFT MWWSPTINRANVYVGFQVQLDLTGVFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDL CQVFDQEMEALQIETVQKETIHPRKSYKMNSSASDILLFSSYKWQISRPSLLTDNRDV MEGTTSNKFWLDVQLRWGDFDSHDIERYSRAKYLDYSSDSQSIYPSPTGNLIAIDLAY NLYSAYGCYFPGLKPLLQQAMAKVMKANPALYVLRERIRKGLQLYSSEPTEPYLNSSN YSELFSNQIIWFVDDTNVYRVTVHKTFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTS VWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIV IKGSELQLPFQATLKMEKFGDLILRATQPQMVLFNLYDDWLKSISSYTAFSRLILILR ALHVNNEKSKIILRPDKNTITESYHIWPTLSDDEWMKVEVALKDLILADFGKRNSVNV ASLTASEIRDVILGMEIAAPSVQRQQMAEIEKNTEAQAQVTALQTKTTNIHGDEIVVS TTTQYEQATFASKSDWRVRAISATNLPLRVNHIFVGNDDVKDDAGSFTYVIPKNVLKT FIVNADLRTQVVAYLYGSSPADNKQVKEIKAVAWIPQRGTNNGVDLPATLPHHEFLLK GLEPLGWIKTQSQELNHLAPQDITTQAKIMSAHPEWGPQSICVTCAFTPGSVSLNAWE LTVGGFEWGRKNEDVTGQNPGYNPSMANRVQLLLSDRILGMTMVPEGGIWNYGVGLTQ SWSDKLPYTVTLDKPEPFWAPCHRPNAFLNFAAMEGDDAADVENSLE L198_00507 MAPLRTYTDRPVVLLTNDDGPPCASSPNIFSFCKLLQSKLGWDV RVVIPDCQKSWVGKAYAISDVIAASYFYPLEPDGLHGDITTERRPLKEGETMEWVLLS GTPATCANVALHNIYPGEIDLVVSGPNHGRNSSTAFALSSGTLGAALAASLSIPIPGP SSRPSLHETHIPSIAVSYGVVTRPVSPRLLELATETAVNVCQRLFNSWGEDDGPGGKG LVPIYSVNVPLVEANLEEGARKVCFTDMWRNAYGGLFKVTQLEPSLIWWPKESKPKPG PQTKLVSAAASTASLQTVQSDRKCQGQPQASGSDNHLRSKVSFDPGDHAASWDQRSTT NQPQNVEAPLHSKSSAAGPNALPTSTNSPKTSAADDKQLKFHFAPNMKPLLFPDEKDV PEGTDAWAFAKGWTSVTPMRAEYACVAHGNFQYGKLWE L198_00508 MATEFDDVLTNQPVVIDNGSGNIKAGFAGEEQPSCYIPSLQHPR VMAGAIQDNLFIGRRAQEFRGLLKIKYPMEHGVVQDWDDMERIWGWVYGEGLKALSEE HPVLLTEAPLNPRQNRDIAAQIFFETFNVPAFFTSVQAVLSLYSSGRTTGIVLDSGDG VTHAVPVFEGFSMPHAVRRIDLAGRDITDHLQLLLRKSGHNLHTSAEKEVVRTIKEKT CYLAMNPVKEEKDQGGAWEEFRLPDGKVIQLGTERFLAPEILFNPEIVGQEYPGVHQV IVDSINRTDLDLRKSLFSNIVLSGGSTLCTGFGDRLLNEVKKLALKDVKLKIYAPPER KYSTWIGGSILAGLSTFKKMWVSADEYKEDPDIIHKKAF L198_00509 MSAQPDTIKLSPEAESQYERITRNLQEVTSADVIRKVLSEDKVV KAYWGTAPTGRPHIAYCVPLLKIADFLTAGVNVKVLLAGKSLYFLHAFLDASKSTLET VQYRVKYYATLLKTVFTALGVPTDKLEFITGSSYQLKADYTLDVYKFHALTSTREAEH AGADVVKESESPLMSSLLYPGLQALDEEYLGVDFQFGGVDQRKIFMYASHFLPRLGYA KRAHLMNGMVPGLSGGKMSASDPKSKIDFLDTAADVKSKIKNALCPPGEIENNGVIAF IKTVLLPIQALKIENAANKGEKPPVGGNSFVFPGAPEGTIFSISRPEKFGGDIHFKSY EELEKSYIAGDVHPGDLKTGVQDALIKFLGPIREAFDADKEWQEIERQAYPSSSAAPK VEEKKSKKKDARSKPPTEEERAALRAAKEKEKAAKEAAKAVNEGTALPVPPVDKTTPS QLVQGSKAAVAASGVGTSTSCVTSTHLPKLKLLAKGKVRDIYALPGKEDEDKLLFVAT DRMSAFDVIMNNGIPSKGITLTTLSLFWFEKLKDVIPNHVIHPSPSSCFSTPAQSWDE FPRSLDEYRDQLEGRSMIVKKCEVVKIEAIVRGYITGSAWSEYKKSQTVHGIQMPAGL VESEQLPKPLFTPSTKADQGEHDENIHPDKVKDICGPELAVAIEEVAIKLYSTAAAYA KERGLILADTKFEFGLLPNPASPNNPSLILIDEVLTPDSSRYWSAAEYTAGKPQASFD KQYLRDWLIKEGLKGKDGVTLPNDVVGETRKKYEEARDRVMGLGEFGKHGRVGQVAGD EVALQTDQAADAIEDEARQAL L198_00510 MPAASDVRAIETAIERVWVPGIQGKSSVAGTVGTEWKLRGNPWS GIMTEAIQARRLLIHIFHALSAVGWDLHMSVDLTKKQYDKDSVIFRKTTPPREKYFFC VSFNEGDKVRIIDPPNNDVRDAFIQAVRQTWYRGVQTEKEKEHGCYQIKLRGNPWWSS NGLDVNHARIVSLAILSAMDVQGFELAASVDMSVGTEHGGDVDTWFFASK L198_00511 MEESASHDPPPLSPADVFGAHEATGDSNDAFAQLARDSDQAETT EEQKQDREELPIETAEVPLVSYNPSEPSVAQESSEEHTPIKPEYSVQKEPVAAQPALL PQEMPLAETEDIDAKSKILGHDPEFSAVPQSEPAVAQPQTTLNPSVEDSSASPSDFAN EQQENHPVLEADGKEHGTNISPHADVIKMREKQEAASVPTQGSQENVEANGSSSDVTI EPKLPAASEGETQPHESLQEPVSSSLFTEDASPPPFDSISLQNDIETSQPQPRHEAGQ SREEKQVPNSDHSAPELFEQESEPSVFDTIASEVWSAPPVQEDTTPANPNEYVPTPTL LEQGTASSPSNHIKSQPSAEPGATHVALETGTPEADGGFNAQPSPAAELFGDEPETSL FDQISPQEDTSPSMTGKVKEPAASGLFEDEEEASLFDQIMPEEHQNASGLHAEKEEKG ASSIFGDEAGASPFDDLITQEEPGSTVEYSGAGESNALFGNDQSDRFPSSQDLPELTQ DQGTESTQTQSTNDDQEVPLGWYDDYGEFQWYTEEEREQVRLSMYGPAQTVEVRKQAS QDILSAGFGSCKWDHSTSPVMADGSVAMSPALPRSPAVQTESLLSENALRRTPQPQAV SEFDSRMYAPPATASSYAPSNTSQSTYTHSYTDSLPYVPALSGPAATNTYNPYAPSND PLPTPAKVPIAAPPSAPSRNPTYDAYDPPMAFKAPPVQARPSFTVPSSYAPSPVQTYA LAPPPPAKSEPPQSRPQPLPRTASNAFDPPLKPVSKAIPRPASAVNAGASPYGFAPMA VPREASQMPPMPPAGPPRGVSRPSSRGPAFALPPSQHQAPLAPPTGQLRRPASKSRPP SRGPAFAPPPSFQAPPVPEIPSSLKRTSVESQRDEYTSLSHAEGLRSPPTQMTGLMSP PRAHQAPAPPRKTTGISSPPQNDQAMTFPPYDTGLALPQQSHLPSGPPGWTPAEDSSL SSRGPAYAQPPAVSSHEPSPYSPTQQLDVYARGTSATQALQQSPPRSTQFDQSTLAQQ QQRGSFDDPYAPQHYIDELHEQYGSQGQQYGGRGEEQQEPIGKRPDRQYSAYEPYVSH QEPVKIERLGQASSPEPSPYDSPYAPATLPPHQATAPSSRYAPPPSSAPAPAPSRHHT APYGAYDSDPYAALPPSTAQKTSPYNPAPPATFNRAASPAHSSVYGASPPSANYFQSM SAPQRSESVDTSYIPQQILEQRPISEDPLGRTTLVARNVPIAIFGFGGVLVTAFPGAS QSDNFDKGHERLPSYGYASHRGQLWIRNLSEVVAPSALKVDLSVFPGPLVNEPTPVKG GAAKKKEGVMEYVKTRAEEIEKGLPYLKTAASKTRREEEGKLVLLRLLEAMIVGEGKL GGKPDVEDAIRVALANPNYSNLSSAKLSSSSTAAMPTSQYAGTAASAPAAADASQLSY ISSLIAQGTKREAAEYAAEQGLWSHALVISSAVSVELWKDMVKKFTTAELDGRSDGTG AIKASYSLIGGMDAASLEDLINAASIGEDPTKDQWREVISAVLFNAKPNELACLDELG SKFLGLGLTNAANACFLLSPLSPFLDTSPAALDRGLMFANERDEDIIIFAEIAEYARN LVSLPRGQEQICTGLPQLLPYKLARAWRLAELGETELAKRYCTAIEAGTKPLIKGAPA QSLLSPAFRASLEDLLERLTGTPSVNPAKVLAGGKRGKQPGIDKIGSWIEGRLSKFIA GEEGEETAPKPPTAGGKSSGPFAHFSAVSPDLSGSVTRNPSMADVPSHNATNGYLGVQ PASRATSPASHAVDNPQSQSYPSQSPYGVPQTLSRTSSPLATPNANTYSSANNLSYGG PYSRQSQPPHDGSSTAWDASAENLELESETPRAYEVPQKYGEDDLYNPMAQHSSGTDS YTPPTSGPSKQQASYDLNDDDEDLGFGNSSLSKGRTVKPEADSKKAGAATQQEEPKQE KKPEQPQTTSWLGKLWGKKEGGPIRAKLGEESTMEFDKASGRWVVKGAQAQVSAPSAT PPPPRAQTASPSRAATRPDASRAMSATPPMPTNPYATHPPGAQAPPSSGSSAFAEAPD GGIKRMKSSLPVAPPSSGPPSGLPSRPPSGPPSSVPPSRPSTASSSLDDLLSGGPRPA SKRPGSALKKGARNRYVDVFQGAQ L198_00512 MPMNRALLKKWVPVEVLPIFGIVGIAVVGASAYLYKLSQGPEVV WDRSSDWRPWDKVQHDQNLKLLSYNPEFWQKRKEQAKETLGLKSE L198_00513 MVAFRAPAALLSFALFAWSLVGAATLEELQADAGSRSHRTVVPN RYIVEFDSSAHYSSAGLKRAATPHEYIYSQLDARSTSYTVHAEYSCQLFFGASLSLTS EADLQVLLNITGVIDFRSVHSLSLPADAIHAENIGWTAQTTFSSSELISEGDESTSTS SSSNASTPTASVNSTAATSAPFSNLPQIGADKVHATGNKGKGIKIGVVDGGVDYTREP LGGCFGSGCKIAGGYDFVGDNYNGSNTPVEDSDPYDNCYSHGTVVSGIIGANENEYGV GVAPEAEIYVYRTFSCDGSTSDDIVMSGLQRAYDENMDIINLSIGEASGWTESMLSVF VSRLVNNGTIVTSSAGNQGQIGAFYSYSPAAGEGVINVGSSDNSIYPAHYATVSTGYG PIPYYNYKAYTDGTLQLYTIDSDEYGCTLPDDVPDLSPYLVIVRRGGCSLSAKAQAIY NAGGTALFVVNDGTSLPIYQNFPLINFAIISLEDGNYLLDQINASTNATVSFSFNPVA LPNVWTNNTASYFSEIGPTNDLYLAPDVLAPGSNIVCVTPTALYNWTIGDGTSWSSAF AAGAAALYLADKGTNNITPADVKGAFEISADQLSYSMTDSSLASVAWQGAGRLRVDAA IDATAVITPSEILLNDTANFQRQHVLTVKNPSSSWVTFRFDHEAAGTMLAFQSGLNQS ADEPVPQVNTSASVKIFPSTLTLWPGQSLVTTLTFAAPSGLDAAQFPVYSGFIKVTGG ATTVRVPYLGVAANMKDMPVLDPTSYYLGINTPVIMNPSFSVQDGTKAYSFNSTDYPS VLYRLAGGTPYISIDLIDSNSTLSFTPDYTTKKRSGQFEHPAEPRRLQSSGGAYPLTK TSSLLSLWCKLTNFQGSGCSSTDNTFGSVDILGNLYSDEWVPRSTDNVDSEGGDYSTF ELSSAAFANGTAIPGGTYRFLLRALHIFGDSTEESDYESWVSQPFIVPQ L198_00514 MSGLPPPPPPGVPSSKAGFRGSSNFPAFSGPPPGMPPPVNYPPP LLPGWTEHRAPDGVTAYYYNSQTRESTYVRPAVPSYPPPGPPPTSAQALPTEKKKAKK EKAKEKLPIPGTTWVRVITNEGNVFYFEKEAKRSEWTVPEEIKDAVAELHLQEKERRE EAKRQEGQKLEQERIEKLKELERMRSDLEDEKRRNREQLEGERKRKVEEGGDDAGRGK KTKVEEDDEHAAGPEDEEDEEAWMKAVAEEFAEKDAADKKNQDEEEERAKNAEAEAAK KVFAVPEKVNVSIEEGRALFKALLIEKDISPFAPWDQSLPLFINDPRYVLLASMKDRR EVYEEYCREAGRAKRLNKTTTGTSEKKSSDPERDYKELLEREVTSTRARWDDFRKNWK KERKFYAFGRDDHQREKVFKQWLRDLGEKKRLAAQRAEEEFTTLLKESKGITISSSWS SVKRSLSSDPRYDAVGSSSLREELFNNYIKALSSDSAAAEPQRAGNQSAPAETKEEVA ARKTAERKAKAEASLRDREAKVREDRLRMEKEMNKSKVGAGREEAERLFGSLLVDTIR DHEVSWQDASSALSSDPRFDHPALTLGNKQRLFHEHIARVASKRSGALHQLFETHTPG LDTPYEDVYSRIVDDPIVKRLGLQGGTLEDRWKAWLRTRETQARREFAEMLGENSFVE FWGKMRKKTLDEKALEVQEQDEWDEGEGMGEGGAADLTKLARQIDLGEIKSVLRRDKR YRLFDHVPEEREKWLRDYLENVEAASGSQTIHNIRPSR L198_00515 MSDSDDSDDFFVSKRKPIMKASTPPPVLSSPEPSDDDLSESSKK KKRRPNKKAEAKALPEWTRPASETRRERERKRSEKRSTESKERGRSSSMAVVQEESEL PKSTRSRVVLTPPPPISAKKQQEIKDLVQSMYGDKAEEEEEKFPAEEVDDSLPSPSKD EVVDVTVRLQLDPIKKSKAPAMAIKKFEMPRTVKFRREDNMYRVLEVLGDKLSKSPDD FVLVYDGKRVWPSDSPKGLKIFGGSEVDMMGYEKLYWEKMEKERRQRLENYDLSYDRE PSLANEDGKPGDTNASAEAASASPAPPEEAVKSIRLTVRGSDGQEAKIKVSKTVSAHT VLRFYCKKVGRPKEDADGMQLVFDGDNVNNDEKMGNIDCEDGDMLEVRSR L198_00516 MVRYSRDMMYYERDHIPPCSVAPFLRIYLDSRVTEVERQRRLDL NATFVPGRITKELDHAVRWIEDEEAEETGARYRIGELAWIVEMAKWGYPPGWIASQGE SLPAMIPFPAEDDDAEELAIIGGEMEGPRQSREDSAMDIDPPSPLTDPSPPPLSPPPQ PLSPPPPPPPTQYPPPKRYAVYNTDMFHYGRLAPFDVIAQVPLGFPKWR L198_00517 MPPRIPLPRLTLFTGGKECSLCEVAKEQLTLLRQSHPFEITYWN IRSPPLGTEAQEAKKWRRLYQYDIPVLHLEGRGRVQKHRIDRDKLGKVLEEWRKEQEN LASEKGEQQCTTTEYSKPPWRTAIGTAFFSLTCPVVHAGTQDSLYSDILQRAFRNFSD VPVGSDVPVLKLGTVKDSEIEEGEINEDGEEDIGWEVDTAGKDWVEGDTSWILFEHDD GDEQLKNNRTHL L198_00518 MSYGAMTGISFTNGGGFSFEGKPKSFKWGNGGMMGSFGAKYYGD AGGDSPMIPFSSSITMEPSSFAASAHAFGLPSGSLSSSYHRRSYAAAVSGRDAANLST SFASMSFQPMSLGTSYNRSQVQGLMRSDAELTKAYECCNKTHSGLHALLEHVEDCHPF ADPNMQPEDTFSPVTHAMDLDLEDVEEESVPVPASGSSHASLSPHSAAPVPVPNYPLP KASPTDIGNKSPLKLSDVLKSPPDGETLVRPSNLTLTRTQSSSSSPPEGSIATPTAST HPSPNFIAPKMNPSRPAFLGSNAMRPALSQKRFDRAFNEVVAGKKDDVKSDVPTAVAP GVLFASAVSSLGIPTVPPGTKTEQPATPAPKEGETPTPAEAPKAPSAASTPGSIDPAV KPAGTPTSAAPTTQNKGIEAALPQPSLFSSHKPWRCPNPGCNKAYKQSNGLKYHQQKG QCDFAIHDAVDLGLTLEEAEERNRPFVCAVGAGCTKRYRQMNGLKASLSQKFYHYLNS GEHGLYGLRMLQNGTHPHPPSLPAPPAKARPTPLPSSTAHGNAPISRPTGAPYAIPSH ANHAPHPGQQGRVVGQGPGHHPNGHGPPRMGTWPAQRPANGVSVPNPRPNGHPHAPPR PQARPPPQPQPQPVTKGRDAVLFSAVGSEDPMDIMSRMDQ L198_00519 MSRRQPAHIFSQRLSWASRPLHVPYGARFASTTPPHKPRLTSRK VAYTLFGLSLSLPAGYYLFPSSSPLLPYKYSDQPLQSSSPLTPVHKLLTVAVPPTSKE WFEKPYRTDGTLADVEGGEIVVQHVMVKSPDIQIERPYTLINDPVQEKSMRMVVKRVR GGEVGRVAHSTKEGDNLGIRGPIPTFSIYPSQYDKIVMISTGTAITPFLQLLSKLSPS SAPSLEIIHALPTPSPNALASNGSTPLSPLDLDWANSQQDPAFLPRHVEKFGSGLKVT RFVQGTVPREAVEGALVGLSKERVLVLVCLPPWLMRPLCGSMTPNLDQGPVTGVLRDL GLSSRQVYKLG L198_00520 MADPFHLQPVISDRSPSADLLDFDSEPKQGFIASAASSITSRAN PPPTGTQPNEERKIRCIEGYEHNIYVGVSDGTVEWWVCEGAAHSETNGWTMRRKQTLF PRRPVSKMYLLPNVSKILVISDGTMHTLSLPDLAQIPSNVIPPMRGVMSVILNDDELD WRPGSEERTDMTVVTVRRHGLGIYKLGHKFQAIKEIPLPSIPTHHAIFQTYLCCALYP PSEDDDPPELTNCVIDLSDASLTPVAPVDRSSNGAEQEKKNANIVVIPGEDEFLVTSY SGFSTLGLFLNGQGDPVRGTIEWESHPLSIAVESGWIIALLSNQTISIHALDDPTTPT QVIPIPQPANAINLSYSPYGVRVQDLLTGERLKTVKSRFLAGRLVSNKEEAGDPPEEE GDVDNEDPPSGSGLTPPSSPPRPKRPSDIPFLTTTSETFVVTPFCIYSLTLTPPVLAM ERLCEEHKLVDAIAFLDEYRRQNRKGILAPPSPVDQISTSLLVRYLSSFLASHLTQDA SFEKAGALWVKGKVDPRWIVRLFEGLRGKVIGLEEEGEVWQGLKEAWSGLASTDEMIR VSIKKNYSPHLPPSTSASPASADLRRALEGDANVMLLEVLRKTRASRRKGGGIRGVDG RKIDVVIDTVLAKLLTLPLPSGSNHIDELLVLLSSPNDVVLSELEPFLEERKYILAKV MRQEGKLGKVLDILQEMVEQDHGDPLCSDPLEEFASTLEGIECAEEWEKRVMWLVTKR PERALDVLINHPPPSLSPTDILPSLAAVDAQVHRTYLEHIVVTKRSPSRPLHQQLLDL MLDEASTLVQDDGIKYHLSDLDDEYRKEGLLQAQSKGRKDGKERETFIHFLARLAPDT PIKRLRLKLAFLLQGSPFYDVKRTEDRLEGIAELGWERAIVLGKLRQHDTALRLLALT LADPLTAQTYATTSGIEILSPRLAKEIASISNIGGLEHWATLGEVGRKRKGKVEVGDG EVRKLLDVYMADGTPPSLQSASLLLATLPTLPPLDPILPSMPSDWPLDLVSPFFVRSL KKGEERRWEALVRKNIARGEYEGVEERWLEEVRKMKPVIQGRSLDRGREEKSEIDEKG EYDEKEGYVVDEPQGRVLDEKLQLPFETVVGETNDLRETNYRRL L198_00521 MDRRERDRDPQASSSQRDDGNQDRVKDRRRDRDDKDRDRRDRDD RDRERRRDRDDERRRGKYDSDRYDRDRKRDRSRSRDRSHKKEKRRHDSRTPSPSSKSK RKEAKSAAKATAKREAELEQARALAELSMYSATDNPFHDTNLGQQFQWNKKRDKEKKA GMSAEEIARKDAIRRQEAKEELERLNKKRAEREIEMQLRDEEENRQKRLAEDAAMADW IAKEDDFQLEQSRRRAGIRLREQRAKAIDFLAINLRFTDPKFSHQTSAIGSLTNPRAS EVEREEEEEGWGWADAGFEFEIDEPWKIFDNLTLEDCAELEQDIKMYLSLEKSPINIE FWKAMQIVCDHYLDKLRNPDQAAGGPLSDPEVEEATSNIVKGLSLQRLLELESRADGL LRSGQPVDNDFWELVLKKIYVEKAIAKLNSIHEIVLKNRLEQFKRRQREDAAKVQAEL GGVLATNTNTFGGDMHADAGVMPDEGDEADDEEDDEDDFIEDYNREMSPAIVDIRHMP IEERRLPIVREEDDLRQIFAARHSITATNFIPKHARAAAATAITTQSVSRPSAADMEA ERIYREEAEREARDLGSDESEEEFGDLDAGLDVPSTYDWTDRYRPRKPRFFNRVHTGY EWSKYNQTHYDTDNPPPKVVQGYKFNVFYPDLIDQSKAPTYYVKNIPEDPDTQMIVFT AGPPYEDIAFRIVRRQWEFSHRNGFRSTFDRGVLQLYFTFSRTFYRK L198_00522 MSRPSPHAPTSTRSASSPPVGRSPIGRDTTNAESHLHPSDHLAA IDQGLNDTRVPPPIYLRGSSAGGISNKGEIKIHLSQWYGYVQTTMRPSSSDYRRKAQV RPPRPFDNLSDQGIISPPSEDTVVQGSLEIIMNEGVRAKAISVGVQSVCRLYLGLDRG WEEDGIFERGIEILGDREEGIWLEKGSQSFSFTIVLPGTLAVTANSTFGRVTHIVTAR VEGGEAASYFSSFISKAFSPPSIPSKISNIGDFDRVIARSDELALRRSRSASTSRAVP NNGPAMDGRTSRDSEDSGQGSTIVTAEGSPSVLGLYTRRPSFESSPARSPRRLPITPD GECPSPVFSPLNGEKSGWMKGDLTSHDGLIVFAVSPYTGDGMTLDVRKEGLVDGLGLW RFTAGADVFTVGSVLMLSVSISSPSPKTTIFYTRFLLSEDYTITSPRTPNLPATRPES AQRHLLYQLGRPHKPGEKRPGRDVDALWRGAGVGGCPEEAGWKIKAMARAPGHMKIKP TTHERTITPLRISHDLIFQIYYSVDGEKVDGEPIDGPGELRVMQIKVPVIMSSCHCVK DALSLPTYETAQCAHRGDGPFFDIPMDLKMYCVCRSSFAELGEAAMRRSQGNEREEHE ERPVEGSGDKAREDMANQGSN L198_00523 MPSLETAGLQSTVSDSATGLTSTFTQSATGTGLQTATSTGYVYS YATSKIVRTAVAAGVIVLAITAIILFFKVSSWIRLRQRLRRQRLLALSIQSERQANAY EVAAWSDEPGVLPVSTRGPGFDQSRERKSRKERKNEMKAWKRGGANAYALHEWEGVAS L198_00524 MSRPAVTALARPLARQPTSLPARRYASHGPSYNPPSGYIFGERP PKDGKRVKESWENIYYVGMFGGMALMGIVYAYKPDTSIQTWALKEARERLEARGEEYQ YKPKTA L198_00525 MTQSADTAGYNQRAIKNLTVDFNDYMVHSSWDHVGRPMSEDYAP KNDGRRPPVGHASMKNWRIAQEDPWDNEIYDNLHKRLSLFGQWYNEEVLGRDEETCSG SM L198_00526 MPPPASRAAITRLLALTANQGCRGCGRSHSHSIAAPTDLPPGNA DYAFEMAASTLRFGAHATREVGMDFANLIREMPSVDRSRAKIGVFTDPNVAKLQVMEV VEESLLREGLDFVVFDKVSVEPTDKSWGSAVDFARSSHLTHFLAVGGGSSMDTAKAAN LLANHPESDMFDFINAPIGKGLPITKKLSPLIAIPTTAGTGSETTGTAILDIPSLRFK TGIASRALKPTLGIVDLHNTATCPKEVSIAAGLDVLFHSLESWTAVPYHERTPRPTNP ILRPAYQGSNPISDIFSRWALETTIKYLPRIARDPFGDVEARSQMLLAASTAGIGFGN AGVHMCHAFSYPISSLNKGRPKETQYHHPSYNPEIPLIPHGVAVSLTAPAVFNFTAPS SPERHREALRVFLGKDRVHEADATKDSDLGAKLGEEIQKFLDLVEVPRGLSKVGYSSG DIASLVEGCLPQRRVLDLAPVLAKDDKAEEREQLAHIVEKSLNW L198_00527 MSNTKRFIPIPSQYAIYNSYDVDPKWQIKFTIIWTSILAFSFLL SLPYVVHHLRIGRLYSGWAIQEYLDPPERDPAPAEPSKALSNQQTFHGRVCKGIGAMV QSATLLTLPMPNISFWKTQVSDCCRRAYFTLSVWQTALVGGYMSAVVACFVVGAQLTQ NPNRPGFLALAQLPVILLFSLKSPIPLPIFLPSLSYEHYNFLHRWAGRTLFLSVSVHG GMWINQFVTTNQYDQLSAEKSKRGMLAYGMMGMVVLTSLKPARRMCYQLFWMAHVLFF LGFFAAISYHTPYSRPWVWPCVSIYTYDLCVRMFRYRIKDATLIPIDSTLTMIHIPDC DAGWLPTQHILIRVLSGSGVFESHPFTITNAPATAFSAAPRGIILYAKVAGDWTRKLH NLARDTTCEVGDEKECLLQQQPSQESGLQGTDHPGRKVQIVIDGPYGGLKMDLDQYEH VLLVGGGSGITFILGSVEEALRVREKGRGPAKVDVAWVVKELSAIEALSPTLLHLHAL SQRLGLELAYNLYLTDPPHPLPPSPAVLPSTTTLSPYRPEVAQLVRESLPHPMPLRGG SSLEMGATDEEGHLGGHGGVGKGHGGGLAVIACGPANLISEASNSIAGLSIAERVRCG GIGFHGECYSL L198_00528 MEAAAAPDHPIASFLVGACGVIYFTAWSYSFYPQIILNFQRKRS DGLSPDFVWVNPIGFLALSLWTWGAYFSPIARRQYQERHNGHLPQVSASDLAFSLHAL VITIVTAGQVVWYAHVRKRARRASVGDEAAPLVRNEHTGSNNSISQTADNLLTPHSSI RPSPISQLFLSVLTVSSFIYAIVVWTGRAHFLDWLYFVGNEKLVISAIKYVPQVVLNH RLRAMEGFAVGVVIGDIVGSVFSFSQLVISSVWIDNDPSGIIANPAKLGLAGLSFVFD IIFILQKYYLYRKRRTVEESA L198_00529 MPHPQKRPHPRPSTSKSTAPPAAYGQAYEASLVYGQDHMAQSVR ERGGRGGLIQYAGEADKEVWADRHDMIHLLPSLPSEGRNIFIKASSLTRSSSSWSLPS DTEETWALSDEEEMEVYKNEKKKKWMEGLRAERLREREREDMDRGKAVQKSADGWDDD EEPPEAILTLMAHTALALSGSPNPSVLEIRILTNHSNDERFAFLRGRYRKAWEAAKGK VKREKEEKARKEERERGLGGLGGYGSDSESESEGTPEPPHDEPPPPPEDDPLPPLPPA DDDEEEKKRQRRLRAEEWKRKRAEAKG L198_00530 MYPQLAVLLASLAAAQQLSCSAPAPDPCLSPSPGGLFVFRQRHE PDTGGDFGSWGIDGVEVLHCETQLPQDTITYGPTYSHEEVGSYCLKSPLFAGENAFVE AEKEWAQSEVGEGVEEVWERAWNTAGRYISTLSSKCFKKPSKGVGVPQFFAVLQQLNR DHPTGQLLADRAITCDNTTLSAVSWPLAARGSFQEGAFEPASGLERAAGCPSDGIIYQ PSTARPVPSETASWDSILRPSPRPITLSHDESKVYRAQQPADPRQKLAMFKEGNAEKD EWREERAQKKFGRDEL L198_00531 MLNILSRVANDMPRDSMPLGGGESPSLPFDRERFLDLYQQASGT LDPDPFMGMAVLEQGLDQLFGGQEQDTDIKQGEEGIYARIDQPRRDLEPHLDPVTNGL ITEREARDLLSVYWSRCHPITRILDPKIHTMDYMRATSCGLFTSVLQVAAQCLPVSQH SAGIVARLDNHIDTLYQIIARKGMQSLELCQSMLIHNAYIRANKQHQTWPNVSMSIGM ALETRLEINTLPAYMFDDPIYAHISPERKRRNIQRFWLVLTDSDRKMSFIRGRRPLLR DNVIPSMLNLEKWYQEADALPCDVVSCSSYAFQNSVATLQRNIQRTMVSQSAFTFEMH MKRVDDTLNQWRKTWYNRLSRDDQMRAEHDLRATRFALLMTPYEHRLNTGEMKEIEHD ECLVAGLDLCKDAIPFLGGAVQNITPGRLYLVGYVSLCTLRIMDATRKNEGGHTPDIS VFHLSILSALSSRLRDIKAHSNIAVIGSVLGRRLLCACRKVAASTLGVAMDMPTPISE DALNLLGQDIPGPGSTDLSGIVRGDVPESLGGIGSLGPLDLAFSFDFTHLGDINPFLE NDFTDFPSIPFAPLPPAY L198_00532 MPGDLSFLDQLEDWLEAQIPNNIHHLPHKMYDTVEKMTGELLDS LNIHGPPSISIPFPPFGGKDAPPPPPPPSSAVSCAHSVADRSGRVLKNHPYAVGAALS VGLGLTGLMVYKGVGVFGNDWRIKRRFGVRGAVEDGMLKEAIVILAPSPLPPLLVPLA ASLLRSGYIVLVAVTNNRDAQSLERRLSSLEERAALRVLIYDPDDSTTFPPFHRSLLA TLTLRFPVTGKYAAGDPYNPQPTQLAHIHAFLSLYPLHPDPPSQPGALPAMPTLVAPN ANGSLPLLVNFYPSGSVPTTPNTFASQVLTSNHLLLGKNLAASSPGARVVSVYVGDID LPTLPAILSHGKTLTRRQLAKERLAQDSTPKQKVSVIGDYLFGTLRAVFGTIIGTVGL GSTVREYATFEKSILRIIKSSYGHDHFVGQRSMFPLLLSQLPIPGYLLPSLLTYLPSL PSPTGPPLPDHPKATRSKHAARPASRDADSERESEKTSAEEGSEHESTGEDLVSSIHT GTSLGSERGMDSESSEGAGSSGLEGSWVGLDSAK L198_00533 MEARTKEIGLWYNVLLSWPVFVLCFWVNAIWGPRISRRAQTMLH PTYRHQPSPASTPIRSRPAPSPTNGILANVFSSVARILLISDFTLVSRLIGLLPGVGK LGAFAYMCVVDSYYCFEWNFSMKQWPLEYRITYIQDRLAYMFGFGLPATLMTSFGPPL VTMAIFALIYPLFVIQALQSRPPTRQSSLLPSTPTGSIPPSPGAYSPGPSPTAGFTFP PPSSPAFSLAKLVNVRPRLPLFWFARHALEGLKWLEEAVGRDRSGSGKGVVGLGIRER PGKRLM L198_00534 MSHHYQLPGGYRPPHGDCFSASNDPQQHPPQTYPPAHHPLPSPI NIHPPSEWQSEAPKTSRKPPRAPSAAATKTTRQQFTACSACRHRRVKCDLKDKAVEAE KAENDREGLGPHRGKAKVVQCSNCVERGLKCIDEFAPMKAAKLLRRGKRVAEIEVLYG ANAPAAQEDPTSPSKATSSLIPTRPMAHPSLPELSHAFFESSFFRRFQVQRPVIDPGN FVKRYRSQACPTAAAMGTEGAILCHVLYAWAVSYGVDEHGNMDQPDGGGQPLDTINLD QPTEVELEREKHRARRRAKMKDVTEIILKEIDEAGLLRKPTWDGVRVLLLILPLTDGI SSPVERMTMYGAALSQVYTLCSHTAHNYDGQPSATAFVNGGVADDLEMTTVRVRVYWY SFVHEGITTGLKGGRLTLDDDDLETMQDMVENSALVRDSGAFKISSKFATAPISLALA CRKINKALTGTAARRRTDVNGDLLKQAWEALERSWEEFDQLLASGGGEYMLSDEVTRF ADGWKIFLFEAQNVIYNNLEDRRQRLSDPAATLTARISSPSSPSSSSPPEIIHAARTT NITHLLDIARSKCEMKTRQILVLVRKYVGTTFFEWDASLVRDGAYYAALWVARGMGTG EDVRVCLRALNELRWAHAKAVERSADLRKLWHEHASSPASQDDKVWDNILSNLESLGR PDHVRAPEQPHEGHSSSGGSGQTSNSNSSSRVPQLVPYNLQQSSYELQQGQSNQFAPH DSRPPLPQRQSYTHTPYPAEISHPQFRPNVPSSSSSPFTSPLIISPYEPSVQMHGAGM LASVYSQSEQESYEHVAQYQQVHTGAMTFEEMAQPGHYGGHQYEAFNERGGAGYGEYK EPYNRQSFQPQFHQHQQSSQGYASLHVQQGMPAPDHHQQYQEQSHSLFHESGMQGLGV QGQFIIQPDGSHVYNPYLHNNQHR L198_00535 MDKLPWRTTSGGKKGPFTVDGGGGQASRGNTRGPERSPSRQQSN PPTPAMSPSIPINRHSFPYPPQAYPAFPQSSSFGKSGSFHGNDHHSSMSTTPTPMTPI EITTAQLRNSYHQGTHQSTSRSKRNSHLVSTTPIEPPPSPWSGGLFGQSDNDAFDWSS LPSSASWLPPQQNKQINNDEPLDPSIFASLAQIVESQSQRANMASTDMMNVLDTTSPK SSGGAHSHSSGVSLLNRRMQHQQGNHANGDHIPGILADPASLGAFPGSPHSYNHVSFA HPGGKNGKGAANGQPLTPWPLSERAQGETPATTPGGSESWVNSPKEAGFYGSSWQQEA SLRPESQGSRHRPIAPRRKEAPHYPAPTGSKPGSVGHSRHASRAGSEAVPETPLPAGV ELPELPPLPDGLSLEHLAQYGAAGLEMALRMGMGIGMGLGQPKPEDQQQWPLPSTNAP TPSSSQNAPSPADSKGRKNSNVLQNILEDDFLGGRVPSTPLMSPPLNIDVASFPVTRR PSQSDLASPTLPPLGSPDEMAKKDPLAAQVWKAYARARETLPNGQRMENLTWRMMHLT LKKKEEEEKLAREAEEREREEREKEAAQKAAKDELPPMEERRGRSKGKSRIVGFAGAT GSSSQSPNGMDIDWRAASRSRSRIPMDIDWRASSRSRSRSAAPFHNIFSEAHAHSLLA SGGTPTAEMGQYMTGNGSWNVEASGNDSHGSQGQRHAHRQHAVSLPANHHSIMEAMGM SADGKTGEEEAFGASHQSQKAMEHLQMALASEQSPNSQAYNLPGISGPGLYSNTEENY HPQFGFLPRRVRKTSFDHTLKRVDEDESFTSPSSNPRKRQAEHSPRDGANKPLPEGDT GFPSSNFTFSFPQSYENFFDLNAASGTPSVSGEQGQNGEGDVDLVDFAEWASQPVTTG TSAFGSPSAFNNVEPGMSLPAMPQATGDNPFDFQQLMHLYLNANSSASPFTHINPNQV SGGGSSQGHTPGNEFSPSAISPASGAPTPGSNSGAAIRPMPKAVGGRPVEQSNMAPPN RSNSTPHLAALKTSGSNRSSRNKGDFAGPSNKSSKNNGNSKEASVSRSGQGSPEDEDG GPGSIMSNGENPTMCTNCQTTNTPLWRRDPEGQPLCNACGLFYKLHGVVRPLSLKTDV IKKRNRANQGKETGPSRKGSVAPPKNVSAPPKPSSPSATTSSAAGGGGKKARRTSLGG SLPNDSSQLLSMSSQ L198_00536 MTQSPFAPFGHQNMAPTAAGLKSKRKRMSWGAEEEQSGSKHMRV RSPTMQYSNYAVPDLVADHSNNASDDDQVMDMDQDMDMADSSSAVGPSSPVDNYSQGS YAYESGGSGGFGFGPGAEEDGFDDLDMMDDMGAKHTKNFPSLTPHPNPHHFSNQGTGM RGTSPLAAQAFSTGLPAPPTRGVYQPTASGPLAANATDIDRARSQHGPWCKSIPKLIM SEYPGANGKRSMWTVCSDCGACEQTTD L198_00537 MSEHPPPDQTLTSLTSHLDALEAVLAPLEAKPWSDTTDRLSTLE RTKMDVLGAYLINDLIWIYMKMKGIDPAKHEVTAELERIKVYYGKISSAEGKEEARPR IDQAATRRFVSNSLPRAQHLPLTNSAELAARQAAYIAAEQDAENTLRRFGKASRFRHI QDEGSVKLVPGDARDLEDEDVMMASAEQGQQQAEDFLKAFEGEMQA L198_00538 MTQHPPDTPERSATLPSDLTPPSHPHGPRNPDHPGSSRTRYHTT PLPQHQGSPSQPISVLDSSESSPDLQPVDVGEPEPEAVYRPPEPVVDLTDEQPPPYSP GIVADPVDAGIEMDIDNKNSVKDISDWQAGLGQYNLMDVDQPSLRSKPQLGPGVLPRR LLAIIHEHELVRPYIDELPEPAKRIQEGLESPDVRIAMSEDVYNALPGGGQDHDHWYF CTTCWSWLRIIEGRDETFPQIPSMEEWEVWAVENKIYDEQEKFEAARKERQYQLDQLL LCREAGRTAGEPHEHFHEFRNIVPSLKMSRIERLDVEEHQNLFPHVTFGLERDEKLES FSVPHAPARLYVSDSSTSWIFVDEGMVPGQIPAGLVQAFTAEKMSNPAPGKTGYKSVS DAWDLLATLLSNALFKGKRGAVSLANQRVQNCIGQGILSSHVLYHSGFACTEDDDSLR VGPYRGREDDLVPAGQLGTMDRYMLRVWVEMSLYLKAYQIRNSIIETCHCCAVEPVRL EFSLESYLPFQKYQEARPSLPDALKNACHALGATRFDTVDTLELAYDLQIAFDEVNTP KYLGALETISEGPIYGKDSAQLKVAMEKSMDKFTESESTWYNDLLRAYSLIGYTTDHA ETICVAPHEAPTDYLLDMHKKAIQACTSPSARQDVNDALAKIGKARESFMLQSMAEKG QTTVSVQEAYEALSAPRDAVDDGLIMQYEMAVNEYPGKADHYRMCLSVIADAPGEERP GLKTFLQTGNRDPGAPARKDIPVGLQNIGNTCYLNSILQYLYSIKPLREAVLEFDQDE NNMATPVKPEVERARRFVRQLRLLFLQLYKSELPAVRPEEELAYLAITRPEVDSWVDS EEQPVQISVSASAETAKGEPTGSALDSIPSIPDLADVPSSPTSTLFASAPGSPLKETP LELAEPSSIQSDQSHKDDGGRSPETSSSRRSTSSSVLGKRAINERERSFSPGEERNRL KSAERSMSGIMDEEDKEDENVTPSKGKRELVESPTMTAEMSHLELQTPAKDGGETETD EVEAMAVAEDERFAPPSVPPPSLPPRPPVAKKETLSSGLKFGLQQDSAEVLINILTQL EMALERQAEEGEEKPNLIKELYSCSFHQQTIYESALPTPGGSTAYEAAKDTEAVFTHP IIGVEEEGKDLDDCLAELYLKGADIEYEGKKGYMMELMDQFPPMLYIQMRRSQYDPVL KRERKTNTHIQFPQTLSMSRYLVNAPAEKRAESIDLTREMVRIRTRLHALQNHQPLSI PDTFKHASSALRYLASADVTQEIPELEGVLEDDLFDHLDAERLETGKEIEELQAALPD LKARMNEIWAAKDGEAMDQGDEYEYELVSVYMHRGKTSGSGHYWTYQSHLPGHSEEFY SYNDELVTVVPAAEVLQDRTGSDANPALLCYARKGWNLVETLHREVLEQEAGDVAPEQ DLLLNEVE L198_00539 MAKRTADNQRTKDDDDEENGEEPGVRDTPIAPVQGRVVRGLPKR KGLGETPAPTPAAPVASASGAHPFSSLSSSAPSAPNPFTFGQSAPASTAPPAASNAFS GFSFGAQSTSTTPASGTPPATEAPKPAPTSTFSFGSKPAEAAAPAKASPFAGFSFGAP PAATPAPTQKKDEAPKAAFTFGSSASTAPAAEKETPKAPSGFGSSTFGSNPAGSAAKP AFSFGAPATGSEKKDANKTSAPAPFSFETTQKEATTVASKEATQTPAFSFGKPAAPVA SEKVPTPAARVEAPSFGATGNGFTAPKSTSTLSVPAPAASTAVDASSETSFLTSLRGL NHSFHTFFASIIEKDPFIDLSEVLPNLGKQYEKHLEEIGSKSGWKPEKSGAAVEKTED TPKAGGFTLPKAPEGGFAVPKPAAPSGTTATGGFTPSAPASASTASGFSFSGVAPASK KSSEGATKIISDVLANKPEEKKAPFSFSVGTDAEKPQASTPSSTGFSFSAPKKSTSLF STTPATPAKASSAESGTPLGKFGPGGSQPQLSFGVKAGTSPSASTTGGFSFSAKPATP AGSTGFSFGSASSTPSGTPAAAPSSGFSFGSTAAAASSAFSFGKPADGGATGSSAPSA SAPAESTEASEETPGSPSKNLAETAGAGEENEDTVLEQRGKLSRLEDGKYELEGLGQF KLKQEKAEGGKRRLLMRTDGNGNVILNMSFKSTFKPTADGPYIKFLGFNKDGKPTPYA LRVKNAEVAKTVAEKLEKEAGEAQ L198_00540 MAPLSVFATTWNTGLQGSKAQSQDLTSWLLPVLHNATSPDLPHA IAPDIYVIGVQELLPVHLALAGLTSPVLLALTQRIASILSSHATSLSPSKTPDKYSLV SRVAHVGNAIWVFSRDKTMEGRVGKASEARLGLYYGGMGNKGAVGVRLPVRRGKIGGW EVLTFVNTHLEAHDRNIPRRNAQYQHILSSLVFNSSDPLTTPQQIFDTSHLFIMGDLN YRLSRQPPPDGLRENKNVNDIALLEKSRAEMVRTDTLRQQQREGKVFGGLREGDITRF APTYKRVVGEIEGYSSKRIPGWTDRILFASHTDPSSFFSPSADSLRLTDADTTQIVHL ESTPELTLSDHKPVHALFLLPESAHEAPAPHLAPMLPPAPSHHGVRPPPTQREVLILE RLIGTVLDKAVGWPWTLFVLLGFGDSRAGMGLSALFAMLWSIWWSGVFSAA L198_00541 MSGHYNLKALIKAIRSCKTLADERSVIQKESAAIRTSFKEEDTL ARHNNVAKLLYIHMLGYPAHFGQVACLTLVASPRFADKRLGYLGIMLLLDENQEVLTL VTNSLKNDMNHSNVYAVGLALCTFANISSEEMCRDLANEVEKLLGSSNAYIRKKAALC ALRIIRRVPDLSDHFTSKAKGLLQDRNHGVLLAGITLITEMCAIDEQICAEFRKATNL LVKHLKNLVSTGYSAEHDVLGIADPFLQTKILRLLRLLGRGDAAASETMNDILAQVAT NTDSSKNVGNSILYETVLTVLEIEADSGLRVMAINILGTFLTNRDNNIRYVALNTLNK VVTMDTNAVQRHRTTIVECLRDGDISIRRRALELSYALVNEGNIKLMTRELLTFLELS DNEFKLGLTTEICLAAERYAPNKRWQIDTVLRVLKVAGNFVRDEILSAFIRLTCHTPE LQFYTAQRLYAALSADLSQESLTLAAVWVIGEFGDILLQGGTIDDGDEVKQVSDTDLV DLLEHTLNSPYANSLSRQFVLTSLAKLSVRLSESSSTPFPNAGLQDRIAALLASYSSN LELEIQQRAVEFGSLFGMSDVRMGVLERMPPPEIRATIMGTVSERKPVGSTRTDKDMI VDLIGDDSAPNTSGVAGSSGPSTQDLLADIFGSSSEMASPGATATGQAPKSAANDIMS LFDTSATSPPATVAASSGGGSLFDLVSPSSTPAPPSAPSPAPAPVPSAAPAKSQLQSY PAYDKNALKITLTPRVSPTQPGVVQVLAKFTASGGEVIENVNLQVAVPKTQQLQMQAM SNQTVSPGAGETQQMRIHVPVGAAIRLRMRITYTKGGQNIADQQDFSGFPAGLTGSK L198_00542 MSAPDATGTRQRVSKLDTATEEHKNPSSLSANPTKKVERNKPHV KPPFIDVSMNKFLTYLVLSLLVVLSFYVWRFTVWAHNAGGYWALMTGNHISPAAQAAR EAASAASASSSVAAATASSVSKAGSKSGAPSDIKPKPTQAASNPEQDIQSQIFQLASA LGIKPAELSSAIRPLVDPSAPDPAEKVKHEAEVLKAEMEAKQKENENQEGGVLDMLGE ALLD L198_00543 MAELTPDAISDTPLDLLINAIAGNHTHHENIDQYLLPASDYVSS KRSRPDDIYDPPRPLKLARTAGATASLSSFFALHHEEGHTIDSAHASHVNTVEVWHPT TGQKSYGKERRMLNPPPIVRFTGSLAHRVIAATMSAYTSPPNQPPAISGTNTLRLGDL SSPTSGLLLAQNQKHPNWRRQKLRYAALNAGFGGALTTSRSTADIKDRFLLKEGVTFP GIWIGENTGKMKEFNLELKIFLGSDDPAEDGQEHHAEERTSTMYEAPHPSNSAEALSE ILEPDSGSHHPLVETIQNSESGLGHDAIHSDHPEAESSGSLQEPEPSRQHQDTGSQEG PLQPPEEQLLGTFTSPSLRIVSKPSTKTSKARSMATCFSHDSAFSLWTRLHGQTVRTR WMNLEEKFNQDGPRLTARTAKWTPFRFEILDRAPFTRLARTSRGRPVNNEYTDATKLT YGSTVLLVDLQTGVKSDPVKLVKIEQGKYVVGGDVGQPVSELQRVGLVRAVSGEEEGG SRWYLSAPGARVGGAELFKDSSLGIRARVKPGRKSKGDGKQNPGEQPLAPSFMQDEHN DVNDLGGLGGRTLEESITLSDPSSSVDQPASSSEDANADAETPQSISAKSLNARRTAL AAAVLAENEEGATQKLLSWAKAERKEELVRIEEEDGEGEVREVERRVHVDSVADWMSW TIGGVCK L198_00544 MAPCPPPPSKASVHPFFSSTPQQSNRDPIPAQAKPANAAPKRKR ALGAANASAGPAQATLGLSSNDGGRKSLVVDNKETQPTVVEAEASHAATEVSSSSDHE EDVVISHASQNDPDPSKSQTVSKRPRPKRARPSIPLSTQASSSSLASEIIDLSSDQED DYESTPRAKAKAPHMLNSRPAIFSKGIARSFSRTGSTGTNPEAPIEVPEGSPSPVKMR NTSSKNTSSVADKGKPAHSFFTQVRSRTDHDSSSSQILDPVEPLSGRDGAPYEADNGF KHKKKENVHTFFSLQQGKSEWKLKDGWGTEEVETPLPQGPWPSHVGSSYSQSDGPMLP SRSRKPAPAEQGNFWGDIQSRVCRPCAPSIHRSEPINILPFILQHPAFSSLQLKASSS SANREAWVDRYRPKRATEVLGNELEATYLRDWLSKLAIGHRIQGPKIIRKVVKRPKAA LVDDFIVDDLGVCGDAFDEDKEDEQIHLDDLEEPPIDSDITARPAEYPPLDMRLTNTI LLTGKSGSGKSAAVHAAAQELGWEIFEVYPGMGRRTGGALMGWLGDLGQNHIVPQAER KPAPKKSKKGRGTEVKKSKAGGIKSFFDQNFRSKPTSSVPFSNDLTQETTDSDEDDPE TKDDNVAASTESKYKQSLILIDEADILFEEEATFWPGVLALVSESRRPVVLTCNDHKR IPLAQLPLQAILQFHPLPSSIAMSYLQAISDAEGSRRGYRVTADAATVYKSAMRDKHE TDIRGDGPALPNGHERVPYFDLRQAIGQLQLGLQADRIEEAGSAKECEDDLKTLFQRM EAQSCADVAGMKPWVSMDISDIDRLERTSDDELNVSLLQKSAPPETYPILALCDQSPA IVSTVVSMSGGGLPPMGDLGLARTKYIRSTLPVLDPLIPLSSPLLPSPSIFLHTLPAI ITILLFDAMYEKIEKDAIERGEERINPKTGKPMRRQQGAVYGRYWDLGGAEEAVEEIS RLWLDW L198_00545 MTITKLDYTVSTKNSSVPNPPGYQAPVTGKQLAHSRTKKPDADS LAKQAQKSTELKMKRAWDLAMSPAKSLPMQGIMLYFSGSGIQIFSLGMIFMLLTQPLS AVFNIFRAFEALRPTPQPTVSQKATDVAEEPSYAPLTGPMILYVLCQGLVLALGLYKC WAMGILPTGSGDWLQFETRSNPPEWSAVRAMLLG L198_00546 MVLGLSTWWGGVQKNEHKLAEQAKPEVKRKRRRAEPSSVVPSGS KEHFPGMVNLSGTLCYMNSVLQSTASLSSIISHLEHVIDLAVEADMPTHVADALLEVV RELNTPHKSHPPALRPHGLLQALYPLPAVRRLLGTGEQQDAHELFLVLAEAISDEVVK VAIEIAKLRGLGDLLPLQGYAAGNGGGQPWEGLLARRRVCQRCGWSETVRLETLSGME LPVPLHGDTTLDACISLYLAPEQLTDVTCEMCSLEVTLQHYVMEVERLSMPASEASQK PRSKAKLDSKGDEPVKSGSFSALENSPTPTGGEAQEMTASRRKRARDARRAETRLREM LESKTVSNFGEPSLVPLPSSGSTAPISVKWLTARTSSTRQAVVTRPPQTLRLHFIRSE FTMYGTVQKKIARVAFPMVLDLTRFVANGVWEDMSGVKNMLAAMGGQNDPSAPVERRV LYRLESAILHYGFTHSSGHFICIRRKPSTPSATAEDHSLRPSVASRSCPEGCKCEDCI LFGPVRDPQPSGPGKGWLMISDADVEEVGEEALNEVRGSVVMLFYERIAEYSGQKTSV KERKTEEVGEKTRIDQREPEEETRMAI L198_00547 MASSPDDARLQNARETIDSLHDLSQLLQTGLDKNTLSICVGMIE QGANPDTLAAVVRELRKEKEALDAQKA L198_00548 MRIPVFATLSAALLPLSYARSAQEPLQIYLHPAPQTASPASHAS PPALSYSQAKAVLSHHLKQDISDFDEIPEDESHWAHLMGLWEGDEDVLPASLSSNPTF YLEDNVQSHHLLEPYMHEARNFLAHVIEAFPAFSKTFQDLWNLAGTKASEVLSQELAG LTALTDSLSLTSRDGSYPWEAARITGLRSAQKNGEAWETGRQIIQAGLESMTSPGSPP LLLIIRPASSKRIISRSTIPLTSLTVKSNTTLAAACYTSNETCSEATSCNGRGACALK SAQDGTECWGCKCRGGYAGVECQKDDYSTSFIILIFSTLLLLGLAGGSIALLYTIGET KLPSTLTLAVGGSKRN L198_00549 MAYPSYPAYQQAPYYPPPVAVQPPADLFRRQYADRLRALTFNSR PIIQDLSMLALAARDRNDWEGMQIVVEEIEMAVLRAPPTQKLPLLYLLDSVSKNVGSP YTTHLLPPIIPRLYVRTYREVDGVTKAKMEEMIGLWRNGGPNGSDLYGAGVRDQVERE LFGAAGYHNSSAGPSRQQVQSLLNATLDGKRRELAVRPADGQVAIQMNALQGIGELLN TSNISPQELSAIMAQLKSMAAAPRPPVPTPLPVPSTPAWGVAPTASAPPASLPPFPPK LPSTGNGWPPPVRPPFAPTLPTPSTVVSTPPAPHLNTPIPPTGSTTPATVAATPVAAP VAPIPNLPVDVAKILQTLNKSGLGSQPRTPEVPLSSTPVPPVKSSLEAYEDLILGMDT RLKSLTLNVPHRLSFDHLPHQCNQCGERFASDNAAAKAHMDWHFRRNRQERETGGRGA HRKWLPRVEEWIKNAVELPAVSDQATKTENSAISSERLAQLRQRWVPAPQDSKKASVC PVCKEAFKAEWSEDEEEWVWRNALIINKVHYHATCRAEQTSAMKRLKGDNSRRTSSSA SPAATAADEQTPPKRKAEDAQYEGDSAKRVKLEEGSRGDGEHLGEATLPQETVKREET KEDAIEDNQSLDIVMGYQQIDGGGTEEQEVHN L198_00550 MSAPVPVYRHTSASPPSSSRKAVKACATATASSSRPPTRSEQSF YGHEETAVMAARFITSLFQCPNIPSPTAPGAPTPTLAHFVAYALHRTRLPSVVTFAAL LLLQRLKKRYPAARGSSGHRLFISAFMIASKIICDDTYSNQSWGIVAQKMFALKEINQ MEREMCGYLEWNLNFDEREMADFEASTRTEHGPEAVVRASSGSSGRSEASFVAPPAKS YPTPENTPNPQVSNRPIRPVPSPYKTRVYQHSQVSAAAFPSPPPSPTHGEPPHLSSAN SSLQSSPASDDCKTPSPVAVTATNRGPHPHAKSFDMAKAFEVSSYDIRRQAGDDGIVV W L198_00551 MVLVLVIGDLHIPNLVHDLPAKFKKLLVPGKIGQIICTGNVCDK ETYDYLRGIAPEVLVVRGEFDENPHFPLSLIVQHQSIRIGVVHGQQVVPAGDPDMLAA LARQMDVDVVVSGGTHRFESFEFEGRFFVNPGSATGAWSGLWNGEVTPSFALMDVQGP VIVTYIYQLVNGEVKVDKVEYRKPDPTPETASAPNLASPRPEIASRW L198_00552 MAPASNNPLVIEEPLIRTSYELLRRSHKSAQRQVEKDFKAVDIG LSNLLKSLNDKSATEDGRAAIAAKLDQISERPKGLKRKLDDIQPSKVNPTALSSRVAY MEQAHPGSTKAPAKKSKVKAEVAVKPSEGESTKAEDAKEVKDAMKVDQADAATMIKNA SEGSHQDTCTLDRYIVDYLLRKGRLESAKALAQAQHIEASTYDVLVDIKLFAELVKIE KALVENHSCAEALAWCGENRGTLKKTKNNLEFTVRLQEFIELCRKRDTTAAVAYARKN LSSWAATHMADFQKGMTLLVFGERTGVIGYRKLYDQSRWESVRDHFRETFLDIYAQPS QPLLSLSLSAGLASLRLPSCVHHTRTTSHLPPPVTDPHSIPLLPAVPPLHNLESILLP AHSPSHLTAPLGGIPSSPTTDLHAHPEMVTGNVDCPTCDENLRVLASEVPMSHHVNST IVCRISGEVMDSENGPMAFPNGYALKEMAKNNFDVVTCPRTRETCAFARLRKVYIS L198_00553 MSTKWVPLEASPDWSKPLGLPASLTFQDLFSLDPDFLTFIPGPH KAVLLLFPSKGKLAEERKKEEENKASGEGVFKGEGVWWIKQTIGNACGSIGLLHSLLN LPEKGPDAVDPSSKLAQFKAESLPLTGLERAKFLDETTFFSDAHTSAAQEGQSSVPTD LENVEEHFIAFVEAINEDGEKRIVELDGGRTGPLDRGVSTNFLPDVAKVVQEKYFDRA DGAVNFNMIVLAGKGDE L198_00554 MGCAQSTEDSAAKARSAEIDEQLKRDRANLRNEIKMLLLGAGES GKSTVLKQMRLIYNKPYDAEERDSYREIVYSNTVQSMRVLLEGVQLMDIAIEPSNQPR WELIMAAPPQIEGDVFPAKLTDAVSGLWSDRGVQQAFGRRNELQLNDSAPYYFEAIAR IAQPNYMPSDQDILRARVKTTGITETHFKIGELTYKLFDVGGQRSERRKWLNIFDSVT ALVFLIAISEYDQKLYEDETVNRMQEAMTLFESVANSRWFTKTSIILFLNKIDIFRDK LPVSPLHHTFPDYRGGSNYDAACTFLLEKFVGLNKNPSKSIYAHYTDATDTKALKFVI SAINDVIIQVNLRDCGLL L198_00555 MFAAIRKAADLHLGDKVGQILLSQVETDDLALIKHAMRAKFPIL FADGSRFVREWPIESLLKQRLDNSKKEARRKAQETTGV L198_00556 MPGFEKYKDRNYKSRQDICECCNQLVSKGTRDYHQSRLKKRDRG AEQERLEGEGSKRARHMNEDQESENEGRGGDLVIDDEPRGEDVEDDIEQRSQQMSSQG LRHGVSGGNLLVAGDERGNRNSAEPPNSQMQHRAPPSLSQAPSTVDSLPDANDSDAED ERAGEVVPGDENQASGDSDQSGDGESDGGDGSSGDESDDSSERSERESSSGMSDEASA SEQMRARKMIELGPINRP L198_00557 MPQDTSSKNAESEPSSSFPISSPSFWAEAVTLANPENGQPEHGP LKRPRSPNDCPRNTSPAPKRSRHSSSSPTSEHHHGLTFLPNPTDILAPDDPHSYLANP EYAPNRFGDIGDYMRKKQIKVQAQNANIAAALRGGKELPQIFETLSFYINGNTHPPME QLRKLILQRGGTVYPVIRNKTMVDYIIAPVLTVKKHEEFKKYQVVKEGWIVDSVQQEK LLDWRKWRLVPQGGWLESSRKGLEVFFAGKGKGKEEEHDSGVSDQDVEVVEQSAPADG TSKVTPQKPAAGPIQRLLTPQRPRHSIIPISPGQIASDSSPIPTPRKLQAPEGAWEHY YTKDSNENAAKAMQSTSWRAQNTAEQGNAGGFIDGYYQNSRLHHLSMWKAELKVLVRD AQKRSEEALAKASQEETAGEAHSLAKSVLPSLPLPTGPSTANHASPKVIFHVDFDAFF VSCGLSSRPHLKGKPTVVCHSSGKGGGVGSTSEIASCSYEARAKGVKNGMSLGRAREL VGAEIKTMPYEFETYKKFSLSFYTILMGYADELQAVSVDEALIDVTSQVAARAALPPE VGIAPEGKERDPAVELAEKIRADVRQITDGCEVSIGIAHNILLARLATRHAKPAGVHH LQPTQVSAFIETLPIDDFPSIGHSTKSKIEAAFGTTIAGELMGITKSRWRGVLGEKTG EMVHGFLRGVDGRKLEADKVRKSVSAEMNYGIRFQTQEQAEKYVADLAAEVSKRMKNV GVKGRQVTLKLMQRHPDAPIEPPKFMGHGWCETHNRSSPLPGGPIDSCAIIAQESIKL LRTMRLDPIELRGVGIQVTKLDSEQPYGGAREAGQAVLNFTRGDKGNGAGNAKAGPSR VPYSSSEERVDGIDPDFLAALPASLAEEVKRDHALAKRNSSKSPASPTPAAKDPARLR ADKVPVQEVISIQSSSPITVASEALPKATRTASPSKQPTKDGKNAAAHIAKQLRPKGK VQMKAGQVAEGPLFGAWNRVEARAMSESNDIDELRDSRAGSTVVDLTASPPLAPERDI TTVHENDEDSTEVIPGYTTSYLRSLSIDPEFLLALPPRMQQEAIQEQVERERRRKTLW HPRGGARGISKSVSVSPVKGGAKGRDGPGSLQPAHAQGLKIIIPPKPSLMNATTLPDI LDTVEKWIDSRKNNPPAERDAGKVLGYLRKSMNESMKGGKVGDGADRCVEVLRWMRIL LREKWWDKEGGGTGLANEAGEEWWRVWRAMRDEVNSLSVQKFGATLVI L198_00558 MRLLDSNSTFIILGPNPNQCLEITSESSDDSSAYSSSSSLPSTP SRNFMSASSILSPLHRLRSCLSPHGPPPVTPLPTSRTSKTPSPARTGDCDPRADWIKL GVPDLLLDDEVTSRGRKLSTVEEAPQDADAEDRLVNTEGGSPVILTPVSHGVRQQMRI IDMTKITMFFSRPSVINEECAPMIEPITGSPCDDQHRQPCLKETSLSNMQADTEEGCE CASCGRQLDASRATLIIPCRDVICASCFSSTVAAVSVTKGQSKCPACLDVITTFETMS GKHQARGQLCSKVIAAPQGIGSFTPSTFVTQNGSIVMRIDNVGWDVTPSVVEEFLPMD SLSRKVPQPVHILLNKFDGRTKDYLYIEVASLEAARHILATRQNTFMPGGKLTGHRLR AVTISAVPQKELIDELRPKSNLELHALLTLCRSAVASSEAGCKRSGAGKYIKARHGPF YLLMSTLSKLSGKESPSYSDLFHVTAGMQRTFED L198_00559 MATDPQFLKYVDDHQQDYIRRLANAVAIPSRVCYSRNYTNSVSG NLSYVKDVEAMGEWLIQQLTSLGVKAEKRAIGTHELEGQTVDLPPVVIGQIGNDPKKK TLLVYGHYDVQPALLEDGWLYPPFELTPDPNGSGRLYGRGSTDDKGPVLGWLNVLEAH QAIGKELPVNLKMVFEGMEENGSINLDKFIESEKDKFFAGVDCMCISDNYWLDTKTPC LTYGLRGINYYEIKINGPDRDLHSGVFGGTVHEPMTDLIALMSRLVTPEGQIIVPGIK DLIAPVTDDERAKFEAIHFEMSDIHAAVGGDVTISDDTVATLMGRMRNPSLSLHGIEG AFSAPGSKTVIPCQVKGKFSIRLVPNLTVASVTDLVVKYVQDEFKKLGSKNKLDVYLT HGGEPWLADPNHYSYQAAHKATEAVYGQVPDYTREGGSIPVTLDFANILGLNVLLLPV GRGDDGAHSTNEKIDTDNYIRGTKLLGSYMYELAAAKP L198_00560 MEASMAPSARMVTPPYHHSIVSTAPTNLLYRGAIPALRNLHFIA TLQLKTFVVLSKKSLEDDHPLLRWAKQTGVTIYWEAAEQMSEEKLGMGRPQVDQWILD TTTYPLYIADVDGKSHTTLVVACLRKLQGWHQDTILDEICRYQEDQEDLPLLPFVTAY LAPTSQETLTLPPPPYPSWIWPSASSEVDFKPSVSRPSQAFPSPNPPYPQPQTKDRPS SSLSAASSTSSNILPFPHPLQARKHPTMRLTFPTPPASSSNPTPNQSAAGQKDMQVAS PVAVIGDGLARIPSRRERERDKERVTKGGERVLSPHPPGKDQTAPVLHVPTPTRSTTN SPSRRSHHHHHHHHHHHDVGQVQTAARSRPDSAPGNESRLRQGIGSPDEGVLGAAAHL MTAGLSGIAHALGATPPHAEDDQDGQNGKTVEEGGGYDDEAEEGDLTVRQSPIEALKA VSPSLSTTRDSEDLRSVSSATGTSTEFGMDTGASTAGTETGLETDEEEQVSEEEDEDG EDEDDEDEEDEEDEDEDDNQATSQFISALDLAGF L198_00561 MPRPTLIQSVFRKPSRSYADTNAPSPWSDTYDYDDDHQQPSYYP SAQSYRQYPRRPSSTLSAVSEDAGIHRTRYQKPSRRADGFVTLRNGETVFAPGGSYRE TTSEISPARPFSPTQSLPIAPPSGQAIKKKKKKKVHAPGISSQIPESETGSITKSDTP RKKRKPKATTSPASPTSITPPLPPPPPIHSRFSETSSSASSSPVPISPVTLRHFAPSV PLEAGINERAGPERIQVKPERAAIAVLTKSKSVSPTAPLLTPPASERSTPSSSSQSVA RSRLVSVPPAVSAVEEQTRYVTQRQPKASARPLSIRTAESDTDDDVFYTPSSSIADLN NSLAVEEESSDDMATPRPPRPKASAPILNVLPPTPAPIPDPPISPFESTPTSSRSIAT SFEPVARPKAAPIRPSMAMEQKVPPPPFKDEADFQSDSGEMGSDREEYLERHGLRPKS KLSHNRSRSQASSLVRSSSRLGSNNNSPSLSRSATSRHVSQSSFEGTSRATSELSFSS AREGGGGSTRGSVKGGYGKGGWAAAAASANEGRSGATSPIMYLPERGTGWEDFQPPMP ILAPPAAVPPPRQSKFTPLPPASTPSFDRILVDTSKVSNSVTAPSNDSSPSREHSVGP QVPSRSSPDSLSSPSEYSQASYGSSGLPIPSRSYVQQANTARTGQSSGSLEPEMPASF PIRTQSPLPSLPGRLPSSSSIRPVSPLPSETSQAFASRPTSPNPSMLRPTTPRAGFQP PSSLDPDMLTILPEMTPQDSERLYQPTENFNGAQRSRSRLSLHEGALSRRSSMFRAKS EVGHSRPGSAATNNEAGEEGDALGELPAPGVIRRSKSVIGGKLGGNSQKWEGSSYGDG GVLMESHGRDQDGTGGYTNLVLPSGAYHPSHPSKTAPSLDSRILGLPHSTMASISLTS TRDHYQATPLHLRAQLPPLVDFASHMKPPVSSKVGKSQVMVQVYAVAVDEFDLRTLDE KGKSDVGKWVPGRSFVGRALVVGADEKEIVRGDIVMGIQDIRKSGSLAEYITVDRRHI SRAPFPTQLTLEQLAVLPLQGIAAARSVMGSLSRTSRAIILNAHTGIAALVCQEMSRA GVHVTVIIPGGEDAQENHQRCYDNGAKGVLTGSPAAVLINLEEGRYDFVFDTQGGARI YETARRVLKDGGKLVTTKQPEQTLRHVPPHLTTRPSGLKTLRMAFSSKRKDSKFIDLS YISPTACGEPEVDSSGLDLRDVMEEPCMAAFKPFLDNGGGDGGPAILPFEKGREAFRY GGWGECGVRVVRLIN L198_00562 MARLRSASISSTSTYAPILINPLPTPTPPLTSQNSSRRPSLRYA YPLVFIALLDCLHIAQYLLGTSAKHHASLPAYITTAAFARAALCSAVAFTRKWRTRGG WIGASSGISLTVATWEECRRVLVRGDNAHGDKTTVDGDLTCFLGIFGGFAVCEYLLFL LLLRISPPSYKTHPLALRLPQTHTQSPMPFGFASERATVTPGSFRDRVRGHVRGESGI SEWTSEGGGGGQEEDDVFGGVDNDDGDEEESSLLNEDYFSELSGECHPSRSTYTHCRH RSSSSQTDSGAGEDDGEDADDSASSISSSSIIDLPPARSPSALTLALPILPPSLGGGY RWGAAAGEGSIGRSVSSPNAGPLVRKQSGFLGRSWGSGRSTGTGASEACAVGQGEIDS GQGREANGYGTFGP L198_00563 MDSNAIQIAVRVRPWHPEKELPFVQKATPQPFFQGDGNFGQSPA KVATQGSLREVVEVVDHRMLDFDKPQVDMSAGRRTGPIMGRRYKNRKYVFDQVFGMEA SQEEVFAKTAKSLLPGVVDGYNATVFAYGATGCGKTHTISGTEEQPGIIIRTMRELFD LVEETKDKFDTYFEMSMVEIYNETIRDLLHDDYPSCPHGGLKLLENEKERVTIDKVTL RRPTSVEEVMELVLLGNERRSTSFTERNSESSRSHLVLQINVGRNERGTDIDVANSVV RQCSTSATLSIIDLAGSEKASVNRGQRMKEGANINKSLLALSSCISALCQRPVRGARV HVPYRDSKLTRLLKFSLGGNCRTVMINCISPSSRDIEETNNTLLWADKAKKVSTKVSR NTAGVELRTAQWLQKIVTLEETIKTLRGQLNNQQSTKSGLQQKRLDKATVESEEELGR VQSELDALLPVIVEGSEMDALWNASVLQVEALDARMEDIGVEVKGGRPEEDAKREKDH LRALIQQQDDSFRFNNEIQAGVQSKSLKHITLANLLKKAEERQFGDDMSEAMYKHQLK VAEHRAHIARSVAAARERGLRDYISQQAEALTKAASTLSRFSTTIRSEAAAVDSLQSL DDLAAFRGRMHSMGRQVDHSVAAVFGTDTPHPLPLPHNLLPLSIPAPSPRRASLGRHL SRPPSPKSGLRKTPFPAPDAPKETKKQLRWPDQTGEGKIDDRSIALDCPVFTSPSVSI DGMGSAADRSLDIAIKDEDRSELVPSALRPDPAPTAAFANTSLLSSLATVKGTEDVPA WKQMRLERGLGSQADNSGDLSVSSNSPLGASKPGTKGLSTKLHRPGPLGELSLQIPAH PSPPSTSSVTNLHKSSITSAGKAGGSSAFANIKISMPSSDGTMPPPPVLGHRRESMIG PARHDRPRQRLSMIPSHGETSFSGFSHLGKGLPSGMSALSGGAKRLGSDGKRRMSVQV GHSTSTGSPPVRGPALGSRPSMSNFKAAASSPGNTSGILSASRGLSSRASVSRLNAGD TYAIGAIPRPSLSSRPSMARLNAGVAVGDATGRPAWR L198_00564 MFSSAKLSLALLSVAASASAHIALWHNASYGYDDSQPNQSDLVQ PLQNLTFDEWWFHGKIDSPPADGAVMNLPSGGTFHGEVACNKALTTWGGAPSRQTEYA CDAVGVLHTTDEFGEEPQDVKGCGIGIAYKSDVEDITPEDFTIISVNHTCPWKRNVDF QIPSDLPPCPTGGCHCMWGWIHASDAGTEQNYFVGYRCDVVGATGSIPLPQAKVANKC PTDTSNCTVGAKQPHYWLQAEKNNNFQDNFDPPFYNGDYGFSDGAQTDLFEGHSSKRS DVESQKLRDDTRRSRVLRRSVGGAKA L198_00565 MSSSMFGSVGSHRNRRKELRALLRHSGMPSLFVTLNPADSKNFV VSVMAGRDVDLDERLGLSDELKEEVSQRFSAASADHGACAEGFHFMVEKFINVFLPYG SPEALHIHMLIWLEHAPSPLELRKHEKENPEWAAEVCRWLDTVMSGSLPEGAVPSGRY PPCDDDALAFRQRGDHRSLPLLQPPPHLPPHMSEEDWQRVRQDVMEVLECGQLHDHSF TCFKHLPKLRRAQKLGDADCRFKFPKEEVLHTHFNDDGTIDVQRTHPKLNMYNLIMVG AFRCNMDIKFVGSGMMAMAAVYYISNYISKVALDTPTMFAAIEARFRRGNGRLLGQEA ERVGETGAEARQRQMKSLLVRSCNTLTGKQELSSEQVMHHLLGYPHRYTNARFQNVKW WDIARHLGLSNSKLAPATRGSQGSEDVPVEADRLEESLDLDEMPRPTGGDVEQTVTLL RMGNPDDELRHSGGSYAVDDYIRRPNSLSSVCYWDFVARYQKLTAKSARKKGPQKAAP EFLPSHPQFSAHRIQTRRTPVVPILSGPTIPRDSAASAEEHARTMLALFKPWRSPADL VPADGSTSKELSSCVNC L198_00566 MDLSFDDWWFHGKINSPPADGVFMDLPAGSTFHGEVACNKALTS FGEVPSKQTGEWACEGDGSTGGIGAMHTADEWESSDPQDVKGCGIAIAYKSDVSDIQP EDFTVITVNYTCPWKKHVDFQIPSDLPACPKGGCHCMWGWVHAADAGSEQNYFVGYRC NVTGATGTTALPQANTANKCDYPTDTSNCTVGAKQPHYWFQKERNNNPQGTYDPPFYN GAYGFMNGAQTDLFAAVGNTSTTSSHLATSAGSTTILASEVSSAAVSSSAVSTSAVSS TAVSSSASSVLVSNSSSAVPATAAVSSFQDSVVAPLSASADVSISEVSNSSTSSVASP ITLTRTITTHHSTATAMSTASTSSNSASSSVSSSIQVAPSSSATGVLAAAGSSNGTVS YVATGNQTTTAGHCMSKRSGNRLHRVRDGRRRSRVLRRAVGGAKV L198_00567 MSSKHASGSATPSRDQSPAAPAPGGQQIDPYRVLSTHVKKPLAP LLPGSEPLLPTLLSLDSTAYSSRLSGKTLQTTPDQPSTVSSPLVTGRKRNRGNALEKS KARAECQATRKKRETLGHEGLRKVKRRLGSVIGKGQCISYTALVPLYHLHTTYICQLL ALPTLPALIPPFLPPSNPEPLQTKISKADFTGIFLSVIAARCESLIGIKGIVIEETAE MFKIVVEDDKVRVVPKQGSLFRLSFPAFSPPPQKPLAAGIVPSPYPQDLTHHLATCPR IEMDLLGSAFAYRSIDRAGRKFRPAQGGGGGSGWADGWVAKAGEMGQMLNGVDGCERL NKTEKSEAKQGPSKRKRNKSRRKDLPAWGNL L198_00568 MLASKLALLLSFILISAPSQAIWPFKEKRFKDEAFIDAGSLGLG KLDGRVAAVGDWNGDPNLDLFTLSNDGKTVQVYLWDKDKFKYKLSHTLALSSTISNIV PGDFNHDGHLDLLLMYDKSADGGWWGGKTERLGMDVYLGGGPNGGFQPESWSLPISTS AQPIVFDADGSLRPSLLGYHPTESSDGIVTWKNNGTGLSLETPPLRPIDQVCSLANPH SSAFIDIDGDCLPDLVLHCTRTTGDSLQIWLNRADAGYVLSRNYNLPPGSGPITFADM NRDGSIDIVFPTCSQTSSSTGFGSDCQINIAYNKQAPLCSTEASQWIQEGVLKCRGWG DMCISDEKFEFAFDDYDPYFISVPIASLSQSTSELGLLLHAPGKPSLPSPLRPGDYNV DGFPDLLFVMSTAKGTQVQILESIACGKNVVGCGKGIARGWRLGSGKDWEDLEEIEDA IGASWLDLDEDASKSLGSLDIMVQRSGKQDKEKVTFVQNNFYHDAFFLKTEVLNGVCS GKCEPSAGGKSYSPLGVSYSGATYKLTVLDTVGNRVAQQVAQLPQTGYQALQTPYAFF GLGRTNNYIEKLFIGASLLPPNHITYLDSLIPNSQVLINPPYPSASEEESGERKTLEL QSPVKARSTEWKSQLYLKPGDWVPWVGAAVLGTVLVLGTVVLGLNEKEKKEDEVERQR ALHAINFQAL L198_00569 MREVYQGESPSESSTAPRVSQLDSDELDEALVSMLGEKVSRSLD NFRSSMSWDLKPELELVIKLVVFRFGVWDQLSRSSPGAKLQNLKLVLDPRSRLKSTRN ALLLYLILHPPLFPSYILKRIRQHALSQQWPDLPQHDWRFKCWKAIGRVEIVANMWAA VSWSMFLWDGKYPSLLLRLLGLRLAPSQPHLSKLVSYEFMNRQLVWNAFTEFLMFAVP LMPPMVSSSAVSPQEWLKPIRGLFSQRTDIDYQSLPIIPPAELAAEKRNEVKVHKGPL AHLPKTTCPICYLRHTSAPVPLSSSSQGTSISLPPLPTGAADSAGDTEDNEDRIFVPA RTDCEGVCVWCYYCIGEELYRNQEKVALKPVSKNGPEESDTEEGKWSCLRCGGKVGRA WRVGVDEDD L198_00570 MPPPPLPSPTTQLQSHDLVASLPAPYLRLLVLFARPIKATRHAL EVLLWTPRRRVESWMVVAAWWALCLGSQPAFRYMLPPLLFLPLIPLTTLRLTQGGKKL PDNTPSSPSTPDTLLLTLADINAVYALMPASPLPATSDIYARFRQLGVVRLVRGLAVI WGTWLVLGQFVFGYRTLLALLGTIALLLPSPPLAHLITLLSNSLLVRQLLALAFLFTF GSPPETSYRFKLNFSLWGWLKSKWAASRRPSSTFSFRKPAEKSSIHVGKKEDHEDDSV KVEDPIYFRFEIHENQRWWMGLDWTSALLPQERPSWCDSHVLPVSPPSSFTLPAPVSI VINTATMTDRYARIRRSAEWKWLDDDWSIVRAGPGLSNVEHKAPSAPISRDLDAEADD AVAQPPAQIARPSSFISGFGTSPTAQSDDAPFSPTRAQSMAEQAFAKGLEKLKGRAVS SGTPGAAVNSSPRKSGELLRDRTGSHDSAIQDDAASITAPTVAGGAGNLPTETVPETD TATDNDGWVYGDNKWESVGPKGGLGKFTRRRRWQRRAVCIETIRILKPTDEPAIVIPS PGPESEVATPTAHTPVPSSPLKSKPVVAVSAAPKAEDAGATSSKSHVDNGSASSTANI SRDEVLRQRLKKAMGNAGA L198_00571 MAGSTKQAQNKGRRSSPKPASDELVLDQNEIMRAMLAMQKGKQR EGSDSDDESERDESEGDASEEEAEGSASEAESSTFAQQNLKRRRSLSLSLGEESEPEA AQPARQTQGRVGVSRTATSTKTPLKTQPKPTGLQSAPKPAAGTSFEDLGLSQPIIRAL ASISITKPTEIQSACIGPIMAGRDCIGGAKTGSGKTMAFALPILERIARDPFGVWAVV LTPTRELAYQLTEQFLAIGKPLGLTTTTIVGGMDSMKQAKELEGRPHIIVATPGRLCD LLRSGGVGSGKLSRVKMLVLDEADRMLTPTFAPDLAYLFSQVPAKRQTCLFTATVSEA IMDLANKPPPPGKEAPHVYRVASDTLTVANLKQKYLFIPSQIRDPYLLYILQNPLEDI DIALRIDPKKAKAQEREATMGNKRGKKSRSDPEPAEESQSIPSTVIFTQRCATAHLLH LLLNSLDIPSVPLHSHLTQPQRLLSLARFRAHEVPVLVTTDVGSRGLDIPEVAMVINW DCPRRADDYVHRVGRTARAGRGGVAVTIVTERDVELVKVIEDEVNVQLEELKLNEDTV LEGLNKVSMARRLATMEMHDSGFGERQALNKAKQIKRQKRDATK L198_00572 MAGGLEKRRLGAAASTPASWRRRSEGLVSSLRETCKPEGITTFF KRAVFSSVQNLMSLSSRLPRLVSRSPSRSVLLNSQPPKTYTKMEDGQKVLVGCIGGSG LYNLDNLTPVKTLDITTPWGKPSSPVTISSLPTGELIAFISRHGTAHTITPTEVPARA NIAALKHIGVEAIIAFSAVGSLREEIAPGDFVIPNQIIDRTKGIREDTFFRGEGLVVH SMFGEPFSEKLNAFVAPRVDKILKAQGEIKLHTEKTVVCMEGPAFSTRAESLMYRQWG GDIINMSVIPEAKLARECELDYTLICTSTDFDAWRTGHDPVTVEEVVKTLHTNAGNSR AVAAGILQEVHALVSERKVLTDIKGSMKFACVTRADVQPVESRKKLSYILPYFSD L198_00573 MLQSSALLGFAAASYLFAGVNAFGVQSYANLFFGPSNVVENDWF QGIDSRWAREMSEYWADQLIETAVTNKSYTAKSGDNKDYLSWAVYHWPDCSDVGNTTE LTDEEIYDQCTYVTRDGQINPDTELVHDNDALQNMSNSIYLSALAYLHTGDSKYATHI NTALDTFFVNDATRMNPNLNYAQVVRGPGDQLGRHTGVLDLACMAKIASGVSVMRELQ PTEWEQATDDGVVSWASDQLEWLTTNELGIAEKSSTNNHGTFYVNQECALHIILNDTQ SCADALNEFYSIGPFPNQIDANGDQPLESSRTRPYHYRAYNLMALITNAQMGDFVGLS PSAWERTTSSNATLIDALTYAMAQNYTDSGEEGQIKMLNPAIAAVASKYGDDDGTYAA FLKQTDPYFPGQPYFALNEGLSDAGIKQGLLETTYGSVPAQPTVGSGDPDDLIHRRKR EWKPRGTGWPSAAPTPAP L198_00574 MLPDQPSPTVISSRNLQSTLSHPSQHTVSWNDDGQCLVLTTKRI YVSTPYLATRRPKPIRPLDDRSIKSILKLQKKNPVKVEDQSDAPSQTKRARRSKRPAG GEIEWWTVEAQVNDFNRKEAFDNDGTCSSLLRGGPQTDTKGDPLRQAVWSPSGIAPSG GCLMCILTGSGRALIFAPDGDPQAEAWLEIEELALSSRNSASPSSSPPEEKMDPQEDD VLGWRSTCIAWSKHIPSTDMMGVDGSVIAVANRSGSIALWTYATQKTSTQLDYLTICP VGSWSTQMAWSEWTPLDEDTYKSRLAFCVSDGSIKTIDVTRTAKAGRSKRKSWEFQRD DITTLDHGDGRVITSLKWINNVLVWTKAGTVHMLADDESRNISWRGFRSFGLARIGHW AGASPYSQVISIELLNPTTLLVVQSSLSTHLIQSFDSSPALVPVTEPLQVALDARRIS LENLDTVPAPQLDGCDGLEEDGWTTHTSGWARVGDWGRSMIWATELTSFQTLHIESEA HRYVHLIMAEICASTVSDEAFTHALKKTLRNPRVLVHISPQYVLMPFMLHMLTLSPSD TLAADVQELVLLCLQRTAEYDSTLASQNIVVGLWNNSSLNSLRLCLVLTIWCSSIFDQ STTEFQLLVSKLSKKIRSYLITLKLAWLSITIDTHTSLGPFDRRFIARLIKRVQEPSD PSGISAPADTIQSSARSLSTLLGWDQDSEASADERCPICMAEGEDGGVCKNGHSMPER CSLTDLPITSETPRVCSICLAPAFTNHSSGDPMTQHGVNGPTHQDTVVQMVLDSAIGC AICGGRWITKSKRRALE L198_00575 MSQPYQPHIGDLNKSLLINRRLLKHAHNSQEQSKERTPSAPPPP PHPRLQLVESILFAYDTDETLFEFGPSHRDHNLSNNSLTFGIVDVLSNAFPSPTSRML FHHYCNIASRILVTMGNIGPNPLLAFCTPGRLLDTNSAASAAVRMSMLSTGVAHFTHE TSDAVGRAELGVGWEGQRKKLKELGKKFKRAALANITLAAKAETGDEQSCTLVCIRDV ISADSSWRDNLEFALSLISKKGGAQAMLQGPGYSFTRRYLLENLATHDVFSSFVTGKE PSLLGNSDSWWFDSVETSQTRWEWESVERSFGISRAMVDLMARIVSVDSQKRRLGVSL SHQTREMWEVTQHFESEAHCLLLELDIWGNSLNALPQNARVTCGDYIYKYAGVVFLLA DVLEQPHTTPRIVNSINHILELLSEASAMRMAVMLVWPLLIAGVFCEEDVRQKVRELF DAFQSDYCEDLEVARELLEEQWRCIDAGKGKQRWSTIMEKLGRYVLLI L198_00576 MSNIAAEIILHPKVNQTLAVLATTVGRDKVTRLLQYIARLLAWS LLHRGNVEEAARWNGLKGGLANGRKVMRLFRPAEFLQSAINLAQRPGPAQVAHIAQIG RQIGYAGFHTTDMITWLSSIRFLKYDKLKTDRIQRLMYKFWFAGVLSSLISSIASLLR LRADSRRFALSTEVAKREEKEGNLEAASKDAQRETIISQILSDSLDIWIPATGLGLAN LSDGTLGLFGVVTSCMGVQAQWNKHSAAGVRKTK L198_00577 MFGFGRLGHIAFDLVIISALLAGVKKSTGYTLKMTLFTDSALRS FMDSYLAMGETIFGMFSGYAVNSRYFKREIE L198_00578 MPPPRDHRFSQADLDKRYYRRSLGTDFLDFLIALIRLSVTPITF SIRIMRQAVTSPVTVSLIIKVVLALFLAFASAIFSVLVVGAFFWSWSAGGPIEVEGWL FYGSKSHRLPHVTIPIPPKRLIEDLRYDVQVEMELVRPTNGADETDNFMLSLELRGAA DPSLVLFSAAQPSLAPGPLATSLLPLPAFPTHLAPPCVIPWPFRSFCPSRLIGYGDTV DKKTRQRRLKAGFVGSARARNVVSLNKNLMEGVVLKPNGPHGPGVGSAFVSIGREDAF ADGTGSLRGREIKTTGWIIVRLIPRPAGIRWLITTYPLPPLILLPPISLALTLTSSLG ACVMISFFRRPKRHVKTRAKPSRRPLEEKGSPGERDIQRLVEDGKEKKKQGEAERKQW DELERRKLLDEPETATGTESVTSSSFVASETETATVIDLTQSDDEVRDDSSSRTATEG ESEI L198_00579 MPSPQVTKIPPSSFVPRDHHGPQQNSPANIPGGIKPRYFKNPWP SYRTASLKDAYQAYQRGAAIALHPSQLRGVAKLEGKQDSDVDDSEYELEPAWTESDSI MPKKIYVRPEFARVGSGDEREDWRDPPVKVVEPTWGDGSDGREKVTWLGHAGVLIQIP WKTSENPDNKRNGSCGILFDPIFSYRCSPTQYAGPARYIDSPCTVSMLPEIHACCISH DHYDHLDYYTIMDLWKYHQSTIHFFVPMGLKQWFTSSGIPAFRVTELDWWHETVLTFS ETSGFDYNPHNPPQESEDALNKTPNLHTPSALALKIVFTPAQHRSGRGILDHMTTLWG SWCVGVVEDADREYALEPGMKDWKGFKAYFGGDTGYRYATAPEEDDSAICPAFQHIGD LYGPFTFAMLPLSTGSSLPFLRTVLSLSLDQYLLTSSLHCSPADSLEIHRVIQSQRTL GIHWGTFCDADEARGTRVEFGRSRRAVGVSRDWEAEEARNGQFVIADIGETLTMPAR L198_00580 MKARALQLQQEKEATIKREMEIKQRREKEIAREQEERRKQQEAA SKEARRKELMRMNESLTKKPDSKAAHVEYDPFAEDVKRPVSTPACKPTGKTAAAKAPG SASAPSRNTPFKAGPSKSGASGKSSKSSNTYFATETRKERAARLFAQNARKSAGDNLF NIRALVESREPVYLPPLAKTGASKPPKAQGGVSGKDRVPGGSLKTRTGAEPDELRKLC PDRDTRDRRSVDDVARDLKAKRSKAEMVPVKSTAGPLGARNPEMRSPKRGRRSTSSES TDSDDSIVSPPLKRRPGSFEEPPRSTISAEIQALFRRPGGAPQRRYDDDFSDASSDME AGMDDVEGEERKAARAARLEDQAAEREEREHRARKEALKRQRMKGRRA L198_00582 MEQLQRMLAGGRGMGGGAPAAQTMVADNGETVHISALALLKMLK HGRAGVPMEVMGLMLGEFVDDYTISCVDVFAMPQSGTTVTVESVDHVFQTKMLDMLKQ TGRPEMVVGWYHSHPGFGCWLSSVDVNTQQSFEQLHPRAVAVVIDPIQSVRGKVVIDA FRSINPATMATGQESRQTTSNIGHLNKPSIQALIHGLNRHYYSLAIDYKKTEAEQGML LNLHKRGWTEGLKLRDFEEMKEGNEKAVQDMLNLAVAYTKSVQEEATMTEEELKTRHV GKLDPKRHLTEAAEKAMGDQVTQSLAMGVLAEL L198_00583 MSLTHALIARGTTVLVEHATGSAELKPDVWQDRLIHYVSSNGVV YLVMADDSVGRRMPFAFLADLERRFTAQYDSDDIVSAGAHSAEDFESELSKLMHQYTT APPADPLRQAQSDLNNVKDIMVQNIDSILQRGERLDLLVDKTDTLAGQAYAFRRGARA VRRQQWWKNIRIMALTGVVGFVSRASKLSRCVC L198_00584 MTSSSSSHSLTDSSSGTAGSSSSGPLPGTKTQLDLLLEQFKLQS SPLKPQTDQKSKSKRSRGKEKENVIGKEKEGEASTLARRSRLGVSSESLASDSSRSRS SGSFAKSALQRDSSSSSILSVTTNTTMAGASSGPSDKSCHPRPSLPRPPLSPAKRGPV PRTGLGSQGKLAKPHGIPSRVSSSKPFKTPFLEPREGLRSSPRRTTAAPVPGPASKAP ISSVRAPSPRSAPSKRSAQSLHSLPTSVDSSSRDLAPAEGKGRFTNFDVGENDPEGDK SFDSMDGFFDEMGPEVEMLLKQC L198_00585 MAPEKVTIQSWEGIGPFPIGTREYPLIGSPLAAFTESLAVDPDI EFALRPANAADRWPSELCTGGTTSWSQFKEEADGWVDVKYPEVNWVQLRADHGWSSLQ YQTILRSSLEVPVYESQETVVEIDAIQLAEYAFIPVPDPGESTHPGPVTWYQGDSYDF ASTSSGGAKPGKLSRFARQILLAPGKYIVVARAVYDIRQFGDPGSDGVPTIKFKVNLQ LARKLPQKTMAFRVRHSQIKFPGVFAGWLLGEWASLGINVAEGTSHVEVLGVESKVVF SKQSEQPRPPDTTLVLELPQNATILSGQTRDVALKITQRAPLPADANGITIDLKVKTA GSKVQSVSSTVTFSRPEIGEDGQATPGSEIWITFATPSVDPRFGPNMVPASVSEALVV VPSPAKQGDKASPVLLALHGAGVDLKNSAVGDAFAKARPQIPGLWSVLPTGKNEWGED WHGSSMADAWSAREAAGATLRKAGVALSDETVLIGHSNGGQGAWHLAARYPDRVTGVV AASGWLTIQHYVPYSDYTSTHYADPSLMGILSSSLTPYNNDLYTSNLADIPILAVHGT EDDNVPPRHSRAHAALISSWAGTPTSSVSVAEVPREKHWWDDVLRNAKVVDFINGLPS KATWDEQRKGGYTLTTANPEETGGRAGIRIVELDVPGRLARLDVNARQWKLDGPSMPL DIRGMNIKRIEIRSSATTETYIKTVTGQFALLPSLISPLTPPRANGPMIRLLSSQARF SIIVPSLSGEHPRHLSVAKRIAHDLYVYHRIDCEILGHQRGLERAAKQEIGPGNVVVI GRPEENRYTEWMIAQKSIPLEFPTRGVMLIDKDRVVYDRGAGIIALHPHPTHPKSLSV LLAGNDELGLELAARLFPLRTGVPIPDWVLTGPRSKWQGAGGFIGAGFWDGEWQYSDA MSWMDR L198_00586 MSVLFPRDTADGLQSQSGSYENAPPDLAAPVPARDPYDTRAASP PRRSSVSEDRYSARPPPARYDYDEAPRDVAADSGGSGAPAPFRPPEAPKVEANNVLGV FGLSIRTRERDLEDEFMRYGDVEKVVIVYDQRSDRSRGFGFITMRTVEDATRCIDKLN GLSLHGRNIRVDYSATQKPHHSTPGQYMGVKRGGYGGDSYQRDDRRGGGRYDDRRDYG RRDDYHSGSRDSYRDNRRDRDPYEGRSRRDEYDYHKDKYAGDKSYDYDDRRR L198_00587 MFARSAILLATLAAASAQLTASLIECQPALLSWSGGSSPYYLSI IPGGESGSAALKDFGEQSGTSLTWTVDIASGTSVTVRVTDSTGAINYDDVVSVQSGSS TSCLSSSTSASGITGSSSAYVAFLCPPWSSVVYLGLTCVFSTSGAAAASGSSSAASSG SSSAAASSGSSSAAADSSSTDASSAPTSSSSSSSSSSSSGAMGFASASVAGIALSAVA AGVVAFF L198_00588 MSSPAPYWVSTDDWHTAGEPFRIVPTLPAHCHTLGPTVKDRRRN ILSSPDHPLDLLRRVLCQEPRGHADMYGGFIVPPNDDGAHLGVLFWHKDGFSTACGHG TLALGFWSIESGLVKAPSDGVTDVVIDVPSGRVTARVTTQGGKPVHADFINVPSYQVV DQLSVHLPSLGKDISLDISWGGALYAFVDSTEFICLKVIETNHDAFVSLGREIKAVLG NKARHRDLELYGVCFYDKLASDESSLTQRNCVVFADGQIDRSPCGSGSAARVALLYAQ GQLAEGQVLHHHSIINTVFDGSIVSTEKVNPFSPYPAVIPMVRGSANLMSQSRFYIDS ADPTFPGFVFR L198_00589 MSLPPDLSPVYWSAWTPVRPGASVLESVHRDQGEEWIGSWDNVG LYEGNNKVPSHQLLTLHLTNQRMITIPSYGNAASVLPPSLQTHLSHVRQTEYYAGFMR SSAKITLTLGIPAQNPATSTDENDANSWSCRVCGFANESNGIAKASKCGLCGVPYAQA IASTEPSRGGSPSSSGPLPTEPNIKEDKDTVACPACTFLNSSLLPNCEICTTPLPRAL PSSTPRAKNAEKDQIIRFSFRKGGDKDAYNKLKSILGDKAWERASGAGNVGTPLGPDG ERSGAGIYGILQSIDLNAKAQDSHMQHAFADLEALMLRAGEMVKLAQSLNQKLSAQRS SNGSGATEEEATMIRTTLVQLGLEAPALTKEMAKTKLDYHEGLARELGGLLTGRGESG LMVGEKGRGVIGLDEVWGLWMRARGVALLPPQTLVDVLPHLPSKTNPSITSLSLPSTL QVLHTPSFSTSSILSRTLERLNPAPEDAEGAGSEEKSFSLIEFASQESLPIGLAQEFV KLMESEGGLARDDQAGQGDGAVRWYRDIMASQTA L198_00590 MGDQPPALPPTPASGQTTFDARLAYIQSSSSQVPTRQNSYNYPT HLNPSHARTQGPSQGPSRRPSLEGRPGHATHPSMSNAPLLNGNAPSALAHPGCVLCGL VRSCGSNPPSPILSPQHDTSFMASSSQTPTTAGSFSQSPYPLPFDRGGASSPSPDGRR TGNRVGGRDIVYGDKDITIYKAEGKERLCQDGRHLIIVVNEHLQSVYEFGASDIPLLS HIIDTAVQILNSAASASLDDEERGKEKDKVQVGFVGSLMKDPQSPYAHLHAHAYLPPI DTKLAGSTLWRRNVVFGSLNWWSVEDLRAEIREATSNNRVKTGYQHREAPINQVPDAG STVRSWSTLYDGTVLTTKQAPIYSNESDYHDAPSPLLAPGPLHRPPSRSQSRSPGASS SKSPPPRPSSYPMTNMAGGSDVSSRTVTPSSARGSGDVRRHGKGKASEDFENVEMEDS RRSREGFV L198_00591 MNPYAGPSSDAFAPQGLQEYGGSLPPLDTNSGIVNGNPEWRYEM RREAQLIIPNLYLGPFQASLNAAKMKAMGITHVLCIRDRKEEKLIFPRFPQEFQYMTL DISDNPDQNLITLFPRCRDFIETALLSGGTVLAHCNGGIALSPAIVIGYLMWKYNWTA ESALAHVQNKRYCVSTMSFQNQFKEYEPIFMAQKMVQFAAKRENGSSKRQVEESEDED GYRRKHRAAPEEGMDVSMDD L198_00592 MFSTSITLYALLSTALLPYVAASPAPDMIFTLKESFFTPLGCST TFRPTSILHQVPSPQACFSRCSSSQLAAYTSPSSSSMSQSVLCACGSEVMMEGIGRMD RCKGNSWYLYSNEEVDELVIVEDDERMDKLSVFSRKGEKSSSKGPMPLAILKKLTAAS KNRIFDW L198_00593 MLTMLTPRVPSSIPRPLHRPLTTLGLRREDPARIWERRAPLTPH TVQRLLADGKDQLTIHVESCRRRCFTDEQYTQAGASVVSSLSNNVDVVLGIKEPPLSS VQSLLDASKAEGKERTWMMFSHTHKGQEYNVPLLSAFLHPTQTLIDHELLTSEQPGKD GKTQLKRVAAFGWYAGAVGAGEALSLTGLALLKRGIATPLLHLARPYTYGTLSTFKDA LRKTGEQIRSNEPAAGLAPIVIGLTGAGNVSSGARQILEELGVEWVNAEDLPRIKSKG RSVYACQITPESYLEHRGGKSFNKSHYYSSPEEYTSTFAGKIAPHLTTIINGVSWSKQ YPRAISSSDLATLLRKAKGQQKLVAVQDVSCDQKGGLEFVDQFTTIDNPHYEGPGGIL ISSIDILPTELATDASNHFSAALYPYIRQLLLPTPSATGEQETLDRATIVRSGTIQPK HQWLADKVDAWKASGSPSFFDERSEATIARRGGVQKKVLLLGSGLVAGPAVEVFAARS DVLLAVASNNIAEAESHIKGRTNVQAISLDITDDAKLSEAVAAADVVVSLLPAPLHPQ VAKHCISHSRHLVTASYISPDIKALHSAAVDADVLLLNQCGLDPGIDSMAAMRIMERV KREGKKVKSFVSWCGGLPERSASKGPLGYKFSWSPNAVLTAAQNDAMFKLDGKNVTIP GDRLLERHFSDVRLWDGLQLEGLANRDSIPYAEKYGLGPVEGLTDLFRGTLRYPGFST LLDDFRRLGLLSSDVLSSPPASWSELLRQSAGRQLGVNCLKDQDQHSAITDLVGKEEG EKTMKALKWLGLLGDSSLPIPKLSTPIDLFAHLLSHKLAYQPGERDTCLLHHSFTLHP STPGGREEVVTASLRHIATANQSSMSITVGKTLAFAALRVADGQVDSRGVQGPYDRDV WEGVLSSLEEAGVVVEEQWN L198_00594 MSLSSQTTLISQPHLHRRASAHHAHPRRRPSHAHARRGSESEGR RALAAGLAMHTLETGKKKKPAEQRPQSQHTSRSDTNLPHLSRTNSMMSNTSHASQTSN NSTVSRPGAKPRRSREQVQILDEQGREIDPDEEEWESGEEAKRGKKSDKKKDRTNASA LATSAAMRRTVSDTSANKQEQGDDEGGDQRPPLTQRTTGFAGAVQPLDPQIAAEMPSV EPPVIQNPIKRVASSKSLVGPISAMTSVENTPDSGIITEAPKSEQERLRKAQENSLLS TGLSRDHPEPKEESPSYPFPKMPSPEEPLKPPSPPRPESPLRKEGGQHREREASSLPG SNGTRSRQVSNRNAPSLRHRYSNSSLRSIQSLRAPPHPLNSPTGYRTMRNSTAAYDSP SKNSTDEKRTRVPSMHQPPVPNPQVNFEVAQGKGWDQIPEEEALGSGTSAAGTSRSQS QQPAQPQSHRRSSVASTRSLRSIFAGTLAPPPAPDSSQNSKRLTAHEAASAAAKRPTT NNPVLYHHSLGHPSSAAESCFLISRFLPEKKLQRPSWEVDPDLTEEERAQDPGAKLTN GEYRTAHETLVHTFRHLGSGVQTQKRSLSRNQSYGILPSSLTLSSVAAATGVASHIAQ NGVAGASNGVASNGLGSVKGKDGSRFELSSGGLNGMSPFEMSVQRVLNQRPGRVAL L198_00595 MHRPNAQHLTLDHLAPPTPSDFASPTTPSPTTPASFHTPLASTP STLRLAMSEEEARRSSYKHKSPSSSPIMSAYAQHVPDLAADPSQAALLQSARMKLRSQ DQEAMSKLRVSMKAQSVSDSNSSSSLSPSSPRFPPGTGGQPTRTLRTRRSTLSRIAHT AELEDVTEGKGESGDDGQMKRGQSQPARSRTEPQVPPASSADHVIAVVGHQGVGKTTV IARALRTWGMSNPVKIQSVKGHLVSSCYSQIAPGGKLESSCKVEFLEMDIRALDLDPD APTICPASVPGVSGVICCYDAMREETLEGLKDCVARFANINIPTVLLACKSDPHEPLQ VLAARGNTVGEPFNVGLIEVTEKTQEGKVKMRNALRWLLYKLEQRQRRQLRQLVNISQ ALSTDSTLPSSADASSSAAAAESSPLDHLQSPDSDGESHADKLMWKKKLSLTPRNSEE VTRASGVYDQEVGDEGEVEMLEDEPEPAQEGGEKGASQESLGWLARPEEGPDAGKKAV GEKLARADSTVSQGAQGEPPVYMSLEDIYNHLFTSIVTSKDDEFIKAFFMTYRRFCQP SEVMKEFLVRFQEVEEYGVSRDVRNWALMKLAGALVDWTTRYTGDICAPITADIFSEI VSLLLKHTFMAHLLSDLVTAQNLLPQRTDVDQSWSLKPELNPPHSATSELVIDSEVLY DLERFDDGQGSDSFGRKTGSSNSVSTSSLALDSQRRRSGSEPRPTTNLVHPPPPPLPQ QKTEKEGSISSGKSRSASGSHKKLDVIPHLNDEISEARWGYAINSVLRMDPRTFAAEL TRLQWELFENIRPRDVFRHDFGKETDGPVGKSIAFFNRLSRWISTMILAPHKPKHRAR LIERFMIIAHQLRRLNNYDSLYAVISGLRETSVHRLASTNALVQLSPAEEKESQSHLK LMDPRGGYVHYRRALQADISHGRGAIPLINNILSLVIRLQSVRHEDVRKSDGMVQWDK FMRFGEILGTITECQNRGPAAQGEASPGFRKLMEETVILTDEDALWERSQMLENGTET MGGKMLKRLANLGFS L198_00596 MLRTPLRLALRLPRLNAITPQRRFAHALPTDLPPIRARLRPLVP FFIYWTIITSLLVHLLRTRQESGESLSRQKAKISVLSDLIARIRNGEDVSEDEMQREM EMVGLRERTALTLGLDKELKEAENVGWREVLLGKTAQTEEQAAREEKAIEEWAQLMDG SEPQAGPEAEAEARAPSIRSLLRPSERRQEGGREGMASRAPGSSVYL L198_00597 MSAQPQQLLTSSSQLPTSPAHQQPQPVPKTATKQKKQLRGPAGN MELFEWQATMHTCQAINVDGERCGNSITNPRQNRFCPNGWHFENARYDNVVEMVERRK AADEANAIRRKAEIAEQLALFEAQFTLTSNADKASSSGSLQSPQVVTSALPQQRQSGF STTFRRGGLW L198_00598 MSGYVPTAFKPMRSLVANVQFSPSPPPEDQPNPPESNDDTYLAD GNIILDDHPMSEAPKRADGHHANSPGVFHPSMVPTVVTTGDGLHVELIPKGEQADKEK KKDNKEDLMLPSHVLLETHLLSEEGQAEGEGDRTVVEDEDFLAGLHFVDDDLTRGSKR YFDPEPEETQDDEAAFLAAADSRKVCQNCKKPGHRSVDCPHVICTTCGAVDEHERRDC PLSKVCYGCGQRGHHKSECPDPATRNKRWTACERCGGSSHTDTASRNSIHRMYTDQIQ NCPTIWRVYTYIRDDERKSVIKEKEKAEGWAKEAMGGGPYEAWCYNCAREGHFGDDCP QRRGSLVRLTAPSAFSYEMSSRGPFHEAPPKAKNLPKATHSRFTDDDVPYNSAYDSYG ADAGRRGREKAKQKMISRGGRDDEDEDDWFGGNRERDRRGGQGTPQTRGRGQAGTPQN RGGPAGRDGRRPWDSELRGREWDQDRAKRDHASRNDRDDYDHFERRGGQPPRRPSRSP PARKRGGQSSRDLPPSSAPPKANYRPRLDDRGYADSPSVRGGGRGAEAGAGSRALLAR AMGPQSGGASPRSRDLGASPKPSLKSRIGPASGGGKRGRDDERDWEGEWRRGGGQGGN VADWGRQMDRDARDSRDGRGMSIRGSGAKDQKSSGQKYHGGY L198_00600 MSFRQHDHSKPVHIPTTEDEVLQYWRDIDAFKTSQKLSEGKPEF SFYDGPPFATGLPHYGHLLAGTIKDIVTRHAHSTGHHVERRFGWDTHGLPVEHEIDKK LKITGKADVMKMGIDKYNAECRDIVMRYSDEWKATVERMGRWIDFETGYKTLDPTFME SVWWVFGQLWQKEQVYRGLRVMPYSTGCTTPLSNFEAGEDYRMTSDPAITVSFPLVDD PNTSLLAWTTTPYTLPSNLALCVNPEFTYIKIHDFERDQNFILLESLLGTVYKELQGG KKPDPKKEPKFKKVGTFLGKDMVGWRYVPMFDYFTEQYEDRAFRVLSDPYVTDSDGTG IVHQAPAYGEDDHRICVAHKVTREDEIPPCPIDESGRFTAEVPEYQGKHVKEADAPII KDLQKKGRLITRSDIMHSYPFCWRSGTPLIYRAIPSWFVRVAHLSDKLVANNEKTRWV PSAIGEGRFGGWIRNARDWNISRNRYWGTPIPLWVSEDYQEMVCVGSIAELEELSGVK GIKDLHRESIDQITIPSKQGKGQLKRIEEVFDCWFESGSMPYAQAHFPFENKERFQKS YPADFISEGIDQTRGWFYTLLVLGTHLYDTAPWKNLIVTGLVLASDGKKMSKKLKNYP DPMEVVKKYGADCVRLFLVNSPVVRAENLRFREEGVREILTNVILKWINSLNFYLGQA ELFEQTTGQKFVYDPEAKKSENVMDRWILATCQTLIQHVETEMGAYRLYTVIPKLLDL ISDLTNWYIRFNRTRLKGANGPEDTKSALNTLYEALFTLCLTLSSFTPFTCETVYQAL RPSSPAPKDASEDVRSIHFLSFPKVKAEYFDPTIERQVQRMRAIIDLGRLIRDRKTLK VKIPLKELIIFHRSQEYLDDVKSLEGYIMAELNVTKIVYTSDEASVGIKYRATADWPT LGKKLRKDIGKVKSYLPKMTSDECKDFIATNKVTINGVELVEGDLNIHRFAELPADQA ADYDSASDNDAIILLDIRRHPELESQALLRGLTSRVNKLRKEAGLKPSDKVDVFYQYD EGEEDVIRAAIGGNEDFLQKQIGAVPVELSQKSEGRELLQTEVRSKEAGEIGQGERFV LSLASRA L198_00601 MESALSPNTTALFNYLSSSFNNDQSNNPTSLPTAALFNSMPVPA RDTPEDTPPSAPSSSEPLRHASADEESDSTLDRQNSTGLSTGANVRNKRKAGQHSRVA EEDEEEGSESDLPSGHEDKRQNGKGRTASGASGSGRRGGRKSLTTADGEGRKELSKSE RRKEQNRAAQKAFRERREAKVKDLEDKVSELEGQAYGAQIENENLRGILKRLQEENVA LKQSAFTFSMPMSTPASTNAPQPQNPKPPTPPHTNTEDSLKSVNDMRPASHRNSSAAS LFKDSPESLVSVGSGTDQTPPNLFPDHFNAFALGGVGVPPKDPSVPTLAAQHQTEKKV SPPSSVSTAGDSEFAALWSSLYPNGVEPAVNQNSSDAKGGPFQLLNSQPEFMSFTNAG FGFSDYFPDSASAMETDPTPPPAPAAPTPNLGATGNTSDWNKFAFRDSSADAAAANWE SGVDSSVSDFLASLTGSDNAAPQEPVGDDDAFNAQMRKIFGADNSPSAAFNIGTGSST FSPNNYLNMSPSPLIQNSNGVSPESSASRSNTDSGNSPLSSNTSVSGAQKPSSGSESG DCLPANLGTCIAPGPFKKSHDIVHIIDENGRTVKPSELWIKLGMEYENSMDSLLIDDL CAQMRSKATCKDGKMQLSLKDAEEQYRHDWIKNQEAGNEPTP L198_00602 MAAAMGSVPGQNRDAIMKQLQALQTSHARTKQLSQQPSSGHQWP SSSHAHSPSQDHSPSPGLAQQEGFQLPGMPQSGSSDGMSAQAQQLGSMSQQQREMFLA QQQRLQQGYAQGQARPPVPQHIQQRQGFLKSFAQYFHASGQTPPDAIFNNGEREGCFR VGEYWMDVVDLLMAVMKSGGIMAAMQQPPDSPMWRMLLSQKGIPAVLPRPIECPKPPN SDPNSPPTMTTNPVTYLSSAYFAWIQGFEVNMQKNRQASYMRQQQAAIAAGRPPPAPP INPSILNTQRLSGQADSPSTPGSAVPPSPATNALSFGQQGTPTPSTPGGSTQPSGTLR RKPSDKKDSLSVNTSAGPVDGGADTPDSSAGGKKRKRGKNGKSQEETPAPTPAPEPEE PEPPVSPSKRQRFRVEYRPIHFPIPTSAGWDPNMVSATYSKNSLRQGTRPIHDLAVVD MEAVLMSLRSRMPKELGYAVTVLAMLSMPHPEENIGGLPLHHLREVFLELLDLTDEMT FGEGGHQAWLQAQNPEVKKEDPDAETTGSARGMDDLNRLPFIELERLGKDFDFEVLGD EEEEQVQWRKDETGGRTKLVLACVNIMRNLSMLSENQEIMAGYPEVINLLAALCDARL CRLPGEALDKSTKKPFSVMELARVRRDFVTILLNLGGGVDLRQATPSATLAVFRNLSS FLASGWESNNLREPIYGPTLASSIRETGPPTSVPSVGRALGAFSLLTHPDSNREVLGQ VVPQDELVELFENLLKLLPITRRHFEAMHSLEESLGHYETLGLALYSLAFLSPLAARA EMRNVPGSLALITRVIFDTAIQKGHNASNPFAILCRRLCETLGVLNGTVMPGGAVEGV SGMSFGGGGIEGSGWKFASEKVEQGWLAGREESVLAALLGVRDINWTALGELDGMLWH TESE L198_00604 MSQESLSTSNLQALVLLQRQYFALYPPYLLTLPDNRLLASPLGQ EFIIQQFLGGREGEDGGVSWIRDFQPEQGYQAKFWRRVVAAIENGVAIGGHQQGDEWA VDDDIYDHVSNLMVSSSTGFQVPRPSYRTFIYDLPAKTQPHAECTEDKNGGRITLLEE QIVIQSGTTGLRTWQVFQHLTAALHLGHHLIQNLSTLLPPLFASNTGFIEIGAGTGLI SILLSHLGFPVIATDLGDNEQDLESESSFRTPLGRLHTNLSLNSYNNEPPEIHHLDWF DARKEPSDPSYDHKWADLVQARRNVIAADVIYDPDLVPPLVDSIDVLLGSAKDQLCAV VAATVRNETTFESFLAQCAQYHLTVKDIELPPIPDANPTFWDSALDAGTRVAIMRITK ETR L198_00605 MAPVKKSKSARNSESVNSKLQLVVKSGKYTLGYKQALKQLRSGK AKLILISKNCPPIRKSEIEYYAMLSKTRVHHYEGSNVDLGTAAGKLYRVGVMSIQDAG DSDLLQDQEAE L198_00606 MASPLVVGLSLLGAGLAGRVGYQMMRASSGGAKEFLKGGFKGKM DRSEALQVLGLREPLTSNKLKDAHRQLMLANHPDRGGAPYLAGKVNEAKALLE L198_00607 MAPDSDATDEQLYAELPAPRYVSPAEFIETYHPNVLAPLVRCSK LPFRHLTSLYETHITHTPMILAEEFSRAQIARTSDFSTSSDERGVYWMTPKNGSAKGK EKEHNQDCHPEDCRPTKAWRTYHHPPSTTRLPPTPVPPSAHAELVRGVLLAQFASPNG KSLADAAELISPFVDGLDLNCGCPQKWAYNEGIGCALLRKPDLVRDMVRATKDRMGWD WPVSIKIRIDQDPNMTELLISNALQAGISHLTIHGRNRHQPSTDPVNLPGIKFAVECV KGEVPCVANGDLWELEDARRMRRETGVEGVMAARGLLANPALFAGYDKTPEHCVSQFI NIGLDYGFVFPLFHRHLAYMLESHMARAEKVWFNSLQSQASAIDFLEERGIDFRTQRK SLWDARRGRVVINAC L198_00608 MVNLTYGALLTSSDGAVSFEPLGTDIISTLAGEQTAYDPGDIAW VLTSAALIVFMLPGLGYLYSGLSRRRNALSMLFLSMVSLGVISFQWFFIGYSLVFSES GGSFWGDGRNVGFRNVLERPIPETNGKLPEIVFATYQMMFAALVPAVLLGAAAERSRM LPAMIFMFCWTTLVYDPLAHWVWSVNGWANKWGILETPANDTFITDAGGVPVEIASGT GGLAYSYFIGKRRGYGTERVLFKPSNVGNVVLGTVFLWVGWLGFNGGSCFGASLKAAL AVFNTNLAGSVGGVVWLIMDFRLERKWSVVGYCTGAIAGLVAVTPAAGYVGAPAAALI GLVSAAVSNLATRVKVSMRVDDPMDIFAVHALAGVVGVVMTGLFTQASVAANDGFSEI DGGWLDHHYVQLGKQLVWVVVGMGWTFVVTYAIMFVINLIPGCHFRATEEAEIVGMDE VELGEYVADYAFHQRDLEGEYEAHTLSRAPSATKLHLRGVQKDGESSHSSQHRQDLPP TMPRGGAAVAHVNDSDGETMVYSEASRSQSRGRSRPPRAHMGTLAEGEDMEMREVNGG ARHHHQQPPNGEAFDISQKD L198_00609 MTLLVREPSRWANLCVSRDGIAATSESFDYESTSAPRRDAISSL VITLKTCQTSDAVAPLVSRLSRDSVVSLFQNGMGVYDELCSRFWPDEGSRPHFVLGTT THGVSPHGSSKGEVLHHTPLGAGDIKWGVVPHKRYEGTPWDMSQSDSQSLHQKSICPS EPAFKNLEDTLQALLETSRVLESLMELRGHTEETVHLFSYQNIRKIVEGVIRATLGNT CSMAVDVRAKRPTEIDYINGYLAKLGDGLGIDTPANDMLVDMVKMVEMSYTE L198_00610 MTELDSRLDAFLEGRKQKGRFRTLKEYDTSASSALVDFSSNDYL SLTSSPAFRALYLKRLATAESIFGSTGSRLLSGCSPSHSSLEIRLADFFNSPSALLFN SGWDANVSFFATVPQSSDWVVYDELVHASVHSGLRSSRVPVERRVPFSHNDPEAFAQV LIQVSSSSTSQTSTVFLALESLYSMDGDMSPLPALLDTMESYIPRSRMCVVVDEAHST GVYGRQGRGVVHALEEGGWAAGDDRRRGKGRVDVRLMTFGKAVGCSGAVLLCSPTVRT FLINFARPFIFSTAMPHSTVIALQCVWDILQGGEGDERRNKLMGIARYLHSLLDDMLA TTSPRLLCLPPDPVVPFSSSLSELPADPPTPILGLLTPTPHALAAFLLERGFIVRPVV PPTVPPGGERVRICLRADLGKDVVHRLVQALREWVDRQAPSKAKL L198_00611 MPAPTTAPRTLYDKVFDDHVVHSGEGDTLIYIDRHLVHEVTSPQ AFEGLRNAGRQVRRPDCTLVTVDHNIPTISRKNFKNVNTFINEADSRAQVAALEDNVK GFGLTYFGMNDKRQGIVHIIGPEQGFTLPGTTVCCGDSHTSTHGAFGALAFGIGTSEV EHILATQTLPQAKSKNMRINVEGTLAEGVTSKDIVLHIIGIIGTAGGTGCVIEFSGST IRALSMEARMSICNMAIEAGARAGMIAPDEITYEYLKGRPLSPRPGEEWEQAVAYWNT LKTDSGAKYDIEVEIKAVDIIPTVTWGTSPQDVVNIVGVVPDPKDFPEAQRPNIERAL EYMDLVSGTPMDQIKIDKAFFGSCTNGRIEDMRSAARVILASTKSGGPSHVAEGVYAM IVPGSGLVKQQAEAEGLDVIFRKAGFDWREAGCSMCLGMNPDQLKPGERCASTSNRNF EGRQGAGGRTHLMSPAMVAAAALTGHFTDVRTLMGSHISEDGGLKITSYFDYLTPVEV PEQPVQPTEETEEGKTPVKQATAASAGLPKFNVLRGIAAPMWEANIDTDKIIPKQFLK TLLRTGLGKALFWPLRYNNQTNEELPEFVLNREPFRSASMVVCKGPNFGCGSSREHAP WALNDFGIRCIMAPSFGDIFKTNCFKNGMLPLQLAQAELDDLYEDASLGLEITVDLEN QVVTRPNGKPPIAFSVDPFRRHCLINGLDDIGLTLVHRDEIEKFEGKRTAVWPWLDGV GYAKKGQKVIAVPVRKGVKKTDW L198_00613 MTRGPVLQCIAHTTAEKYDLSALSKALKSLGVRWDEVPEGDPDR AFVIGPWKGRGGAERLIRGKVNKSNPAIQKSPVLEWAENEAHDLRDMGFGYGERGEIW VFNSGSFVTWGLTEEEGRAFLREVIRSGGADVEIARVAPTEYEVEQVDFVVDPTARTH ILGNLILLGRPPRLSTFHPSPSLASLLARYTLSLSLSRSSSLSVLEDRLDSHIASVSR LPRALEKYGRQPMPRKEVIQKMGEVMTLRMAVNTSGGGLDDTPEFYWSEPELESYFDS VASEFEIKERVESFNQKLDYAEDVQNTLRALLTESSAHRMEIIIILLISVEVIIVLIR EGPELVHKFAEALGLAPAEAEELADKLQDVADRLPPMGILPPKQDRNDHWADGPKRQV L198_00614 MHLRSAAPALRAAARLPPRHLSTTAARLAIPDPAADKTTHFGFR DVPESQKESLVGSVFSSVASSYDIMNDSMSLGIHRLWKDEFVSSMLPPDKPVFKCLDV AGGTGDIALRLLDRAKDKFACRDIEVEIVDLNEGMLNEGRKRVTKTMYYNTPQIAFTH GNAQQLPTHIADNSIDLYTIAFGIRNCTSLPAVLSEAYRVLKPGGRIGVLEFGKVQNP LLREVYRQYSFQFIPVMGKILAGDAESYQYLVESIERFPSQPEFAKLVQEAGFKTGQL KEGKGGAWVDFTFGIATMWTGVKA L198_00615 MISLSLQSTILLLTAQLFFPIPLASASPSTDHASANVVILERSS GVEPHDIIGSAASLRKRASGYNDPRTEGGYMVTIVNGTYPAGLGEPLNVILSGDSDDA VLVKSADNGGFLNYMLASGLGEECLGQHLGADQEANLGDGQGNVTEVEELRYNYGDPY IGTCQETFNGGLHLRYWIQNPTGAYFMAVSVEQSLSLGHDIIADGYNIGRDELVGNMT GQTIDTRGLTNGSTVSGSATYNNYTYQTDVEYVPGLLSNSSDDINHYLT L198_00616 MNKNAESTNASSVANRKSSVYVSGIAPAVNEEQLLQAFVTFGDI IEIKIPHEPHDPKKHRGYAFITFSSAADAQEAIDNYDLNQLPGYQGSDKFLKCSLAQP SKYVDESGRGDRPIWETEEWRAEHGQPKEDGEGNAGES L198_00617 MASPIEVDQAVPGDSIDEGLYSRQLYVLGHEAMKKMASSNVLIV GMKGLGVEIAKNVALAGVKTVTIYDPSPVEIADLGTQFFLREEDVGKSRAEVTAPRLA ELNTYVPVSILPGAGEISPEMIDPYQVVVLTNATTRKQVEIDEYARQKGIYFISADVR GLFGSVFNDFGKDFACVDSTGENPQSGIIAAIDQDEEGIVTCLDETRHGLEDGDFVTF SELKGMEALNGGEPRKVTVKGPYTFSIGDTRGLGEYKTGGLFTQVKVPKILQFKSLKE SLAEPELFITDFAKWDRPQTLHVGFQALSAFYEKIGTLPRPRHAGDAQQVIALAKEIH AANKGEGDLDEKTLTELALQATGDLSPMVAVVGGFVAQEVLKACSAKFHPMQQNMYLD SLESLPTNLPSEADCQPIGSRYDGQIAVFGKTFQDKIANIKQFLVGSGAIGCEMLKNW SMMGLATGPEGIIHVTDLDTIEKSNLNRQFLFRAKDVGKFKAESAARAVAEMNPELKG KIIPHEDRVGPETEDVYGDDFFGALDGVTNALDNVSARQYMDRRCVFYRKPLLESGTL GTKANTQVVVPHLTESYSSSQDPPEKSIPSCTVKNFPNAIEHTIQWAREAFDSLFVNP PTTVNLYLSQPDFVETTLKSSGQHHEQLKQIEKYLAKEKPISFEECIAWARLQYERDY VNEIKQLLFNLPKDQVNSNGTPFWSGPKRAPDALAFNIDDPLDLEYLIAAANLHAFNY GLKGERDPALFRKVVEGMQIDEFKPKSGVKVQINENEPVANDNDEEEDMDAIVSSLPP PASLAGFRLQPVDFEKDDDSNHHIDFITAASNLRARNYGITLADKHKTKLIAGKIIPA IATTTALAVGLVCLELYKLIDGKNKLEDYKNGFVNLALPFFGFSEPIAAPKQKYNETE WTLWDRFELNGNPTLREFLDWFKNEHKFEVQMVSQGVSMLWSSFVAPKKAADRMNMPM SELVEHVSKKPIPPHVKNLLVEVMVEDENEEDVEVPYVLVHI L198_00618 MPPAYYPEHIDYARQGIETPGTRRDGQTGIWKGGKPANASHSAD NDHACAGIFDPADLKDSKEPQDLSRLLDDSASRYPQRVLFQRRSLHPSSTPSTLVYSN SIIETTYAEVQSRRDAFGSGLLALEREGQLTSSTSLEASPVEIKHTGVPFYGDDNRKK GRARRGWAVGLWSGNREEWQVVDQACQAYGLVAVALYATLGPQVARYITNHCPLSLIV ASGDHLPALLKIAPHCPTLRVIVTMDAFPPSEWEIIQQWAHSINIKLYTFNDVEARGR ADLLSPGPEPGEEDISKNRVVAISYTSGTTGDPKGVVITSSNLLYATLSIAAGYSDRL KGIHWKLLSFLPLGHIYERVLESVALYYGATIAFSQCDPAKFLEDAQFFKPHMLPGVP RMWNRISSAITDQMKAPGLKGALLRKAVATKLADWEETGQVTHTVYDALVFRKIKALA GGEVIFMTTGSAPLRPDVHALLKVCFCADFVQGVIPSKPDLTTFVHSIPNDKTSLGTC GFLASCNEAKLVDVPDMNYHATDQPNPRGELCMRGYNVSPGYLHNEKATAEAIDDEGW FHTGDIGEFDACGRLKIIDRLKNVIKLSQGQYVALEKLEGLYASNPLFASLLVHGDST RSHLIALAVLDPEQASKLVWKVLKKGVRAEDVKQLEMAVKENEVRKVVLRQLAKVAAK NGLNGFEMIKGLHLTVRPFPDEILTPTFKVKRNIAAKVFRDVIEEVYSRGEGEVKEPL APLPRVSRG L198_00619 MFHHPPSSRSPSATPAPLPGALQPELHEGGLAADPSESRYRTKV IRDDVERREHARMGAIMPGTGDGSALGEECFLWTDVPNVKNFRYIPCALSSTPSPHPS VPFYRTIPYPPPVPLVHLSLLDRSNYLRISPSLLTVCNERGFRSCRANVSVREGAWYY EVKIEIGDGDRGAGRGLGGDSVVGNPHVRLGWGRRESNLDTPVGCDAYSYGIRDATGE KVHLSRPKPYAGKGFKSGDVVGCLIKLPPRPSLEGKPTYHPAHVKRQRRAFNYKSQAY FESAEYAPCREMEALVDRDGKLAAAAANGNGESNGDVANHKKTAGAATKHTKKSKKPS EKQASSPEEPASRKLERLSESSISFFINGEPFGAAFEDIFDFTPLPPLRAPGTGKKQY GDDVMHDDGTLGYYPMVSCFGRAKVKTNFGPDFAHPLPVLPDGTPVKAMSDRWPEFLK EEAALDDVDEVEDTKRLEAILKAEKKALQKKMAKSKAKGGKSGSATPKGGVPAGTKKA QAGQQKRKRAGTEASTPGPDSVRGRTQTPVTAPSSVRGRTMTPVLFAQSDNGSDIPGK WDQEGQESTRERSVSVAQSDASSRDPWGRSRDEVEQEEQAERERDIKRPRPEEHMLSA GNSEDGYGEEEEEQEEKGEEREKREKRETNEEERGEEEEEEDEEEEGIKW L198_00620 MSALNLPKPVNSYAGQDELRNVAPANGNGTPNGDDAQEDEGEYR PPRPSDQLKIGIIYPPKEIRTIVDKTATHISKSPTPLLLEEKIRDFQKHDPKFAFLND ADPFHQYYRYMVQKAKEDVEDAVQGIVKPQEEKKEVKVEESKAKEPKAWEFKVDMPGV TAQDLDILRLTALFHARRGRSFLSSLSVKEGRNYQFDFLRPTHSLYGYYNRMVESYSK VINPPPGLIDGLVKEAKDEGSKWKTLEEARNRAEWERGRRKRENDRAKEEEEDAKAMA AIDWQDFVTVETIEFTQADEALELPPPTSIQKLKSMSLAEKRMAAMVMEETGAGPTQA NGQQDEMEIEEDEEDEDAKTQRIKAEQEQARAREVQRAAMEQRGMKIKKDYVPKGLQR TTSVSTATCPNCGQLIPEDQLSEHMRIELLDPKWKEQKKQQDLRRAQHQQLAQGADIA ASLKNLASARTDIFGDDVDEATRRAREEEEKQKRREREKIVWDGHTASAAQTTETFQS QFSLEDQIKKMHSRMGLDNVPANSPGPQIGPGMSQHVPTPMAAGLPTPGGGTAYAGAT ISAAPTGPSTREYISTPYDPAAFGGQSPGVPPSIHPSRLAAMGPGSGTPPVAGQVHPR DDDGASAPAFKRPKIQKLPYGQLYSETDWANYHPDPIKLSVQLPVMPEKPEWKLDGSI IEVPDLPVGTLFSTVRERIKRVMDADLPISRMRLDWGGKPMSNGSTLASVNLDDEDTI VLVLKKK L198_00621 MSAQAPRGQQRPPAHIEHRPMRPPPAQPAAAQQRMSMPPPQSTS KPVVYSTPKNPQGYPQSMSRGLPRPAEGQSQQGQYLRESTKPNVSMPPPRQPSATMGH KDGKQPHATAWRGAQTVRERGGKGEDVEGQFHQLLDSLQVPETVRQKFSTVSQDVKSS ILSSTLTSNPAILSSLGLPVPAPQSPKARKKLSTPLLRKTKSSGSLKDDQPQPNSNGV GKTYNVNGGGFVIVASPKAGSDATLPSPVFPNEDLRRQSIDSRPSRPSSRIFGHSPSA SVGSNKGAKGLGIAMGEQPEAFIQWLKAYKGTDLRMDVGRCKKLRMLLRHESTDWVGA FLDMGGYVLVLDRLQDLLDVEWREEQHDDQMLYEILRCIKAFSTTEMGKAALRRSFPR PFPALSSLLFSEKKPGDLASRQLIVELWLFLFDLFAPPSSFPTPSPALSSSGRSIRFD AHAAPGSISQKDLTDFMRTLLVPEQENPTKDQHDFVTQAHRPRIFKAWVGELSDICRD YFWIMCHASNTLWALDQVDESLVEKPVAPGGATGGVEFEAMNYVTNHFKLLNAYAKRQ AAEDIDKARQLHQDLMASGMDRILVTFRKASTTYYPSVHLELARYVAILRAASPDGKL PYLISKMVGPPPSEVAKQQHSGEWLPMPRTR L198_00622 MPRLPRTVLPFAPRALPQIPALAFRAPLSLPPTSLTKSPFYTHL PSRQPLTLSLLRSPLMPLPLTTTNTSPLSGALGALRNVQMGTFYQPSQRKRKNKHGFL ARLKGGKNARKMLVRRLKKGRKFLSH L198_00623 MSAPAPNSGAIITQPPPPSTLREQRIATHSHIKGLGLADDGTAM TTSQGFIGQVLAREALGLHLSLLKGGKYSGRPLLLVGPPGTGKTALALALSQELGSKV PFCAMVGSEVYSGEVKKTEVLGSCFRRAIGLRIKETKEVYEGEVTELTPSEVENPLSG YGKTISHVIVGLKTVKGTKQLRLDPSVYESIQKERVVVGDVIYIEANTGAVKRVGRSD AYASEYDLEAEEYVPLPKGDVHKRKELVQDVTLHDLDMANARPQGGQDIMSVMGQLVK GGRTEVTDKLRREINKVVDRYIEQGVAELVPGVLFIDEVHMLDMECFTYLNRALESPM SPYVVLASNRGISTIRGTEYDSASGGIRAPHGLPVDLLDRCMIVKTQLYTRDEIRRIV DLRCRVEGISHTPEALEKLADEGEKSSLRYALQLLTPAAIISRNKGKGEVSVADVEEL GELFLDAKRSTGVLRGQEDFEKRY L198_00624 MGLMDNTSIDPSALVSQLITSISWSSFAQASLALLLGFYLYSYW RYRSFSLHKLPGPPADRYFLGILPSLLGSQSEAPQTAWHAKYGPTLQTPFLPFPFYSS FQTTDPTALNYIVSHPDFFPKPEYIRTEIASVGGVGLVVAEGDQHRKQRKVLNGCFTP AAIAGMIVEGISDETPSLTPPQPMDQVAEGRKVDVMRYIIQATFDIIGLTGFGYQFNQ LQQKGVEDELSKAYGNLYTASIEFPTVRVIPTKRMKVAATAYGTAARFGMRMIKDKKA AAKIEGVGKKDGGTDLISILALPPEQRLSDLEVVDQITTFMVAANDTTALVLTWCLYY MARFPAIQERLRTELSSVHDERPSLETLNSSPYLDAFVREVIRLSPSVPSSTRSAKKN AVIPLRHPVVGRDGKMMNRVEIPKGTDIYISIIAINTSPLYYGPDAAEFNPDRFLGPQ AENDIPGVWGGSMSFFAGPRSCIGYRFALAELKTILFVLINGFEFAELPSKPVMERRS ATIMRARVVGEESLGPQMPLLVKALAF L198_00625 MKLVNKHIEKDGSGYVTLRPEDDEDMWHVYNLISEASPFSKGDQ VRAMAVRRVQTVSSTGSSDSHRVRTNLTIQVTKTDFQSAASSSTSATGQGERKEPTAS LQISGQVVQENEFVKMGAFHTLDLEANRDFRLSKAIGWDSIALERIQESTQEGRGAEV GAIVCGEGTAAICLLSEHMTTVRQRIDMPVPRKRKGGTSGHDKAVDNYHSTVYNAILR LIPFQSLKAVVIASPGFTKDALYEYIFSQATLQSNKALLASRSKWIKVHSNTSHVHGL VEALKAPEVAKMLAGAKFAREGAGLDKFHKMLATDELRAWYGPEHVALAVDRGAVGTL LISDDLFRSSDPVKRNHYVHLVEAVRAHGGEALIFSSMHESGQQLNLLTGIAAVLTYP LDIEVVEMEEREEKEREEKEKLEQMDNE L198_00626 MSTPSPAGPSTPQEQPNKWLPSNPFDMPETRHFIAQKIALGDIS QDDVDIMERGYNWMIYTPPVTAVSFTFLIWQLMKKQYPQPRMVTRLFWGGLSAGAGGF LGFGAAGVAAAMEVEDKMEDVERKSLVFEEITDHSRVIHEARIAALLPPAPPEPVLTP AARQATTRGSSRLPKDFEFPPERVQELKVGKERVKEETQSTWGYLKSWVSWK L198_00629 MTPSPRPLVIWHGLGDTALSPGISNFINRTQEIHPGIYVHSVQV PEDGTEDDERKAGFWGNAVAQSLEGCEQIKAIDELKDGFDGIGFSQGGLFLRWYQQYC DGPPIHNLVTFGTPHYGISALIPCPTPPTLSCLLAARAARAGIYRPWAQEHLVQASYF RDTERLEEFWEINGWLRDMNGERPFGRGEDEGGQGKRGEGKGLGALDNLVAILFEDDH TVSPAQSSHFASYAPSNKSEIIPLHDQDLYKDDWIGIKSLEEKGGLQLEKCPGEHMEI GGEKGCGERMVRRWIGWEK L198_00630 MAEAQPSQAPVFQDELTQDRTRQFIEFLDDESQPGYNYKESIRR MLDDEQVRLIIDLNHIRAYERTYADGLLLQPMQFVPALDAALNQLVESVHNPNKHKIE GKQYYAGMIGSFGQQHCNPRTLRSHQIGKMVSLEGIVTRCSLVRPKMLRSVHYAPQTQ KFHSRSYNDSTIIQSSSTMTGTTTVIPKDDGDGHPLLMEYGLSTFRDYQNIGIQEMPE RAPAGQLPRSVEVVLADDLVDCCKPGDRIQLVGVYKSAGGGAGARGFQTSIVANNVIL LSSKQGGGIAQTPLTDTDIRNINTQSKSPKIFNLLSQSLAPSIYGHDYIKQAVLLLLL GGEEKNLDNGGHIRGDINVLMVGDPSTAKSQMLRFVLNTAPLAIATTGRGSSGVGLTA AVTTDKDTGERRLEAGAMVLADRGVVCIDEFDKMSEVDRVAIHEVMEQQTVTIAKAGI HTSLNARCSVVAAANPIYGQYDVHKDPHRNIALPDSLLSRFDLLFVVTDDTDEQRDRM ISEHVLRMHRYIQPGSEEGVPPIENLDQNLDVGGDQTESRTTETAVFEKFNPLLHSGV TTTSGRGANKKKVVMSIAFVKKYIQYAKSRIHPKLTKGAADWIVNVYSSLRNDDMAAN QKRTSPLTARTLETLIRLSTAHAKARLSSRVDERDAIAAEEILRFALFKEVVRPERRK RRKINHQGQSVEVDSDEEEDENEVPEPAAAGQEDAELEAGVQRLNVTDSQRDRTREKN RRADGTQAERNEDEDEDFDMAEESLGLAQSPDPAPAPEPVELSAEQLDYFRATMSTIF ESELDEDGSISLDALLPKVNEGKTDADRLSAGQVVDGLKKMNEKDELMFAEGDGTIYK I L198_00631 MSDAVALKAEANKAFAAKDYPSAVKLYSDAIALDPSNHVLYSNR SASKASLKEYDGALEDAEKTIELNPSFSKGYARKGAALHGLRRFPDAVMAYESGLQAE PNNSPCTKGLADVKRAMDTDSASPFGPQGGDMGLGKIFSDPGMIGKLESHPKTKEYMK DSTFRANMLKLQATGGGDLSSLMADPRTLGALGVLMGVDIDAMERPEGSNEMPPGVST PSAPTPAPKPKPAPTPKPKEPEPEPMEVEETEEDKQKKEAEALKAQGNISYKARKFEE AIEAYSKAWDLYPKDVTFLTNLSAVYFEQGEYAKSIETCEKAVEEGRDLRADFKVIAK AFGRIGTCHAKQGDLASAIKYYQKSLTEHRTPDILTKLREAEKAKAEADKQAYISPEL AEKAREEGNEAFKRGEFAEAQKSYTEAIKRLPTDPRAYNNRAACYTKLLALPEALKDA ESAISIDPTFIKAYIRKALVQETLKEYTKALDTLQKATEADVEKKHTRELETNMAKVM REIQSQRSEESEEQTYERAMRDPEVAQIMSDPIMRQILQDAQQNPRALNDHMKNPMIA GKIQKLVNAGIIRTR L198_00632 MPTFLPSNKHDLHHLRAPIPKNASPRVLAAKNHVVAMMGEFVGT TLFILFCLGGTHVAQLPALSITSSSEGAINTSSLFYISLSFGLSLAVNVWIFFRVSGG LFNPAVSLGMVLGGALPPLRGLLLTVSQVLGGLAGSAIADALVPGKLNAGCALGGGTS IVQGLFIEVFMTALLMLTIFFLAAEKNKATPMAPLGIGMALFIAEIFSVYYTGGALNP ARAFGPAVVTHTFPGYHWIYWVGPGLGALMATGFYKLLKWLEYETVLQADDGQSYEDG EGPGPEARDEEAARVESTDKPRAQQPSEAVYDLQSAARINSRLARIEVLLSQVLEAQA AQAARAM L198_00633 MTTAAPTALPASTHLEELVKLASSANNVEAKAVADGIALSLKKA PKTLNAIQDAKIIDVVLAWAGSKAASEKEAAAVLVERLSRSLGTGVEGVFLPLVPAIL NLSQDKVQQIRTSVNSAMVSLIKAVAPEGVRSVFEVLIKVLDDSKQWRTQVAALKAME GLVKAGSEEYVANELGHVIPVVEHAMHDTKSEVSSAAKKAATTLCGTLPNPDVLKHVD LLVSAMASPAAVPSTIKGLSSTTFVAEVNAPTLAVLVPLLTRALKERSTDTQRMTCVV IGNLVKLVRDPTVAARYLGPLFGGVKQLATGAAFPEIRAFAQTALDILIGAGASADAT PLPPRDVTLAVTEALAVMAPHFDIAEFPAHPSLPLSASLPNSPVIAHAIEYQANIVAD LVDARKWDASAWEGKALGSFMKLLQGAEEGAKSTAAIRQAFMDIDKAKFAPDVEDDGS EGQLLCDIQFSLAYGGLLLLNHTNLKLRRGRRYGICAGNGAGKSTLMKAIRDGKVEGF PPQDQLRTIMVEHAMQGEDASAAILDFICADPKLTHKTRAEVAAMLLSVGFSDEKQQD PVASLSGGWKMKLELAKAMLIGADILLLDEPTNHLDVQTVAWLEQYICSLTEVTCMIV SHDSGFLDNVCTDIIHYETKKLAYYPGNLSAFVAKVPEAKSYYTLAATSIKFSFPPPG NLVGVRSNTRAILKLSNATFTYPGAAKPSLKNLSCSLSLSSRVGIVGPNGAGKSTLIK LLTGETVPQEGAVHKHPALRVGYVAQHAFHHINLHLDKTAVQYIQWRYQDGHDREMME KATRVFTDEDKEMMDRPIEGKNGELRKIEFILGRQKLKKSFQYEVKFKGYDHKYNAWI GRDVLLEKGFQKLVTQFDDLESSREGAGQRDTGATAVREVLEAVGLDGDIAQYNEMSG LSGGQKVKVVIAASMFNRPQCLFLDEPTNFLDREALGGLAVAIKEWGGAVCIISHSTE FVNALCPEIWNVDNGELTHQGKVAIVEDAFDNPSQPGSRVPSKAGTPRTIPGTPLTAA TSGTATPDGSSAVEDVTDGLAKLMEKKKKKKKMTRNELKAQEERRRMRKVNWLAYGGE REPDTDDE L198_00634 MSAPLNNAAAAQTTSKLQAFLNHPAGPRTIFFWAPIAKWGLVAA GLKDLQRPAEKLSVSQNVALAATGFIWVRYSMVITPVNYSLAAVNFFVGCNGVAQLYR IWDWRQKNPNAVTA L198_00635 MAEIPVAAQEGAHNVPVPGAPATDIPTAPGEQPTASHPTASTDP HKPSSQSAPTALPTNPATSPGEQKHAIKEEHPKVVKREVEKTKAEARELKGEPAQGTV VHGVEDDRLWAMLRRFDVQVTHVLHPAHKLPPAEPDLRVSPLPNLPSHTEVLRSNLER VIAAVGPSSVRGAREFQRLMSWSPEERWRTGTYCAAYFTAWVFGYTMLGVTIFLSVLV CFPFTRRYLFPPVPPAPFTPPSATDPTNQKGDESLLGNVDGKTVHRTKHEQAEEQAFE VTSVLRAYTTRLLWDGRKKGKEAGNSEVGQKREDSSDSDSEDEFNPERTKVDGTSDTA QGLDSAAVVVGGENIVPEKPLTDKEKKKLASREAKRKRDETVSKMTKATEDGLGAFAD LIERFTNALSPPSAYPENFARFKMAGAFLLPPGLLLTYVPAVYFGRAATFAFGVGMWA QPLLIKGVKKFVELVPDWEERMDMRNSILSRVPTDTQLTLHLLRVTEALGTPLPRPPP PPLAGTPKEAIADTTPATHTAEDDQELLEAQKEGGTTEVAVKAKHKTKTHLTNAFKSA GKHLAGFRGDVSVDGARKQVSMSIEELMERYKIEDRRLMWLGKIGDKVDKVFFRGNVA DDLTISSYPCKLDGTSGHIILDSKKEGVAMPTISFVPTSGKEAVFCRPIDDIVEIKKS HVAMARMALGWASGADVEGLGLTIRFKSGEQQLKELTAGPKASKEDGETVHFKRIGRR EALFVRLISMGRQRWEVL L198_00636 MSLSALTAQSELIASVFRPAHRLGPEYLEELALTCAEPYLEKYG SASAFLEETRMAPCLFYGVTTARFEPKLGQEVSSQGLGRVGYRTKGPEDELWFFDTFT YNGQAVNRNDLCRCWAITIDMVFFLEWITNIGFADEFNRAHLLELVSLYQGTSGEADY LRKMIEESECPVWDLYEAMDMLAQAMTKTMHELHLLEIDQQLLPTTLPEHAVVFFDAA LNYRHVLQEEEEAIISAFGRPKASAGIQQTMLHHYMNRYIGVVDMFFSWGSFPSQQWA LGEVRDDVEMRPLHRKGAIRGKSGRRTVKGTHKGLACVV L198_00637 MTTQPAQSNIYAPVPTSFSHDPASGTSTPASRDFVDELSARNED EDGLEEDLDKVAERRAHLGEMDEGAVVVEGEDTITLFVWILVSAAAVSGLLFGYDTAA ISSMLVIINEDFGAALSDWQKEMITSATTLGALLGGLAAGILSDLTGRRLVIVFANIT FIGGSVCQAACHTVAAMVAGRFIVGLGVGLASCIVPLYIGELAPTLIRGRLVTINCVA ITLGQVVAYAIGSGFQSVNNGWRWIVGLGAMPSLIQLACIGFMPESPRILLLRSRVSE ARSIIARIYPLAKVEQVDRKLEIMKAAVDQSKEYNDASTWGERLQSLVTVGTNRRALI IGCGLQAAQQLCGFNTLMYYSATIFAMVGFTNATAVGLVIASINVIFTLVALKIVDPL GRRKTMLWTLPVMIISLILASIFFHYLTLSTGGVLQSGASYPKSFSILVLLSMLLYVA GYATGLGNIPWQQGELFRLEVRGIGTSICTAVNWSCNLLIAGTFLSLMNKVGPSGAFG VYAGFCMVGWVFCWALYPETSGLSLEEVYFVFEEGFGVEKSQRLREEKMLEARKLKAA AGVV L198_00638 MPPRVSPSPSPSRPRESPIEVLYNTAVQSFVRRDHLKTQASLSR LLELLRAKRQGPRQVWYQLDQEDEDDEWEEKVANDEWMIKTLKLVISSTVNLYNDPPR KTQSLPQVLVTLLPPKSPKEVLSYLQQRCLTSYYGTIAPPVPLLPPPLVSTLTLACLK LVPLKPSLDFAHGLCENWLAALPNSFIDFITPSPTSRRPMHKTGSTSAALEHKRLDGA RERYTKVIELFVGEVLCREGEWEMAKAFLEGEGVLSSNRKEVLYKHLRKMQTKQTPPL PAPSPSSSLVLPSSDPTPDLQLPGSAKGKNRRRTGSTSSASSSSSEATARPGTLQRGL TAGTPLSKRLDKLKKDGERDAASDGSGFSRLSESTFKPSLPGTPIPAGHPVSVAGLSG VLIRVIGSLPIPQSILGRLLALSDSSPYLMSLPLPLILFLLIFLRFRRTHRSRPPASQ STISLGSRSQVQARLAQIRAGQRGWLEWAWWYLKWWVAKFGGVWKLGTTITYV L198_00639 MPRSPTPTGHGPSPSLKQLLKSADILLKPPKPLPSNPPDLLPRL RASSKALPKEWRRSVPEWTEDDEMDHVGDDGGRGIDEERKKQRRDDLVHVVGKRCFAM VKAMQLWLEKEAWPKDQRDGLEDRDFLLGTGDLRLIRLMLSHTTFSYLLPLATTYADA LPMVDDNVAASLASALEAILKLLKTTAPPAPAAGPSSRLPIAPTAITQSLLSSHLIPI FLSTLILAYTPSIPADHHASLRLAFLQALMSLTPGHAISSLVNVLKLLVQGRKADQSK TTGWVREWPKYPEGIINGLLTAQVRRPGGVRGLMENVLGDTARTDDVTSIEGKRLDHI FNVLVRIPRQVTPEIYYPWLLSELFSMIPLDDSSSHHPIAYVNTACYCIQRLWRSNTS IGDWLKNKLHSPWYPKAPVASGTPVEVTSWQAIQRSVQNTRLLLIHNPASPDFVDFLV GSILSPLFSLHSFLSLSPAASLIRPVSTRAVRQTLPDDVSFLLTSWGKTVDKDMGVKG LWEIVDAGKGWKVGEDGVRPDFFWERVGDGVRLMSGSQQKSLSEPEIVLPSLSNPSHS DTPSDDDVERMTQRLLAESSSSIPDPTLLCKLIKDIDRPEVACQVILKALHVWRIRAL MDSEPPIEAMLHLQLTMGLMTHLGAELFTEPEQLLEWIEQTLADQADRLEQEDQEEEE EEEEGAKPLIQEVKSIEQKKGRTEKEDDAADGNRGLIELACQLLASQEVKAAVSQKSL PILLPIVAHLDLISKLSPSSSTRSLAHEASLLLLSHQTTIPSSAITQKSVKETIDKAL ELVQDSTVPVRAHGLSLLKDVVFDPAYDTAYTPLILNTFMTHIEDDDSFVYLSAVKGL SSMVDALGGEVFSALMAAYEVMAKRLKKLTDADDIDKMLRLAEAVDQVIEKTGDALGI YADRIIPILMAIFPDTNLATAIRSSALSLLTTCAKASYFSLLPWATDLTNAAIDLIQL ESVAVSPFKPGPLHTAAPPPPSWKNPLAGGRKVQLVEDEPALSADGLSMSAGEEEQQR KVADPWIEDAEPIAVDDSKHPLLRRAAISFLNWIFSVVTFKVMSDHHDTAADPIFPSA SDISLTPVSHNEIMFKEPLDPTMDADLQVGAISHKLLERAATVLGYVQHTDVDELSRG HAESAERMLGMLRAAVVISQGGDVEGSGLEDLSESLEGLKVSLYS L198_00640 MAAPTYDALKLPDHVKTILVSGAGGFVGQQLVLLLLSLYPSLKV ITTDIVEPPSHGITDKNRLVAVKADLGKIKEVEGLFKGEKIDGVFALHGIMSGGAEAN FDLGYSVNVDSNLNLLRTTHKHSLSLPSSAPRPLYVFVSSLAIYGGPKCKPTDYVVPK DTPVIPGSSYGVQKSIIELYVYDYGRKGYLNTRSVRLPTVAIRPGAPSSAASSFISGL IREPLQGLEAICPIASSYEDKDLDDMPFWCSRTKTVVRNIAWAMCMPESNFASGESRS INIPGIKVRPRQIIEALIEHGGKDKFNLIKFEKDQAVINICKTWAGEYDNSDFLAMGF EADATETGFALAVQDFKDDLEKAK L198_00641 MAANTVISKRRKFIADGVFQAELNDFFTRELAEEGYAGCEVRVT HARTEIIIRATHTQEVLGDKGRRIRELKALIEKRFKFPENSLELYAEKVQYRGLSATA QAESLRYKLLGGLAMRRACYGVLRFVMESGAKGCEVVVSGKLRAARAKSMKFTEGFMV HSGQPARDFIDYAVRHVLLRQGVLGIKVKIMKPSDPQGRQGPAKNLPDDVQITEPKSE AAIEIRSDHKNPPVQAIPAPAAAAPEAQEAAAAY L198_00642 MASDAASREGNTTTIITAATSLDLPAHPIPKTYAELNPKSKPTE FFGPIGTAAITLLCPFFSYFFFFACNDAVGCTPTSLGGFKEAWNLAIDGFPSSAGQWW EWKAAAVYLGWYAFCVICEVALPGERVQGNLLRDGTRKTYRMNGLYTLLLALGIITGL LVQPGGVQAFTWLHDHFVPLLSAALAMATFQATWVYAYSFVSGELLALGGNSGNVIYD YFLGRPLNPTVPGVPSFDLKTFNEVRPGMILWLLLNISCACEQYTRLGQLTASMWIVL IFEGWYTLDCLLQEHTILNQMDITTDGFGFMLAFGDLVWVPFTYGLQARFLAFHPTYL SPLATAAIVAIELYGMYVFRVANNEKATFRAGKNPKNLEFMQTERGTKLITSGWWGRS RHPNYFGDWLIALGWCLPTGLSTPLTYYYLIYFVILLLHRQARDDEACREKYGKDWDR YCEMVKWKIVPGVVSCVFNSEGIED L198_00643 MSHSAPTTHTTHLPSPFPSAPSFNFHLTLLTSTLFIWAGSGPAS DSEGPGSLPGGGIGLEESGAGEVEGEAQGAGKRLEGEGVERRLAGDWAVAMPARGNIP VTATRLFRSGSTDIALPMSQRLAKKFPAHQVHLSLSLPPSLTQQSGSSIDPYASKILL VMEKKLGVWVGEVLAGEKGQ L198_00644 MPHISLTRPSLNTWLLTLSSPPDNLLSASALQDLSEALDVVEYE WLARSPTSSASSTQLKKEDNAKKANIKGAGAGALVLTGEGRSFSAGIDHLALFQLAKQ GGDPDSFFPKVFDPVLWRLMTFPLYTVAAINGHAIAAGMILALACDHRIMTSGKGFLS MNEITLGTTLPSSFASVLSTAFPSHRDLRDTVAGKRWVQKDLLKLGLVDEVSTPGGLL KRSGEIGQQEGVKVALGNWGRIKQSTLEPILNLPPANLLVPKTLPSFVAQLSQERRQQ VEMRVRQRMRGGGKL L198_00645 MAEITPPPSQNNTPQRTFPAPSHPQGSEGSHASGLGADEVTVPP LASALSPPGASAGAEIPEGASNTAAPSGPVPAGDSMDLDNIPSPGSVGYDELLESDPE ALMEEPEAAWDGEGEGEVEVDPQEIDIDSESEEEKGKGKGKARATATKKRTKRATRRL PQQHQPSYPSRTRPDRTDRLDLKYESGKGGGGRKDEQYESEIVQRWNTQFGDVLGPAP KRDISPAPAKAPEPEPEVAPAAPSAPVEPVQPAELTQPTEPAEPTEPTEGEQITAEPS APESAPAA L198_00646 MSLSDIAESPSAPLDETPTPSTASDEAIFLHIPRPLLVLPSVAL SFGLSIGFVRGGSKARLRFLAENAHRMPKTVGGWYFYTKTRNYRVIQGALKSGGRYGA ALGGSTLTYVLLDESLGWAREQIFGVKIGEGGQEEREQIRREIVEGRRIGWRKGEVEW EDGAGAGGLMGLVVGLGFKLPRPLFIRSLVMGSVLGALTSSMQVAQHRIGRLRLEEER LSSLAKANEQESALLAVEETSPGTELSPESIKESSIVEDKSWWSSLTGRA L198_00647 MQHTNPYNSHILPTFHTAKPDPTSPTSPNFPQYSPFAAPPEDAP PSFPSSTDNDQHPLRHRTLPGHQRHLSIEPVRKEEPGHSVYDQKEDIEDVVERVKAMD VGVCMAAVAMDAVAVVGFVLVWILVSQGKSSRTTRLCIALPYSLLSTCYILSRRKHNA NDLSDLSRVMWHYGEDAVKGNAMKEGLGMLSWIILSGFWALWFAVGMGLVGWCWYGVD IYGDFLGAVCSKLPIF L198_00648 MRLNFSVHRSAIFNSFLGLLDIKLGAEIVLLFGLINKVAGLYGL ITIVVGGTFPQLLYYAYSTGTLFAFLWGLKVVKSEKSAPALLLSHLYALDHAISTVFH YVFFYKYWYNTPHDGRRTINSQAQQDLINLALSRGEITEPVGGDSNRLDELRAALAED IWAQEKGFAVWTLVAGWALKIYFILILYSYAAHLASSTYHTLPLTARGRATQIAHPDP SKSSDRTDPHTGGRAAAENDDDEELRKAQHATEEVGSAPAQTQGKGEGKGKGKGKAGG DEDDFSWD L198_00649 MAFAQNLSRLIVPIAIGATVVQSSIYDVPGGYRAVLFDRFSGVK GQATGEGTHFLIPWLQRAILYDVRIKPRNISTTTGSKDMQMVSLTLRVMSRPDLNELP KIYQSLGLDYDERVLPSIGNEVLKATVAQFDASELITNREIVSARIRDDLLNRAKEFN IQLEDVSITHMTFGKEFTSAVEQKQIAQQDAERAKFVVEKAEQERQAAVIRAEGEAEA ANTISKALNKAGDAFVQFKKIETSREIANTLSQNKNVSYVPAANGNMLLQVPPQQ L198_00650 MIIPIAPRRPHFLGGVLLALAFLLILHQTYTPHGVLSSSFPRTK QHPHTLPHGLEKGNNEILQRLSHMRDVCEGEDPFDREYGRANLRLSRAYEGSHERMRL FLQKLLRGEHVTISAIGGSITKGHQVDKSEIWFHKFWEWLRDFAGDNVEIVEINGAAP ATGSDYFSFCFPLHIPSDSDLVIVELAVNDEGILEHIENMENLIRGLLDLPNNPAVML VEALAFSGGGMGGGGGRMHLPVAQYYDVPVINHRHPLVSHFARHPQLVEPYFTKDWWQ NPDKRHINPRGHRDLGMLVASFVKDVACEIRPLKEGEEVGELMPSLWSTPQDYGIVPR LRVLEGWNPNTEYATPPFHPTCLSTRSKEPRFNLTPSYNDGWEYWIHPEHLDKPYMVA REPGARVSFELETGVGMVKMYALKSKTFGLGTVECWADEERDKAEKIVGWWDNGDVNI GRFATIRTGLSAGTHTITCELLEETSDPGGGHEFRMISMMRCVLYSTFLGLYTDHECS V L198_00651 MPQEKVAIIGSGNWGTAIARLAGINTAKHTDVFDGSRVPMWVFE EEFEGKKLTEVINTEHENKKYLPGIKLAEHIVAVPDLLETVKDATALVFVTPHQFLGK LLDQLEGHVRKDAKAITLIKGVDVRGADIHIFADVIEKRLGIPTSALSGANIANEVAK DTFSETTIGYRSKADGELWQKLFQTPKFKVQLIDDVAGVSLCGALKNIVAVAAGFCDG LGYGSNTKAAIMRIGLLEMKHFCQEFFDDVKEESFLQESAGVADVITTCLAGRNCRVA KAFVGSGKTFDQLEEEMLNGQRLQGTLTAKEIHEFLHAKGKARSYPLFDKVYQIAWEG VDPEQLTEGL L198_00652 MRAASSLTILASCLSAASCVSAATADQWKGKSIYQLITDRFAPA SDTAPARSSPIPDVCNPIDQTWCGGTWLSIIDKLDYISGMGFDAIWISPVSQNTDVNT AYKYAYHGYWVNDPLTLNARFGTSDDLKSLVKALHDRGMYIMVDIAVNNIPGTSYNDS LSSTALKADGSRWTDPAQFHTRCDIDYSNATSVEYCWLGDEKLPLMDVNTENAEVIST LQTWIANFTAEYEIDGLRIDAAKHVPGSFWTGFCGAAGVFCIGEVYGDDVGFAASFQS ENYLDSVLNFPLYYGIVNSFGSPKANMTSFVEHATDIIGTFPNPDLLGNFIENHDLPR FRNATADSQLAYNAMAAQFIFDGLPTVYYGQEQDLASGAGDPYNREALWPTEYANTTT YNHIARLNAIRHAVINNGTTFNDKTFLESTTKIVASTEYDVAFRKGPLLAVLTNRGSP NEDANFGVPTGWPSQSSVVDLLSCKQFTVGSGGAITVSYSASGYGGMPYVFASQVDTP ALQLCGDAGVAKYVSSNTTVVKSAGEKVVGISMGLGMGVGALVAGMIGAGLLTL L198_00653 MLILAILLATTLSAWATPLQPTFSSCLLETSPIAAAENLLDVND VYASLVPGRQAKELGLVGNGRDVLRLDLVGVTGAVLNGYDNTTNKLATLFTDTHAASF SVYSTTSWLCNSLFPANLPTPYYPYNTTYCPLAAGDFAVNVSIPLYRSYALTTLRTRI RVVDTSSSAANLACIDIHVSPYERTGWYYELFLYLPVAILLGFWLVSWGARFVTGWIV GSGVAEYGQKESAGARLVTGGSSRREATMRKWGTMIISGLSGERLSVSGGLLRFVTPG VRDILFHIQYAAMLGMISVQWPEFSYPIFAQGAWAHLLGNTTIVQSSDSERIDTYPSN YTPSLAFSGQMSDSQYPLYMDDTAFDPLLDLHHSSRGMESFATAVGLRVQDLFGTCLV IFLCIAGAVLVISLTLWFFHGLMEYLFGGSKHGTPVGKRATLGTSPRPSLGGKETLEG RNASSTDMLGSLPTQSAFFSHKSSSPGHLRRIWLRFRPRGEAGAFHTAALYGNLIRLI LVFHLPVTIFSMYQLCLGSQASIVSRVFAALAFAFISVLFPALILWKIYKTPTGKLYD ATRTLLSLGPMYNIYVEKKQMYRALTLLASLVVGIAVGAGQGSGLAQAIVLIIVELVL LIVPGVWYPWGEGASMGAPNALLGALRLISVVLVMLLAKIIGMSDTALDWIAYAVLIL QAIIFVFFLFMLFTKIIEGSIRLFGGVHFDESTHPLDGGIFAVIMDLDCLNPVRGGKA AERRRRKHGSRQLQKNVYEAGSLSTQMMLDRHSQGVPRQATAEQSTPFLYPDQPPLGP PPIERQSSDRRSFESRSEERHGEANIMDAWRPAPGSGLGYAPPGMYAPQVSSPPISPV PNPAYGTGNAPSRSFSRVRGGRSNFEHPYDIQGPASSGVPVMTAMAMPTPTIKVSQAG QRPMSPPHNRQYSSSALIEMASSPQNTPPSRGIELPAAYPTSPPAQTPQGIRPDRQGQ RPPALAIPKRRSLNDIKQDSDMSTDSHYSEHERKKKKGKRRSAGWFHRNETRTGDSES EESSDDEPGPSKRKTRKAALVAAQMREPEPFEDVSNLEPQPPAWKRMLGMKKKLGEEE ELERDENKARKAALATESGSLFAGVQAPKPSPKKGFVVRRSGEGGPTYVPMAAPASPP GEPQSASTSFRVKRMGQPQQTPTPQRVDSPTEVKSVHASPSSQYLPLSAAGNSTGRSS GESTGEKKGFKVIRPAKSAAPSPAASSTGFVVNRRSSPLVTPTGESHPVQTGYPPSSF VPMGRASLEDGRPPARPMKNPRRSSESQRGE L198_00654 MPARDPNASPLAPSTLPNHPASPTSSHYSSILSPPGTSGFSTRT HQSFSGGLSSPPTPEQQQQQNNGYFAQALRRVSGGAASGALPKMDAGSLSGQEIAAAG SSGWEDHSFHTHVGSTTSQAIRDSTARLASMSYSSRPRAYSSTSGGGDSSFPLHPSQS TPHSRHGSVNPSSFREHRPVHPSHLNPAAQKGGPTPVPEVDEVADFSPPKTAQGVPAS PYGNAQSGLSMMLKRDNEDRRVKGLGESVSPENRGYGATATSNEEDLSGERTPMPRKT PLAELPPPIDTPDTEIPHAASESSLRRYLEAGQGELGQSHDESSPLLGGERKPRSWGG EVLANIGQLKSQAGKLTAKDVVQGAVIEPIKTLPSVILGLLLNVLDGVSYGMILFPAT PVFADYGSLGVSMFFMSCVVSQLVFSLGGSIFPGGNGSMMIEAVPFFHILVTTFEQVI GDDDKAIVATTMAAFAFSSILTGLVFFALGAFKLGGLIGYFPRHILVGCIGGVGVFLI ETGLQVSRGLKEEGFEYNLATLKLFFQSGHAIAIWTIPLFLAILLRIITHFFHHQLIF PAYFFIIPIIFYIVVAIGGWEIQHLRQTGWVFDVGSNTQAWWKFYTLFDLRKTHWEAF WAAMPTQLALVFFGILHVPLNVPALGVSLGEDNVKLDRELVAHGASNVIAGLTGTVPN YLTYVNTVLFYRVGGGSRLSGLMLAAATTAVMMIGPAVIATLPVMVVGALIFVLGIDL VIEAVWDTRHRVNKMEYVTIWAITIGMTIFDFVIGLLFGIILACIFFVVQSSRRRAIR AVFNGSTARSTVRRPKWQRSFIQQVGSQTYVMKLQGFLFFGTITTVEDEIRKLLDLAK WQHNPIRFLIIDFTLVHGLDFSSAEAFVRVQRLLAVKDVLMIMCGAKPDGLVGTALRG VDLWADREGTRVEVFDALNDALEWTENAYLTAYFENQRLIECEASTRVIDFPTVAKPP FSLAESFQNSPRRSHLVKAGGDVLPQSNYPKQTQETSSPESEDPLAQPVPCLLQTFGD YSSSSITPSFCSSIAPYFVRSCILSGDTLWSQGEPADGLYVIETGCLRATYEYHQTTN LIQETMVAGSIAGDLSTLSETTRNATVVAERDSVLWKLERKALERLAKDEPEVARAFI RIVLKGVAEEQDVLSSHLIAVLS L198_00655 MSYRSQSSDSDISTQGPTSLPSTTSPLVNIQYQRSALTVQYGVV GSSQDKSPRLPGPGPFYQSTYTPSEMPRSMTYPAAGYYPSPYSYSTPASNGMAYPQPV STPYAVPVGVTGPTPASASTSSGYSFGQKTLHQDRPYKCDLCQQSFNRNHDLKRHKRI HLSVKPFACEKCGKTFSRKDALRRHWLVKGCKEDGATAPIHAIAPIEHGATGPPALSP PTPTTLSPSAIPSPSESVQSTPSFSHPTTRPSLSTLPSRRQQGSDLIITPGEIPNSSV VSPLSAGASMLRGLASGVDSANSANDGYVNGGYFEGSMIDSQRMGGVSDPRGKNLLSR LASSPPAIYPPPSRMVYQPSLASPTESTMASPTTATFPSTGMVADGKPSFAMPFPPSA GYVIQEQDASSAATASAAAQQQHAEATEMEKQSSQDEIQQQQQQTWQRCARHRPSFPF PHTTGMAYTYSPQSMGESAASVYPPPPPHAPQQQ L198_00656 MTHLVDNISERREARATTRESGCPEEVSFQLNVPNGERRRRTHC NHAPLEPTTPFFHLPFQSAPSTFPSNPPPPPSPTSPSVHDPTEVYLLSISSQSSSSSG EAEVSQR L198_00657 MSSSSTSTTSSPSSSSPTLTKASVNFWNVDTFLSPSAPSASYVR SHFSRSNKSFFSSLQSCFSGESFDVEVPSDLGTALSEKSEAVVKEKASVGEGDTEAWY KKKADERKKVIKEWLKSGRSSRSSKSGSGESSASSGGESSAPSSSSSSKLSTSTPSST PPTSSSSSREASPSSSRRSKNTTASKKPSSKPSTPSSSRSSSASRRRKATTTAANLKP PHPTLDAQTAKSLIETFKSSTDTKLEEPEWWDTWHALRGQYGYGKQGVTGEMDEKLRG MRKAGWDELTRR L198_00658 MSLPAPEYKTIQPAQTHQATIIFLHVSSLLESSPAGKVLISSVS RVWEAIEAGFLSKKGIFEKHITTTLAPARPSIKWILPLGPIRPITIRQGLLESGWFDV PELATPDKPVVEDGEGQLASLQSIDLIIQDEVDRGTPESKIVLGGFSQGAMISLLGSV VLERRLAGIVALSGRLPLSERVDELKSVHASETPIFVAHGKEDAVVSYEFAERAVDIL SKFGRPVLPQGSVFARPSIRFTSYPGLGHTYSEEELLDLIEWLRECLQ L198_00659 MLSLWILGSHTRAKEDGMRSAQIRLGTIMMVCGGRHSRENPAVT GCSRYRRHIAVYLFSGRQVGDVEWGTYDIDTTDYSQEAEDPQGFAQNNLMLPPIDYNQ YGSLYGGAGATGSGSVDPAMYMNFGDGSYAPMPGAGEEPPTQAEWDAIMSMNPGQGGG GYQNNSDEGSSRSHEYPPPPRVTTPLWTSIHVLYCEKEPRKSTGR L198_00660 MATATMDDLVASLSGNMHVSQEGCDLKALQEYLSQNLPLPLAPP SGGLGYPQTKSRSTSLTRKPSSLPSYTYPSPTPNALPLPSPYAQPQAQFQWQLQAQGQ AQGQAQGQQAGTSASASYDPPSQISNLPPAQRPAPPRRASSFGTLPHSYIPVCSSGQQ PSSPSTSYAAFESDAFAPVWKAEESAVVEDPWAKIREGQGQLGTFGYAHAQGGQKPTA AQDNQAAGGRGGGGGCQWGLQIGGFDQPTPLSLGQEDRTGGEDMDMDGDSMMDASDDE DDEEVEGMMDERDPWGAGRGRPVW L198_00661 MSERLQEVADIPRQFVKEGTQFVNRCTKPSAEEYKQLCRAIAIG FGVMGVIGYVVKLIHIPINNILVGGA L198_00662 MADTTEQVNPVEEHDPQFEPVVRLTEQVEAKTHEEDEESTFKMR AKLFRFHKDTTEWKERGTGDVRLLKHKTTNKVRLVMRRDKTLKVCANHIIGPEMKLSP NVGSDRSWVYNVAADYTEGEASAETLAIRFGNSENANLFKDAFEKAQTHNAEVSGTRE DDDEEDDEEQAETKEETAETAAPSEPAAAEETKPAEEAAAPVATETKEAEAPAAEAKE EVAPAAEAAVAEEKKTE L198_00663 MLHRATSIFAFLVLLLVPLAAFAHRLELEAGEKECFFETLDTQD KMTVTYEVGGGGHLDVDFYVMDPNGNVINKQDRKPQGSFSIQVDKPGRFTYCFSNEFS SMSSKTLSFNVHGQLFMGDEEQIAPVEQEIRDLSAGLQLVKDEQAYLVVRERVHRDTC ESTNSRVKYWAIAQIGIVLAVCAWNVHYLKSWFEVKRVL L198_00664 MARTVTSPNRAGGRSSLNDAGRPRAGPSGGGKTSGKNTARKSTG GKAPRRSGPSNPAPARPNDPAPRKKHRFRPGTVALREIRQYQKSTDLLIAKLPFSRVV REVAMDVASADATELRWQSSAIMALQEAAEAFLVHLFEDANLCAIHAKRVTIMQKDIH LARRIRGQWAGLG L198_00665 MIRNHNNTRPKGFDNRPHDPPSVGMQRGSGGLPPGGPGGFQQFQ SLQQQQQPQPQPQPQQQQQQPQQQQGPQSTNGTAEGSGSMGAPGQEMNLAGVLHYLQS EWRRWERDRNEWEIERAEMRARIALLEGQRRSAENLKVDLLRRVKMLEFALRQERTKT VSVGGKPHSVPPARLAAVQDEDKLSSSEKEGSGSEGSQEDLPKLNGIHPAAIGKSSTI ASRSHPLETGQWKGIGAAAAKDPKARARSREYLKQCLQEITYLTSPGALNPLPPHPPV DPSLIPGPDTSDPSNPQPDPFDRPRKEIIEVPSAPFPKPRQEHKESEELPNGEASTQG AQPEEKQIPAPIPPRMQEKDNKPPSSPAPKKIGLPDVASETEQSKENQESGFGEQKQL LTAIYRPESKTAWREELRAANEEAEKAKQERKKPEAEDDQLANLTLETDEEVKTDESV DKVWVTKRSLKSHLDIVRAVDFAHGPGIVLATGGDDCTVKVWAVDPASVMSHRPAAQE VDPIQTLRGHTAGITAVTVSSSLSTIFSASLDSTIRLWKLPPHDHDPYAPWDPTTAIQ TLVGHTEAVWDICLLPSREIAKLGREATEARLVSASADGSVKLWQRSGSSSEWKLSKT FNSFGSDAKGTVVPTSLAVYNLDFGKILVGTSDGTVRLWDVDTGEEVQVFGGKEGEGE AAGSQVNAVLSHPTLPAIITGHEDGQLRFYDAKSSSSSTHTILAHPSPITSLALSPSS PTCILTSSSDCTVRLWDLGKKTSIQELAGHRKRADEGVCAVGSHPELPVVASVGADGV VRLWGSA L198_00666 MSAQQFYQGGNDKQGQPQQQYAPPSGGPPQDYNNQQQYAPPQGQ PNYNMKGSQPYAQTNPETGGQPNYQNGDTAPFSQAEEKTGQRLNPRKRLNDPIFLVLF LAAIAGFAVVSGIAINSFIEVNGLGGGLGSSSSGQTGSSTTLDYHTVYILLVVTGLGL FIAAVYLFMLRTFTKIILEVTLALTVLLNIGICICKCLQCYWSGAIIFLVIALLSIFF YWGMRKRIPLAKLLLQTTIDVTKHHPSVYLVVFIGLLVQAAISVWYTFTCIAIYVKWT PGSEACSTDCSSSKVAGLIFYSTFAYLWMSQVLGNVILATLTGGVFGGWYYYGPRNQW GGVPKQASLKAFVRATTLSLGSIAFGSLLVTLLELLRLILQIFQQYESGQGDMIGAAL ICVAQCCVGCIEWLIAYFNKCESLYGKAYIPAAKDTWRLLKDRGIDALVNDSLVGTAL MWGAYINGFLCGVLGYLYLKFTNPSYNSDGQYSAPVILFSFLIGLTESNAISAAIDAG VSTIFVGLGEDPMVLAERSPGLFEMIRQAYPRVLQGVPGRS L198_00667 MASNPKTNEPSQITGQINTAIGLAEQAVGAVIHESLGSTSWTES GTALQAAGEKEVEEAKRKKAVEAGVDAGVGKVKSAVGYVTGDQSQQTQGNTQVEKAQW DYKQASSDSPLSVPVPSVEGVKGKLESVQGIVTGDAEKQKEGNVKAEKAAWKDGV L198_00668 MSPNVAALKDPQGIKRRVKNYFGYTDTTPKTISVTSWVTQSTPN AKEGVKDYVLSLFPFIQWVPRYNFTWLYGDLVAGITVGLVLVPQSLSYAKIANLDAQY GLYSSFIGVLTYAFFATSKDVSIGPVAVMSLETGNIITAVQEKYGDLYDKPVIATALA FICGFIVLGIGLIRIGWLVEFIPQPAVSGFMTGSALNIAAGQTPAIFGLANKFNTKAA TYRVIINTLKFLPEASLDTAFGISALVLLYGMKWGFTWLGNRYPRWNRLCFFAQSLRH ALVIILFTIISWRINIHAPQNRISLVGSVPSGLQHVGRPYIDKDLLAAIGPHIPVATI ILLLEHISIAKSFGRLNGYKINPNQELIAIGVNNTLGTLFSAYPSTGSFSRSALKSKA GVRTPAAGLATGVVVIVALYAVAPAFYWIPNAALSALIIHAVADLVASPKHSYAFWRV SPIEYIIFVGAVLWSVFYTIESGIYWSLATSIVLLLLRIARPKGHFLGRVRIKPQNDA QQTRDVYIPLCDSHNQDVPVENPPPGIIIYRFEESFLYPNASYINDRLVERAKSTTRR GGDHHAIKAGDRPWNDPGHSKKDEEAERKVESEKPLLKAVILDFAAVANLDTTGVQNL IDTRTAMEKWADGPVEFHFCGILSPWIRRALIAGGFGQGRTKEGAALEVAPAVIENLE NAASPPTHEREEDGLFLVNGVGKAHQAGGSDSARTSVYDEEKSLGSSSSGASTPPRVQ NIHEADQRRGSDKSLPLLDRTTPFFHFDLADALNSLHLPTSE L198_00669 MRVRSKSPSVYSISSDEESDFAPSSPDDKPSAKRKRVSTSASTK GKPKPVTVKRKVPAPKKAGEVGDIEDAGNVIPRRHAMEYHDVHDIVGGQRDLLDWFEG VREKRGMPWRKRYNHDLSMEEKGQRAYEVLSEIMLQQTQVTTVIAYWERWVAKWPTIA DLAKADIEEVNAAWRGLGYYRRAKSLLTGAQTVMSDPRYQGRLPDDPAVLEKDIAGVG RYTAGAICSMAYGVRTPIVDGNIHRLLTRLLAIHAPQAAPQTIKHLWAAATELVSLLP SETEGVAGDWNQGLMELGSQVCKPVSPECGGCPVKTVCKASAELKAPLPLPSTSSECS LCHPIPQEKADSQITSVTVFPMKKEKKASRVEEEDVCVLEWRGQRGKRRWLFVKRPDK GLLAGLFEPPTTPVSAGLSAPERLHASIITLSDYLDVSEPPNLFDDMMEGAKEKGSVA HIFSHINMTYHIHLLTLPSSSSSEPPDILRTAPRQAFWLSGEEVESANVGTGVKKVWA EVYGRWGSFEESSSGAAGAGKGKKVVKKQKTTAAPKGKGGWQKVAKSALSDEEGENGK VVKKVMMPMMPAKRKAARAEEE L198_00670 MAQNPAYTVPLVGPHPPNHSQHTESTTTIFLPNEGAFLNPVQHY NRDMSVSVIRTWNELRKEEAEAKWAARLEKRGGKPKPKKKKGKKNATEAEAEKKEAEL EETVVAGAVEEPAEAGPSNGAGVSKFKAPSINILEALAATGLRSIRYAKEIPNVKYVL ANDLSPSACEAMRRNVQYNGVGLDYDPAKELEGEGEEKAEEKIEAKAEAETAKVEEEI QVDEPVKAETAEAPFKPAAPEPEPRDPVGRRPNCRGKVKINEGDACAFMYNHRAAVGP TSRVDVVDLDPYGTAAPFLDAAIGCIADGGLLAITCTDLAVLAGQQYPEKCFSNYGGT NVHAEFTHEAALRLVMHSLQQTAARYGRYVTPMLSFSIDFYVRLFVRVHTGPEQVKQL ASQTGVVYTCQFCQTPVTQPFGKVIVKKSQKGAELNSFKTIAGPTAGHGSSCEECGGT MHIGGPLWLGPLQDSTFANRVLKEIEATQGDYKTYNRMHGMLSLAAQELPDLWFFTAN RIAKSVNMSSLPLTKVLSALLNGGWKVSRSHCSPGAVKTNAPRSFLYDMMREEAKTVP VKLSNVAEGSPTRVLLAKPMTHVIDFTPHPEASLDRQGKETFYQINPTPNWGPAPRAK SLYEHGANGKRKAAEQQGEEVVQEEEGSAGKKVKVEVEVEIAEEDMMNA L198_00671 MVSPPSIPFVNIRNIELLNNPAKFDDPYNFRIKFEAIAPLVEDL DWRLIYVGSASSEEFDQELDNCSVGPIPAGINAFDFSAPAPQHHLLPSVEPDEILGVT VIIITASYREKEFVRVGYYVNTYYEDEELKENPPSVVQWDKLHRNVLIEKPKVTRFQN PWDSTGAASESLPAAGTELPASGFANGEQLFNAPLPPPVQRAAPGGDVEMA L198_00672 MSNLGTVKHIPKEVRYNFLNMAAPASYVAGLGRGASGFTTRSDI GPARAGPSADVVSEAQARRGEEDIPDPDAMQDPDDERNLFAGTVYEADDEEADRVWDN VDARMDARRKARRRVSAAAWKIQADGVCREAVEAEMAAQERARNPKLQTQFADLKRTL SSLNDTDWDAIPEAGNLTGKRRKHNLRLEENQNGKSYNVSDTVITDAINKKQTVGEVD DAADGTETDLVSIGNARDRVLSLQLDQATKDASNGSSTSIDPRGYMTALNSQVVQTDA QIGDIKQARQLLQNLIQSNPKHAPGWIAAASLEVHAKKMVAARKIIAEGCEKCPKNED VWFHAAELNTPENAKVILGRAIQHVPLSVKIWLKAAELEADVSSRKRVLRKALEFIPN SVRLWKETVNLEDDPEDARILLTRAVEVIPTSVELWLTLARLETPANAKSVLNSARKK IPSSHEIWIAAGRLAEQSPAAVAKEVKMEDDEAARAAETQARQKLATQVDALMKNAVN SLRKNQVLLSREQWLQEAETCEQDGSPLTAQAIVKATIAQDVEEEDRMDVWLEDAERA SKGGFYEVARACYVKVLEEYGDEPFVWRRAAEFEKAHGSPDSVQDILARGYTANPHAE VLWLMAAKEKWLFGDVQGAQAILASAFEQNEDSESIFLAAAKIAAESSEFAAAEQILE KARGQADTERVWMKGAVLARQLGKTEEALRILEEAIQKFGKFDKLHMIRGQIYESATP PNIFAARKAFEDGRKSCPKSIPLWVLGARLEEKSGATIRARGLMEKARLSNPKNDELW LESVGIEERAGAMQECPTSPLLWSKAIFMEQPQQRKGRSVDALKKAGEHPAVILAVAR LFWSERKIEKARAWFGNAITADQDWGDAWGWWLKFERQHGEKERQEGVVEKCIAAQPH HGPVWQAVAKDLANVGKSTQEVLELVADKLE L198_00673 MAERIETPVSKRLSSVKNIIIVLSGKGGVGKSSSSVQLALSLLA LNPTNRVGLIDLDITGPSLPRMVGLDSPTATVHQSSAGWVPVYVDQGRRLGVMSIGFL LKDRGDSVVWRGPKKDGMIRQFLSEVRWGELDYLVIDTPPGTSDEHISLLTHLHPLFT PTPSSPTTPSSILITTPQTTALNDTIKSLSFTRKLSLPVMGLVENMAGYVCPCCGEIS DTFGKGGGEALAHKESVNFLGRVPIDTILVALLDAVSKGEVQGEGAKETNGGDQAASS AGFPLLEKYLETTSSKVWLGIADKLVASIVERSEKITERLGPQEQVTSA L198_00674 MERHILAPNLCPSSVTASSESPRPGPPSPSVTDWMFTYSRTVAK GTALITTWKIDNRCPGLLLYPEGSYEVLSSLMERAYIAQHKPTVLLHRTLQSLNGVPT PLSTPDYETLVGMLRAAQMSDNASGRGVFGSLGGYDLTLKAVQSARERQLSEGGEDGN AMFDLDLRAVPFSIGAPRRSGWSTRKEPGKELGVWAGVKMREAHQEDPGTTTVVLELK DPRSQSAFFFNDSDTRRKLTKKIRHTAEESYHLFQVERICTLDDTLAGRHTYLDFTPR DDEASKRFARTAQHAPEHELWMYDTFLATAAKQRALSKLETHSLVAPKLRDQYCQSTD EKGLFEHSAYTPYASSRPSDYIQYEDKDFKQWKNELLAANQQSAPLKWTSIPYEGHAS LLDDVNLIIEDDKGLEVYARRYGEGNDIPNQPATIAEMFEDAEPLEYFLTKSHRDSHL SPEELEGEMPPAAFEKWTELKEGQAGTDTEGKDVRDYQKYLMGYLEANPDARSMSA L198_00675 MATSKSAVIPQAKRMFEGGTHKLDVWSIFTPANVPADAINLGQG FMNWAPPSWILSESHQTMDNEVMANHYSHPRGRPRLLKAISKHYSPQFSNIVERGEDL KPEEILVTAGANCGMFAALTAHLEPGDEVICIEPYFDQYFASIHFQGAKPVFVPLHPP TGTGIKDGSEWTLNIDEFRAAFTPKTKAVIINTPHNPVGKVFTKKELEAIAEVVIEKN VLVLADEVYDCMVYDKKEHFRIATLPGMWERTLTVGSGGKSFACTGWRWLIGTPELTA AALAAHSRIVFCTNSPMQEAVAIGLENAAKHNFFADQLAAYTERRDVLCSYFDQLGLP YTKPEGSYFVLVDISQVKVPEGYPIAETCKGRGKDFEFCWWLCQELKVVAIPPSEFYS DEHCKDPELLHAAGKRLLKLKEYIQ L198_00676 MHKPLRRVSFPADSPSDPPAPPLTDSPLNSPRFPPAETAPANAS ATNLNPELPEGNAASGSGAVPAAAGAGTGPRRRQGGSRQVTMDPNGPDRRETSESARS RPSRRQSTIDPNMGLVRRVTTVLFTPEKRIGKAPTYVQSFKAAICSTWLNVLLVFIPV SWALHFVQAGGNEKITDTAVFITAFIAIIPLAGLLGFATEEAALRLGQTLGGLLNATL GNAVELIVAILALVKCELQVVQSSLVGSILSNILLVLGMCFFAGGVRFEEQTIQTTAA QLNSSLLLIAVIAVLIPSAYHFSIAASDSNVDASELASGEGSELLSLSHGVAILLLIL YLGYLMFQMFTHAALYVDDTHTGSTYYPEKVTNVSDKFRRNFHRNKKHDEEEGLSTSS TVVSETAGTGPEGLAAVSAERQEEEEEEEEEVPQMNVITTIGLMVLITVLVGVTSEFL VDSINGLVEAHPSLSAEWVGLILLPIVGNAAEHYTAVISSVKDRMSLSISVAVGSSIQ IALFVIPVMELLAWTIGKPMTLLFDAYESIVLFLSVLIVNQTLADGRSNWMEGMVLMM LYIIIAVSFWYYPVRLLFHFFYLWGRTKLILWK L198_00677 MPSIYGKPSGQSIKQQQRAVAVAEEMELLKLLNGERYELDFDNH APQVMNVQSYEQDAEGMELDNYPKHYSQSAYDSLDSRYSTAHGASNIPRTQHDWMPPV DTAAQQQRSKAYLFEPETFPDPVYNRQRSVWSPPRAEAQWANYDQAAYRVPSQRYAQI PERPITPHDTYSYPAEHIYTAPAPAVAAAPLMAEPNVENVNAAFAVWYAQQVVNLLVY PGQFRTATAGAADEEWGPAGRERDGYERMGMSSPTAFAHQWSRMAATSPVFASRRRVE FEQHDPWNISWAHAIKPSSTLVSFVLDMIQRMTISPSALVAGVWFLAGLGLHEGDGKK GAGLRKILRESVSCEPEAVERRVATLGLILAGKWLDDNSFLTKSWFEVTTIPIKTIDA MERCALNDLNWSLYVPVASWVDHVNQLFVDLDDKPVKDDADAVVHYVLDKMATEARGV ELDEDLEKKTFATPHFIASPLAASIRRLSYDETPAAFDQLATRDWDSFARSYQHQQDP KPSSAVYPARIPAVSALMEDDGVEIERAERNVEMLLSDDDMNEVESQVEEFEEDDEEF LEYDGAKKWLPTAAEMRKTSSNQSQGHPIPPATSCSRGAWPTAAAPPPAKSSKASQWQ NADPFGFPVALDPSSVQRINRRKTPLNQHHHHTYGHSNRSVRGTGATSAAAVGGEGYP VGHQLEPGVNVVREPGAGQTSEFGFMSGMMGNKRWATSASAMWR L198_00678 MSAIVPQLQRIAHEAGAYVPGWYTALPLALRVLVTVVGSIATII GLNVLRQLVIPTRKDLPPVVFHYIPWFGSAAYYGEDPYKFMFECRDKYGDVFTFILMG RRITVALGPKGNNLSLGGKISQVSAEDAYTHLTTPVFGKGVVYDCPNEMLMQQKKFIK SGLTTESLQSYPPMITAECEEFFTKEAKITPSSPSATMDLLKAMSELIILTASRTLQG KEVRESLNGHFAKYYEDLDGGFTPLNFLFPNLPLPSYKRRDDAQKAMSNFYIKIMEDR RKGESDHDQDMIANLQNCKYRNGVALTDRDISHIMIALLMAGQHTSSATSTWTLLHLA DRPDIVEGLYKEQKEKLTNPDGSFKEYRYEDLKELPLMDAIIRETLRLHAPIHSIYRK VMSDIPVPASLSAPSENSTYVIPKGHYILAAPGVSQMDPRIWADSTTWNPARWLETDG VAATAADEYTKGDQVDYGFGAVSKGTESPYQPFGAGRHRCVGEQFAYTQLSTIFTYVI RNFELKLAVPEFPKTNYRTMIVQPHNPLVTFTLRQPEKQEV L198_00679 METATFATHHVYFDAPPLSPSNISPTSRPGASLFTTTFKHPTAP SPKGNMARRSSRADPMIRTDDEAYEEDEGYGELGQRHPLRRVKEDSKEEEQARQVSGG DAISLPGIKSLLSGAGDPPPVLNSALFQSPSLPSLVPNSPTSPSTAASGRTSRFSSLT SSAAVETSAPGWWHPDFNGNPFSAHQSYRSNTLPHTQTHLFDEHDQKRRRSDGPPPAA DNEELARLKWQAQSRNASFPSASPSGSSGPTTPTNWPGRASLHPPSAPSAGVSAAMSR GSLSGMSGSEGDAPVSRRASPRSRNGSLVSGQLAKHFADLSADSPRGSLSAPSAPERR LSVHPPAFHGTERRASSLLRPISTPGSEKPLPSSSLAIPAISRPSSAASDRLRRHSNT QPSTPDGEVRRSSLSDMIKAQSGDDVAMKEGKYLAEKSPLSEPILAAPSPLRASPQSV FLPVPSGRRESTESISSFTAHLAISQDEDRTLSGRGKKRSVEEREDVMSVGESSGSGS GDPGMRGMEVLAEEALRAAQEEEGKRSGEEEDEMDEERDSPGKGPGSGVAGPKYTCSY CAKSFSRPSSLKIHTHSHTGERPYVCHEPGCGRRFSVQSNLKRHAKVHQVGSNGVSKE TPVTTTAPAPNPGPKAIVAQHPPHHAPFQPQGQTHMVPHPHPHHPGAHPYAHGQHPMH AMPHMPPGYYFAPGYAPMPMGMAQPRPHPHQQGMVPQGYYPDPRYGMMHSPSVGMGPE GFGQPVGMPQPPQYGHYAEQPPSQAQAPPQEVKGRGRKGSLVKGGKERRSRGTDGGIK EEEEE L198_00680 MSSSPSPSSDDDTESIHATQFVPQEDDSDTLYDAIRILDERVNK RGGGEYLVEWAGIDPDTGKTYLPEWTAKTACTNDLIKEWRAVKRRDPDIVGKGAARWD DRIQEYQKWKKELDRKKAKAEREARLKVNAKKRKRSSTVTVKTEKKSRTSKGETPRSK THSITLKPAGSTTRRRSTRTASEPSETSARTRATRPRESHAERQPVVEQSSSDSEEEP ENDELDSDEEDDDAVRQSSAKNKGRAKVEVVPPTKQSANGTKTKPKKRVLPISKDVTD YPEPSQVPTEFAQPSQPNIEEETDPQPTQYPISSPIRPEVSSLNMNPHLPSSADTINQ FISPPFMRHEKKEEAARRHLREYEEAEALAKAVASLPGKTASGRTKGKGKERQDEGEE AGVEGAPKEIVVYDLTESWPESQIPQTQPLPASSPKKSTQDETPLSDQDLRKENDKLR MQLKDALEARASMIDTLKYHPDSVALEKVKAENERLKEELAAAQADAEEVRGKGKEEG AEIRLLEGLKREMEGLRKELSAAKIGKDQSDERYNNHPDSSALVHARAEVAELRKLLE AAQQATKEAKKIAKDHPIALKLASAEEQIQTLTAKLQEAKASKNSLQSEIASLTEQLE AAEASRASEAEHQRFVTKEYEKARDRAVELVRKSDVLTKQNQLFRGQLRDGLKQRELF NHATSSAHNAQIKQLRAQVKILLDQARVTGDPIREKAVWCDKYREDSERLTAENEDLD RKLRKAKGRQVELLEENQVLRARAMGVLPPESEGDSDEDEDAARGYMTAEDVPARRPF PPGPSGLGNNHPASPHSDFFLSAPEAQNNFAHPPGTISGAATGLGNVVREEGQVEELV EGGRGYECKWRDREEACKVVCDTAEDMFAHGKQHPVAEMAARGSL L198_00681 MPPKFDPAAPENAELISLFQNLGLANKSATELVRQPKSGKAFKS LIDQYSLGNERFDEKQAGALVKLSSVGDKLSEEQKGWLVQKIVKGDVKSPDQVTAALK FAEKNPDLAANEAAFDKECGVGINITLADLPELLKSYLASLPTPAESWSALGPVLGGI KSGGSDLRWANAAEVKTSLEEIFTSLFGTKEAAAAANAAKPKAKPAKPAPAPKAAASA AATPTDPSEPVIPTNIFSDGFLSEFHKVGENPQIDPKLKEKHLEWTQGKVHTRFPPEP NGFLHIGHVKAIMIDFGYAKYHGGRTYLRFDDTNPEAEEGRYFQSILESVRWLGFEPW KITYSSDNFDKLYELALELIRRGKAYVCTCDAEKIKEDRGMGKGNPVPCIHRERPSEE SLHEFLRMKNGEYEEKTACLRMKMDLSSGNPYMWDTVAYRVKKAPHHRTGDKWKIYPT YDFTHCLCDSFENITHSLCTIEFIPARESYEWLCDALSVYKPRQYEFARLNLQGTFLS KRKIAKLVLNKHVLSWDDPRLYTIIALRRKGIPPGALLSFVSELGVTNIPSTTELKKF ESVVRKHLEDSAPRLMMILNPIKVILDNVPDDYRHPVEVPVHPKIPAMGTFMTNFTKE VYIDADDFRTVDSPDYFRLAPGKSVGLFKAPFPVRATSFTTDPSTGKVTEIHCHLEND SDFKKPQAYIQWVNVPDAVRIDQVRYFNPLFKSDPPPADFESDISPDSLQIYEGAVVE PAFFEVAKKQMADAREASVLRTKKALAQAAPSSSATSGSLETGASAPVARSAAAAHAE DEPVATADQLIGPENIRFQGMRLAYFALDKESSVACLEDENVKGAKAGDKIVLNRIVS LKEDVGKKA L198_00682 MTLYTPDRALLLPTFETYRLKSLDPSSNLLSFPLPAPGATQSRM GYNQQHLSFKEVRSRIGWDHLSVDEGGRRGMWVDGEWAVVGLTLGDDLEPTFTKLADL PQPVSSITQQCEFPSILPLSQTRWAIATGSGSLYILQTSSAEESSFTGKLVARYDLVL EGSEGQAVPFLLRAQHQVAEDDVRLLVSRSVQPAEDGSGKSSFSSIQSTPFELLELSL NSTVENGVDDAPGELNVKWALRGKDLPVWCSWYQGADAQGWIVLSEDEFTKAGAAKEE TEVERKKRERDEKVSKLGLGATLSAEDRATIEEEKGDAMDVEEEQGVYPYTWTQTPDT LNITIPVPESISRKDIKLALSRSSFSFSLSTLPADISPQLATFLQKSARQFWSEIDQE ESSWTFESTTHTITLDLQKVDENVRWPSVFSSPIDEDSDEEEDEVPETFSAAHLASVR QSFSSIQTRSPDEPPLPHPAIPALLREEMDFDLEDGEDFGETEGENGDMGGGGKVGRN VSTGFIRVETGEASWSRGTNSVLSLPLNGSGGEAVIVKQAVDGLVYSPQREGQPSKKP WAHVSTSPALAFVLSSKRDIRLVRHLSPSPSSSSSSSLPVPTTVLAFDSGSSTAGQGN VYTYYPPLDKASAQQGVLSLSGGDRGALLGVGWLKVGGEGVVVGLCEKELVVLRGVV L198_00683 MASARTQQQPPLPSSTSSRIFSPRVANNADSDIVPSILYEPTPI SPVASSSSNLPRPPVRGRTHSRTYEWYEAVQKEREDRKKSKGSRSSASSSSGSERGSV SVSRGDRRSRRVSEDVDAQTISAHHHLPDVLAEVGISPDPILYLPPLLSPLPEHAHDH APEYDEDEDHPHPHPHFHSHSHSRPGKRDDALISVKEVNDNRHGLHEKGEQVDPLRDF TTRLPHIDPASLALHQALHHFKPLSNAYASLPYDKAFNWSSLSLPKSAEREWYCVVFR SRRKPESANLSLYKADREAHEEAVQNGGLILYWYGVPDSTGLNLATCIWQSRRHAIKA ISGPKHMSAMKQTEGAYETYSLERWVLRKEVGKRGVSLEKWEGGDVGW L198_00684 MGRTVGLPYHLGYPLLSCTLLAIAAFASADSVWYVSVATEDTIV RFASQNYCGYNVSNLVITGKLGCIFRGFQWQIPTGYFGFTVPNGINMNLGRVVVCNVV AFSLVLISGAHHAYTIRYSYRASPAPNKDKLYSLAMLHFISVTVCFAFTWIAFIAQAA VIGHSVSQSNSLIDEGGVAVYWGQSAFLVLAAAVVHTGWGYEAVRWRVSLLG L198_00685 MRLSLSLSAALLGLASFVSASNVVDLDPTNFDQHIGGSKGALVE FYAPWCGHCKSLAPIYEQLADAFPTDRGLICPQDKVVIAKTDADGVGRELGFKYGVTG FPTLKWFPAGGAEPIPYAGARDLETLSTFVTKNSGVKSNIKPPPPPAYTELDYTNFDD IALDENKNVLVAFTAPWCGHCKNMKPAYEKVAKIYEADYDVVIALMDADEADNRPIAS RYGVASFPTIKYFPKGSKEPVAYDSGRTAEQFVKWINEKAGTHRDLSGLLTETAGRVL TLDTLASQFFTSASSARSDIVKQAKDALASLDKQTRASGEYYVKAMERISAKGEEWVT KEQARLANLLASPSLAPTKLDELKIKINILSAFASQKASDAYDSAEELFEDAKQAAFE GKARVKSEL L198_00686 MSPSPHPPAPPSPGIPSLSSLLPSPAPLTPQTHTSLPIPPASLL PLSNLPNDDTSAASYHGYPATAAPGSRSLAKSKPAIAKGSHPTVAGSALTNYACPGFW VIGGFLSFPGPITAWNRVPGADLTARRWITISMATLVLGIINTVGNFVKGPLKELNDA VPHRAESDPGADDFGKSSGLRPSASLTFKTTQVLVTLREAQ L198_00687 MPSPSPPTTLHSIPLPPLTKFLQTLSSPESLARLLSILSQHRTP DSWDRFDERLLGSGEILGNFEGDVDEKTMQDMCGPPELVQGNGGEQAGNEGLAEPPTR VLQEVKVDLRTLAPSAIFALESWRRESLGMETLVLESREYNPSAEIGESSQGQHAGER DGSGEDVYGTALAVLQERDFRVEVGEQSMGEQLSPDTSVAMDLVDDDEEQDMDMDIDD EEETGRPLACQISPTSPSPAVQAPLVEPPILPTPVNRPSQSQIQPPPESSQMVEQALP GLGLGIVPYASSAASAHGDDEDEGSDSGEPLEERAVDTLTQLASPAFGDDSPQSPIQP PPQPLPEPALSPVPSLAAPLSAPPAEPPRLPEEGVWLTDEEAEAEMLDMVDYEPAGDV DVTVDVRKELVRETEVEIQPSVEEPLGEAQPSTTDNVPRETTSLPEDQPASVGPPEES EVAPVDLEVSTTPEVIPNDAALLVSAEVEESANERTDIEAPAEPPADTAQEAASPEVQ QPQLALSAPQARLSSYLSPPESSGKIVWSGVFPPQSAEPEAAVEASKRRESTEGTPDV EPSVTKAHTGPTSTAEKVTSEVTTVKETVVETIVHREVVEKPRRIEAASEASLLPQRQ QTPEGDPVLASQAIPTIPASSQVYASPVRGEGQIPAPSPPKEATDEEASAGEDAGDDI DVGQQSQLPADVESQEQPSIEIQVGHPGLEASSAAAALQPDNDTVRTEEDITNGITKG STALPESDHGVALEAATEAIEGPARDNGSRADGAELGQSAQASETDLAGEPSQPTASA SQSTVRGEASNPITSPSAPRRRSRKSDNIPPFLLTRCAQATTDEEEHVMNDVPLDGES ALKEAERDVRGDLDEEEMEGAPERPRETSQNDSDVLAQESGLPAASIVSSTATRQSST ASSAPPTPSPKQKDPPPRHLDAQVAAPLTPTPQASRSASPNNLSSPPLQRKSLVVNTY KTFGKRKSSDSLLGMKDGKSATAGASRLQSKPNAKPPIAKKSVEKEKEEAPAEPVVKR KRGRPSTAKSAQSRSAVPTEETSAALSTRPVKKARGSSSKAVPRRQFKKFEIVVPSFC RGSAKRRKDGEHVSPPHDGAAPEVGSSLAGSSHLEQVSQPESRSPQSDSRLAQPSSSH QPSPTTAAQAPRPQKKRPRVSRGDVVVDIPTVKRARRSLKGKERAAPEVEDSRADLVD DPTTPTRKHPALQAAQLSASKRSFSVIEEAMERLNNPLRDLESVSLQNGHFSPVPARA AGGSKQGEPVSTEHGRDKETVDFPDTLWSENGSTDGHENIALASPQEQPPLPSSPILA SQSSIPVPAPVPARKELISAPFRSRANPVSRPRPRQQNPLQPSASASHAPAPVPGPVL VPVPVVVPVPELVALPSQPIRTTSASTQSAPRGGPDLRAPDVDVKSGDVEDGSAGPAA DTGTVTSTNQAGKIPRRKGVVFEGVVLPMRSRTRKSLNKKRGESSLRVEKGAGSKKVP RKSV L198_00688 MSFLASRTALRSQLRSPLVRNFASTPAAQVQSLKDRMAELIPKE IENVKAVRAAHGNKPLGEVSVDMAYGGMRGIKGLIWEGSVLDPNEGIRFRGLTIPECQ QQLPTAPGGTEPLPEALFWLLLTGEVPTDEQVKGLSQEWAARASIPKFVEELIDRCPN TLHPMTQFSIAVNALNHDSAFAKAYSDGVNKREYWKTTFDDSMDLIAKLPNIAGRIFR NVYGDGKVPAIDPTKDYSANLATLLGFGDNADFVELMRLYVTIHSDHEGGNVSAHTGH LVGSALSDPFLAFAASLNGLAGPLHGLANQEVLRWLQKMRSQIGEDASDEKVAEYVWT TLKGGQVVPGYGHAVLRKTDPRYTAQREFALKHLPDDPLFKLVGQIYKVVPNILLEAG KAKNPWPNVDAHSGALLTHYGLHQQDFYTVLFGVSRAFGVVSQLIWDRALGMPLERPK SYSTEAIKKMFEGK L198_00689 MVADSAYYDLLGVGVEATEGEIKKAYKKKAMQHHPNPDNPEAHE TFQKIGQAYETLSNPNDRATYDQYGPDGPRGGGGGGEYDMDDLFNAMFGGGGGFDGYD DFGGGFGGGSQWSSGGPRRKPAKGRDTTVPYDMSLEEVFKGKKVVMNLERDRICKGCT GSGARAGVKPKECSKCEGKGEVFTDRMLAPGLVGKMKTPCPDCHGAGTKLRDKEKCKK CKGSRVQKEKKRVEFEIEAGVEDGERIALRGEGDEEPDVPAGDVIFLIHHLPHPSFRP QPHSPGSLQILLSIRLSEALLGFSRVLFIHLDGSGVHITSKKGERIIKSGSIWKIEGE GLPIRGSWGKRRGDVYVRFEIEYPDEEWARKQGDGELVELPGKKPDLPIDKEKVVYRQ LSAKPVN L198_00690 MSIYAASAADERAAQIETKRAAHVCHVHLFRLHTRLRCSQRRNT CEIPNDERIRDIVNERVRVLLVLGDSEMIAIPCSSLFWLSTPAPSSTKDNRPETAIPN VESKPDKKDGLGWVLKRFPVLARRKSGETTFSVQSVESSIKTAQKDSKLSLRSRLSPF KKSSEGSGADRHQWKGRRWNGRR L198_00691 MRGDGQAELEDGRVFDIATNLFVLFFFFRTCQCGSGTSIPRQGH PTGPKGRRDHSPADATTTKDSTPPLDLRPSHTQRSPSYRTSLSLQPCRDKNKRENWNV RSTDLMAKVEKLLPLMGLIADAMCGSYGSRLRHQPAALRILQAEPPPPLDSRHPTPNA QTGMDNAENMGGQAIQSREPDSFFGNPGANPLQNQDDRPAERPHLVKRLGSKIPSFFK RS L198_00692 MAQGIPQTSPSNIQKETPKQDQQTPVNNPKSTRTSPLGAVQLST EDSGHGFPVHKIRVTSAGKGGNYAQFGLQWLLDVPDVPIVYHTLPPPPSASSSSKGPG SSSSKPTPKANNGLLPVTTTIPKLVTVVERVKREYIESILSGRGKKVDKKGKGKGKGK GGEEGEEKEKEVTGRGLWQYTETGNWTPEPVAGEGEDSDAALRRVLGGASRPKMKHHP YMSITLSTKPLPELEKIVPAQVVYAKSKKVRGKKKSKAKKQADEEMNVDETEEARVKK ELGDGDDTEVDEPASQSQSTSKSKGREMKKRKSESEHGASKKKTKA L198_00693 MLKEEQEKRARAAASAAKKAAKASASGSSHRPSSSNPTKRTAEV SSAAGSSKKARVNSNPPKGLKKGVKSRDIPVANRLVSASATGNGEHPVDSSSHGPSSS TNAVLTCPCSDASRTSQARASAATINLVQLEQAFEIYQSKLSKLCKEQRQAGTLTEAD ENQKFNCVNELIALNDLRDAEDPTILYQKWQDHPEDFWVTSRGGSVWGCRGR L198_00694 MSVDQINPGQAVYAQDENGRPFIIVREQGKKVRTHGLEAIRSHI LAARSVTNIIKSSLGPRGLDKILISPDGDITVTNDGATILSQMEVEHQIAKLLVEVSK SQDDEIGDGTTGVVVLAGALLSSALSLLDRGIHPIRIADGYEKACEIAVNELDRVADR IDFSKEDTSNLIKTAKTSLGSKIVSIAHEKFAKIAVDSVLSVADLERRDVDFELIKVD GKVGGSLEDTSLVHGVVVDKDMSHPQMPTTVRDAKIAILTCPFEPPRPKTKHKLDIES VEEYKKLREYEKEKFLEMIKKVKDTGANLVICQWGFDDEANHLLMQNDLPAVRWVGGP EIELIAIATNGRIVPRFEDLSADKLGKAGIVRELTFGTTRDKMLVIEECANSRAVTVF VRGSNKMIIDEAKRALHDAICVVRNIVRDNRVVYGGGAAEICASVAVSKRADEIPSIE QYAMRAFAQALDAIPLALAENSGLSPIDTLADVKSRQVTEENPRLGIDCMGRGENDMK TQHVYDPLISKRQQFLLATQVVRMILRVDDVIDASAFEE L198_00695 MAAPQATLGADIEPPATDLITLTRHILSQQRALGVDASGDLTML LIAIQVTSKYIATNVRKARLINLVGLAGAENVQGEDQKKLDVLSNDIMVNALRASGKC SVMVSEEVEEAIIVGGSKGTYCVVFDPLDGSSNIDAGVNVGTIFGIYKVQDGAKPSVK DVLRPGKEMVAAGYTMYGSSCNLVLSAGQGVDGFTLDEGLGEFILTHPSIQIPNRGKI YSFNEGNAQHFYPPTNDYLNSIKFPENNKPYSARYIGSMVADVHRTLLYGGIFGYPDD KKSKDGKLRMLYEAFPMSFLAEQAGGVATTGKERILDVVPTSIHGRCPVFLGSKDDVE DLKKFYVGYENSERNW L198_00696 MDSAPLTLRILQLTPPTLIPSSQPPTSSSIPPIPFPPPTPTHDF AFSPTPNYPPPLGSIYMGSQLACRVALENTHRQRYPVLGVKMMLELQTPTTRTRLGEV IHRKPSMAASALEEERNRVEPVKPGEEDIPELAFGERVELSAETEVKDLGLGVVIAIT PLSIKTRIQSPTHPNTLLSPSLRHQTFLEVLMQNTSPTESLLLSTVGLQPIEGLVVDK VSGDALGLEGEELKVGDVRQYLFVLSPISPPSKTEKGEEVSKFPPTHAPGEILPLGRL SISWISGPYHTPGNLLTSMLNRRAPALASLPAAASTAGARDSLKPPGKGPAPGPATPG KLPVHQPLPIPASPSPLRRDPSSSEHPLPVIPSGADNGEETKWTYDLVMLSGRRGLKK ENTCPLKFRLSIRSAEVINASLISGKKPTLPKLAIQYLTPLLPPTATHPHPHNSTVPQ LAISAPSLPRTPLSHPPPSARSFTPSSAPTALGYTAQGQGSTSRPMTPLRAELKSAVG GFINNGTGSSRPGTPTATSTAVAVADKRESLQPHGSSTRPALSGPSELQGGENVLMGT RAPWPPSPHVAFNSPYRPAAYPAAMGVAAAMSRIGSGQSHTSASGRGREAALAASGGG GEAKVVEVYHMGNSLTFLDVGEGEGEGEGEVREVVDWSSIPPPLLEPAPAPAPDLSAE KAEEGADKSEGENTPIPEIKPSTQEGKKYWEASVEWSWDFMGWDTGVGVLGGLRVLRF ADDADHGEKGEVVDGETADRTSGCRGQKGTVEREWDCLGDVWVV L198_00697 MSHPVSPTPPPQQQSASALANSLFQSDDGLIAYLQHNTDYSQDA AGNYTHNSQVGARSPSPPLPLPIPPSLNRLASNALSGFHSPSLQPLPAPVDQAQVAAQ MDYGDRGEMGGKRRKLPHQRAGWAEMEQQSGKKRRISRKSTEGSPGPSGSPSSAIPQH PGHTPGSSGLHVDSPSHGAQDITSLTELSQMAINGQTAGVDVGHVHVQEEPQIDPTLA SAQEKEPVGPPPPPEPPVDNSKLSRAEQNKRAQQAFRRRREEHMKKLEAESAQLQVVL RQCQDKDAVIKDLVMSQQTDKIRIAALETFIRQNATTHGSTPPFTGTGELNISEDLTF PHQSETGVSQDQLENAFQMLERQSRQVVKQLNRGQGL L198_00698 MPTKPLDEDTQLITATGTNTPLDLGTGKGRIAVDMDDVLCQTNV TIVNSKSLSSPGIFGTQPPLTLDDFQNYLYWMNRGWGNPEETIAMVTKLYAEGLYMKA PPVEGAKEALKRLKDLGYSLVIITARSEGQREGTEEWLTTYLPDIFDEIHFTGAFQDL EPTKKEHEGHATKKAIVSHKKRSKAEIVYNTQSLFLIDDSAENAYDTAIANFPHPQPT KVLLFGNYPWNAIVHTPETTLPVEKITFVAKQEQGLLEEAERVRRGKIEEGWLPEGVE RVGDWGEVVRWVEGFESRGGAGGRV L198_00699 MHPDTGPSRAPSYPLKPIPPGGGLKRSPPPRAGPSRWDDFDRER ERDGYRGDGPAPRPYPIPAWSNRPPRQTSRYPPGPNSRPRSLSPSPPRRSFDRPSTWE RDPPRAGRDWERERDLDSRYDVRDRVSLDAGWQGENRTLRRPSSFSPLPSRIPPGERR DERASWGERERWDERDRALRGRTPPHLVGSRYPPSRARSPPPPIVRGPRPTPAASTSY PARIPPGRPPPLRDDRNFQPRRTSGGASSPRAVYPSNVQPSPTHSKFSEPILSGHARS QRGSSSPIDHKRSRDFDRPLSLPPSTAPISAFAPLAVKTESPPSPAPQPTQIQAGDDD KRDGGEAEEDLEEGEVVSPVHVSRPTPAWDEPPRRWSPPRERERPLPPWEREREWARD RERERDLSWEREREREREMDRRRGSPPPGLGLDGWRRSPEPREPREREPWGTRPRQSL SNPPRERVASLEIPAAAKPAQEPALTIEAVPEKVQKKDKEPEEGELPSTSPAVPTHEL VEESKVDQPATPPVPPPASIEPASEAEPQPELAAPLAEPDQVAEAQFLEQPSPKGVNT VEKSQTPATPSFPPPSSTPAPPVPLPTVDLSLPATPALPASENQSEDVVMENQVIATR VGVLLTTQARPEGEDVVMAEAEVEVTQEETKDVLPTAPAAQVVQVSESELSLSTETVV AQKVIAESDSMGVDVPENVPQTPTTLPPPPVPAPEPSSTSTNRSTIAERRSILLPPTT APFDRTPLKDPMPTNSTDHTEDAGPPTGPIGGTPGPDREEQEEDEDDADDEDRMEEMR QMRLVAAVKQAQGKEIVFHDFPILAWNLAAAPEETSRVIAKTDAEREKYLRKITKPMR KQQTHAAKFVGIIVAREKKGEERKVRELRQEYLELNEEWMEHIAYLDGLMKERGEPPA DFQTLLGPTGVTNAFPGAQPTTPGVDEFFSSHSSRSNRRRGLGDIVSTDAELEEIMAA IAQQEQSDPLLRAAKTAAVVPDMILSEERGLKYDDDNDLVQDPISFYDFEGIEEPIWT SEERAIFVKRYLAYPKQFGRISDGIPNKSAGDCVLYYYRTKKEVDYKAMLAHKRGGGK RKLALKKGVKSSALMADLTRAKPTVPGKDDGPVSTPVRAREASVSFAPTTGRRGRGGH VGEGGRRKKRGSQVSVPVTPSEEHDEETYGESASTSRAGSETPATSGKSKMRMTVKTA KRPRVSSIPEIATTPSHASFSSVPNSAVTEAVTPIGGGADMGTELAANALASLADAAS SAAQAELVPPVRRPGKRRKVTAPVEGEPQTPNPNADPADPSAPAVNGAAPAPPAEKPA RRSATNSYWSVEEKRKVKELVSVHGMEAKLIAAQLKGKSERQVVNYMEGHRAELEPLE GSAVETSDKAQVAESAREPSSSAGRTIYDAFPAFASADRYEPRLGTFPTAKPPTTAIP SLPPPQPRAPSPAQDSSPTRPIQRTGGGMRISALLNDDVPAETKPVLETVPETGSDGT VEESPKGAPPAPSTTVPSYRYEPPQHLDERYSTSRPSHSPSLPPLGYPSSAGWNGHQE QHRRPQTAMPAPDWSHRRSWDAHPHPSQLPRASSYGGQPSLHSPYDNLPPIRSQTPLG PSFMNNHLDRHVSRDRDASLPHPGGLGGRDEERRVDEKEGRFGGVNLLAMRYHENGHV HGSEMREERQEPRRHSDGA L198_00700 MANLIEGLYHTVQGLLQSIFAVFQSFFNVIYSFLHGIISLVWGV LESVAEFVGASVHFVISNILILGLLGLGFVLYNDRSKRGTIGNDIKTHAHNTRLQAQK KVG L198_00701 MPPIISQALWIAQNAQYIIALFLLFTTLLLSLLGYLILRYLLST SILSIFSFSAAPSGGKGRGKAGTPKKKVVMKGRSVNTRQSGRGKGVAVEGGILGGVYR ATISALGTGTLPSLPSLSGDKHNTPTNALDRQVLFYAPSSH L198_00702 MAISRLFSSSLKRLSTSPPRAPQPPVFRRTMASRVTINCDMGEG FAKWKLGPDAELMPLIDLANVACGFHAGDPLTILSSTRLAFASNVAIGAHPGLDDIRG FGRRKFDVSEEEVYAGALYQIGAVKAVVEAEGGVLGHVKPHGALYFILRDSPALLRAF LKAQLALSPPPPNQPIPFVGLAGTAHESVCKELGIPFIPELFVDIDYDAEGRLLSVGE SRKPTEEGIREKVERVLREKETLDINNKPLKLPFLEGGFTICLHSDMPTALDNVRATR KAVDEFSRQ L198_00703 MPPPKLLIANRGEIALRIIRSAIALSIPTIAIFTQADAASPHVL AADEAYPVGGENGDGSDPKGYLDIGAIVGLVKKSGATMVAPGYGFLSENAAFAEAIEA IGVTFLGPTPYQMTSMGLKHEARAVAKKAGVPIVPGSEGAVASLEDAVQVAGGIGYPV LVKASGGGGGMGMQVCRSEQELVANFESTRAKGAALFKEPTVFLEKFITRARHVEVQI FGNGQGHVVHMGERECSVQRRHQKVIEEAPCVLFSSEMGKGVRKRMCEAAVKLGELMK YRSAGTVEFVLDVDSPTYEFYFLELNARIQVEHAITEVTHPGLDLVALMIRQGLSPSK SLPPSDLVQEDYLPFDGHAIEVRVYCENPKAGFKPCPGQLHEVLWGSVGKRGRIDTWV KTGTTVTPHYDPMIAKVVVHGETRDEAIANMLTALDDSRIIGPTTNLDYCKIIMSTPE FEAGEIHTTFLDSFVYAPPAIEVLSAGLSTTVQDLPGRFVGKGIPRGGAADGLALSVA NLLVGNGTGVEGLEMTMMGAKLLFHVDSVVAVTGCQMPLAIDGQKAEMWSSLPIRAGQ TLRIGSASSKGLRSYLAVRGGLLNVSTFEGSKSTFTGAALGGYQGRALIPGDLLTITP SSLSSFTPSSWPSVPAYGNTWQIDVCPGPQWDEEYLSEEGMKTLLEGKAGGGGWKVSP ASNRSGLRLEGPRVKWARTDGGEGGSHPSNVVDQGYPFAALNMNGDTPVLFGVDAPDM GGFSCVLTVATHDLWKLGQIRPGDSISFNLIPTSFVPKLLTTLESWLSSPSSPSPSAS SSTKSSELLKTNVLHRSQKQGKMDTIFRSSGDRFITYEIGPMALDLPNRVLIELWERS IRSLSLPGIISFSTCIRSCIVHFDPTQISQEALLKVMVEEDGKLGGAEGEEIETRVWK FPAVVDDKWCKEAVEYYMKTARKEAVYLPSNADYLAKNNGLGQDAVYDMITKTPWLVL AQGFFVMLPFMIPLDPRLRLVGQKYNPSRTKTPEGAIGLAGVIGAIYPIASAGGYQLL GRTLTPWNAWAKEDEGESKTLLSNFDQIEFYPVKEAEFIKIEQAYKAGSFKPTSSQKV FKVAEYLAFVASHAKEVEEFKKGQKEASEALGKQEEEMFSAFQADSEKTSASATSTST SSTSDTGAPGGGGLPIVSPLAATVWKILLNPGDVIEDEEQNVVELEAMKTSVFVPAGE EVVGRKVKSVVVKEGEGVNPGDVLVTVE L198_00704 MPSNVSFLSKKRRQSSAELATPPMVRKEKKNVFARALDRSTKQS RRGPENEICAPEAAVSETLSTSSPLSIDNFFSTSSYNLAHPRPRPPYTLQPAFASVDD FRRRPSTSASFVDRFWAGSSLDLVEDLDEALVEEEDSEDEEELAPPRAGFIRKARLSC SSVLSFKLRLGRQRADGVNSEIWSQSNLYSTESIMSIPPPIPARSRLREAAPPKSTVS SNTTPTLASNRGAASPQFPQRQSVAFDSLPSPSLYSSPRMCSRGLSSPSTPNFNSPTL HSSPRLGDSPSYPLPYDSKRRQSRVISGGTDPLKVRDGAAKRPASSGVYTPPSFQGPC GPTYTPSPTNSYTRPRPLDLGPRSPSSSIRSSNSSQSDSTAPRTPSTPKTPKTKFGKV LSRNAKRLSVMSLGLGSSASKRSESTSSSIRGESSQEGYFPNPTPSLNSLSTGSHLSQ PSWEIHTPTSATHPYYAAYPSTLSGSGSRSGSGYYTAEPKDIFPPEPAFYNFAERRGS TASDVGYSSGYGSGYGGERVRRASGVGQGPAVPRGKRKPVPKVALEDEMGGMTIGEAV L198_00705 MANETPKTTEAPVKDGEKKEVEEKKEVAPPPPPSVKEEILNNIS LIGRAVTTIEPRFTVRVLRTLTSLRKKAGKEDLREVLNQAFPKGSKTGQALIASPIFS GLPEDPAPEASTEEESMDVDASPFTEATTEPAAPGTTTPAPTPTPKKKFSPPLDSSSS DLIPEGVVYLRLLLLLKNLDAGNVKEAGEFAEETAELVSSWNRRTMDAIAGKVWFYLA RAYELQGRLSELQPQLLAVRQTASLRKDETLEVTVLNLLLRSYLSAKQYEQAEKLVSK ASFDGAANQAQTVRWLFYKGRLRAIQLNYAEARNYLQTAIRRAPKDEVAPGFVQLIHK YFIIVVLLTGVIPDRALFRKPILKNALHPYFQIVQAVRSGSVPDFQAAFQAHEATFLA DQTHFLILRLRHFVIKTALRTITLAYSKISLADVCIKLGLDSEEDTEYIVAKAIKDGV IEALINPQGGYMESKVAKDVYETDEPQKQFTKRVQYCTTVYNESVRAMRYPPNAHRKE LDSAAESRERDREIAQLIQESEEPDDMDDMGDL L198_00706 MAPSRSFLPLLLSASTLLVSAQTTTTTSSTTHYTANSALKLAKS YVGDDFLNDFDYFTDDDPTSGTVNYVSKSSGQSAGLIDVQSDSTFIMRADSDSVATGR GRDSVRISSKDYFADGVYILDLNHMPVGCGTWPAFWTVTKNGWPVGGEIDILEGANGL PTVNTVALHTSDTCTIEGGSYMNGQVGETACSAYVSGNTGCGVNMDGGEGATNGTYGS GVNSEGGGWYAMWRDLENSGSINVWFWPRGSATVPDDVKNVDTATTNLAGWGTPNANF SIPTCTSDFNKHVIVFDLTFCGDYAGATYTSAGCPGTCSTFVTNTPSAFTEAYWSLNS LRVYTASGKSVSSSSSLSSGAIAGIVVGSVVGVALIVFAILWWRRSQRKKRLGMGKKS LYAGSNDRILFGIPTVSKQSYKDIAARKPRTGPTKLAPGMRAHDYLEGETPVGMTPSK SKPYGGGGRSTAREGGSSKSSPASSDVKLNVLESKPGLPPSGGRGWVG L198_00707 MSLHNDNPSRLNPNDEDDDVEMIDVPLSSRSASSSPEPNDENQR PSTQGENPPSSMFNWQSYDGSPWAPLSGFLHLYSLPGSLGSLVPPLPSIGLSSFGPAS LFPSPFGVSLERDDDLEDYYRSLERMSGAPMDRPVTVSSPPAEEEETDKSVIMSPDEA LEFVAKNRDDWEKVRPASSRRGTPSPNSQAREAGTRTLGSHRQYGISKASKRGGASDG ASPGRRTVYRSPCVEETDDEDI L198_00708 MTERTGLTRCFSPSSSHPLIFAHHLWQPKRCFFSERHAKERVKL VTSETHSHDPWVKAFIKDPNAASSHCDLLIASPVLSVGSTNWALHDEEVPQQVKDAID SMTEPMGSAIFKISRKSKTFPPALAARNRKDWEEWGETLWKEWWYLSDHQGAREDEDL LVVEDNAPVHNAAATQEVREELGIERSYHPAMSFKYAAEGMQHRQMEIVNRDNSVVAK SREYDLIRKAIKGGMLNPVLVAHGEDPSFGTADRHGYDEFVVYASIEPVWGILKRKVD KMPRPRNTDHLERMIQTAWDEIPQEQINRLIERQHEVYAELKPKQGVAHGVLDQGESF QDKPHDQSLIAECNAVRNWIAVCWG L198_00710 MRPFLTTLAVLAAATSIHALAREDYLSATENFIQQYLSPNNEKV AHSINSTLFAENVTGTVDILRTSSSLELTTSPPAFSFDGRELATEYLFGFFVGQAKDA TDPSPYGIPVSYKYSALMIQEPYVQASVKFGFYYPVLNTTVPVQIDIWFLFNDNLEVQ QYDLVLRRAAWAFEDLAPYLTPFMAARLSLPQNTSQPTILRSYFTQKICSTALSYCNG TNQQYSSFEDCEEQLGGKDLGEWFRAGDDNLVCRNLHVPMVPLRPQVHCEHVGPSGGD MCIPRNYSQVVLDEHFPAGFLAQQPHQVSGDASSSPSSPSPPTDDDEEDGDDDEEWKR QEEE L198_00711 MSCQHSAGDGLTPAKAAYETATTSSMSFTPINQIHQHLCGLHIY ADDPLRPVRAHHYCTHLRKDLHQCVIYDGDGPGARLIGVEYLIPKESFQALPSEEKKY WHSHKYEVDSGMLVLGTKSLVPDAVTDIAEQPAMMELHTTYGKTTHTWQYDIHPNLPL GPPALMMAYTDDAQLALGGGKGQEALDARDKDLGISTPAKRQVRQNYLAKEDLEREPV EGCDVVWKGKLGNWKFVEKE L198_00712 MNSNPQQQQHQQYYSYRTTNSAAGTSAPDNTNPNTTTSSTPTFS RRNEQDELWGGMTRRAFKDRFAAAALRY L198_00713 MPPQIPPFDSLPIDKQGPPYNAWGLYGPDDELGRLNLITAESVK RGKNTITEGIAINLNLPLSFFPAHASRKRLEHNIKCSGHSNDDELALNTQTSTQWDGL RHYPYQDWPEKGQYRFYNGMTLEEASDVNVKKLGTQNYVNHPITSRAHLLDIPHHLST RSLPPLSPFSSTSSIPLPLLKACAAESNIHLLPGDILLVRTGFAEAILELGEEEREGL RRRDINGSCGVGKGEDVWRWHWENGIAAVASDCPSYENWPTPSQLTSHQIFLAGWGLP IGELFKLDELAQKCRELGRWTFMFTSMPLFVEGGIASPPNAQAIL L198_00714 MPLPPPTVAITGLTGFIATHTALAFLTHNWSVRASVRSPSKAQQ VKNLKVWERYLEEGRLEVVVVEDLGGGELGGLLEGVEGVAHLAAPLGMTELGWEGYKK PTIDGMLNILKQAKSVPSIRGISVMSSMSALYDILTPEAQQDGKIYTEDVWTPLTEEV ALDFDPEDPKAMWIYYGAAKRLAEEAALAFVEEEKPRFSVATFCPPMVYAPFQHISSL SQIANTTGSPPIFASLLSGRDEPLPSKGGFSWVDARDVGEAFFRAVDGQVSGRFVVSA GKANQQIFVNKLRELRPDLDEYIIKGEPSDDKLGPTSYIDATKSKTVLGLEYRPMEET LKDTVDYLEKIGAFEEAPGAWKKDGKE L198_03797 MSRVSPKQRKLAKYRRMACLAIALSLPYKGHLINMYTALARSRY MTRPKVYGNLRDRDWRKTIDKIHLTARKNTTNTTDFRQRFRLTPSGPRKQAPPMYQLS VALYRLGHDGSGANMNGVGHTFGVSEGTATLWTK L198_03798 MTSELSHLGQFDYDIRIYSLTQTSANTSIPRSTTPDTPHLPFVL QQCLRSLNKKGEQTTNEALVIARVKFKPLVFSSGGMTSKGTVEELRQWGRRLERRMLR LKERIGLELLRCYGYVMPMHNNTKHQKGYIDYA L198_03799 MSHPPPPEEQPSRPRQEYTSLSSILRKSKDNTLASDTHPVDQNG RRRSSTSGWLPNPSDRPDRRLENRSTSNDSRGTRKPSEDKGRLSTWGLMCLTISMGGS QIAWTVELGYGTPYLLSLGLSEQLTSLVWLAGPISGLIAQPLIGAISDSSHSRYRRRY WIVTSTVLLVFSGLGLAFTEPIAKSFVDLMGGGHGDWDPKTIKMVKNTAIGIAVLCFY CLDFALNALQASLRNIVLDITPGEQLATANAWHGRFSHVGNIVGFTMGFLKLNDMPII RLAGGGQFRKVCIVALVLLIVTVWITCWTQEEKEKENIFGERRSNIRDAVATIYEAAR HLPKAIRRVCIVQIAAFMGWFPYLFYSTTYVAEVMAKELQHHPDGDKATRAGSLALLI YSLVAIVAGTLLPYFAVRDRRLLKPMPDRLCDGDLPSDASEDNDDIEMARIREIVQQW KAEAARAGRPMRLPSMPFMLRNIWTAGLILFGCLMMSTFFITKVWQATIMIALVGICW AIACWVIIMEFLKELEDVSSQGQSLSTSHPQRPEHVRTTSNPIAFRSHPTSPTSRRPV NEYTPLVRSLSTADLEGAGDMQYTGEGPVAGGTIMGIHNLAIVFPQFI L198_03800 MSRAPSTRYKISNPQPLPSDLRSAYSTDARPYPSFPTPLPRQSS QRDGTSSNVSITPSKDHGGAIIKEPSTSPARPARSRMRDMPQPGVPQRRNADTRTGPG LPIQTAQISARASPQSDGLDIPPLSPMTPSVQGHDQELSETTDVFANDRSQRSELRAQ VSAAVQQSQQPFRAHKGTGSDQVRNAVGAFMSAGRSRDDAAPPARRPKHHERAKREVK EEQWEDDFRNGEASDIDLTLRQVRKDWPFVMESDFSASTLALSLLSGHPSSSLPEHPG ISPFLRLHDSLSTALQSAVQSESKPFAASLPGHQNFLDILAKAQEQVRESKSDLKAAR DGFAGKGKTELAIVRTREKTVRDMLKILDTIDHIKQVPDQLETLIGDKQFLRASLMLV RSLKTVSKPELLEIGALSDLRSYLTSQETTLSEILIEELHNHIYLKTFYSDSRWLPYQ LGQRELPIIDPQEDESVLSRSPDPSATKSKKSDQLTSRFSRYLAQLDQKPSADPLLLY SDDLAPPISRSIDTSHGLKASTAHAFTKSGSHGSLSSLAGDAEGPPEMLSAIGSSNPE VESFSYIESLLEALAAMGRLGQALDVVTQRAPAETHGLVESTLDEVDDRSQRRDALDV ALRTHSSANPDIFAMPMSSSQRTRASLEASKFDVSLEATGPPQHVALLNDLFWTLYSK LCAVLEGHRVLYEVSRWIASRRDFRNVTTQTSSSMSFNAPISEMWRPIQQEVKSILSN YLTDDQQGSTLNRHHILSVNEFMRDPRVSRDKGRQLFKFTESDARAVQSEIKSVDDSV QEALHSSVPGLVNPNTEQPVLFTVDNDDRSVSGRYRTLVPPNAFNVTTLFQPTLAFIQ RTSQIVPQGFEDETGGIDTVLEDFVVKVFLPQLDEKVTAGFQQAVSGYDAYQVDRALL VDTPQPPTKSSARVMTLIHTLCVMLQTTPFHRENYSRLIIGVIVQYYQQCSTHFKDLA SVPSTMDNPSDRPLTVPAIWAQREDVTVYLSELRFAMASSTPAALSSIYRKELSIELD LLGSKAPTESQLINSQRKLEALGDLLRSLRWFVKALVDLESVADETTLPEGDPLNVNL IANTRPSINDEEPRLPLTRAMAERYRAIIQTYEQLAEMILNTIRLEIRCRVMCNLGAF LQNSDFRLESEALEPDSDILDLNTNLVEAEELVRNAVSCDDHEFVFQALGPLVDHCFT GFASRRIKCVNGAGVRKIKRNITSLQQILRGIGTNSDNGTLSKALGYWGLYEQGPKKM LEGLKAHKGRPPFAFEEYNTMLKLQCTDDTDELNTHLIDLHALSMEIDGWDLGED L198_03801 MPPQDSGSPLRLKPPAITLVRTDLESEPSNGSSHDSPDDRVPAH FRLPALQSPKRNHVRIESQDTAALPPSEQDAEDHRSHTKRPSSAITAEGKNATNTSRR SLSGDDSGDRAMRSWPEKMVTFAQLRAQKIAEHRYFSWIGPKLTWGKLKPVLRSAVSS WLGLVLIVIVPVERAIGTGSFFILIVGFMMPPYEPVVQTLEKYINLFLFASMSWVWVI IAIAIAGATRGPLDLDKVAKAEAKWGFLKESNPTKYQQRIIFDGTYLQAKPAVVCAVF LSAGTGALLWWKLRTSPSPATFPLVLSCILIDVSLTTAVFYPTNVYDIGLIFFLPMAI QAGIGTLCSILIFPESVGHSFQSKFGGVLDPLASAMKSIDELFSEAEQTVADSEDDDF LSARDTQREREGCADRLENWAGRCKGIRTKLLQSLTGLPPLRAQQRYLSVDISYSRLS GEDLRNLFDHLALLQARSGGMAFFFDVIITNAKHSHLDSSAWSVQQVAQSRPGSRATS IRNESLDDRHDNGEYEVRDDDAATPALELEDSADGSHNPNHYFGSKRFSMPGILRRSG SPHGISNSHKGSHMSLLDHLRKSQQPVGIYESTRYMDIERNFAVDTAQVLEQLDILAR GCVPVIRGCQSALSKASQWIVNVNHDRHIMTWASQQTQNHKKGALDDALSQVIATLQG ALDDFQISRLQIIQPYKHLFDPNYPAETGLRGKIHFRGLFQNFVAQYHLIEFSTALLE LLRMMQDLDRSRPDRRLWFPRISSMLSHLQHSQKEKHLTEGDEEGHDNDAAFNRDEDE LLGEAKTRNPEYKPFDSSWLNLVAKAIKVLDIFQSRSSMFAVKAAMLGALTTLPNFIA SSASFYYFNRGVWCTIMAQLSKHLGYAGDTATAWLSRVVASSWGCLLGMVIWYISSGH GQGNPYGVAAACAVIFPIAAFFRVHFPGPVLTAVMLPVTMGLVIGYSYYNGTIGPLTH AEWGWDVAWRRFLCVLIGISAAWVFSYVPPVYSAKRAIRYSYARTIGITGSILCEILS HANDPHYHLKEDDEILKQLIAWRSKLNKLGARHINATREKSLRGKWPEERYKLSDGGC TRVQQLYALRPGNHYYVLACVKAR L198_03802 MGADQSKSSSSSTSEVDRRPPDYYELLEVDENADYEAIKKSYRK LALVNHPDKNPDRIEEATKFFADLQQAYEVSECERAFYDSHRNAPVAATDDDIFEYIR AGDAATNDPRSKLNRRPAGDPGIQLEQLMAFFDPKLTRKLDDSTEGFYSVYRTLFGLL ASDERLHSDTPHRMMYPSFGQSSTTYSPPHGLTRAQKDSQIWARDFYAVWGEFVTEKR FEWINKWDADKGDDRMVRRAMEKENKKAREDIRKDYNDTIRQLVSFIQHRDPRFKLHQ AKLAQTKSMKSSSSKVATPSTKPAVQAEAAHRREQERLRQAAAYEEQDWQKMSGNNSD NGDEVDEDAEEEQVGDGTGVRIDDGNGSEIFECVACSKTFASEASWENHERSKRHKQA VWRLKKEMRAEAKAMGMEIGEAEEYDEPDATEPAFLAKDSSLATPPEDVGGLQEEYDE TTQASKKPKKKGGRTAPQSGPPESKPDIGTTSPLLKQRPLCDELDATDRDTKTDKSAA SGPSKRDKRRAREARKKADEEERLAAAKAARKATKHSLTTSRDEFASARSSGLSGQAA NDAESFVMPKQKGKPRSKAKGDKDGVERDVSASEDQLKAVVADIQEKRDKMIEKWDSN WEELGTKLNKLLGDNNQARATRVLCLGLGKPYTDRTARIQLALLLGLLTALQVSSSYV HS L198_03803 MPPRAPIRCPPRTLLAPSSTPFRPNPPPGIAYRLRRPFASTTPA TPSSSSDLRPATSPDDPPVPQVTVNGAEFAATELEDIKGLLDKELGGDPIWAERVQSA LDDLSLQRRGRLAVIGDQAASPREVVSALLQDPLVDDAATRRAIVDRHQDANIEIFNL SLGARLHREPESLAVSSSWLQNSAYDILEIDVNRLDPESAISSVLSADAVVVSIDPIR LTSVPEIQRLLPFLLNHELVEFVVNGPLPSSVTQASVVTQLTKQLGDVADKALNALEF LAKGLELTSATPAMKTTAFESFQKDYLQSHIGPLQHALHQTLRAIPKPQLCTAQRLAK FALSHIEDAILQERDVVRQAVLTVSELRRTAENYAIRARHLSVTTKGVDGAVVEGEVK YEMERIKSRIESSFQDDLSWLGLLGRLKVDDVALELGGFVGSRLALDLERQIVFETGQ LSQLQQSLSSSSDEILRQLAHPSRQRHISAATRGNPLSSPLLANHLSTLSLSIPTLTP AHLLSPILTRRNQLISQSIPRLQISAQRSLLTTYSTTILGVTGSWIGSVAPLELFSTS TAGGLGVLSVVASLALGQTLWARAQKRFWKDWDRITGMLKGDLETRLDTTLKTHILAK PLATAEGLERLITKRQDRLDDLQARATELRSRQP L198_03804 MADQGYMSSGSGSGRVSGGEGGDRNSVVLKVGMVGDSQIGKTSL MVKYVEGSFDEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVSMLPLVSNDAV AILFMFDLTRKATLNSVKEWYRQARGFNKTAIPVLIGTKYDQFAGFPREEQEEITKQA RRFSKAMHAPLIFCSTSHSINVQKIFKIVLAKAFDLKCVIPEIDEVGEPIIIYQDL L198_03805 MASSMTTQHTTAASRAKRLSTSATPSLVKRGSVIMMRDGVGDGD VPLEKECASLKKINDGLNKTNNSLRIRISELETLIEQATGPEVERLSEEVLTLEELLS VTQRQAGELSAMDNEAKLTENEKQKQYVKDLENLLGTLAGPSWRIDHQLSAPSVPTTN ICSASTPLPKPKPKQLSSSLRHSVSFSSGPPASKLHRRANSTINLGSMMASVGAGAGL GAVEEMRESGGQDSTPTGALRRLNGGRSVSARPGTSATKELEEIRVPSSPPVSHKRAA EQTSGRDNGYVNTDQLNKVLHLLSTIDPTTISSLVGRELQDQHRPGRGEMNEVTKGDK DRSILEKMFEEQERRLAAREERLDRLVQIVRIEEARYDWRV L198_03806 MLTVVIGRASSFGLRDVLRQAAANRKALGKGRFGTRPNLQLRRS SKIGGDLFERQDNLPSPFKPLTFDSHALLTTPPLPPVDHIRDRPRDGDFFAGFTPPTE RVGEDFRPEGIPRSSSAPSVPLLAMERRKSDASEPSALPGDSSAVAGTRPSSYHHNDD SFNNRGAVIFDGSEPREFTSSPKSSSFVQSQHQTIAVSRRATVKARNLSDQIHTTPRH HTLHQVIPDASDLSSYLGPELSAALQKRMMESGGSLSGAGGSGGEARLKGLSDKVSPR VELEVTSGGLGGSSIALGSRGKQKEHVTESEWSFEVPILEVMSQAIKGRLEPLEVKEH YHLAEYGCHREIPNPILEESIKVLSQQAHSRSQNSFTVVHQATPDFDTRILHANLASH PLSYRKSSSSSAPNVLTTFSFAAFASIALSAASVDFAVCSAGELTKLQGEIPPRSLYS FTSQAEEKEKHSGKDLVRFLEARSIEFRAGGILVLPFVARVGQEVDEHVHKQSFPPRA SPCRLPPSLPTSPHGSSFAHGISTPLTEIRPTIFSHTQQHVTTPPVPPSKPGGKSYLP DMFQRMSQALSPAVQRLVSLGEIRTHVAPTLVDVPYWPRTLESVKSVLDKNKDWEILR DAGEEAVEGHYQMDDSDVADMEIDVDMADISLSSSTFPTHREAESGEVIQYSKKEIMA WASEGVKIRRLCHPAWREFKSGRIDRGAYARRIAMYTHSVYEPHLKKVLRDKGRMDIS HSENTIEEIFKILIEKCEVGALDALSIDVGVIVLRRKPREPLDDSPR L198_03807 MRPSVPRPHGPLPRSLRTTAAARQPRGPPNAAPLPDTLQPVYTH LATTFLPRNQPLSPDPSASPFTHVISFPQGSQGRPRTDPADEREDQPSAQSPETVMAL ASPFEGGEFYVKDAVQKMANELDADVVNLDLVLGVALDGAASPLGIEGPPALPSPINP VYQPAPSPFPSSPSRKSQQDLEGDDPMAGLGVAGVPVAVLGGGGGFSGLPMPGMGGDH ADESLFPGHINEEWISFFSRIINTQTSSETTGRKRIILLDSSVAMSKTFSLWWPSLVE AVQRRRRGQLMAGRRARASKGTAPELLYPTTIVLQCAPSPLLSQTSPSLYTSTDKEDN EILDDAEGAEDENPDFDSQASAVMSALEDKLRGLGFNVHHQVEVVKPKAGPRLWWGSE EGDAAGRKEGDQSRLRGILGKNLASILPSFDGEARESQPDHPLKRLLGRLGPSPADPA ENNASPLVWKAYPIIPLQRNFAAEKESRASRKRLYTAALLTRAVHQLGGELKDPLQVL EVSETTGKPLTRKTELSGIGKGWGNSVVSWSNALHMASIALGKEAIRQGKDLKTASIS VTWADIIDASKAMAEEKVQAANQISSHLSMGGKKGSKTTQGPEVESQPQPLVDPVVEN LKKDKKLGQHEKRLLNCIVDPTKLASTTFKDVHLPDNTVDGIRSMISLPLLYPEAFRG GVLKDHATTGALLFGPPGTGKTLLARAVAAESGARMLAVQPSDVNDMYVGEGEKLVKA VFSLARRLSPCVVFLDEVDALFGARTSRGSSGSMSHNLILTEFMQEMDGLSSAIANKD KRVVIVGATNRPFDLDDAVLRRLPRRLLVDLPGVEGRKAILEILLRGEEIGDDVDLDK LANDTDGFSGSDLKHLCVSAAISAVKDTVPIPWLNGSDTPSAPTSASDIAAESSLMGR AGSDGGQTEVLVVPTDGGGGGRKKAKVAKEKAPASATTAHVTFPQVAAQPEPVEESNA GEQEGVGQVLQEETEAGEQLLSAEKSKANLPDDSEAPALEPASENAAELQLPKRVLLS KHFKVALSEIRPSASEEGSLPELRKWAEQFGEGGKRKGRKSGFGKGFGFGDLEETKGR QEGGYGRVRGDE L198_03808 MVWRTSFVTMSTSFLLGTTFTHWIADHNVLWKTPVTEEAIHKSI TYYSLLSSSSRGLGWAYILVGIILVLSIGGRSYKGYHRKGGEVLFDGGSLVLIASIIY YQINEVLPAIALMPNPLPKDLSSHPTYPALHTAVRDLATSNIMAAVMLTGLITLQAGR YYSQRPSSPPQTVDSTTRSAGASSLSTPETRPALVSNRSGTPFRELTEEEAFELNASS GDDSRPPSRR L198_03809 MSDQRTATVSRNTSETSITCTIDLDHVPGVTKQVIEVSTGIGFL DHMFTALAKHGGMSLQMKCNGDLHIDDHHTAEDCALALGAAFKKALGERKGIKRYGFA YAPLDEASRMAVIDISSRPYFMCHLPFTREKVGDLSTEMVSHLLQSFAFEAGVTLHVD LIRGDNNHHIAEAAFKALALAIRMAISRTGGDDVPSTKGVLAL L198_03810 MPMLADPSKRYAAFKPVPFPNRTWPDKTHKKAPIWLSTDLRDGN QSLANPMTNQQKHRFFRHLVQIGFKEIEVAYPAASETDFAFVRELIENKEVPDDVWIQ VLTPARADLITKTFEAVAGLKNVIVHMYNATSCLFREVVFNADREQTIKLAREHTQLV RKLSEQYAASHGTNFRYEYSPETFSQTETPYAVEVCEAVKKAWLSGESSVWADGRKEE RIIFNLPATVEVATPNCFADQVEIFCNSISEREKCIISLHTHNDRGCAVAAAELGVLA GADRIEGTVLGNGERTGNVDLVTLGLNYYSQGMTPNLDFSDMFSIIDTVTECTGLPVH PRHPYAGELVFTAFSGSHQDAIKKGFEAQTKRDQAGDLVWSMPYLPIDPADVGCTYEA VIRVNSQSGKGGIAYIVKSALALDLPRRMQIAFYKVVQDKSEATGKEMTSKDITGAFR HAYHLGGSIYDGRLILKGFTATDLRGSRPGSITGTPDLSPTRSRSQTRHMAPLSLAQG VNNSAEPSPDRGLDTNLPQMSKRLTAKVVVDGKVHEVIGEGNGPLSSFLNALESDLGI VLSIREYTEHAVGAGSDVKAATYVELIPVDADAKDKTQGGFWGVGVDADITASGLKAV VSAANNFLGQNAIPKSA L198_03811 MKAILIKGGTGPADSLYLGQEPTPEPSKGEVQVKIKNFGLNRMD ILQREGKYPLPPQASKTILGVEYSGVVIQLGEGSTKWKVGDEVFGLAYGGAYAEYIVS PEIMLLAKPEKLSWVEAASIPEAWMTATQALTLEAPLKEGQNVLIHAGASGVGLAAIQ IALHALGAGKVFTTCGSDEKVAFLKNLGHSDRLHVFNYKTQNFEEEIKKIDQGVDLII DFVGTQYYTQNINLLRLDGTLYYLAFLSGAKFPEGASIAPILGKRLTLKGSTLRSRSA EYQYGLLKTFEGKILPKILDGTMQAKVHDVYQWTDVSEAHKAMEANKNSGKIVIEIKE L198_03812 MSRTAPATEKRIHLTRHAQAEHNVANDYQIADAPLTKLGQDQSR ELNEITKNGVQKTADLLLRRPLETMLLGYPGLKFRLEKDGKPVIILDLLQEVGHWPCD TPTHPLSALKASNDGIFSSLDFSRLSPEYASKQGIFDPRNAIERARQVRVWLRERPEK EIVVVAHGDILRYIADGQQSARPWGNAESKVFTFKSETDNFAGLVESDYKVKAPDATD DPTSSEISNKTQ L198_03813 MPPLRRQPAFGDLHPANRSPDTPTIVLGSRRPSLGFEISTVPLL NHPKPPRRFLQSDYPSSAGPSDLQPSHPMVREYTSMGWSCHPLSPPSPVESPKRPDGL PLGENGLERTKNGNSKSPWHMMTQSPHRASPVSSSIRDPGPAPQTSLPEPASRAINLG HTAWPSISSDGLYSPVCGTPSHSNRSSLSAPGSMSDHGDIQIMRATSAQMQVRPPMTF LANASDVSILAPSSLGIGYASSIEDSPGSLPESLEAECVDLTESGLRVSQASNKTDKR SSWQSRSPSPDTVEALTAVAGQPAEASQADVISRNAHFIPPAHQQGALQMNTGPRLKR AHTYDNLKTVYALASFPGGPIEVQPQLEPPADMTPPRLGTPIDLAPRSASSSRTSAEP SMFHSPPRRSYAPSFRPVSLCSPSDAIEELLPVSPFQSPETLNRSKGKRSPFQQTRES LKGILLPFKGRSLIQTFDKAEHAQIDKSKQSALKSRISGPLEVYGIKTDVRERKKSER EWREEVLRDVVGRSLSSQFRLVEETKRQFGGPEGVPRISSGSFKSRLPIPGALLAGVT PNPSVPGEKMDSSRNLQAVMGESPVPQKAVDFKTQRLPGKGRPTSAPLLAAWSPARRS KSGRTLSERINPSIVINQPSPKRQLASMPASRPASPLPAPRLERSKSGRISRRASILD FFKKDKAKEREVHQVSPKAKKMPSTTFTITASIRSSFLALTKKHHVGDPAQPQPEERK SPRSSSATPPSTIPLRTPIHTNPDSVFRLPLSKAESSFGLTYHLPEVEGGRPLLEELM ARDEALGALEGRKKNRGETYGMPGMNKVLEWRKDLEEES L198_03814 MKRLLALAAASSALASVFAPEHLLAAPRPAPAIASPDARHALAV VDQWHPATDHISRAVYLLPLNATVATPPLSLFNTTPPAATDFLWLSPTTLAYLNDTTL YAYSIDLETRESGAGHNQKHPQQPTKLLTFPAGVNPTSLQYEPNTNTLAFTGQVWADG SFTHTAFHDQTYQGRRDSAQVYDELFVRHWDTWRVPGKVWTLGTVSLKGLVGKKGVKS ENEFVNVLNGTGLFSQTDPIDASSYALSSKQIALALKPPYLSPATHTRQDIYLSSLTS SRPRNITPHVHGAISSLAFSPDQSKLAWLEMNKDGYESDRRVVVVYTLKSGKTERWTE AWDRSPSAISWSTDSDSLYLLSEHHGRTLPYHISHPNHLPTPLLFNGSTTSLTPLSES TLLLARQSLVSPSDDFLFTLPTDAPSEGDGDKIPPPSDPLRRLTSWSAAHLAGRLDNY TGEEFWFTGAEGKDVMGWAIKPHGWKPDQNASYPLAFLIHGGPQGAWEDSWSTRWNPA IYAAQGYFVVTINPTGSTGYGQEFTDAIQGDWGGKPFKDLLAGYQHALSRYPEIDPER TTALGASYGGYMINWINGHNDHFGFKALVCHDGVFDTVTTYFSTEELYFPTRDFAGTP LNNKAGYEKWSPVNHVIEWTTPELVIQGGKDYRLENSQGLGAFTALQVQGVPSRFVYF PDENHWVLKPHNSIKWHYEVLRWLHEWVGQANDQEEIERAQEATFAIQE L198_03815 MVILAQEALTMPDSNPSDSGSRSSSPGAGVPHRRVVSGSLRDRI AKFNNPDAPPPVPKKYTPPAPVQRGLIGNRIPSLDPKTAGILGKAPEKRVVENKGLIG NRIPSLSSGGYNPLLYQNTGSSSGKPAPRSASPAGSVDSSAASATVDSNVSPITSRSS TPPSSPGTAGNAPSNLVASTLPSLNVDLGASTPSSTRAEAGDTMSELALSVPSTPIGG DAPPLSAAEYDLVPPNLKLAASGQVPNPMARGLSQQSVGVTPSISSSLATQSVGSEEE NQMMADVSGVSTPMGTPKAERQELREGSVADDDELKDLAAKTENLGIVENTPPGAESV PATPTKEIPEPISAETPTPFSDPSTESAQRDSPVSGPPTPKSTASDPNKAPDLHLLKA GALSSPSPRSSTSPEQAQGGIDEMVSAALAQNLDEYTVAGNEKKVQGGRAGQYMEDVE HPDEVVLPAGDATSEAGESEKEELEVKDLSSPQEKVQVDEEPVERDVPAVDQAQKTEQ EQSEAAESEIPDVVGNESEQPDAVLPASHVVAAEESPEVDLKEAEEKPSVVAAEESVP DVSDKSQGVNQPDEDKVPELHDDVVAGNPSDEDVKVAAPEEKAQPSDLEDAEEEASIK TDEEAAEVPEVVNVGKEVPAIQTANASLESEDPSEPRPVQTDDGASEQSEVVMGKAPS AQSDETPIVPETLTEQPSIAALGLEAKAEDVAGKQLEFEPAIVKPEIDPEAKADAPKD EETPVVKEPSFPSPPAADPDIEDPIPSAPESLTGASLLIPTPTSPVGDVPETPIDNSM LKYFPEVPDEQKPRVEVHVSSPAVTPARTKRTSETQATSPQSPTVNLPASGSAKSLKE VSSPVNLPGQSKSLNTSTPSKSSPAELSQQLDAPMLDTTPSQTDKLAKRNSTRRSPKS PLLDDEDPGDFEPGEGWATVIK L198_03816 MGLARTPPQRAHDLAPAPVPPQGASPFAPRNPGVMRSPPARSPS QPPQHPQLHAQPETDNEPQEEPGVQDQVLEEGNTTEEPAPHHSLIVDDRPIRPAPAIV VHEETMDGSAIAETEDNSFGHVQADEGGDVEMQEPDTEVDASAELSQPSGPSQPTTPP TSRPRRSHAPPQAKSSSQNSQPAQPKTPRSRSSRRHTDPLPPPPPIQLDADVPPEHLL YGRRYRLSMEMLDRAVMAAAQRWTADQMRDCFPKLSKKMPKAVENTYLSASQAMRDNI LANAHELLTHYKAGPAMQLIDEVDMEAREYAATCKANGESSNRPDAWRPDVSPHALVA ATILPVYDEAYTKLRDEYLELHKDCQERYASMLQKQALIKRLEENVGDGAVELDQTLQ VLDNLPIEDMQTWTEATETKMDTRAPEYI L198_03817 MQVLTYATTAAFAMSATSVWAKTQLCSADACLAGVATSPLLAYD ESSSTYLLPGEDYPASSYSPSTPLNSTISTESSSISISTPSAPVGFTSPSYSSSGDVW SGNDWALKDWKSVYLPDGWYADLGNGQVLWGAIPERSLLPSSARGLGISGAGNSQCDP GCSSHGTCTLTNTTVSCSCDEGWTGSTCNECSSGYYGTLCQACPSNCIVCDDGYTGTG QCIGTASSSLETCDCVHGTCTSDQECICSAGWVTNSTVSASNCNTCAEGFFQDAFGDC LACPLGCTGCTLQSSTNSTASCTSCSSTLSLSTAVPATCTTSTGSCSDGTYYDSSTSS CESCSPACSTCTGPSTSDCLACASPRVHLQGSCVGYDASTGVCDSALTQLDGMFVVNN AKSECDSCPVGCASCKIPSFSSAASYDTLTCQSCNEGYLLEDGKCVKTCTGGYYLPEG SATTNGTCQQCDSSCTSCVGTSTTCTSCPTSLAAQAGSCISSSSCPSDTIYQNGTCTP CPLDCTTCSSTSTCASCPTDRPVLKDGRCLPYCAKDTYWDSGLGSCQACDWTCASCVG SGNGMCSTCPDGSMLKSGECVSVTCNSGGFASGFGICLSDFLSTNTEKYLFFIFLAIP VVAIALAGWWYVRRERRKTREATKEFGDKLDQSQVNRRLAALRLEKAFGFDRVSVGRG GDRSSIRQVPDTKQKSRLRELLLPSKKRQSDVEMASMDKKSGNCAPDRDRRYDSWGVP ILHTPKERDSWSWAAPPPYVPSAGMPSPVDAKEQPEKVKDKRDSLDSIPTPTLQRFPS APRTPVRKSSGLGSTVIHSMSSPSPGIQRERGLMPPPRPGMSRRGSENSLSSDEEEGE AARFGKEREREGKEKGLLRWSMAYKGQDNKGTSEVDVDDRLRDLWPALGGKPREREGY I L198_03818 MSLYAADLPAPVPGAAYIAKLHKFLTANAPRLAPRAPPTATAPS LWQQGYTLLTLGLDPSSAPLAAKPTLGLGNGRSGQAGRTPKPLLLRLPPDRLLYLLLR WQSLPQSLPHVGRTDTPIEEGVVIAARGEMGSSGRRAEGDVRSVRSWVGSMRSVSGSL ANVGGRDGGWFGRKKVISEDELLLALYSMFTLIPALLIHPPNSHEAPIAELVEVGGYT PLGGIDVRVPLDVLRNLQILELESYDPRALQIPSNLGLRSLTVRDVQDGDDWIADLLV SGDGESQAKFPNLRHLSLIQTSLLAFPPLPLDSLTHLDLSHNLLDSVPASLAALSNLR SLNLSHNYITSLRGASSCLGNVTSLNLSSNRIDCLVGLDRILGLERVDIRDNEIHDAL ELSRLAALPYLREVHCSPNPFTESPDPAILSEGLETWRVDLGRRFREEGRDAIMFDGT PWSWSEERRIEASVLKRSGSAEARGAAVHGRGLSDSHVPHNAEPTSEPRKGHGRAATH DQTISRQKSKVIRNRASVSFSPTGEGSRSPEPGPSRQRSPQPPPSAFHSHASHLRESS TASESPSAKSGKGKKKGKRRVIDLDGKHKADEDNGMGVGSELKDELVAVNEAGQQNGK VEEVNLAGEKAPKPVEDVAVKVVRKKKSRKPKET L198_03819 MASTTYNGPFLPPIHTSFTPLLSSPTDLITSQELHSALDRNLSD SFESLHDLYQVNEEEAEEDWTEESDQIQVYRDTKPLRVRKKKGRSTKVIYVANRRRST IVSVPRSPLSPKSPVSPRSPTSFDSDSAHAHISKIFPSLPEPSPTMAVPLVYQPNRLA PVTSTDRQGNRSSGSSLHLGLGGSSSYDPICPGPSPPSSPIPSPNKRHFTSPTMYESS ERPRKPLPAVPSSPITPSRAPRKAAKLLGVDLPPVMEQEKKKNYAASALKAGRHFRPL PNVALAEIEKFFGEVPKKASKSHPGLKTGKKASNSFYKGNSAHGVPEACDRNIGEGQT VDHRGEDGSMWLDVEEEQEFAWLMSEAIAAVPAPLPSVDDLSESIGGTFPVKRRDRCI DDMSTLCGSDEEEDSGKWGMEAFTSILSVPKPKASRASPSSSPSPSKSRPKPLPLVRR ANKSNPDSSFMEIETPKRLDFSFDISPARDLISFFEPVTPVERMGPMYGGGAGPGAAA GTKGKGWLKRAMKPLRSQSREAGPRVYV L198_03820 MSAPLRPLAALSRPLLRRAYAAPAGGNPNLVHPSDSRSDMLKQM LYPPDSYAPTSSSPVGAYHPDHLERLRTVIPSAEAYETIERAWQLYQREQREERKVQL KAKYESMVEACDELERITRSEGEDAKGGLYHRLVYDLAVAQLTQAKRRGEQPKGKKTA EQKWLETRVEGLVPRESWVPVESRGKGWNYDWQRPGK L198_03821 MPQCTRSSSRVRSKPSPSSSLPSPAATRTSPHAPTYINLPRASS ESAIASVSSPSLLKLPLSHTSFLAGLQPPATAPWSSSEPEAYLEWVPAWWHDESHAEH KLAAIDKKSENEWDYLESAWLDNSRSKSLGRRRFTTSQLQLLEVQWSLDTCPEKVERQ RLARYMGTKTKHVNIWFQNRRQYTKKAQTGGEDPQPAYLAVEGRVQPTSAMKSIVQMI VSGQLHRDNCLAMAAGNLETATSRPDRSARRKPLRLALTAPTPDPTIDLGISVSVVSN SSSLSAKHHKRSRNLEETSSNEDPRIPVPKRPREDATSFGKQNVDSKPLGEIRPAAGY SPASSANRFSSMTHDAHSPTSQRSFAHSVTFDDDRRPLSAALPITSTYFRPSICSPPT LSQGSSSASSTGSFTFEQMVSVPRAWSWSEAVPTTSMRRGVGHEEMLKDEDDGYDTVR EVWDILDAADILLNMGRRASVC L198_03822 MSYWRTLWTWLSWRISTILHSGWTGIFWVQPHWGVDDIPDQTGK VALITGGNSGTGYATALALYNAGATVYIACRDLTRAQEAADDIIKGGDRGIWGVRYPK QGAQRSKKEKDMKDNGQRTKGRIEIIQLDLADLASVDKCADEFLSKEKHIDLLYCNAG VMATNEGLYTKQGYTLQFGTNVLGHHRLITRLLPTLLQNGPQEPARVIITSSAGHGFA PPNGLDVPSLTRSPEDPPLPASSSSIIKASRGKDELEKWAAYGQSKWGDIALARWLED TYGKQGRLISVAIHPGLIASNLANHLPGVGFLLKLTAYAPWIWNVITRSPATGALNQL YAGTIPLPFAWYLNGQYVVPFGVVGEMRPDLWDIKNVHQVWDWCVEQGRKFN L198_03823 MSGSSSSSERPATAPRRVSYIWSAELESVSNNLPSNVGRSSMVH DLIRSLGLLDSKLGYEMEENHGGESREREDIDNSGRIRPMARITPPDPSLGTKACLLR YHDRGYIDRLYQPENDSNEPPEDPTHLPSSPRSSSSESSPRPRKILRADPYNLSHDNP IFDTLPLYASLVSAATSTACRLLAQDKADWAVCWDGGRHHAKRKEAGGFCYVNDLVLG GLLLSREGRVTVYPQQVGKDQTPKVKTRPPRILYLDMDLHYGDGVSAAFHSPKAYPYP LKPNQPIPKPPNVLTLSIHHSSPVFYPPPTPLSLLPSPDTTSPFSLSVPLAAYPSRET YAKVWTMCIEPIQEAWNPDYVILQMGTDGLPGDRVGQYGNWAVEGEGGIKWVVEQAKK WDAKVCVTGGGGYRHENAARAWAEVMGVLLDRDFEHDMAIPHHNHFEQYAPSFTMQVP ESHVRDENTEEELSKAGTVFKVLADRINFIVKHA L198_03824 MAPKQHAHLLALARAMVPPLHPKLHKGQAGRIGVLGGSGDYSGA PYFSAMGAMRFGADLAHVICEPSAGAVIKTYSPDLIVHTILDPKNSDAEIKEAMKGIL SRLHVLIIGPGLGRDEHMQNCGRIAFELARDMDQMGVVVDADGLWLVQAMVMGWPGVP RIILTPNVMEFKRLCEKMEIDPKASPETLCPKLASALGNLTIVQKGPTDIISNGLAIP SSLLPDEKDKQEILENTVEGGLKRVGGQGDILSGSTGVLLAWGSEWVRGTYESVGHPP PEDKSIAENVPLLAAYGASTFNRTVSKHGFAKKGRSMVTGDLVELVGPVYEELFGKPG ENEGVSKL L198_03825 MPRSPSPRRSRSRSPQPRKKAPKELSFYKKSSAPVGSFSSRRDP LDEPSSKERAEARERGEVPKRFGGSREQGVRNTMGNVGASVGSFRRGGDPLDRYGVKG EREDRRDYGRDSRDSREHRRDDRDRDHRRDRDRRDRDDRDRDRDRRDRDRGSDKKRDD GPSLPAPTAPAVGSNGGPPQRPPAAAPSMASLRLIEVIANDRMGRKVRVKCLPTDTVG DLKRLIAAQTGTSAQKIQLKKWYGAFKDHVTLQDYEINDGMSLEMY L198_03826 MPASTPLHALAAVVMSGVALGAADHWILTHAQTIALARMDPIVN PSAISSHVHNIVGASNFDIALNSVSDQLNAACSSTIVNADISNYWAPQLYYHHQNGTF SPIMSGTRVYYFTKGTEVKPFPQGLRMIAGAATTRDVSDTTAFGVRISCDHGEEGFYL PNGTTHPGGCSSISTATFFPSCGLSTGDLDSTDHFSHMAWPQSYNGTILVDDANGQYC PDTHPVKYPTIFAQWNYYLDDNQPWRNDEGTLVFSNGDTLGSSMHADFVNGWTPDVLA DAISQCGDGNGPGEDLTTCAPLSKSTNDRSGKRIFIDTNIVFRDVGLQRPIDKLPGCN PLWTADVTTKPTCDEKAADPSMVGPNVLFENLIYRWHVPMAADFVTNTSDLAGLTPSL GNTGDSRLVAWGSEGSDTSRMTVGTLEEIIAGAVGAANGSSSAAESSIASTTASTASD VSSTLASAIATQTSSESTAWISSEGSVSASTSLTTSASVSAASDQVLAIAETSTLTGT SSTATQTQVASTKVCK L198_03827 MEQNRSPSPEPRPSKFPKVGPDGQPLKATVKSVDMSEEMQTKAV ETVFDSFDRYDEMKDMAMYVKKQFDRMYGTTWHCVIGKNFGSFVTHESKNFIYFYLGQ VAILLWKTT L198_03828 MAGAVPQFMVDEYVLAYHGPLLYEARVLLAESWDESNTLSGQVG PHYFIHYKGWKQTWDEWVPESRLLKLNEAGFAKRRALLEAQAKKGRSSISSAAGTAGG GGSGTASPGIGAAGRKPLKDFKKDGKKRGRDALDSETDFMKRPEVKIVIPDILKLVLV DDWENVTKNNQLVALPRKPNVRELLEEYRQYASASKKQERSARATALLSEIISGITLY FDKALGNNLLYRFERAQYVEQKRQNPEKPMSEIYGAEHLLRLFVNFGPFIAYTNIDTE SLNILRDYINDIMDWMIKDQKRLFMKEYEETTTHYQNLSRS L198_03829 MVAVAGPKPSGAKFGQSIVPNLNIRQVCPNCRTDPPNIVEEYSK GDLVCADCGTILGDRIVDTRSEWRTFAGDENGDDPSRVGDAGNPLLGSNHLDTIISHK DGRTGIARDLNRAQARANTLGNGIYGKTNAAQLSAVFSRIGEKCDAMQLPRSVRDRAQ HVYKMVDEAKTIKGKNEAAVIAACIVYACRDAKVHRTFQEVGKALKITKKELGHVFNI VKSTVQSSSKGSMESVHGFNNAQESVEGLLGRFTNYLDLGNAIFNVSKHISGEAVAKS AIDGRSPVSIAAGVLYFTCVLLGKPVTAKEISVMGGVSESTTKLITKMVAAKVDDVIR PEWKNEYPDGYAALGQLGRAADIKNSRSGTPNRSVEKKPELDDGVKM L198_03830 MEHPVMGTAPSDRHQHEEADEGHVDDYLRHSPGESPAESSPPPQ DERQCRICFSGPEEEESMGKLISPCLCSGSMRKAYMECPQCHFKYRIQRTRISGLATS KPVLILSTLSLFSLLTLSLGSTFHFILAHSSTLSRTFLSPSSGNLRLGGPMDLFDDGF MDQGNVIIIGSGGGTLVWDVLVAAIQTFASIADKLGRYHSVLVTRLPGPLATLVLGIA IRSLLGIALLGSMSFLSLSISMSLFGPLQLANALRGGFLGSWGRRRLARGGRGGAAIV VALVAIGTLNTLRQVYRRVGRLATRLLKYVESQILEVNPDEEREGERRRMARREKWLR QWLVERRWGTVHGWKEAGYRGWITARGWWDDIWEDVAVDAHDE L198_03831 MTLSFPVPPSDAQSAPSSPPTKRAKLQNYPTQPRASESSPVSPT TVPSYPSPASMDNLSVPQSESSPTQHQETSGRQFAVPAVRRRESFHFSGERPHPPAAG ANHSLSGPMPTVRLLGTPSDKGSPALSSRLPTVDKEELTTKGRKRKRLAKACSACHKN KRRCDGFAPCSNCEFSNRPCQYVNAQGEPIPPPRTRDSSASVPLKKDGSKSGSNETSS ISLGHERKASGESSHSQQGMGDPEYEMRRKPSVGPLQIVEMDISLSAELVDIFFKRCL PLPIILHLPTFNYRLYLNQVSPVLLDVIYAFAARLCENPIFLQTLSPNHLPHHRGELF ALRAHRNAESLIHQRKKFSEEARRADRGSWQETELAQTAYLLSVYFTCTRQAKLGLFY LEAGIDILRPSPVPYIQPPAAHPGSNPVEFTTHMETRNRTFWALVLHDLCASTNGRPR KLTEADLGTIPLPGSETQWARWGGSGPNGREPGRRDGLVPGTGSWSDEEGSVGEVGHV IRILSILADIMALATDSSSGESKQVFALRLEGALKNWAMSLPRHMHFNESNLTHALGK LASQVPEAKTSGWMYAYMHAAAECGMFYLQAAVAPASDSVVTARRQSQAIENLIVIMD TIGLAGREGSCFVFPLFVISNWQDHLEKSEFLIRDVKHHLTEERLNLWWAEKIREWGI DQHEVLQRGFYALPFPSTASSPSFHQTRMPSVETPSSLGLYQTSPRGRASIYSVTAIS PSSSAVNSTPLFSGNPRLSLPSLPPFRPRATSGASTMSYHGGRSPSPPHHLPYVNTSS DRERDSVTLPPLSVGLSSFREPPSPRHPLSQSISSRYLPKSHPYVRERSQSPRETGRH GEGMSGIAALVSVAEREREKEIAKEGRS L198_03832 MLAKHLLLAAASAAAAIASPLLHIKRDDFGLEWMSKDGASPLPK IVLYDTGGTIVSASNYSRLDNINYGFGVNPTVQELISNYSEILNIAQIAFVKFPTSGG SSGLNSSTYFNVSKAANEHLCSPDSEITGAVLIGGTNTLEDTFFGVDLTLNCSKPFVT TGAMRPQTYISHDGPSNLYQAFAVAADPKAWNRGGLVVFNDRISSAFYTIKTDGNTVD TFKALEQGNLGTCLGGQPYWFFEPSYPVARGHFDLQAAGLTSGDELPSVVVLFGSQGF DASLMYAAVANGAKGIVIQGAGAGQLSPDAVAAATVLKEQGIPVVASLRPVTGASVPR PYAPSYISSGYMQAGKSRIQLQLCLAVGAGWDGCQEAFEKDMREAIYNRLTTYYF L198_03833 MLAKHLFVATVSAAAAMARPLLNIKRDDFGLEWMTKDGSSSSLP KIVYIPVLTSNYSRLDNINYGDGVSPTAQELVSNYSEILDIAQIAFVHFPASSDSAGL NSTNTLKDTTYISHDGPSNLYQAFAVAADPKAWSRGGLVVFNDRISHWGRAIWQPYWF FEPSYPVARGHFDLQAAGLTSGDELPSVVVLFGSQGFDASLMYAAVANGAKGIVVQGS GAGKLSPNAVTAAADLKEKGIPVVASLRPVTGASVPPPYVTSYISSGYMQADKSRVQL QLCLAVGAGWDGCQEAFEKDMREAIYNRVTTYYY L198_03834 MPHSNLPAHFNRILDHQYFLFPPSSMRHRNPNLSSNTPYLSPQS QPGDFDDGSLPQLPSTQPTMPEGAKVAPRGCQTTSPYLSPPHTAERSLAPCLPTPQVS NARHGEQVNHFPFYHTHPSSWENVGQYRHSAAAIQPWSSPVPSTPGPSHPAETFASVA APSTQPSYHQWGYPMPETILPFDYSHQNVATVQTSSTVTKRDDSSAPKRESNASSPSD EGLVTKGGCHPCAICAKRFTRPSALSTHMHTHTGEKPFVCPICLRDFSVQSNCRRHVR QTHKAKDARARLEAEEAAKRNGSLYPPGTLPLSLAPSNHSVASSSQSRNNTSPIFPRD TASMYGSVPFAGGLFQSGSTTTSTDLQPAVDPRAAGGSRGNRREPRDRRKPY L198_03835 MSLYADDDPTKALALQDTDENPALHPPTDPQQLFNAAVAAEPES AHQHDTLLLAAQRFEEHPERLPQLLPQFLGFISEGGDSLLRFWTLDMIHLAVGRGGLS GDVKQLVTLHCFPRLLQLLHTGSIPTLKAVIPILSTIYPVLFGILATSNTQASILQMF NDMKSIIIDFALNKSGQSGNVGVRAVAWKFVQKVILAATKPATSGDPRLQSRGSSVTD TNISHITQTGILSQAEIDQEGKKLQTELVTALYTQTEPAILHPIINSFPYLAKMRQSI APLVVHSLASWKPNTMAAEGRPAIEIRAVEKTVRIAMTHLIRHPPIASHAAQLNDALL RQKKRMEDAFVEEAAARKERRRGTKHPMEPPAGVDPESSEQAAKRARLEGSAGGVGSG VGKGPVVDISGMGVEEVVETVISGLRSVSSQTLVSALENARQALREDSLDAQPLLATS LGVAAAGGVKAEDEEIVNPLDIEDDDDLLMMDDPELVIEEEEPTTFTDFVLPPPEPLD PSDKDYILSNTIERIWQSGADLASLPDPKETDAAKTAIQPKEMWMLLLARLATRGAEV KRKTISEFVLADFANRSKFASVWLNEEWYNERIGVSEPGQYLSNLEAIISVYLPKVDP KDKYLATFIQNLPAIPPSLIDILADVCQDSEKAVVGFTVLRDIVESRPPIREQALQTL LALCTHTDRKIRVMAIITTVRRWGQNSPMMPIITKYALSVLWRLVEAKPEVKMEAVKE EGGEVETEEQIGESAETDVEMKEEQPEEEGSIDRYLEQPSARTVQQHVELAFALAKRQ QSLLDDIFKIYPQLIPEVQKAVEAQLMPLIQSLGATPKLMEILKKFPAGADQLVMRVI GVLSAEGAGQVLVSLVKSVLAERELDPKFVIPIVGELDKVSFSTRVRPRVTSMQAEIE KQLPKIVSILVDPETKDMVKTAFASMLQKMTPSDLMVALHQEGGAPLKEIIEAVKVCF SMTTVFRSDVLANAMNRIADLPTIPLIFVRTIIQVANTYKSLAPFIANHILPKLVAKK IWENGQLWDGFVMLAKRIAPASYGALLQLPKEQIREVVGKQPSMKAGLKNFLASKPGS KGALLEIFGDDE L198_03836 MVGADMEARGTARADCLQAANSQGIQTLLEAEKEASKVVQKARQ YRVQKLKDARSEAAKEIEAYKAKKEEEFNKFESDHTSQTTSSQTNIDSTTKTQLTNLD SSVEKNKGEVIKKIVGRVLQSDPHLHPNLKKIEA L198_03837 MARSKKSSKASAAAPSPAQAHQYDPATLIEKAHILLGQSNFELA IKFLERVLEIDPKNLEARELVGIAELEAGDPDVGRQHLLELLPPHTPQAPEHASPYLY LAQCAQDPQEALGYYTTATAMLEKRIGEKDQKGKSKVAEDGQEAEEDEERKTVVNALV AMIEIWMSDLCMEEAAERNCDALISRALSVLPNDPEARLSLASIRMSQSRFDEAKEVA VALYNDFEGREPFDPILPPLPVRLALSRLLLEHGEHLPALDIISTIREEDTLLVEGAY LEGWALYLRAEAITENPALLQVPPSAPKEERQEDESEEPMSAEECLSEAMRSLIECAR LFTDEDYLDEGIGAHVAELLEELEKKGIVPAIGDEEEGETEDVEMEE L198_03838 MPADYSRAEAYELPPISPSAKRYSQEQASLDDPEDARLLDPEAA DKDLEGDLDEDPASYIRRTQDEEVVGKGGKTVPSHDDPSLPTLTLRVLVLGISFCALG ACASQIFYFKSNAPSFSSYFVILATYPLGHLLANERLIRRGKTIFGWQLNPGKFSIKE AILVSVLSSSGASSAYAADILAIMDLYFDTPLSRIPSIMLLLTTQCIGFGMLQNILVS PPAMYWPSTLVTVQLFTTLYSSTSVSLSSAQKLLTRSRLRLFMFVFLVIFLYQFLPFL LFPTLTSVSVLCLVDNESWWFRTLGSAYSGLGMGDFSFDWSSVGSSGPLYTPYWALGN YFGGLVGMIWVITPIILFTNFWNARDFPTPVSAGLFNSTFQKFDVTSILKPDLSLDEV AFEMQKPLLLTPFFALSYGISFAALSSVLVHVWLWHRDEIKDALSNRTELNDAHNKLM RAYRAVPSSWYLGLLAVNFGAAVILVKTTPLQMPIWALMLAMAIATLTCKSVGIITAV SNTQIGLNVLTEFVAGILMPGKPVGNVTFKCYGYMAMSQALALTSDLKLGWYTSIPPR EMFCCQIIGTVIGALVNYATLESVLSSKRPYLTGALVDPTAQWTGRAPSIFYSASIIW GAVAPARFFSGGYEVLYLGFLVGAVVPVGLWLAHRRWPRHKLNNVVLPIICSGATVIP QYPTNIILTSLLTAVFVNSWFAKRYPQIHSQYIYVISSALDAGTSITALAIYILFGGV LWNWQGWEWWGNSGVDSEHCVPAS L198_03839 MPRRRNLRRRSITSAGPGALPLDPDLPPPSPNQQHEHHPEYSSN DDVTLSSSDGLDGEGLFWVPAHIHPELAPGEFRAFLKSHTSSDPTHADANDAGDAEGL ARSSSWLSRNPSRRSEGLGRKRSMLSRQYQPKAGDNVEEERPPLPSRRPMSIYGGKSG EKGLTLQDLQKLEQLVDETDETDDPEDMRKLLRRSLSMNVAPGFLQDDVPLPDGSEPP LVAPRPGSILRRSARTKIRKAGLQGDGGGHRFAATRKGRTTAAPQVEIPGLEDDDYGS PGDSPHRGSDENTSYEGDEKYHDAQDIERRHSDDSTDEAYIFDAYAHEPMESLSSSSH DHSTSTSPSPERSPPQKKMSLPPVSEPTDWFSEHERTPTADNTVASGMDNLSLDDSVT PTQRSTLLPNEHELPPGMAPPQQRINLPPPAPPALAAPAATPALAPISTTPYEPPTLT RTDTGLSTSSTNTKEKEKKSKLFGRKKEDKEDKKKKKDKDRFLGSLFGGKKKQEEPSP VSNFSADGRAAAAALLGSSKSARSAGMPPSPSPTSPGFQSYARYPIHVERAVYRLSHI KLANARRPLYEQVLISNLMFWYLGILGRNVTEEKKANAEEKKEEAKPVAKGTPPKPAD VGTAAKPGQVIHRPPLQPINTTPHIEPQLSSPSPQSPAKKAGLIKPERSRDGRNSEAA VRTPQYGVQTAQVEQEVRHAQMKQQPAQAQLPMRSHSPHPPPSQSQAQPAYQAHHAPQ QQQHHVQQQRSVTPSGPARTISPPSPGLNGPRSPEGNYHREIPSAARGVPQSSFGPPP PPQGLDTSRQRTLSNPNLGAPVPVQGGQQRRVVTEGRSPVDASRSHHGAHAGPQPGQL FQYPGGAQGQTQGQAVGPASPFPPRPSQSPGPQPGQVYSRSPPPLNAQQGMPPQPGQI FQHPHHQQQQHQGHPSQQRYPQQGYGRPLPPTGHQSQTPGQQWEPPQRLPPGASPPQW ASPGPQRPPAQQSGQYDPRRTSPQGGYPPPQAAGQAFYGAQGSAPSQGRPVAVQQGQG YHHGGEYAHHR L198_03840 MTGVPQRLRPSVPTISLFIVVVVKGCVTILDQMIMKVSKEMLEI LCDLDSMLSLYLGTLLLAHLPIVAIHKYVSTPSVANPSPPRPSKTRNAICIGLAHVYA LIPLVPTLTIAGSMGFDVPDGFGIWWGYYCRERASWMRVRLPAPILLLFLLFDPYITR AYVSWLRLRRPVDEEEGFAIGGGAKYYASSNSLVYEPQKRQPADIAKRSSSFWAPPRD SDFVPWETMLDPPVPRLTVQPQYQERQEDIKMEGKQPPLVDEMQMPRHPESIAEPPSA GDVGQSPASPMRRPSMTRYPPPCYQAPMTKLNSESPLNRYRSATSTIYSRASSCTESE SGEGGRRSVRASDPFKYATSTVYTDRSFPFQLETELLAPMPPVSTYPLPVNDSHFSGD DLSDPHQSPRKVTQAYTGSDSSNQSQGRVASNGQEPTKPGGMANASSVTLSAFGDNSP SPPSSVNKSRGDVVEKSRLNRL L198_03841 MSTAPFSEDALNRYRIAHPDRDLKPLLRRLHRTPVPSTSGDDSS LEAHLLHLELLKWKSTIERITGSVANLDRQKEEYTRQAEGLRKKTEGMKEVLEREKKE LERARMNRDHRARCDELASRIKSRGRGRDELDAEISTVQTSLEEQRASHGIYAQAAQA RADTFTQILNLVEKCRGIKLPVDPTLAAVAVEKEDPPTIITSAPINVTSSAPSSSGPP SSGAAPKLSANAPIFHPPAKLSVPSHELPNRPSASPISSASNGRNSARPPSYSLPHRP SGMRTSSTPAGAGNGKRGGGLEDGEVGEEGELSERSNSGGGGKRAREGDGGGKRSSRR RRD L198_03842 MSRAAPRPPSALHPAASPPPTRRLATILSFPALFLLAIPFWWYT TSIERLPLPESRIEHLTSTDSPTPHAQILFTADPSAFPASPPGRHQYETKEILQSLGR EVTKGVDGIYARKPEKERDIRRWDFVYTGDQEAQRTPLRVHLRRWEYANSSFPLEPYV QPAEIHYKIALINSILSVFPPNPPDLPLRALKYTPNITLSFVLLNEDATEGSYVRGWD IDQAIKDHFLAHLEPLRPVFNFTIESQILFHAPLSFEPRLGLIPEEEQDQAIDEGVES VNSASATDAAKGAEVGAFISETVDKRGERVWVIDEEHLKIFVNSEKWSLDSGSTNNPV LRFLLYVPSKQHRPMRLASPDSAHSFLLPQFGGVVVINPPETSAPAYHLSSPALTPAF HLFTQHLYSLLALPRLPYETNKLHIPPASSPFHPQSISLQPLTPWQVHQVELARMREN AVEARKTLMGIVRLVRKIVEMKVGEGVRRMVSGAVSRLEEAQRTDGRDVLETFTLIRD AVTLSNRAFFDPSMMGLFYFPDEHKFAMYTPLFAPIAVPLILGLIKEFVAWKKVKSQK KHALQDEGKEAGGDLRPVEGDVDEEPKGVYGE L198_03843 MGFSQDGITGPQESGKRIERGWMSLVAGSRGVWIGYEAEGKVGV VRADVNVNENGNYHLQTTRLASLPFVDRPPFEGAETSDCRSVLQDMVFVHIPRERRDV EQGVETGDVRMEDDESPVSTERAGVVLVYRDTVLDDIDSLSRTRLVTMVFERRLLQLA PGFSEMSDASTEVGDLWDWFTAPHPSRSVCSPACTTILFLHPLSSLPPYTIALAIIST PNGLSRVHLDLGSTQWNLLSEYRLGDLQSDNLILLPSQGVERGHLGLCAVVGRERLQL GAVRTLNDQTMIGDHKPGSMSREESQAVSAATSIILAERQGSDWSDVIRALTAIVDLS SQNSFVNDLLWRIYILSGEDSAIDQMDILGRVQVALFSAFRDSRLALSADILRLHVAS ELLDQCATFEEDGKITFDLDSIWPLISVLDWCLFLISSSMRQSLIFRASSSNHPTVPQ NLIDHPHTSIFILFHPTLRSLVIRILSQLHQFCLFLATLGRPILQPEAGGTGGGRRRD PMATIVAREMAGDLATKWGVDLEGWGQALEKMGELDELSSVQTSLTSLSVTPIQEHLP AFLEALHDSSLLFTSAAAVNSRDAPMAFDALEWTLLPPDRGTGEEHKGTGRADQPLE L198_03844 MNHASASSSLPAYAETPNSMSSLSERELDVIPSRSGRKLCVRHK QMADQNMNEKLQHSLDNLSPSERAAITQMWSTFSTAPHGKRKIILEGILTMCCLQLSH LSDSLVQIIRIDPFSLLPREISLRILGFLDAFSLGKAAQVSKLWKALADDDLLWRRMC GQHIDRKCEKCGWGLPLLERKRLRVELKDRSPAELVEHNHSHDHEDGESRLVTRDQVL SGAYDHLSPGQVGLKSCDSPAMYLPSPSGTSVPSSKRPAPDSALAVSHASSKKAKFDN TDSGSDLRGRSSNGNDAGGLTREVRLTRPWKTVYCERLMVERNWRKGRCNTKVLKGHA DGVTCLQYHTALTNPSYPVLITGSYDRTARVWNLESGEEVRVLRGHTHAVRALQFDQM LLFTGAMDGTVRMWNWRAGECLRVLDGHTDGVVTLNYNGYLLASGSADTTIQVWNFRT GNKFVLRGHEEWVNSVVLWDGKTSPSDTDPAAMPSFTQAVSNRCQKSSTPGLEISQPD VPNVEPGTMLFSASDDMTIKLWDLETATCVRTFEGHKAQVQSLKILMVDMTEEEVATR DRRQRRQLTPPHTTSGFITASNASPVGVHASGEVNGTLLDAAPDGFDPVEHRGRDREQ TVQPRVYVHSPEGRSKRASSRDNSRADEKKAIITSGSLDGTVKIWDVETGQEQSTLFG HIEGVWAVDIDALRLVSASHDRTIKVWDRESAQCVQTLVGHRGAVTSCQLSDDMIVSG SDDGDIMAWNFAPAANNNHSNLASSSSTPGHH L198_03845 MPGAGPPDHHGDVRGVFGNPQVFKDTFSRLSSAFTEAINKIEDE SGSGVGNTGQALIGRLLAMQGEVDSWRAGKGLEDVSEDGQDVVGAEGGLYKE L198_03846 MNAVRQQIQQPSSLNSLAAAEDEFAHTDPAAWGSMAGFGNLSRT GGIESMSIPRVLDPTAFLDMHTDSMSANPEAKIKIAHGTTTLAFRFQGGIIVSVDSRA TAGSYVASGTVKKVIEINKFLLGTMAGGAADCQYWETYLGMQCRLYELRNKERISVAA ASKILSNIVYQYKGMGLSMGTMVCGWDKTGPRIFYVDDDGQRLTSDIFSVGSGSTFAY GVLDQASQAYGYRWDLTDEEAQELGRRSIVAAGHRDAFSGNTNNLYHVRENGWEFIGN YDINELWYEYEGKKKAAREAATEASPMVVES L198_03847 MSLVSVLTQFLKICCGGQQESTGPQQQGQQQYGGYPGAVSQQGN AYPPQQQPTWAGVASQNQQQFPPLSHQQQQSHQQAWQSAPHQQNHQSAGWQSPPQTNG HSPVHLPAGGVVGPHAGQNMNSDMVNATNQRYVDLRNKARKEGDEAHKCFAASQAAYK SGDGAAAHNLSVQGKSHQKNQDDLDDQASAWIFNENNKDSPFGTIDLHGLYVKEAIER TEAAINDSQRQRREELRIIVGKGLHSQGGHAKIKPAVENLMQKYNLSAYVDPSNTGVL VVDLEGKQSGSRSRDIGGVVDALGKADEGCTIM L198_03848 MATKVAQKRLQKEYLAMQKSPPPFVWASPEEKNILDWHFIIRGP PDTPYEGGEFHGLIWFPSDYPFKPPDVKFFTPSGRFEIGHKICMSMTSYHPSTWNAAW SVATILTGLLSFMLSNEITAGGVKTTDEEKRILARQSHAYNLKSKKFRYATPEMTDLP DMGVPPASCPTNTTISSTRTIMGILKRLEEALELYRSDKLKERSQGHDQLRDLLHNRD TILRFADAAQESSARPWISLFSCVMNAVHSEKVLMSKKDTAAQKQRLSNAISIVRLVA EQSVHHIGRLSLLALIGTMRQQLLWSRKIYEPALIDYSKAIVTLLSHPPHLEVLDRAS WLSLMSISFAVILGDEVKCESDDDPDTLGVTLELKIVEEEERSGKRPSLLTKQIPVSN NNESFVKLVPILLSSGTAPIIPPSLNQGDILSANQRVGLGLLLKLRRFFVAQPHVTVY HPHLLRALNILLTELELNHRETFTKASIKILPQLVTLWSNSVRSQDRSVVDQVVIAIR TILPHMTHPAMEVKYVAEIRSSLEILWEVLPREAGSKRLEYLDMGCLRLKSRIQGRTN LVPFESVSFSAGYHFSVSQTFSWAALELYSDCCTYLYLTRSSDVEIGRNGSTPKRRKV EHTLSSLLSAVNLGKFESRLLALQTLIFLIGRQWSSLEMETQQAVFQCLHALLNDENE VLQSWAFLGLATAAVISTAGFDFHTDKTSDPTLNTHTSLRGNRKAPPSNDEIWNKVWS HAVRKCYVPATSRAASHLTVILIQLNLLDHNTIVGGIRSLLQSVEIEGPPASLDSVCV LHTIALEMAQSDIQLYSLGLEEKVLAWLEKIWAGDKAVRSEGSDGRLEQRTPSDLLGL LSAISGVQPYSLVEPTVDEFVPESAVSKHLFEEATTQSIRDFVLYQKFPQPPSTVTHM RAVTIGASESENSSPLECRCLRLSLLMSSMMRNDLVQEQGKSFIIHNHLAERTRRSID LVVLVYAYQASLCLNGYTAHTASLQAATRLLGSLLPTMASHDLPLPDLDLIWRGLRCL VADTGKDYDVDRWPILVMPGTPSGIRTDLLPQQQGPPASRDSGGSPTATSTQYHSTFP SNFPSTYMTQEGSYPVLPQDSTGDALVHAIWRLPTVTTTLKGLFEPCLQIARRSRSSD PVNSQLRAAGGHDSDDDDDFSGMSVEDAAVLPSKETPEKRASASILHSVVCFRLKGGK LAQNASRAYKDPSLINCFLQSDAPHSFAVGLALCEAIHKGWLRINLEAVQLVVDELNG LIGSYSYRYDEGAWSFAFAFLRCSASAWLLKADTKLFEACMKLIHFVAARVLHGTPIS WRLKYDLLQFLEDFTSYEKATQLWAIYPTEDTRNEEIAEKNPKINELWVLAARALRDD DIRIRVRASTMAGNAFYRPILGLSQHPEFYQSCSRQSQDEARIDHFVTDILWNVNCCV ASAAVRQTAVLMLCNLAIDTSVGLPYIQAGLSAAAGRLGLSSASTLCLPYCAGALVAQ AYTNTANSLSHILGFSDRNALHVACLKACGPYLLYQEQFNFYSRACESIHLPTEEVIR QNFPEIASVIMTLSWSVNQRDKNIKSGLVRLAALPGIDTVETAKELLEDHVDAVRAHV WELIDLNTTPEHIISLLKREKIESATFREIQPPDMESSPGSLASTALQPSASVDVVYD VSSSLATRHGTSSSINEMVFAAVLRLTFLINEAFLVSEQDRHLRALFCLIAVNAKAFR QKMILGTFLHEVLALLNQPNLSHTVFPMVKWGFTRLTTLAGTGPTNTTNLFLQLGRVW SVSHRAASVPRQQYLAHLLENWITSVLPGWETSTVKDSFLQAAALWPDDVRSRLDTPL KSPTLWELRDLSEANIVGTGSELCKQFKKLAEDDYTGKVAPAFMASAFWTIKSDMGRE WDPEDISAFQELLYMAGGEIHSAPPIGASPRQSTANGAHDVFLGISDFTVRLLDDHHH GVRAAAYRTLQGMKPHVSAAGIKTRLSPVEHNLYEILKPLVLAQSQKEYRLSDILDKS SWDSLLWNTEEWALDLARLLCNVASRQDPFYLSINPLLSSQVPLRHLLPYLVHAVLIC DMSNKASPKNSQILARYFEQVVQSSQASTETIQAVIDISLYLRQYQAPHASGKEDNEW LGIDLVTLSQAAIRCDSYVTALLFLELARDQRVPPDLTRSNIQRIMYDVYSNVDEPDG FYGIQNNDARDALSRRLKHEGSSWAALAWDGAIFNAASDGPSNILPVVRNLHDIGFSR LASSVVSQAEHATGAATEDPFFAELGWRTGNWTLPLSTEAKATPSGLLYEALSAVHKS RDLDTARVAINEAVQISIEELSKASREKMSSIESITTNLLCLRELQEWNRQQSEDSPN STVYRAGENFEDSGTRFEFSIAEKIIAVRMSLIHSTKHRESQYLIGDMESPGLKATVE AEKACHLQLCKLALKDGRMQTAINSITAAQRLEDTSRGSEETQDLFCEVLWKQGEHGL AIQLVETRIKEEKGSSRDLNGVVSLRDKLALWTSSARLRSAEEIKSTFEDAVSRVKKF QLRKSEHARLYYHFACFSHQQHISLANTSEFKRLEEREKRRQAGAIASQALGKGGRRQ SKLQKGEIHLAKFAEFKEAQADSTAFEDLKQRSAAYLRSAQTNYIKALCYSNEFDDTI MSLVTLWFENDQDQEANQALGQQISTVPSYKFIFLAPQITARLNQNDDKEEFAKSLHH VVLRVCHDHPYHILYQVITLAHAPSVNKADHGDPGSDEAAAGRAAAASNILEKLSSLD GKSLSSAAAKSMQQFVDIAVDWTLEPIIHDEDAEGVKKRSGSLEEDSILNKTPQNIPI ATKPPPIDCTCKYDNIALFSRYQNTFTIAGGISTPKVMTCYDNKGHKHTQLFKRDDGF RQDTVMEQVFERVNHLLQERTQSKRRHLRFRTYTVVAFPLNTGVIDFVPNTMPIGDWL RRAHTKYRPHDITASNFSKRLAEPRSPKQPKLDKSVLTTKLVEIFKTCKKSFRPVMRH FFTEKHRDPVAWLSMRTSYGRSVAVTSMTGWVLGIGDRHCSNILIDNITGELVHIDFG VAFEAGTLLPIAEHVPFRLTDDLVDALGITGVEGVMRRCSQLALQVLIDSSDVILTIL EVFKHDPLHSWSSAEKQVRAQGGGHDVLLAHEKSDRVLGKVREKLSKELSVEYRVNQL IQEARDVNNLATIYTGKSEVLSCVLLC L198_03849 MAQVIPPISLTHIFLLSPISPPRTRPTLPPPSLPVAPDLRRLPI FIINLHQTSLPSDSGAAPSVEDIQDRLPSICVDYLSHDWSEEDVWASWRNMTRHKHEI ANGVRLENASWRTWNKQRNKLKTISPETLNWLKDSDVTWLYGPLHTANVEPVRPLKVS STDDRLGIDRPNPDAKKPILKHRTLSEMLTIASPSSPGHEGSDGDHAQPSDDEDRPKL LLQTKSDTNILRNRSAVLARQKSPDRHHNHNFDKSPSDENGPTSPTDSQQNSSKRHIS FNTFVEQCIAVSDPTENQQHHQQQQSQSNSPSDSDDEMLEIKPSSLSSSSRSSRESRP SLSRNSSTGSSEHLTIAMIAPTMLKTGPGHNPDLPCVYAPPSEYQSPSDDRTDSYDFP SPQIDPSTGKWQEEDEDESGRDSGPYDYFHGPNLGGSEQKKGQAIPNNSGPYGNGRPP SGSPPSKYRIAVDQERNDASPAPSSNPSANVGSVTSPPQRGILKVRPPGQVPPPEPAS PPLAYFNYTPSAATGIGGMRGTSPYDQAGPTGSPVTSPGAEERGRGRTPSRERLHDRS SSRGTSTSSLSSSSSRSPTENTAGGVSLRKVQTPPQLDRVQEESHNSAGGDQTPRKGD GVKPKGIDLPEAQPVEPGSPSLATPAEPDEKLKDYVADRSSTPTPHSSPQITFRPLKD TSPASLPHTSTHNKARSPDLPKADPPTSVPSADKSNNSGARATIAHVGTNAQPTLSQP IEDDDGASIMGRAANIASTAKDLLGSLWYGQNEGQGQGQGLGQHPYQQQTQPLPGAQV HGGNARRRAGSS L198_03850 MSALVRPLQSLRPASRHLARCASTSVSPPSPESSTPTPADPQSS TSALPRPAFSVPYTPVPRLPNFPNTYNSPSHKHYNHPTPLFTPLPADQMPEGLGEQGP QVLENKLAAITGLSRTEIRGLCKFTVRSKKVQHMTKKGKMASQQAYVVVGSPERGLVG LGRGRGHSGATAQDDAFQKAVLSMDYVNKYESRTLWGEGKDLVGKWGAAKVHLRARPP GFGLMVPPMIHRVFTACGIKDASAMIVGSRNRPDVLKATIQVLHGGGNPSGFGTGLFG KKGPRENKGRGMRSKDEIERERGRYGVDVGRRV L198_03851 MPLTPMGSINGPSSMKHSNLPCPILASPLPPKLEPPDRTLIHRD TIFVYPRKGIELILCLADPQEEICVCSHGKRISIRSLKEGAVAAPKQLILEHSSKWGK GERREWERVRKLVEVYKRQTPQAKLYHALGNITVTCSSPPDIILSFQFTPLRMPASRA AVADARVRVTYSRIARELRVDTSSTKPSKTGQGTKGSYEKLRTKRILSLRSDGTVDSM EKKGSTKDWRPEEMEGLKRLWDSMEAWSRWEGAGSGPG L198_03852 MKLIAAYLLLQQGGNASPSAADIKALLETVGIEAEEDRLSKLIS ELEGKDINEVCRDQESKTGPLEYAGPEKEKKKDGLVIAEGASKLASVPSGGAASSASV GGAAAAAGGDAPAAEEKKEEAKEESDDDMGFGLFD L198_03853 MDVFTAPKCVMGIGTDVTGRIIYSDRYSDDRFEYRHVILPKQML KLIPKRYFSNDDTGLLRILEEDEWRGIGITQSLGWEHFEVHAPEPHILLFRRPLPGGA KR L198_03854 MACSSILSLLLLIAVYLVTPSLSRTTHQQPLAATYSFRILDKRL CAEIDELRERWGVKGITLGVAASPNFTKATKDGAGEDWTSEVYTFGKADRYGNDVDNR TLFGIASNSKVFVAISIGLLIEDKTYLPNGELLDWSTKIKDILPGWGLMDDYASTHAD LIDLLSMRSGLPRHDAAKGRVIVSTMRYLRPSAELRQAWQYNNFHYIALDLVIQTLTN RSLSDFVQSRIFDPVGLQDATYNATQARLSGHRSDGFVRLNRNLTQCTVELDISRAGI PHSCLGTPASIGWWVKDDGSFEAGPGGIIMSGRDMAKWTKELLDPRHLPAALVDKLTV TYASMYGKPQNPYVGIHTYGLGQWVYTYRGFTVHGHDGSLPGQHSTFIRLPEIGFGFF LAINDDDFGAPLHRAITNIILDKVLGLDYVDWEGQVQSLAFTIPEYPDVPTDPREAPA KVAGRYTDSGYGDLDLKQIPHPAGPFAAHILETVSLSLTSAPLNITGPIYLAEINKTF ASHIILTHFDGPLFNITLLATTETLSQADEVTGTVIKVEGYGTAVVQDAGVGIFGNIW GKGNLARESSVTEEQTVVKKAAEIWFDKVG L198_03855 MHALHQTLLPPSSIHHSLFLPHFTPSTIYPLPKPSSAFDTQDIQ IVGNLIVAGAQVLRVFEIREEKVTIKEESEFPEESGLGGGTEDVQMGELGDGFFDDGH ADRAPIQRATVKKLHLLTQHELHGTVTGLAPLRTIESSADGLDRLLVSFKDAKIALLE WSRGDIATVSLHTYERCPQMNTGDLQNYVPMLRADPLSRLAVLTLPEDSLAVLPVVQE QSELEMTNGFARDAPYSPSFVLSLSDVSANIKNVHDLLFLAGFHSPTLALLFSPLYTW SGRYQTVKDNFCLQIRTFDLSSGGSYPLLTSVSGLPSDALYLVASPAELGGIVVITST GLVHVDQSGRTTCASVNGWWSYVTSLKPQSSHNHLKMALEGSKSVFVGPYDLIITLQS GDVHQVRFEMEGRAVGSISVEEKSSIVPPPSSLTNAGEKTVFVGCAEGDSWLADVIRE EITREKKEDPREDIEVDWDEDLYGDINDPALDRASGARHEDGPAKLSLAPSDVLSAVG KIMDVEFGIAASDQGLRTYPQLVAVAGGSRNSTFNVFRRGIPITKRRRFNELTNSEGV WFLPIDRPSGQKFKDIPESERATMLLSSEGSATRVFALSTKPAPQQIGRLDGKTLTAA PFFQRSCVLRVSPSEVSLLDNNGKVIQYVSPKSDQAPIVGASISDPFVVIRRADDSVS FFVGDTVARTVSEVAIASEGKTCPPCQAVEVFSDTTGIYRTFEPSRMGPYEHLQSNVT ARVNGANSSRNTRQAQLTAEQIKHLQEQEPAITIDAPSTEAAINSSHGTQWLCLLTRK GEMQILSLPDMAVVLQSEGLSSSAPSFTDDIGERYTGEEKVEEGEEEDEIKQMVFCPI GKNTLRPHLLALHHSGRLNAYEAQPRFTVDASTQSRRSLAVRFKKVHTQLLPISGGVR TTNTAETRLPYSIIPFSDIEGLTGAFITGEKPQWVISTEAHPLRAFALKQAAMAFGRT THLGGKGEYFIRIEDGSFICYLPPTLNTEFAIPCDRYEMERVYTHITFDPTSAHYVGA SSIEVPFQAYDEEGEIQLGPEGEALIPPTNQRSTLELFSQGSQPWRVIDGHEFDQNEE VMSMESVTLESIGAPGGYRDFIAVGTGFNFGEDRATRGNTYVFEIVESAGAQNLPGWK LRLRHKDPARHPVNAVANINGYLLNTNGPKLYVKGLDDDKQLMGLAFLDVQLYATTLK VFKNFILVGDLCKSFWFVSLQENPYKFSTISKDLQGVSVVTTDFLVHDGQVTFISSDR NGDIRMLEFDPTDPDSLNGERLMLKTEYHAGSIITGSKVIARRKTADEEFAPQTQIIY ATADGGLTTMVSVKDARFKRLQLVSDQLVRNAQHVAGLNPRAFRTVRNDLLPRPLSKG ILDGQLLNHFALQPIGRQQEMMRQIGTDAVTVASDLAALGGFW L198_03856 MPAEDHQSASPASKAFASSLARYAYVASSSSGTTSEDTSAHLKR SVPGRPPKHSNVEERKERTPKRSMSASPSKKAVKKPRGYAGPEVYEHLRPVNDLLSDD LDCKQSASLGHHFAHPTNKFWRLFLKVVAGLTSRLVPPTEDHLVIGEFNFGLTNLVDR PTSEQSELSTLEMRLNTYQLLLKFVRYHPSIVCFVGKKIWDVFESVVGKTVFYGGEVE TVMKAETGMSQEGTERKVSILTPQKRPKMEATDDDCDNDIAMPSEIIATPRKGRLNSA LSPSLISPRKRKGKETKIPFSFSKPQSIRLPHALSPGRQREYTYFFVVPSTSGLERTP LLEQVSNFRALKEAVDTIKQGKEPAGNYLDVDVSGVEKTVEDMRRGALLKGTVS L198_03857 MLSQAFPRLAARVSRPIQPFAKARFSSTSAPAQPFLASRSAVLA SSLAAAGSIAWYGHLYGLPFLPEASANSAGENGLHPTSWPFEHYGAFETFNHSAIRRG YQVYREVCAACHSLDRIAWRNLVAVSHTVDEAKAMAEEVEYEDGPNDEGEMFQRPGKL ADYMPAPYPNEEAARAGNGGGLPPDLSLIIKARHGGADYVYSLLTGYCDPPAGVKLAE GMNYNPYFPGGGIAMSRVLFDGLVEYDDGTPATTSQMAKDVTTFLSWAAEPEHDLRKK MGLQAMIVLSTLTAISIYIKRFKWAYLKTRKIVYEPPKPSRHHAL L198_03858 MPLDVVPENDLDREPYNHRTPSTHQQIEAWVSHLGIETSRPGTP TAPTAPTAPGTPVHTIHGLRKKQAGHSGPLKKVLVANRGEIAIRVFRTAHELAMSTVA IYSHEDRMGAHRYKSDESYLVGKGLSPVAAYLDQDDIVRLAVEHGVDMVHPGQVAFNA EFAKKVEDAGIAFIGPRPETIDALGDKTKARSLAIRTGVPVVPGTPGAVESYELAAEF IREYGFPVIIKAAMGGGGRGMRVVRDQESFKESFERAVSEAKAAFGDGTVFIERFLDR PRHIEVQLLADAEGNCVHLFERDCSVQRRHQKVVEVAPAPHLDEPIRQAILSDALKLA SAVKYRNAGTAEFLVDQQGRHYFIEINPRIQVEHTITEEITGIDIVAAQIQIAAGVTL AQLGLTQEHIHRRGFAIQCRITTEDAAAGFQPDTGKIEVYRSAGGNGVRLDASSGYAG AQITPHYDSLLVKCSVSGATYEVARRKMLRSLVEFRIRGVKTNIPFLIRLLTHEVFES GKTWTTFIDDTPDLFKLVLSANRAQKLLAYLGDIAVNGSSIKGQSGEPGLKTEAIIPT IVDAQGKPCDTSKPCLTGWRNIIVEQGPEAFAKAIRNYKGCLIMDTTWRDAHQSLLAT RLRTVDMANIARETSHALQNAYSLECWGGATFDVAMRFLYEDPWARLRTLRKLVPNIP LQALVRGANAVGYTSYPDNAIYDFSKKAVEAGLDIFRVFDSLNYVENLKIGIDAAKKA GGVVEGTICYSGDVANPKKTKYTLQYYLDLTDQLVNEGIHVLGIKDMAGLLKPEAAKM LIGGIRKAHPDLPIHVHSHDTAGIAAASMIACAAAGADVVDVAIDDLSGLTSQPAMGA VVGALEQSGLGTGISHENIQALNQYWSQIRKLYQCFEANVRASDSGVFDHEMPGGQYT NLQFQASSLGLGTQWLDIKKKYIEANKLCGDIVKVTPSSKVVGDFAQFMVSNNLSAQD VYDRAGTLDFPSSVVEFFQGYLGQPYGGFPEPLRSQIIRDKPRIDERPGLNMPPLDFK KIKIELREKYGPHISDFDVASYYMYPKVFEEFQGFVAKYGDLSVVPTRYFLAKPEINE ELSISIEPGKVLTIKLLAIGPLDQSRGTRECFFELNGETRAVVINDTNAAIEHVSREK ASSDPGSVGSPMSGVVVDVRVKEGQAVKAGDPLCVLSAMKMESVVSSPVSGTVKRVLV GENDSIGQGDLVVEVTH L198_03859 MDQTIDVSQTRPVWYLTPLPLSRVNPSAIRPRLPVRGHVPSLHS LCLNTISVSFDLFEPSSFDGMSPLLLKRIFSRVRSDREYEDHQEEMALSLNPDEATIW AYNALLQGRDAGSFNLGLPLKTTLAHLRPNKYAPDAQHPLNLLPSLFQNPKSIVNTSL LTSLTLDAMDDLIDDQSVQALRYCTQLTALWMKGCKVTDSGLRLLASALSLPGNRDSR DGMGMWRLRALYLAGCYGVSDRSAKVFAKWPGLVTLDIRDTSCTEVSMDVLNRANQTL FAGQIPDFQPCTDSLVPLFASNLPAADTISNLCLTLIKLPKTRPDLVTLNVTSSSKPI HPHFLPFITPSTFSSNQPSHHSVYLDGEDRVFGQDLTAIHTRSVAPEPRDPASSFDFE ARERNKAPENKDKKAATPASERAEKRQVQCDGNQGWAEGVDRAERFYRYTLPAANAAE AAGSSEQRKADARARGEGDRRLMMVRMVPETWDQLSYAKVAMPIQAAIGGKTQVAHKR RGLEDILSATQRAAKGGQSKGHSTETRTIVERDSSPVYAGSSSPSASHPPSESDSGGI VRHRQPHRVLDAGGRTISDVPSSEPSGQYVYKAPPTNKVLSTMYRKKKSARPAPPPPS KPSPFAPHSRHVASLLGSSSKSTPKKTSSHSSPSLESKTVPSDVGPSPTPFTPLPPKP HLNPFAPKRPTMLASSSPTSATDADGLSFDSEPGKKRTFGGVQGGDTKRRGMKMFSGK PTGMLLKRGGT L198_03860 MSASSTKQRHYSALASRIRVLQSNLAETEGLTEMMAYQLDATAK LGIHCGSQFMAVSRLLDKELEAVQEASEQPEGQSTTNPPESPL L198_03861 MKWNIGPPAPVPVDHPAPASSSNIPASCPMHQSTPPPPPDAPAP AACPIKHDALDPNTHMPANLSLSRQPNQQLDLPVERTASTIPRPASGSPGGEAYGTGN TWDYPSPQQFYNALVRKGWETPEDSVEVMVAIHNFLNERAWDEVMKWEKRLPGGENTQ LVKFQGRPGELSPKARFHLWAGQLFPSKFNSEPPFDRHDWVVKRTVQSASNSNPSVPP ETYDARYVIDYYSAPPDEDGNPVFSLDVRPALDSFEAVKQRVVVGVEEWWRGQEVD L198_03862 MGHLLSLLTSLLSAAASRFLAAALLLGTAAYLYFWPIRRYFSHH RNLPGPYSSSVFWGALPYVYSFPHPTTAYQNWLTTYGPTFQYPILLGNSRIITADLAA ISYILSHPATFPKPVHVRAALREMIGDGLVSEEGDVHKRTRKILNPSLGPAAVRKMVP IFYEKSRELAERLSSDLDDQRDNRINILWYISRATLDMMGVAQFDHDFHALRGEAEEM VDAYTGMFQVGTGVSVMTVLQFLLPVFKRIPTNRTAVLDRSLRTARKFGRKIIREKRD NLRSFDLEKDHDQDIGLLASMREVQSLKDITDKVTVKNQAASCPEERLTDEEILDQVN TFMLAGYETTSTALCWCIYLLAKHPEIQDRLRQELLEISEQQSDMQVVAFGSWALLMM VASDTLNALPYLDAVIKESLRVSAPVPLALREASQDISIPLSTPITGKDGNLMDRVEV GKGTTILMPISNANTQFSIWGPRAEEFLPSRWLNPTLETYPESAKSVPGVYSNLLTFW GGSRSCIGFRFALAEIKVMLFVLIKSFRFEELENKPLIEKKFS L198_03863 MAAAASTSKPSELTAPVKTAHAFDKNTLDALLARRFFYAPAFEI YGGVAGLYDFGPTGSALQANILDAWRKHYIIEEDMLELDTTIMTLAEVLKTSGHVDKF ADWMVKDTKTGEIFRADHLVEAVIEARLKGDKEARGVKEEVKKEEDDKKKKKKKAVKS EAIKLEDDVVAEYETLLAQIDNFTGPQLGELCRKHKITNPVTGNEVSEPVEFNLMFES NIGPTGQIKGYLRPETAQGHFVNFARLLEFNNGKVPFASAQIGRSFRNEIAPRQGLLR VREFTMAEIEHYVDPLDKRHARFNEVKDVVLTLLPKDVQSAGKTDLTQKTVGDAVAEG IVDNETLGYFLGRTQLFLTKIGIDPTRLRCRQHMENEMAHYAADCWDFEIQSSYGWVE CVGCADRSAYDLTVHSVRTKQPLRVQQRLDEPRIVDKLEITFDAKAFGMKFKKDATMI KEFLLSLEKDKLQCIKDELADKQTSEVQCPDGKSYEINSDLVKINPITVTEHMREFTP NVIEPSFGIGRILYSLLEHTYWAREQDAARGVLSLPSIVAPIKCLIVSISQDATLRNK IHEISRQMRKRGIASRVDDSSATIGKKYARNDELGTPFGCTVDFATIQNGTMTLRERD STLQLIGSIEDVVSVVDQLVKGTLDWEGASQKLQSYSGVQDVEA L198_03864 MRLRPSEQQWIFPKSALSRTPSHADGHSLESELRSRRECIRDIR SLLLRTIQETNGLNVDDSKRTHKLAQHFRGLLTVCATLVHRFYMRRSLKDFGIPVVAG TILWMASKIDESSLKLRYIVNNCLHKYHPFEEGYTPWIIKDVERAPSAGHSEFENSIV NTEQIALEALCFDLDIEQPWVILWRSVKGLDELSGVEDRKSGEKANGHGSGVKVSEAL VTALGWPILSEISLSPMPILYPASTIAFATFAIIISSIESVPLCQGLSAAAELGDKFH LDVRFSEEGPVGNDLEMISGCLESFREYVKMELISFDYVRYILPDPEGGLSKPAKRRF GPNSTVGEASSDGTTEKGKDEGKEQSGSSQEQAQRVERTKRDAEEGEMASRSQAVIGG AEHSTPTPQSRPATPAPPDSAV L198_03865 MIHAVLIFNTIGKPRLSKFFTPLPPLVQQSLISQIFSLIQDRPA GVCNFLDAPDLVFPTPQSLKDTKERERSSVGEKMAVAEDDDSRVIYRHYATLYFVFVV DGAESELGILDLIQVFVESLDRAFENVCELDLIFHFDEVHHVLSEIIQGGLVLETNIN EISACVRGTTKNRKESATASNTLIPSVLSAPGGRGGSADGPRRWLAAMGV L198_03866 MSLSAPMSMHYTPPQPAHRCLKRSPEDSGESDAEADTLPRHPRA HTKPPMTHDVDIETDKESEQGCPERSAFLRLPIEIIDKVLTSFGDHDNISLARSAMTC QEMRAHIYLNPDQSIWRDIFLQNYDDSRRAAAPWENAHTEEIDWRQKVKDREFVFRVL DLWQEEKWDEALRHLDLICDTLLDMYLDLPSTAEDECQNQQDSPRPYRPHASMNTPIL TYLCSSPLFIHLYRNHRLYPQSPGHPRLRPLAGARQIPQWSVRRQIRTHTNPKLARLH TLLPPHFDENDEADREWRGFMRELVYSIKGFSEANDHGPFHADGTVDWVICDAIGSVM MSNADEVMDNPEISEHWRGSIVPRSYGVEPTRGWGFSHVERPLELPSNQVWDWAGVEG TWEGSYAFIDYTDWISLNEPQLILQRGRVAQLDLTRYHEAVGDLMRLRLVLDNPTDPP THHLPHIASNLPVSNALPPIRFVGASLSSNDVEHPSPPLGFVRGTVQLTTDNPPQVRW TLVIRYGGADRWTLEAVHMGGRGSKRGFFGIWTDAAKEAHTPNGPLWYWKS L198_03867 MAPRASNANKKGKGRATQAQPESSDVEDEEEEVPSGALSNKDIE SRAGMLVRFALFQEYRRVPIRRADAAKEVVPNNKRSFKAVLVRAQEILRETFGCEMVE LRKKNEGAAAPDASVRAQQSQAAATQSKKNKGKGRARPSNGGLNAVEEEEEEDEEDDE PAATQRTKETGSGVYILQSTLPSSIIDFMNNPRPLPQETQDQVEEAEDSGALLPWDKA DGGVIGHVGLMGLRTLILSLIMGLGRATSDDNLHALLKRLNLHRETVLPYSSKDNTGD LLTLDKFLDQLARTRYLEKIDIPGHGGGREGASCEWKWGARAEVEFSTKAAAKFIEEI MIGKEGESDDEEEEGQPRRGANSRARGEEEPEETNAHKRRKLKEDLVKASGLPLTGRD L198_03868 MDPNYIPALTQLLEATIAPDTALIKAATTQLNTQFYKDPACIPA LYEISCTNENPSIRQLAAVELRKRISSRDGKMWKKNPEQLRQQIKDSLLQRLTGETSP IVRHSQAQAVAAIADLELATQPSQWPTLMPGLYQAARSSDKTHRETAIYVLFSILDTV AENFQDHLASLFETFSVSLVDPESAEVRVTTLRALAKVAEYIEVEDKHDIKAFQDLIV PMLKVLEKAIQDGDDEGVKHGYDAFETFLILEAPLVSKHVGDLVQFFLGVAGNKDVED EMRCGALNVLSWVIRYKKSKVQALGLATPIIEALLPVGTEDDPEDVDEDSPSRLAFRT LDTLAQVLPPQQVFPVLTQQLQVYMAGDASMRKSALMAFGVSVEGCSEYIRPHVDQLW PVIESGLQDPEVIVRKAACIALGCLCEWLAEECATRHSVIVPILFNLIVEPATQKNAC TCLDSYLEILGDDIVNYLTLLMERLLVLLENGIIAVKITVTGAIGSAAHAAKEKFVPY FDQTIQRLVPFLELQESDEQSDLRGVATDTIGTIADAVGADVFRPYFQPLMKASFEAL TMDNSRLRESSFIFFGIMAQVFTNDFAQYLPQCVPALIASCQQSETAEELDEDGSNPA QLIDAFNAAASGSKGDSTWEDEDDETDINELDDMFSKVNSAVAIEKEVASDTIGELFS ATKAAFMPYVEETVQVLLDLLDHYYEGIRKSAVGALFQFVKTMYELSDAPEWTPGAKV AVPLHDHVKSIAQLVLPKIFETWKTEDDQSVVIFMCAELADTMNKCGPAIIEGYLDEV ATFAIEILEKKSLCQQDPDGDDENSADADSSEYEAALVSNAADVFGALATVLGPDFQQ AFGQVLPLIAKYTEPKRTNTERSMAIGSLGEIIVGLKGGVTQFTEPLFQVISRGIIDE DPDVRSNAAFASGVLIEHSEADLSSHYSALLQALQPLFTPPEHAPPALYNARDNAAGA VARMISKNAAALPLGDVVGAIASVLPLRFDPLENGPVFRALFQVYRTQPQLITAHIDT FLQAFAYILLDPNHVDDTTDEVKAELGALVEHLKSQVPDKVAAAGFP L198_03869 MPSQPIHSSYAPECAGARVGDHIETYEQPLPQQLASIEAALALM VVPDSEDLDQQQHNRFVHALTKSLPYPQFHHHSPSRQTSATFAHNPISIDCSPFDLGR SNSLHHPTATQPLTHATSQVISPAFHALSQPDIVVRFVNLPQRSVCLHRLYGVGVVKM IQLDELGQACSLLEANARFRQGVALALLHLATAFQIDGAWLGISLVGSNFSRIVMLDD RHAVVETSPTSAHAYPAFQSVAAYFQSHDYTSLGRNLLAVDQISSDNPCNIDPDSYLF FADFYTVLFAVIRHSIVEQALSPLDKSTTMQNLFGDILNDIREMPRAIAVDTRRERKD VTTSSLAKRKGFTHITELSGDGRCDDEIHRAESHGSSPHGSGFWTKEAIGRLDKHLRD KVSPSVAKWQQGVAEAGHPTLSVDPAEFFYTEASARQDLWPGPPEYCHGRDPYDMITA LDIRILPVDTDTFERFVARRMEQAGVLYSDSLE L198_03870 MNPSYFLPNAYDDGQGPSSRQYDDGSASESDASLSPEPLYPHNP NEHYSHSQPHHFPSHPQQPHEEQRPPLQTGPMVAPHQDLDSFLESFWTRQMDTVEGET PDWKSYNLPLARIKKVMKSDEEVKMISAEAPIMFSKACEIFISELTCRAWLVAESNKR RTLQKSDVAAAIAYSDMFDFLIDIIPRDDGGNGGTGAAGPSGNGQGSGTQTGGQGSVA QEDGVEEEEGEGEPYEGTEGGEEGEDLYGEYVQDE L198_03871 MFSSAPNPYDELVLKATDENLASEDWALNMDVCDKVSGEGENGA RLAIAALQKRLSHRNPNVQIYALELANVLAQNCGNELLGELSSRNWTQALDRLANDRT TAAPVKKKALVYIKEWARQFEATGNPNLGLMGELYDQLRNKSESSAHVCTMTPDGAEA RRREEEEELQRVLELSKQDKGGRSTFTYQPSGSAGASSSSGPSGAASQPPIPAPSQPH AQAPTQSQYQQQPAIQAPAHSHYPPQPQRIQSPQPLESTPPQIDINTATRVRAIYPFT SAEVGELDFDRGDVIKVLDRGFKEWWRGACNGKIGIFPVTYVEGLPELSTRELQEEAQ EEARVFASLGLVDQLLQTLKGIDPARGDRLDDRPEIEEMYQASVALQGQINALIKKYS DQKAELDHMNSNFIRAIRQYEDLRNPPSLPPPVVGQGQVYDYAPQPQPQLHTQNSYHG QQPQQAAHGQTPFPADPSTQYPSAGYPQQSASPASGAQQQPRLQQQQYAPQHDPAQQG LYYQQGNSTNSVNRVASASAPVPAAGSPGQQTQGYQQGAPPQAAPPYPPHTPPSRTTT EPGVAGVGSGEHQQWDAYHQQQGEPHQQSQQYYHQIPAYGQAPQSAGYGMPDGRSYAH VAPAQIGAEGVTSGINRMSVNGP L198_03872 MALPGTETIRFRRPTAGPNAVAQSTQAPKKDEFGSMAPVGFKAR HQGLLQDQSGRNQRGPFVPSLSLAVRLLLLIRTAGAMYNVIADCDEVFNFFEPLHYFQ YNHGFQTWELSPEFAVRSWAYILLHWPMAHLGPLLLRLGKRPAFFALRISLGAICSFS EAKFYRTVVETVNERVGRYLLFIMMLSAGMSFASVSFLPSSFTMYTTMLASTFWFQPA TSTPQGVSRTYRATFFYALGAIVGWPFSAALGIPPVFEQLFLSGGEIVPAAAVSQWRT KRWDTMAKATAFAACIAIPVYLIDSWAYGKSVLPTLNIITYNIFSSSGSPDLYGTSPS TFYLANLFLNFNFFLPLALISLPALAVTYKYDFRRLGKSQRKPVEGETSPYLLLATRL APFYIWGAILTAQAHKEERFFFPAYPLLCFNAAVSIYLIRGWFEQGYVYLTRSPYKAS KSQLFSNFTLFAILFPSIISLGRIGAMYQFYHAPFDIILHFQYETLPSVLSELGYEPI APPKDYQKYGNEEFDIQWDLSPLQDLEEPITLCYGSEWHRFPGSYLIPEGIQTYWIQS EFDGMMPRKWEESAAKDGRWPRSETRVTREGRFNGENKASAEPGNFVDPSECTYLVAL SLPSATPSELEPDWVVHPDFEAEFCTPFLDAASSKWWSRLIWLPGGLLESGRVYGNYC LMRRSGK L198_03873 MRVPFFSPAPPSSTESSEAGPSTPTPAFTPAASAATAPVVNRFE HMLADETSIQEAQYPTYDEVPGCMRLLDEFLMCYALVPQLRSFYRRGEMSDCTWKFQD FKYCMSLKSSDPEEKRQLWIKRRAEWWAQRRLNRSSEDVWDLRTERLENFPPLAPEDV SSDTTTA L198_03874 MAHLQAPTRINPEEVIPLSPPIRPPFVQYSSSPLPPTPHTPYSP APLQQRPTSMPLRPYLALLPRIILTFCSPCLLPMILTIAHLIQNRSSTASLASSLKSS LLSACSGLAKGAASVQAIPRYLAMQTNQEAVRATQATILAVGTMLMDAIQIIEAVVNF IVDTYRSLLLCTIELAISDSVTSTLNSVRTDIQDDISSANNIIQSAVSGINTVTNLVN VNLTVPSFTIPSLSFLANVTIPTTFEDGLLTLNSSLPTLNDLKEKMNEIIDTPFELLI SEINSTRLEMAASFNSSILPVPSLSSLSASSGNDLSNELCSDLDTSLIDDTAKALHKL SSIAIGLMFLLLFLVWAALAIWEWRKWKIMKDTVETIQEEWQHDGRSDAWRMVAIVEH PVLERYSSHVLNRVSKSPRTRTNIRWFMSYLAHPTCLALLFISIIGFLSIQFQLLALN AIKSNADSNANATVTASSNALVAKLNAAALNSSQEYADSYNTAIKEFEDRINNELFGS WVNTTAVTLNTTLVQFYDDVESILNTTFGDTILYNPINTFMYCILGSKITNLEKGLTW ISEHAFVDLPTLSSDVLLLSNGSMEEIATPIASAAVGSSDDDDDDGVVGTLITHFESA LKVERVFYGIMLAFWLLLFLIGLIIVIWYSGGKDKYMALRGMPPTGPDGFGQSGQDIK QQPKWKGWMTNDHPIYESYAEKQFRGTSPSPGGAPNLVVPSYDLPHSNDEKSFFRHVP DSRHGDPTLGRQSSGVRNTLASLAAPGQSFLKLTGRKLTGTPTPAEDEVPFVAGQSSE LYSRDHANSPFPRQNSVSSDRPNSPQPFWVDKFYGAVEGVRAFFPTRGQKHGAALRRS ASQRTEKSFGASQVPTARTPRYDWANEAKKKNEPEWTMVDAELYGRDIDGEPGRYPAI HSHEESNASDGAHAVYPRPMSRAPTLGEGMSALLNTPFRDQPPLPAGEQHDSVDYLEE YNSTHSEHEHFRDVASPTSSSMSYFAAEPQLASASKVQVDLGTGSGHATTALAEIMRE LQERRDREGPFSDPHGGNT L198_03875 MPSFLVNKVALATLAGLAALPSALAHGHVTSWQIGDTTYAGFNP SNSAELGSTPERATTNSDQGYADYTTDVVATGGTATSSDLVANATAGDTVIVTWNTWP ESHKGPVTQWMAHCPDDLCAGTAGADMDWFKISQSSYDESSDSWPTDYIAKNLIWTFT LPTNLAAGQYLLRHELLAMHTTGAVSHFLPQFYPVAIEMQLESSGSTTPSPTGTFPDM YNSDDDMALTQNIYGGSALNAEFVVPGVAVYDGSDATVSNWDSTGLENDSTSSSSATA SSAVSATTSSAGASATTSSAETLVETSAAESSIQSSAVETSAVETSAIETSAVETSAA ATTTNAVSEAATSTCASASATASGASGTNLQTYTGAIDGISAPSVTADGDQYTCNGNT FNSKSDALTRSCDVQRNQCLDSANSKANDQVSAAMTACETQATECDASVSSARRRDMV IGRYAKRAF L198_03876 MSAQSVSDIPDIAHGFNPYSDNGGSILSICGADFAMIAGDTRQS EGYSIQTRYAPKVWQLTDKAVLATNGFAADGNNFVKRVKQRLEWYEHAHQKQMSIKSI ARMIQTMLYGKRFFPYYVYNILGGIEEDGSGAVYSFDPVGSYEREACRAAGAAQSLIQ PFLDNQIYFKNQQPAPGAGPFVPGNLPLSTVLSVVVDSFSSATERHIEVGDGLEIFVV MAAGRSTDDLLGEGRLAAGMEVEELHPLGQGGGERTFLVRCPLKRD L198_03877 MPGYQKPLLLANDGHSTNKPQPQAMPPQRQPQQHPTQSAHPEQG PVAHGEWVQPPDHVVRSRALRRFWTAFFWAWLIWTVIGLIIGGGVSDVENNRHWHKHQ KWDKPPGSY L198_03878 MFSGCHAGGLHLRGFLGVAYLRWMDPSFALFIKGIGLVIFSTLR ATIPGKGGIACLFMIYTSSSRKVCYPVIFSSLWGCPVVPSIQGAVSDAISTWRSFIIP LTGFVPLLCYGGVMWVINSKKYHGRLTIWTSQTNVNVEESTGQYTPEVGARDDIEKSA FLEHNEKPVVESRERV L198_03879 MPTTSIGPGPAAVDYTSLSKSQLYFSFFLVTSLFFLWRLSYGLL DVLNKHFLTVFGLTKTKSTLLQFAYFVSYLAVAPPMGVFMRRYGYKKGIHIGLGLFSI GAVLFCPAAKFEQYSYGVFVAFTLVSASGIATLETAANSYITILGPPSHAVMRLTLAQ AFNGIATVISPQIAANTFFNGDNQNNLGNTVQYLYLAFACFSAVLNVGTAFATLLEVK QAVSEDQEERVAKQSIWKQYHTLFGAAAQSFYVGAQVAVTSFTIFYITEQPGICHT L198_03880 MSAPEVFQLSLGPLTGVAFSPDRTQVAVSPNSNEVHIYEKKGSE WELKDVLAEHDKLITAISWAPNSNRIVTCSQDRNAYVWTPTAIGWKPALVLLRISRAA TCVKWSPKEDKFAVGSGARTIAVCYFDQEDNWWVSKHVKKPLRSTVLSLDWHPNNVLL AAGTAESKAYVFSAYIKGLDAKPQPTVWGERLPFGTICGEFKSSDGGWVHNVAFSPSG DTLAFVAHDASISVVYPSSPGSPPSAHVVLRLPSLPFTVLTFTSESTLIAAGHDCQPM VFTGSPEGWAFSHSLDDPSSGGSRPLTPSGTGSRSGGVGRLGNNEAFNLFKAADSKGQ RGPASPGAPTSAGLTLVGSDGLLTTVHQNTITWLDAYEWAANGDVAKVSTAGKDGRLV VWPVTAKGGAGGLAGRMGGLQV L198_03881 MSRPVSKKPLLKPSSSNRLGQSSSKLAGPRKIAGGSAGSKGVDE GYLYIAGVKDPSKRVTEYRTEQDICPICHTDRQFNKNLRLLVSPCYHKMCESCVDRLF ALGPEKCPVCNRMLRKINFAHQTFEDLKVEKEVSVRRRMSDIFNKRRGDFDSDKEYDD YLEKVEDYTFNLLNDVDVAQTEKYIEDWQRDNRKDIDENKLKTSEEFMTQSKREETDR RVREERMRKMEEAERIEKIEVERIRGEITDALSRGEKRRARDIELKARDAKRLREEAL FEYVPPSLLASMIRTDTQHSPLSPSYNGPYVPIPYSDPDHASWVNWYDPQPEYVDRRS RVMFMKEDKEGKVRGGGYDLNLFWEMEVRSAVEAVGVEPLV L198_03882 MSMFFKDNNPTPRRTFTSPNNKKFAITPVKAVAQTSLSHASPLF RIPSFDHPSATAGAGPSQRQSGSNLRDHGGRISPTKKIARTFGSASTPVLTIASGRAE IEHRRYGSSDLERVLDRVFAKHDKASQDLGGRVPVFSKPKGSHRTEFKTTHGHHTKAW STGSTASGLFPNFAIGASGRGDDQSDVFSEILTPNTLRSPTTVFTDLGTLSEYDPSTA GYIAPDPLHGRMFQGVKPRAKLSWVQQYDNMLPAMGTRSFEEGIHPEGLVEENTEVVA RIVDLSARVQSMITEGEGALRSAPPILPPTSSHSPDENVLDRTVGTSVEEADDTGWDL CDALDLAISIGIAKRIELDFAAEAVLEADPRDGECIATAKRRKDSQCLGERISHRQTE TEMEGHVNAVGLVRSRSLGLLRYGGVDVM L198_03883 MLPLALALALLPALPLALPASAPDPLRVPLTSFHSRQYSEDLSE RQSWLLGQAKSLRTKYEPHLGERGQELLRRDRIEASVKRDQRELGRRATGSVSLTDVG LDASYAGTVSIGTPSQDFFVIMDTGSSDLWVAGSTCTADFCTETTTFDTSNSSTFSTS SEAFDITYGSGDANGDLATDTVSMGGFSVSDQTFGVVTSTSADLISYPLSGLMGLAFR SIASSGAMPFWQSLASGGSWDTAEFGVYLNRYRGDNSASQIESDGGEILFGGTDSSMY NGSFNYISIDEADEDYWRIPLEALTVQGSDVTITSSTSSGSSPQCAIDTGTTLIGVPF TVAEAIYAEIDGAEAMSASSGYSGYYQYPCSSTVSVSLQYGGLSYSISDADMNLGSFT SDFSMCTGAFFAMDLSARSPVQWIVGVSFMKNVYTTFRYDPTAIGFAALTGGNSVSTG NSSATTTSGGSTPGSGSGSGTSSSSAATKNSVQWCFAALGVLGAYVML L198_03884 MDAAAVTNKVRDLNAANHAGKPDDVLVILNTLKSQVVPTEDLLR SSKAGVAVGKLRQHPTPAVSSLAKEIVKKWRDAVEEKKKRKRAEGDDGKDVKKEKEDG GAKRVKAEAGSSAASHSRSTPASTSTPDIKQTSPPARQPLSTIDSSRTTPRTAKSDGI AASLKADASYDGGRADDVRDKCVILIYDALALDSNAESKILQERARGIERAAHKAMNN STGNDYRAKMRSLYLNLKDKGNPALRNEIVLGDVTPETVASMTKDEMASESVRMLNQK LATDNLFKAKAVGETQAETDAFKCGRCQQRKCTYYQMQTRSADEPMTVSVFFTQKVMA DR L198_03885 MSLQSLRASSSRLPRSTRLFSTSSTLLQKSPLAASAEIPTPAAL LEKIGRNADKKLTPFAETWESLNQVWVRPKKMAESGLSTKEKRYIVCQPLWAFSRYSQ GSSPSAFIRPPKPAKKFRGWGPKIQHGVRVGGEE L198_03886 MPPRTYLLSRTLDPVLGAFTGVFAYYLNETNPRTAPPPGHTLKE LVSWRWTESKQLREAREKEELEEWEKVTRQLGVVTEPAATQAVDAKI L198_03887 MPPRTSPGDGGPLATPPPSTDKVEMTATLNNHTDSIIPTPGGVV RVRPFRSSSNAQLKAVLSFTPRVSALDRHNTSSQTDQFRGFFVLFWIGLALLFLRTTI ESWETNRTPLSWTFGRLITGDALVLAISDGIMVLSMFLCVPFVKALQYGWFDYNWTGS IIQHVFQSLYLAIAVWWGYHRQWYWVQSGFLVLREYPDTMQMHSYMAHNGMLATVSRR LQKEKRRLEQVIAEFPGGKEALLREAGARKADLEAEEAEHEGSREASIPLGTPAAEMT PVEVPLPSAGYEDPSALPKKAQATVSELKSTGTSTAKESASRSEARKRLHIDPPKQRP YAKHALPSPRPDLPLGTSLEPSHTTSPHSLSPPGALAWSSNEEVALLATNIDAMQEEL KSNGDLGVVWPQNVTYKHFWEFMLFPTLVYQLEYPRTETMRPLVVLEKIVATFGTFSL IYTITEHYIMPHLPKEGDSLSLIKSFISLALPMMINYLLIFYIIFECVCTGFAELSYF ADREFYQDWWNSTSWDQFSRKWNKPVHTFLLRHVYASTMSSLQLSRTSAAFVTFLLSA LCHELVMAVVTKKIRPYLFLMQMAQLPMIALGRLPVVKRNKTIGNIVFWIGLMMGFPL LAICYLVW L198_03888 MLASPPTVPASSTYIPADLAPIPLPSSLLSERVLARSPYAPALS SSPASSQSVNFASPEHAFQYASLERHHTPITYKQDFGSSPMQTAYPSSPPQAYGITGG LIVSEPASMLQDEQGGTLLPTFLPRTSRPAARPFSPSAPSPPWPRRVMSTSVIDPAVE DDQHVHGAPESARHEANTPSLSHSTTSTSSAATHPPSSHPPYGYPHYTPPYTTPSYPA DYPYHASPCGAPAYNQQYPQPSPWAMSSAVHPMAHRQQPAQYPTWGAVPPATTMPSKQ DEPILGPGELPAPRPPMSYAALIGEALLLAAPPHQLYVSEISDSIKKRYPYYRQNPTK IYNGVRHQTSMCKAFVKLPRPYGCQSASARKWGIRAGCESWFAGGGYHPPNHAATTNK SAKGGKAKSTARSKQLAIGTNGDLKKLPPGFPSPDSSDGPSSGPAYDGSSGAVAPYQQ PYGQQFSSPQTTSHLPPGYHYVPVNPAPGHPQPSQPIYVPVWGPYAAPNPPQYAPHGY EAGSQSPEMWRRGTDESERHHPHHASSYYEPKVTHHGSSYDEPKAMPHIAGSPAGSQD SYHESMHSSQPSPEETL L198_03889 MSNPGQPTGDSAMGPPAFQSATSFLKSTETLAGPGAASFRNASG GQPQVIDSEDSSSDTQPQCGPSAPPVQPQAGSSRPINRPASSKSSIVYNSVQRRNPVL GAIRSVGIEIGDIPADYQVGAHNGVLFLRQCSLKYHRLHPEYIHQRIEKMKNMYNLRI ILVLCDVNEHQQSLRELSKIAIINDFTVFVAWSNEEIAQYLVTFKQFEHKSADMLKER VQQTYHDQLQHVLTSGKKVNKTDADNLAAEFGSLDNMSRKSAKALSNVKGLGATKVTS LVDAFNKPFLVGGLKRPEKTTSPRRQQGREESIYVGSSPDPSVAQDGVNGADGEMDEA VVWRDPLDDDDEEEAPSPKRTRVDDV L198_03890 MAAATSTKSTAKKTGKENAAPRGKSRALDEIASEDDEIVQEKKS SKSKNKRPLQEADDEGDVRELKKQLATVSAERNRLRSQKDTFAKQFEELSRLRSTDAE SLLEKYKEKVKIQTEAQSKAIDDSTKLNDSLMSRVQHLEKSLAAAKKAVTENIVNADP KEVRALKDEIKRAKSDLDSKNDAYLALQKEYKTEIENSRSLQASTKSVPASNAVSTAG PPSEDAEMDTASLRLYEDLTLLNIMSVKIQKGKYGNKEKTFNCVMAANGISLSFKLRS YIEIDKKRPADSPYVSTVHYSPSSLKNEPKEVVEKLGDFGTEFVIPREELGGFFLELR KRLEASDDDE L198_03891 MGGSLDSWLAKRRSKSASHISAPPQQPKSDMSTTVSPRSTTTSQ LPSPTSAYLPAPEAQSTSHSPIADSFLDAKAQNARRMSQYDAARGTWTPGSSSTPQAS LTAVMESTNGAPPSGSNTSSAPPSAYKAGEKRTSPRPDTRRSGSSDSDEGMLTMDKRR STEKGKGREISAGGLGGIPEEPHNSASAPWVLDPSEMELGSWSTASRVVLVLGDPTVG SIEPLLSNPAFANTALLIGTYKPVAAIEDLLSPSPLMSQSTRREMYPTIHTFQPSVGK DDTEAQAFAILIDKAASLAKQFRARSPAPTRSRNASFDSTNSNSSNTSPGMRTRVMSG LGISTGSRRASYESGNLTRPASSTSIPNLPKTMSTQSLRSESSARSSRNRLSTAFNGM IRSESEAKDAPHLFDAVVNFIPSPGPKGALRDLIHQASIVTTGVLPLLARLLGKGSGD DRSVLPVTLLHVLPSRSPTALPFILETFVLSLLPNFQGRCARELFGCAVPLSVWRAPL VNMSSSVLHDVSVSGAEVLLAGGVRCPTRVVTGHGAKMKGRVYLPSWDCCMTMTGMIA ESRRPSLASSRSGSRVVSRQPSPGDSSSEQLATLPPPPPVAALPLSTLGPVPVSPRST MLSPPLSPSLPKRRSMLSTVAAAAEPPVSPPTPDLDPSASSCSSSFALGETNSQGSNN AAVIASLETTPRSSGGLVNTESLAVPNEGEMKKKGSRSNLAAWLRRKSKLALA L198_03892 MRVISPPTSTPGQLPVDIQALVNQTISSLKDLVPPTASIVKDTI KDAIFQAIDAIDADMRELNLAIHENPELGFKEFKAHENLVASLNKLDFDVTNPSSLST AFVASYTHGENGRVFGFNSEFDALPNVGHGKSYVSLIACGHNLIAVVGVAAAVGLKAA LKACNIAGTVKLIGTPAEEGGGGKVILLREGIYDDLDACAMAHPGGGSGPPEYEGSCT VGGPGTLARAGFEIEFFGRGAHAGAAPWMGINALDAAVQGYTAVSMLRQQLEPTMRVH GIILGSEQWAQNIIPSYSKVSYSTRALDVKSCLELRDKVVACFKAAAEATGCTMEVKA EEDEVYADLRNNEPMAYSYQAFMENVIGQKITMGGMSTASTDFGNVCYKVPAIHPGFE IPCPLGSGNHTAGFTESAGKLEAHKLAMKVAKGLAAVGAKFLVDDSFADETKKAFERF KKGKGRKCE L198_03893 MDSHTNPQGAHSQSPNGEPRQPSPLNQDYSGFVSSVFPIPGSRR AGVPSSVSQSAEIPPQDIERHQRSSNGSIPSDPFHDARRGTFPTAGALPPHGRKIHVS YDLAASPEQPGSSHSQIHPISNSPQMPMSDNDSISAFLAEMPTMAEDPARHVLWTELV RLKTKSLELQIAEAKRKEKEAELELARLQSGAVSRNDGGSNAMAGPSGSNDLSAADIA GSQDTSSYGISQQIMPPQHQLEHHQNQQPYNVAQHQLYGAPQSGQAPCAMSPFDLDAL LQTDTNNFLSWLPDFGGHDISQSDQAAPYGAMLSTSHDLPSTSEHKLVAQPSPAPPSP PDIHSPPTKRSKRTTEKKTVVEQACICTSCSEPLARVLIRAPKSQIPNPINVSIKCLK CAPVQQPSTLPDPNQTASGSAIGTVETRKRMRTSMEIDDEDAKVVERRAWCDVCQKVV GSGQLIGGRQKENISHMAEIVCVSCDSKYQRCTDCGGGGGPRVGIGKWRMKQVFHPGR KTCSLSHTRLGDRTRELGVHVTPTDFTPEQMKEVLSRCKALWNEKTLSRLAIPEMLEV DLPADFANPLRDFADVDDIVTRNWPSREAMIHGEGIDQTRFKRLLSLIWAHSKPRRTV RTVDLEEEWTKQADENDHQDMSTVLANVRRTNVVIPAGSELIGMWGGEWDMQNGSLLI STFIPFEGTDGDDSTALSVGEMITKVQGLLQEINTARTVQAALEGRQPVLLPPCQHLW TVSGGYIPLVRERFADVLVKKRAFVHLEEYLTRHPEFIECVKARPVGLHPDIHRPIPV SDSNDADASTPRPLILVRWLGNDFDAQKFLEIKQMEFGGAKGKTKKRPRGKA L198_03894 MRPSVMLRGVAEDASSHLAKISQNAPSHRPKLVSRFRAQQLSPN KQPKFLILNNVPKLALPQDVLRALQDAKAVGKSFPLSSITALPPSLPRMPSLTRKWHI EIEGPRQTLAIHAHVNAHPLFATSSSTLPYPTGKSPNRNLNLNPKLHMLEAAQLSWST KEEWTEATIEMATRNELKGTRGVDWRSTESWKLTVDWALQPEFRGRRVVVRGLPGGVT VPDLARLASGCSLREAKDAIMTLPPSIYSLNTTCCFTLASVADAHRLVRKVHMKWYKS LIFGEEYLMRAEVVN L198_03895 MSSDVKTLHHLTNVEQLDDFPGEKQLEAENGNTVTVQEVKVAEE ALDGQEVYTEEQYNRVKRKVDFVLLPLMWWCYGIQQTDKTGLGSMNLYGVQADTGMHG NQYSLLTVVFYTAYALFEFPSNIILQRFNMGLCLTIYMFCWGVVVLAQGFLHSWAPFM VLRFLQGAFECTISPGFNLLIANWYTTQEHNARSLVFQSANAGWGVVVDLTMYGIAQA AAKNEGGFEAWRGIAVFLGGQTLLAAFVAYWMLGSPNEVRWLSQEEKKIANARVMKNN AGTDLTGRKTWKWDQVREAFLDPVLYAQFVNAFLSSVCNGSLTTFGSVVNRSFGFTES EVILYSIPRSVVSVLWFAFIGIMTSKFKGIRMYFMMISTVFPFIGLLFLALLPADTSY RWIKWGMYLMTVTFVIPLFSAWALLSSNTAGRTKRSIISSMTFIAYCTGNIAGSQVMK SKDAPRYIPGTIAIAACMAAEFVVIIMWRIWLGYLNRKKLRNMQEMGLSDDEIERKGQ ELGAEDTTDMKNPFFLYST L198_03896 MDQLEHSILLPNNSKTGSRPWKMGLTDLTVSVPGSQARPGQEPA PQRWTTTEFRFYAIAFILVVPMMLWVPMRVSLPTHPNYPLYSYKLSPGWMFGRQVDNS DIQYRTFRSNLLPLLILASSYLIASFVHFRALSGIISRPRFIAISSIAVTILLHGSSS AKVLAILALNYYASKFPLTPAMRKVWPGVIFCGNMAVLFFNERYSGYKFSSLHADLGV LDDYSGLLPRWHVGFNITMMRLVSFGLDYIWRVEPAEAEAPIEYRKRAGTSLPEKDYS FTNCLAYCLYPPLYVAGPIMSFNDFAWQLKRPVEITPRTKIAYAFRFLVCILTMELVL HTMYVVAIKDTAAWDGDTPAELSMIGFWNLVIVWLKLSCRPGLLIPWRFFRLWALWDG VDPPENMVRCISNNYSALGFWRSWHRSFNLWVVRYVYIPVGGSKNVVPATLLVFTFVA LWHDLSFKLLAWGWLVSLFIVPEIAARKLFTAEKFGEHRYYRHVCALGGVANILMMMA ANLVGFVLGVDGTRHLLKELTSTFSGWTFLLFACGCLFVGVQAMFEYREEEKREGIDR RC L198_03897 MVHNACPFANMAAASQLHANLAASVPLTPSFQPNTPDPEKVPRP SGNNVRDHASIIADIPELDYTQPLSALLKLGTQRAHVKAEHSVGAAALVQGTLGLEEY IRWLAALWRVYDVLELSLQLNSSNPVLAPTYDPTLLARAPTLAADINYLLTLLPPDTT PVSLPASSQSTVATPLPPFPLPSFLQSIFDDAPSPLSAYVDHLRTLSESPITAPGLLA HAYVRYLGDLSGGQFIGARVKRSYGLKGDEGTSFYQFDFQKEGAAGGDESRAELKKQL GEIKDWYRRGMDEAVGEDQKLKADLVEEANLAFALNTDLFSIIRVPSSGEKAGSKIAV AEQPKAGVKDFITQSMWFVAAAAVGMLLNIYLGPIVQDWRRGE L198_03898 MSKKYHQKSFTAEEKRKAIHTYEGKIHYSARYSGEFPRLFVDPM VEALWTLADRLFVASDTEWEYRHVIVPKPLVRFIPPGVCAEEVWRGIGIKQSPGWEMY MRHEPHVLLFRRPKNYDQLHRPFSQTLAARKLNIATTKPRALAEPTK L198_03899 MEYQEDYVDVKRPRDGDDLYHPKQYSSDQAQSSNYQQISPDTTS SVLSTAPLSQIKSSTSADRRMSVGMGQPGGYTGMAQVAQSQGPRGDEAVPVGFDEAVL RGLCDMDCSLSLLADRIKQGIVSCKQVATFFRSRADVEEKYSRSLTELYRSTGDLYAR GDCKAGTFVQAYNSGLRLQDQLAQNRLRFAQRLNEMAEELFSLAREGEKTRKIHKETG SRYQLIIQDAEIAVEKAKTRLDGIVEELDRVLAAKEGETYKDTRGGYNTVGGPAYGNN GSAPSASAPSGHGKSKLGKAMKTGGLLFKGKGSGSLQKQEDDSRAKMDHANETFTKAV AESKQLRKEYFSFQLPKILRLLKECADEIDLGTQYHLTRFAFLYETMTVGDGAVLNPL GNPEEGPGLKAIFESIDNRTDFKSYMQNYAVVRGTPRGPRREGPYDDGFQMPLPPHVQ RSQDSTFSASQSSAHTSPQPQALPSPHSQASGYGYQTSPQPPSASQMQSSAPPPHPSG PSHNYNPSIGSTITTQTNNTLPTNSSTTQNQGGETTSLASYQQDYMAPGIPASTGHTF GVDLGEQLARDGTAVPRIVEKCAVAIEMYGLESTGVYRLSGTSSRVQALKAALDNDVN AVDVESDEWSADINVVCGALKLWFRELPEPLLTHGLYHSFIEAARYENDRLRHIRLHE QVNELPDPNYATLKFFMAHLDKIRRHESVNQMSVSNLSIVFGPTLLGAPPEEGGLNLE HMSFQCKAIETILNKYQEIFVEEDDDGGR L198_03900 MVRGQGGVINGSPVLRGYGQEPPERMEVHRGGVQKQGQGNWLHR GGSLIFEFAALAPDYRLRSILADEDPSARFPNQNQTKHCWQNFVDYHKCVNAKGEEFA PCQQFKKAYRALCPNEWVGKWDEQIEAGTFPASLKP L198_03901 MSRQLDNLNPTIFAPTTASTSSRRPPKTGKTNLWAPEAVIEDTL TEDWLGGEDRDIGSSGTESEGDEREEIDAEEVYDLLRSITDPEHPVSLEQLRVVNQED IQVAGNRVLVFLTPTIPHCSMSTLIGLSLRVRLLRALPPRYRVDIRIKSGTHQSEHAV NKQLNDKERVQAALENKHLLSVVEGCLATAGKRGE L198_03902 MEQENPQPPLPPLKNPKYRHVSRSAAKRESVQLLGSIQDLRLQF SQVGVSHKAGAGAGVRSPSGLGSLGEDGEEEVGHPKLSAERGKERKERKPWKEVDLPQ VDPKAARQEAQDIIRAIREIWGLSAPLSPTSPNLQTLSTSKSLYFDLSIVQGEEPAPR TSDDIHSALVTTARSIRRIRFLALSVSHHNQGQRTLTRGSLLPVPSSKLRPRFSTPSR PSLPLPRAVSGPVDRRSTSSTSLPGDDRLAELRKAALEVLNTLRVLEEGLRVGEAELQ GPSLDQSIVYSEPDFLDSDYDSDSHNLNVLAQSQEMSLSSQPWEERIASEGREYRVLE GTEWEKVARDTREGVGKWVGVVEKLFIVGGKGENVGQEEGWSKEGWDGKVLERLHAFL LANLSLNLALRLPPPQSSDFATNFLSVLSDGYILIEAYNTSLIKSSKPWGFIPEEDVH ATLNSGGSDESGTAAPTNEDVKRDKEWTFRRVGNLTCFAAALRHRFQLPIVMPSANTS TFLQPKRPMALPRPEKETKAIQTEQEPSLVACERATSAHRVSLPSALAGKGKDVPRID FDPMVIAKKLEGWEGMLEGVAGKWVESVAKDVSDVRSAIQLRQAAAMQENKGGSI L198_03903 MDTLASPAYPFATMPRLFILPSALTVLYSLVAVSLLSAGLYIYL YPFRLSRLSFRNLPGPEPGHWFWGHTQTLIAQQPNAMHTVWTNKYGPTICYPIFLGIQ RFLTIDPSALNYILTHPESFEKPSETRGELTTMLGNGLLVAEGSDHKKQRKALGPSFS PAAIRGMIPAFYDKAYELNNKLMGLVEGADTEVASPTPAKDIDFVPGGRKIDVMSYLS KTALDIIGTCGFDYDFKALSEPSNELSDAYRNMFMAVMDVRVWDFIVSKIPIIRSIPT ERSKVVAASKKLTRSIGMSSPRKEDIVMGAHGGDLEKKADIGKDLISILVKANMAAGL KPEQRLSDEEVLDQITTFMLAGNETTSTGLTWILYNLSQNLDVQEKLRKEVQSVSEDR PSLDTLNTLPYMEAVVHESLRISPPASFTLREATQDAILPLANPVTGNDGKVMHEVAV DKGTSIFIPVITVNTSPSIWGDDAAKFIPERWLSSSKDGFQPGPVPGVWGNTLTFLGG GRNCIGYRFALAEIKVILFVLMRSLMFEELKSKPVISKKTGIVMRCSIEGEEEAGLQM PLMVRPVPA L198_03904 MPLPSLFGALFPAFHLHSWAHIALSLLALIAATLFAAYLYAYPI RLARLHFYNLPAGPEPESFLWGSLPTLLKSEPNVPQGEWTAKYGHTIRYRMQLGAPRF MTLDATGLNYILSHPDVFPKPDVTRRALADMLGNGLLTSEGEDHKRQRRALNPSFSVG AIKNMVPTFYDKAYELQAKLLALVENDDPSEKASPTPAKEEDFVPGGKKIDVMRYLGK TTLDVIGVTGFGYDFKTLSEPNNALSDAYAQMFTAGMNITFKDFVLNRIPLIRHIPTE KSRIIASSRKITRDMGAKLVKDKKEVVMASFGDDLEKGQDIGKDLLSILIKANMAADL KPEQRLTDEEVLNQITTFMLAGNETTSTGLSWTLYNLALNMDVQAKLREEVMSIPDER PDLETLNSLTYMDAVLREALRLCPPAPGTIRQAKEDVVIPLGVPLKGRDGTMMESVGI NKGTMVFIPIANVNCSEAIWGPNASKFDPSRFLSSSTEVKPTHVPGVWGNLLTFLGGT RNCIGYKFALAEMKAILSVLMKNFEFQELKSKPEVEKRSAVVMRCRIKGEEDAGLQMP LMVVPLEAV L198_03905 MSDANKPSSNPSSGPSSAAASPLPASAVPAARSPAGQGDNDRGL MLPPPVPAKFGHSPSGSLSKAGPPRMARLPSLKQLSEHLKYHPSASSPTSQSPNPFEA VPVSSNSPAGAGPLRITTNPSALAGSNGAPMSGTSNSPIVAPSPSGRLKLPASAMMRS LSAGSNGGILPTINSPRTATGPEAGSPASSSPAPRTAASELAKSIPGGLGDFKEPASG SPVTHSRSPSLAGTGAEGSASMSRGVSKDGVHGYRDVPTLEEIRRRVSVSKGTGNQAS GSGHRMGLPSMWMDPEEKTEEKQEEVKEVEKSKPTPVDAASTTPVDNAGKKKEHPLQH AWTLYYDSKTYKPDPSTLPQKQGDILADYEMTLLTVGKFETIEGFARHFNNIRLPSQL SPSSNYHMFKNGIRPMWEDPANANGGKWVVLFRSSPGTMDFAWANLTMALVGEMLDPE DQVCGIVASARPKIDRIQLWTRGRTDQEGLNKLGKRIVEVMALEGRDLESMSMEYQYN TSDSKPPAGAFLHIPFPIRNIGPPSTPSRSISTFQGPPGSAFGGGATPRSNLAPSSNL THPLPLPPKSPSVHVTDSAPTMRRGLSGGVGNPFAGPLGLARSASHNTREGIAGH L198_03906 MSAPAPIPALDEASKKELETFLEQEQAKAKLQASIHELTNTCWN TCITGSISSKFSKSEAQCLENCVDRFLDSSLYIVRQIEAQKQQL L198_03907 MSDYAFVSGGSLKFKGGADTKKKKKKSHSSSDRSKLDSEIKLKD SGSGKGKERETLGSAEAHGSRSESHSRSPMPERKEEPKMTEAERRFLEQQKKRRQERV KQTAKMTHKERVSEFNAKLDSLSEHHDMPRIGPG L198_03908 MPWTEILNDLNHDHARDQPADALQWGADWFHHRLRQERQGPASD PVAKRGPPGTLAFSNPTFDFQQPHALSPFSEMGPSDSPFGGPAAGARRATVPTGSNLS APPIFSPPFSAGGAGGGVPAEDRSPFSEGGAGFNASPFGNGGIQSVPGPNVASDDPPI PSYALGRRTSVSAESLVPSGQRSYTAGGLETTMEEDETTPNPSHSSGLAPTPVYPKTD EQQARIRQAIQPNFLFRNLDEEQESDVLAAMKEVSVSAGETVIEQGAAGDYFYVVESG RLEIFVKKDGQVIDAEKGDRPELGKKVAECKEGSSFGELALMHNAPRAASIISLTPCT LWALDRVSFRTILLDHTSRKRRLYESFLSEVPILASLQPQERAKIADVLESKTFAPGE DVIRQGEAGDEFYLVESGAAVAIKKDEEGRQAVVKKYGPGEYFGELALLNRRTRAATV MADGQDNLRVAALGEQAFTRLLGPVKDIMARSVSELYGFSAGRGSV L198_03909 MSAQGSPQQDHSQLEELVKNLDIEYGATPGNDLTLPAASATLQT DQVDNHSLSEDDAPRESGVAETAQDATPQSPNDVDLTKQIHDLMQRVAALLDKIFQLQ ELRHTSSIPSSQLEHVLEALALSASEISTSVGQVQEAAALCIQQCTDAKKAKSLEDGL EELDEAWTKAQNRYNGFKMDVKEDLWLSEFEASAEQVEGLMSPLSKSLLDCQEYLERF SRASGPIPEKSEFEEQLSVEGLKNLAKDHRSLLKTYMSSTNRTLKRLDKDITEKKITN GAALRRFNDISQQWIVLQSHLHKLDDRVEKVLDQITSDNLYNRHQDSGLDGMETLRDD LDEVLDRAPAGAKERRTPRSSMSSNMSSSSARSSNSRPSPGPLPSSSARPPLGRRRSS VLSNSSVATAHTTSQDRPRWNGSTIVDSSSPSIATPTVSRMRPSPGPVAGGIHFPSDK GNRVTSPTPSNTSVASRGSRRLSRLPVYTPRSSNGLMSPQSEASHFSGSSAHIPRLSL PGSLSASQMLTPNKGQSHLERARMGLKTPEPGRGVGPGGSGRLAGTFSALQPRTSSGK STPTRARRESGVGAGSQTAPMPRFVLDRPPPSSFNSPTPTPRTPTRPASRQSAMSYAP SVSHDLSILRPFRPSPFDLLDQSVQALLTQEEFTVEQGFFVSRVDEALKRGQRLRDGE EWKGEYVFGAGNKGCSVKRMELPGRGKDGAKRVKVLCRVGGRWVDLVEVLKERKEIVE FME L198_03910 MSTNKATSLAYVDTVYSADSIEFCPFQGFQDLFVCGTYQIIKPE ELEQPKALEEDKDEEESDSDEEEFVPQSTQRKGRLLLFQIDQDCQPNELQRIETPAIL DSKWSPHVRTGGGPRLAVADAKGHIALYELNPDTKRLEEVQTIDVEDETRLCLSLDFS HRLEPSVEPSIIASISNGSLSHLTPTPTGYEVSSSWHAHDFEPWITAFDLQDVNTVWS GGDDCKLKRWDLREPFMPSMVNKNFDGGVTTITPSPYTPNLLAIGSYDESLRLFDTRS PRTPLLTLSMGGGIWRVRWHPLVERMGDLLVASMHDGFKVVRLSEQMVGGDWGSLSDD DGSVIKAFEAHESLAYGADWSRLPLENEESAIATCSFYDHAMHLWRG L198_03911 MSSNTTDISDFNFTGGFPTSTDLAPSIVFLAIHQYVLAIPVLVF RLVRKQDRTMILIRPAVFVACRLGSFGLRAWMSKNTYNESELIAELVMISIGALFLIA PLISCWTNHVESEVRPEDRPRWLSLLSKALHLLLMACIATAVVGSSMIGSAIKDPSKM DTVTGLRRASLVLSVVVVGLVGAGITLTAVNYNLSRRGTAWLYVLDGCLLVITVYKLA QFENTDSDSVAQSRVAFWILQILFAFSLIMAISLPTWFSSVDHEELLLDIEMGGQKNM GNPSMASLRR L198_03912 MPSPMAHTQAAHSAPTQADLDILSRLTSDQSSSGPLNTNGRKKQ LPRRESPIDALMISAVLAGSGPVSRHHFGHGIARTGAYASCDESRPSSPGQQTNQGLT SPKVVMKSAQAPRSQRVRMEREAKLALQRKFEAEKITEDVATAPTAGPSVTGGGPAPV DEEHQSGHAPDSSRYQRKMSLDPTSVTQLLSFPKPSFAYNHFHSYPSGSAAFNPIPNS LPGPIQVRCMARTRVPTPHGEIFLHLYHNSHDTKEHLAVVIDPVQLDPQTRSKAPEGR KEIRSKSLNAVWREGETEMERIVRGAYVGRLKPGVASSRGDGLPPVEGLQGVEDVKPL VRIHSECFTGETIGSMRCDCGEQLDEAIHQIGLPQRIPHRQNFHTPLSPRTHSHLPGR GVVIYLRQEGRGIGLLEKIRAYNLQDLGHDTVTANLMLGHGADERKYDIAAEMLRDLG LEQEGIRLLTNNPEKVAGLAGEGIRVVDRVGMVPRDWQCGDDQLHPNGAAEQGEKEEK DYEDWRMRRAGVGLIGSSKAQGPELEKYLRTKVERMGHIIDIPKDL L198_03913 MAPKRQAPASPASPAKKAKGSSGNQQKTLASFFASPSKAKSSKP KDSEVITIDDSDDEERPIPATTKLAAVGEKSRKQGTSALGSEGARSVSDKISPQVNKL IDILDDEDEDIKPVPGCSKSTHPSKGKASISTQKKDAFSKTPVIASEAGNSVQPLNFD TDSFVFIPSSIDTALWPKGRLPYSILVGVYVQVSGTRSRLAIVRILTNFLHLVMHASP VDLSPSLYLLSNHLAPSYVPCDLGIGSQILSKAMQEVSGLQPRDLKRLYQKYGDPGDV AFEAKTNLRTLIKPAPLLAADVYEKLIGLSKIKGSSSGKVKGDIVRKLMVQARGEEVR FLVRSMIGNLRVSAFYKTTLLTALARATALLHLPKDLRSTILPLPVPTVDGKGKRSTK VKPETDPSREAAEQLCLSAIGVVRKAYVRHPNYAHLIKGLELGVEGLEERVAVTVGIP LSPMLGSITRSLNEVFTRLGKLPFTAEAKLDGQRVQCHVRLEGPQGKDDGGGRWVVGD TGDKIWVRLFSRHLDDMTEKYPDVCQLLLRLLKRTLPAERLPFPSSTLTEPQSVLDLL KTEKITSFIIDAEIVAIEKDTGAFKTFQELSNRAKKDVREEDIKVIVGVYAFDLMVLN DIPLLGSPFSHRRHLMRTFLPPLTPTKDSPQSSLIAAGFNHVESVDSTKFEDAPAELQ AFFEKVVENKCEGLMVKLLESGEGLEGEDAEAEEEGETEVKRKSGNRKPLPATYEPDQ RSQGWLKVKKDYLEGLGDSLDLVPIGAWWGNGRKAGWWSPILLACHNPESGALEAVCK CMSGFSDAFYKDLTKRYPAEGMPEKCNKTTPLGYIDTNGLTPDVWFDPSEVWEIRGAD ITLSPVYPAASSALGSERGLSVRFPRFMKIREDKTWEEATTSEQFADMYRKQMETKPE AAEGAGEEDGDV L198_03914 MAEGLEEWISDSNEVLILQLVRAPHDADVLSHEEVQAIEPFNPS FTYPIFGDTEKIFGYKGLDIKLQFASGSLKQYLNVVYDEKLASTTTPPDEIEPTLYKF IPPDYTKSDIQFETTVKEDAETFKPFGEKLGSYKLPSSSSQKNKKGKGKAQAVDTASL DENSEDTVVFEMYKASWNTPGFREYHRRMQLFILLFIEGGSYVHEDEDAWEFIVLFER RKRPESDIFTYHFAGYVSVYPFWCYPDRVRLRLSQFVILPPYQHQGHGSKLYSSLFHH MLSRPEVAELTVEDPAEAFEDLRDRNDLRLLVKEGLLTDPMFQSGVGQGKREARNEWE SLTRKKYKIAQRQFDRLLEMLLLRQLDKKDEAKVKAYRLHVKSRLYRFNYEMLVQMTP EERKEALAKTYDSVVEDYERILEMTFH L198_03915 MASDTVHHNGNGAAVLNGDPETAIVPSNEIDPIFHAVSLARGIF NVGYINQEWSDVHLVFFASGLKAHRLILARSPYLAHLMAASAPGSTIKLSFADANITQ EAVHISLQHLYNPSLSLVQPGNARAVLATAFLFGGMPELVHQAYLITRDSIDASNAVD LVRWLEVSPELAMFAQQQPPKAANGVQSTEGGISAWLDNPYPRYGEWTIRLKHDVLNY LLNDLPSRVVQEDKLATPDNALVTTYSLLPYELFKSLVESSDLPITSPQDRFSFAKRV LAQRKKSAVSGTPQMEENVVLAFRGGDGMEVHVTRRPKKGRTFYKVEG L198_03916 MPYIPTETLVGGALLLVLVLAASLYPSSNTPPNTDSQSAKSKKK KPKKKTKPASAQDEQDVKLQAQVQAVQTEQAEPKAERKKLLAEKLLPKERKTRVDDML APEDRPVPISRVMRVAPNESKDQAVHDPEFPPLGPPVKVAKYEEDYASSVSDDDVVPE FKLPKKVANDGWESVAPKKKKPLSVNISSSSAQQSTALPLPTSTKGQKKNAKKAELKK AQREAEEKDRVKRLASHKKDLERERINEIYSTNKSQSARTKAASAKATVTSNGHLIWD L198_03917 MSTLYTARETPSPIKIEPSSVTSSARKRKRSEIDQKDSPGVESP SRGWEAIAGGLGRDGWTYHLEIVQEPLRARACGFGNKASRKSLDRRPLTPPPIIRLWI RTATGEVVDPNVINPTTLILQMDLVSVDGQEERNVVKHPIGSASTATISKPVGSSAAV SQPWMGTSDMPGVITGSPRQSLSEHSGYRQRSWAEPYASDLGFPRWAEPTQAYAPYPY APPHSGEWSPNPRSWQAANEGRRPRQLPSPRPATAQTHEQAPPLLPSASHRHSAGQTL PPLSYITESPRGSTHNASLPSIYSQYASYSRPTSSRSSWDRAHTSQSPGEFASAPADY TMARPSTASSISSFYHPDAAYRDRAHTGPWPDDTRPPSMSYTSDHRPSASSLDDSIVS PRSVSRHRFSSSSEYSSYSPQAYSHTFDPVRGIYPSGSFSADHYLTQPNSISTLVLVG KRHTPCNKLQDEHGQLGLFFFATDLGVRTEGKFRLRMKVMDLALFSPVPIQGEHIPIL ADTFSEPIEVFSAKRFPGVIPTTDLTRVFASQGIKLAVRENHKKGGRKKKGKGDDGDG DDDNEDEEDDQ L198_03918 MSRSGALDDNEIQSEMNKMVAFISQEAREKAREIQVKADEEFAI EKAKIVRQESLAIDAQYEKKRKQAEVGWKISQSTAINNSRLKILQSRNDHLEAIFDES NKQVKDLSTSAKYNEAVESLILELLLKLLSPRVHLAHRPKDSDLVKKAASAAQKRYKE IAGRESELSFDDSLADDSAGGVVGSTLGNKIKVDNTLEERLKILEEKMLPELRHDLFG PNENRKFYTVSLVSSEMHRLANVEQ L198_03919 MAALQTPEEKLAMPTWGSYLYQNYLQAPSPDTVFDWTDPSVSIP PPPAREEYAFGLTNPSVWPSASGQSPKSVKPEPVSPADEQSLRQLQDVYKQAYFPFPA TGIATSLLTQADTPASALPAQLGSSESLNVVDQSLTRSPSPISSHIVSPHASPILRHS STKRETRGRRRIGSAAIKRSHSLHSDSEFSHHSPDEHDHDEHEHEVPEGVERDGMIWG MKVEDYRALSARERKRVRNRISARTFRAKRKEHLSSLEHGLVKKRLAKFETAYARSL L198_03920 MDQQLINLVNKLQDVFASIGVSNNIDLPQITVIGSQSSGKSSVL ENIVGRDFLPRGTGIVTRRPLVLQLINRPATSKPNGTAKDSEKPEEALEKVQLNENNA DEWGEFLHLPGQKFHDFAQIRDEIVRDTEKMTGKNAGISSNPINLRIFSPNVLTLTLV DLPGLTKVPVGDQPRDIEKQIRDMLMRFISKPNAIILAVTGANTDLANSDGLKLAREV DPEGTRTIGVLTKVDLMDQGTDVVDILAGRVIPLRLGYVPVVNRGQKDIDQSKTIASA LDNEKRFFENHASYASKAQYCGTPWLARKLNIILMHHIRNTLPDIKARISQQLNKYQT ELTSLGGAMGETNPGSLVLSTITEFTSEFRSAIDGNTNDLSLNELSGGARISFVFHEL YNNGVKGIDPFDQVKDGDIRTILYNSSGSTPALFVGTTAFEVIVKQQIRRLEEPSLRC CALVYDELIRILGHLLGKFQTFKRYPELKDRFNLVVINFFKNCMNPTNKLVTDMVAMQ ACYVNTTHPDFIGGHKAMALVTERIAANKPPEKPVDPKKIAPNALNNGKDLDADYKKD EGGFFGSFFNKDKSAPKKRSAAMEAPPAIIKPVASLNDRELMETDVIKLLITSYFSVV KREMIDMVPKAITFNLVNFAKENLQRELLEHLYKPEVLEELLKESPDVVARRRECVKM VGALNSAEAIVAAV L198_03921 MRCPPLLQSVNLPSTPPLPAPLLSAAPPYNSPIPSAVSSAQRHP SANSDPSLGPLGSTGDAELADNTWVFNAFSSPPSPSTIASTTDSQIGGETFPPSSEST EEESSGSNHSRQNLSLSFSSLPSVFPQFQQYQSPTSLPSSGAPFTDSFSAHNSDFGQD YFHFPPDEPLSAGAEDSIQSQSPLIGGQQQQQQQQPHRLPASQPSSPARSIFPQQHQT PLGGRQRGATFSGGSFYSFGAANPLNFTFAQPLAPQQALPTHISLSSVQASNGSPSSP LAPSPDITTASPQYQGAQSQFLAPNSAQQHKTGENDIVMDDMSTPTPVHPRIVSAPVR RSSLGQYSQQALPQNLIAAQSFPQVQLPQNPSAGLTSSDMSSEMIDKLSLLDKILDSA QSAREALLRGQEVEVSHNLSDISTQLEVASELGVGPAHPSRDSTTPNSQSSQQSTSPN GYHQSPPVQAAAPAPAPQYHMPMMATSVPPQLHDMPMTVQPSNLLSSLVADQNQQGRR APPIQTANHAMPSGTKMVTGDYLGKVQAPPLVHSHSYPNGHQLPSQLSGNIPPSTPVA PSPNFITSISAQHMPMISSPLATVPPSRPPSPPRYALPNQWEVEMMPIDMGVQHQVPA PAQQSAHPLERRPSTAERPDGRPIARGRSMSVNRNWNQNNGHNAMTSSVPPSAWQSRA GSPVDDDDEDSDDEGLRKTKRRRSSVGVDAQADITGGISDEVRKQLDQIFAEFLNSIC CDLEMTDSKGEKIHQVLMPKKMQKLDESTDYRPFKFRIQAFTNAFADNLQQRGITEEI MSIKKIKNYLWRQNLISRFNPDGKKAKSKGNHIWNVDAKKLPGGGWVFRPFQRRIIGQ PSNFALAGQRYEWEPRIWDPQAASETLRPTFSSPTGSLPSWLRWESGAKLVGVPDKPG PPFEIPVHAQFVDGGGQDATIDAVYPCQVVPQMTPMESSSQPVPMFQPMFNPIPPQSG FEYMGHQMPPQMNMASAPSQPELQFNNNNMVYPQPNGYSAQQ L198_03922 MASVESYVDHTVQVILQDGRIIVGKLKGSDVYTNLILADSVERE YSVDQGVEMVPLGLYVIKGDNVALVAEVDEEKDSIINYTEIRAEPLGEIRY L198_03923 MATTHVIGSSNPGAHLTSSGSHSSSSSNIVGVHYKVGKKIGEGS FGVIFEGTNLLNSQTVAIKFEPRKSDAPQLRDEYRSYKILSGCLGIPQVYYFGQEGLH NILVIDLLGPSLEDLFDMCGRKFSVKTCCMTAKQMLSRVQTIHEKNLIYRDIKPDNFL IGRPGTKGANVVHVVDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQS RRDDLEALGHVFFYFLRGGLPWQGLKAATNKQKYEKIGEKKQTTPIAELVEGYPNEFS IYLNYVRKLTFDETPDYDFLRGLFDLALSNSGEIDDGLYDWMVLNNGQGWEASGRQSS AQAEVARHNTRGRDREYRDRVDKLRNSSAAQPSPLKSGQAGTALPNASNQAIVGVSAP SPLPQSRRQSQQGGHPFASAKASHGERNETYDPSNIAVQSQAGLQPISPMNVNSRGGN TAANSQQVGETDYRQEPKGGNGFIKFITCGCFR L198_03924 MPVLPSPTAPFGFLEPLHAYVLAHSEPLTWSNWWRLAVLPTIPL LLQAVLLRKEGTRKDRLALGVVGIALLWHACLRYRLEQPWYNALNNGIFIGCMTLTVR YLEFALINGRLVDQYWEDKGQHWLVSAFDVCTNARWIGLDPVEPGSNGIENGGKRPKA DKEVSVGTEVKPGDKAISPARSYPPWVVVPNSLPSRSHATIRHLTIAFRNYVISDILL SLLRSFGADSIGSSTPVPDALHRFSSDNTFVLFPKLGNGLESPWWMTEMAAVIAVALC VWLGLSMGYHGIAGVMVGTGLHEAEAWEIDLFDHPLKSDSLLDFWGHRWHQFFRHSFT LVSTQTLRFFHLPATSTYVLGLSFFFSGAMHAMGQFTMDPVPALFPIFVLFPISGLGC ALEVQFKRWTGKKVGGFWGRIWTWSVMLTTGRWAAIAWFESGVGGSYLCPAWAGDLLK PFILEWILNRK L198_03925 MPPRTKTQTSGLGKALKNRKAKEAVAPKESQLYTLDDNNPLASV THERDLDEFLANAALADQDFTTERSKMRIISAPGMPVPEGNPFLLSAAEEKDIVKKKA AFEGGLTVPRRPYWTRQTTRLELEKQEREAFLEWRREIAKLAETSNLLLTPFERNIQL WRQLWRVIERSQLIVQIVDARNPLGFRSVDLENYVREIGSDEGAEEITVPGKGHRRSL LLINKADLLTYDQRCAWADYYERHNISYAFFSAANAAALQEQAEKQRLRALGEYPGDQ QESDSSDEEAENSVEEDDDAPETELAQDLDETHMDDEDEEDWASDEDDNVEQGKLEKT LVDEKLEKGETIPLEKVAQDVGAKYGESTEEEEDIRTRVLSVTELEDLFINSAPGLEH FATPQYPNPKLMVGLVGYPNVGKSSTINALLGSKKVSVSATPGKTKHFQTLVLSDTVT LCDCPGLVFPQFADTQADMVVDGVLPIDQMREYSAPVTLLCKRIPREILEGTYGIRID VREVDEGGTGEVGWEEFLSAYAIARGMTRSSFGMPDTSRAARYILKDYVIAKLLYAHP PPGISPDDFMATSREESIARLEEEFEQGRKRAPVSHVSRNADTYVAPAGSQGVDAAMP EDAVENVKRERQATSKQIKANAASAPARSGRERISALDNVYFNEGGQQPRLVVKGRNQ PGELQEGGQGFSRKTMYPHQRMLGPDGMPIIGAGDKGSEGADNGKRHFKRKEGKKRSG RGYD L198_03926 MASPSLRPLLRRAPAIPSLARAASSQPPKAKPAPNDEWTLPLIH LGPTHPSRYGQHYNKTLSSDLMYMTYSHRLSQKPEAPPAIERPPTPYEANRPKPPIMR GNRAVRTKTTEVGPETVPKVESIIIHTMVKEAVGNKNTLLSAVAALRAISGETANGGG RKGSSGVQVIAAKKSAAAWKLRSGMPVAVKVELKGEAMYDFLQSLVDFVLPRLRDFSG VPLPPASTPKNSPASLAGVVSFGFGHTAMSFFPQIEANTDAYPRLHGFHVFFKTNLTG DNAHENARALVSGFRIPFHRR L198_03927 MPAPPLSLLQSLSPRIAVLAADDVTTSSEANGCRGLHELLRPWE GATDRVSILSSTLAPTLHPTFPLRFVAWDSVYLNPALSSPDPHLLVDTISSFVAAKSP DEEQHYPLTRSLLLSSRPLAPYETFNHPVGILFAVSTSTPDPLGTLSKLQARTVGTGS QNVPWLDGVTVLKFYVVVHDVSRMGDDMAPAHELLANVKRAYGPHSTLLVINSQTEHR SAPPSPDTSTHPTIPLPRPFTPEDGNPSALSQVYASALSSLTLSPMSAAAHLLKSNDG DQPLATPGKPSRRKLYGAKLTAEDTQRLAALVRELVVQSLIPWMEARIREWNEVYHAN RRGITGRLFGAGRKLFGSRPNSPATDAGAAGYNAAAGYYPLTAIEGLSRRLADFAFML RDYRFASNIYDSLRKDYAQDRAFRYATAATEMYGLCLLLSHSFFNPSVSPNRDPIPFT NLHHTEVTSWLEQAVVSYHRSGPASQIQVDALRITMLYYEAWKAVKEWRGVGATLVKG AGEADEVPNAVFIEEAAAADLRSGKNGRGKRRSAFHLVLAARRYETAGLKTYSRRCLE RASEIYRTAPWSAAQNHIEFSLGRQAYTLGQSDVAVEHFLRLLRKEDTTGLGSQSGPL QDLELAYEQLQAHPDLLKKSKEKLQLPTAIFDHKQTRISAASVSPVVFGTMRQEWAHL EKQALSRWNRKGKRPAALLSDDKKLVVSVGESFTVELTVTNPLDSPLLISKLELQFDP PSAVEMESYPDITLDPYESRAIFCSVAVPTSYPSSGTIKLLSVSFKFNGFLPCTQNLA KRGKRLHATKAQRLQPTYAEDTSLAVFLSAERPRILAELVDLPENVYEGEIVESAIRI RNVGNNSVGEVSMIWSEGVLVSRKGTEHQDASSRIANHISLNQLESLYQEPLSSGEEV DIPISFSGLSPGALDVRGLLVYSDEQGKKETSATVIHHSLNIHPLAALTTKISPVGKT LGEYAVLVEAENVSAETVKIESLAGAAPAWDVQEMSFESHLLPNQTMRARLTAKLNLD NTFDVTQSATVKALGELIEGRAADETRADITPTVSLLGGPAAECLPFLSASRRAYRLT YLHTHFPTIPIDSLSALFPLFAPLELDILIRYSISSPSKTSVRRGYLTLHALRLCPDF SAVEILKKQVEEAIVSGTRQTRTMYEETGRLRKLLLDSVLDGCLGQEENPVGVEVGVE DENEDGIIEHDFREGPCSVPINFTVRNHSPLLPVRYIITLPPPLPQHASNTSGPASLT SPRFIGQLSYRGTLAPVSSAVVQSSLWLSDPALVNVNGWKLEVETGQEKSIAEGKWET RKGWRSEGESKIVEVAEVRRKI L198_03928 MSEADRQVFPHIVGFIAARLVDGTYRQIPPIGLPTAGDVAALGR IWRSYVAHNRGQVYTLLSRRRNASRDFTGLKGTVQHLIEHTRDTQQYLGTVSELSLRT LIPDLSTSAADILRNPPYKPIGNLDATVLALCRWFKDDFMSWVDPVLCPQCNGPTQGA GNAAPSAQESTKGAGRVELHRCADGACGATRRFPRYGTVAALVESREGRCGEWAHLFY AMMRVKGIEGRYIWNRQDHVWCEYWSPTMNHWVHVDPCEAATNKPLTYAKGWGKKQAY CLAFGPYGAEDVTRAYVDDWDGDCQVRRRARGWSERDLQVELLKITVSLRLRMDPLLR ARLYRMDESQRSWISDENTRLKEAESMGFEGRISGSDE L198_03929 MTGVARAAARIRHVHAAPLYRPLILHRQPVLNALGAIQGKPLTR VARQGQSVAFPRRWASTAARTVDGGDQQWPERKLPVLSETDKSRLKRQRNVGISAHID SGKTTLTERVLYYTGRIRDIHEVRGRDAVGAKMDSMELEREKGITIQSAATFCDWVAP TPPTELVDGQQFDNVGKENFAINIIDTPGHVDFTIEVERALRVLDGAVLVLCAVSGVQ SQTITVDRQMRRYNVPRLTFINKMDRAGSNPWRVITQLRAKLKMNAAAVQVPIGSEGD FGGVVDLVRMKAIYNEGVKGNQIVESDEIPESVRELVAEKRAELIELLSEADETLCDL FLDEVPISNTDIAQAIQRATTSLRFTPVFMGSAIKNTGVQPLLDGVCTYLPNPSEVDN QAMDATLPAHAPTIPIVPAADAPLVGLAFKLEEGKYGQLTYMRVYQGVLKRGTLIYNA RTGKRVKVPRLVRMHSDEMEDVESVGAGEICAMFGVECSSGDTFNDGTSTYTMTSMFV PEPVISLSIRPEGNETPNFSRALNRFQKEDPTFRVHVDSESQETIISGMGELHLDIYV ERMKREYNVACVTGKPRVAFRETITEPSKFNYTHKKQSGGSGQFGRVIGSIEPMEVDP DTGKDTAFDNRIIGGNIPNQFIPAIEKGFQEALDRGLITGHPITGCRFILEDGSAHAV DSNELAFRLASIGAFREAFQKAKPVVLEPVMTVEIVAPIEFQGNVIGAINQRKGTIVD TEIRDDEFTLSAEVALNDMFGYSSQLRGMTQGKGEFSMEYKNHQPVMPNVQREMSEAF RTKQLSK L198_03930 MFSITSIKTSLRQQAGRAQRATFFKSVQTQVAPSAIERKHRKER EEGDISSVFSSLSGEEEKTLPPRFSELKRSVIGDEANQQRLVASWGRLTQHLARVAHE IESGQQDCIPETTYDEFINHRTPVLEQRIKTCGSVIIRDVVDEQTALNWLADLKSYID LNPSVKGFPENDKQVFELYWSRSQLSARSHPRAMAVQRALLGLFSHTPADLVSLHTPI TYADRLRIRHPGDAQFALGPHADGGSVERWEDETYRRVYHSILEGRWEEFDSWTIGER AQARQSMYDGPGSCGVFRAFQGWTSMSNTGPNEGTLQVYPLIKELSAYTMLRPLFRQQ PRTDLDRDDYLAPANWELDLETSRFPGSPLARGQEYNDTTHPHLELDRTMIAIPRVKP GDQAWWHGGEFDNSKDAIHAVEKVHNGKGPSAVMYIPAVPLTLQNAAYVNDQKQTFLK GKPGPDFPGGVGESNFEGRGQVEDIESLEGRRAMGLEPFDVSGSLASGEREVRKQANT IIGS L198_03931 MSSPTPTYVTIDTSVGAFTVELYTAHAPKTCNNFAKLAERGYYN GVIFHRVIPGFMIQGGDPTGTGRGGTSVYGDRFPDEIHPELRFVGAGILAMANSGPNS NGSQFFVTCAPTPFLDGKHTIFGRVSSGMKTVQRLEAVRTDKDDRPVEEIKIHRARLG DAERGTGLEVAMPAA L198_03932 MGKNGLFGSFQGIDAFGKTMEDVKIKTRTGALLTFISFSIILTS VMLEFIDYRRIHLEPSIIVDRSRGEKLVIEFDMEFPRVPCYLLSLDVMDISGEHQSGF EHSVTKTRLNKEGQVISQVVGKQLKGDVERAHLEEDPSYCGSCYGATPPENGCCNSCE QVREAYVRKGWSFSDPAGIEQCVEEGWMDKMKEQNQEGCRIDGRVRVNKVIGNLHFSP GRSYHNNMAQMLQLMPNLRDANHGFGHIVHKFRFAGDLEEEEEKAVLPREQQWRNKLG LKDPLQGVKAHTEESNYMFQYFLKVVSTNFVSLGEEEIPTHQYSVTQYERDLRTGNAP GKDSHGHMTSHGVLGIPGVFFNYEISPMKVIHTEERQSFAHFLTSTCAIIGGVLTVAS LVDSFIFNSSKRLKERKDEGFGGPGGKML L198_03933 MAQKQRANQAITLKGSTAIVTEFFNYSINSILYQRGVYPSDEFK IVKKYGLPMLMLTNEEVQEYISTILTQVHEWILTSSITRLVLAIKSADTGETAERWQF DLYTDESALDPLPGGPKATAKGEKKKDKTEKEVQGEIREIIKQITSTVTFLPMPEGEY TFNILAYHDGSSEAIPATWDDADPHLIDQGKVEQVRLRSFSTNVHNLEALVSYRMD L198_03934 MSSSTGFEQPSLDTFFKRKNPPQSPGAAVDEDGIIDLTESPPLK KARLPSGTAQAKKSMSNPSSSYFKKPLVPPKTTSAQSHSPLPPISKPSQALSNYGLPR SSNAPPSQSGSAFGTFSVFSQASVVPDSQPDPPASQVIRRTEEQERRREMWQTRIASM GGALRRRRSLALDEAAAAEARKAGMDMPGSDDEGAPIEVQDDMYPEEEDKTKKANARL SRYSAKEPVAAKVKGKGKGKAAAVGPSGQTYTPLEKQYMEIKEKYPDVLLMMEVGYKY KFHGDDAKMAAQELGIVAFPKRNFYSASIPVHRLHIHVKKLISLGYKVGVINQTETAA LKKAGDNRNTPFTRELTHLYTASTYVEESSLMSSATDADDLIHPGAAPPPTNALLVVV EDPAGASGDNSDTAIALISVTPGTGEVTWDEFTDSRVRTELETRLAHFRPTEMILPRD LSKPTRKVLEYYSGGSKMKGTTSVRVEYLEETPDYDESFDLLTSFYHQNSKNGASSAQ QTNATANISSGDSPQEVTEGEIGDAVPLAAGINHGSAILKIIDFPRRIVIALAIVIRH MKGFGLENALLYTSSFVKFMNRSHMLLSSNTLSNLEIYRNQTDGGLHGSLLWCNCRTR MGKRLLREWIGRPLLDVAALRARADAIEEIIDDNTYLLGKLRSLLVNMPDLVKGLTRV QYGKALPTELATILVSLVRLGSEFKPNIGNVFRSSLLNSIPNTLPTIVERARELLGAL DMKEAKANNKAVLWSDPDRYPDIQDVKDASLCMSVCESELDGHLREIRKVIKRPDLKY VTVSNIEYLVEVNNKSLKLVPPKWVKISATKLVTRYHTPEVLQLTREREQLKEQLSKV AHQSYLDFQAEVAQCHDFVVVSKQVAVIDCLMSLAQTAVSSGYCKPVFVADTELRIEE GRHPMAESLKEDAYVPFDIDFSKDDGATKCILGPNMSGKSSTVRAIALIVCMAQMGSF VPAKSVTLGVHDAVHTHMRPTYRYIVVLGADSSLASDEIGRGKSTFMVELSETSDILR TVTPRSLVILDELGRGTSTYDGVAIAYATLSHMAGVGCNTLFVTHYPTVAEQLARSNP AQVSNWYMSFDETTLPDGSKEITFLYNLTSGLANASFGVWCARLAGLPKAVLEKAQLC SDELKIDSERKRRNIVTKRTQQLLLDSYSGDGEHVHILRNAEIVWGSLDLTT L198_03935 MASNVLPLFWPLANSAKETRLDASASLVNSLQSFQQSYAGPSKA ANEEAQDDEEQEDQDSQSDDGDESGMEVDASDDEGEDGGMNVQAVKLDRQMSRNNAED VVYSVKRLVRGLGSSRESSRLGFAVALTELMSRIPTITAPQVFSLVIRNSQYSKNMKG SDERDMMFARLFGMTAIVQSGALFTAGAKAEDFEGVVQQLVALGNAKAWMRESSWWTV VQMTQALAGSQVAWKEDALKSVLASAFEEKGWTQEKVALVLILEQCDLDIEWKQHLAP TFKYTPLLDSHNLVTLGRVLKETTGDEEDGVSASTTGSWKPQLHFVWNIILERYFPNS EPSTSEIDAPFQDFFRVVVDESLFSNTASPQRRFWGFQVFERAFPILPESQMPLIFTP NFMRCWVNNLSSPDRYLHKAAQQIAKRVQEVIKGNPKVGFTLLSQLVGKHGRTDFDKV TKTKTVESIMGNLNEEGARDFVDYLKDIITGTSQDNLDAARLDERRLWALEQIQALCR NGNVPKKDAWIAPLLDFMVVHGFFIIRNVNKKSSISAVHTVPRPPLSEVTAAACRAKF FACIVELTIAAVPRRSSEDTTVPRQQGCDASGKLWIRRVVDTIAVLEKDKKHVEVLTD ADDEIREIRKEAFKNLALLANATKDQQEVAKAFEILISFFILQTYDEVSDSIENIEEI NTAVQTYLGKSHEEVAAVDILLDVLVGLLDKGSSDLRNLANLVFGMVSSELTKSSLEH LAAQLEQSAAEAAADGHSDEEAEDDEGSSDEAEDNESESDEDDAQVDDEEMGDVDPEF RKRVAEALQVSGMGGDGEEDGKSEADSEDDEVWDDEQMMKVDEQLAKVFKERATASSK NDKKQNLTESIHFKNRVLDFYDTYAKRQSTNPLILQVVLTLLKLVREGGVSEAEVANK AAGILRGKFNKPKDIPSTADISTATTILNDIHAMAQKAHSAEFSNLCSLASLFVARVV DASTSGSKSSPVVDAYRSTLKDFVTRKASLVHPPFILDFIKRFPFQGFALSPDLISFV APGVAVNSFRQVQVYSALQTLAQHLPVISKTVPASDVATFVNDASTRVFTTLEAASTA DSDSKESLNAQRLKEVVKFALQLARNSKIVGVQWDVKRVEAVGSQLKSGDRTKEMKGV HNMWGQLEGILGNKKAEKSKSKLEHSEGEEMDVDSERKVAETSNGKAKKAKRSSDAAA EDDKPKKRKAEEGAEKKKKSKSKASSS L198_03936 MTQVNLVLLIELAAVVVGATAFLFYWNRLLASVLAFLVRIYSWR VLHAYVSIGSLQISPLAGRISFRDLEYHSSNISVRALNGHVTWRYWKLHVRSELDTQS TSRKRNHLPCRIVVYAKGVEAFVYNRTPAYDDIVERMKKHEKEANDEKLRQTRSTESR DEGGLRSRLRNLGRMSTRDSSTKGIMNKDKENSDTSPTHELPAQIKPLKASSDSVNWF REALPLELRIIAGSIVLGSDATPTVLIGDFKKVEGTLETCDSRSILDQYKLAVELKFH EANVLTRTNVDHSGPLLAHGKKVYDELLKRQADLSRQPPSVISIFTGFHLLAKQFPFL YDPKFSTPPVPGLSTSKLWKGLARYRLPEDSDPTTPQNSTQEELEYAKVTHLLEAPEL TLTYYSDTPGIVPDPLSAPFIDPLDEIGNVDLPPEYGIDITIHKGIIKYGPWADRQRE QIQRAFAPALFFDSEPRPRLKQGESRLHTILVLRIMLEDETTLRIPTRESSKNWQYDN ASSNTERRYGWLDVAVGPNSSVVYTQEQIATSRGYESMLVLHLDQLAISSSVNLDTFI RSKTCKLSMAMPTPLPWNAQRDWGMDVAFDSPAISILRDHVTLISDLAKDWSSGTTGG DYHHFVPCHYNFRVSLINYAFHLYINDYNIVDAPGSRDSNAFMDVYGPRLDAVVAVAS TQYRPESSVVPFNISLSDALVELCVPIWDTHRTFGTDVYEIGRVGSLTASGSYRYYAV ARPDHEENLTLHLEGKHARFKALGWVLRRLFCVKDNYFGSFTQFTTMAEFLERFDHDP ATVGDPVEEKWRPGRSDPFAAHITMNIEESLIVMSDEIYNITSGIALPVPQLQLTIKS VDEFMELALNATPTYIVAAPSLIDIYRKGSAPLLADDQVIFLEGMELKAIRLFGPQPR ATTYLCLWEANITSVTAFLTGDFISTLKAVGSAVGYTFSDPENSPDQNYQLKTPPDVT FFKLCVEKALVMLTEDDHSISIDIPLGLLLDTSTWGTRSHSSNTSMLVPSLTANLLSR GPGSQWQVVSNVCLGMTLDVYNAPERWQEHVEAQQRFLRDQDTATGRIWFLYQGGKPQ KRRHVNGLYLPLPLKKNPDTLANDSESTHSLIDHHQQNKRPSSRDSDSSDDMQSPTIP LMKRTKAKFASGSIPGGTNGPSDGDESDTVSSSTSTEGSRISVSPNLRVDMADGLDIR LRHYRLAHSQHIHSQWLPLIDGSGSRDQKDHPRSEGGLENGKVIRIGFNTIVGNIKPE ALPAVAKINSVLSHQDDSYEKRLDRLLVNQYDKIEDAKSSEHPTVYDIRIPAFLVCVT GPDVSASTMVKVDNTKVDIHNYAPQRDLSPTHKSVLDLKVSVSSATITSYASAEKCPL SLVDVDDMAAGIPGSCPIAHVSLGKLKGSLYQSSRVRLQGTISHARLYIVTSFVPFAV AYADFWASTVKQASLFAPEATLDSEMLFHVLSSAVDSGRGAYLPSFAYVAPYGLHEQD SQLGNRRQTGWWLLARFREWLRSGPLNGTFDRQDLPTRTSYVLAELLRYDDSLQGASQ IVEAQPFFHLAFMKPSRTDANSGQRDKPTDVSLYVEELAMRHRGRSLGSRTEFTSLIM INKSSVGYSVISASSEKTSCQSRLMAAIQSVNLQIHDSILALANQAVHTLAERLNGPN ASTDLLQSEPVGTTAMAVSVQITNASADVVGGNLRLHLGAHNFLVNGVSRSKVGTTRS SKESLTASLDILEFALLQPHGTIEARPADRIVLSLRTTGWASSLHRFTSSDQIAKVRL LVGLKAVEFDSRPQLRALYFFVQEWKAQELPLYASSIEDMREVLKNHKSSSPNHKEPM VLSELDITVQRLQVQVRAARALWVRWDIGKVYTSRVAASETIRFAFKAAPQVVGAYAS RKSKSSDATALHLPSVTATGVWRLLEDAPHVSADVQVGLFTGVLRPAMLDRLLSLHQK LGEDLKELVHAWQHDVAKVRDKLRGKHPGAPSPAPTAMRDIIFNLNVGVAGLRFGLRA EDVPATVMFEALAITGTANNQHHPDKGLQWGAQVNHFSLSLGHPYEKGSLQNFLPISR PCTASMTLDFWVEEIPETPTSALHLKISLSQVRTVMHVEALNELIDLFKSWSSDLYIL REHRAEEMAEVKEQTTKVLKKLESADSAGRPESSWLASRLLTVKIEGVGIAIPLVQSA QILSGGVPALLFSIRNISYENKHNAIARFRMQTMALQFVKQFDLGKTDHYTHDAHGTK NCMVLPAIESEAQMSSSHDQWQLSAHCSATDFKLVLSPDVSDGILELINLFEQGKERI STAEAQYKTEMAKHAQESLTTKYDDHLSPMPVPRSQSILLRMSFTFNSGVVELHREPS DAERQTMDGETRRGGKGWHDVVILPTVSMWVEYNGPAQDEEPLLLFNLAVHESRNLLR PTILPFFVQTVNRMARRQGSSVPSLPVSKTSDPAPVVQAPKRTATNVRVTLRIDKSEL RLSCAPDSNSYVDLKWESGGFFASFTPGTKGHTTVAGSISGVTSYLKHEFAEGRSCIE AGAKDISFSVTSGALGDQKFLSVALDSQISAQFRLEQFSAWLAFAAVWIDNTPEIQRP AKPIVESSPSTLPNSTVITTLVRFRAIDFDANIGVTKANLKISPIVIRAVSNGVKTDL CFNLGVTHVIARGDISGDIRSESLSLKTTRQSSRSGVVNDPTVLSMSIDAGHLSGDLS LQELGVISFTLDPATVTLADDWKSFTQDGTAPVILSFAVKAGTFRSVVRLLAIPALLN KFYSVSNTIDSQERIASHRSNTYKLGQARKSTEPTPMAAAILNTARRAGQSLSADNTV NTAQNMRFDLGGVDIGLFNAPPSENQRGDFYRFMIGKVEADLKRQVTTEGMPKRDMNL LVSYLEWVSSDGVKAAKEVKKDRPLKETIHAASAYHRKEIASLPLMTMTMDSVEEPKP LAIVYDFDLVWGDGDLDISIMPYFFEQVYKTFDTLIKGLDQEQLTRARRRGDGDVKDK GVAPEEQLAFRRRIEGQRPLPIPRLKLLGEATGEAMTWVPKITAANERLPVMVHRFVT LPLEEGMDLLLRLYEKQLPDKAK L198_03938 MPTAHDIRRKNANFAARAQAGKRTARPARSTQRRSVGTWVLIVM GFLLVGGTIAELVRLLVYGAFF L198_03939 MGRGLDPVWEFYYRIDQDVHSLGLKSKANTAHNNGWCRNCVRAT VTQLLNWQPDHQLLVEAGNEETARRYKAMSELTPLTGVPSRLRSHLMKCPHSRHVEIP PASVQKRKTKGGDGASGSRHNLSGTPTKGGKFTADGKFGEEEQGEFNVHLYHLFVALD IPFDLVSNPTLQQFVNRYIPGAGLPSRSLFYTLNASRSMGSTAPTLSAAQGATGGSPD FQGLAKLAANFAAVPMAGVGEQETEEGEMAYQQ L198_03940 MAFTSNTPPSTTDIQIPEYLNPNTLFQPQEQNVTNTFLGHPKAD GVTALAGAGQSDMASILLNTSRDAFKANMMQIDATSQLPVWQEESWDELLGESVMRPC RNRREGGAIHGSSTMASRYIDPQFLDIPRSPPSTAASPTSEHLLPEPFDVRSVLEDLD RQNDVFSQEGFTTMDIDSSGPILPGTVVGGRDNDRNEPHSQIDLASLFNRLDHAGPTL TLQDALKQSQLFVNFDDDVSNTSVHPENLALKRKAFEGSNHGVPDTKRRDMTFEVDNL LSLTAPLTMTPKALLANAPDSPLLQIALKSDSSSPDESTASVANVKASTARPKSVVPE KFIGDGSAETALGMSTAAIQSFPTFEELLKHVHPDNYARAKAFGERIAKNRLKAKNAA KRSRDQRRAKIEKAEELEKETEMLQGKLDGMRTLLSRLVANGTLSKDAVKGYI L198_03941 MANLELESDSYDVVVIGTGVSHSIAAAALAKAGKNVLHLDPNDY YGGDQASLTLDELSEWIKRQPEAGQAEGVVAYSNASATALTPALEADRRRYSLSLFPA LIPSRGSLIETLISSDVGKYVSFKLLDSVSIWNQEQGAPQRVPGSKEDVFKDRSVTLL DKRKLMKFLLFAAGEFEESEILRGKEDQPLLQLLQDSFGLSPQLAASITYAIAHCESP DDKSLPSLIRTRRYLRSIGRYGPSSFLVGQYGGAGEVAQSYCRGCAVFGGTYVLGSPS IPTSCVVTETSATISIPCHPRPVTANHIIASTDYLPPAALTKDETLSSHATVFAHCIA ITSSLPDVLRRPRATESQEVDQDENDDTSLLVFPPEGDNPLVRCLINGEGTGSCPAGQ YIIYLCASSDTSSDPSALLRPCLHRLSERPTFEAYYTCSRKSAQETASTPHAVVLRPY PGKELLTEGLDWEAQEGERAFYEVMGRDGKPFFESEEADVGDGQDE L198_03942 MATSLLAPVAYISVLVTAMAIFSRIYRRRKAVAKTSFTPYFSTH PARDIYVSLLSNSPPTPDNLLKSALLARAIVDIQRLAQLRDDKMALNNLHTRGLIGDD TMDRLAAAEKELEAEVLDVVSEANSFKQGWGQFVFGTASEAAQAEKTRNTVMNMHVIR QMEEKRLERRNKYLNLSSTAQLPATPNAPAVITPEASTPSPQALPEVSQEAPVANQAT ATASEGVASPNGKASVGKKKGKKK L198_03943 MTAVPISTRAVRGSFYRLSACARCTSHIHTRAFSYVYPKPQLSI PYSKYPGYSKGKGRAFPSGGGKGVDPEEEVDDREWDIRVARAMMHLQETLPQFFNPEM TASNMLSPEVYSQHMVLKLPAPLPLKISSLTGYSMAFSLTRNGMQALHTDLRSDLERM TFSPAPRDLAKDDKRAALLMTQKGVPTHRLKQIRVLLSVYGTLRLPPHSEARWHTSSL YTFSPTSGLIVSHEVETIRPLPGEGVAEWLMSRLLGWTNRNGVAEGAIPCPRTVALPP DSSIARLKDGREKGE L198_03944 MSRFARTPPKLANEYLKEESAVTPGPSRVRINQLHGQVSELVRK NQTLDASLSYLKRSTTANIYFQRKLISEATHYKLAIQTKDDEIKAIKDASRIVRKELE WFKKELEHCVSEGSSMRDQIQLHSGIQQQKALIALAQEQMRVVEIENQLLESDRARVL RDHKISLFQAREEELLADLEERDAKIDDLESDLAMMSSSLEAERNTAQSATSARPSSK ELRQAQTEVLSARAEIASLQTKVESLESKTRALKSSEKEAKSELENWLREEGSVSSKQ KEKTELRTQMRGLEFELGKRLEQVEELKEDLKKAKKDGKERERLPKDKLKDAQEKAER LQEEQEESRASAHKSGTGKRDKARKASPEDTESEDESPKKKAKKAVSVSKAPFPNAKP QSKAKRAAESSLASDSEADRPATKKVKALSKTQATPLEESDTDNKIKSSKVKVAGKNE GLEEDGTDISKDGDEKKKKKKRTLGIQPKPSFSWDHIMDSGDGVIPSYLSPAKGGGKP TGTIPRMGLSIPNRMKRFG L198_03945 MTKSDPQHPIDLKRGPSFDDDFSAHGKLANRSQGLLSPRTAKDE HSSDPITLTPTSLSTREARQVIAAFPTSPSNPLNWSGKKKWAITATLALTGFISTAGS SVGVPGMHSVMEEFGVKNEKIGVLISSAYVLGLGFGPFVFAPISELYGRQVAYFSSQF LYVIFSLGTGFSKNMPTLIILRFLCGCWGSPPPSLGVATCADIFAPHERGKPISLYAL GPMGGPVIGNMVGYWLLFGGWRWAYYFITILSALNLTLLCLILRETYAPATQKILTHR ATHPPAPSSRFGRYVPVLSWMPAMVSRADAKAVYGRAFSRPPRLLFTNPVAFGFSMYY GYVYGIIYLFIIGLPLLYGKAPYKQDNLFSYQWPLGTMGLAYISLGLGFLIAAAVTST FQDRIYKHLSKVNGDKGQPEYRLVMTQIGMCVMPLGLFVFAWTANAEVHWIAPCIGQA ITGLGLMLAFNTLQNFFVDAFYPYSGAAIAGATATRSVMACVLPVFVPEMFSKLGWGW GGTLIACVALVGVPGPIVMFFRGQRLRERFAFQG L198_03946 MSKIGHRKSLSTSALSVIASSNTAEAAPPPSKPQRERRGRSHLP PGSNEAQPESASLSVLHGLTGMVEERRRERTGDAVKEVKRERGEGSAEELLHDLKSLR SSSKRRLAALDGLGKNLVYACQAEDASALEDFLSLTRKYSVTIAAQADLFAAYSTLLS LLNRHASALSLRSSHTSLPAGDDLAIGLIPELHAIVSMLQGLCLLSQNCKEAIGDECV LEMFIDSLLLLRAQPALKGDKPICYGILELLFCILVDSPKNARTFEKLSGLEAVVRVL KGSGVTKDVRMKCIEFLYFYLLPESEANQRLIASSNADVSNGSLYPPSPPSLGNNRHG PHSKPGQAELDDVNVAFVPMTPRKRPQPSLGFLTPAHRHVSGTSYSSSSTPALPPISG SPIATPQVKVSQSSTGLSRLLDEDSPTERMTPRSASMNRGSSDESGRLGLGMPRTGMS RSSSTRPNMSGLSTDPFNLASSSERSHSGSSGSSTVVPSHGIPRSQTQMLSSRPASRL GRSESLRRVSPSPAPREGTAQRSRDGSSGSSLAPRTPRMRHSRTQSHLSGLSPPPPAS IPDVPVVPSAHKPGLSKPRAFPANLTRGLPPSMSTPSLAGMAASPKVVLGPAKRVSSI TQKAVEKGHKEGEIKSVAEKKEMLGKWLGNVEQLVQGVEKGSFWGSVGKERGE L198_03947 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG A L198_03948 MPRKASAAHDSDDEDSSRAGSAAPEEGAKGPSSSQVRKAQNRIA QREFRLRKQQYIRDLEAKVQVLEGNKEERVGLMTLLVRNLLKENKDLRGMVRSMASFV GEGLGSCLPRLGLTADQLDAVVNRADTDTAYEAFLNLKATREMQDANPGIKMGELRRR STAAGKRKRTPETETPSAAGDEDHMPDAGPSSASKDKGKAPQSEGAKRTKSGDGPFIS DEYTYLFPDLDGMFMTSEFDLTSQSNMERPSANPPPGQPRSYQGYPRQVANRPQTNGY GGPSTYPTDIDSGFGLTLPPSTLGAPDLGAATGQSPSSSYPSAPPMRQSQAHPPLVTD FASTCPPPPFHAPSRPHSQPSSLNRTPPRQMPDRETPAQTVARLVPPDRNNFGVPGMS QEELEGRKKAQDQLVKSIEESDASDRKLEAMQLITYHLNNFRMNHEYHLPPSLRPTVI QRTVPHEHAIDGICFPSMRDRMILLRGRYDLVEVFHALLSEFTLHGDDVLDHRSYEIS EKFVREFTILVDDVAVDISNKWRAIRGEPPIEWPLRNENGQVSEQPPSN L198_03949 MIPSPRRAASTPAVHTLSEDWDDDEGWQDMPVVRSEANPFGLDD EDQKKYHYQAPIRLEDDPSSPNPAGAGNATGAHLELEGETAATGSWRAKIDQDESDYT RLRLDEDEESEEVHMRTRYLFDEDKAMTPLSQMQATKELLTEGQRIAYVGLCQLIMRR MLRDMGRGWEGYKAKKVLGIKGGKSEVPVVESGHIWMLKIMARLYQHMELSKDEQRMI ESLAEHGVDPSDLVPALMTTHTVNNPDYDPKEKQRADLEEAGIIEQQADAETLREEKS RRESHEAEEVSDTETEAPPPYSRREQIESVAPTQSSQKSPDLELSSKPINPFGFDDDD ETDMSIPAPSFKSLKSREPLASTSPKSVNPFGSDDEEDVPPPPTIKRTPSSDPVGDYS GDIGGALSPPLSSTESTASPFSPAEPSLPLTPPKTAKSGDEKAAPAKTEEDMDEKAKD LDEGEKTPKNDPNVLFDAADRQAPAPLPSLPGVSTSLSTTDQLVTLDIRWTILCDLFL VLIADAVFDARSRTFLTQVAAALGFDWLDVVRFENRVTEALEIQEGMEKKEQKEIIDG RRKAARNKRYAMMGAAALGGGLVIGLSAGLMAPLIGAGLGAAFTTVGITGTTGFLAGA GGAAVITTGGVLTGANIAGRGMARRTREVRTFQMKPLHNNKRVSCYITMGGFMASKVD DVRLPFSVLDPSVGDVFSILWEPEMMEEMGGALKIFGSEILTQVGQQVLQATVMTALL SALQWPLILTKLGYLIDNPWSNALDRARAAGLLLADVIMQRHAGVRPISLIGFSLGAR AIFYALIELARNKVYGLVQDVFIFGTTITASRTTWLEVRSVVAGRFVNGYATNDWMLG YLFRATSGGLNTVAGLRPVESVQGLENVDVTELITGHMSYRSCMPQLLKKVGFPVTAE YFDEPEDPDLDMSVQERTIVNEAEEEAKKNRRKILGIFPVKQTSSSNSGQNTPNAGAS TPTTTQAAAGEYELEDDDDLPPREEVDIGELPSAKSHSPASGMAKEATDAEDAAQAER ARAVKEEEDRRLEAEEVKAIPKTAGFDFQAISRELGKDIDVDKLREPEPRKLPPLHVP LSEPRPLERSGSAPPPHDFAPPPVDVAWSSTAPDNGKSSRFFPVELDDGGDISGNTYK VRQMSLADDMPSWGNPEPVTSPSPDTGHQSSSTGSKWAWNTWGSSSSSTGTSTPGLKL PKAAPPAKPHPPEFLNAWGDSEASGGFASSIEVNSGMNSAAGYRADKMRIDEERMAEE NPW L198_03950 MVHLSLDSTIKLPSGNLIPRLGFGVYQARSKECEQAVLKAAEAG YRHVDSAQGYHNEEYVGRAIRDAPVPRSSVYLATKYMPSHKVFSPEEVLQVLRKSIKK IDRSGEGEPYIDLMLIHAPWGGDEGRRNNWEALGLAQKEGWVKDIGVSNFGVAHLKSL PGPLPAVNQIELHPFCQQRDIVEYCQEKGIAIEAYSPLMRGEERYWDHPVLVKISKKH KKDVAQIAIRWSLQKGFIPLPKSATPARIQSNAHVFDFELSSEEMVEIDGLDKGTEGA ITWNPVNHE L198_03951 MPGNWLRGIKGLVTGLLLASAFCSFIIDIIMILKVRHYSSTYPP AVVALIVCSILEWLYVLMLMIMPRSNMFRATSVAAVIGLFTCFSFACIVATTVLRHHS KYCDTSLADNGDLCGVLRGTEGLGWMLFGFNLIYLCLLPVLASGGHWSRTIHELPYEE KFVDEEKAPAH L198_03952 MAVRGTQESAPTHTKLYRPYFAPAEVERLSAKQRGKLSVSREER ARQQACSFIDATGVRCGFPRRTIATAQQLYMRFHLFFPYKDFNYIEVSLAALYVSSKL LDTLKKPRDIILASYPIRFPQLVRKGTIDPSVAQAHGLDSERLRVLSIERLVLETLAF HFGAQDGLKVVIKIGKRLGLSKSLCGDAWRVAIDCHRTQAPLSYPPHIIALGSIYAAS LLLSESDLLSEPSSTANEMSISWVVDLLGNEGGWEKDYITSVGQVDDVTHALLDLYHS IRSNPENQPLLTPSPVSPHDHPPSAAPQNPSSLSSSLTAFPLPSYWTPQTLTELKIRL RERRGSVATVPPTSMWDGREEGETVQISDGMGRNDATLRFLWDEETISGGDVGP L198_03953 MVRLITHNMLQCHVKNCTKDNFPLVFSEVETLIREATFNPDFIQ RFLPKLDWRALVDTARSLGDNSLPEQMPEEFSEEQLQALHYVLFELNVEEGNMTCRGC GHVYPISNGIPNMLLAEHEVGR L198_03954 MTAAPPTVPSDHNGNPLPRAHAGPSRQPQREDSWAPTRYLEPPH PDNEEVLEQAAYQAAVTRAPGDDRKRKIKPRRTVDYQGGVQKWRMLNKLKGIHEFRPS IHPNASDIVNLLPPMALRSNPSTSVCDYWVHTSINKERSPTRVVQWTPDARRLLTGND KGQFTLWNGASFNYESITQVHDDSIRSFTYSHNGQALVSADKGGTIKYFTPHLTNIHG FQGHREACHDVSWSPNDERFVSCGDDGLVKIWSYREAKEEKTLSGHGWDVRCVDWHPT KGLIASGSKDMLVKFWDPRTGKDLSTLHSAKSTINACRWSPDGHLVATGGQDNVIRLF DIRTFRELEVLKGHQKEVNTIEFHPIHHSLIVSGDAAGAINYYSLDSPTPSEPITSLS SAHEDAVFSLSWHPLGHILCSGSRDFTARFWCRARPSGGQEDDKWHLGEDGAAQRELE KVHKRAWGVPARSEKKEEASLPGLSNLMAAVGNNNSHSSSLPGLSAPPGLPGLGGLGA SNGGPSRTSTPGNMSDAGFRRGALPSQGDMLKQNNVPGGRFGERGAGAPTRSVSENRD RPPFRGAPGNAPPASASGGYPSAGQGGGGYGGYPPPGAPQGGFSNHPPPSGGSGYGGP PQQGQAGYPPYGRDGRDGRDGRDRQGYGGGGAGQYGSSGGGYGGGGGRGGYSGGGGKG GYNGGGSGGYGGRY L198_03955 MVYDPIRDCDFPSPSIQDSKRYPVSHYAQPTLSDRDRELGEPSS AHHPYSSHSRAVSSSSATSHSPPPPVQRIPSSSSSLRGLLNDPEPEVPRRASERTPSF SSAGGDTESHKPKLKNLLNDDPPVPTSPSTHSGGSLVRGSLSPKRAESRADSSGFLAP ATPASAVPGYPSRLSISRSPLLHTSPPTHHIPTPSHGSPVYSAGYGEPRPGSMLPPLQ PHHPHAEYEERRTSGSSFPGASIAARSPSISVSPRSQHQALPYSTSRPGSAHSAREPY TYSHQAIHLNRQNTLSPVISNRRLSEDQRPTSSSSGAGRRHTEPVAQSPVGMTQPPPN SVPSAYQPRHQSTPGSYPPLRVTPQPFSPSPSHYTHHAVVPPPRSRPYHPDRPTRVIY ADEIDHLRQLARNNNPLRRKSGHAPSASRSASRAPEPTPTPRRSLPYESDTSYFPPQS AQSAGYPPQQHQWDDRSAVSASGGSINTPTPGGHGHGYPPNWEDQAASGSLQKGRGDN GNGYGYGHEKGYRQQNLGYDQEPPHGTKRGREDEVEIFPSKRAVSNHQAGQAHSKKVA QVANFYNSRPDVGVERREFSPIIGLKKFNNWIKSVLIGKFAHRPRGKVLDVGCGKGGD LNKWKQARIALYVGIDLAEQSVDQAADRYQRLKNAFQGYFYAHDCFSNPLSDVLGPEL RTRDLYDNVTMQFCMHYAFENAAKARMMIENVSMYLRPGGIFIGTIPNSELLLERLEE LPEDDEQLTFGNSCYSVQFSRRHHTGVYGHEYRFYLEDAVEDVPEYLVNWESFESLAA EYNLRLIYKKEFHEILSEEKDSRDFGPLLGKMGVLNEYGESAMDGDQWEAANLYMGFA FEKLYIDT L198_03956 MAKLSTIWRSITLSVDAIICLFMIIILFISRDSVFRKETGIFTR VISLTFETTLPPSLSSSSSSVSMARAALPFDVRQVLICALPPVYYNSALHALVGRRSV RRILDAKLAADGVQMLCGQFHGDSSSQTLDRKGGGAHSPFIHAGVVENTGEIMEYQKM TMFGGKGKMGMTPPMISVETSTVISEPDRRAEQKRSVSQSRLGGR L198_03957 MAAIPIASRSISTPLFSLRSFLPIARPSWSTPCEASSSAAPSRV SSSLTLVNPPLSTPSWTSLFPSFSLGSLLELIPPIVWASVPKKKTSHGKKSARSANKG LKNRTNISQCPACGSVKLTHHVCPTCYSQISRKWKEEARKQLQLGAQ L198_03958 MFQPRNNLIDPEHRRLQTTAPETDKTLSGFNEWKRKFELGHGSI NSLKIPDLPPPSPPPTTKVFPDETVEKDPTPAGSTSSAPAAKPAASKPAPILPPPPSS TTIESPLTAAPLESSLDDVEEEPTVALSEVQPRPYFTREYSPPADLEVPPWVPVDNYR PAQTPVSPPPSLMPLTSAYPSELPPLPPLPLASKRRRLANSDPQPDMFQLSSSLAINP VSKDLSHSTKCVLTCDWKIAMEELRHIRAMDKIKAKKAEGRWSLRQPKKARAPPIAKA HWDYLLEEMEWMRTDFSEERRWKVVQAREFAYEVVEWHLASSDERKALMVGGRGWGES NKVPIPGHSGKRRLQEVATQEAEDDDVEMLVGQEGDLPGEGEASRVLESMDEMKEQEQ ARLAKKDEDAKIAKASETEGEADADGEDDADGEEETIEASGSAQVGLSEIDAVKTESD ASVKPPRRLSNPLVINGITIDKRFSSRDDLVATRKPVLDAPLAAATINLETLPKPAPV SDVDSKALPASPDSPPSFTDLFPELASYDGPSPPEEQEQARRPEGGSSRIAHTSRLMD IRPTFVSTLQPAKNLRNGHWDVHDGPYYEPLQNPTIPNIPVITASSTLFHGVHKRSLN SLQPHDMTKPSAHHLRHQHPWSTEEDQCLVRLVEMYPFNWELIAESYNLEMVMVPVEK RGAYECWERWYYAYGEGKNKPRPDALPPPGSAALNAGNNTPVPQSAVSTPGIPSAIPS PARPPQSATAIGGISVPSLPTPLGEGLPDGAPPPPGMSKREKQQAKPKYEGTKRSIRH QAIYDAVKRLNRRREAAKVKSYKDNAQRKIINVHESHVAFTSDTSSTPWELVEAKYQR DMQMAQQRQQRAIQEQQRALAMRQQQAMLLAQQQQQQGQMRPPMQQQGQQMPPNMPNM TPRVGPNGQPLPKLTPNQQQLLNGAVGPNGQALPPMPPTPQQQQQILNAVAQMNNGNG SPRMGPNGQPIQGLAPSQQQLLNAVALASAARHQQKQRQQNGAVSGQGTPQMGMHPPP RPPQQG L198_03959 MSEPKQGPELTPPASPAPVALPKTDQYAARGTIPNTLFAVAVIA AVLGAVAGGSLALAGRRIWDAVGGEWARPQLGLYLAAMAVFHLMEFFTTAGWNAQKLS VDAFLLNNGKMYHLAHAVGLAEYLISSLVWPSKFNSWYCSLPWLGVVTILMITSQIIR SGAMIQAAQSFSHIVKSKKHDDHILVQHGLYSWSRHPSYAGFFYWAVTTQLLLGNVVA TVGFVVVLNKFFSDRIADEERWLCRFFPEYPAYRQRVGTKLPFYFAK L198_03960 MGRIRKKNRTHLKGPQKGEAEENVPKSFVIKSGHVTKAISQLVR DTRKIFEPNTASRLRERPNARLRDYLTIAPSLKVTHLLAFTLTDAANVHLRVARFPQG PTLTFRVQRYSLTKDLVGAGMRGIGKAPGGEYRNPPLLVLNGFQQPQNGAALPQLRLM STMFQGLFPPIQVEKSALPTFRRVLLISYSHVTGLISFRHFTITVRPHGVSRRVRKLL TTAGAPSQSASSSARSRKGVDLSNTDDIADYLLRRVGSEGGGTPGYDSASETEASEGE SDTNAVELPEDYVGRGNKKGERKAVRLVETGPRQEWKLIKVVEGLVGSKRGEGETVFH EFVHKSSQEAEAMQRQHEERRDLKDERRRQQAENVARKKKEKDRKKGKNVEESEEEGD SSDDDLDVEGLSDVDPEEELEKLRQRKVGFQGDDDDEDFEYEDRGAAADVEDLGEDDG DDWGSDAGAGEGELTSDEESSESEEEEVKPAKKKKVAGKRK L198_03961 MAEYKEDLEKSGAGAQKIHKIRITLTSKNVKPLEKFCADLVGRA KDRDLNVKGPVRLPTKVLKHTTRKSPCGEGSKTWDRYEMRIHKRLIDLHSSAEVVKQI TSISLEPGVEVEVTIAA L198_03962 MLPSIARRMSRYDTIILGAGWAGATAAKSLAAKGHSVLVLEARD RIGGRASTWQGGGAKIDVGCSWIHGYNEGSPARDLAQEVGVEAILPKEAQGVIYGPNG LLSAAEAGSLQKNLGASVAAARLPHPSPPSSQSLASALLASDSALFSISSDKALAISL ARSLEVGLGVKLEQASLKWAGWESTTAYAGSDAAPQGGYQAFLGKVLEASKAEVILGA AATSVKEVDAGVEVTTKDGKTYTASTVLSTIPLGVLKTLPGDFFSPALPAHLQETIKG THVGVLEKLLVQYPTAWWPDAENTGSYTFLPTGPEPTASSTLKEVFAGSTLVTANFAA PTLPKPTPTLLTYLSETPARLLLQHPAEEVAAAFHSFLTTRFNASSPPAPSNFTLTSW LTDPLSRGATTTPSIVSPNDERSPMDFKELGRPVWGGRLGFAGEHTEMENRGSVAGAV LSGIREGERVSKFLKRA L198_03963 MTVTQRRTNAQADNRPIIEPGIKYTCDFCHVDITHTVRIKCAMK QCEEVDLCPNCFCEGKEGLQHKAWHDYMVVEQNSQPIFTPDWGADEELLLISGLIQNG LGNWAEVAQHVGTRTKEECEKHYLEVFLGVGDNGQDLRGDVKEEQDRDGDDRKRRREF MPPMNCNFPYDPDEFQQRKKARIEELRKPHALPPTNAPPPVSAPTNHEVGGFMPARLE FEHEVDNEAEMAVKDMEFKMVMGYGGDEQPAAKVTKLVEEEEDEEGEGENGEAKDKKE KIKEDVDEVVVIEKDPPPPEVEDPDELEVKLAMMDIYFTRLDKREDAKEIIFDRGLTE YRAIQAHDKKLSKEERDLIHRFKPFAKFQTADDFETLIEGLIYEQALRKRISELQEYR RMGITTAAEADAYDNVKLTRAMDYPPQKIGDVLPTGARANAGQHRYLHGATSTPPPDI KTRDVTPRALPVVGRKPPAPLNLANSASLDLLSSEEQSLCSTIRVLPKPYLTIKELYI RENERRQGLLRRREARKMLSIDVNKSGKIFDFMVQNGMLLLAYEPQGKKTKEPEGIVG QVQMSQTNGVPEGQMMSGGKEVMMERVNGFGGMGNGIHQPITTEIRLA L198_03964 MTPQVANDLRTELRYEPTTISYLWQRLVPATSPPTPPTQLTIFE PPASTYKPITIPLPPLAKVGESWRLGLFSSGASSKARGAEAGPSGKLLDLVQGPQILG VWSEGIQIIRPSGSGLTPGAVRGMGKQKETQPSSGAKGKNVKGNGKGKPEKDEGAKQG RIIREWALPENEDSLRIVEQTSFDLDKKIWDSGLALSAWLWKYLPDPSTLPSLGPRVL ALLTREEQLSILELGTGTGLVSIVLALALRRRNLGREITATDLDSAIPLMDENIALNP IPPQPQSTEQANDEPEVSPKVTLDAKVLDWDQPLPDWVVRDMPELVIAADVTYNTDSF PALLSTLTSLLTPPKDDKHPLLILAYKQRDLAERDLWEMLKEKGIGMVLIDKVQGAEE GYGETEIWVGGYGLKE L198_03965 MALVDYSSESDSDSRKRPSLTPPPPPKRAKKLPSLPATFDTAPK DDPSLHQGRTRSRPFVDGEYNTHVYLSLAIPPLLLSTLKELLSSFPSATNPLHPLLPD LHISLTRPLPLRRHQTKSFTDDLKVKFAKEVPAFRLSLAGRVKEYFSEVHVENGQGRG FLALRVGAGAAELKKVVDKTLDPLLKISHLPTYHKNPEFHTSFAWTLLGQEETMVLGD ALDEEVERPAEKCLISQELLDKINDRFEERLLAAQPTGGWLIDSVELKISKDITTIPL GMP L198_03966 MPKASSAPTLPLNGLFPIAKPTGLSSMKAIDKITPLLLESKLFD DPEKKHQAQQNKNKRKKNLTQHGLKIGQGGTLDPLADGVLVIGVNRGTKHLNQFLECS KEYESIGLLGATTTSMDADDPVLATAAWEHVTREDVEKTLDQFRGEIMQVPPIFSALK MDGKPLYEYAREGKPLPRPIPARKCTVSIELVDFTPASVTPGDGGHDYRWPEKRLDAE EKENFRKLTAIVHDAQASAKGTEAEAKKEGAVEPLVPDLDAPEYPEVSPKSGLRPPTF TVRMTVSSGTYVRSIVNDIGLALGCGAHVQKLTRTRQGEFPLYGDESALVVPDVQTAE PMSEEEAMNFASSSEEAAASVPSGPSGGSIPWSVWERALAQREERIANDKAEKDAAIA SGMGAEEIQQNFHPEEMKKARWAKGWEEWESEVLKRFKPVPVPISGGHNWRI L198_03967 MKTDFLKIQYQQGIDYYAVLGLEEGASPAEVNKAWRREVLLHHP DKNPSLVIPSSSTGVSGASTPSGSEEQNAKIHLINQARLVLTDPVLREEWLSSFLSNA QQPQKPKEEGPHVFRHISLDEFEPCYASTTSGGTGEAEDEEEPTHFVHPCRCGGEFLI TTEQLDQGVDVVGCEGCGEWVRVGYEVVEEED L198_03968 MAIQTQAPLEPPIDTEGKGQIMDGPSYEERERAAVIIQKHYRGH LARRRYGELKLENEAKWNDLVKQSQDLKYAQEQLENKNDVNSRWRRAAQAASRLQTGD GLYSTPVHLTSNTTSVPGHAGDPSRLAMFEECVPENLDEKERRARRATFWGSFSVMGL GEERDDKKELPFQSKTLEQQHWLEMIDGKHRYGNNMKFYFRKWKEAETSDNFFRWLDK GEGKDLNLEELPRERLETERITYLTAEKRLNYLVKVDKDGLLRWARNGELVDTAAGQW QDAGDGGGIIPAKDGDEEAGDDVPVSGDPYAAPKKRLPKPKVSLATSHSHSKASTSSF SSDSWSAQSSDLDENEDTHYIGLDKANSGSWLSRHRKKITPGGVRTELLRKTVRRNTW IYVSDMKMNMFIGMKKSGTFQHSSFLAGGKVSSAGIIVVKHGLIKSLNPLSGHYRSSI DNFRSFIAQLEGLGIDLSHVKVAKSVLSLWGLSKYSKATKAQKDVFTHMARALHLSHN PTEEEKSQAVKDNAEKEDREHMERMQRLKEAETQEDEKRKQKEEAGVEEDEETEEQRE ESMRRIRREVLYGRQQQNVKIQQEKKKDTKQELRPV L198_03969 MYIPPLVYLIPFLAPAPPPPSTLTFKPSYAHSHYGPTNGSAAPE LFLRDSSESASFHAQDYSLEGLDIFGLTQGDFDASLLLAEGEKEAGLTIRTKKGLIRR PKHRPPRITSWALSQRSKLSLAAYNSSSAWVAPSTSYNPDEWIEEEVTVPDVSDRQTL IALAKMASNAYVLPGGDGWYPVEGWNGNWSDSSGKGTVPFGWEEGADGLRGHIFADEK NETVIVSIKGTTAGVLGSGGPSAKNDKFNDNLLFSCCCARVDFSWSTVCDCYSGGNKC CQTCLEDAVVAESVYATVGTNLYNNITYMYPNATIWLTGHSLGGSVASLIGLSFGAPV VTYEAPGDLLPASRLHLPLPPGLPADLTGITHVYHTADPVPMGVCNGGYSGCYAAGFA LESKCHTGETILYDTVQEKGWSVDIRTHRIGEVIDKVLKDPWPVNGTGEGKKQVDVSG GAWEAVSGLIQYLVDPARLALKPAAEPIRAHGTGEDDASALGAIRAQWGWGRRGPKKD KNKKEDDDDDDQWHKHGGVPKPVSEEDCVDCFKWEFGDWE L198_03970 MSFSAYNTPARLQSVAPKDRDAALPLPKGNAGKSQAPLSTHLIA GGTAGLAEALVCHPLDTVKVRIQLSKTQNAAGSRSNGFFATGRHIISQEGPLSLYKGL GAVVSGIVPKMAIRFGSFEVYKSWLLQPDGSISPQATFLAGLGAGATEAVFVVTPIEV VKIRLQAQHQPVLAGPMGAMRSPRYRNAAHAVYTIVHQEGLAAFYRGVSLTALRQATN QGVNFTAYQQFKRWALDLQPQHAERGLPSWQTMSLGLVSGAMGPFSNAPIDTIKTRIQ KATKVKGETARSRMIKVTSEMFKHEGVKAFYKGITPRVLRVAPGQAIVFTVFERVKKL ID L198_03971 MSLARRLPRLALPAARPLSSLRRSSTTATQPLRSASQSFPPAAT SVFTPLDTFLPRHLGPRDADIQTMLQTLGHNTLDQFVAATIPSEVRIDALTNAESSKG ISALSELELRRRAEQVAAMNKNVKSYIGMGYHNAIVPPVVQRNVLENPAWYTAYTPYS PEQSQGRLESLVNFQTVAISLTGLPIANGSLLDEATAAAEGMAMCLASVAKPKFTKGK KVFLVSPTVAPQTIAVLQTRASGFGIEIKIAESNAAFASEVESLGEEKLMGALVQYPD VNGEIGDWEAIAKGVKSKGAKTVVATDLLSLTMLKPPGEWGADIVCGNSQRFGVPAGY GGPHAAFFACTEDLKRKMPGRIVGLSKDSAGNPAYRLALQTREQHIRREKATSNVCTA QALLANMTAMYAVYHGPEGLRRIAGKVHSLTRILSESLTALGFTITNKTFFDTLTIDV SSAGVTAADVHAASVKAGINFRPVDDKTIGITLDESVGPLDLTDIVNVFYAVKGESAI EPDVLESLAQKLELNSSSVSAPIAHFARTTPFLTQPVFNKHHSETDMLRYMMYLQQKD YSLVHGMIPLGSCTMKLNSTSSMVPLSWKEFGGIHPFAPVDQAKGYEVIIKELENDLS LVTGYDATSVQPNSGASGEYAGLKVIQAYHESKGEGHRDVCLIPLSAHGTNPASAAMV GYKVVPVKALEDGSLDLADLREKADKHKDKLASFMVTYPSTFGVFEEGIEEACKIVHD NGGQVYVDGANCNSLVGLTSLGRVGGDVSHTNLHKTFSIPHGGGGPGVGPISCKSHLA PFLPTHPIVATGGSTPISAVSAAPFGSASINTISWAYIKMLGGEGLTNVSKIALLNAN YIAERLRPYYNVRYTNSNGRVAHECLIDLAEFEKSAGLKVSDFSKRLQDYSFHPPTAQ WPISTCWLIEPTESEPKHEIDRFIDALISIRAEIDEITSGAQPKDDNVFKNAPHPLSL LTADKWDKPYSREKAVFPVKSLRRNKFWPSVGRLDDAAGDLNLICECGSVEEYA L198_03972 MELAQVKAEVKAWEKAFKAENERNPTKDDIKKDKGDIASQYALY RTLSKGASSKPTSTSQINGQSSKPLPSSASSSSQQPSQSQQPRVTPRHTVVSDYPTTP TPPSRKSSGAYSALPRAAGAASASSSSAGLSGIKHMADRASSPPRMPPPASTARTLFS TPKKHAYSGPIIDPNPVNPFNTANSPSSAAKGKGREFNVSSGLSPERRKDEGKGKGEG DMSSPFIHANSPKKLKQVLEANSMHRAPSHLGVGEGITPRTKARKRLRGEWVEDTPGK DRQVRRRRGQGPAPVSELLASPSKSAKVDAGDDEMEDYEEDETALGPTPVKPPPPGGK AYAPLFEDVASPLKPASKANAAANGEAAGKRGGKQQGMMGFFSRAKNAAEKSSKGKDK ETLVETTPSPTPSDAPLPDPQDPVPDATIADPPIPRKSRTPQRRKELVLSDDEIDEWD PEGGKVRTNVVIVPTRREPKRPKHDELESILGDGLDEESQEEEEVYEDEDEEDDPNDL PFPLLSILSPRRHTSGPSREELESLRVDAIFNPVALKKLQALKRGQDITFTGEGRGEE EEEGEDVLERLEHEEEDGADHADDDWESEDEGWKRAETGLDDAW L198_03973 MIFRLARSARPTASLRTTLLTQRGFHATRIARDHFLDATPEAFE KRALDAGNAKPVLVDFYADWCQPCRVLSPLLKAVTGPETEFDLMTVNVDNHPEIAGNY KISALPTVVAFKNGAVKNKFIGFKGQDDINKFLGML L198_03974 MITIAAREGVYDLEKQHGDLKKGWSDPTYMARACGRHELPEEPM ARARWHWWGHEDGYVHRVVVGTTDCLGTTIDKEKKVMKEMSSAAARDEHFRKLMERVS AAKGRMAVEGEEIKARINREWAERKEMGHLLDKKLTRAEMRLLAKAEKRLANKMDKEG WASDTTAADSESEDEKPRGRGGRV L198_03975 MSVAVVQGASGGLGASLTRHILRHTNLSVYALTQQPSASRLEDT ILDFLPSGVGDKGKLSTSERLTVVDSVDIREEDGLERAKGLVKEREGEGSVRLVVCLA GILKAEKSLAGINLQDALNSFQINTLGHLMTYKHFVPLIPSKKAFKELKGGWGEEGDP AKGLMGSGHSICCSLSARVGSIGDNERGGWYSYRASKAAVNQIIRTLDHELINKSSSG IAYGYHPGTVLTPFTAPIIGNPSPDASQGRFDVDQAIVHLTDVMGKVQRGAEGEEGEW GGRCWDWKGKRIEW L198_03976 MVQTRLWQLTLIFILYTGALVLAAPTLKKPLPKRDFIDSPKNPI VVTPFQPRHHRFKRPTHAYPLAHSPTTSSFPPSPIEPDLTAASPHVKAEGWLVQILRI FSAWHPFPENQIAEGSSHKRIRRWRLVGSKRPMRDLVLVNDVDGSGRRLVDRGGDLII QEPQLKGFQWRGAEELDDETDEPYYWY L198_03977 MPKPNKGGNRKVFALPTAQKKKGLDVPKFQTKINNAKQARPTPA SLAALAANQSDIDISASSFDLGNVTFHEPIDSSLTRDSSSKAFMRELRKVIERSDVII QVLDARDPEGTRSRWVEEEVRKRDMQGKKLLAVVNKIDLVPRANLEAWLKHLRHSFAT MPFKSSTQNQRQNLSQNSVLLSQPTTVPGQQAVYSELPQTSSSLGAPALLHLLKQYAL STPHSSLTVGVVGYPNVGKSSMINSLKRSRACAVAAMPGKTRVVQEVVLDKGVKILDC PGVVLEDIGIHQEGEEGRRKQAEIMLRNCVKAELVDDPIAPVEVILNKVDSAQLEKLY NIPPYENVRDFLIRIALTRGRLGKGGVPDLEASAVQVLRDWNSGRIQYHTVPPKFHPS SAPAPQTAASAAPAAGDGMQDEAMGDAVEKVGDAKILNSLSEAFTLDGLFDNLGDEAA WEGDEVVEEEPIAEDPEIVVPEPAVAPVAAPAPAQPTKPRLSSKRAFVDSSDEESDAD SDDSSFRPRPRVLPTATTVEPAAAAAAVAPALRYPVQPSHAQANKLFTPEELLVLPPS LSGKKAKKDAKKAKKRKAAVERTEGELMAGFMEMDMEEQRDDDGAGLSTVSGLNQRSK KDKRREKKEAQDKQRREQKKAQEEMEVEANPEIRQEADLANFLANMGAEGSDEEL L198_03978 MLVRIPRLATRASLASRCSIISSRALSTQNPSTPTPEIFSSKAT PRETLHRPEAFRGMPSSGRTVDPNGAFDSNNPHKGKIFMGVDPKSKEEVYEAFNGPSK PRLIYERPGGRDLPKAKSVVPFVVALGLLGLGWGLFILHATNTGKSCVFNALPGTNAI AERLSSSVLRQVTFQMRNSPDVMSVLGDNVKLVEEWWALGSPWISGTINLMQGRVDLS ARLKGERQAGTVYFTSIRPQSQGAWRIGTFLFHAGPRKANLESSEIQDQNAAIKM L198_03979 MRPSTSILPLLTRASGSGHAVRPLPLLRTLHSSAPPLAPKNKGK FITDRPVKVDRTPTFPAPANPFAPAHKGTQYPPVVLRVLRHVNLLLGYNGRKRTTARE TGRMMAGIVEAVKRDKPFWYDECDLPKTFHTFFSIHVVYIVITLIRLRALSNDIPNPL SPIPQPRLPGQPGTTPPPKSPSLLDRYQAATTGNYEYKYREVLLTHFFNIVENEIRLM LGAEITRDSAIKTRMQEYANWWRESQLTIDYIVGLTVSESADERAIADAELASWAWRF IFGRRGEGANGEGELVYPEGEAMKEGKELEMAEQIETIVKFIRRELARLDKISDRDVI AGNVGFFGPIRE L198_03980 MPIRPPSPISLGAALPAHTDHPVSMSIPTWDELFRYQEGDEVVC GSMKSGYPRMFFHRYIQKIASICVDRFGNPDEDLCLMLPSPRVAQEGQAYLLRQSPPI QSRTVPFSVAPEVEIQVLLYPKDNLVEAKAFWQLTGDGISSRFAEMVMGILGEELSSV EVKREEKYLKDQEVTPELALDLEGLKGKSLPLLEGRLAKKALKGRIARGLSPDDARPR ALAAGLSSSKPISEEDVYLYPTGMSAVWHCHDMIRRARKGNVAEGKSVCYSFPYKDTL RVLNQFGSGCHFLGAGGTADIPALEAILQSQSKDLSEAPILALVTEVPSNPLLRSPDL ARLRELADQYKFVLVIDETIGNFVNVEVMPYADVVVSSLTKIFSGSGDVMGGGLILNP NSPHYTDLRAAQETSFEDLFFSRDATRLEYSSRDYVSRIIEVDNNTFDITTYLQSRSL ADGSTPENGKVIKTVYYPRYIAPTTYSQSQRFPTLCKGGFGHLFSLTFTSLAASRAFY DNLDCLKGPSLGTNFTLAAPFTVLAHYSEMDWTEEWGVERGLIRVSVGQEDISHLRSA FEKAVKAAEEAGRT L198_03981 MAFYLRKSTFYGDDRHNSVGRHGNPFSFYKLVFSRSCITLNHVQ VSPVLLHNNPANILRNTLKLKALLIDLNGTLHIGSDPTPSAVQALTRLRQARIPFIFC SNSTKESSLCPLGKLKGMGFEARKEELLTSLGACKMLVEERGYKRPLLLMSPSAQEEF ASFSDSAPYDSVILGLHPQSLSYEPLNRAFRVLKGEPLSTQDSEDKSKAEPALIAPHA AMYMQDPGSSSLLPGLSLGIGPFVRALEESTGTKAEIVGKPTRSFFELALERLKALGG EEWDVGEVAVVGDDVENDLGEGARELGLKRVLVKTGKYRQGVERTTEYPPDHVYDTFA NLVDDLAQQ L198_03982 MRFTSDASPSLTPLGSRLLHISERKLSTLDGIAYDEGFDLRKGI LVREAVRSAWQSVSDGEEVEMTNRSSHSAMGLEVYEEEEEGDLERSEQRWFEQIVTSL DEEEDELASEHEHEWVESNVTIPEDLEFNVDGMEAFTFTSPTAPSTPALEPAHCASTS CVDVVEVDDDESDISDEDMIALTQSTHWSLPTTTHLKYATSPPLSPLPEPSPLQIPAA LYPGIDNYFTGFEEDVDDFSALPPPLLRSMSSSTTSSEGDDTEVCGTPPMRAEELSRE DEDEEEIDRKEQEALYGMGLKMGGTDPAFVFLVQPSRLFG L198_03983 MSAAQDFQITAPQISESLRKELETKEGAKNVRLNRFQVKETLAY LDELRTKVKDIDSFWLIALMSHEAVAAHLTAKVDQHALSFLQDVELKQDVNDFRPFEL VFHFKENPYFTNKSISKKYSLGPDTKPVTGEDVSEELTEFDEDSLVVEPTTIDWKPNQ DLTAQFPRKMQGEAAGNGEADDLEDGFEGDPGTFFWYFVEKMDMFNFGFILKDDILPD AFAYFDGRGAANASDMIDSEDEDDEEDDEDDDEIDLENEKPKKKRKVCRDGCC L198_03984 MSLRTALRRFATSSRLSQTPSAASAAAPSAPSAPSAFSDMSQLL ATPSSSFASAPATPAVISKETARGYSPDAVPPSEDPSLDLFTNCLMKHGKKTEAQKMV QSVLQLLHQTTAQPPQPLLRQSILLASPSVKILSMRKSAKTVLTPRALTERQRSRQGI SWILKAAEKGRKSGVSRDQRIAREVLAVLEGTSDVFKWLEDVHKSGYLNRYAESLGYG IG L198_03985 MGKRTSEAADLPQAKSAATGQQTARKAETVEEGMGEFEDRWEDE IESEEEVIDAEAEDNEEFTPAQEDTEPAPAPLQTYLPGTAMAENEQLVADNSVYPCLH SLSYSWPCLSFDVLRDNLGSERATFPHTAYIVTGTQAGEVPGQGGKAKDEVVIMRLGN LAKTQHDDDEDDDEEEEDDDANDEEATLDFLTIPHVGSVNRIRAAPPPSNSTPTDPYH VATFSETGKVHIFDVRPYLDSLSGPSKPKQKLPVHTITNHGRSEGFAVEWGQTGLLTG DIDRKIFLTTLTPTGFTTSPQPYLSHTSSVEDLQWSPSEPTVFASASADRSVRVWDVR AKGRKSVVSVDNAHPDDVNVISWNKGVDYLMVSGGDEGGLKVWDLRMFSNAPTPVASF NWHTAPITSVEWHPTDTSVFAASGSDDQLTLWDLSVEPDEDEAPIVQPAVGGGEGLTT VPPQLLFVHQGQKDVKEVHWHPQIPGMVISTASDSFNVFKTISC L198_03986 MSAITLPDTSSVPVHSLDTLQQDALLPICKACGTQYPKPRPDCP ICEDPRQYVPVTGQEWTSLAELGSGRKHILQPDEEDARISHIMTEPGFAINQTPFLIQ TAEGSYIWDCAAFLSVGLIGHLTALEKPLKAMAISHPHFFATSLTWARALKIPLYICA SDQTWFARAEDLEDCDDVRSWLGEEVLGPGVKLVQCGGHFPGSCVLHWDRLLEPSPPA DNLPTTPTPVSGIIFVSDTLMIRPAQTHFSFLWSIPNSIPLRPQYVLGIQKALEGVNF AQATCAWRSLWIRDGAKKALGESVVEYIAGEGWRLENGKLVPLLTQ L198_03987 MTSNTNYTSVDDSAGSQAASGLGDKIKGSWNVFHGAGEGIRGNV NSFLDNAGEQLQGNDAAAAAQQSSHRGERPAGVASKGADEIQKGVEQIRR L198_03988 MAAQSARFLATQPTPDEKASEIINAVPSSSLFTKTGGVLLGTGL TAAAVSSELYVANEETVLAVGFLIIAGVIGKSVASPYAEWAKGQIEKTKSILNGAREE HTRAVSDRIESVQQLKDVVPLTESLYAVAKETNVLEHANFVLAQENAVKAELKSVLDS WVRYEQQQREAEQVALVKSIQANVESELAKPAFKKQLLEEALSQVEQIAKSKAI L198_03989 MSESGSEAGDLFGGSDNSRENSPARSTTAPAQEPVQSETEEQDV GDLFGDDDEEEEAPRRRQASTGTPRSGSQTPNPLEYAEEDEDAGPGKQNVVTIAVPQW PHLSATDGKIWQMKLPAYINLDSQPFDSDYYRATADEAPDPTEKPIAAKSHMIGVKNT IRWKWVTGPDGEPTRQSNARMLRWSDGSVSLQLGDDLYDLAASHGTTLSRPSDPIPPT KKREALPPAQNTSTTFLCVGAAAERVLVTEKPIAGQLNLLPTSMQSKTYLELVKHVGA QHTKHSRMKMLEETQDEDALQELLLRSAPNREAIKGAKATTSKRATASKGAGGKGKKT RKIGYSDTESEAGYSGDDRPKRGAERDTFEYGDDDGFVVDDSDSDGGYSSKKKGKGKA KSKGKKRKGGFTDDDEEDEMEEAERRIEAREREKKRARKEKGGAAKKSRDYIEDSDEE EGAEEDGEGEEEMDMDVESEED L198_03990 MSPRTISKEPERTPTQVDPEAQSPEREGYYDGPEGLERMISVAS AGAAGAVQMPDEERPESGFPGLRPAQTNLTTTSNKPYSAFSNGQKWFIVIFSALGAIF SPISTIIYAPAIPTLVEAFHTTTEKINLTVTVYLIFQALTPSIWGSAGDSYGRRPIFI ICLLVYLLGCVGSALCPTNAYWLLMLMRIVQSSGGSPVIAIGAGIIADIAPPQERGRY LGIYNLTSTMGPTLGPLIGGLLSYGLGWRSIFWFLVIFCGAVTVPMIFFFPETLRSLV GDGSIPPPLANCTVPIYLHRRKEKKMLEERGEQLPVSTTHRAKFQPWSSFTLLLEPEI ALMFLSSSLYYALWYALLTIFSSLLKTEYGKNDVIIGLCYIPNGAGSAISGYLSGRIM DIIILVASILGVAWSMEVHAPMAVPVVLNFFVGVGTGFLTTTTIYGIDSVPGKGGAVT ASFNLVRCAFGAVTVSTVQLICDRIGVGWCFVLLSAICVASSPLLLLIVKYGPIWRAR RKAKDKAKEAEKKAQGASYSPRG L198_03991 MNAHHQTPRSVLDQVGDWKLGATLGRGAYAHVRLATHKNGHKAA CKILPALNRNGRRPATTDETVDAIEAHKEVVLLKALSGAGVDGVAGLEGVIEEEGWTF VFLTLYPCSVSSLPTPWTQSSLAIFFRRLLITTHNLHSLNVSHEDIKRSNVLATSEGL PILVDFGFSHFKAFGGLVKSAGGTLDYSSPEKTADVKYDPKANDVWSLGILLIKMAGI PHPYAYTSSDDTSTAVKKRILLGDPKFRWRLRDQGPGGMAELVEGMLERDPLKRWTIP RILNHPYLRTEHPDPPPFKLPSTESTTLRRPSQSVVEDLCFLAYLNGEFALCETSLRI EQRLEGEEACWENRWASMLGAWSKRVEMDWKDIPASITPIKPRHRTAGPVISAPKPAQ KTETKPLKEIHLFPNIPLPSFNPPSLHLPDKKLIKPLRSRLHDMKSKEGNKATSNTGQ RPIASQKAAGSKLEYASQDEDQMFPHQVSRHKNVLRVKKPKSRMGDSKALYYQANFQV YTSDPESETEEKESPDATPSDTTKNIGTMRLKTKVTQDLVKKGETETGRLRRKEGLGS GVPDNAKSPSSLNEQLQGFLLAPSDDNVTRRRSPRFRTGDVSGR L198_03992 MPITSPPETPKRSRTPARPVPLRSSPSASTTTSYDTRHDLRSRP SGTSLTNLAQRSESPFDALLGMEAEFMDSPTEVTPNDTPPGPSRQPSEYLPSARPQAD VPPNRGRTQALEDDSSTREHSQSRSKTGPEPRFKTPTFFVTRATPSVTSSNQKPKPKV SRLNTNLAHSSSPSSPTKMVSPGIKHWQQVRAHVMAPTPVEERGSRSSKKSGLVSKAA GRFGFRHAADNVIGYNDRRRSMMGLLADLNELTEEQKEEIVRERRKFARDIKTCIDAC ALEESRRRLYRLGYGRDPFSDAKSSGTSIHTSAVHAHPHAAQRFTFDPSFSAFAPLLT EFHKYLPAARAKKPWSRTCPHHAEILAELGVAFLQDSSSTEGEKQQALELFGTIVKIW AADSAEEVLVRWQWLCRALCTDDRHIRNRGLDLLDNILHLDPSLPRGHEQPHTALSFH ALSSDLIILLHAVESSQYPQQSHEQAVRGWIDELREGKLMKVEEASLVELVGHVDLGM VTMGGVEKELMWMAVGSVLQTHPYLAEWLLSGDNPAMLQFFLPPLLHATPSYIPPIRS HTTTLLLTSFISLIRSSSSHALPSVIWNTVTTHILPQLGELPGDDLAKALGVLVFETE AHAWRADMGDADDPFGIQMQGGDGVVAHKRLIETHVVVGGKWKVPFMNAAKQVLKDTP IEVAFAMAHGFLRDKGLVPLARECVPVLLDRLASDVVPPPAARSFLASIQTHHPQVIY KPLFTLSASTSLASLTPALRTLHLISPTILPYQQYWLTDPQMVTIVLMGDSAPRVSKG KGKEGADQGRREVRLGRWALSVELNVVLLHHQEKSDLDKRWKVFGEGLEGRLTSFLEA EEKDGDLPDGYRKLMCQLLLSIRLKTQSVRKAPWLDMILRWFVQVAKEYTPKDKDDSI VTLRGAYNTLLGQDADSGTSPSSSTFPSSTSLEERLAILDRPLERVVASLLIVVHSGL SPDDWASLVPYLWGWLGKKRVAIKEVGFLLQKGAEIVPGQLGGAILSDLSSKEPLARR QALHNLSTLFAWRFQITAQPIVTSRRGPLFHFPTKTLEFVPTEIGSSTWESPHDILDA QLSKFGRILPLELRQRLFELGWSDEEGLQGKADWEQIPVSALPGLEWQQESATGALSG RPSSPMRSLARSGSNTSGHSFSSKRRKAVFAPMLVQMVYEQINVLSSEADGPVSNLSL ELVRLFQRDDAAALLKPFTERLHSETLDAIELINKISDFMAPGFAYSALNALIGYTKT ALKAHGQLECWEASLTTVARIVPFVSAISLRDVRKNKAEHVLLPASIHEEEGGFKIHA PWRNGQLGVQTAQLLILNETLKANPREVYLVKKMLHYLQIQESIQYLPFARAWIILTT TAFSFVNRNYNDRAELRHFLSNVGAILKMHGKTDLLITSHSMRVLMLCSARFRRVFTS MGFSTIMRPVYETYAGGDAATRDAIEYAARSFYRIHEDVFVYQACVAIAEGDYDPAAV YQLLASLSAGNSPSSGVSSGVRGLNDNEEIDALVQMTSGPEITVSEIGKDEDERRASK LASVTLDDKIFPKENIIKLFMTAIASNPSAPRAANFLRLLSALIPSIKDSVSRALLTE GVEALGSIITKGKSGDEEAKSTFHPGAEEAGDWTRARREYVFLVESFARAGGHLGTSA TRRTLDMVLDLLRKQPTSVGPAASSIVRALAETRLASSRSASFLREIAPIFRAYLDVV DFSGMLDSIVALVKRSNYELDAETTSIIVHDYVEPAVRLLASASEDSLAFIVPLRSSA VKLLSVAVFLRGDALGALERHPPSASLLASLVLPLCLMLEAPREVDRQEVYSALWIRL LHYVIKSPQPQDARTPKASASLVRHPRLIAAEVILTLQIVKIVVIRAPRSISNVKGLW TYVSHHLLRTIQDGNAQFADPLLGQTAPRVVDWLMWSVFELVSLHPNPLHIELQATIH HTLAAIDSHGHHSSQPSTPKSSTTPSQQLYSGRVRRLSGMRMPSSAGHVRTPSAGGLD QTPDNKHRRTASGLSAIGQSNSPSPSHSPKVPASPLAPAGVGLGLGFGHNRQPSSSSM AEASPSGAHRPSFVDMSVRRASRPNFDAFQSSSNPSHRFPSSAGIRNLGGNAEKSGGA IIHLLGAPAQVLSATSSGFPTLSPASAGSMNPAAVLAAQRGERALKETTISSTALTTM ASQAVRTTMLVHGWQMGFGVEEDSQDVRSWTALDALHVLSAQTKLFVEEEFRDVFSPA ASEGWPDRDDDHLEKGLVGLGLEGHGISVKEAEDVGRPSFEESRRLIGERDFGVPLVS VSSSGSGHA L198_03993 MSTAGSPKSSPPAAKRIKLDAAAAAEPSTAESNGAPAVTSAPEF TSAPPPPAEEESSDEEEEQEDAKEEEDLSRTDMYLDTISRQKLDFDFEHLCSKSLSNI NVYACLVCGKYFQGRGKGSWAYRHAVGDNHRVWLNLDTEKFYVLPEGYPVADHSLNDI IRVLHPRYAPKDIAKLSRLPPLSYTLESQKYTPGYIGINNIKGNDYCNVVIHLLLHVP PLRNFLLHPHTPQLQIEARPTELVKRFATLAQRLWNPHLFKAQVSPHEFLHEVTKRSN GKFKTTEQGDPVEFLGWLVNTLHRDLGGTKKRNSSVVYSTFQGKVQIETQQVITHKEY ARPVFDVGRDIQTISSPFLFLALDLPATPLFTDINEKKIIPQVSLGQILAKFDGKHTQ EFGPTLKRHHLTSLPPYLILHMKRFTKNNFVEERNPTIVNFPLRGVDMSEYVDPKPSD NVHTQYDLLSNVFLDTTAASTSTSGTGPGITKRTPQQGEENQMFWKIHVRAGQTEGEE GKNGAEKSAETEGRGEKWFEMQDLNVTEVRKEMVFLGETVIQVWERRDLSTSRK L198_03994 MISLTRWKYLGIAASVIVLLYLLLYIHPTYRQSTDILSFGLLPK PDPWHPTSPPDSARPVLDQDILHEFEKSLEERRRAKAAFVILSRNSDLWQILDSMRQM EDRFNHWARYDYVFLNDDDFTEEFKRYTQALTKARCHYGKVDAEDWNQPSWIDEEKAT AARQKLVEAKVIYGDSVPYRNMCRFYSGYFYRHPLMANYDYYWRIDPGVKFYCDITYD PFLLMQDENKVYGFTISLFEYIGTIPTLWDAVKEFVADHPDYLADGNAMSFVSDDGGE SYNKCHFWSNFEIADLNFWRSPAYTEFFDFLDSKGGFYYERWGDAPIHSIAAALFAKK EQIYFFDDIGYKHEPFTASYFYVMLDRELTFALSTALKEMHTQKGAVGVTSRTTLIGS GALAPL L198_03995 MLARSSPLLRQSLAGPLRPSRLALPLHRTLHSSCPAAEYKVTAK PAEDSTFKTPNYEYKFLNKPATATLVGCPFSGGQRRAGVDLAPNKLISAGIIDQLTGL GWHVNYESQDTFLDIPYNPLPSSSPAATGTEAAPSLSGERMVQRLPDPDIGIMKRPRL VSAVNEKVAKAVGDIAGRKELPVTLGGDHSLAMGTIAGTKSQYPDAGVIWVDAHADIN TPLTTESGNLHGCPVSFLMGLEGCDVEPFNKWLKPCLKPKDIVYIGLRDIDNGEKAIL KKHGIKAYTMHHVDKYGIGKVMELALQHINPTGERPIHLSFDVDALDPTVAPSTGTPV RGGLSFREGHYITEVVAETGCLVAVDIMEVNPSLLDPKSVEMTVAAGCSLARAALGES LL L198_03996 MAFTCSDIFKIILAVILPPLGVFLERGCNADFLINILLTVLGYI PDALYIILKY L198_03997 MDYVFSVYQINLIPTGGQALQIVATIGAAALSDLFKARLSMIVI IAGIGMLGHILLSVWDIGFSGQFAGYMLIYCAVDAGALCLTWFSEICSADAEVRTIII GLANGVGYTWIAGFPFLMYPASQAPHYKYGYEIGAGFYAITITGCTVLAILLKRYGTP YQNVPKSGDKDADQGSEGEVGGNSQDKEKELDFERTEAVARLSHGNERNQRS L198_03998 MAHSSSVCYTTCFQLLNEDLQSSVGKIGRTLDSRSSSISLGEFG VHRASPQKQTLTRHRHFGPLQKHPVQPRPATETEIAVIYQSAADVRRINYWGDRPPGV TFFGVLENQGWSGGDSIMSDSVQVFARLSPVMQNVLIGLEGVHSSNALTKKAKEDGTA LRREAVNTLHPLITKHPVTGDKILFVNEVFTTSVNGMKKEESENLLKFLFNYVARAAD IQARVKWEEGTVVVWDQRRAQHTALLDTPPGKRRHMIRITPLAGKPIPATKEA L198_03999 MPKPELEFKHLTDFAWDRKEGFAQQILAYDEETGDTTKVVSRDP GHEQHGPPQIHTDFWEEVYILSGSIYDKGLKQWFRAGSYCCRPPGMIHGPYISCPDEG VKMFVNVRYVRKSDVPDNS L198_04000 MFSPRAAPPLDQLKLSHILSGDTCPPISFADFAAFVINKDFSTE SLLFVLWLQDHRNRWERLKDEQKVCVPLPSLSLAHRAHPFDYLALRAEPASAAKEGSA HLEDAGRRPPAGYYAPSIVSTVESHESIESINLCEPASSSKLSAMLPRFARRSSLQPT SPPHTQVSELPHPVLPPAGTVFLPVEEQALFLHAQRGFETFLKKGAPHELFVSDELRE FVKACLQHSTAPDLFQPIYDEMYFTLEQQCLPRFLLAAKSNINRPKQLFWYFVGAVDF TIGLITFLLLTLLLPAHPFSLRAYRLFSTIFIAFGAVQAYSAYCGFCSQVWKRSHRQV WPWEGGESRLGDEEAGPRGKDMAMAQIDVVNTVEEDSFILSGTHPLSNAGALEGFETP SRSFQDAAGSSKISLNLPAVPTLTINNTTSSLSISPSDVYKTKQPSDHNGKMGQDEAR QISPFAFDEPDIWGVGPSRQGSPKNTFSLPLHGPPVSGSGQPGPAAQRASRNIHQILS CLSQRRPSLEDLRLPGQASCQKQCRRESYQVSIFCPEKLVEDPRIKSVYSNIRRDIVI VGGIVSVIWVALCLSVPCAGLL L198_04001 MSMLDDFVEHTSGPSVGPSSAAYRNQPSAIAGTGIDAVGYEGFE EDGDDGGEQGAHMVQALETGFIPSARSQSLPPLFSLSLVQYSPPSSILHLTSVNNILF LASAPLSVIIIDLEKPDELVTIDLPRAAPEKGSQQKESPVIDNLFAEPTARHLIVTTN TGDAFYLPISPGNAAVQSRRPRPLRIRHTITAVGWSPIPVSSHEGHPQAKGDAVTPPA TDVLLGTTTGQILSLPLPPQDDIFKNVSIGRGQPTEKDLQTVYTLPDERAVTGLGFGF WPSGAQAQKTSRTGAKRAWVVITTKERLYEVQGNVTTTLAGGKSGGWAEEVFKPIRET TPRFQELPGDVPNPVLRAYIPSGEQQSANNLPPATDVAWLTSPGLYTSSLSEGPANEI LNRPSLLPYPAPEEEPAGFSRHITPSAAVPAVPIDVGITRWHWLLLYPDQVVAISREN EKLVWEERLPLSVGEKAIGLSADPVSQTFWISTNKSILEILVRNEDRDVWRAKLDKGE FDKALTFAHTTPQKDIILSRQGDALFSQERYIQSAQSFAASNRSFEYVALKFIDAEER DGLRVFLSERLDRLDKKSRTQRMMLATWLVEIYLAKWNTLEDRLAAESASEDVQNLKA EKKIIEEELRQFVTSYQNDFESKVVYELIESHGRTDLYLFYADLEKDHGKIVEYYVTE EKWLKAIDVLNRQTSIDLYYRFASILMRHAPRETVDSWTRQPSLSPRRLIPALLQQHQ RSEPISANHAVRYLSHVIHHQGCTDTTIYNLLLTLYASDTDADDSPLLRFLSSCLDDP ETEKPYYDLDYALRTCKLHNRIHACVLIYSKLGLYESSVDLALEKGDLELAKENADKP EEDEALRKKLWLRVARYVVQEQKDIKSAMRFLESTDLLKIEDILPFFPDFVVIDDFKT EICSALEDYSAHIDSLKSEMDDATASSESIKRDIDALAKRFVTVEQGDKCWKCGLELV SRQFYVFPCQHTFHGDCLISMAMENLPSPSLRRLLHLQDELVSRTEPTSGRQLLSSNF SPAATGGANTPQRKDSAAANVTSDLLGSTLAGRNKLMAAGDKLRELIIPDALASAVSA VGVGVGVGGGGEKGGAGKKVKKRDTIDEARVEELRKELDDLVAGSCPLCEGAVLALDK PFILEGEDTSDWDL L198_04002 MPHTVQPSGGPIPLGSSVPALTAHAISVSLPTWEDNVGYEEGDK RVVDKMETGYPRFFIHRSIQKLAALCLAKFGQPNELCILLPTPKVAGEGRDFLAKRTP SVQSRAVEFVICPSANSLIDPSAAKSLGVDCIELQILLFSKEHWPFAKAFWQHTGDGI TSRCAEKALAFLGETPAGVEPRTASPPALERPASKAPSTRNRHYSRRTTSVPPTPTTP NDSANNTPSSSIILDKPSANPVPVSEENLTPDLTTYLEERYGRNLPLFNAPLAKQALK RRIAGGLLPSDEGYGEIDDVARGAGSGERGVTEEDVYLYPCGMSAIWHAHDISRVARR ARGEVEGKSVCYGFPYTDTLKILDKWGPGCHFLGIGGAEEVEALEELLIASEKNPDEG QILALFCEFPSNPLLKSPDLVRIRKLADKYGFVIVVDETIGNFVNVEVVTFADIVVSS LTKIFSGDSNVMGGSLILNPNGPLYADLKAAQDSTYEDHYFPEDAVYMERNSRDYRAR IEKVNNNAYDVCDLLYRRSLDDTTSPAEGKIIKKVYYPRYITSDTYAAAQRKQTLGKG GYGGLFSLTFTSLAASQAFFDTLECAKGPSLGTSFTLASPYTILAHYLELDWAAQYGV EKGLVRISVGQEDKAVLKGWFESALAAAETAERTAKANGINE L198_04003 MDPHQLAQAALSLPPLLHVAKAKPHPPPLIFRHSLFLFPLFVAA SILILLLSWAIPKLYRATKSKFSKHEYEAILARDDELGVETEAVEVVAAPPAMPSGGL LDDFKKHVRSMREYGTVLFALEVVRTLCLCALLGLSIYATILAESPVENSTGLGDVDI LKKHWKGKKGKKRKHHHKSTVDDYSSLEWGEFGVSGFYLYTLVFSFLLLTLRPATPLR RHLIAHLDVLLLLGFAVYAYRDYWPLFTFDLESADIHNAITWSRAVILTIAAVVIPLI RPRTYTPVDPENPTPPGEVHPEQTAPILSYIFFEYMTGLVWKAWKSPSLPYEALHPLA DYDRAAYLYNQNMDKLDPVKRKAKGLKPRNLVILLASIFKKEVVFVCAMTSIAAILEL SGSVGINQLLDYLEKNGKGHTLRPIVWIIVLFAGPTLSSMALQFYIFTTTRTLVRTEA LLTQLLFDHSLRLRMKDQVDDDREKEVEEIDNEEAVVPVVTVEEIVDHAPGAPEELLH RADSETEGETAVAPSTEATEVGSSAGSDKDKATSRKAAADAEAQKTKGQGLAGKINVL MAADIDALIEGRDLALVFVYTPIQFVLCIALLYRILSWSSLIGMLTMFITLPLPGLLT KLNAQFQRKRMLATDSRIDTITEAIGALRIIKMFGWEDRIKERVAAKREDELTLIWQR RLMTLFTTLLNTVLPVLTMTVTFAVYTTIQKQQLTAAKVFTSMTVFELVKGQMGMTFY LLNSIVTAWVSVGRLDKFLHEASFQSEMIDEYAEEASTDDKPEGLLKAEEDGVVRFHE ATFTWDPNPSNKNDQGFKLVIEDAAFVKGKINLIAGPTGSGKSSLLKALVGELHFHRK AGSFFHLPRSGGVSYAAQESWCSSDSIRDNILFGEPFEEARYWKVISACGLEPDLKLF DDGDHTEVGEKGITLSGGQKARITLARAIYSRTAVVLLDDIFSALDTLTSRWIIDNLF TGDLVKDRTVLLITHHLHLIAPVADYIITLNEDGTARSQGSVNDGALDQGELEEVEAA EVQEIKDAEAEEKKEEKKPAAKLIKDEEKSEGRISKRALFAFFRTFGGPVFWFLYFGL LVGGQAMSSFETYWLGRWARAYDESESPKDVSVAFYLGLYFVFVLIGLVELAASAILF YIGAVKASREVHRRLVNSIFGAYMRFLDSTPVGRIISRFTKDMKSVDGSFTETFANVA DVTVGLALKIIVVVSLVPLFSLPAVFIGLLGGTIGEMYIHGQLSVKREMSNAKSPLFS HFSAAFNGIVSIRAYGAQNKMRNEAQRRADKYTLISKSTDVYLSFNFNRWVTVRLDML GGLFAACLAAFLVYGPRLDASTAGFALSQAISFSSMILWWVRMINEMEVQGNSVERIQ DYLDIPQEPAFDDRKQPSAAWPTSGEIVLDGLSAKYSNDGPIVLDELKVSIKSGEKVG IVGRTGSGKSTLALALLRMLPTTGKVLIDGVDTAKINLHALRSNVTIIPQDPILLSGS LRFNLDPFGEHDDAELNDALQSSGLGATRQSGISGSVTPQRLSLDTHISAGGGNLSQG QRQLVALARALVRNSKVLILDEATASVDFDTDTLIQQSIRDLPSSCTVLTVAHRLSTV MDYDKILVLGAGKVLEYDTPKNLQQNKESYFAKLVQAMEGKDVDST L198_04004 MFEEVFERCFICQGPSKGLYCSSDCRQKDQGMMSRAAPESGGVH ITYQIPPALSPHMRPHYPSGLSLRSTKPRTTSNSTSSGSSSAVSSPLQSPRTNPTELD SPQKGLFNLPPPAYPSKQSFGVTSSVPMKIPSLASRTSPVFSAVGTPGSVGSTVYANN ASIDTLRFGRRPAAVNSVTSPNALIPRCACGLPANHKGRSTSKDRADLFDSGFSRLSL NPSGTAKEEPISRSLRIVSDSAIPPFTLSPKVMAQAITPNTHVTPLNISEHPSPIAPT THLSRSRSDPIPPSPEHDRIPPAPVVSNIAPPRRQSVNPTPANCPGREHCCSGLRQST HALGVTDVESPRRGRSRERTSHEDSEDCCMVTQTQHGVLNHPPEREAAPSRSRNRRDS ARRSGTRSRSRVRERSRPREWTREFGMSRERPADGQISPKHHHLSPRQSEPQILPSWS RPQGLGLENGVAPGMRRTGSGQERRREGEREGRERGRGGSQEEERRARNQFGQVFGVA AG L198_04005 MTARSPEPMANNTTVQNTCPNGGAAKRKVDDVFSSNGKGEEKEN ERAEKRQAVQAPCSSLVEIKNKKIVFKQAPHNRVLPRRKLINLETYLLDQVEEQKQVT EIPEEHWSIIAMAGHELTGATEGIFLKQLKTALNIPTDQDLLPNSVLTPLIRRLFALH QYGFLPSDFPPPPPRLPAHLQVRCWQVVDLPKYFPSEQLELLQARQEEREKAREECIG IMTSLDDVEKHELLGKKDDKDKETPAKVAAVPKEKPTSAIFSRASEGPEEAGSSIRGS SPVKMESASSPIKPGKTPEEVRPRSSCDGNGVDAEVKIEAAKAKKQEREEKKAVLAEK KAAKDKEAKKKEEATAKQAKKMSMFFKTKTTSAPKASPASSSSPQKKGEEESDYKRVF RPLPTKKHIQVAKENRWVEQKRSREDKAEEVEGWSSRDFINDHLKTHNHQSRIPRRIF PSGIKSAPINGSIADVWATLQEAEEPRDVFEQLKDRRRFPWKTLAFDHQPRPPYSGTF TKKSLLVGPRTPFAQDPVFDYSIDSGDEWEEDEGGEDVDDFGGGGEKAEEEDDDEDEE EGEFDDWLDDSEQVESGPPPPEVIDVDDPMGSVSKLPAPAKVAKKKEPVKRIVKLVPT WKGPLWETKIGEKGTEGLESYRIQLLNDTPAQIDPFTYISPDPAQEYKADYGIAVIGP NSNIKCLLSAELIPQKPPPSALPKDAPQKPRGVAKSSFPTELLADLYKMVEGDTRTAK DLVPALREQFGKAATKVAIEAELKESAMKEKRGKDDKKGKEKCWRVYREAWVAAGLTP PENAPSKPAPQPATVKRTSPSAPVAQLTAPTQPQSPMAPPPLPPSEVLNEPPTATESH EPIVVDS L198_04006 MSAFGSSPLMDAFPTHHFSELPSPTKTETDDLPMEVDQSFNSSL SISDSPGFSPTPAMGTNRGSGSSNLLPAPPVFSLKPRRPDPVPVQVRPSPRSKTFGGA AFGSKPLGRERSFGTELSTNATANRRSVELTKNGKGKMLPPSIPESRMLKVRGSVPMQ WSSSNEEMGSPGPRKMLGVPRTESDPLLCSPVSGRSPRAKDDNDMDVDSPHPLSASAA PRGSLMASPAFGGPASLNGSPGLGSFFCDSPGQPVQAPPAKRRSLVTPASPSSSSPSA KRASFGLSRPPLEKTASVGPMLFGSTRNGSMGTRRGMTGTKRPTLGPLSATTGDASRM TSASSAFPILYGPPAQTLGSGQSGTFPRAAMAPMRRAYSVCDQQKAHEVDDDESEFEN SPSLGTQAEYARRYESRMASHVDGSPGFKPSRASIAASGEGVASPMGKKISPYGPGGL PGFGDNEIDGKILPCHKVKEDGLVRITPDTLDSLLDGKYNDKLKRFHVIDCRFQYEYE GGHIAGAVNVKSMDALDHLLLSEAEGLHANGETLPTPSRSGELPDGQPVVLVFHCEFS AKRAPAFAKHLRSRDRLLNNAIYPRIFYPEVYILEGGYCGFYQTRSDRCDPKGYTRMD DPEHFHSRDSDLHEFRKFSRTRSFTYGESQQAAAQTSRALPPCPPMAFAAASAAAARR NGASGVTIKEEDDGEPESSPHPGDSSAEIDFGTDASPCPRGQVVSLGQPPLFGSARPR TLGRMGFARVASYAGPTNPNSTGLGFR L198_04007 MFRGPRSLQNNTKASSNTRCQKCLKLGHFTYQCKNAQPYVPRPS RSKQLESGSFGRDKPSVEVPDEFKNEGKGLADKILAAKEAERKKQEELKKAKSKKGKS SSSRRKRGGSSSESSTESDSDSSSSVSSRSRTHRRRRYSSSVSPHLSASPRRQKYDSG DEFEGDRKPRRRSPSPSVSRSPRPHP L198_04008 MAGVCPAESAVEAVESALGIVASASTFVVHSPPRPQAARARSST IVGTGSLPPGSTQRGPPTIDLSASSFRITTNPQPSQPSAAAEALTNLKGSAKRPRMRD ASSGHNRVSSWPSPPQPVVTAMPSAPAVPTRATLEGGILDLSSLEKERDSATLLLYTY RPPISNAANNNAAHPSPLPSTSASPTAPSSQVTINYAALPWDVKPGDYLEIRKIARPK TSRSVAPMRIQGLEGETQQKVKGSPAIEGVKPGKGREGYVFRLGDDSPNTNIHQIQVP DSVASAFGFQNRLEVEVRRVHDKESAHTDYIELYFSQYLGRADMWRLGMSLEGTTLHV GEKVSLAGGAVRAEVNFIIRSERDKDGTMIKPKKYSSGIVTAKTKTIFRSKSAQVYIF IQLCEETWQFDEDGERYVEKVVHGYLPELFARWAEKGTSHVATIVLFARIFYRDDEVE YLRKHDMTDMLCQDHSGQWYKDFFKVAVDLERRNDWMSSLPEIKRQLERSERDILLDY HLGLLRAKGVEEEIKIVGKWSFAYQGNVLEAINLALNPFDEHYVDRDLSRTGLSITVV TPGTGHFAVDKNLLRLTTERMVDHGITTDFVCLTKMPLHSVPLFSYITHKPKGPVYED GSGQRRLIAPDLLYFDGQTAIAKDTELSDCYSLPSWVSCSFYAVTHDKPFREDRFVPR CKMYDIQMLGILDHNLTTVTVPLLNVDDTPMPRRPLTAENRKTIRDDFDQSIFSNNVE MPPKVGLGTSPIGSAASGSVPASYQSARLLAEKEKEKLPSLSMSKDRTSSMSMSRPKV LEFQNSKLSPIKAMVEMEDIELGKKAPLREPSPAPSSLSLRKGSRRSLSPTKLAQITR VPQDPKHPTVPSLLSESRVGSPTTATRSLSASPAPPPAETGKDSSTATSPPQADTAER HSSPSPHSIRSVTTDQSGASTPKQTTPGKSLKHQPSKGLLPRWIYNSLSFKPLVSTST LSSAEPATETATRSDVVGGANRRSSSPSPSIGSLRGRASKLPNPQAIVTPSTPSPSRI ERSESRSMKPREIRDRGKENGQDQGTQPLPIAASKARVQSQSEEDAISRSLRTKSNAA LSRSHEDSSLRAAQSNALVQSSRHFTINPCKPSVETIDRVRDAGGRRWRFVLPKLTQQ HIVQWPSLIAPACLPLTTDFLPDQKQSDELYTTGTYTVQCYLEDNAFLIRSDAAEANL PLAMMREMVSQRLSQNFQVIVQPHELSEPETPHPMPIHLEKDADIGVELVSGGASEVL KNGQGAIWLSWANRIHRLLFHPNRPEIIVHWMTRKIMHETKSVPWKGLVWPAGTNGYQ KASATFAYPDVSLKINYNHLDHLIIGERADFGPLRYWRTRFILIPSDREPGSFPGVMP KNEFLGSNDLLWLGSQKVMETLSRYLLKYPEGQIPQRSMKIVTTTFDPSTCVLDEELM MDLARQIHEVPKGSTRRKIEGMTLAQVAEAMCMPGNGLVIRTRWWEARQHVSSFNGFE LREWLQNNFEDVTSREKAQEWAMELTNKGLIEHVTKSHGFLDYWHVYYRLREPYDQMY KPPTKQEKESKNKSWFSSSSKGSRRQPPSATSTPTAGKGNVAASAIAEPVAAMADSSA STSTQPSAAGDSSSTAGDSEHDQSSLAKIYLKTHEDEEKKGGRKRKIVMTQTRVLDLD PNRKSDRAEVAILHADVVHNAKNAFHFELNWLGVTAALLDELRQKLSAQADRYGLRFV ETPVEQIADISKKCAYRTAIPIKLALAPPVIPDLHTRLVAVAHGTGQAENYFEYSILT RKFGFVLDMEATDRYPDNVEVVYAYRKGGVNKYTYSQFCHKTGLALVQCVGGEEGFLW SDNRLVVSAPTRRGVGNRVEEARSLRRELDQFCSDKEQLEKFYKEVTPPVLTTGENTP AQSPGEQSAPREEKPAQQVAAEVQSVTEEKADS L198_04009 MSRVAGSLTPNTAASSSTAHHTSGNARSRHRRENPTYHSSPSPS DSGDRGRPSKRKRKGERPRKLRWRRGHVTEAFRKFGEHCARGQIRTLLIDCLVMTNLF YPSLALYLEKKEPLPSTNSHPPPGHSFSPLGLDSLFPSPPPLLPPLDWVGWWPQDTSK WDDGGWASTQEIPGITDAGGGQDVWVMRVGWADVEDVLDRDVHMGEREWERRDHLLLG LVRDIAEGWESQYPSSGQQCFRGQSSNGSRSPCAIISPSKYLPEVDLTISPITDLANL GESEHTLGASNVYHSFAVLFRVPAKTNTTFASRWEEAVAGISREIEGEVFVEARSRHQ PGEWNIYYSSPAPVSPQSTSTPAMIPSSPPTIIIILYFVLFTTLIVQLSNASKVHSRF GLAFTGVVQLCCSSVMSFSVLALLGWNGWGASRGESSLPAYILPFVIVVVGAENMSTL TKAIFSIPFIHSVPVRIGLGLSKVGTTIALTSLTDLAILGIVWLCVNLQPVREFCLFA AVVIITDWFMLHTFFLTVLSIDAQRLELADVLVANKVGMASSVEEEREKVAQNEGGLS WRNLLRARTTKSGSLLLLLFTVGLLYWLTKQHRVPFNTTASLYGYTPTAKATSTSYFP APTPTPFQDLDNNATTLSSAEKLWRSINPDGWPFVHVVVPPASILVLPKDGHSLRPVD LRKLSLPARKLLIPRLKAIFHVFKVLILPQAITAGALYALLLYLLKDSELLDAQRDRL GRMDLDQGDETETPSSPKGSIGLAGQLKAYMLPCSHEADVDLISSNSSGSLVISVAID NSICLWRFEDPQGGSGMRELLHAEGVKEDDVIVATTVSEDGHHVGVCTVSGVLQVWEI PQEGAVVTRQPSALPQGLTARVLDIAFDESDTTTDDPFTATQANSSSPRIFSVLVACA DGSVLEVNEQSVHIAVDAQSDGSQHCRIQFLPAQNRGVNIIIAGQLGTTLWRKISLSW TSALVSPGPLATDHITSLSHINASLPGVFAVGYRSGLVSIYDESHGQFDLVPQGASME GVRKVELVRPASMKCVGCGLQSTEGYVVISSTATQVSLDRVAPKNPIPTFCRCPRKYT PTEDTPLGRGDLRKTSTLVVPPSGSRQRLTPGSSPAKQSTLLTPVSNGEFPLSSHGSA RRLSNLHKEDEPSRMTTTSPYSVSTPGSLNLSLTALTSATIDAASPGREMEITSLGGV SSSGSPSGGWSVVDDDVLVGIGRAREGINDDQWQVWVVDLTQPWDSNGLVVESVDLTE LVKRTQLDSYHSLANGQRDSPATPGMVSMQDKRTERLLSLSGRASFPSRSDSYSVPTY SQLGYVELTPMVKLGQKGMMGGFGNRMGCVTLARVDKERKSLSFGRRSFEMGIGIGGG STPTARRGFALTPPPPPLKKVDGATNRGGDQPIGNTVKSW L198_04010 MSGWMSYFTGRKDTREGARDAIVGLRQQLLMLEKKEEFLGKKIE EEMKKAKANATSNKRLAMAALRQKKAHENELDRIAGTRLTLETQVNAIESANLNAETM VAMKKGADALKGIHSNLTAEGVDSTMDKIREQMDLTNEISDAISNPVGMGIVMDEDDL KDELDALEQEQLDDRLAGADRVPSHLPASPVGQTTGRAAVQEDEDDEEAQLRQLQAEL AM L198_04011 MAARTASSGSNRPSSSTPSSRPPLEQLVLSLVPSLAPVRGARPE DPVEKERKERVKELTGWCQDILDSNLPLSIPLSEGTLPDSVKRMVYSSKPTGSESGSN KALRFSSIWNKLERGRLLSSPNPHLQFLSALSEMNPNNISRGTANPPQPSKSMPPPAF PSTKASSSQQPMAGAGALIAQAEFSGKGISKAEVLKQWRALKSRPTFPPQLLLRDALY LLQGIDGRYVRFALAPPKEQNPYLTEKGKENEGTGFPLGKNGPVVESAEGGEEVDGHI SQPTRTLLMQISELGMIYRRVTGFIESRQSLDNRGGMIEQSLCHFLHHDLSEYHRLLA VLESQMNTASSADPDKTAESGGLTLMRLGLWTEEMRLKLRQMDEIVEEAKAHHGGSLV SRIHSHTSNGDPLIRLFTNGILSSVSKPFFLTLQRWIFSGELHDPFKEFFVQLNPDAP RLKDGPGGVLGDGGWELGMEGEDGLEEAYAVWEKKYVFVKKMVPGFVTEDFAKKIFST GRSLNFIRYSCHDSDWIETQAKLANAGRDLSYSDLAGLERSIDDAYSIASQRLLEIFF DKFRLLDHLRALKSFLMLGAGDFAELLMESLAPRLSKPAISLYRHHLTSDLESAIRGS NAQFSPPDILRRLDARMLEYSHGETGWDCFALQYKVEAPINAVLDGRAMGDYDRLFNH LWRLKRVEVALTQNWMRATSGSKAYEYLPGLNNDWHHCRVVQSEMVHFLRQIQAFCQL EVIECSWAELMEYVEKREGDLDTLIAAHRKYLSRVVKKILLLSSKREKEEILLDLVRD ALDLILQFTDATDDLYAWSLAEATRLDRQRDALRGLYTPSAADDDPSKSEEQLQSIRI RIRDCANDFHDKVTSVVHMAGAHQDLDVRFLGIRIGFNGFFKLKKKDKSGSSKSARS L198_04012 MPGPAPSAHQVLVYSGPGVSPLSLSHTLLTLRLILLPHYTVQPV TPEILYSQPWEPSCALLVIPGGRDLPFVEALTERRAVTEKIREYVQEGGRYLGLCAGA YFACEQVKFDVGGGLEVCGKRDLGFFPGPCVGPVFEGFEYASEAGSRALTLNLETGSR EVHHIYYNGGGHFALPSPAPANVEVLARFNYPASSPASEQRIAVVLTKNGKGRTLLAS VHPEYPLSDPPASNAIEKLDVHLTKEELEVSDKARVAWVAELLVSLGLKPPAHESISG AQTDIEEDPALLFHPTHPSPIFLLSHPSLPQLPSTAVNKTELKSKMVQVDGWSFLRDA NDEVRFGEVEATCEDAEASEESVAKWLGTARRTKPVFEPALDKLSLDEESDVFRPPPP PDLHSLLKTILLASPSIPYSPRWTPLFNLSTYWNELDQARKRLGRRSGIMRPGRDGLD ERCSLGDCVLYGETVTSTQTMLDANPLLLSNLPAPLAFLASFQLSGRGRGGNMWLSPP GCLQFSILLDLPQELSSKMVFIQYMMALAVAEAVDEDGRLGVRIKWPNDIYAEVEGVG GSEVGSGKKGKAKLGGILVNTSYVGGRWRVAVGCGINVLNALPTTSLSQLHSLQAAKL SSSNKPLPPAPTMEGTFARIMSFFDAKWEQFIEEKGFKGFMDEYHGRWLHAGQEVTLT TTEPHTRVRILQITPDHGLLRCIPLSNPRPQASHGLTPLYDRNVDEGENDRGGWSSKQ SSGAGSNGSSPYVDLQPDGNSFDLMSGLIRRKA L198_04013 MYQRDPRAGLFLGGSRTSGAEVRDANVAACQTVSNVLKSSLGPV GLDKMLVDNVGDVTITNDGATILSLLEVEHPAARVLVSLATQQDKEVGDGTTSVVLLA SELLKRANELVRNKIHPTTVITGYRLACKEACRFMAEQLSTKVDKIGKDSLVNVAKTS MSSKILSADDDFFAPLVVDSMLAVKTINAKGEAKYPVKAVNVLKAHGKSARESIGVKG YALNCTVASHAMKTRIQGAKIACLDMNLAKQRMHLGVHITIDDPDQLEAIRARESDIT LERVRKILATGANVILTTKGIDDLCLKEFVEAGAMAVRRCRKEDLRRIAKATGASLVS SLANLEGEETFEASSLGYAEEVVQERISDDELILVKGTKMVNSSSIILRGANDYMLDE MERALHDALSIVKRTLESGSVVPGGGAVETALSIYLENFATTLGSREQLAIAEFANAL LTIPKTLALNAAKDSTDLVAKLRAYHNAAQNAPLNDPKRGLMFYGLDLINGEVIDNRQ AGVLEPTISKIKSLKSALEAATSLLRIDDSIQVAPEQKPEVDEHGH L198_04014 MSKVTPLRIVLSLAAPRPSLRRPTSFAQRLYSTPRSSAAAHAQS QATADLLNKGSTAGAGAGEQDHAGPFPLGVGAGGGSKPWRTWRELGLGGKLVRTVRQS GNLAVIIAGGTLFVVLTLALTTELFARNSPSVLYAAAVDMIRASDGLDQYLLPPIKFT HSPHSSSPVRGTPSISSTTVRNLSSGKEHLIISFWVHGRGKDEELSLGWLRRAWVSTK AYAKESVEALGLVGQEPEGLAKEAFKEDLIEEGRRLEAAGQKKSGRWFSWLGGLNLRA AASSVRITGREPDPAGTYTTGEARGEYVKDENGHYQLLSLIVDVPSSRAAYPGRAVIH QTPEAEVEGLLGKRIR L198_04015 MSPLSPIAPPILPFGSPQPQQLFGVPFGAPPAIAGIDQIQRMRD QDTMHHLMVEPTHSKYIHSHLAKVHASGRRSAVLDIGSGTGKSHTFPGQDHLIPAQLT SASSSTTTFPLALINQSQYAVLRFPRPRHSRMWNQAGSWATALANTEPFADVVAIAAD WKPFVRQRIIHGNLDVSTVDINQHLPYPSGSFDIIQVKSLARSHRQYPILVERLIRLL RRGGLLILVESHTCYENPTGMGLPTCLRTWDASVRSALAAQGYDARMASKLPFLVRGA GVFGNTAFVQEIGIPTTSYPQQGINNIAQAGALHARTLPAEMSSFMPLLRQHGYDERA IQAMIDECLHCLLNPQARFLQRLVAVYAFKMV L198_04016 MILGWSTGESAERYQKRGGSGYGITTTASFPTSYGAGPPSSTAG STGGFIGLISGIAAFVIIATLAGLYLRNRYKKRLPKQASHPASRTNPTLPSLSISRPS TDPYAVGPSASQMNDTPIATSGSSFARPMYSRQKSSEWDLPLNSAASSGGGTPRKEGY GDLGVDMPEEPGEAAWPLRNVQRRPSFSPHKGSFSSAGSGDDYVGKGKGKGKLYDPPP AAGSFHNPFDSPFDEAPPAHSKVVEDDTSTLGGRSPLLRPESAGEYGEELELEEQTPR VRDLESGRLEPSGQTRL L198_04017 MAPEIAQAAFAAVPSSLVEIAKNKTQPLYRYVSKKLGKEYQPIL AHDDELDVDTVAVEVPEAVPQMPSGGLLSDLKNHVKSMKEYGSVLFALEIFRTLSLCA LFGLSVYATIQAESPQEPGLEGGMVESLKRKKKGNHHHTVDDYSSLEWGEFGVSGLYL YSLAFSFLLLTLRPGTPLRRHLIAHLDVLLLLAFGVYAYRDIWPLLTSHLEPADIHNA ITWSRVVILSFAAVVIPLIRPRTYTPVDPSNPTPLNEVHPEQTAPLLSYLLFDYMTSL VWKAWKTPALPYDDLHPLADYDRASYLYKTSMATLDPVKRRAQGLKDRGLVLSLASVF RREVMITCALSAFSGAFELSSSVGINQLLDYLETDGKGHNVRPIVWVVFLFMGPTLSS LFMELYIFTATRCLVRAEALLTQLLFDHSLRLRMKDQVDDEKEEEEEGKESAGPLITV EEVDHAPDAPRGLIAAEAETATPAESQTGKDKTDRKAAADAEAKKSKGQGLAGKINVL MAADIEAVGEGRDLALIFVYTPVQFALCVVLLYKILSWSSLVGMLTMFITLPLPGLLT KYNAEYQQQRMLATDSRVDSITESINALRIIKMFGWEGRIKERVAAKREDELHLIWKR RLMNLAIILLNTLLPVLTMAVTFAVYTLIEKEELTASKVFTSMTVFEIIKGHTGMCFW LINESVTAWVSVQRLDKFLHESEMIDEYSQGKMTNIVTQSQLQAQEENLIRLVDATFS WESKTEKINDETFKLHIEDATFTKGKVNLITGPTGSGKSSLLKSLIGELHFHQRDGAF YNLPREGGVSYAAQESWCSSDSVRDNILFGSPFDQSRYEKVVRACGLETDMKLFEDGD ETEVGEKGITLSGGQKARITLARAIYSKSAVVLLDDIFSALDTLTSRWIIDHLFKGDL VNDRTILLVTHHLHLVAPVADFIITLNENGTVQSQGPVNENALPEEDEPETEAAPPAE EVKNPDEAQKTAEKKAASKLVKDEEKSEGRISKHAFITFFRMFGGPIFWLTYFGLLFG GQAMSAFQTYWLGRWARAYEESSDANLVSVSYYLGLYFVFVLIGVVMGGASAILFYLG CTKAAREMHRRLVDSIFGAYMRFMDTTPVGRIISRFTKDIKSIDGPFTETFDTVADVT AGLILKIAVVVSLVPLFSIPAVIIGALGGIMGEMYIHGQLSVKREMSNAKSPLFSHFS AAFNGIVSIRAYGAEEQMRLEAQRRADKYSRAATCLYVHDK L198_04018 MLGGLFAAALGAFLVYGAKLDASTSGFALSQAISFSSMILWWVR MVNEMEVQGNSVERIQDYLIIPQEPVHDDRKQPSAAWPTSGEIILDGLSAKYSDDGPT VLDNLKLSIKSGEKVGIVGRTGSGKSTLALALLKMLPTSGKVLIDGIDTGKINLHALR SNVTIIPQDPILLSGSLRFNLDPFGEHDDAELNDALQASGLGATRQRGGSGSITPQRL SLDTHIAAGGGNLSQGQRQLVALARALATASVDFDTDALIQQSIRNLPSSCTVLTVAH RLSTVMDYDKIMVLGAGKLLEYDSPEVLQSRKGSYFAKLVQAMEGAGGS L198_04019 MTEQEQKAFKLYGKVPAKNVLTKMQKDRKFFDSGDYMMSKAGVP TPYGHIHPTPEAVPHASSPSGPNGGYLSSSPTGNNASPIPTEQHHSPTSEKPAPNVGV GISPAATSDAIEMPGAGHGHQRRGSDSHPRISPPNTLRESHNSSSYPIHHPGAFGASP VKASSLAHRVDEDAE L198_04020 MSNYPQEPEFQQAVNEISQTLEPFLAKNPEYRRALEVAQIPERI VQFRVTYERDDGSVSVNRGYRVQFNSALGPYKGGLRLHPTVNLSILKFLGFEQVFKNA LTGLMMGGGKGGSDFDPKGKSDAEIRRFCYAFMQELSRHIGADTDVPAGDIGTGGREI GFMFGAYKKYRNEFAGILTGKGGDWGGSFIRPEATGYGLVYYVTEMLRDLDNTDWKGK RVLLSGAGNVAQYAALKVIELGGTILSLSDSTGALVATGDEGFTPEEIFAIADIKLQR KSLTAFSAGNKFTWHEGARPWTLVSKADVALPSASQNELNGEEAKALIKAGVRYVAEG SNMGCTLDAIEVFEASRTAAKSATDSGIAFYAPGKAANCGGVAVSGLEMAQNSQRLKW TPEEVDAKLKDIMVTCYKTCWETGKEYAEAGPVPSLVAGANIAGFIKVADAMKVQGDW W L198_04021 MSWRSPKRLPFLAPIDTRDGIPLQHRGHQRVPSRASAEYDYDAE AQNSQRSIPKGAPGLARKKTLVKPEREVVDPNHRLRHYREHAVEDHMDIQASATGNRP APVTFSNSLQRGKSLLIRELAENHNADYIPKEGKDHPPLCCLGDIAPGPKDCWMIYCY IITLWIPVFVIRKVFKRVDAEGIRAFREKMGIVAILSSLMAIVGFVTFGFTQTVCGDN ALRISGGQANLGSLVINGYDYDFSTWRHPEAGSLFNGSTSPLWMDQYNAGGMDASFLF QKVNQHCLGIITPAEGTRITTNGDNMGWYFACNLHDQNDTSVANTTNYGSDYNCHPSQ KARDAFDAVVPTAKIFYTWDRVADTEVNLAAYKSSILDFNLLRGLDSSQVKYPTLFDT LKNRNASWAGKDISALVERANATEYADCLADIIQVGYVDSMTIGCFISNMVLYVSLIF ILGAVLIKFFMAVTFGWFLSWRLGNLYGIKYQDRIQRAKEIESWTEDMPTHAPEHLMP DAPVEGKQPQKKVEKRRLLALPTKSRFSQYSMPRGNLPSRPSTMMSQRQSFYSAASRP VTASDLAGVVVGGSHKVSGSQPSSPIVASSTPSAAGFGNDPNDSSLKVPSGATLREST SFASLMSNPDARLPLSPHVIAQPEADDEPYGYPLIHSICLVTAYSESIDGLRQTLDSL ATTDYPNSHKVILVIADGMVRGSKSDKEKAADEAAGIPEQEVDYTPNLVLSMMKDLVQ PADEVVAQSCVAIADGQKQHNLCKVFSGFYDYGADSQVEDTKQQRVPMVLVVKVGNPS EAGTSKAGNRGKRDSQVLLMKFLQRVMFHERMTAFEYEFFNSLWRVTGVTPDMYETAL CVDADTVVFPDSLQRMNACMVNDLNIMGLCGETKIANKAETWVTMIQVFEYYISHHLT KAFESVFGGVTCLPGCFSMYRIKTPKGDEGYWVPVLANPDICEHYSENVVNTLHKKNL LLLGEDRYLSTLMLKTFPKRKMIFCPQAVCKTQVPAEFGVLLSQRRRWINSTVHNLFE LAQVRDLCGTFCFSMQFVVFMDLVGTLVLPAAISFTMYIIIVSIIPQDKTGIPRPIIS LVLLAFILGLPGLLIVITSRKVAYVGWMLLYLLSLPIWNLVLPAYAYWHMDDFSWGAT RVVAGEGKGDDKHGEKEGEFDASVIVMKKWEEFEADRRWAVLEEAKLASLSLPVSPVG SSRSGHQPFDPSRDLLNIGRLGEGIV L198_04022 MATPELVAYLRSLDAVRNRSSQVYHLAVEGKLNHWHFDQAKLGD VADYCAKIIQRDFGTDYASIPPHCRRNHFITPKRNRITTLLSTPGFPPPSDPLARASA LTDLYLVSVLLDAGAGPDWEYIEVDEEDKENVVWKGGRSEGLAVASYNMFKDGLFSHT DNKFQVTAEGLKNLTPKLLAKHLQVSTANPMAGLQGRTDLLINLGSALQARPDLCPTG RPGDLIQCFGDKITDNILPLADFWSTLFELLLPIWPSRTILPSYPDEALGDVWPCAAL SSALDQAGAGRTEGDDLVPFHKLTQWLCYSLVEGIESQTGWKVDRGRGQTGLPEYRNG GLLVDLGALTVKAETLGEEAYSNGKEKPPVLEPSHPAVIEWRAVTVISLDKIHEILCE KLNVDKLVLGLAQVLEAATWKGGREIAKEKREGGGPPVEIVSDGTVF L198_04023 MVAQEMNALLYSEARKFSVTKVPVPEIGDNEVLLKVDICGVCGT DQHIHEGEFIAKFPLIPGHEAVGKVVSMGKNVTGFEIGDRVAADVGETCSHCHYCRKG TELFCENFSPAGVARDGGFADYIKYNFAKCYKIKNLSDEEATLLEPASCAIHGMDKLQ MPFGAKVLLIGAGPTGLILAQLMRLGGASHVTIAANKGLKMDLARKVDAADSYIDLDR NDAANQWAQIKKDNPYGFDVVAECTGVESIVNDAINYVTRGGTLLVYGVYADKARVPD WSPTDIFVNEKRIIGSFSQTYCFPRAIDLLDSGKIRTTGMVTDVFKLADYQAALDKMA SRQALKIAIRP L198_04024 MRSLGQNPTQAELEDMINEVDADGNNSIDFAEFMTLMARKMHDT DSEEEIREAFKVFDKNNDGHISAAELKHVMTNLGEKLTDAEISEMIREADKDGDGMID YNEFVTMMIAKVRSHLYDSNGC L198_04025 MPAQGERDEALGQLDLVLNQLRPLGHIPLYKELYEAELARKQVL EKVRAKAAGQGESQKELVVEEKETPVEEDKSEPMPEPELEPEPEPLKAVTSETIEAIL SQPADKFKADFRMSRGDMQREVFGKFALHTVFESDDVKEQGKAEYQLAVCIYRLAQPP SVTLKSIADKFEISPEYVQEWINRSLTVLLSFQTSYISWPLPPERILISQAISSKHTL PDCVGFLDGFHIRLQRGAQPNIPLPEWHPDNRIGLNVLGVADNEGRIRWVDLGYSSDP KADVSQLDRMPWNVKDNERRWFDSKQVVIADKGFRRRERVLSLYDIGAASFIEKTDGE AILADQRSFNSRASLPLHETVQLAWGRLKGRFQYFSEAVIPDGEQERPRDMVAAAIML HNMLLKNVGEFVSTQEAEKLVQNERYAEKWVRD L198_04026 MSGILNRAINVYAIPQDLLDSLAVRSIEADPITQEEPLPAPAPP QPLGTGIFCQTCPHAEFETVEDQRAHFKSDWHRYNAKVKLKGGGKVVGFEEWGNLVEG VSSISGSASSTSGSEQSKVARLLNKHTLHPSEDGSSEAAELADRQRRAHLRTAIIWFS PTSPLPSLSIPKDTQFGIHRALFPPYDKAGDYLEELKRMQLGSEMSNAVEEEQEGERR IVLLMVAGGHFAGMVVGLRPRGKTEKQEVKGAGDVRVIKHKTFHRYTTRKKQGGSQAL NDNAKSKAVSAGAMLRRYGETALQEEIRALMIDWAEDLEASERIFIRASTHGKKSFWG YEGAVLDKGDERIRTFPFPTRRPTLQELLRCWHELTRVRVSHLSEGALKELDDAYIAS LQPKTQTKAKPQALPEKKAEPTTPKLTEEEEARVDRVKRLEEMVKKGRINALRAFWEK HSTEFLSLSPPGAPVLEADAQSAFTQSSLLTLASSAGQNEVLTYLLSDLHFNPTIPSP SDPTKRPYDLSATKATRDIYRRVAYDDPELWDWKLARVPEGLSEEQEAEQKEKKAGRR KGLKDKLKEREKTRQETVAKEEKEEEERRLKKEKEEREKPTRMGAKGPQKLGSAGTGS EGLAGLSPEMRQQIERERRARAAEARFGR L198_04027 MPGPLDEDGFHSIAWDDQPSQQSTLSHSSSPFDEGFESIPSHNP HITSASSEGWSHASQDDAGDFEGDGDDTITLPRKERAAEGGEGVDANKWNGRWMKVDV KEPFKEHEGSKDMYVSYAVHTHTNLQTFKKQHTVVRRRFQDFVFLREHLVKAFPACVV PPIPDKHRLEYIKGDRFSGDFIEKRRQDLQRFADRIANHPTLQRSQLTNDFLQSTEWT VAKHHHISHPPPDSHTSLIDSVSDTFINAFSKVRKPDARFLEMTEELERFEEGLTGVD RVVGRGKGRLDDLAQDYLDMAAAYQGLGYLESGITEPLNRFAEKMVDFSGLLKHTNQE AVEPFISSTHSLLAYSAAHRNIIKARDQKQLDFEELSAYLSAVVSERDRLAALSSGHA GAPVGLGTYLRDQVDKIRGTDDIHTRRERMRKLDGKIVELQEAVTLAHETSNAFSEEV LKEHNYYELDKREEMKEALQGYADGQVEMLQAAMDDWDRIIPLLERIRVDV L198_04029 MGKPNKERNSRPAARGRGRGRGGSASGGGGGRAATTGRQKGLRQ GAPVGGKREGMDDEEVFRRVMAGENLDSDDSEESEGSGSGSSSGEEGEEQEGGEGEGS EEGEGSSEEEQQQQATIDVPVAMWDFDHCDPKRCSGKKLSRHGLCNAMRVGQRFRGIV LTPKGKKVIAPDDDEIVQMSGLAVVECSWARLDEVPFNKIKSPYERLLPFLIASNPVN YGKPWRLNCVEALAAGFYITGHADWAEILLSKFSWGHSFYKLNSHLIERYTSCKDADE VNAMQAEIQREMEEEKEERKRLKEANEGGDLLVANPNHTGAEWADKVSQDLTGSDEEQ DADDVEALISGLEKANLDEEERL L198_04030 MAFSYYSRPRPQHGPPPPPRAQQQPQGYLYPDPSPHAALATSSP RFQPYARPAPKSHSPSSTYLGSPHTGYLPQQPSPHPSSAHPSPSYMQSTSAPSAFDPP SMPEPMPFSSAGYGVGDGLYDGTSSFPGYVDPNGPAAAAASESRSWENSTRDVAPDDY AQALALYTHICNSLPYYVPTSQPAPNSSSSTPPTTFDSIIGLASEGHSILSGQAPPST SAIDIGSFGPSSGFTSGVESPEGRIGGGIASGSAAASTSAVATPVTAPASISSAGRKR RNSGRKEPAGPPPTCLGCGATETPEWRRGPMGPRTLCNACGLVHMKLQRKKRKAEEKE RAAAVAAA L198_04031 MASSGSGYTPATHDVEGQNDERLDGLLGKVKILKDITKGIGDEV RDSNLQLGNMNDSFASATTILSGTFKRMTKMATRQGGNWCWFMLFLLFVLFIFVVLWM VRR L198_04032 MAIVKCTNQACGKEFDEEKKEEGTCAYHPGGPVFHEGLKSWSCC KDTNKPVLEFDAFMKLPPCTTGTHTSVPRATPSVPAQKPDTSNSAPAMTSSKDGVETY GSVPPPSASSGSGPKIENQTPVLPTPAPAPAPTAAIVGGGKQGGAEEKKEVKEEEDDV SLPVPEGARCKRTACGAAWEGEEVSRGEGEKATCRYHPQSAVFHEGSKGYLCCKRRVL EFDEFIKIKGCKEGKHLFVGQKKDETKEEFVNCRVDHYQTPTQVHVSAFAKNADKQLS KVTFTDTTLHLSLHLPSLRRIEKTITLYGPISPADSSYRILGTKIEITLTKPKAASWP VLELPPAGTELPPGYALTFGVRGRTGTVGGKEIVLAEEEVGKRA L198_04035 MRPLFHLILNLLKLHPHIRATILLSPATSDRMMKDLNAFINSEK KLQSRGGTGSISEHLQVVTCGKDSTVVYDAAAAQKDIEHYSIVLPGFIKGIFSGERDL GYGRNNRLESMIPTKIIMDMCQFTVPDILRKAVSEVDRPMPPVLVFCPISFSAMYSMF VTNGLQGMYGRVLKNIDRDVAAGIPVKDAYAKRSFDFPGEVVQFIDIPYKYDYELEPH WALQEIPAESLMAFFPIYHTMFDPIVSGFILPFTGEFEAETIKVIEKEMGKPLFSVGP QVPADVWGNTLQREIASDDDRKALTFLDDMRTVHGPKSVCYLSFGSLYFPHRRPDIIR WMLQTLQEAGIPVLFALPSGLKAVPQDFLDEFKDFKDYCHVTFAPQWQVLNHAATGFF ITILAEVPIVAMPFFGDQGEIAALLTEVFKIGIDIKQTKTFANPAHNTLYDGTKIVGT EDVIKEEMRGIWVRMTGKEGDEMRKRVAELKQRIKQSVASGMIGRDLTKIGLGEWA L198_04036 MAGINDAMDIDSPVASTSNQPAHFSTPVAPFPSHAPSTSLFAPS NAPSPAPRQSMTLVNNAQARIPRTGYIYDPLMMLHCMEGYIPTGEDVKDAGEGHPEDP MRIKRIFARLAENGLIRRMKRLEFQQVRFDQVMLVHSEEMWDKVQATENLGDEEAIEL REYYEQLSLYVCPETAHCARLSAGGVIQACRSVCTGEVKNAFAIVRPPGHHAEPNEHM GFCFFNNVAVATREMQREGLAKKVLILDWDVHHGNGTQRAFYEDGDVLYMSLHRHEGG TFYPNSDFGSLNMVGTGEGLGKSVNVPWPGPGFGDGDYIYAFQRVIMPIAYEFDPDLV IISAGFDAAEGDSLGQCHVTPTAYGHMTHMLSSLAGGKLVVALEGGYNLHAISNSALA VTKVLLGEIPPALSKVPKASEAATEVVWQVAKEQSKYWKTLDLKACEPPEVNTTAEGT PAVYNIADLLKVHRAHWMFERHGLYQIPLASPELEAAFSGQVICNEGVYEAGRKGVLV VFVHDFGNLRVEIEGARSTNVHMTNSYLLDTTDAIVSWVQRQGYNLIDINVLRQLPTA YPEGPKMVSKKGGSKLESTLLKYVWDNYIELSEAEKVVFIGHGSACHALMDLVNERMV ESKVKAVVQVAGLHSLVRPTPTDSDKLKWFKSINQIYVPAEHVLLDDDKVMRRVGDAV FASQKAKVVDVLNESLPRIKQFVHQKLEDVAEIEAPSGDEGSGSGLGKANGVNGHSTE L198_04037 MAKSAAAKGKAPIKDKNNKRKRDTEEKAQEQAPASLFGGVKDAE LDDIFAKSSAFAQPAASAPVASSSKAHLQETSAPVAKKGKKSAPAPALDPEPESESES EDEVDEEAQDISDDDDAMSNAATLSELDEEEEDEDEDEDEDEDEDEDEDDEVALEAAK AAQEARKAKKAKVEKFVPQEESAADKNRRTVFVGNLPIDAAKSKACISTVFAHIKSFV PTAKIESVRFRSVAFATPTDSVPTEDPEKDAISRAKREKLRTAAWRKKDDKDDEAVDE HKVFIDAKGKRKVAFIKKDFHPDIDSCNAYVVFGHPHPDRAANVAPVMNPYDAATEVI SSANASTFLSRTLRFDTVRLPSSVGLASATNALSKRDAWLPSNADPKKSLFVGGLDYA AKEEDLRVYFEELVKAERGQNKELGKWVTGVRIVRDKETQLGKGFAYVHFSDRESVDE VLAMDKDKIKFAKRKLRVQPCKTLPSSNTLNNTIKKLGSAAAKDGKARKASKPRVKAG AVPKGDPALGDKLKDLSKEERKTFKSADADRQARRLAKKKAKNATERDMSKGKVKVTL TKGEREKTSAARKGVAKKGKKRTPAAIAKMKGSRT L198_04038 MPIPVMAQTAPPPQGGKLTKAAFFRARSPPIVSPTSATSANSRA SRNDPQEPLDDSMYARFNMPSNGTSAQSRSIQGAIGYGEERDRFDGGYSGGSGLGAPQ RESIYNTPATAGHGPTLDRRISHPNNPRYDPQAQAPSSYQAPSIGGATSQSSHYPTER THQPYSHTRQSSRSKSLHSRTGSTSSRRQLPLVTPALSQVPDPSVLSPRDSDSGYGES METSESQPRGDYHLRGGYGTNMRDNEGELGRSRSARENGNTSLTEGSDEMLLSLLAGQ AVMDCQQMGIAGWEDVEGWKKELSLLSSRVDSVQARHQREIKILTAARALQKLNNSNK RMSRQTLESLEQSEKKVAAVEKDLLTLRDREASVRRQVLEHFGGVMSWEVKRLERINA EVMSRFEGMQARMDGVEEKEAELVRDVQEGRMKVEELEAIVSELQRREQAFDDETRQL EDQIARAQQDQSNWQRERSQIDQERQAWMEEKEIWDRQAANFDAERRRWAEEKEALFG DREKMMQQSGQSSERDRKIKSHVQTTLGALLGRRAGQVDEEEIVPAFEQLRTVLSERE REIMSLREEVREVNMGLEQEVRRVGEDRDAWKAKVEKGEAMKQEEVASLERSLRQQQD QITNLTLRNESLSSSLAAAQSTLSVVPSPSTAQGNEQRNQALSAELEEIAKQFASIWP LLPSRAEREKADLIDPRTGQSNKALASPSNSIRFEALQALYAPGRPTSTSLTSIPEAL SRIKGMVEDGELLVDRVVRMGKERETLKTNAAKAKKLVEGSTRSLQTYQQQVTILEDR LAKSSQSESHFLDELNSLQSLVDTNQQAKRTLEQKLAQQMETCNRLSEANDTLSARAL ELAQVAEDEKRALQQKLQGELEETKRKLNRVEEDADEDRAKSTGQNIQLLDELNSLQA EVGSLRTQLRNRR L198_04039 MVLQTRTLAASVHKIALPEKAKWSLLCNIVILPQAASELSRLLP LPASVIANEIVVIWVANQAEPLTADKIPKKLLTVRRDKMIAALRWLKKNNPLYSDVSI DGNALTTYPEGGHLPMPCFNSVASASTEAEGAGYVPPATAPSQSSDASQIRQQLS L198_04040 MNPVYPAGPEHNPVVLHHPAILNSGLNPHNPVVLNHPAILNSGL NINSSSSHRTNGNPSRAAPGPANSHELVAHPTALRYARILRCLLVQILENDDTTCLMI PKIIIVHIEGAGAIRSYRFAFGLPPLSVADGLTSSMMKKGTVLVLWAARAAMKKKRMK PIGSHMSFNLGMFFRSLAP L198_04041 MSFTKIAFTALALASAATAAPIKNCARGKPSSYDEAYLESYDVY HTRYLALSCYTQHNTTFFDDCCHPLLTNETLADARLSYCNPNATQLAAVNSTEAASAA TASATNSADLEAASAYSDVSSSVWTASATETASSVAVADVAQISVSVSLGLSIGNDAT PTSSSFEVEPTPSSSSSSYVEPTSASSTSTSSSSAASASSTSEVYTGGYATFYSQGSA AGECGDYHSDDDYVIAIDSNGWWSDYESNNSSPYCGRYITLTNTNNGMSVTAQVADVC PSCTTSNSLDLSVAAFNAIASEEDGMVPITWYFNA L198_04042 MSNDMGQPTHSGPPAEASTSANGHAAQTVTATSSLLSNVAPVRL PGSLMYEDDKDWVETREAYAGGEDADMEDDATSIKGKGKLSAGEDARPGVGGGKRMPV DREEAVRLMLQGLRDVGYHQSADVLEAESGYKLSTRAGSDFQQAILGGRWAEALALLP ELDTAIPSDQTRYLIAQQKYLEYLELGQQKKALGVLRGELARVAKDQNVLHTLSGFMM CLDKEDLYERASWDGAAGISRRQLLEHLEAFISPSLIVPSRRLATLFDQARQFQQSNS PYIDGPTTNSLYTDYEDRQDQFPSVTTHILIDHSDEVWRIEWNPDGSKLASAGKDKVV HIWSVLPVAGLDGTERYAVAPLHHFRNHKDPIDAMAWAPDGKLLATGADKVVHLWDTE TGEEIALQTPGLHHTDTISAIQWIPSGSEFLVASMDCRIIFYNRKGMLLRQWSTFPLQ FNDFALTPDGSRIVAITTPLKRVANNEGLRSAAIWSQDLRCEMTSIRLTSDGKRALVS CSPDEVQEWNTHNGLRYLRGHSGHIQTNFLIRSCYGGKKDQFVLSGSEDGHVYVWQGS SSQPTEVLAGHTSVVNSVAWNPVASRKIFASCSDDKTVYARVLLQMRKLMRSRRIWQP PVDMDMELQAEDAGPVAEASASGTNGDKDGNGHAPRMDEDVGMVL L198_04043 MDSIVETQRQTHEEIERYEQALAEVLMQNPTATKNVTRRDRKAA EILDRIGTLRMELVDMYEDIPGLRPKELALLSAPGAGQDDLEEFYLRFNKIKDFHGRN PGINARQFLNEVDELVKGDGVQLIEVEGDEEPTIIDPLDSIFSGEEAYGKHLDLYLSH SQYLNLKGSTRLSYVAYLDMLKHGKVERTLDTKEKSNAAYLEYVQTLYNYLLSFFERA LPLVDVRGKVKEAEASFEAAWEAGQVEGWESSGAKKQENGGEGIWCQYCQKNYSKQTV YDAHLNSKGHKKKAAEGAGSTASPAPVGPTTTTPQTSKSKLPARLTFLIIALLTFPPI PRLLSDSRNEVERKMALTSREREQEIEEQEEGQQVEEVELGNDSDEEDDDGKVYNPLK LPLGWDGKPIPYWLYKLHGLGVEFKCEICSNASYNGRKAFEKHFTESKHAFGLRALGL PPSKHFMYITKISDAMSLAEKLKREGRQELTAMDKAEEFEDDEGNVYDKKTYEQLQRQ GLI L198_04044 MAGINTGDDKLVFESSEKVSVVLEDLNLKEDLLRGIYAYNFEKP SAIQQRAIRPIMSGRDVIAQAQSGTGKTATFSISMLQSIDTNLRETQALVLSPTRELA IQIQTVVLALGDHLNVSCHACIGGTSVGEDIRKLEAGQQVVSGTPGRVFDMIRRRNLR TKDIKMLILDESDELLNKGFKDQIYDIYRYLPPATQVVVVSATLPHDVLEMTTKFMTD PIRILVKRDELTLEGIKQFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTRRKVDWL TEKMREANFTVSSMHGEMVQKERDAIMNEFRSGSSRVLITTDVWARGIDVQQVSLVIN YDLPSSRENYLHRIGRSGRFGRKGVAINFVTVDDVRILRDIEQYYSTQIDEMPMNVAE LT L198_04045 MTHFSYKPVPLPPTASPDMFQEFGRQVEGFDPETVTDDQMDEII DQLYKHSILLFKNLTLTPAQQYAMTLAFDPAAQSYGHGNNKTGNTKSSILHPDLKTIP HQPQVQLIGNGKVQGVHEGLDSPQLKHPHHKTFHKTPVSQEDEDKGITRFYRWHIDAA LYNLNPPKVTTLYAVKVPQGDLQTCQYDDGTGDELPVPLGGTAFVSGKNMFDILSPEQ KSLAVRTKVEYAPHPYIWMSKAHALPTGLGIESEGLELPLDELPEWEESKVKKFPVCW KNPVTGKLHVQVHPCGAYKLHISPCPSPSSSTLYPEGGVIEDLSTVRSVLYDLQRPGI APPLVYSQNWQEGDLVLFHNRGLLHSVMGAFKEDQVRMFHQCNLAASEEPVGPDGEDV KTWA L198_04046 MANKHLILLGTHGSEIHSVVFDDEEKTLKRGVSTETGKQPSWLI RHPDPQQRDLVYANQWVDSKIRVYRLVDDQGQLELLGEAESGGEGPTHMAILKDASHI VIAHYVSGNVTLLPLSPDGLFAELKPSHSWTPPHAPQKHHRQQSSHVHQIVLFEDEII VPDLGSNVVWRLKWDGQEIQLKGEIGGFEEGDGPRHCVIHPDGLYIYVLSEISSHLTV HALSTAGPPVKRFSLLPPKDDGAPRPTGASEIVLLPALSAHGPLLLIASNRDSPVSEN DTLALFSVSPTQGDLISRKEEGWAKGLGRHLRGVSSDASGRWVVVLGRDAGGVKVFER AGEDGLELKEVGRMEVDNTVAPLWV L198_04047 MSAGSYPPIAVGLMGTGEYTTGITPSGQSKSDKKIGVVGITMFD LRRRGKVDKIVMACTNGSKFAEVREHFQKNIGDVYKGLDLGFDGFPGEGKRDAEAYKE ALKALPKGSAVIIFTPDSTHFPIASYALNLGHHVLVTKPATQKLEDHQKLIELAEEKG LVCFVEHHKRFDPAYNDARARAQKLDMSQPKFQLETFKSWAGIDSDISYYLNSHHIDI HAWMVEGRYKPVKVTASASTGIAQSLGCDPRTEDTITLLVDWENIQSPGQRGTAVYTA SWAAPLKAGVHSEQRFHYMAAKGEVKVDQAHRGYSIVEDEVGKVDYNPFYVKYSPDEN GYFDGQRGYGYLSLEKFIDAAQAVNAGKAQAKDFDGKGLPTIKATVVTTAIIHAGRIS LDEKRSVGIEEEGGKLKLV L198_04048 MLASRTHLRLPLHPRFARPFTSTLSPLSSQPNHLQTLLQNRELQ QSDAENELRWITSWVREEAKGMMKKRKLPPVEDERIEGFVQRRAEGEPLQYILGSTDF GPLTIKCKKPVLIPRPETAHIFTLLSSSILSSVPSFTSRDRPSSPLPVLDLCTGSGCV GLLMAHENLMAEVTGVDNSPAAVALAGANVRDNELEERVDIRYGNVFGPPQGLLGGKG KVGVVVSNPPYIPLHEWEQLPKGVREYESPAALLGDGKKAGEGLAFYERIAEILPELL LSEEEMEGKGWKGIPQVAVEVGLGQARKVEEIFKKSEVVGRTEVWKDQYGVERMVVGW S L198_04049 MAPTPKAIVLTAFLALVLDLLAFTIPLPLFPRLIEWYLAKDTSP TSLISRSLAFCSSIRSTIHSLQPASVSAVTSIHKGSKNWDVVLLGGMMGSLFSFCQCI ISPWLGRLSDRYGRRKVLLATMVGNIASAAIWIQSTSFESYILSRLVAGLSEGNVQLT TAIIGDVTDAASRSKSLALVGIAFSICFTFGPSLGAYFATRPLPLATSEDKYNVYAMP AAISLALLVLETLYLAAKLPETKGYKLEQAKAEQKEGEAAAFIPEPKDVVEKMGSLDK LKDCTNLHGLFLLFFSGAEFTLTFLTYDIFSASNAYNGKLLGFIGILAAMIQGRYVRP HMAKVGELQVALSGILSCTIGLLLISAIPFFTSSSFTALPHILLYAGATCLAFTSATV VTGLTAAAAGCCDERFPQLQRGRAMGKFRSRGQLGRSVGPLLASGLYWINGPSVAYLT LGSMLAAVLMFAPRGGVEGYRPWKGKSKMQ L198_04050 MSFTAGPVYPANPATARSESTKLAVDPKGERIVYTNGRAVVIRD LNHPGLSHVYTQHTQNATVARFSPSGYYCASADVAGNVRIWDVTQTENILKLATRPLS GKINDLAWDGESKRIIVGGEGKDKFGAAFLTDTGSSCGEIAGHAKPITALSVRQQRPF RAISGSDDNSLVFHNAVPFKYDKMINTHTRFVRDVAFSPNGDLFASVASDGKLFFYEG KTGELKGEAEREGTSSLMACSWSPDSSKIATAGADGIVSIWDASTLKSAQTYNVGSDV SAQQNGVVYASPNTLVSVSLSGALNIFDTRESSSSKWRILHGPTKAVTASTLTESDER HATFFAGSFDGSLKKFDIGEGYGEQEGTCGDVEGTGHTARVAALTSDGKGKVWSAGWD DKVSSIENNAFTSTSIPTKAQPTGLAVTPDNVYISTTADLSVSGPSPSTISSEGTSAV AVHSGPNSDLIASANGKTLTLSSTFPSSTLATFTDNKGDILSLAFSPDGKYLASADAA GRIILVDVEEKKTAVTSKWTFHTGRVVALSWARDSRRLASAGLDEAIYVWDTQKQLNN IAIKNAHPGGVAGVSWVGNTKLVSAGADGCVRTWTVPAL L198_04051 MTQALSDSWVPFTLVDTTQYNHNTRKYRFSFPDGEDKVIGGEVA MALGIKPEDEHDIKDDKGNPVMRPYTPISPPGTKGHADFLIKEYANGKISPYLASLKP GDTALFKGPFQKFVYQPNTHSLKKPEDKTKWTLLYGNVTEEDILLREEFDELLKQHPD RLAIHHVIEKPSSSWTGDKGYITAETIQKAFPRPEGSQETVRAFVCGPPGQMKAICGM KDGMKQGTLDGALKDIGYEQSEVFKY L198_04052 MSFFDKLRNRRGSTDSSMKFISYRSGLHPKGIIGLVDPSEEHVT PLRFPDNTPVRNMHQLIEEWDATHRHLLHGAPMERLDMVEVLAPLRGRDVICVGKNYK EHAEEFHKSGYDHSDNKAQPDYPVIFTKRSTSIIGHKHEIYPHPKLTQSLDYEGELAI IVGKPGIGISRENAWDHVWGATIINDVTARERQKDHKQFYIGKSFDTFCPMGPFAVHT SVLDWKSMTLETRLNGRLRQAARTDQMIFDIPTLIATCSMGTTLQPGDVIATGTPAGV CLSSGEFLKTGDRVDITISGLSTLSNTVGSGLEGPPPCSPLSARTSLGSSAYTKGMRL KGESGPVGS L198_04053 MSSLSSILLLWCSLIIACYGFRVPISDTDNLREVCSGMYGGKEA YIDMAFDESSSGQVALVIYEWSDVQYLGAQGDDDLRTYICTTSAVRSGLCTDSNMGGF ITTYPEGVDTNATSIFTTPLRFSNVFSSIPSSDEDESVEASATTSAESAEETGSTESE SEEESAEGLDAENEYEEAAKLAESVGDIEERRVTARDREGVAPLYTSPITYAVPKTGY YCVGIVPVTLVNSKRDLTKREATHAEYSGVVLFRNTFEGELPAVEYPKIGFYLSLSIV YFLLGCGWAYFCSKHHRELLPMQYYISGTIVFLVIEMLAQFAYYRYINKNGGGATSLA FLFVIAVLNAARNSLSFFLLLIVSMGLSVVTQSLGTVMTKVRILTALHFIFGVVYAVG TVQVEIDNASLLTVLLLIFPLSLTLTAFLMWIIVSLNGTILHLQARKQRYKLQMFQRL WRILVVSVIAVAAFFVVSSMSLSNRLDEDYTPSSWKYRWILLDGSLATIYLLAFAAIA YLWRPTRDNLQFSMSQELAQDEADADAEDYEFDALDLESGRGRGMGHQPLGQDDDDEE EEDVRKGLVRGTHGVGEENVVFAMGDDSDEEEEGRRSKGGYRDSEEAGGSRRASPSEN KGKND L198_04054 MAALNRLSQRIKENFQETTRDLSLLTGTGSSTTYFDTSDDKLKE ITKLLESRSDAERLEGMKRIIAGMSKGRDMEPFFAQVVKNVVSQSIEIRKLVYIYLLR FASTNSDLVLLSINTFQKDLSDPSPLIRSMSLRVLTSIRVPVIQGIVMLGLKKLVNDR NPWVRKTVAGGLSKVYELDSSTLPQLIALLQTLLSSSSPLTLGASLTAFMEICPERLD LLHPYFRHICNLVVDADEWGQAVALEVLVRYARAMLEKPDGAGAVKPQPKTEKANGEK QEYDSEDEFEGLDVDLAMLLHCIKPLFNSRNPAVTLATAKAYWHLAPAGHELVGQQLL VRPLLRLAGSSGGNVGREEIAVLSWEVLAEMTEERSWLFIPYQASFFLHSSEPPSIQK SKLRALSALITPENAAASMREFKHYLRLPDDSVAEGVVRVIGACFTSQSEVASKGLGA LMKLLKSDRETLVAQAVTVLKSVILSSSLPSTCPSPQHLVARLAKGLETILNPKARAS VFWLVGQFAALDPSLESAKQGLGWEGVSLWVPDVLRKGVKGFKEEGTAAKLQIVTLMT KVTVLVPGNKQLELMGQYLWGMARYDADWDVRDRARFLHTLLRGVRVEKPANEDGPAE EEEDTGGVVLRREQVKFVVLNQQPTTGDTEVSTRKGGAQDFDVATTSRVAGKKLKGYE ELQGWTDDPTDGTLRDSELEKTQSTPTPTSFSSQAQNHGVPPAPLHMSSSGQLPRRAL PGTVTSTPVLASPASGQVPQPAKAKFQDLDSFLDSETESESESEDESASESGEEVVAG RELGVQSGSLMQEYEYDEETQSEDGTEGTESEDDSSEDGENDRLYRG L198_04055 MSTAAEINEQIAGLQSRIKELKVAKQDASKEVDSMKSLKEQLKA VQKDQGPSTTQTLQLKTPKGTIDHKPEAALLRKKIFSTLEGIFLKHDASTIDTPVFEL KEILAGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNGLSSIRRYHIGKVYR RDQPVMTKGRMREFYQCDFDIAGPCDPMVYDSEVLRVLCESLTSLDIGEYTVKINHRK ILDGIFQLAGVPADKTRPISSAVDKLDKLPWADVKKEMTVEKGLDEKVADKIGEYVGL KGPGLEVLAKLQADTALTAIPLAKQGLDDMEILFRYLQVYKVLDKMSFDMSLARGLDY YTGIIYEAIHESSAPPSKAPVPSVPAPTSTSSATPASKPKRSKKDATVNEDGVDESTI GVGSIAAGGRYDNLVGMFASATGRKAEQVPCVGMSVGVERVYSIIEMRRKKAEEKVRG KETEVYVLGLGGVPIEKRMEFATLLWDAGIKTSFSPKVNPKPQPQWNQADDDLVPFIV ILAPKELEAGTVRIKQQVGKDAAGDNKGEEVKVEDAVQYLKQKLAQI L198_04056 MADGKSKSSPDLRDLSPEVRNASSDGDDDAVLAQLGYKSEFIRE FGNLETFSFAMSIMGMTASIATTFTTPLSLGGVASVVWCWFAGSIMNISLGASIAELV SAYPTAGGVYTASSALCPRRWRPLVGWVTGYMNTLGQIAGVASTEWGLSGMILAAVVV CRDDYEIVNWHQFLLFIGLLAIHGILNSLPTASLARLTRGFVFINIGAAIVIVITLLA CTPRSEMHPGSYVFTDVSNNSGWASNGLAVAMGLLSVQWTMTDYDAAAHISEEVHRAA IAAPVAIFVAVINTGAIGWILNIALCICAGDVAQLPGPSGNAFLGIMQLRMGTAGAMV LWSFTCLVATFTVQTALQANSRTVFAFSRDGALPDRGLFGIVNEKTKTPIYAVWLVVG ISALMGCLSFASLTAVNAVFSMCAVAMDLSYIIPVICRRVFEGHPEVQFKPGPFYMGK WGYPVNIIMVLWTLFEVTILCFPETFPLTWDTFNYAAPITMAVMALSLVWYIAAGRKW YEGPRSNLEETETEQAFKPQPFDA L198_04057 MLSLAQVDGKLVLLGLPPSLSQALGMISPAPRAASVFSDPLLGG EQGVQMLHYLRKSEVDKPEEEVVERMCRTWDVGSDAVRLDEKVREYDLEVEQYIEAAQ TNPTFLVENYHRAVKSYDLMVNIRMVEAVVNIEKTLQLVAKGKSNGTVIKRWKSKVDS RDIQGLADSVEEASAHKAKGNTAGKASKYDAAIKHYLEGLVALWPWTANSSMSYGTAT TTGLAQMEQALLGNIAIIALKTQSKTGPKRAAWDEIAKIACELVLMMRYIPNGTIMKA AESGYDSPADFVYAENLLGLAQIETRTKRVGRMPNGAWQELVNVVKDKPSDHWAHRQC YTSHDCCDYD L198_04058 MVFRRYASTIPPAGPPPSDGSNSIGGLLLGAGAVAAIAGYFYYA NNQKKAHELKGRAKADLEDAQQRAAKALK L198_04059 MSTSDLPSPPASATSPGPPPQLPVRPPLSRPLSEISVNEPRWRT SNRSSVHSSKTTIVASHKGGSRPASQVRDKEDIENEQGAIAAPKRVSSAMGLPQTITV NLADYAKTAQHAPPSPLAESSTAASHGSAIGPNAGASASASKPELPRRPQSGSSRRDS RAKAPEADGEQQAGEADDKHQSAILVAQRTSLRPTTSRPASYAEAAKSPATATPSSTL PLAHPEPRTSSLAPPTTKSKPSWLKRGGKSKSPVSNENSPGPSSKPHPPVLPPRKNKA NVPDSASLADIQSVSSSDSMGAPDISDKTSYASIASGPPPLPPRNNGAGVRGRIAAWT QAAAQSGGMTRSDSTQSLAPPRLAPQPTGTSQYRMPSSASRVFGHAGSAVSKGWAGLR SKGMNSSMSIGNLSTIAQNGPSQKSSRTSPLGGGKRDRLPSDNQEISGPIFDQEIVKR PPEGNEGKVFGREIVDAGREWGVVDAGFEVDGQSEWESRRRKCLPAVVVRCVDYLEIW GPKEEGIFRISGRSSHVATLKRNFDSGADIDLADCHPGDLDPHAVAGVFKSYLRELPA PLLTKEHGPKFEELVAKKNEKVRKEESTELEDEEFADLLAQLPQSHWFLLSELVKLLD LIPKHNEVNRMTLNALMLSLGPSLNIPGAVVTELMERRDVLFAQPPPPSVLEDAADLI DFGDVDIPLSAVPQSTTSSSFSLSSLPPSPIADDVATMSGSVKRKPPRLPTRPSITKL FSGSQVNLQKQKSQETLASITDVEPPRVDVTVSPTSPLPAFDKNGEEVTPHKRDTIRA QTAPAEIPIPAIASSMTIAEMDPTEEIHYAPGTVEERSKLFSAPATPTSAASTSTPIA DRYTAGHSFFPFLRAPKEQGSVRSARSSSSVGAFGEERPGSAAGSIGSGGSGPNPVSV IRRGPPVFFQSSNVESRHVRSMSSVPSGDTGRSKSPSSSRRKRKEEMAGEEDQEKRNS KRLSAGPGVLDGVVA L198_04060 MPASLYLRPSPRAFFLLTPKHALVFRQPSASEASASKSVVVAEW LPLEEVETQDLIKIHGGVDGVLGVGSVPSAERSPVPEIFLFISTNSTPLPPLLPNSPL HPSKLLSVQFYSLSSSAWDPPDWTSLANAARAEQMGLDWEDEYENVSTTWNAAGGSTT PSSQYPHPCQGMKKYLESGGFFFAEGCKWDISSRLSPTSNWIKEASSSPSDRVGGHPL EGFDERFVWNKSLLEPFLEFRKGLGEDMRRELDNAALLIPIIQGFCGALPISPSSSLS SSLSSLALISRLSWKRAGARFRTRGIDDDGQVANFVESELIYSSGEMTMSYVQVRGSV PVFWGQPVGGLGTLNQKVEITRPAQATQPAFDKHFLELLEHYNSIHAVNLLGQKDAES MLSNAYSSHLSSLKRTLQDTPSEEKDRADIADRGTLELTAYDFHSAVRSGGNEAVRYD LERRLREVVRSREKFGWTVVDMGSEDVIEQQQGVFRTNCLDCLDRTNYVQDVISSLTL SAFLDTYVSPTLASSPTLWSAHRSLWADNGDRLSKIYAGTGAINTSATRSGKKTFAGL LSDATKSVGRAYVNNFQDKGKQTAIDLLLGMLVGQRRVVLWDPVSESVQAALIQREGE YTSHRNVTIFSGTWNLNGKAPNEPLDPWLFPPDTTDPDIYMIAFQEIVELTAGQILQT DPAKKRMWEKYIMDTFNNRKPSQYLLLRSDQLVGTAIIVIVKSHLLPHIRRIESATKK TGLSGLSGNKGGVSVRFDLFDTSICLVTCHLAAGFGNVQDRNADWRTVVKGLRFQRGR GIEDHEIAIWGADFNYRISMANQEVRDLVERGDLHRLLESDQLLGAMEKGDVFGGYDE GPVAFPPTYKYDNGTSNYDSSEKQRVPAWTDRILFKGAALRLKEYSRSELMTSDHRPV YAVFDATIREVDHVKRDGIAKELVHGFVREGGSQKLEGIVGVEVGEKSARETTRGLAK IERATPRPSPRLPPRPSSSTSLNSLAEKASPTLATGRMSSAHNLQAPPHTSASNSLRA LATSRASGSEGLDGQRRTPPIPPRPSVYPRPPTASTTKPKQVPAPPRSRSVSNLSNHT ESSISPVSPTTTGEFVVVPSSTNGAARRAPPLPPRVNSVSQSASHPPPAPSAAAAKAT PRTAPPVPRKSLDLNGSPPSGLMSPVDPDVVMQKIKAGMGTPVSRPIPARPQVERGEG SVVSMVRALNANNEGGGWKAKEKGKVEGKTPGESVKEPESSTSGLEKDVQDAVGGDVF GKTEAAAKKKPPPAVPKKPVTLQKASDTASGKTR L198_04061 MMFANFPDRTGSSLPEAISSLYESEAAVLRRIYHPHTSSALHTI ASLHPVHHLILNELFRVEPSVVVSLNKYCYDHFTPKLYQKVDFDVHRIPILSFLHGLN LPNGRKRKLLKLVREVSFYFHPLVHVPKGEVLPDGTRQMIPIDRLEGVHTGHRHSWNE EPSRLAEEIIKPLAQLSEYWREMYINPSLCPGNGGFMPNVENISMCGYMGDYIFTGYY KEDGYVSRYEAQRNAAGRRFHNSGELMDPHLFLFKEILGLGQNTQHSAIQIPILPLNS RGKTHYESLCREMTSVYNWTIAGGDPDINASKTYYGPVRSEQHKSHTQMIMPVSIRQM RMSPYHQKTADASTEGEGPDRIALLSDFLIQQKAAVMGKKRRVGEIIEANEISRLVTM TVSALQARDGRCTRWIGVQ L198_04062 MAPATRSGPPPAPAHSGAATTDSSLTSLTDSVEAGGLSQAQSQA GPSLIPADQLSQVSHLGDPDVPQSSPNEDEATPSPLSPPAQPPIPASPPLAIPSTTAN ANAPMALPVNQSAQTEIEDHQPPPASTPQATSGSRAADHPTQLPARATSLAPSDTPSS WHSDLQILSQNQALLTRLLHSMHEQNVVRPQSIPASSTPPANDPTLQTSFERRRRLRP ADLSKFGGSDTEDVDTWLEKLTAALEHADYPESELLTNLPFLLEGKALDWFTDLGSVR RDYQTWDEWRVVFKNAFRIPDFEGVMRRKCIARRLLPFESFADYFDAKRRLQRWVYPE GTSSKDLITDMVEGIPLAMRALIKASTPPGSSLDDFRCIMLDLQPSLRSQFPSPSTKP SRPQNGGQPQRPPTDQGRQFQQPRTGPPPSACKACGEWHWREFCPLNNQRSANGSSPG FYGRQNNGQNEHQRQDGMGRNFVGSGSNGISRPSNNRYPDHQQGMRPAQSHSQGNGNF NRAPQHPRASLNVVTAHPSTSADAGSVSSGNHRAADVLPEGTPHNAETTAPSDVLDLV AEPFEHVDKTLTYAYGRFGTDKEGSALHRVIIDSGASITVLNADYADQHLAAYARHPL PANFQLSGMASLASAFYIHASMQFVDTDGQDITLEANFFLAKTESANIILGNDVLLPL GARIDLDLLLLSFRDMRGAIPITASIKEFPSQTSDEPTPVSSSTDPAPYPAFRLKNPA IVYPGHRHRAEVVVGELPPTDAYLLEPLHLGEYLHVARSVGSAASPAHFVMIIDSGKK PIVLGTHRKLGRPTPAISAKALPQANAVNHTDQTPRPWESDDPSMEDDQFPLRDIQLN PELSPDQRKAFEKVILYNKDAFGYGSRPIGSTTLATMSIPTGDAPPVSSPPYRASPQG REIIDTAMAELLEHGIIQESESPWASPAIIVQQKGKSRFCIDYRKVNSFTTPDQYPLP TVDEILSQFAGMKYFSTFDANRGFHQIPLDDDARAKSAFRTHQGLHE L198_04063 MSNRKSILDFPPYHSPITPHLLFNADIPLFLAQCLSQDCPQMLG VRVLAEREKHNIAAYRRLLARLLILVDWCDAEQSIGQSGLINHQVNFRYASRIWSEIP DDGDMAAMWPNYLADPPTPFRRRYFSPDSSPRRSASPRRHSSHRRPAPRRRSYSLSSS SSGSQSEGSSRRHSFHASPLPRTPSPPPPSPTPSPPTPPLPPTTAHVIITKVTIHLSK RDKIYLNFHYTHKGLASIARWDSPPAH L198_04064 MLMFFGLPFRATSADLAACSKTHGLCATPGGKHSRILMPWEMGR KRIKMEIQRKEMGLSEMGEGMSVEAKVAVAPSEDEGEDEGVGKKVAMGEVKAMRSYKQ QLRILSWRAGLPRHRP L198_04065 MSDIGQRRAEAETIVNILLVVATLQQTLDLPDDFDSCDPDFTEY FLQLIILLRASGLPNWQSHIGWLGLFSPKMTLLAMDTFSDYLQYLQQTRSVARPLTYN RCQRLGENVWFVIFDHQSDKDFKRNARMFKKTFHSLWEAIRDDKAFHNNSRNPQEHSA YQLYTTLVRLGRRGNGMSHGEVAEKIGIQAGTSFLWTMRTLEAIHRSLGSKNCISWPD PDERKRIHREFGLRSPFRKCVGLIDSTLIEMEYVPGREDKRLWYGRKDTYGFNVFVVA DHECRICLIQSGFLASSHDQRVYHNTRMFQEPQDFFSDGEYLLADSGFTPNPNCLPNY KNNAGGRNRLAGAARDHKVFNDHVKKVRVRIEHTIGYWKARFQSNKLLSPQLKSSDHH VLNMSVWIVVTALLHNWVIDHENLEDEEIIDPNDLEEIMREEAVASDRLDQEAVQELT EAERRDNGWRREAVRKQIEDMQARGQNVAYVDPELPLFA L198_04066 MPAPPSTPATAKRSNKKTDGDDASGWRWKHNKKNSTICVGPDGR TGEDYLIDWMSVPENWAAYSDSNNRAKFAATVIYKWLLKKKIKNFDKAKASGVQDRIQ KIKKAFDEALAIQKPTGQGVTEDDLARGIETWEAKIKENCPFFFTPLPSLKDRNANIT EQSSVHQMGNREVSITPSMARHVSSRSDMEEQPVGMEAEDVGDDDVFSVRGTRANSLS TDITSNHGVADEPTSGARGAGSLSNRSRARASLNAQDTPTSDKGRRGNANLNEQLQGL FKDHSTANIKGRKEIAEQQSQAALALEKYKVAEQARRFEGELAMKNKRLKVEDTRYEA KLQIEQDERKLKRKRDEDDANWRNVKAQREELRAKDEMAAKKWEFERELIKE L198_04067 MMSTNQSVVDPQASLPASSQPSQPVSSLRLTRPASGPSLVSAPP SVSTGAKWKADEMRASQRASSTRDEEESGSEEEAAEGPVAKRARSSQGGRSGQAGRGG RGGRAERASRESSEWNKCSVDV L198_04068 MPSIWQSLSKASAGKAFVSRSAKFSNEGTWVRDTVLSSLRMKWC LMSIHLDFSWLTGFLQALPDAGHDEIGSRGSVERMIQSGSWKKRSVEIFGCAVAVDSD NWQ L198_04069 MPSSTESDLPFTIRFCGGIGCTVRGYLRGDLCVACQWASDPARA DYLKNGEYISCKWCFGHAVKASTGRKLCEHCAQALGTGPLPNTAYPAGPFVSSAARPS TPSSSSSSARPSASSYRPSYARPLASFGSSSARPSASSSSAPMLASSSASTSASSALA FSDPSSGAGSTMSAIRPSTVEAFDLARQCLSERHGLAHTSSESRRAPQLGKGKGKAKG KGRSDGLIARGGPGGSRAAVDHFNGQIEVVHCYTVQREVIECGSPPPKIPSTVQGLPA TSLRLAMPAQSGLEQAFLSFRNMWESGEFEVNHKHRISLAGIDFSSLDQLRILLLRRG STKQWEQIRPSSLAQYTNLSHWFNANYEVEDARFGSAADTRNGKVKICWALKERPGED SDDESDDDDESGDESGDDVDPPPPARPRDPTPPRQSPSPPQTTRSPTISPRTAAFLES LQGPAPSPSPLSPPRQSPSPLSPPRQSPPPPSPPRRAPTVIDLSSPPPRRSASPLADV PPAQRRRLNPPRSEGGSNLSRRIDQRYGMYGFTLEQANAQMDATGMSAAQLDLPRQFH REHSPSSFGYQPLGTPPPLYRRERSVNVEAGAVAVAGGEVVAGEVVAGEVVAGEVVAG EEDVAGEEDVAGEEDVAGEEDVAVREMDAERVRAARLARFM L198_04070 MADRSRYQEAPPPHPDLLAFVQDLYDQGITNWRDVNTRLEQDES PWTFGSKSTFRRWRDKHGVSRPGPHYLQPLPYPSDHAIAEKIVALGRGFQSSGSRVIK AALSLEGMVVGEKRLRPIIKELYPDRAAGRIFGKRNIPRRPIGLFNGANEQWSGDGHD KLRDWGFCFYGWRDVYSGQIIIMSLLPSNRDPQNTLWADLSLLLCPGFPRQIATDRGS ETDQFSGTHAWLRQNYGQEVSPLIPVHRYLKSTHNITIERCWRGLIKHVIEPVGEVIA QGREEGGFVSTNDAHIRVFWFLFIPLVTDSLNKFIILHNHYKVRYQREKHNPSGCRRI DVWKRPEDFGGEQHLIPLTDEARGILEVWSADAYREGQMQWLTPAEYNICTAGLETLG WTERWSYEDVWALFSRLIAVVIDDLYLELQRQSEEVDTD L198_04071 MPPDGSALHAYSPARPKSARSTSQVSTISVAAQSRAPSQFGHDA HDAFDIPNPAVRNPTTHAKDPSGRMSSLIGHSDDTVDFHSGSQGSLDGGIDTSAVNIS SRSSSRHENNGIPVMDIKDVRGDVNVPSGDARKGLMEIVRRDALGEGAKDDKSLSRPI RPNKADWSLAACYQPRQYYVLTNAGKPVYYSEGEVSNDAVINLMGVAQALISIVAEDG DRMRCILKGRHQIAFLLKSPLYLFCVSDWGEPEHVLRSHLEYIHLHILSVVTSTQLTR AFQRRSNFDLSKLLDGNAILLRVHV L198_04072 MSRSQPLFSGGMFQVLGSDADHHEEEEEEEPELIETSPKDSQQT TTSATLSKSARKRLARLAAKTPGRTPSNSQKGDDSVTTSDESDPSSPNEKAGFLEAPQ PVAKLARDASAVEPVQSGSIEAPYKGSAADTLGEASTKLEGNRKATSSSGRSTKSDEK ESGLAASPVAPAPVPMASSPPSSEFSPSLPESLPQPTGQALPANRKRKTPQDFTPAGP GNLMSPASSNKIAVKFEDGLSPGEGKEGEKIIAPKKDRNAMERTVWTFIMVGGFITLL CLGHPYMILLVMLCQALVYKEITALFDLRDHGGDVTTSEKDESWSKTLNWYFFVVTNY FLYGESIIYYFKHIVFVDAYFIPFARNHRFISFMLYVVAGFVGFVGNLQKQHLRQQFA LFCWVHMTLLLIVVSSHFIVNNILEGLIWFFVPASLVICNDIMAYVCGKMFGKTPLIK LSPKKTVEGFVGAFICTLLFGFFWGTFWMKFPYMICPARDLGTNVFSQLSCRPNPVFV WRQFEFTGAAGKVLETILGHPAPSFPYAPFQIHALVMATFASLVAPFGGFFASGFKRA FNIKDFGHSIPGHGGMTDRMDCQFMMGLFSYVYYSSLIRIQYVTPGSIMQTVVTSLSP NEQVELLADLGKFLKGQGLL L198_04073 MTQILQEPDFPPIQDSKTLEDFRIPDIPPAAFYIPNFITEEEEA YLLERLESSPKPKWKTVGSGRRLQYWGGTMSKGGILLPEEIPEFFTTFPDLIGRLKQT LQKAGADGLTMEPNQVLVNEYQSGQGISPHEDGPAFEPLVATISLGSHTVLDIHHYIS TTSPSPPMVAIPPPEGDEARPVAAVPLAHLLLLPRSLLIISASLYVSHLHAIGEKNED AVKGRGTASENEVVIANAALLGEANIVNHLEQGHTWIRARGTRTSLTFRRALRVVKGG ALGKAMGALRRP L198_04074 MSLATDYWPNLGPTDEQHRSSAVNHPANLALRFIMPPAPTPKQI QSLYTATITAAQGFSYNFQRYFIRRTDLAFKPVIASLSPPAGSSELPSPNDLAHFFET QRAELEVLKRASQVNKMFEGPKLVVEHAKPITSGGGAGMEASAGGGGQPI L198_04075 MTWWKKFVVARGDNTQEKRRWMRMENQETSAVDQNDPPQSPSPR IKPESTSDPESQSFLPGPQIARKMLVAWRIGIMVPFLVAGCLLYLLTCSAPSWRADWS VVRLDLAQTDFDVLYDIAETVTGRKSDSAQSKRLLPKSSFVAARSAVVARDDEANEDL GVLSINMWGWCLKPESDTDGTTICSSESMWFNMDDLLGAATTTQAGLYTHTFSAILIH ALIVHGLAMLAAMTAIIPIGLTTWRVFRSKNPTLQGGWFEHVSILFASLLCLVAWIID RCLQANVSSKLSDTDVKAGYVSRLVVLFEMTNLLRAGWHQ L198_04076 MAATFDQKDITAVNNIRTLAADVVAKANSGHPGAPMGMAPVAHA LFTRHLRFNSKNPKWINRDRFVLSNGHACALQYILLHLAGYEVSLEDLKQFRQIDSIT PGHPEVGVTPGIEVTTGPLGQGVSNAVGLAIAQAHMGAVFNKENFNLIDNYTYTFLGD GCLQEGVASEACSLAGHLKLANLIAVYDDNKITIDGDTAVSFTENVEARFKSYGWNVL HVEKGDDDLAAIEAAFVEAKKSKDAPTIINLKTTIGFGSLKAGGHDVHGAPLKKDDIT QLKKKFGFNPEENFEVSKDTLEVYHKAAEAGAKAEEEWKTLFKSYSEKYPKEAAELER RIEGRLPEGWEKALPTYTTSDAAVGSRKLSETTISKLAEVLPELVGGSADLTGSNLTR WKEAEDFQHPSTGLGSYAGRYFRFGVREHGMTAICNGIAAYGGLIPFGATFLNFVSYA AGALRLSALSHLQVLTVATHDSIGLGEDGPTHQPVETAAWLRAIPNLAFWRPADGNEC SAAYLVSILSKHTPSVFALSRQNLPQLANSSIEKAAKGGYVVEEVENADVTLVSTGSE VTLCHQALDELKAKGVKARLVSLPCFEVFNSQPNDYKLSVLPSGAPILSVEAYATFGW GTYSHDHFGLKAWGASGPYDKVYAKFDITPAGIAKRAEKVVDFYKKRGQPVFSPLISA LDDITE L198_04077 MPGPANEAPTSAEALFYQYVFPRLPTDAQTLFREPPTIANPSSF LPLFRLLAPYIGYFITLVAFIIVWTALSSIVGYMSRIFRFSLRLIPVIAIAAWVMASS EQGTLEELLELMKQWAGLVPTDGRREASPGVASLVNLLGAAKDGNPSSQPRRNPSRQG RARSRSPPYSSREKPDPVAARADNKGAEKGQAGRLDFISSVLSSAVGSKNADDSANEW QRMLQDYVRQSVLKASGVDWLFGRQEKDEKKARGWW L198_04078 MARGGAVSSRGRGKFKVARGGGRHFSRDLDPRFSQEDEASESSS SEEEESEDEPEPVKLAPEMAALNLKLGNTVSIDEQNGEEEMSRAERKAMKKAQAAQKT IVEESESNSDDEEEKKPAPVSKAAAKGKPKKVEPVQLSRKEREAADKKAAAQRHQQLH AQGKTSEAKSDLARLQEVRARRDAAAAQRNAEVEEKAREAAAKKEKIDRK L198_04079 MPNSKPPADPFATILLRTSSARRPNPSKLSEPIPLTPLQGSRLS EDSSSGPSSLRGKPRSRPTTPKSDDMGETRSLSRPSSKRGKEVDAGTTKDSWGRQRAE QAGGNPERMKEDAAMEKWRKWVIEQPLQSGVPSTSPLKGKGSPVNGGQKIPSVSLNNT HRLSVTNSLLRTPSAFDTRGQSDPETSITSSLRTTSPRASSALASPFYPSGFGSPGGG GEIYNTDSVLALRDVELAVGDDAWSNAERAKAAPRRMSNKPRLSQIPNPFGDVATRLG SRRVRPIVLELIQALGHFLDVVWSTTYPERSCPWIIDNTEECPVTPSVRKTKRARHTD SDPTITSELEWKSPMITAVQEGKRTGHVPTRPTSKDLGFWRDEVEFGIRDVDEVVGVK KGLGRAFGTALKEGRYGPPDAGNALGQNGEGAGDFDPYALLDESELMAGGASGMGGAH PKAGSALLVDLLGASSSSSGTPTTSGPSFDSLPDFNVNLASPVEDSIKAKEVKSKQRI EVLIPGIESIEGAEGMTLEELGRRRHREWLASRAKA L198_04080 MSAIRALSLRATPSALRAPLAFKRTLATPVNSLLTPLLPAKTPA ALHLKSGQSYYGNSFGSENSKFGETVFSTSITSYTDSMTDPSYLGQILVFTSPMIGNY GVPSNASPPEYPGIPFLESDKIQCTGVVVSDVALKYSHYQAVESLHEWCQRYDVPGIT GVDTRAITSLLRDQGTTLGRLAVGDDASVIPSAADFWDPSKDNLVAQASVKKAYTLNA KGSGPRIAVLDFGTKANILRSLVRRDAVVTVLPWDFDFNTVRDQYDGLFLSNGPGDPK MIMDTAMRVRQTINEWNKPIFGICMGHQILGLAAGLEAYRMTFGNRGHNQPVLALASS GSISAGRVYVTSQNHQYALRLTEDFPEGWAPFFINCNDSSVEGIISTPESGKRIWGVQ FHPESAGGPLDTIEMFTDFVNECQAGRSGKHGAMIANEVKVDGHAAAAASA L198_04081 MNTARPWAQLTPAQSLVDQACDPTLAAPNQIANIELAEYINRKK ANSARDATSALLPHINSRNPNEALLALNVLDHLVKNCGYAIHLQISTKEFLNELVRRF PERPPMVVGRVMSKILDLLHEWKNTLCVHSKYKEDLVHIRDMHRLLSYKGYRFKSFDA ARAMADANPNENLKSPEELEKEDRDAKSAKLQELIRRGTPRDLAAAQELMKALAGAEP DKAIDYTAQTLKELDKVQSKAILLNDMLNNATEGEKIGIEGDVYDQVAGACRGARPKI VKWIEDDNGEKEGMMDRLLLCNDLINTALERFEACKAGDWTRARAVVESSNPNQKAAD LISFDAFDDEPAPSGAAGGLSLPADSSSNAGMSTAGLPLDLFAPSPAATPSPAASSSF NNTSQRQDPMAFFNTPQPPAQPAQAWGQPQQSASANFGAFGGLGQLQQPAASSSSSSF GFQPSQSSTPVGYSLSPQQAQPQAATNAQQGQQQGQGQKKDVFADLVDLMG L198_04082 MSLRRNTAAALRAAPLLRAAPLISTRNVNDLTVTSPPNSSASVR PAIRHGPPTGGRSSDSGSTVTVFGSTGFLARYLIQKLARQGTQVIVPYRDEDEKRRLR PCGDLGQIVPLEWDARRPEQTAECIKHSDVVYNLVGRDHETRNYSYHDVNVSVAESIA QISADLGTPRLVHVSHLNANPDSPSEFYRTKFAGERAVRDAFPEATIVRPSQMFGHED WLLNQIARYPIFSKLNGGQTRLFPAHVIDVAEALNRMLNAPVTSTASTFALPGPELYT WAELERLVSTLVLQPASTAPTLPKPVALLLAKLVNRGLWWPTTSPDEIERMYIDDAGA DSFQIKASGPAGWNTPSGIDMVGVDGEPVKSWADLDIEPDTIAEHAIKPLRRYRSAAT YDLPVENHIIKAPKQYHVLP L198_04083 MSSYLPILMDMDMGSSSSSDSSNSTSASSDCKVSMLFNTYTIDA CFLSSNWHITSKGMFAGSVIGIFFLCVLIEAVRRLGREYDRWLVRNAATSCCPSVISA ASLSELGKDDGVNVAKSVIQYVPSWPHQLLRSFVYGSQFTAGFIVMLTGMYFNIWILI AIFIGQTAGYFFFGRDTVSGELEQSSHGNCC L198_04084 MSPIPIILHFAPSASPRAPVSFSYALPSSAPSTSDAANTAASTS SRRKATRARVLAPGAKHATFTPLHRPIINRCIAYTASLSSSSAEHGAHPSTGHPYSPS SDWGNTRSFNPSDLEVIRDEKPKKRLNKAQMREKKANEDKVKRLVRELKGETVDDEGS LPDILEQTPTPSVQGESYEEPVSVKGQNDLAPVAGEEPDEKNEEHGTALPTPIQTAPL AKRTTLKRTRSFNNLVQQGNGVHAASPLRAVIGANGYEANNDQPPAKQLRRTSISHLE SPRRDERRAYTHSPSGSAPEPLPESARSPRTVPLPAGVLQPSRTRNSRATSATLASVA GESSGMRRAISNVLPASGRSASVGSDGARERSRREVTLPNRLKDYDT L198_04085 MSRQNKPYTRPNQRPDVDGQWKHDLHTESQKNRLVDRITQDPGQ DLASRIFGGGKASPQANSAARGSRAGTELFPPGGQPAKRSQGAARGVGVDSRARNMLN DALRQPARREIRQPGQSQVSIMGAARTAIWVRVENLAPGTTPEDVISAFNPLPLAEAV LSSSASSSLVSIDLQVESRADADQLIKQYNGVVADGNTLKVSIVNGLKSRLGDNPTPK FETSSNVAGQELLGPTKSTKLYSDQILAVDPNAAIITLAEEQPPQRAFDQGNSGWRGG RARGGGRNLADRMNVRPRGGRR L198_04086 MDNAPHPLAPQGRPALPRLQTHPAHSPASPPPHRPTTRPTQRLG GSSEAELLGVHSRQSQPRRHGACSAKLASPSQASHSSFFDDHDDHQTPRSYRPSLRQT ESTALKGLDGYLDSLDRNELAVVETRFDLMTDEEIRLYLQNQEDAPDESEPPVDVSDS APEFGQLPSQEKPDLFGAQSPLFPPSPPPVRARALAHDHPLRILSRVVKELKEVIDRL EDENDSLREAQSRRRSSSSKAADQISIHDNLNEAIATSLTSTSSLRHIPEQSISNTKS LPSTIAPSPSTSSRRRPLSPASGLSVPAPSASSDGLEEEDKDISGTTSRENQKSWTSG LWVWSNKKSIKSRKGSISSFASSYPTSSDFGAPAQANAAAGNNDDDEIWRKGDGNNTP SFTAIFLATRILTPDPSSILVSTKIPANSLVAYLAHSLVNTARDEGIAIKEPVGRRRS RDASRSQTISTASHVPVPVNGTDPTGDGRQNGDGALAVTASLGRSLLSSVAGATMRGS KNMVGSGSDEPRPSLRSRGSSSRGHPSAVASPAVVQHATMDSPPSAETPLPSVELSSI VPDESRPPTVLLSRENIGSFFQANKMAKQKIATASRFKSEEPPLTDRYGFIYDIQHAK MLKEASAAGAPAPMSLNGTIPKADQEEEGWIAKQRRGSHGSHKSARSILSHEEGHSSQ LASPRPSAHSTLPGVQSSTPDRSTPADSRSRSSTLANHSRHRSGTLNLNPSPARPVTG KDQLTVSARGSSSLRSNVSPVAPSSAGAPPLSASLSQPIGNDELASASASRVTVSSLL DRLTELHDRQQKERVAEWDAFLKKRNKRRTGDKDQRWTAGLNGVGQMGLSNQGQEDFR SFARLVRKGLPLKYRGDIWAGKLVAFSTAHVANLTAECSGAKDLMVPGEYSEILTVHK DFESPVLADIEKDVSRTFPGNVFFGGDGPGVGKLRRVLVAYSWYNSAVGYCQGMNMLA ATLLLTLTDEEQAFWALVCMIDKALPSNYFSSSLIGSRADQLVLSQIVAQILPKIHNH FQQLGVDLASITFGWFLSLFTDCLPVETLFRVWDIFFVEGHDSIFRVAVAILKLNEVE ICACESVGDLFTIISSMTSRLWAADKLIALQNSYKTVIKHVDLVARCEKAVEALENGE V L198_04087 MSPPSSVPKEYRPDYLPPNVTGQMQEGLPGKQRPLDPAPFNDTL ADGSKYKPAGKLEGKNTVITGGDSGIGRATAILYAREGANVFIHYHPSEDQDAKDTVK EIQQHDPKVKVEAVAADLRTEQACLELVEKIKKWSNGRLDVLVNNAATQNEVENIEQL ESEQWRHVFDVNIHAIFYLTKSLIPIIPWGGSIINNASINPFVGHPKLLDYSSTKGAI VGFSRALSNQIVKERGIRVNCVCPGPVWTPLVIATMGEESLSAFGPTTPIGRAGQPIE VATAFVFLASPDSSYFTAQCFHVNGGSAY L198_04088 MIHSLPAPFPQQPLYPQLPLAHSASNPAYHQYPAAPGQSAAVQQ YSGAQAYGPGAVSQPYAPQPAVQSWARPQATYNQPPQLLETASKDEDPVYGPLGRARG KITRGLRGDEEISPDLVAKLSTSGTVQDPYAPPISASPAFRCCNVTKRTPLPDALHQE LNYKHLTAKMGLFEDIERAWFTVDNKLFLWDYGDGRDFSRYDEQADTIQAVGLVKARK DVFVDDITHVLVICTATKATLLGLSRSLQSREISLYHTNLTAETPTIMIDIKGTDEGR IFVLGANKDLYELDYAADSSWLFGSSTSVRLKNKTGGGVSHWVPSLVTSKVKDGIESF TVDPRQNRVFALHTAGEVDFYDVSSGRFDLRSRYSRLKHDFKLHAQGPNTSGPGPAIV SISAITGTESSRVCLVAVASTGARAYFSSQPFSPFPIRAAPPLHPGLPVSEQSIYSSG TYIAAQYDANAPLSQTYLTFTVPQSGRQSAWRENHELSEPAVFQEWTVTETIPSQVWS VVELAGNDPRNSAPSLRTPEGVAFSALPRQAESGPRGYLILATSGLFWVEQPRPVDML RADLEAERDVAINTVRTVFGKTQLSAMALLLGSTPDHKHPDILSSISTILLTSGDPIV KDTTGGKAIIYSARHDGLALSIARYLRPIWGSKVTLPLVGGRQVLGVQETVLSSVQAN LQRLREYMEESVFLFFVFHPFQRYQVDGEAKLAWDQEELSFHGLNVLLKQAVEAISFL LLLQDYKISDIIAKCDGPTQIALSGLTFESLIASLEGRDVARKLVTSLIEQQIGQELG IDTLSEILQQRCGSFIQPGDVVQYKAEESMRRAESSRDPQEVADSLAESLRLFTRAAS SIPIPRLQEVASRYRAINFTLGAIDLALCTATELDSQNKAQDFVRDGEHPNDPRKAFF DARQECYAEIIKALQAVDERLDQAVAAGDPAGATQNRNDAYARAIASDDELFHFYLYD WHVERGLQEQLLEFDTPYIEKYLKITISNVADRRDLLWKFYARREQYLPAAEALSELA TRSNTMTLHDRLYYLAQALTSAKSAASLGYEDVEFTSRLQEQIDVAQVQTEVLRSVET HPEMSSEEKRDVLTVLNEGLLQLDELYQNYARPLRLYEAILLILKTADTRVDDVCDAV WRQLLSNAGAAGGSAGVGEVIKSLGRRFFPSEAAPLDIIVPAVYGEASGLPGQPGWAS IALLDAGVSLRDLWEAVIALYETSDDDDREYYAEQASVIAERWMDKKNEIPAAEVERF ASAYVLRANGALDNVRRDIRDRLVAAKQIAVTY L198_04089 MSQPAVYPEALVVAAGLGLHGYQKGSLSLDGAIAAFAVGYGHLA NPLKLFGVTMIGMYLLGSRATKVKAEVKAKLEDGPDPSKPSGNRNLTQVLSNSLPGLI AALLYRFGPGGQLSKRSMILSLHPIARPLMFASLGLNATILADTLASELGILSPTAPR YILNLQPVPPGTNGGVSPLGILVSVAGGVFMGLIQVVDLLIENPASRAGGVGWALEIV GLGAALGLAGSLLDSLLGATLQISYYDTKEKRVITDTSEKYASVRTAEGVKTVGIGSN VLSNSAVNFVCGCALAGTGWYYGTL L198_04090 MAGPGFHPHPPSLLHRGLAKALGAGMWFFIFYRARQDGAALIGL RHPWDGHGHGDAHH L198_04091 MSVLPRKRRTERNDPPALPVRHLSDDDKHHVPKKSLSTVELRRE YLTSAAAVTVLTALAFAVRFWNIAHPDQVVFDEVHFGAFAGHYIKRNYYFDVHPPLAK MLNALAAWWVGFDGDFGFDSIGDDYTGPGVPYVGMRKFCALMGSLTIPVVYSIMRESG YPVGIAAFSAALILFDNGHIVQTRLILLDAALILFMALALLCYIKFHQYRYREFTKEW WFWLLSTGFWLACTLGCKMVGLFTFLTVGAAVLWDLWEILDIKRGHPMSYWYRHFLYR AIGLIIVPFFVYLSFFWVHFKILKFSGPGDSFMSPAFQETLSGNEMLLNAQEIRYYDT ITIRHKDTKQFLHSHDEHYPLRYDDGRISSQGQQVTCYPHNDTNNHWQVIPTKEIPES GRGRIVRQNDVIQLKHVITQTLLLTHDVASPLMPTNQEFTTVALDKEERISDTHFKVS ITDSHDGEPWKTLAGHFKLIHVPTKVMLWTHPKALPEWGFGQQEVNGNKNEKDKTTHW VVDEIIADGSGTDFKNRTVQVEPKAPQNRAFIKKWFELQLLMLQHNAGLTSTHPYQSN PIEWPFSLSGISFWTKSDTSQQIYMVGNLMGWWLCAVALSVFIGIIGADALARRRGMD PIEDSVRNRLLRNTGFFLGAWAFHYFPFYLMNRQLFLHHYLPAHLTSTLIAGSILNFI LIESVNYPVSFAGQGMRLRPAVRAKLNKPAWIVLGVLVAVVVGVWLFLSPLTYGLTMT GDEVNRRKLLSTWSLHFEAKKTYAP L198_04092 MRVSTSLCSLLALAALSDAKLFAKEKPVYEDWTLDQSLAFLKEQ GIAVKDAATLPEIQKQVAANADAAAKWGAASAGSAQAHFEVISDKLLETWTESQLRQY LLEAGVVAPGTTKEQLLVKAKQQASAVSTAAYGHPTDQAASSISSAANGAYATASGQA VTASATAQKVFDDAKDYVFSTWDDNQLRTWLEKNNVISTPAPTGRAALLNNVRVAYLK LTDPVYEAWSTSAIHEWLVEHNIVHPEPTAREKLLGLMRDNYYDNKDKVYSKWSESEA RDWLISEGVLTSEAAQLKKEKYDKLLDEHYTRAKSTIFSSWHDSDIRDWLVQHDYIKS DYEAKRDELVTLISDKYTGATSAPYLAWPDARLRAQLRSYGIDDTKFSGRQSLLHEVR IHYVQSQNKVEQILAAIRDAVSNSVEFAEEKLSSVLDLLTASKLTAEEKLDRAYASVA SVYDAGLASATSAADAYATSASSSASVASAGALASASSVSLAASSAASSLSAHAVSQA ALASKFASKSANNPALTSSASSLSKSASSYASYLSSSASSVGSAASHSASVAIHSGSL AAVSASKAGHLSAVSATNAASSKSASISSSLSSSYAQASKSAFSASKAAASSASAASK SADSYSSSLSSVSAAASNSAKRDL L198_04093 MVKAVAVLKGDSSVNGIITFTQEKEGGPVTVSGDIKNLDASAER GFHIHEFGDNTNGCTSAGPHFNPHGKSHGAPVDAERHVGDLGNVKTDASGVAAVNISD KSISLFGAYSIIGRTVVVHAGTDDLGKGGHAESLKTGNAGGRAACGVIGIAA L198_04094 MFTSSLVALAIAATSVLGANHTVLVGQNSTKTFTPSELTAAVGD TVEFQFVGGNHTVTQSTFADPCTNSGFKSGFVPGNADSPVSFTISINDTTPLWMFCGQ TGHCKAGMVMSINAPSTGKTFAAFQAAAVGNSSSTDSSSSSNSTETAPASSTETKNKN KGSSTASETASGTGGSSTASAAAASASSAAELQLGIPSVSGLLMAIAALAVGTVL L198_04095 MPLPSSGQVPLVGQAGPSRQAFLIKFPQDTWSILEASPKATVSV GSDGNITLKIPGQDPIPFESRPTSTTSEILALSSSRSKPRLSLSATASTRLNVPFTSK STARAADRLRAQTDALDQRKKDRAARIDGSAPASGLGGAGKKKAERLLGSVSMAVSSS APGAVGSAPAAGSVPLKTRVVQYLAMGETTEEDLCRRMGGDEHHVMRVVQVVGRPSEH RVGVWSLQPSQYAKIKLGAGQWSYTYAEQQQVIRLAHAAFDELGLPQNAEERMDLAKK EKDAHTGYGARSSDASDKGTPQLPTSQGFSVNPVSVIRDREKARTESPSPSKPKPAPA PVKKGPQSKIARERAKFVAERQRAGSGSLPNTKGAGGMASPRLGPTQSPSLSPEKKDS KALEKEEQRAESRKQTEKAKEVKTMPKERPAASKGVSLQADPDDERVRKRSDREETKR LPSQVSEEAIKARRSRPSFDYSSSSSDGPSKPRDPKRERKKPQQDAGKERSIPLAELV KQREKRARELAASDESGEEGEIRGRPKTKRTSDHWIPSAGGHLPLPANIRHDELLPKR VPKRPIPDHSASLAERPSNGHLPRRDEPLSSNASYRSAQRDPDRLRDRYEELYPAYQK LTEKLSRVHHAAEAGGDIGMSEMEIRKMASKWERWHTELSDIRRWFGRA L198_04096 MFRLAALAAPRYFASTRSAMAPEIQALVDKTIADNKVVVFSKSY CPYCKRAKQYLAQDTTDLLVLELDEREDGSQIQAYLPTLSGFSTVPQIFINKESIGGS SDLLKLSHDQVKAKIAA L198_04097 MDETDADKVHSPLIERRRSKSLTDLSSDSRRVLSELSSGDMILG SAPPRPPRRRQRPELLTSLPARSLHTLSPIPSSPAAFRPSLELPRPGYARSTSPSAES VATVTPAPESDLESSQETTLASSIRQGVRDMTLGHSRTGSASSASRRMGALCDLVSDL DLTQSWGTSPGSSGTRVQGIDYAEPYRNSCYTSSSSEESSLVSPPLVGSPVLRPVTVR NDGHESEDLGLSYFEPKPIPLPPIPVEAPSPTPLSRRTPSKSSLRQKRLATPEPPRPA SRGRTEVFDVAWSGYGRCLEPSSPIASTAPSADKTWRSTLASESTFTSVLSTHGAAEV KRQEIMWEMCETEKGFVDSMKMVLRLFASPLKMPQGRWIEGIPQKATELFDYLQTIVH VHAALVKSQKDITKDGVIDVTAFISDFKPWLSRLSVHEPFLLEFEEVIRLIDTHAKDA NSVFGEFLRMHTGAEVLGSMTLTSMLLKPIQRLTKYHLFLKNLLDATPYPHPAHYSLV SLLQVTEATVTKVQASKAREEDLESLKILASSVHGLPDGLKLAERGRKFLGHGTVLKV VLGHDELPPSGRVVQSRSRSESVHSYNSGRNSVTSSVSSSAPSTSSPFPSSDFTSSYF GPSSRSSAFSISSFGSSYLAPSRSNSLTVPRSSPKLPSRPPSAASVFSLSRPGSAASM RGGRLCRKEEPLTLLVFNDMVILAQAEKAGGVYGRRRDKGFKVCENGAGRVVEVRDLK GWNGRDQVFSVTIIPNHSNNPITMTYYFQLPSVTTASAPHLRRKHSSRMDLSHPSLCT AEAFIDSLYAGLEVGGGWIAVLGNPFLILTRRFRILPQSICNRYGLAIGSTFAPLVRF LIVLMYPIAKPIGIVLHFVLGAHSDPVTYRTAELKTFVSLGVEDKLEEDELGLLGSVL EFSGKIVEDIMASQEERSSGTVVDMLTRPQTSHEDMYALSAERIVDGELVKEILKKGY SRIPVYEPSSRDSGNITIRALVGYDWTDLKPVSDLVTQALPQCFFDLNLIEAMS L198_04098 MPGATDTVIIALTILLPLLYFFRNSLPFIGGKPKNAPSAALSEK TAVEEGDPADFVGKMARAGKRCAIFYGSQTGTAEEYAIRLAKEAKSRFGISSLVCDPE EYEMNLLDQVPEDACVIFVMATYGEGEPTDNANPLMEFLAESEPEFSQGGNTLENLNY VVFGLGNKTYEFYNEVAKKLDSRLTELGAKRIGERGEGDDDKSMEEDYLAWKDPMWTA FSERLAVEEGGAGDVADFVVKELPDYAPEKVYHGEFSPRALLASASGGSTPVGAYGAK NPFPAPVLASQELFNVGGDRNCVHIEFDITGSGMNYQHGDHVGVWPSNPDVEVDRFLA VLGLSDPHRRQAIVDIESLDPALAKVPFPTPATYDAIFRHYLDISAVASRQTIAFLAR YAPTEAAGAKLTQWGNDKEAYAKEVDGPALKLAEVLQAASGDSVEEPFASQTVWCIPF DRIVSSIPRLQPRYYSISSSAKLHPNAIHVTAVVLKYQPDASPVHHHEPRWVFGLSTN FILNVKLAQSGENAPSAGDAAQQVTMKKIPQYKLAGPRGNYVKENVYRVPIHVRRSTF RLPTSPKVPIIMIGPGTGVAPFRGFVQERVALARKAIDKNGPDALKDWAPMYLFYGCR RAGEDFLYQDEWAQYEEELKGVLKMKVAFSREMTKPDGSKVYVQDLIHDLASELAPLI IDKRAYIYICGDAKNMSKAVEERLMEMLGQAKGGSAAVEGAKELKTLKERNRLMTDVW S L198_04099 MSNQPVHVDAQVEGGLQHVSSRRSQQGSLQKCHYIFKRLSRVLP LPSRRLSRVMLAVLFLVMFLLVIPELGGMTPRKAHYYRLWKNITMRVDAVDYLVISII WILNFVGFMTSGLTNVFLTDRLGFGIAAPLGASMQGLAYILMCWGSPYPLFLIAYIFN GFGLGLQDAQVNSLTARLPNAPTKMFLMHALYGFGATISPFVATAFVQHIPDRVYLYF TVSLGLAVLTAALVLGVFRLRTEDQIVGSRQEEVEEKKEVSPTETVQEDGPPVKKINQ DSGSKMKRILKTPVVHYMAFYMVIYVGVEVTIGGWATTFLIDERGGDSNAGYVSAGYF GGLTLGRVIFIPVSKRLGPHLSIWTYTIASIVLSLIIWFTHTIVGNAVCFALVGVFLG PMYPLVMNVVTEVLPGELQGGTIGWIASLGQAGSAMMPFITGAIAEKYGVWILQPLSI AFLAASLVLWMFVVRVSQPDRPFWHIPRAVTSRHEKEKRDEDVGERVALDEVKRPTEI GDDSLHGSR L198_04100 MFPYTRTAHVHSPSFCPDPCQPFLSSDTAYEQAFNEEIQGILWR MESSKGSGEALSTLPLPLPDTTSSPFRFSPLLSRQPTFSHVEPSPSQPTSDIFSIPTE VDAFLDIIEDDASPTPYWGAMEAGALSTRSQPLMPCLVSSSSRAEAMPTCNRRHSSAH VNPPHLGPSPSFVSIPAPSSSFDRSRTVPHSHSRTIYSSTQATLGDSFIPRSRRFSAP DISNDVLWGASGGLTEKPIKRGDLKVRNEQQEKQVKKERKRMKAAALAAEKERVRAKK ILDLSYAPREKQGPEQGKDKAAIATKRFVDSEHPAPPKTDSITVKLLVVREETKGSLK LGSKKTSSGTSQQIRKVTVKSLATLHAPSPSPFFAPSTSDSSQKKRHRPKSAEREIED ESKSQPPGKKPKCHGTLDRGKKGVIPVVGMMRYSAEEDQMILQSWDGRPPLSADTLTR MQTSMAMNGHPPRSIAALKYRAHHNLKPQFDEKRLALAAKRGRR L198_04101 MSLPRRTVVQDLPLDPEEYQRYGRQMIMPGFGLPSQVALKNAKV AVVGAGGLGCPVLQYLAGSGVGTIGIFDDDTVSLSNLHRQILHTTDRAGMNKSESACL ALRALNTKINLIPNPVSITPSTALDLLRPYTILLDCTDRPLTRYLLSDAAIRLDIALV SGAAISSAGQWAVYGGMRKNGARRACYRCIWPSVLAGSGGNGRCEELGVWPVVTGMIG VGMAGEAIKLILAKEDPEPLLHLHHLGSNPLIRSIRMKGPSPKCIACGPDATITDDLE AFGYDEFCSGGDTRPETTDETGLVDGHAGMRLSVKELDLMLQQAPDKTSLIDTRPPVE FGIYVPLATILSDPSSIPISSEMVFICRRGNDSQIAAAALRKAIPNSETVRIRDVRGG LKSWGKEIDINFPIY L198_04102 MATTSSKQMEVNPRGIPRAPFVDNVEEYVGGKEAEVQSTMKKFE DTTAKYRYMEVTIGNRRKALLNKVPDIEQTLQVVKFLQQRRQKALGESPLKTLFELND TLFAEAEVSENGEVGLWLGANTMLMYPLQEAVELLSSKLSAAQRSLGETAEDLEWLRE QITVMEVNFARVHNWDVKRRREKGLIGGQSNMLPSGKNDGGDSDEERD L198_04103 MSNHEDPQPQEDSSFPYPESRLDHPSHKRPKTVSPLGLQTAAAA LPPMSAPQSPFYPSMPSAGSPLPGGPPGPYDAAFSTHPSPSSPYIQHHPSPFPPHAAM YSPFPGSHLAAPGALDVHSFSPHTYPSGGAAGPSDYRSFSAPVGRHHPAPPSTSASSG ENTNSPSPSFESANIDPGLRMSHAGKAPGMTINKGKHKGTPGPKARIPRDAKVMIAEH IIAKGVAMANVDELSRLTGLTRQQIKSQLVDNRQNVRKQLVEAIRSLQ L198_04104 MNAAQLLQDSLSANQSARESATQQLEAAARDNFLGYLHTLATEL ANESQSIDVRYAAGLAFKNGIAARDIVNQAALTERWLSLPDSATEPLKHLTLSTLGSP QQRAGAVAAQCVSAMAAIELEVGKWTDLIPQLLEFVQNQENTGLRVSTLQAVGYICEV IQPQILAARSNEILTAVVQGARKEEPSADVQHAAIQALYNSLEFIRDNFEREGERNYI MQVVCEATQSPSVSVQVGAFECLVQIMHLYYEKMDFYMERALFGLTIMGMKHSEERVA LQAIEFWSTVCEEEIDLTAAAQEAIQYGDRPEVESKGFAKAALNDILPVLLGLLSEQD EDDDEDDWTKAMAAAACLQLLAQNIGDDIVGPVVPFVEAGITQPEWQRREAAVMAFGS ILDGPDPTTLGPLVTQALGALIGMMQSDPSLPVRDTVAWTLSKVTETMLEIIDPSMHL RDLITALVMGLTASPRTCNSCCAALNNLILQISSLPELPEDSPTNLMSEYYSGILKEL MPIAERPHNQSNSRSAAVQTISTFLSSSANDTLPVVQEVAVAFIARQEALQGVHNQLV GMDDRNNWNDMQINNCVVLSSFIRRSPAAAAPFADRIMTNLISLISTSGKQSGVLEEA FATIGALAGALEAGFNKYMDAFGPYVITALNSYEDHSVAQAGVFVTSDIARAVNEALQ PYAENIMGALIELLRSPVVARHVKPFAISAIGDVAIAIGTEFKPYLEATMTILGQAGS TTAPAGDEGMIDFVQNMRESIVDAFIGIMNGFRDTEANVILPYVGGILGFLQTCWADE DRSEGFCTSSLGLIGDFASVFKTQVSDDITKVWVQEAISAGRNRSASKQSKTNAAYAQ HALKELLK L198_04105 MADDPSGWSLTESDPQVFSQLLNDLGVKGLQVDDLYALDAETLA TLKPIHALIFLFKYVSSEEEKGGETQGVEVDPLSSGVWFANQVINNSCGTLAALNAVS TLKCYTTSPPRPRNKPSLTSYDCSLGHIVSSSDRIREVHNSFSKSSPFSMDPSAFPER EKEDAYHFVAYLPVNGILYELDGLRRSPLMHAPVEDDWLNTARETIENRIATYPPGSL MFNLLAVRSAALPRLERLLHDQSTPAEQKFALQDQLEHEQSNAKRGALENKLRQHNLL PVVFQLFKGLGESGLAGKAVADARAKGEARIAKAKAQGEQD L198_04106 MASILRLSAQKAPLAFSRSFSVSAAKKDLVQDLYVNQLKSYKPA AKSSDAHVGAVRSFTAPKAPTAPSLPTDLASELSKFDAEEPALGATAKKASNTSEASE GVEEYLAFLEKDLPKAEAHH L198_04107 MGIKGLTGLLSENAPKCMKDHEMKTASLYPCPLFGRKVAIDASM SIYQFLIAVRQQDGQMLMNENGDVTSHLMGFFYRTIRMVDHGIKPCYIFDGKPPDLKG SVLAKRFAGREKAKEGEEEAKETGTAEDVDKLARRQVRVTREHNEECKKLLTLMGIPV VTAPGEAEAQCAELARAGKVYAAGSEDMDTLTFHSPILLRHLTFSEAKKMPISEINLE VAIRDLEMTMDQFIELCVLLGCDYLEPCKGIGPKTALKLMREHGSLGKVVEHIRGKMA EKAEEIKAAQEEEAEAEGEAEIYESEPESEEGGETVMNSDGEEVPGSTPPKKTPKKKA PVKKKKVGSAGMQIPEFWPWEEAKQLFIKPDVIKGDDLPLEWKAPDVDGLVDFLCRDK GFNEERVRAGAAKLTRMLTAKQQGRLDGFFTVKPKPKDAESSSKTKGKPTAKGKDTKR KAEEKDGPKKKGKK L198_04108 MCPPADAPIENADQEMVAIDTTTPHISDTSASNVNSTNGTAAAQ PPPVKAHKGVYGRASDFLSNTSNWKIIESTLREGEQFANAFFSLETKIKIAKMLDEFG VEYIELTSPAASPESRAHCEAICNLGLKRTKILTHIRCHMDDARLAVETGVDGVDVVI GTSSFLREHSHGKDMTWITKKAIEVIEFVKSKGIEIRFSSEDSFRSELVDLLSIYRTV DKIGVNRVGVADTVGCADARQVYDLVRTLRGVVSCDIETHFHNDTGCAIANAYAALEA GATHVDTSILGIGERNGITPLGGLIARMMVADPDYVKSKYNLSMLRELENTVAEAVEI QVPFNNYITGFCAFTHKAGIHAKAILANPSTYEILNPADFGMTRYVSIGHRLTGWNAV KSRVKDATAKIKELADVRTQSMEDVDMILRIYHTGIQNGDLKVGQSAVLDRLLEKHMP TTRDNSPSGKSVDTAGNPAKRARVGEPSA L198_04109 MSIVRPLCVPKILKPRASPYRTLSSDAYSPPPRAPPSTSTSNNQ SQYPPEATTTTPLLTPEQDDLLKSILRVDHAGELGANWIYRGQKWAMDVKGDTETSRQ VEGMWDNERHHLKTLSLLVAQHRARPTLLYPIWQTMAFALGAGTGLMSKEAAMACTEA VETVIGEHYDDQLRELAPLLEKATATGEAPHPSIPLMTSILREFRDDELEHLDTAVEE GAQKAPGHSLLSAIIGVGCKAAINVCGKI L198_04110 MTDVLLNDKFYLRYYTGHSGAHGHEFLEFEYSHGRIRYANNSNY RNDSLIRKEMYISPALVEELKRIVRESEITKEDDESWPKKNVSGKQELEIRLDKEHIS FETAKIGSLSDVEHSEDADGLRVFYYLVQDLKCFIFALTQMHFKIKPIQV L198_04111 MSQTPAQEQQSSPRKASAPSSPAKLAPSDIRSGTDDPLSQSPST DPNLLSTRPAPRSPASSRPSSAVFSRSSSAPPSRNSSPAGAGRTRSGSSASNASRTKR ASSIKRKSLLANVTLPDDGLSGRDESEGVPTTIPEHDQVQADGTGAHLAPDSSEHLGE KADDEKPVKAQQSPKTPVLPYIVRDYAYNVSDDRHRGIHEEEVWGSPHEQDTAWGQAR AAPEDEDEDTQHAGGWGSFGGFLGWRRRFSSGQEGDDQQEEADEVETVDVGAGQIPGE EYYTTPPLSEADLGYSYNVLPPLDPSVEPTGLHRVAYTFDGMGASEMSVDEGDLLYLS GRGNGNPGWVIARRMHVELGKVEKGDAVGLVPESYLERVEVYDVEE L198_04112 MSTPNSQPPSASAANTSDPSNRDASPSAGGGRASKSSFGYESTM SLLVDSLKDRLLFAVPKKGRLNEKCLELLAGADIKYNRAHRLDVALVQNMPIALVFLP AADIPRFVALGSVALGITGQDVIAESTHSPAIEELLPLGFGKCKLQVQVPVTGPVQTL EQLSGGRVATSFEVMAGELFGGKDGVDAKVGKGQTKVEYVGGSVEAACALGMADGIVD LVESGDTMRAAGLHAIHTLMSSEACLITSSTPHANLTPELLALIPLIKSRIAGVLASK RYVYASYNIKRQNLDKALTITPGRRAPTVSPLDEDGWVAVSAMVERKEVAKTMDELER TGAEDILIMALDNCRVGI L198_04113 MATIPPPYSSRDHAQVQPGPHSITTSPTQEFSESTAVTLEWTIT GAKAMYEATRGEQKSKCVKSAVFGDVDNCWELLFYANSGQSAQAGDHVSLYLSCVPTP QERGSKLAHQWHRKGLWWFKFEIRSGHPKPEPIVTKEASDHTFAVKIANWGWVSYLKR DALFLHPQVLASDTFQILCTIRAQPQPPAGFWLGVGLQPSSPVKADGRGEGSGGGLSR WASQSGCAGVAGGTTAGGGSRRVVPKELVMGLGNMLDDPLYSDVEFIIPSRDGPPKKI YAIKKLLCRYDYFEALLNGGFGEDEGLVDEEIVVDDDLDMLSDSDIGDEHDPYGDEEP EDEEALFPHLNPTTASQRSTSPPQPAHNQSTSSDVINNCQEKVDDLKDTMATIPGGLG QSLKDHKLQDDLQEDEHREENQEVRSKPLPKADVAGPKKTIVIIRDAAWTTWWALLYW LYTDIIYFAPLTSTFENSHKPCTPTSATTTTDEPTNRLEWLRRWMADHHIDLPPSSSS TFGEPSYEVHAGPRPVSSKSIYRLADKMDILPLKLRAYHHICSQLTANNVPAEVFSRF SATFEDVRKVQVECFLANWGEIKKSDVMMDIWKNIRLGKHAGFEEVWPLIVKQLDFKP S L198_04114 MILNELIKSGPLAKVWLSAHQERKLSKTQALGVDVGESVEAILE QDAELPLRSSGPLMLGVVRIYSRKVGYLFDDCKEARERISLAFRPGVVDLPEHQVLAS HNAITMSGRGDFDFNDWSWDSTGVILPPETSQAVPAISARNPEFGGFGYGAPRPSSVF GGSVTTQSRQGSYDESSSQMGSNDFSGVDLGLGDFDGNDTIEYGRDRMSSLGREGSAF GMGRGLSRGRSGSARSEIDYTGIGDDMPLAPMDDYDPMAVDGGYEPMDLELNFDQEQE PERVARASTEALTPPPATPAAADNDLTPRTAAQIAARPAPIAKPVKRPRLLMPDEALE IDQTHQDRASILRPENYIPANPEFAQMKDIFADPSAHFLPVFKVGGENWTAVAPLGAV DDIIELFSFPNNVLRRGRALDEPDDEQLAKKARIEAQDEAAQRGDEPADIDTDDVEVV RRRDFRVGVDPGDDHAAPFVADDYDMSFDVDPMDGDMPAMSPLGYRASRAPSLALSRA ESIAHEIQYGVDSGDFTLAMFDSRHAAGSQLSQTTSPGGVGDGSLGAPQGRNSSMAMG LLRKEISAIDEGEKEVSFEKLANNATKRAASAFFFEMLSLGTRDCVKLEQPEPFGDIK ISGKDKLWPASSQIPSEA L198_04115 MAQQQPRPGPPPGMQPQQQQQSPLSPAHLEVLDSIPHTDVPHTL KMNAIPPNGPPDLAGKTFPVMLCKVHDHMKCDSCGVDFTGVNFIHQFLRFAPVEAIPP PPNVKPQPQRAQMVMALKDQGNAAFKVKKYDVAAQFYSKATDSALSRPPWEPAALGRE EAIIMLGNRSASHAYMGNWPAALADAETCIALKRSWMKGHVRKARALMGMERYEEAKQ AVVDGLQYEPREEDLNTFMKEIEEKIGETNASLSHTVK L198_04116 MIPRAYIARITPKLRPQRLSLSLSRLNSRHGHCRTLFLDQVDDA IIDRLHPGQPKSTPATSKPVPASDVKPAQVSTPTQLVPPPTTTDVPLSTTKDINPSDK PTSITPPGHEEMNRTRTSRLGVATYGPLTNRPLDHKSFYDTYDALVNMGFSDIRIVVE VMGAHYCYIVYVRAMTHRFNHRNPPIIICVTEHPARLSINNFFHQAQQLICREALVES ISEVVPTCHSETGEFEQLKSKGWKQRDLNKQPEYTRDRKGRRADDSGKFGLVGPISQA AISLIRKDQ L198_04117 MSWPTSTEYAVALPPTHCSLPQLAYAVSAAAKDKGKAPANSQQP LPAPDSFHVHTTTIQASISRPDQTIDYYNRAPEPNPAYGIYQDICRTLVQISDASEPV TIAAPSNLVLRRPWVPEPRKSYNGRVAAGPAPVGVRVHLPHTAKSETFLKIDSLPHSS PQEWMPSATGWDMKHWIHAAIALDPHKDHQPSRKGAYRGETQKIHLRSSAKVCWFPGE DSGATPDFQIIITIQAYLDMAVIAAPLPDTAKDMMGLILHSLIPSRTSTAPTTELEDR AAGLRDFYACLGPAPELPHWLEASALQPKEMVSKLLPFQTRTVKMLMEREGAGRVEED AGMRHDPKGFWQELQWDHEGRRFAYRRATGDIILVSANGDKGKERGHGDGRAPLSPEL PCLIDLSHVNGSMLCEEMGLGKTVETIALVALHRHPLSTPHLDITPSIWPSNPPGYNV NTSAANDRVSTIDLSKGVPGLDDPVARNWAEAEKAAFAGRKAWDAQAQLNVSEVAATL IVTPPSLLKQWVAEIRRHAPSLRVCVYDGWQSLQRGVEKQRDAQKRIRAKKETERKRK AQEQSRNNTRNKYAKTSNGQAVKSEHHEEKAEVDDQEEEDGTPETILDITQSQFLEYV RSHDIVITTYQDLSSDLKVALPAPARSRRSKANYRLSDRPRSPLVMVEWWRVIMDEVQ LQGDSDSAAMVSVIPRKLSLAVSGTPARGDIKDLMGSLKFLRVPVLPYDNRLWHRILQ PSFRPAFEGLFQSLAIRTTKKEVSSEFDLPHQSRFIVPIELSEIEMHYYTDTLERARE RLHLPADPSEARPRDWVLDRAMFLQVFRMLRQICTHIQVGQMQAGGGQASRGDQRRKL GRTLMTMTEALEKMREDHEQETLAEARQQMRVIIRQAQLIILDEQNDLRHLQALALYD RVRHSIDRYMESVQQELKKLLGGRGEGEVSDNEYDVGDMSDRISQQEKAKRAAIITHT VLYSLRELAIIKHQALFFSGDAHHVQHEDEKEVSAYAQADAIRKDVLKVPLAAANMAL QYLRRTVTRSPALKTLDDLQISDVKRKGGIQTYDVFAQANELLKIMNDNALLVFNWRD KIYELLILPVEGEKPEAREGAGAQDIEDPEEEYYAEALKAQGEGRFNISCALEAYLIA YAAALADRKEFMFESRSLLASHDARRSTNAAMNALDSVEIPAVVGDDIEAQASILMAE REAFRNARMERGCDRPLKALLMELNGEQNVVLLQRVIDQCAAVIHGPHRQEEIDIAKA MVAVLKHYLTRQGDNLEKLDKELDLFRQAFNRRVKYFASLQELSDSVAVPDFKDLGRD IEAAAQEVNELEVKLAKMAVKGRYLQYLGTKEHDENDLKEDCIICFGSSDCQEAVLLT CGHYFCQSCFKEYRATPGGRKCPSCRVAINDKETQRIKLNHGRPEPAEGSRETPALTS TESQPQKGPENPDISPEEAERLSRIADLEKLRMLEDDRRRAIMLMDMMGEYGSKINFL VKHLLYYKSKEPRSRHVIFSNWSDSLNIVMAALKMNGISFLGFDQGKKQKDIVERFLN DESITVFLLHAERESSGLTLTSCRVVHLLEPVLRHSYELQAIGRVDRLGQDKETSVFC YATMDTVESRILSQGVRNGTSIYLASEDADDVVAEMPNVASAAHKGGDVTAGGSEEEM LGLIL L198_04118 MSAINDPAAAAASGPSEPVQEVQVDVTKLTALSPEVISKQATIN IGTIGHVAHGKSSTVRAISGVQTVRFKNELERNITIKLGYANAKAGLSIYRCQNPQCP PPSCFRSYPSSKEAHPKCERAGCEGRMELQRHVSFVDCPGHDILMATMLTGAAVMNGA LLLIAGNESCPQPQTGEHLAALEIIGVDPKNIVILQNKMDLVRESEAMEHCESIKKFV EGTTARLAPIIPVSAQLKFNIDAVVAAICNIAPPNYDFSADPRMVVIRSFDVNKPGAG VDELKGGVAGGSILQGVFKVGQEVEIRPGLITRDANGVCTCRPLRSRVVSLHAEQNHL QFAVPGGLIGVGTLVDPALCRADRLLGMVMSSVGKGPSIYVEIRAEVFLLRRLLGVKT DDSKKAKVGKLIVGETLFVNIGASQTGGRITAVKGGDVSIALTTPACCEKGEKIALSR RIDKHWRLIGWGKVRSGGTLCEVVDQE L198_04119 MPSISPLPITTPPTEQGESSADVATSSALESTQPSSSPPASTSA AQSSASPSEAASSSVSPSSSPSASPSEQPSTSASASPSPSTSAEESSAAPSTSQQPSS TQQSSTAASSTESSSPGTSSSVAPSSLSSSDFVAPSDTSSSAPLTSSAPTSSQVQSSA APSSSSSSVAPVVLTSTDTSTASSASGETTATSALSVTTTDASGKTVTTAPSVLTQAL TSTDSAGKIYTVTQIVHNPSSTGTSNAAAGDEGFFSNTGAVAGTFVAVGLVAMLGIMS FVIFMLRRRRRQRLDRDVAAAASAANHHHDRSGYDDDEEAPAIASYGDQPAMAQYGGH YAATTPSGTDIYSQPQPSNGQYQDYEDPTGGYDGYDPYAVNLVNMAPQGGDRASTATD AGMAGFGATSAQVDYSPEDPYVHDPSAQEYMSDPHHPQPDFSVPAPPPPTAGNYYYFD PSQAYAYADDGVYGGYEDPAGQGQGHGQDNWEQPVAGRRRAGSEGSVAAKPGDERGLT IANV L198_04120 MAASTPAQSLEHRAQNAEQVGEHKLHGLVQEDADSTESGLRYGN CLSSSCQGHRASREPIHCIQQVQPNLSCPPTDLPTASDVGEAFRPVVPPWVVTAAYGV SWAYLIGDVSFTTYKSSQLGPSPLEAANVSEPTRLSMVAVKRTVFQGLASMALPAFTI HTAVRYAGRAFAKSGNVAARRWGPTVVGIGIVPALPYLFDHPVEQATDAVFDKLEESF FRGGPVPEPKREL L198_04121 MGRAEIESPVPVRTPGDAQRWSSMTFGGPATRRDTVAQLPVTRQ PSRSTLRQSRQDGLPTYEQSVQAGPSSSRDGDEQGGLARFGRWRGWVEKRAVERYDGV DRDERRAARRAAREESARQEAETSASPVPLPPSYDATFPYPSPVQYPPASNSSPIENT PFTSVSFGSPFIRHSAASITCSLPVLGGRLLLYGTAEGLMVLDSDEEEAPAKMIWSGL PVWQIEIISSRQSPSPSTPKGSILLFCGGNEDSAKLGHPKKGSGTEIRVYSLASLISL AQWSALQQPGYQGRDMAQKGSRAKEWTMVDQVSIHPLTDRQAPSASAGGQTDLPKAWS GDYTVLQRSTASEKIGNRRRSGSFGNVAVRSQDILCTVVWRDEGRVYVASGMASQAVV HEGTVDQDGMTMFIRKKSLALPAAPVWMGFMKLPENGSMPSRAESIDLADDASYVFEY DRPPSSLSRTSWSYASMRSRQSLSRSGSRAPSVKDNLNLGLYVSFGQKACLIGVSDAV VLGIKSRGHDGDGMGEWGPLKRLVLPDGGLRGEAGEVYAITRGRETFSF L198_04122 MPLGRSLALLAALATQAQAYDDLLFTEDFFPLINARLDPIIFPG QVSAHVHHVIGSSAFIASEFFEDSQTANCTTANLIDDLSNYWSPMLYYKWKNGSYSAI TGDGGSA L198_04123 MTNLPTEGFSVVPDDFRMLAGDITRTTYNASNALDQAVSFQCID AGGSYDKTPFIPSDRECLTIRPQVNFPECWNGKDAYIDDNSHVSYPVDGNPEGGKCPD SHPSKIPHLFFEATYHPSEDTIGEGYEWYPGCFVLADGDNYGYSFHADWLNGFPSGFI VDTFNECYDAASDTISKTCAPIDQGRAKAAPRDCVSQGQVVNESVGQMMAIPALPGNN PEYNSSETSKPSSDSYTESASLVTVSDDTQGVCIQGTCYDYAGSDIVDPSAGTGATST VSSSAVSATSSSSSTGSSTLSSTVSASSSSSTASSIPNPVLVTVPDESDDDEELVCEK RKKKRRVADW L198_04124 MAPLPFHLSLLLRPLSPPVATTRLKANIFALFLFAIRNLFPPYQ ATKSLLVSLSEKLEGTTGRQQSWGEAGVNIGEGLLAIVLVWNTVEAFVALQYPSTYVP PHPKTMNITPASVSSPLTRPYSPQKSCTPTQQPQVSSRALPSSASFQTPSSTPLRQAP TQAHQLSQSTSHPLSSSTSSNLSSSTARILNLPVPESPSNGLFYERKSPVKAGAAAGA VGGVGGDFVLVDRDEKEWVDNVWKGVRGKGGKLAL L198_04125 MVLDRTPPSPGPYSCAATIRAHERAITALRFAPDASLLLSAGAD GWLHFWQHVRGFKAHRSGINDISISPDSLYLATASDDHTSDIHLLHPTSGYVSHTKPR AIRHLAAHSAPILSVAFSPKSNLLATGSFDESVIIWDVSRGLALRQLPAHADAVWCVA WDPEGGMVLTGSADGLIRLWDASTGQCLKTLDNDTNSPVSHAAFAPSASYLYAATLSS TLRIYNIHTGKVIKSFRAPAAFVSEKFPCPTLIFEGPKPLKESEADKMEVDEKPQKAG QSRKERESNAWIMTGSENGKIIIWNVQTKAIVQVIEGYTSHQSSVLALAVSPDGRTIA SGSLEYERSIKIWKTNS L198_04126 MSLQQHLLDHSVHGPSFPSPPPSDRSTPELDPFTHSAALDPVDS PITDLVSPTSSVPDISHTHTHPATDDDDTAQALSNHHLQRYLHYKALAARAEADPALA ACQHSDSAPTDDRIEDLLSSYMPDSAHSSLFSKDSIVSGNNMLACQQQRQQQQMPQSA YYQVGQSINTWQHPNTVFRFEPQPSQAVMHNAQAQAHLQAAEAARFQADEQRRVNMST YYMAPQPAARFEQSSQGAMFPQQGITTGASASYANTPSYPGGANILMHKTPSMSGFTV ASSSEEGEDELLYSSGAEDPKPMVPTIPVANAHGGGRGYVPGQTPDDPKKKHKCQICG RGFARAFNLKSHIQTHNPLRPKPYACPHNTCKRGFSRLHDLERHRQGIHSDGPLVEAK RHNVSPAIARAQTRMQERADSGSLI L198_04127 MLSPAHVPLPGSPAASRRSSTSSAPYTSSPLASPHTPYRPRLDR FTTPATIKPEEDDSFKEGARISVNQPVGSISISPSSRDVCLASRKGLYILDLANLHNA PRFVPQGGTWQIADVQWSPHPATAHLILSTSSQKLLVWDLAAARPLLKSLDAHERAIT DINWHALNPNRMATVSMDAGIRGWDLRCFDKPVMRLCDWGAAGTQVKWNRRHDHLVAT AHGKIVHIWDDRKGSVPVTTIQAHDAKIYGIDWDRENRHKLLGGSSDPDLDYHSTLPA PSEPSHTIQTHYPVWRARHLPFGRGVLSLPQRGEKALEMFGVGDDAPVERFAGHENVV KDFVWRVRGGDSEGFDDREFQLVTWSKDRTLRIWPITQELEERVGWQHGAPITVLVSR RGAPDVTYTKEPSNSDTDAPKLPPPIVNPHPTQNQPSNLTRQKLAKPEIQPGMTRGGN KVRGMDQLEWLTKVVKNAPSPEQSLVSSRMPSRSRPPPGSRPGSRVRSRSASVGGRKE WKSLKDELVSVSKVYPRPKINFEKASLHIDLAHLKLTISLQGPWANGDRQAFIRIHWS FPENYPYGPEIPTFELERNPTMSPLTRQTLVMTIKEMRAHNKQCLIETTGYLLGLHER QGRRRGIDESDSESERGEPTKQSDDVVPEMMLRKTCGATFGPNGQLVCFFPKQVMLPR TRNFSRSPSITRDNPPPMLRAMSALSRLQNPHHRALVRYKPRHRRLEVVESMPPPSGS TMTIYDVGHLGHPSAKLATVYGMSVDANMGYALDAKRLDHAEIWATIRGILADPPPAY TILPQVVGKKDDPRRERMNWEQGMERKRKVLDTLLSILIQRRDIQLLALVSCIIHEYS KTMYIPPPVEAYVSHSPVLDYFTLRFPSHSSPVAATPAIQRASSSIVPLSPSNSSSFR TSGWSQILNPSGISLRGTLTPKDRASFSDLPFAKATLGTSYEDQNSPTGLAIPGMRVM ESPRVARNDKPKLTAAISPSPPSVAPLHASGSDKALATSGEPRSQKVSFGSASPIGRG ARAHSSAGYHVGDPGNTGIIGPSTPTRSDEVQNKEVKGCGVKVAFPKDDSPSQTLIYP AMMAVCEAWKLAYADFLLRHNLLGIRTTLLRYQFIPHNQHSAVQAASGEVGGIVSAPD EEARIESSLSSRVCVPCSSDPKRTCAICNGAPKKAVCSFCRVPIKGKCILKHGLLELI SGLGISMGCTICAHKFHAKCFQHYFLSPITTPMTCPACSCSCLAHKGISTPLYAVKTL PKQSPSVTRGFARETLPSAGGYQAVRPRVVSGQNEPEPTEDARGRLTYASLVKLGAIK ENLGLGSGSGDGGGSMINAGMSALGLHQDDDDEVGMERERTLRGEQRSNEQRGGDGLL SRARWGGDGLLHWKGATQS L198_04128 MLPTHNPTRKPRPYFAAPPSTLLSLLRQRTRLTNLAAALLLAAL SCSLLLNLNYILFPSTSSSPRSFSYKESSGWDDSATPDQLESPLPLSLETTIERDPRY AEIDRLIMVPGHAIWLGEDASTSGEDNDWILEPMQKGGSVKTYIKHIEKGVEELENDP NSLLVFSGGATRHPPSPPTPEALSYHRLASALSLLPSTPIADAHRSSPLPTNLRTATE EYALDSYQNLLFSIARFREVTGNWPKKITVVGYGMKRRRFQNLHRAAIQFPASAFEYI GIDDTGDTSPHYAGELKFGYLPFLSSPSGCHPPLSIKRLVRNPFFRWHPYFTSCPELS GLLEWCPPVQEVHGTETWEGRENEVGVGYPGAVPWKNETASGVTWGREKD L198_04129 MPSPITVEDDEDEFAGIESMTASQWVRDPLIRRRVASRSQSLSR ERSMSLESPKHRDCTLADLFPSSPVSIVPSTIPMGWTSSPVVPSKRGHATDILDPGYD YFKAAPKASKSKQGKCTAVGRTSSMGKTLSSRASTGKEKVEGEAHMEVEPNADEDWCG ALEGDDIEPLDHPPARPPLRVPPVLRAIEGHIPPSKPPPTASKLDHPIALISDLDAKA QDFYRHHYRRGADRAKDTDNGEGAGRVPVKTKSAPKRFKKGSRKTFRTGYTGGRYKRK L198_04130 MSAVSSTSARPLSSALAQLSIDADARTEPDVRTPPITNPDPHGT LLKFIEHLLSEEHFDADSNLTAIGYELEALLSIYGDHSIKLALTPPSTAVATEVSSQA IKKSGSQQWSDAVWDAEIGFAPGERIRYEISLSLWEEGETLEGLDPSATPEISPTMRV LVSLAPTYPNSSAPQLQLLGKYLGSFAIDAGLFGDITRTYLSAGGAGFNPGDVCVFEG LTHVQSLARDWYAIHLSSGAAREAERNFHLTISQTHSPEESEDEDVAYLPRPSPRPTF SYTRGPDEAAPTQTMLRVEAGKDHGLKVWVSDEVVDRKSVFVGRAIKVTDEREVPLIV HGVLEDKRAARAAHPAIYAYRVVKDVGGTAQKVYMSDYDDDGESQAGGRLQHLLEILE LENVLVIVTRWWGGHRLGADRFKHINRVARDALEIGGFLEDKKTDGKSKKGKK L198_04131 MSKDPYVDVKREVEISLGSIQSLARDHRDLYNPSTLSSAVLEAQ EELRNTLSILESDAEDLEESVRVVEDMGERWGLNADEVSRRRQFVQKVKLEVDDIRNR VHRLAAQSKGKGKQLHVPYRDSSADLERGYDEDNDEMRRWEAQEQEVSHDAGQEARRY LGPDTGYPSYPCKSSWLDGKRGRRADGVGARFVDRPKTTS L198_04132 MPPKVRMARASNLSFDSGPPPQYNPDVPVADGPTFLQRNKTERT RLQGLVSTMNHLEPNPEGKIARAVGMGERLKIWMVNEGGRRIFFFIWLFVHALAFAFA VVNYSLKDSFSGARVTFQWTYPAARGAAQVLHIDIIFILFPVCRNFISMLRRTPLNDI IPFDKNLTFHKQVAWMIVLFTFIHVAAHIRNFVRILTTRRKIVLTINTNVGIVGFLEF NFVTGPGLTGWVMTIALIVMVWFAMEKRRRAHFERFWYSHHLFIFFFLGWQLHGMFCL IQPDRPPFCSAGQIGVFWKYWLPGGLVWISERILREVRARHVTYISKVIQHPSKVLEV QIKKEHTTRRAGQYIFLNCPEVSYWQYHPFTLTSAPEEDYISVHIRCVGDWTTAFAKS LGANFDAKPSKSDEASGKVVSRPINKVLPRVMVDGPFGSASEDFTKFETVLLADPIVS AGIGVTPFASILKSIWYRMNNFGKEGKTRLSKVYFVWVIRDFESAEWFHSLLQAVEAE DVEGRIEIHIYLTAKIERDKMNNLIIQDVGAEEDTITNLRAPTHFGRPNWDRVFESIA NKHPDTDCGVFFCGPSVLSRALHQMSNKYTSPMGCRFFFGKENF L198_04133 MPTRSSFLLSISRKSSTKPAAARSLSATTSIRIQAPALRPHLPT STRTIRPQITTNTYRNMSNWPKVTAENPLGLDDASLFIQKGIIGGQFAETSSGKTFEV FNPATGKVIGTCPEMNVQDTRRAIETAEEAFKTFRTTSPAQRSAWLSEFSKLYQAALK DIARLIVWENGKSWTDAVAEATYAGTYISWYAGEALRTTGETIPCSVPGTRNFAIKQP IGVVALLCPWNFPAAMIARKLGPALAVGCTSVVKTPSETPFTTLAIVELAKRAGIPNG VINVITTEANLQDVGKELCTNPIVHKVSFTGSTRIGKLIASQCSSTLKKMSLELGGNA PLIVFEDADIPTAVAGTIASKFRGSGQTCVCANRIYVHEDIYDDFAAKLAEKVGEFKV GPGFDEGVTHGPLIHSRQADKVDEHVQDAVSKGAKILVGGKRGNRTEYIPTVLTGLTD ECLIATEETFGPVAALFKFSSEDEVIARANASEVGLAGYFFSRDSDRIWRVAEALETG MVGANTGMISQAVVPFGGIKESGYGKEGGRQGTDEYTITKLVAVGTSLHNLPK L198_04134 MPPTESSPLLSPSTLEAGVPHTTPSWHFWNRPPFRQVRFVSPSA AMHGADDELDQPDRPKQPFYRSEEAMGRWLIYCLLVLVGMILGASFSKHWTRGEGKLG NGPMVPPVWTLPPPTGLPRNDPYLINATSAAVASEDVTCSNLGLDILRNKNGSAVDSA ISTTLCIGLLNAFSSGIGGGGFMVVRVPEEHYVPHELLKEIGYEGQLQEGRVVAFDFR ETSPEKSEVDMYGTSRAGRAAAQVGGLAVAVPGELRGLEAAHKLYGTLPWEDVVMPVA DLAKGWKVSRELAKRLRFFGQFMLSSPAWKAIYAPRGELLVEGEFIQRTNYGKTLEKI AREGAEAFYHGEIAESTVETIKNAGGIMTLNDASYKLSKALKHYKALAYPAIHGTFMS KEVYTTSAPSSGGVLLGLLSVLEPLNITSTGGLRDVLNAHRFIEALKFAFGARSAITD PAFAANRSALEDVHSKQWADDVRAKITDDATHSADYYGLQYDTPIDHGTTHISVADQW GGAASVTSTINLIWGSHVMDSKTGIIFNDEQDDFAIPGAADAFGLWPSPWNYPMPGKK PLSSTAASIILNPPTRSHPSTLYAVLGGSGGSRIFPSIAQVVLNLFSGKDISQSIEDY RLHNQIVPDLTTMEVGPEGENRELVEGLKAKGHRIGEFDVNIGISEVQAIVLQDGCLY ASSDSRKNGIAAGH L198_04135 MSSAIFFSHVILIYADIRIPSTCPDTFNLLRGLPNKRDSSYSGS LLSKRKPELVDIAAALGIPTDDVRVSDLVKNIQSQLDTRESTLAQDPIFKGLYFKKRS GHGNGNGIGIGTPERSHSPSMATPHTEIKRSVTGSARKAGASINKALDRVQELVDAAN VPLPESPSKVAGAAHSANESISHALVPAGATSDIKTQVASLVKYVAQLGTKGKNEVNV AVRHAQELLSVPEVLAGAGVGIEFLFLITHVLQFYDYTYIFPPPSGEKGAISSLLQAL FFWSPSVTWTLRLPEGGGLLSSYVWGAVAWWACSTILPSFILSTVVSFVPQKGIHRHG SPHTRYSDAHQPRATPDYLVFVLSRLAILLLPLTNAAPTALVDALEMSGNLQGRALGA GFLAALILAHRIRSAA L198_04136 MASSTSLLSTSPSSSDEPQFIGRSSSSSKATAAPRPIPHQTCCP TQVVQEAHNGVIQPSRISSMKSLAHKYNFNDSGPSSGTVNGRSQVRHTTPGSESEDPP LRARTHPRQKKASQAIARTRPATQNTTLLLPGLLPDLISSPAPVPDWLGRTAVLLKLK DCPVCRTRWKGKESGMFRWRHISTCRPPLYRSPNAPPNLQQMIHDALSVRSAPNTLLE LHAGSIAQAEGALAAQGSSNGLASVTSVKRSDLRGEAWDDEVIDRIRGWIGHSSPPPL FNQATSAYNIDPPPPSNSHRRTSQNDADRVPYLATQPMGRSDLAQDYLKQEPSPPVIG STSSEEGDSVPLSDDSEILVPASDSDSDEELPLEVKKPFQEAAFAGLGKDVEHDVQEP PDVAIVDVERKIKRTLDSPIGGLHAKLSRLSITPEAHLWTQHEVSPSSTLKCAPVSPV LERSTTPTAPYTRSFVDVDGWGAFAFENDDPVAILSWEPKASEPDSASDLDILGYQAE NSGTQSHRASGVMITDSDGHLPVGDGGEDAELLANNNEAMSLISIDDHMPAYELWDVK DLQARYGYRPVKNHTVLVRLAIECWKALAADPESPSSPPGPLLDSATWSVAVQSLPGG QMGDIAETEDPQATEMSCQKVKKPEVEEDLDQVFYGVIKEDFDLYLRVLRYEPLSLDE LISKSLRAGITKKGWKAHLKRYLDLQSITYFTEDPTKQRQRH L198_04137 MFNPMMMGGMGMGGMPMLMGAGVLPGMMMGTPGVLPGMPGVGNL PRGYFGRDQLGRDFAGPGGVGSSAPYRPPDPSALPPMPFGLPVPARPGQEGYDQFGRM LPPELPEGWDGWGRPIVAAGANAPSQPLPQQQQQMPLQQGPPMGAPGMPQQQPPMGGP RVAASHGRSGTSSAMQSDAGSGRPDQPACFNRPPAKEDSYYRYEPFEAFSFSAHLLNH PHQLHLPPDIVSRDVNEQDWMKFIEDLAREALSGARHSLMRQARGEHTGPDPLLSESV HSLLASWAVAFFAPRGIRVYAAKNGTKVVPPPIDPPGQRGYTLPSEWSDDDLSSEDDF GYGSEFEEETEARKADMHLPKREREVRKNERLRLRRRERRRTFRLSEVKGTEVRGGWE VHFIPATPTLWQPSMRPQVYGEPKARLRR L198_04138 MAVELARPEVVINFNHPPLSTPRPALRVAPPATVVTAETEEPAE DTPETPLVVPATLPPASARAPSSRAPSVAPSPPTPSIAPVHSGLTPATKEELQEGDVE ETEVTTATTTRKTVRRRLGPTPSPPVPTDAPVVYQTSQAPRTPEVYQTGTNWVENIPE DEPQAPPPPPASLKPISGQPTYQTPQESMMRWPFGRHDPSGGGTLKGQSAADDVDVDT GATTFGGPTLGMPSSAQLGSTSERLSGTKGGLRPIPIIDYMAMLQPSISFPVKAPSSG RHSTPARKSSDQKTSSKSKTMASCKSHPVSLPSPPHRVSPQPHPTPPQTTVNVTVGHS PTMHLSVPAPTSVPGTTATMVVPLPGGASVRSRSVPLPGGGTSVHPATVLLPESNPSS KSPSDSALRSGHSHPGMHSQTRSRPRSQMSVAPTASPAATSNRPATEAPKTIVTLSAH LDNDTEGNQHLHAKWHDHQATNHLDVDLGKAMEPEETPKDRKRREKKEARAKVKELER AERASRVESMMPPSTMPSMGQGGMSTPYKPVANFPPPKSERYAYPAPVTVIGEQRKKR FDDWFNKDRAPPVLGSMGMGPMGGPLPFPAAQSMYPRPPLSNMGMRPPMFQPPMGYGP GAMGMGMNRGMFRGGQFGPGM L198_04139 MDPTTHAPVTAPVPTPADLQHAPPQPTGLRQRASKLDAAAAHPA LQNARRTAFSYTQSIRDRLARSPTVLRLEKRTGVDRVALLGGGALLYFLLIPLNIFRL GLPTTQLLTFLPASYVAAQILDARDSQANNEKVKDLLSFFVVLGFIQTAESLMIGVLE KRIPQYYTVKLLFLAYLLHPKTLGARKIHESVFRPVISNRDSPLAPANVDPSIAAKYS VVPVSDSTTSSSGSIAPTAGQDNVSGLGASTGRDTTLPDLSAASTSVGAHSNNPFAPS HSTAGNGTADSFGTSSSPATTGLATSSQTSAVPTASGLNFSSPESAFSNPSRTNAAAE GEDLHDSISSQIKAAAEGRTSFPPPSSFSQDSASFPPPQVQAQQALAQAVQQTGVDEV GVPGGVIRQ L198_04140 MRAALQSHIAAAQSVTNPSPCSPLASPTPVARATRTRPTNSQNN SPTSETVRRGQKTFTTSMHFPKSHQPGLAGSTGLPLYEKVQGRNQRTSKIQALNKIDR SATPSDLPDSGLSGTSFMPSDTQNDATPPVAPSHLRNPLFRSKSANPLFSTDDVRTKA PRQPESRSESRLFGLEECPTFYPTHEEFKDTTAYIDSIAEEGKRYGICKIIPPEGWRM PFRLETDTFKFKSRLQRLNQLEAVSRAKINFLEQLSMFHMQQGDAKVHIPLIDRQPLD LWKLRREVNKSGGHLELDRAKGWSALADTLGLNPSWTPHIRAAYMSIVLPFDNWAVRA KTSLSPLVKRPIGVDVSPSKPPNFGAGRTPSSSPKGSPIKSRPNNYSSPIAPCIPLKS VDHGFVDAALPPPMPSPTKKSKMNFGTASMSSRVPKPTNAGPAPFASQFNVPGFSKED GSESELSDEDAAMSSPRIDNESFQEAYQKGEVCEICQSGHAAEKILLCDGCDRGFHIY CLDPPLVAVPTNEEWFCNACLLSQGEDFGFEEGDEHSIASFQARDQSFAHYWWNRRKG HQIHPITTEKDTNDETVKPRRFGKVLVSEDDVEREFWRLIESSLDTVDVEYGADIHSS THGSAGPTLETFPQDPYAKDPWNLNNMPILKDSLLRYIKSDISGMTVPWIYIGMMFSA FCWHNEDHYTYSVNYMYWGETKTWYGVPGGDADKFEDAMKAEAPDLFEQQPGLLFQLI TMMNPGRLDQAGVKVVACDQRPNEFIVTFPKAYHCGFNHGVNMNEAVNFALPDWLSAG KDSVRRYREHSKAPVFSHNELLITITLYSDTIKTATWLKDALKEMVEEEFSRRQKLRL QISELPEVLVEEDGPEEQYQCAFCKCFCYLAQMTCPCTKSVSCLVHADQLCSCPTGRK VLRMRYTDVQLEEIRDVVVGRAALPQQWHAKFMGLMSNPRPQLKALRGLAADGEKINH DLPLLPALRGFVEAAGGIMDQIATIVGRKNTVRRRRDKRPRDEVENPEEDMVNRDPKL LAELLEQVNSLAFDAPELPKLRQLMLTIQSFRENAAAVLSDPNIWADRQVAKNTLILG QSLGLDFPELPALERIVRKGDWFDSLEGDADDGRHEYKDVVALIEQSVECAIPPDHPM VVQLKNRKLDGKLWLDSAAELLTSPQIGIRNLTELIDKRQHVPISIDVLLSLESLRKS VISWQTSARNALAGEISLSAASRLCKNAASAQAPLKQVYIPELTQLQSELSHHAKWTQ EASKVLGVPVGRVASTIEYIRSEIHNNLAPDDDWPRTSGKVCFCRSLPGPVMVQCQIC CHDYHPQCVDALARNVSDGFKCAMCQRLPNDDGPSLNAFVELVSPQRWNFVLLPSEFE TAQQICAAALRYIPELIEIMNPLDFAQPTMDIDRLRHAIRKIYTAPLVFDAFLADRNE RCVFVNWLFRRMQDAVRGKVVHDAGAADSSDMAVSAVARARPRRKPRLVLAESRPHEL SCVCGKSNLEVDKRTIACSKCGQGYHRRCVWAGDDLQNSLWRCPCCSVKEAKYYQKGV DLRVQLTCMLSF L198_04141 MYNVNHSSYDSQHHPTDVPGTHLPKPLSSYYPPRSRSITPSYSP SPDGEQWPMPYVNSGSDAQLADLRYSVPMPEPRFSHTSNSGSSNAESTSNDYDSHARN PLVDLMESEKVYVDRLGLTIRRAAGAWSKKNFPPPKLDAMFRCIEACYRANKGFGQKL KDIGHNPSNPKALGDVLMRWIDDLEPAYLMYTSNFLTGFDTYPPVSANAALPGILEEM SASSKPVPPLDRWTLDALFVLPYTRLRYYRKLYTRLLRSTKEGRKDHGLLMAANDRLD KLAETVELRLEMDVSDKDGDAMSPQDQQQRELGSVISSARNDVFDALSLNEEMKDDQV RKSDEQVAVQSLHRPAAVRTTSVEYTAEMLATALSDLELRIDTERTIDLFSMQIKRCR LQMQPPTLPFERSLRSSHDVSIHFTPTATGRQIEHKRAHIFILSDLLLVAEWMDATVK AAKMQQIAKEQPERVGKGGPMPEMWLNYPPLAGKHLMVAEGNQANVLTVMVMRKETFV IHTESEIAKDRIMKDLIDCTDYALIHSTPGISRERAMASAALTSIPNAVGHTSFPSPF QSVASSSTSPCPDRVPLDVSIFANQLPSVSLRSEKEVEWPREHLYHPHSHSTGQGLTT MVALPPRGTSLPVPDQGLPEPLRQNYDQVRRPLVPSRPHIQTTASPQQPGPIPLSGNR DSVRSPSSRAATPQLSDQRAPASHSVISGLVATGAHLDQSNALLPQQHAVRHPSGPKR LVEPPSAVFQNNFPGRDSSTWVESSADDDTPPPSPEEEEPSTLAGPATVSAQMKCKVF IKQSHQQWKSLGPGKLRLYSQAKGNVKQLVVESDSASKQMLVSTIVLTDGVERVAKTG VAVEISNRGKRTGVVYMIQLRNEASAVGLFESLLVGSDRAVVR L198_04142 MAVPYTQGTLVWMPDGTGGWRTGTVTSSTISSSDPPVAYITISS DSDPDVTTTIQTPLSALQNANADRTQADFQQSSDLPPLRNPPALEMVEDLAALSNLNE PSVLHAIATRYLQHMPYTYSGIVLLSVNPFTPLNIYDGTFINLYLGQKKEEQDPHVFA IAEEALNDMRRGTGEGGVDLSGAGDQTVIVSGESGAGKTVAAKYIMRYFASAHMGTND PLLPRKSGHGEEGMSEIEKQILASNPIMEAFGNAKTTRNDNSSRFGKYIQVLFSHDHQ IVGARVRTYLLERSRLTYQPPSERNYHVFYQLIAGAPAKERKDLSLPDSPFKFAYLSG GGTSSYLIHGVDDAQEFDTTQRALSMVGISMERQWHIFKLLAALLHLGDVKISQSRSD AHVVDDDSALILTSDLMGLPLPDFKRWIVKKQLITRNEKIITNLTCNQAIVVRDSVAK FIYSCLFQWLVSVVNASLAGDVTNDIGPTKKFIGVLDIYGFEHFAKNSFEQFCINWAN EKLQQQFNAHVFKLEQEEYVREEIDWTFIDFTDNQACIDVIEGRMGILALLDEESRLP SGSDQSFANKIHHEFAKTEHQKVLKKPRFNSNAFTVAHYAHDVTYDTEGFVEKNRDTV PDEHLHLLQSSTNEFVREMVFTATEAAVGGQQAKTVESSTAKRGTPRKPTLGSMFKAS LVDLMATINDTNVHYIRCIKPNEKKAAWEFDSAQVLSQLRACGVLETIRISCAGFPSR WTYTQFADRYHIMLHTNQWHSKMDVKELCRLILEKTLENEDDYQLGLSKIFFRSGILA FLESRRAATQFQLAATLQKHIRRYLARRQYANWRKSAVSIQAWWRGTATRRGLEIQKQ ETAALLLQTIVRCALATNRLKHARKAVIDLQSALRRFSAKRKREQMQAEKSAVFVQRL CRGALVAGRYRQTLCGVILLQSLWRRRLAIRELASLKREAKSAKKFKEISYQLENKVV ELTQTLQKRNAEHKEICARLKGLEDDHKTVTHKNESLSARNHALEEQMASLTVPKEQF DDLAKEKKDLEVRLDELVLRSGALDTQLQEATRQLESTSKRSPVQLGSKTEDANTISQ LRAELKHVREQLSRNDTFNALTGRAHPNTSTSPRSFNLRQLDNVSSAPSLHGISDHRR RNRRHSMVSKLHAATDGDAEDKPLVNTRALSAAYTPDVIQRSRDSQGLPVLFTPGNVH QHIVRLLEDLPSLDNEVLQGLIYQLKIPSPSLHTPLTAKEILFPAHLISLITNEMWKL AMVTESETFLANVMQAIQEHVLAFKGEDAICPGVFWLSNVQEILSFVCIAEDDASKGI APEGSALNDDKLNWDDYTRLIGVVKHDLDSLEYNIYHTLMLESKKKLSKMVIPAVIES QSLPGFVTSDGSGRMLSRMLGGISGVGAPQPVSSMDDILNLLNRVWKCLKSYFMEESV MHQVVTELLKLIGQISFNDLVMRRNFSSWKRAMQIQYNITRIEGDFVEWCKSHDMPEG LLQLEHLMQATKLLQLKKATLGDIDILFDVCWILSPTQVQKLISQYHTADYEVPLNSE ILRAVAARVKPEDKSDQLLLAPEADEVGPYQLPPPRDIVGLETYVPAFLNVPNIRQLA TFVA L198_04143 MSFFKFPAAPIEVEIILPGEQERRQVEVKSEGGKPEPCPVYYDG ETIEGHVTVRVKDGKKFSHEGIRVELVGTIELFYDRKNHYDFVSLSQELIGAGEARQA QTYDFSFKNVEKPYESYVGINVRLRYYLRVTLNKVVRERELWVHSYRMPPETTAGIKM EVGIEDCLHIEFEYNKAKYHLKDVIVGKIYFLLVRIKIKHMELSIIRRETTGSPPSQY NESETITKFEIMDGAPVRGETIPIRLFLGGFEMTPTFRDVNKKFSTRYYLNLVLIDEE NRRYFKQQEITIYRIP L198_04144 MPSDNFSSLTPSRPAPPPPGPSGVAGHHPKPAKGPQPSISSTSY SPSFSAMAPTVSQSTSSIAIGVPNTTFTSTVRSGPVNVKEDGLRAFMWSKRWLVLGNT DLRIYKNQSSLSPLLSIPLKDVQDIQRVDLKPFCVEIETNDRLLYLAMRSDDDVYNWM DDIYNRSPKLGVSLPMNFVHQVHVGFDPRSGGFTGLPPQWSKLLTSSAITKEEAARNP EAVLDVLQFYTQQQASQQPHELPTPSAYSGHPSVVPVVPSPDADDHNKTYGSERVQVR HQAVNSSLGTQPVTTTSSHTAYQSKHPTEQSKTVSTSTLQFRKTNQPSVGLTSDSEPK ALAPNLTTPDRPEKRISTMSEAQIMVKLRSVVSQGDPGQLYAKIKKVGQGASGMVFVA KVLSNGSKVAIKQMDLSQQPRKELIVNEIIVMKESQHPNVVNFLDSFLVRGTELWVVM EFMEGGALTDVIENNKLTEDQIAAICLETCRGLQHLHSRAIIHRDIKSDNLLLNSLGQ VKITDFGFCAKLTDQKSKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMVEN EPPYLDEEPLKALYLIATNGTPTLKTPEKLSQDLKHFLSVCLCVDVAFRATSNELLRH AFLTLACPARDLAPLLGFKETLSGSR L198_04145 MAADMDLVVLIDDSGAKLDPGNFVESMAVLLERETNFNVKPLPR ARIPILKLELAPSSALPFGIACDIGIENRLAIENTRLLLTYATIDPARVRTLVLFLKV WSKRRRINSPYRGTLSSYGFTLMVLYFLVHVKQPPVLPNLQRIMPMRPLEEDEVMLEG RNVYFFDDVETLRREWSSVNFESVGELLVDFFRYFSHDFQFNNSVLSLRAGQLTKESK GWVNDIDVGGLNEMARDRNRLCIEDPFEISYNVARTVTKDGLYTIRGEFMRATRILTQ RPDRAVLALAELCREREDELQRAPRSSSPAPRALSANRGNFSNSHAQGQWRSQSQTSY DRLGAGVHESRGLRSGEDLSEYSAQDLWLHSQGTSLGGVQTLNEDVDARGSRGRDTVA RGLDTAGGSHRGSPSTRRSTSAYADGGGLTTTGTVSAPLSPQRLYAQLELGKGASVNQ QQMWAGYDPRLHASAGMPLGVSGPSGLRSQSQQGTSRALSGLASRPLSSFATSTGILP TPAGAKGLHATTHLPAFSPFDADPVTPAPVSIHVESLHTGPSTAPLRQPSVHLTGSDV PAEFISPSTLLSPVPRVTTLPESRNGTPEVDYLVHSMRSVSVDTSGDPGSREKSGSDC PPAT L198_04146 MQWPSSQHNIPVRKERLPDPGSYRHEQSTLDNLGAAGKPQHNIK NLNRPSSRYKKRRETKQASPIADRTLTRQLAGPLNLHPESLLSLAEVNKKAISPINDN HGVLPEQAGQQQPMIDFMAQTSTVDPQTGITLNVGIPCIVVHHGGPRIKACIQYIGNV EGLVGPRVGVISKDFIGTSVPALSTGTYLGRHYFDVPPDDGKDFASGRTCAELDLQDS PERINHKAPATARYCRAFFVRPCDILVVLGGAL L198_04147 MVGLFSTSLLSGCLLSPKSPRLPFNHSCRGRTPSQKTFIVKQKL AKKARQNRPLPQWFRLKTDNKIQYNAKRRHWRRTKLNL L198_04148 MTVVLTIHSKRYVFLTGHLQEPAYPTHNTHIIIKVFYWFSVPHD RLFLDALERDLKREKAGQEPTSVVVGEPASSFRYDPHRSLYEQFSRYILERNPKSRLV PTLQSATDALDTHADPRALDLCHVDVQHDAQVYFAPLGQQSIITSPSRDTMNTSRRHG IGHVIPMEPNVSVDPNSRLLGQRTSPVQTYETEPAPSHEALTVGSRIFDPYHHEKPPP SDQIFPLQASGPSSSFGHVYDTAFKLAQHVAHYHAVRHPSGPKRLVEPPSAVFQNNFP GRDSSTWVESSADDDTPPPSPEEEEPSTLAGPATVSAQMKCKVFIKQSHQQWKSLGPG KLRLYSQAKGNVKQLVVESDSASKQMLVSTIVLTDGVERVAKTGVAVEISNRGKRTGV VYMIQLRNEASAVGLFESLLVGSDRAVVR L198_04149 MRHPDYPVWNFLALVLVLLPAPWHWRAKNIATMALVLWLAICNL VGFVNTLVWANDYIDRSPIWCDISSRVSLLAAYAVPLCSLSLMRRLESVASTRRSVIT VGSRTKRIWEEVILCVVCPVILAVLQYVVQGHRYDIIESIGCNNPTFMSAPGLIIRYV IPIVLSVSSLVFAALAVRWFLIRRLQFRAILAASDSNLSISRYIRLIALAVTDSTVVL VAVVYSSVSALTDDSSPMEPYTSWSSVHSDFGQVSQFPEELFASSWVTVVLIVYAPIL YSILFFTFFGFGEEAIVEYLAFGERVVLWMEKIGLKQKSFEPAFGTRPLNLGSKVVPA VTASHAEGVVRDDVSEKCFQGLESRSDLAPLSHGSVGVGGITVKVERSVV L198_04150 MAFFRRFSSAASSFLPTLPNGQGIPASHIPRRPTESSQQHSLAE FEDEKSSRASWELSDATSLSSRGASSATDSFDGSSGESQRKSLAASSSGYYSSSDDGE DDLPRDRYDMMVRHLWNVGDREGWFRTSLSDGIVSIRVKKKVFRTFPQPKASTKKARE TSRRLKEWDSAISTLNPEVVMKITSKVVQAIMARCDDSAIEITLDVNTRIQILDDLSQ LAGARKHQFAAFVRSEACLVVWADEVETLIPSAEALEQRMIAYVWLGRHQEFQLLGAE EDESSDEREDEEGWLDRDAEKERALAGDLEDQVGAPGDEWEMRDKRPMMLYAPLISGL AMILTFAFIGSGIRNLIKELLLDGSYTRIALIASSPFGYLLSIFFSICVMGNLWQMFG PVAQCQQNSAFYSGKGPSRISGRLPHITIQMPVYKEGLEGVIIPTVESLKKAITTYER QGGSVNIFVFDDGMQLWEEQEQEIRKAYYDRNNIGWTARPKHGKDGFIRKGRFKKASN MNFGIRLSLRVEEIMDELRPLSEDKSDDPEWGWTDDDEREIFGEALSKVLEESDGIAW AAGNIRVGELILIIDSDTRVPEDCFLDAASEFKNSPNIAIIQHESAVMQVVGHFFENG ITSFTTRINTAISFCAANGEVAPFVGHNAFLRWAAIQDASFIDPDDGLRKCWSESHVS EDFDQALRLQLRGWSLRWASYSDGGFQEGVSLTADDELNRWQKYAYGCSELLFQPFRL WFTKGPITPLFHSFVWAESIPLHSKISVLAYISSYYAIACAMILSTLNWVLVGLFDDS LDLFYLESWQVFLTCIVIFCGLSNVSSAIFQYRLNTNSIGNALVQNFKWIFFFFFFFC GMSWHLTTALCAHLTGYNMQWASTVKEVELSHFFKEWPAMWKRFWDIWLISWAIILGV AFMASPIVPIGYRMWVLPHIDQATVR L198_04151 MSELVIDENTRIQILDSIELLARARKHQYAAFIRSERVLCVWAD DVDMIVAAAETLEESLVHFVWRGEAENAKHNQILLLDVEKRKGSPPQWDGVRESEKPK LVGPVISALEDITLAYHNETGDEDPSVQQMKDYWRERPVMLIAPLSDALSLILVMTLI GLGLRTLVKEYTLDGKATRFSLIIFTPPLFCIASYACMCVIGSIFQILGPIRQVTQKS RYFSGIAPKRTMGDLPHITVQLPVYKESLEEVIMPTIESLKKAITTYERQGGSVGVLV CDDGLQLLSQAEADRRRRFYFNNSIAFVARPGHGVDGFVRKGRFKKAGNMNFAAALSL RVEEIMDDIRPVNSVDHPWNDIDENKIYEHALEMALAEKEGKAWAEGNIRFGEIILII DSDTRVPEDCFADAVTEMKESPEVAIIQHMSGVMQVANHFFENGVAHFTRGIQHAISY CCASGEVAPFVGHNAFLRWSALQECMSIDPDDGVSKIWSEDHVSEDFQIAVTLQMRGY TVRWATYSGGEFEEGVSLTCDDELNRWQKYAFGCSELLFHPIRHWLYKGPITPLFKQF VWSNIAVHSKFTICGYIFSYYAIACAWFLSVANYFIAGFDRKFCDRYLPSWEVNLVCI ILFVGLCNVAFIVLRYRLKIPDCSKLAFDQIKWVPFFSIFFTGMSMPMSAALFSHLVG WSTTIKTVEKSNFFLQLPIIWRRFWPQLVFFSACAAMMVVTSSPLMPSGFRVHNIEVY VPMGILTASHLLYPFALNPWFLSFSVGSYFTLQNTLMLTYHILQF L198_04152 MSDHRHRPTLKQANKGFKSKHASKGSIKAASKGKPASSAHPLNS SKHATTSSKQARLNSNAQKRSSKHKATAQDLKFFSTASNGGHAPRVVTVVPFLPSLSP KCFLEKLLPCLGLSEDEVQHTRTRIPEQGTFVVRAPRFKTTLQVNLLPPLSLYPTLDS ALVSDYVVLLLSSVDEVQVEGETVLRCLQGQIGTREVISCVQAPASNPITRDAKQLIH KSLLSFSQYFFPTVAKIHSSDTSEESALLARALCESAPKGATRVDGRPYLIAESAQAI GWVPNDDISEDGESVGQLSVFGTVRGGGTLSADRLVHIPGHGDYQLLSIHDAPLPSRA SHDDRFTISQNVLSSPSADADDLVSTNTPDISGNEQTWPTEEEMAGSADYDMNAPSSA PIAVKRVPKGTSSYQAAWIVDDGEGMAEGSEEEMEDLEASEDKREPHRDLDPEQEEQE YNLFIQRKADADQDYSSFPDEVDTPRHITARSRFQRYRGLKSFRTSPWDPYEDLPLDY GRIFQFEDYSATRKQALDECAHDGVETGTRVLLTIKDVPRTIIESTLPLVVHGLLRHE HKQSVLHFVVQRNTEYTDPVQAKDDFQLCLGPRRYLVNPLFSQHSGSKGVNNVHKSEK FMKPGIATVMSIFGPIWFGQSGCLLLKDDGSTDVPNLVATGTFMSSDPTRVIAKRVIL TGHPVKVHKKTATIRYMFFNQEDVEYYKSVELHTKYGRTGHIKESLGTHGYYKAHFDG PIQQMDTICMSLYKRQFPKWSNMLKTPI L198_04153 MDYRNRGVSSDQYAVPASAAKKLSRLGPARQSMAPGQTRISVTG SSAMNKQIDSRDLLHSGAKGDGGVYGRTPQASRGMPGRRSSVFASSTQGRASLAPGVY SSTSRDNRPVRDKTFQAHCARNVSDYLVSAHYPAPITAKTLTSPTAKEFQSILKFLIN NSLDPGAPWSKKFEDDTLTVLKDLKYPGLETVSKTALTAPGAPQSWPVMLAMLNWLAD LCKALDRWDDPDCMTDFLKLPASELPLDFPGIEDRLLWDFSATTYAQWFDGEAEEFQE AEQKLEEAYKRLAAASVGECQRLQTQIQRYDVEMQQLQTQEPPLKRLEDEYVQLMGDK TKFISFLDLHRQKVDKVHQRVLKVKEIAESQEHELDNRRMELAKIEQAVNAQNLSPDE VSRMNHERDTLSRNLDELRNKIAEASQVAYDQEMMVTRSMDRFEALLADYNALAHQLG LMSAPAESPSTFTGAADFVIDLDLGAEELEEIKAAGLNMRSSLWQSLQTCREMYRQVA LEVGNSAIVLEDEYDKLGQGVERQKEEVGNLEVRLKIVHQQADDAHVQLNTESADTNK VIAQLETEVTNMLAASQQGVLSTSSQLDSTRIAYKELRHKTALLQDTVVALIGEHIDV IIKAKEHAANSLKSIRALAETQ L198_04154 MSAQDLVDFSDEEQLEIQQELQAAFNEIEEQYAVSTQKGFETVL VVDGIPVVDDSKRERLMDRLISSFKKAGAEIGADNVNMPWDKVAGTNKGFLFITYPDA HEAAIAFSALDGLSFGKHILSVNHFSDIEKFAHLPVGEGELPTGWREREYIEKEHLRS WLGDGQGRDQYLTFQNNDVSVLWNGRNGIAESVKGQDGKPVKNSKWGELYLQWSPLGT HLASLHRVGVALWSGPKLDGIIGVNVLRFTHPNVRLIQFSPCENYLVTWSEDPLPNYE NHTNAALRETFGPDDEGHQYVIWDIKTCRVLRTFPGDKSDDGSSRVTWPAFKWSPDDA YVAKCNTNTGISVYELPGMGLLDKKSVKIDGVQDFEWCPMSTKDLQAKKEGKGKDCVL AYWTPEAQNQPARVNLMALPSRAILRSKNLFNVSDCKLYWQGQGDYLCVKVDRHARKA KSKKATFCNLEIFRMREKDYPVEVLEFKEYVPQFAWEPAGHRFAIVLNPDINNQTAPA KYSIDFYQLDQKKGDFVLIKHLDNKIANTLVWSPKGRHIALATIGSPSKFDIEFWDLD FSIDERREAIEPGANVTMLGTGDHFGVTDIAWDPSGRYISTSASTWRQSPEPGFCIWD FKGQQLVHETRDQFKQFLWRPRPSSLLQKEQIKKVRKELREYSRQFDEEDAAEENRGS AEKLAQRRRDIAEWDAWRLINNETLENERQDRGRVRSKVNVDHDKEAKVEEWVEELID ETEEVI L198_04155 MQSTSVRLANGEVVVANDHVYVSLPWSDRDGTPYNIARIIEFLP SSHSKKGTRATGSSDGLVRLSLYYRPADISTRNVADHRLLLAAIHTDVQPVSSVRGKC YVKHKDRVGDLLEWKRLPDHFYFAKFFDPYIKREFEVIPTETVNNIPHDVKIVLRSRY EYIVTERELVADLTDDYRSCCRCQGWASYQESVKCDTCKEHYHMKCLHPPLHAKPAKG YSWVCPPCSFQRGIDVENQKFRFGLQSSSGTAKAKPVKAKHRKVNLENRPPVTFRGWQ WRYFGLRRPHIPQGGHQVDSFMDEIRKLDLAVPPWDVKRLNLAISSFTTLGFQPALEF MRTRKPQDFDLITFTSEERSLFENELERIGGLDTHSCGQILRRSPSEILRYFYIWKNN QLRVENEAVRQHHPLVTPHSRQPKTLGPPSLGKIRSAGDDRKENDSASVYGKATEGEH HFTCATCSTRLGEIWWKCPRTVPGEAMCNVCGSNYRKYGVITYSRSEDSKKIERKDNS LKRVKAVTGSIQKQ L198_04156 MLHRLTPLFPAARSLTTRIAPPTRRLASSLVLLEQKAGKLNEAS LTAVTAAKSLGSETQAILFGSKSDLEKALEEAKKIDNISTVYTASSDSFAHLLAEAVA PFIASVIKDKGVTHVFAAHTAVGKNVFPRLAGLLDSSLIADITALEASGSQFTRPIYA GNAILTIESSDKDAVKVITVRPTAFERASTESGSSTVEELNESNTATSSTKFLSEELT VSARPDLASASRVVSGGRALKSKDSFDQVLDPLADAFGAAVGASRAAVDAGYADNSLQ VGQTGKASFPYDTPVVAPELYVAVGISGAIQHLAGMKESKMIVAINKDPDAPIFQVAD AGLVADLFEAVPQLVEEVAKTQD L198_04157 MLPLRALRRLPLPPRCIQRCSRPVSRPSSTAAQLLHDPSPTYHH HHAAPPPPPPHLPLNLPSPLPNDIVSPPSSAHSALYPSTSVLDSISMISICLRRPEHV PRAYQIFTQLLRDPVTGQSRAPEAKVWSVVIEGVASLAGQQGSSAGAERWRKRAEKLV EQWGVSFGSKGKVPVLEHQGIKVYQGWFNGLLSSQSPIDPMVPYLRSPALSAISLLDG LEASVLPLACQALIETADRAGLPALKEEVLIIQGEEKQRREAVASEVIADVAPVLEGS GKSTAPQRQQSERREARFAIANLRQALSAIESTSIPVNRQRELEAASYQAARSELEEN AKRFRSGGDDSTLQRSKLQSWMYTWLGQLTAELESRITAMQAQVASLPPSQIEPATLK YSATARMKPQVLFMYLSLLPADKLALITILEIMRMSGSGGIVDGMKVLRGMVAVGKAV ETEFRAETIKNVAGVDSHHWLKSIDPQTQKPSRQLIGSVWKKIGEQVKQPKISEQAEG GSLQEVWTPSWSRMVQLGVGSELVDALLKVAKVEREAKDRHTGTILKEEQSAFTHSYE YVRGKKLGVIKLNPEVAARLARDDIGVVIHPKHLPMLVEPRRWTSHNEGGYLLHSVPV MRYKESLEQQKWLQAASEEGHLEPIFHGLDVLSSTSWRINRQVFDVVLASWNQGEAIA DIPASEDRVNYDFPEPLDPSNQDPQVRTKYVEKMKMVLAQQRKDHAERCKFNYNIEIA RSYLKDEFFLPHNMDFRGRAYPIPPHLSPVGDDLCRGLLTFGTRKPLGTTGLRWLQIH LANVYGYDKASFEERAQFAQAHHADIFDSADNPLGGNRWWLNAEDPWQCLATCFELTS ALRSPHPEAFESSLPVHQDGTCNGMQHYAALGGDVQGAKAVNLEAGDRPADIYTGVVD IVNRVIEEDKKSGHAVACLIDEALGRKVVKQTVMTTVYGVTFVGARDQIAKQLQARGD VSQDDIFAVSSYIAKTVLNCIGDLFSGAKSIMDWLATAARLISRSIPYERASDAASQL TTTSRSGKVVSRASKEFMSAVIWTTPLGLPVVQPYRKAQKKQIMTALQSVYISDPNAP AEVSPQKQATAFPPNFIHSLDATHMLLTALKCKQNNIAFASVHDSYWTHAATVEPMSE LIRGTFVELHSQDLVGKLRQEFLSRYGDYCIPVQSAQNISTSSTKRRVAAAKRQRAMS EMLPPSEMSDATDVGLSAESAFRNDIAEAEVDLITSSNEVTEAKAAESMGLSAGKLDE IAGLAVSGQEIPTMKMGGQAWVRFKDVLPPCPPRGIFQVQKVKESAYFFS L198_04158 MAAHDASSLRDLKNHIIGNTQNKIALAQDSRQLDRLLAVLHPAS EPALLKEAAAVVASVANAPSTTIPLALLNVPARMLLLTREIITQPRHLHTFREALPHI SRSLRNTIVSVADALWGDLWGAGPEGEIVSTGLETDNALQSVDDHRYSDILSSCLQHI LEPAHAHTLLSLLRHHDPQVSLPVYHILTRLSAFPSHRQRILSWTTSLCDSPFPALGT TPSVPDNDYPFRSLVAGHVISTIERHQKYGSSQSTDLKVKEASLELLAALVQGETKLA TLIRGHPGFASALHTDRNHVMEPTSNLFIQHLIALVHSSRRSMRLAALSCLISLIRSG PDGNVGSPEAHAVTQHLLADIAKLLLSDNLEERIKLNFLLAMLVADDPLAQASAFEAN LPPLILQRLCEVPSKLSDMPRNLYFRSLESSLLALCALSTAHDPARVMISEYRPCERS QERQYMLPQLKYWLRSHSYGVRAAACQLTRALSRTVALLRTDMVDEELGPDIIAVLRR ELIMGKSQRKNLMGEAAWIVEVSATAVLCNMVTDFSPFRSVLVQDGTLQLLVGLTKSE HQPLALNALWAIKNLMFHSDLMAKRDVISHFGHDWLLDLCSEKSPDSIRVQGLEIMQN ILAEECPSTVSRLANDLGGVGGLQTLLDLLEACITGKPAFDGQTTTSSLRVLSNLALG DATTRTELLDRAAVLQCLSMITESPDVKSQVSAITTFQHLIESSTRPHTPRDAVVVAL QSYQLVLRMRASAENSKKVDVVDKATAVLNLLGRER L198_04159 MLFRQLLPQKSLRSLPAPISTLSRTSTLPKLAFVQATRGFASAT DPYDLVVIGGGPGGYVAAIKASQLGLKTACIEKRGALGGTCLNVGCIPSKAMLNNSHI YHQTQHDLKKRGIDVTDVKLNLTQMLAAKDASVKALTGGIETYLFKKNGIDYIKGEGS FESAGVINVKLSEGGETQVNAKNVVIATGSEVTPFPGLEIDEERIVSSTGALDLKEVP KKMVVIGGGVIGLELGSVWSRLGAEVTVVEYMGAIGAGMDGEVGKQFQKILQKQGFKF KLNTKVVSGSREGDIVKLHVDAAKGGKEETIEADVVLVAIGRRPVTKGLNLEAIGVET DNKGRIIIDDEFNTSAKGVKCIGDVTFGPMLAHKAEEEGIAAVEIIKSGHGHVNYDAI PSVVYTHPEVAWVGKNEEELKAAGVQYKIGKFPFSANSRAKTNQDSDGFVKFIVEKET DQVLGVHIIGPNAGEMIASATLAVEYKASAEDIARTCHAHPTLSEAFKEAALGSYDKT INF L198_04160 MTIGILRQFHAANCAGHNLDEKQPCDDSTPPTNMAAIYRYTQRL AHESPVIFWSLLLGFAGPVAVLTVPPIRRSFGYQSPAPIPTSFPTPSRPRHIVKGYED LQ L198_04161 MGKGKNHDRKANPGFGKTKGKSSSGSSSEFTMKKVKGENFYRDA KSASKVKMLNGGKPVRDKDGVITEAAAFQKGEKDVLPGRVKPDRRWFGNTRVISQDAL DHFRTALKEQKADPYSVLLKRNKLPMGLLQDESSDAAKRPHIVETEPFGNTFGPKAQR KKPRLDIGSFAELGESSAAAEASAIAEARGNATADPADIYHPTTSTAREPIYAKGTSR RIWGELYKVLDSSDVVIHVLDARDPLGTRCKPVVEYLKKEKAHKHLVYVLNKVDLVPT WVTAKWVKHLSQSAPTIAFHASINNSFGKGSLIQLLRQFSVLHSDKKQISVGFIGYPN TGKSSIINTLKKKKVCTVAPIPGETKIWQYITLMRRIYLIDCPGIVPVSAKDSDTDTV LKGVVRVENLATPAEHIPALLERVRPEYLERTYGLEPVEGGWHGEEGSTLILTAIARK SGKLLKGGEPDQEAAAKMVLNDWIRGKIPFFVTPPTKEAEAAPAAGTDVAAATEAPQK ATEEEEEAERAMLVEQQRHLSKILGQKRVKGVEQPLKKIVTMSKFLGEDARKYSEIFE EDEEEAGDEMAMGDDDSDAAEQVEDDDEEEEGSEEEVDDGEVGWDDVFSDAEGPEAGT TGEDGEAVSGDSIAQINKRKAAASDSGSETDAAPKKRMTTNKRKAANFYTTANVKNRN RDRKVPKTVGGGKKRGRGDEEPTGKKAKKRR L198_04162 MSAALRRLPGPSRAFASQAPTHIPVIRTLPQLRRWRRAARERGL DVGVVPTMGALHEGHIDLVRTSLSQNPLTVITVFVNPMQFAPTEDFSAYPRQLERDLS TLNSLLPSPGTLDNESPLVVFAPSPDVMYPLKGELQDLDAHKGVEVDVSGWGELMEGA SRPQFFKGVATVCTKLFNAVEPDHAYFGQKDIQQALLLKILVNDLLLSHPTPENLHIL PTTRSPSGLALSSRNAYLSPSELSAAPILFSALSEGQASYEEAQRAKNNELTGEDIIS AATGILLAEQSRLLQASSVSKEAGVETRLDYVELFDRTTFEPVRGPVGDKEMVLAGAL WVGKTRLIDNVLLGWKCS L198_04163 MPPFLPTLGDLRDLTTTINPYSLFTPEQHTDTPPQGPPAPSRSS SGSAPSRSSLGPDGRRKSSGTSVMIAEPEVTATSVMIAEPEVTAISRGRRRRTQSTKA ASGGFQDAFADRRGRKQPLDTYIIVKPPPAAAKNPLNLQIQLVVKRNRPRGVSTSFDY SSAVPSTPGKERDGDLSDNSPVPTTPTSSQFGPPSSPASAISPSSEAGGVSLKRSQSV KSSMSTATSSTTSGGSGRRIEPMFNLAVHSVVHPTVVTDAATDVKVAKFLKRGVDVVG VGIVEPAEIFSSSSDPTLCPVTSHTTSDAERSEVPRRRPLSVASYNSANLLSDDGASK SGIRASLDMKGFKFDSLRVKEGGPGGENGAKKLFGKIFRKKKNAGDDEGASSTAMRRS ASVQSADVGASASETMPHPASKTNNQTYAGYSDSHLVPSTTLANPTFGTSPSVIVRPQ AGAARSPCDRNFLRPELPDKPPTSPTLGPSNFRPSGSRPIGYTWTVRRWARRNSEGWS AHLVAAASAGLEMVGALDLADEHEVQFEWIKGSPNDREDEGDFTKSRGLSALGRARGI STDSRASATPSFINSDRPRSFSPSSVTPNELSGASNPPSPFSSRPHSPAFDSRPDPLR RVSHAASAASSEASRQPSISFEDTSSINGGGGDTTADEDATSEDPEDSEGPWSCWVWV KGTGQRQLLGTLVRAPHHPKVIGVLKIPVSLDAVSLTDVKRQPASSGDGAADGELGTA VKKVKESVALTEENLKDMVCVTGLWLVAREDFGGLARTGKRKAGRRQ L198_04164 MSILSFVQAWQSPLALALLLVLPKLVRLVTQRPTQPAAISPPLS DTAKFLLAAHTILYLKSLVFPPYDIFVHHGLPILASNKGLRASVVPEGVDEETLSPLL ELLLTRLKLLDNRMLYARLGHQPLSECLWCTQPADYFLFALPGMLRPYIITGLFVGAV SWLCSSDARRKEWRATVAWALVGGFVGEVGVKWGWDLAVADGDCAHLATTIHTLRALY LLALPLVYAFVPLSSSPSPSPQTILGQLKSLQQLSQFGSLTRLSILQSPVLLPGYAGA WGRQGATAERARRDRGVAVSVSKAGLEEGRLRSEGRSMAGRTWERLVQ L198_04165 MPSIIPTIDLAHDSPARQAELIKLALGNVGFFRVVNAGPARQDV EKMFEYSPSSASPWPPKRHTSPDVQVPATPSSCPKLWARVNVITKSKTFSYGKYCADT TQQMPPPFQDSTPGGQEAHQTIKRFYKDCHQVSELLLELFALALQLERTHFKQSHSFG LNTAMSLIHYPALNEAEAKALSTIDIRAGEHKDWGSMTLLFQQPRGQPGLQVHLPSSL IRPDDPQASPESYEWYPAPIPEAHQDEHAGFLVNVGLGMELWSKGAYKATMHRVIFPG EEAGSESVQDRHTIGFFVQPDDHVAFQPILPGGKVDTSTKAITSGELFDMKLQESMER FQKHFAFSGGDEKVKKDVQVENAVVV L198_04166 MATQFPPPKRQRSAYAQSKDPKIEVVQKDIPSVVVQFKSAEDGS SLGPAINLPADTARDALQMLVNKLRGEDEDPLPYAFHLVPKVPSTSTAPTSARVQINN SILADALESKGSTFSSEDIFELWCEPQAVFRVRSVGRCTATLTGHASPILCCAHSPTG RYAATGSGDATGRIWDMETETPKWTLSGHKGWVLVVEWDSREKIVATGGHDGQVRLWE AATGQAYGAPLLGHTKWITALAFEPLHLVSPKAAGPRLASASKDGTVRIWNTGTRKLE FVLTGHAASVNCVRWGGENVIYTGSSDRTVKVWSGVDGKLIRTLNEHAHWVNTMALST DFVLRTGPFDHTSKKPQSDDEARARALERYKDVTSTHPETLITGSDDHTLYLWPDQAS SSFASTATPKKPVARLTGHQKQVNHVAFSPDGRMIASAGFDNAVKLWEGRTGKFVASL RGHVAAVYRVAWSADSRMLVSASKDTTLKLWNLKTYKIRIDLPGHTDEVYCVDFVADK VVSGGRDKTVKM L198_04167 MDPIHHSQDDQEHQGGQQTDMQRELANQVAAQAIEAAVAAQSEA GAEADAGVGMAQELAGGREDEASDIHMRDTSHPPQHHPRQPTFPRSRHSFATLSNSES PVAGPSAIGRHPVRGDHAATSAAQGSHSVLSANDQIAILRESYARNPNPGKQELERLA ARTERPWNKIREYFRQRRNKLRGLEALETMIEPGRAQGWLLITYRSASPTSYVPQLNL YSAYKHRFDPYSITTPLLGGQDLIQLACATFPGCEMARDEGEYVLKGLAKKVKEGDHR DDGSHDPDGVDKTGHKYAEGVDPEDWEKGMEGLVEPLRAGSWLLSSFQSHASGTGSPI TQTDLYTSYAARFSSLLSSAPNLQPGEERCKDEARSINQAESLMAFQEAGLGDTRGED GESVPVEDDDIPSLDEDQHLDAPPMDTTHFTASASSPDSALPGIPKENRLLTPLELIN LTRMTFPTCEPLVDENGRFIVKGLERREGYEPGKKRREGEMYPFALMRETEGETRVGE EFVRAIKRKLASLQDGPDLALGAKIEEGRAKKRREEPLTEEDREMLEGLKRFKSSRLG QEVHDACISQ L198_04168 MDSPLLPTLSHPKYDPSEDASLSLADISLGEDGFSPLPPPRQLH SADLGKQRNNNDRTEGGKKESGAEFNLPDKSNSEDKPSAWGGAGKPRFSLFAPPQDYD QSLTKPSHEDTQDQRQQSQEEGQEGESIQGKPDAVQERDAERVQSQAQSRTGGASTDS DRDKCLRESLYELRKFNEVFDGFIGALDGVKGHNERLAERVQQTSALLDEYTAILGQS EHTKRLLSNPRWTGASDDQSAIAAEQAAAALAEYRAQQEEAARIEALRQEEEDRQRRA EQREQEKGKAEVLAARRGVSRGRGRIGMGAGRGGAARGTGIPRPSSLPRPSSASDSAP GSRRGVPSTTASGTVGNYTHVKSSGYGPRRT L198_04169 MLLRELQGDPPHATSDLEDAQESQSRPSSHILELEGQGSGSTTR GSLSRRSPTHILDDGSDDDDGGNPPQSLIFGDQGVQRARDHRDLSRATEVTDTRKGGP MPLSEAYSDSRDHPLPSSSSKQHLARPRSTGPFVEASGSSRSTSIGEGAEPLSICASG SDVKASSMGVPHIGLPASGRETQRPLFREVTLPQPSSPQRPSWPGSPSQRVNGYLDPN IPPPLTSKSKGKGKERVRNKGGRRYHSVGTEDLEDGNRRKTPKSGLDEYEKALWKWVN VDDLDGFLQEVYEYYKGKGIYCIALSRVLNLLTTFFVIAFSTFLISCIDYSKLASGDD TVNRLDDVLVGQCLRRGSFTHMLFIVILSAFFIFQAVSFALSLPRLLEMYRFYTHLLR IPDADIQTLPWPEIVRLVGEIRKHNPITSISNGQASALADMIGDNTTGAVKKLDAHDV ANRILRQENYLIALFNKDLLDLKVRIPYLSFGANHLTKALEWNLRFCLLGYLFDWRGQ VRKDFVREKRRQDLVQGLRRRLVFMGILNGLFAPFIIVYLLMYSFFRYFEEYHKNPSS IGSRQYTPYAQWKFREFNELPHLFERRLDRSYVTAKEYVDQFPKEKTALVMRFVAFVA GSFAAVLLAATLLNPDLFLHFEITPRRTVLFFLGVFGSVLAVARSMVPEENMVFDPEA SLKEVVKWTHYLPEEWRGRLHSEKAKFGKLFALKITIFFDELLSVILTPFILFFSLPP CASEIIDFFREFTVHVDGVGYVCSFAVFDFSRQGNVDLEAEDLHRPERGADRMGHQAQ NTIPSAPLSPRLSNRGLGNRTRDRSSNEIKMEKSFLHFRATHPDWQPSDPNSSVFLDK LAHIRNRHLSPGAVAPMGAGPGGSFYAGGRGLGIDGSVMGDMNEAQLRAKSQAYERAW TRSSNLHQQTVLSPSNVRGRAIEEGDEGGDSIEGWTQGHQALNGSVEAEEGASPWRDE GVVGMLQQVLGR L198_04170 MPEATSSIPATSRRQQVPEEDATKLQFGEFADGEALTLTEVATL LVYARSVAGVPPVPDNKVYQSTSEYVNEFSSTSMEVSDAMRKYVRPPNSSIGICADVN LCSALTANIGFLNKFEIAQIMSLRPEKVEVAVALIPSLERYAQGDESEDQLQSLLDEV RGIVRYGVQP L198_04171 MASPLLATASAHLKSKGIGVNVVYDQSLPVPESGMLGMGEEKYQ ARLHWADGRIRVWGRFSMATLSSADLEKELAYTPSIAAEGWPLLATSAKRRPKEILIC THGARDCRCHDKGMPLLEALREEVRKKGLENEVKIGEVAHVGGHKYAANAILLPTMDM LSNLTAKDAPQVLSQLLTLTANALSPDKGNGTHLWSHWRGRYGLTGDQQAKLWSMVDP NAEKVQDQRETVQLRFRTFEGEERLVQGKVGENLLEVGKENDLPSLEGVCGGNLECAT CHLYITAIPAAPVPDPSETEMDMMGYAIGYKEGESRLGCQVKVTAGLGEWCKNGGVIG LPRF L198_04172 MSDLPLPQLYAQTLTTLDPIFSDAVSLSAASTQSTLADALEKLH IIQRSLNLLGVYSDNEGWEELSEGALVFMTIGWVVGGCEEKRTGERKERVESLKRSED ACQQFVEVLTSYGIITPEEKAGFNLDGRTPSDPASRRDAKIQQYRKEKDMKERISAKV KRSGGSSHISFILALLPAPPRQSSGTSAFSLPDQGPEHEQDRHLILDVLRLLSVATYS ALSSIKMELDILSSAHLEEIPSEPPRDPRQSGRGEEDTTWRLDALPGQNDPANLIAPG GKVLKPFTILPSASRETDRQKLKGEVFRQGWRLPTMTIDQYLQIEQERGGIISGGGQA SYDAPTESETLELEAEMDGTVYAAEQAEKKRLKDENWAAYTDENQKGAGNTTNKG L198_04173 MSAEKEADSSSSAPSTIDDHRQRLQDEIAAMTEEEYLAADKKLV AKCDRTLVPFMWYVAICYIPHVVLDEGLPAAYSQRDLLFTMSFLDRINIGTARLAGLN TDLGLTSLQYNTASMIFFVSYVAFEVPSNLVLKRFRPSRWIPLIMIVWSFFQIFMGFV TNYHQLLAMRFCLGVAESGLFPGISFFLAGWYKRREIAKRISLFFAGAVLAGAFGGIF GYALSRMDGIGGKAGWSWIFIIEGLMSFVVGIAAIFMVHDWPDRARFLTPLEREMVFL RLKDDVGIMQEGQFSWKVIRRAITDWKTICFMFMYIGCAEPIYSQSLFSPTIISSLGT YTTPQSLLLSTPPYVLTFITTMVTAYFSDRTGLRGFFLMFWSGIAAIGYLLFLTIPHT HPGALYFAVFLSTCAIGPCIAGVISWSAGTFGNHYKRATSMGFIFSLGNSGGIISSQV YRSQDTPRYLVGHGVTFGFTVICFISSVIMYFGLRRENRRREKLYGPPPAPGNHHEWD SEEGKTKWGLEGLSREEVVDLGDDHPAHRFIL L198_04174 MHPAPDAVLHLAALHALAAAGFASTSRAASTTLSALMARYLRTV AAACVERANLAGRGKASALDVAEALEDLGIHVGELSEWAQGQRQVVLESDGLSGLEDH LRDGLLIDEGMGEMRLVAAEEMPDESDQDEAMESDDGVKSEPGERELFLRSRSPDLSW LPPLPDGDAPVPSTQDITAPPPPVQLESAPKSIADRYRRPIPYASSQLSQAYPFVPPP PPADPPTLPQPTTSLPSLVTTYGAIAADPSISLRQNDLRRQAAEILRRSIAPVDEFTP KDTLSTHLAPIRASPIVPSHSDLLPPKLIPVNPSSDGLLSSLVQNMSSPYLPPALRDR LTSLRPPVAQMRNDEAVLYGSAVRGPDDMVLAKTLGKQEQHPEAYLRRTWNPGPRGAD KFGRRALPMGKKVVWGQEGESVPRRQATGMEEERKRDQNGVAAPAPEAGPSDTAEEDG VVSQNAAGPGPLKIKIDSSPVATSPVAMSGIDNGDQSFQAHDVARLDGDMTNGSGPHL DTTGQGQDVEMQ L198_04175 MSDWIPSSDPEFSMQCSALRKNGHVVIKGRPCKIIDMSTSKTGK HGHAKVHLVATDIFTGKKLEDISPSTHNMDVPNVTRREYQLLDIQDEFLNLMDADGNS KDDVKVPDTEIGQQIAADFEAGKDLMVTIIAAMDEELAISYKEAPAS L198_04176 MIADVVRSKIAKCRDKTTKCIGPKVERYNTSRRPLAIRPSSPPP CPPLLSHPPPPLVYPILYTDAASVSTLIGETSAKFFAYSVTAQDLEDYLASRLSESKI KEEIQNEKNTFLVGALPSEGKEEEIKAVVHLVPDSFEPCLTLSRPIEFQRLYVHPSEH GSGLSYSLVAAAEQASRALGAESIWLGV L198_04177 MDRNFDETFDEDDSLFSVSGPSNNPRPLYSTPPAPAGHGSRYAQ HLTPPGPGVFISGRYPPVLSSPIVEGDSSMAYYPNTSGLQPGIDRSWARNHGSTGQDE EDEDEEEPSTAMTGIAAAGDETFDEEEAGDDEAGSDGSSEQYDPEGDPETFAKRLDEL AGVLERGEVEAGELKWGPVITRGAGKMSKTSNSDLKAVINHHLSTTAWQYSSSALTPF PDPNHFDTFDSLTAHVDFVGAHPIRVLGQNWSDRDVLFEMGLLGLDAGGGGSSAPERS P L198_04178 MPKADTDPSKEGPVKAACLSCRQKKQKCDGKLPICTQCTNKHTE CQYVKSRRGGARPRRKQPPKPLNDYLQRLDNLVFAPALHDFKHVVIPDTEASQDRVLH YGTPRLITLRPPSSLEKHYQEIHPYIPVMPPRKYLSQLESALLPQSPFLLAAQTILAL VPHPNDPDPSSPISKQLREGASAAYSQQCLQLIDAMAASGQQHIECVQALIMLGVWEW SSSGSVERSRARHKQAIDMAFVLRLHETDRESYGYVAPDDFSWEKDRARRTWWGLYNS QIIASVVTGNIPLLNLDEEYYVHFPIVDSQVFSWAIYNGILRKCAKIFTVICSLYMPE LSPTGVSPENSEALKQKLVKSEAELRNGLQQAELTEMVECRSGEEEEALRNLQLSARL ALAVILIHIHRHQAFPEVSIFSRQVCGLPSFNDTPAATQEKPAAMPGTWPGAMDTSYS DQDQMQTGFTSGSVYDPEVSHAHISPYHSPPWSAGSLAQTTLFMPLDQTPSFGPSPPP LVGTGERSVSGASVASSVLPSPLQQHESPRPPQLDIFPPGTSLVQCATAAQTIVRLET YHRETMKALWNGQSPKWMPYCACGLVTGAYAFLLLALAAQAESEFSDEQNAQVQGLLE HVKTLLAGLDYYGIMWSGIKAMADDVRASLEAAQGLCASMEAEDINDPMAISLQ L198_04179 MDLYHASDGGLFRTPTPLQDYPNIDALYQHVAAATAIPDHHILL FLEDGRELQGSVLHQLQNEPVTRAERLPLKVYLYNRDTFWSDPEAWAAQFQEELQLPP HIDLSSLQGIQHPFLIAHDHLSHLQSLFDAQSSALYIAYTNLSQHLQPVVNEFQSFAS RVETSFKSEEELIKSSKLDMALLPKLAINPVMLKKREGEEWKERTMGDYVNGKKMEQV RESCRVLHVESVERFNSIADNLDELVEQSERESEAYDTRAAQVRNEFSDGLARLEVAL GQLAQLIDSGASDVEEGNTDFVELDQAMREDLTALTSLKNEFTLDVHVHLRQVASLQS QTHDMLSPIRKLDADLSTQKEKSAFPHLQRLHQIPFAYATAVAEMIKRRDFGQMLGDW TKRLKGTLETFVQVEHKRRQMVQGEWLSQLPFSIPGLGENQPPRVDITVITGSEGISN VTLGYEEIEKLVAWLESIQDDPDVRAVMQEGDEERLKDMQIGIESLVSRLDTAGMELD RAVERGVLHPKSLPQSRSTSTSRTTLHLSSQLRATTKERDDTIQLLDTQNSLVESLQT RQAELQDELVRLRSDLEEEGLARQALSQELEEREKEKEDRSKEDEDLIKGLQAEMAQE KDRATDLGVRLQEALLDVDGLRNGERTLQAQLHELQEERSNALQDQQAAQAVIANLES EVAGLRAELGTTVNQLEQAREYRETALKHQMAEAERLLRDQIAEADGDRAVLDQQTLT LTKELEDLKVDTQRQMSAAENNSIRQIDGLKAELSLTKAQLKDNQQRELALKDELAVA KDSLTASVQDKSKLGDQTREAVALAGRYYEACQRLFDAINSSTTISGTAAQGRSRSPT FHQSGSLPAAKSHFETSYETKTVPGSDQLEASSTSAASFATSPASSFDIQAFADAVTK TIGMAKKWSKSCRQYRDQAKNKISFTNFSKGDLALFLPTRNTATKSWAAFNISAPHNF LKLNAALLEQIRTREWIIARIIKIEEAVATGGESLNTNPFGLADGLRYYTHTVEEYNP HAARPSRRTPSASFGGSQIMTSPQRMLSEGASTVGLGVMTPLKVQSGITAGMPGVGRG RTQSGYFPPMKSLDEQALGSGSEELGEKEAADKAGLSFSTSSLRGSTSPSPAKRGSPL PFGRPLSPLADGSLSPKAGPITVPASATRFTTSAHSPAQSNFPSRASRTSLTTFITAR ATSPPSQAESQQHLSGLNLGNDRVSGRPASVTSTASSYPKGLGIGIPGGKTAPSMAVT TSHEGEDAASSRSPGRSKSPSVLPEASTSPGHKSRRNRPSSAQSKRASFSLLGTAPDI GTGPSGGPVGAESGNGKPSDGRKGSVSAIEMLRRIDKGSGAI L198_04180 MAQDDEQVPCVDPDLSITHNAGLVLAKHAYMRQMDVMWQWPNSL NPWHAGAVNSFLSNTALFDKGHPLHPGQYGLTLYLGYDKTNRPRLLFTLKQVEYIQYC IHALRLSNPSWVEYYSKRAELEQDGSEKAMQELEELEEPSYELGQDDWVPIPDMVLPA EWFIKVEEITIPSFFHLTKINKQLDKDSKIAERHERNELPLPDFDPKRFDPILALDVP PTTEEEEIKKKEQRAVQKEQEKQARREKMERDKLAKQGTPAGDATPKAPPSAPEEARA EEKSEAKAADVKPKEDDSQNPAWIKAAEKAATKVLQDFGFGSNTKSDDDSDDGASRDA SLDSGDEGEDDEPQKTLTDDQLAERYHFVASQALIIAAEGGLRNALAEVEAEVTPENE QPKEVGRSSFLALAVTRWDQDSKVVLEVGWSAMYWQEVIQPGSQKEGNAKPVFEEIRD QGHYIVEDHRLDKKNTTYPDYRENYLFGESLQVPKAKLKSTIRNKLVEVNTKGGKGSI YVLVHAPKGVDFDFKDIGPSFSTERGDFGDLRPDTGNLPPYMDATNDGADYLYRTLPL FSEVLKEQLPPAHLPVTLPSSTRPLLTSLGRTEKSLQHVAMLLFGDDEHRKPQFCGNA GNDAFYTLQIFLALMTGNTLDKFREVYEANPVQPDFFSSMHDPTAAEEPLELIIPGPT RDQVQDSEKWENDEVEGQSIEEWIANQEDGFQVIMDPNHVEEEELRGVSFFCEDDEGN EVAVGWEG L198_04181 MSADSVPIKTLLLTVFNSIVEVFLLCVAGYILARSGVTDKATQR KLNVINVSLFTPALLFSKVAYSLTPSKLKELWIIPLGFVIVTGVSAGVAWSLAKTFKL SKSQRAFAVCASMFQNSNSLPIALIQSLVVEVPGLKWDEDDNKNQMLGRALTYLVLYS TLGMMLRWSWGVKLLSGADDETQVEPGETGLTGNGDLVQSPGQMGNSREEESDLFFSP HDGETPTRHESSMGVTPTTVRHPTSPTLPFTAPGSAHSMHPSPVRRASATGTERSHSV LSATRGLRAPTRTDSGREFWGLPEAPRERAFDLINEVDSSDEEDEEWGSDSQPSLRLR RQPPQTAFQKFKAKGEVVAQKVNAFMTVPMYAALLSIFIAMVQPLQAKMAEFTPLEQA IKGAGQCSIPVTLVVLGAFFYTPPATPTIPISLPPDEHDPPAKRGNFFERKFRAIAGY SPIGNGNAEPKPKAYPGENRAVFVAVVSRMVITPLAMMPFLAVMAKYDLFEAAEDPVF VLSAVLLVSSPPALTLAQITQAASGDAFERLISKTISWSYAVLTPPLTLVYVVIGLIF GRM L198_04182 MPPKRPPPLPKSLFLGTGPLAPGSATLPPSPTTIHPSFIIDSHS FVTSHEPTPDPIYHGLKAEYPRPPVKHAVQVKMNVSAEPAQSVLGVKPFSIHPTILNL ALATPPSVTNIAKGAVDIIVPSTVPLTEKDWDLLDEAVDALDGCWGHKEEGKEPRGRI VISGLLLPPLTTPSSFLIHSEAYNLHLSRLASLSLHSNVYLKALPPVVDAALLKKDGS PAWWTNRPELESVMRMYIAHAIETFGTHRIIFGSSSALPLYDLERVSHTVTELEQPIS NGEWYSVLRKCVTELGEGLEETTGIFGENAKAVYDFSA L198_04183 MDSQQLPSYSPPLTKPSPSPCPKAVRSTRHISSDLLSSITLERI LKEETKSPVTKKDLLRWLECRVRKAISSGDQEEAREAEFGWRVVEFLSEVEMYKESFN ALPAEKASLALPPFQVISQIALTNSEIMLPPPTRRQQGAASLGQDHEFNTFKSPNVGH ERDKSSIESFETWSSDSSIPRKRLERSPSDATTIEDTPSLEPVTLESNRPELTSPRRV LPKAHNPRSPSAASASSTLGISGLSMATSYATGSGRSTAGGLARQAFTAGGLSNPSSY QREMDWDRRDTMAPQAQDPLAPPPPFESTGLGSFRNGLSPRRPTENMLSRPLSPIPSP FLSPRPLSPCPSIVSMELPAGLDPGVQPLRQRLANLTELYLLPYSSRSVVPLIPPRLL ATFVSESSLTTHPETIGPTVTVLYEMFSVNPRLLPAFLYASAQNLHRNTAMGRLLVGL ACCVVVIAIGICLILDPSPFSPHGPVNRVWRLAVIPLLLGGVGYGMGARASLCFWLAF FGVTEETYSPSHPPLPPSQALNLLSSAFICHLPCAFVRKTQRKIEPVAERITSRKLKK RSVSTLEDGNPFDPGDAAVAGFGLDMVSMSRSRSGSNPTPATHLLASSGSTGFEQYSI WVLLWRLTGTAWGVKKVEDPSLRKYQRREAWKIAGQLVLALVFIGAAFFFVPYIPLSH K L198_04184 MRNRRPQQRAALALVPLFILLGLLAVVQGAGAVEHPGPGRLERR THVQERRQWSEGRKRQLGLSLDVDGITSATSKVSERGLLDDLFGGATSTVTSSEINST SSSSSAQSSSSSSSAVTTSISSSASSTAASSSAAFPVFRRAIYEHNLVRTIYKLNLKF KLKSGLIEGEMTNGRRRLGKRQDGTAAADGTSAAVEGQATSAAVEEQPPSATAEGQAT SAALETSQAATSEALPDTAVSTNVAAVTSAGEPDPSAWDAGTASIADPATSTTPEAAN LATSQETWDTIDTMAPATSAISEATTLGETPATSQNQNTAWSGESLSSTAADSALTPT SIDDTSVDPAASPTSTLLTSVGEDSASSSIWSAAESSEISPLVGPTSSAWVSPSAVWT DQAPSDSTSLSNSISDPESDTLSTSAISTDPLAGSSSITASSWGASVQGDTASVSAQS TAWSDATLPTSSSEDSTLASTLPGESVSTSISLQSTLASQDTASTEVAASELDSTSTS DTWSMSSIATDVSAQSSVNGVPSSVTTSSYGVSTDTGLPTTSVDPSLSETRTLSESVT PSSLLDETSSGLPDASLTSPVAGDATLSESLTSSTYNDLAATSTNLQDLYSDSLASTS APPGSTTALSRTLGQSSTSSLLSAESASAEGISGSAALSTLDSLSATGTSLTGLTSLF NSASGDSALANSISIGSWMTVTGSDGQLISPTGTLVESSSASLDVLSSVQVTSGGSTS TPSDINTEIGQGLSATGLQSQTMTGAIVTESNSAIPSVSSSSIWSVSDATLPTASFVS STRSSASVQPTDSDGQITSSIGIQESNYATLDASSTLPLTIGELTSTLSAPITENGEG LNATGPQSQTMASEVNTWSNSAISSVPSSSAWSASDAALPTESFISDAQSSASAVLPT TQNTLSPATMSSSTISGSESPGYLSVSTDLSGVPASSLISSVLSPVQSEPATGLSDTA GSSFINPSSFTSVGPASSDASLPSGTAGGSGASAFPPDTATFSSAFADTLSMTSEIAS SSSSVPVEGVLSDSLTQYQQFVPSSTFLSSSVLISNTFSYSETAIVPSETSADILPPS FPGTVDSTSTISTGEATSSSATATSSSGLGDALGSLLGFSSSTESSSTVEPSTSTTEA APSPTETAASTFSEPSAYDGATTASTEASSQSATSDEPSVSTEASSSLEPSASSTPGD LLSSIFGVSSSDPVTASATSSSTSAQISSASSAGTTSEAEASSELWTNSVTASETVSS SEAPSNSGSPSALYVTDSLTGTSSVSTTSSAGDLWSSILGVSQSQSASSDATSSAGPT SVPTSASSSAIESWSSNTSSSDSATVSASESSSPSFTTSANASSSTPVAGNEGPTANT SVSASETPSETSNVSSSDTATGSANVSSTYRASSSAQTTASTSESEAYGEGTSTSRGT SSSLNATASSSDGSGMINMTAISSAPATTGSQVVTYDPSSSSSNEWSQSSTSAYNHTS ATSSTDQGYTPTQTWLIVASSQTSAEASSSYSAPTTTSSETGYTKSSSKASGTSSASA SVATIPSSMPTLIVPASSVANNADAGTGSDSDPIKDDTLIAILLASSQYPWWFVVDSS DATSQLFNTFPTLISNALEIDSSEVSTYGLQVYQPASWDGEETSLLTQYIAYIPTEYF DTLNAYITTASSPLYNQSGIEGMLAAQINTAFPLAASSDTAPTTSSSSNDSSSSKRKR NIIIGVCVGVGGALWLALVYWVYKRVKRNNDKAVHKRMSEHVSMFGDHRTMSQVYHGG AAATGATATATAWGGDRRVSRAPSIAASDIDDRPSSFYASPMDNDRPMREQQRQYGSE DDHSNPFGDGSYDGSHLSAESPSHYGQSVFRGSWFQDPHGSHEYQPQTQSPMRSRLSQ NPFEDMVTRSYIGNSGSLPGSSAAAKRRSAAGKPVNKALISQPTLQGNSLEFREYGTA Q L198_04185 MVVLAASVCTRSGKPLLSRQFRPIPRGRIDSLLASFPKLIPANS QHTTVETNDVRFVYQPFEELYVLLITNKGSNILQDIGTLSLIVRLISSLTPAMTEPAI LHHSFDLLCAFDEVVSLGYKENVSLLQVRNVLEGESHEEKIQEIIARNKEAEAKEELK RRAKQLEMQRREQQRRAQGGGSAGGFGNGAGNGYSSVPRYEQPAAAQEYRAPSPAVNA PSKPAFKGSGMKLGKKGKQTDLMNALGGEGQGDYE L198_04186 MSDPKSFEYVIEHMEEDDSKTHALPPWVTLEYAHMLSCVGPQST VHFTSLSSTSIPPLQQSLASSSVSQKAKPTTQPILDLLPSLAPPIDKSRVCLLDPRAE KVISPEDGDLFDVFLYGGILGDDPPRDRTGELRKLGFEGRHLGEKQMTTDTAVKVTKI VVEDKVPLDEIPFTDFPTIQFTKVESIEMPFRYVKDEQGQPILPPGMKEHLKADLDRT IDDF L198_04187 MSVPKYSAYSRIGSGPSFEVYLDPLCPFSAKIARSLDENVVPQI TKGGKYEGKLSVVIRLYPQPFHYYSALVIEAIIVVANKYPNLFWPYLLAVFDKQKEFF NRPASGTTPAHARDQLVEFAVEEVISANDPDAAKGPKSKLFGELRDALEIKESENGGT EGTEGLKYVNKLGRQNGINVTPTVLWDGLIDNNVSSSWGKEDWNKFIAEKTA L198_04188 MLAHIPPEILSHIAFHLALASPDTLLATPNVPLLQTCRAVADTL APSRNYRLYARIYRACFDTDAAERRMGSGYDAGPAGARYKKLTVDGGKKIQAQGMVAE LQKRLGSLARLRRMVQQGDVRDVVDSDLWVLYFMLIENDGKNIDLLFGPTALVDLERF LELYHEQHLLEAAVAPGYPEETVGRSLAMWMIWFVAGSGPSDETEEQREERQFVLRPY VFAAPRYDAYFAPWTLPSLPISPESAALLSEDLGGSNPYVADLVPRSRLEVIQAYGRD VRICAPHISHAAIARFFYRRLGEEIEESKTNVVVAPFMSLLGEASRTASTSTTPAGPT PAGPTPTGPSPASTAPQTPLLAPFGAPSTRMPLLTSSAAHDAEFYRLSLCYDPTRTPG MPRPAWRGTFEGCWEGTFSFFDFEAFKEMLSGQARALYEGPYGEQAQVWKIKETWVRR EGWVRKEAVDKGKGKAKAKGEEREVEEPQSPNTAVSGPMLNAGFPSDQVSPTFPSLAP FAAEQVTVQETIQQQLEAMNGYEQVPEHELDEMMGADDGGEEADLELLLTGVGHSAWG NFILKGRVRAWDGMASLVKEYAPDSRGKWIYRGYVMAGSIFVGRWRDTYTPESYVGYE GTFILNRR L198_04189 MLHQEQPHRQRSRERHRHHHHHHRPRRSAELPVQSSRVEPALGH NASVTDEGLQAEGSMNVHVRVHDREAFWHEIDQIVTIPDSPSLEQLDNTLRMFINFCA AYHDKYLPGVVEIHHALAILLESELFVYYTERMVGIMMSDAQENTNPHDLYILYYLIL FYGQRHPSLFRSHRRWKKLLPTLGEVVGLDIDEVSGTPSTVHRTDRPGLQSFILGLPP IEIRLRLPATYLMYEVARVQKLTPLELSTCDDQFIDHLFDLVETTRDQQDEHLNYAVI RLIVALNEQFMVASLPSNHGSEDAKKNNRVLVVLMRRLGSSKTFGENMIFMLNRAENT PDDLCMQLLILKMIYLLFTTPGTREYFFTNDLRVLLDVFIRELVDLPEECEALRHTYL RVLYPLLNHTQLRSDLYKRPQIKLVLKSLIANAHLKEIDPTTRRLVERCLEEPRKLER SYSAENVRTATRQDSAASIISLHAISTALPDGRSSTRAATQSSYPPLSVHPTKPTAST SIYTSRDPIRQSSLNDVSSSLSVSGRERPSSAASGYSSADPGATPPRRRRAPAPPAKK PERHDSSTSWTSFESDETGGMSDGSGMVMSPISAGEGDLVTEGRKGVPPPIIEVHPAP SQPPTGWITFST L198_04190 MASSRAEILNDGGLRQDARRPYELRSTSFQLSPHTSSDGSATAQ QGLTTVVVSVFGPREPKNRGLASHDRAVVSVEVGTVPWAAGGGARRTRGDKRLLEIGA AIRQTFEPVILTHLYPRSEISIHVQVLAADGGILPTAINATTLALIDAGISLLDYVTS ISIGLHLLQPLLDLSQPEESDLPALVIASLPSSGKITLAQMETRLHVDRFEEMVTLGV EACGVLKEEMEAVVKKRTEKVVERMDVRVVGEATGGIAIDD L198_04191 MLEHGYMALTLLQLDPELDSDAYAGPVINRDSFDLNAYRRELSG RNIPSFPSHKPLDASKPGHYRTTETSFNNADTPESFLRRFYAELSPATSLNHVVDEKD HHNQISVAGSMKWMGIRDEHWRYHGRSSHIDLVATLSGLQRNTAGRHKEFVAEVIKTK RPEFWEVPEWEVVVAQEGVQSVDLGPWPDEGLSQSIINAYFRHINIHLPLLDKKDFQN QYDTGKWRVNKGFAKLCLLVFACGSRFVDDARVLWPVEHNKPVGLPHHSSQYTQRQSA GWGYFLSFLRTGKSIAEGPSLSEIQCQVLTCQFLFGAALPHYVWTLAGSGLRSTQELG IHMRATLHQADPVQREMFTRAFWCLYHLDRLNSSIIGRTVAIQDSDFDLYYPSDVSGS TSGESSEVSVFVQLIKLDHVLGAALQTIYAQRASLRSKAMLQVSVDQLNNALNAWSTH LPETLRWSPTIPDYVLFQQVSTLSLQYFYCRISANRPLMEPSAYAKADPSSAFALSLD ASRRILDIVNSCLARSRQEPFQAGPVLDISTALPIWQAAIIVLIDVYSSSRQTTKQRD ASLQLVRVAFDANQELEGQWKMAGKFNDMLSVLGDTEVLPSLEGGESAQNQLSNSRDH PAGRQAPFQSFANNAASTSSTRSTLANDAVPIPYAHSRNPSHTPPPRGGQFSGFLPQQ FPIDPVLASQDEQHAALEHEPLGWLLAMSNPGAQGNGEIAGVNGAFGDGDVWAQLFDG GSFFCEC L198_04192 MAETSSYLTWTNVLIGLLFIIFDSVLSLFLGLGISSSLLVAALR CIVQLTIMSTVLGKVFASNNVWGVFGIAVLLNLMAATEATYNKSKRRFTNMFPLILAA MLCGTIPVSVLGTQYAMAQHPFWQPDQYIPIIGMILGNAISSLGIALNTTHKEFSENK DKVESFLALGASRFEACKPIAVSALKLALLPTVNQLSVIGLISIPGMMTGAIVGGKSV EQAARLQMIIMFMISASSALCTLIALFFSLSTLVDGCVRIRSDRLTSEAPLLYRWRNE AGAKMWKVVARVGGAVTGKKRESGTEEERRGLLDGQQG L198_04193 MAPIEPLSPLNPISPWPSIPPAPDDSQTPLVEESLPNPTEVYAS IAILASYLGFAVYLFWAFVPPTWGWTDWLPDRQWALIVPCWMLVAFILMYWSYGAVIV YNNPSWESPKGLTDPYDNAGPAQAKKND L198_04194 MADSALAHILRRSRLRALTAKAGHALHLDSRHAHPAPETLEKKE VIQALDERFYASLNEYSPEQLSSMAAAGSTVAAGTSTAKTSTTQRQAAQAATAKTTVS RVSSAAAAASTVHASTSTRSVAQSSVAHTSSAASSSIASSAVRSVAASSSSSASSSVA PSTSSTSTSSIARSTSRAASSTSTTHSTSSTSTTHFTSKAASTTAREITSHAAVQSSS SSAGSSPITLSSISATAAALSSNSDSSSSLSTGAVVGIVFAVVCGVVVVGSFFGWLYR KYTARSYNSSSPWSKIDDDITPYNSEKPGFDDVYGGSTVPVIASSRDLSRARSDMVPY TMYDNSPLSNHAGVGAGALAYGGQGDISPPPTALSYGIDSQGRPYNPHAGRTPMLHTL EDRYGHQAEPYSPYSHQPYSSASDNSRQLVGPGSYGPTSPVNRYGAPGGHVEMPLPSP VPLGGPAHASDADLRDLDEFADDPQMAGLAYTYGDSPTASTFVAHTQAPIKHDLPAET YRASDHTSTAPAAPDFPAPAVSTLDPTVPAASSSGEKSRLAPLPLPAFEPLSPLMSHF DTNNKGQSRQLAMYEDEQEREQRRMYQEVAKSAGIDEPVTPFVSYTNASPSPEPMPVP NAQALNQSTASTTSSFSTGMPRLPEIGLSAPRPYEHGQPLSPLTEVPTPMSSSSIGVP LPNPFDNPAFTSPKSAPSAPAPPYSPYEYEQEPGTPTSTTATSNTPVPGQTINRSFPP PDMPGSVLGSPAAGRRWSGSEDVYGGI L198_04195 MDFDDLAVDNGYQLGGADDERERAQNAAIIEELERKKRLRKLAV PTDDKKVRERLRAYGEPITLFGEGPGDRRDRLKYVQEQMEQAKGEGGMIVDSDDESSD SEGEEEEFYEGSNDLLQARRRMAKYSILRAKDRVTRQRQEYSLPLGKLVNHRKAIFKD LQTFNNLGSQHGDDRPLSTIRFSPNSQYLLTTSWTGATKIWDMPNLNPVSTKRGHEEK LGGAAWYPFAGVENGWTDDSLAFATGGGEGDVKLWSMNGEKSIGTLKGHTSRVGRMAF HPSGEYLASAGFDGTWRLWDAQKQKELMIQEGHSKEVYALGFQDDGALIASGGFDAIG RVWDLRTGRTIMILDGHVKELLAMDFAPNGHVLFFSPLLELTFLRRYQIATGSGDDTV RIWDLRALKTQYIIPAHKSSVSDVRFFRDSGEKKLLGMGPDGEGVEKGGKDVVEGPAD GMDVDASHDRPEATGEDVNPSRSGTFLLTAGFDNTVRLWSADEWNLVRNLSTDSGKVM SADISGDGKFVASASYSRSFHLFGGENSL L198_04196 MKYLDVPLLTQLSHSLSAPAPTDTPVNVRFEAYSVKPVGREKRA FKEREEAYISEQEGMEEMSFSPEMREAGLASCFGRLDEKESRKVHFLLVSTLNSAFPD HDFSSLRPDHFTREPNSAQVLAYLSGSLLGSLGNGTAPIFLPMALNARSPQSSPSLQP HSLSHSPSPYSSFSPSSFPNSASNASSLPSLSNLNQVNLYRVLNQVIPLDDCDVYSFF PEPEYDPHMDPLEEADLMEEDEGMDADGDAQYDEGEPAWGTGMDLDVEMEMDDDQRPK TGSTSRNNGETWGDKRRPGGLLWSANYFFYSKRQKRILFLTSWCRHLPSGSSGLSGNQ ADTDIILDGSTPALPLPITASISPSSLETRGLPTPLKARRGSTSAARKARHPPPIRKS LPGALNIHPSTSSSASEHSTIPIRGISRPPASPSNATHVPSTPRAERLVSSAPNVTSL GFTATPSSLLSAARDSGKEGGQSPMARMKVGGFKPRQTPARMVINARATQQPTAEEDS SSAPSGSGSGGENANGEEGGAEKRRDRSESTTPGPASALTAGLRAAGAKGTADKGKRV KV L198_04197 MAEQQTPAPLQTLPVHLRQPMPQPNMYGQYQGQGTPGQAQSQQG AAPRLGAPPNGPQSAQTPQKVQQQGNADENVFGSVMGAGPGHHSEAGAGSNQAKVYAS VYSSIPVFEAMIRGISVMRRTSDSWVNATQILKVAGINKSARTKVLDKEVLTGIHEKV QGGYGKYQGTWIPLDRGKELAEQYGVASYLSPIFDFVPSQSAIAALPVLRTGTPDRTA AQKAPIHPNQRVISPYPTHATPLPGPGPGLPPHFAHPHEQMMSLPPHPSALAYPSQPK PYYSMPPPHAVGQPGLYSPAREGPVPMVHNPSDNGLAPAADIARMGFPYNASEVYIDQ YGQPHATYQSNAYAKDGHPAKRLRSYAEGSYVETAAAAEAEEEGDAEVDSTASDDARD PPPLPSSMLLPHKPIRPKATTANGRIKAKLVQIFNVEGSVNLRSVFGLAPDQIPGFDV DMVIDDQGHSALHWACALAKMEIIQQLIELGADTQRGNYAGETPLVRSVLTSNHAEAG TFAQLLSLLSSSIRTLDHAYRTVLHHIALVAGVKGRIPAARSYMANVLEWVAREQRNK PHSIADRPQESESTELAPIPLKTLVDVQDVHGDTALNVAARVGNRAMVNLLLDGGADK SRANKLGLRPEHFGLEIEGLKVTNGEAVVSNLRSEASKPERKSRDVQKTDIAAVFERI SSTFTSEMLAKQTKLNATENSVRHATRALADKRVRLHHAQEQLGTMHLYEQRAESVKR ILDGVLMGDILGPSDFTGRSQTIMEKRSAQLPPLAFRHVPGVISPSLHPIPSPHSPTS TDTPQDAPLPEHNDPEGLVKLRRMALWEDRIAGILEDKIQSMEGEGVEKEIKYRKLVA VCARVPVDKVDGMLDGLLAAVESDGQLIDFTKVNNFFHRVKEGGA L198_04198 MAKSSKRKEQPEGDAPDVQVDKNKRHRKDKPWDTDDIDHWAIPE FKAPTASSDHKPFLEESSFALLFPKYREPYLRSVWSSITSALDSYGLACELDLVKGQM TVKTTRKTWDPYVIFKARDLLKLLARGVSAPQSLKVLQDGIACDIIKIGGLVRNKERF VKRRQRIVGPNGSTLKAIELLTECYVLVQGNTVSVMGSYKGLKEVRRIIIDCMNNVHP IYRIKELMIRRELAKDPKLAEENWERFLPKFQKKHLKTSEKTAKKNAALDRPVDNANS NFTPLGAAPTSSAPTPAPAAKEKPKKKTYTPFPPPQRPSKLDLQLASGEYFLKPKEKE QIEKRKKLEKQQEVSEEKRVQREEAFIAPEEKKEDAVEERRQKRRMAAAEFM L198_04199 MPVATPPSAMLSSDPDQWIAHLRKCNHLPERQMKLLCHRVRDLL MEESNVRLVQSPVTVCGDIHGQFWDVLEIFRQGGEVPETAYIFMGDFVDRGYYSLETL SLLLAYKARYPDKITLLRGNHESRQITQVYGFYDECMQKYGNPSVWKACCTVFDHLNL AAIIDSSILCVHGGLSPDIRTLDQIRTLSRAQEVPHQGAFCDLMWSDPDEVDSWSVSP RGAGWLFGGKVTDEFNHVNGLTLIARAHQLVQEGYKHMFNDALVTVWSAPNYCYRCGN DASIMVVDDEGKTSFRVYGAARENVTDMKNPAMRRVGAPSYFV L198_04200 MIDLIHFQTDKGGNPDVVRESQKKRGAPVEIVDEVIALFAEHKA AQFSLEGVKRDLNVLQKEIGQIKKNKGDASAQLAKKAEIDKEILDITARVQDLIKQRD QKAGQIGNIVDPQNHVSMTEDDNPVLKLWHPEPNHKGNSEMLTEADKSTDILSHHEVL ARLEAYDTDRGVKVNGHRGFFLTNDGVDLNQALINYGLDFLRKKQYKKVQPPFMIKKE IMGATAQLEDFDEALYKVQGGDKDMYLIATSEQPISAMHMDENLDPKNLPFRYAGYST CFRKEAGSHGKDTWGIFRVHQFEKVEQFIVCVPEESPAHLDNMVANSRDFYESLGIPY RVVNIVSGGLNNAAAIKYDLEAWFPYQGEYKELVSCSNCTDYQSRSLNVRLGFKEKDK KTGFVHMLNGTLCATERALCCLVENYQTPDGLRIPKVLQPYMQGRDFIPYTAELPKTS TSNKAKK L198_04201 MSRARRPTLSIQAPSSPYSHAPSLRSPHGQPLPSPLSMPAPPQP EEYEFHPPGTPTAGLYSRDGLWDEPEEYNFPSMSERARSTSPSVYLSAQSSRMGSIAI PGIPGRREGSMGGASVYSSVPSHGWRGTVISNAGDERDEVKAKSGLEDSGAGKLVKQK SMRFLRGMGGSGSAAVNGVKKSDLGVHVDDNVDESFEAPPPLPTTPSTYITASPVVMM TTPSPYTPQASFPSPASPPEQYHHLYPKPPKQSLRSPVNLPSPKHDFGPPLPASPAVN SQTYPLRETKENIVNAKAESSSSWKKGMRKLFKSKSHAALRPSAPADGGAKDRPLPPL PTYSKVSGKFASQTPPSSATSPRPPLGEAKRSNNILSFLSPSHSPASATTPLMPEHDF IFSHPSLPPDPFESALDIAADPVHEDLRPPSPQRRLRPNSPSIRDLRNMLSLPSREGR EKENKENKESKPKLTKARSLANMRSFGRERAENDGAPLKKADKASGIRGLAPSPVVVE KPCDKEDTASVISPTAPSIPPMAPLDFSAPLDSPELLPPNPAYLSRPISKSPSDATPP DVPLPPAPSNVTHSPSLSPALPAGLLTPITTTPLSTGSSPVSPSSGSPLGLSPGGRIM ARSGSTPVLLPRSRSTSMSLKSPPTSSSFFDLYEQLGIWPKGENKDKVDEEKEDDFIQ ELKNGDGPVAEVGAGEGKERLSDVESARVPPWNETTASGGELGASSSLMSLSSAGWGP ILGLFPEGQSAEESGGTALAHGASGSGKSSIVVGGASSLGIQFQSTPKSKSHREHGEH EEVLHTADPESSSLRAAADSSRSSSFYPAALEISMSTMNPRTSTETLATSVGSLKHDM LDKSARGMEREEDDIPLAKVRPEAALVQVQRASTLSHPRQQPAPPAPSTSSRPRGKSV SLSKIKVRGRNPGGDTDWDGEGGVPADVLAKKLEDVFVMRQKCDSMAAQARMQASTEV QGEKIISSRPTRPPPAIPRDSAASGTSPLEIRKKPSQPQLMAAQPSAPLAIPRAQTKS IPIDIVPSQGGIVKRISKEVHARTTAKDVLSAAYQARELSDAEGGLSWVVCEVFAEMN CERHVREYETIQSIMNGWEQGKGNRFEIKQSTRGVLTWARTVPTTPPMFGGWVMHESK KGKWSRRWLETRGGHVFLAKNDKGKDEVHLNTLFFDVCSFLSSYNNQSHAFMLKRSEA AGNFEKREEWGHVFACESNEAFKLVGAIHEARSYTLAQTYPHSVASQRPVQARPTNGH AHAAKTLVNLGEGEASTKKPSPFTGKGLLRI L198_04202 MADIKEAKSQAATTEEHSKVQIVPGLEDETVIDGIDPVYAAKAR VLNHAIQEIGMGRYQWQLFVVIGFGWAQDNLWPVVTSLILTPITNEFHPSRPPLLTLA QNIGLLGGAAFWGFGSDIFGRRWGFNMTLGITAVFGMIAASSPNFAAIGIFDALWSFG VGGNLPIDSAIYLEFLPHSHAYTLTILSIFWAFAQLLAALVAWPLLGNLTCQEDTVCT RHDNMGWRYFVITMGGIALLMFFCRFVLFTVYESPKYLMGKGKDEEAVRVVHEVARRN KTTSILSVDDLRACERFGDQEVRQQTDATAAIKRKLQAVSGKHIRALFATKKLAYSTS LIMLVWAFIGLAFPLYNAFIPFTLAKKGAELGDGSTYITYRNACIIAVLGVPGSILGG ILVEIKFFGRKGTLSMATILTGVFIIGSTTAKSSSALLAWDCLYSFFSNVMYAVLYAY TPEIFPTRDRGTGNALTAISNRIFGIMAPIIAMFANLETSAPVYTSGALFIAAGLVVV ILPYESRGKASL L198_04203 MSLIRPLLRSAALRAPRPLHAAASRAPRRSLVTPTHPVNATVSN VTVEHVKEDPAEAESGEHLASAHPESGEEMFGFKLNPVSTKTGGNAKSEGRPIYLDMQ ATTPMDPRVLDKMLPLFTEQFGNPHSRTHAYGWEAEKAVDEARQHVASLVGAHEKDIV FTSGATESNNMIIKGIAKFHQAKRRHIITTQTEHKCVLDSCRWLSTQGFEVTYLPVLP NGLVSLQELKSALRPDTSLVSIMAVNNEIGVIQPLAEISATIKAYAKEKGIPKAMFHT DAAQAVGKINIDVEAMGIDAMSISGHKIYGPKGVGAAYVRRRPRVRLEPLIHGGGQER GLRSGTVPAPIVVGLGEACRIAKKEMAADHERIKELSDRLINGITSQVDMIVRNGDPS GYPGCVNLSFSYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGAAEDMAHSSL RFGIGRFTTEEEIDMVIERIVSVVNRLRDMSPLWEMVQEGIDISKIEWSA L198_04204 MFTTQVYGTVFGAFIDCVVMINIVNSHRELLQDTNGSSVWSGQY FQSQSTQASVWALAKYLYGRSGEYFIVLLGLPIRFAAVILHRVFARYVPHIGKFSLSD LNLPQFFIYSGYLGFNQTQSCVVLSHLAAGFFTRFYLRNYKPRIFRDYSYLVTAAWDG ASLFVLFILSFAVFGASNSTVAFPSWWGNPADGYPGVRQLIDCKC L198_04205 MDQVAVLPHAGHELVAGTPSDGKTIPFDKTPSDEKLDHHNSINN GSEVSEKEEKEIVAGLDAANYLLPIRYDGDQASMNQIYHFKPTVVTISRSFMVLLLYF VGGAWALFLPRGDLQEIKWRERGNEGRLPLWIRAAKFINPGPFSLKEHAIAAITATSA SNGAESVTVFATTNLFYDIPLSATTVILNSKPMRYFWYASGGMLAYQPFPAYIIPWLN SVSVPCLASMKATGSKADILTNQYLWRLITSSATSMPLILQANYASGIVFCWIAFLAV YYGNAWSVKSLPFLSTTLHTASGGTYPTAKVFVNGILDESALERYGLPKLSGTYVWAM VVNSLSIGALVAHCIFWYGPDIWRSLKDGRKGVYADRHHAAMKKYKKASWYWYVGLLV IAFVLGLVVVVKEGITLTWWAYIVALALGAFIAPFSTILYSRFGNGIATNQLMKTIAG DESSR L198_04206 MPPPIIPSHSDLARQKLESTLANDLYSLSFSSIPTSTVSYDDPD ESLEYPRGADDAVTYDNHDQHNNGVRRGDGMNGDGLSTYRGEASMFIGASPISTAGHH ASAMTLGAGVFENGGDTSRTGEFDPERSLGRLVNELGKVMGNERMPERPTSPFYTNQS PTPVFNPLNLSFTLTRSNPLPSPPSSPVYQDHKPQAGQWQDTSLRDTRFARTPKAAGV HSSASQSKPTKSKSKASEKSNIKTPRAEGSSVRSVSAPVRGGRTMGDVTGLTDLLKTP ARAGEYGYLNRDESVGGVALVDIPGNLEQLNERLKNLEVENTTSQRRMRELQDELSNA KEELAEAQKNGDRGVKEVADEKTALEQLVGSTQAHLSRLTLELDAHRAVIHKFQSAPP HPSFSPSSSTLANIRSEINRLSQQVSSLNSIVEKGLATCQHSRSERSVRMERQKMEKL VRQIVQDEQPAQQEAAPGPSKLRQGLRAAASIHETLAPATVQRPGPSKAERTFVRSED MTYSPTPTLTRAPSAPSSRQPSGAGPHRVNKEPTGEIRESARVTLQRILGQAEEDYVH YKSIYVDLATRYKSLDPASDPRKRHIIAKKLKQAIGVLERKGDEVRDLRSLQ L198_04207 MAQLNEAVTRLRNQAREVAAQRGGGTGTPGVREGARVDDQGSRT GNVTIQLTEPPPFVLPSHIQSFISQHSLPPLTPGPQPSSDPEAWEVRAQTVENRIQRL SQAARVLRDRAQAQGERRQRMIDRPLRSDRPPQSNDSLAPWRRATDAADAQVQRLLEP SDHFLRRRDRETMVDGIRDMEGLNSNIGSELEGIAGQIHGLLLGNASGAGSETATGRC QRRASRSHIRRHENQQPLRSSRSRGCAPTNTRQVSRQPPPQVICTPHTAVAEPAPDIT PELTPASQPTPVPAMGNTAAFLGHPAPAQARPSSRTPHFNLPSLREALGLEEHSPWAF GSEPDPEDDEGTVRGTPPPGGRVRIEQLEESIHRIENSAETSGGTYRSLVNGTLRPSS RPDGAAIEESGSSLSPQSNGREGAGSGTLRGVAARLRESADRQTAISRTPGLDAARAQ TASLQPFPGANPPNERMEVAVELGPVVFIGDGDREGDWSDDSLDDLFEGLDPTAAFGD IGDVLAAGSPGGESGHNGGRSERTAQQEGMTFRGRRVADRIAASSAPSPAPSTPFSDP IVRHLPRWDYPADDYPWFRPRRPRSFRSGDTLSSDVRPIAREALGPNFLGGPVGRWRL APLQNTREEDSATTGLTEGPSPVTPSRGTTLGPASSAGTSSAPNSTRHEEMMARIRHA REALRNIRGLREDMGTQRRGPPVPMPEVMHRESAVRQVREEAVAPHARSEGIQPVRGE ITSEPDGEASAEVSTAQQGSSSTQAGSSRSAYMVCDREDSDFGIQQWPTFDRL L198_04208 MSDVAHLKSLLGVHPDFPKKGITFLDIFPILRDPVAFENLITHL LHHIFTTHKTKPDVIVGLDARGFLLGPIIAMRLQAAFVAVRKGGKLPGQVEVVKYEKE YGTDEFEMQAGAIQPGQKVIVIDDLIATGGSAAAAGELIKKSGGETLEYLFIVGLPFL KGHEKLDAPVYSMIEAED L198_04209 MPLNYSKWDMLELSDDSDVEEHPNVDKKSMIRWKQRDIHEKREQ RKLQIAKLNSELSLNGILRPRIEAIITGLSANGFDHYRAVQHRIKEAPSDEKPQTGAP NQPTYDMMLGQLLEDWATGEIPQGKKEALKEVLEERLKWNVDELVRRDAEVKKEIEKE EAEMRKKITSDDIHEGWDKSTVNPAKQGVWDEKPKPKRAPAQKKEETIEVLNPKASSS ALTPPAPRDEDSDDEEEFGPLSASGRAFANIPIGAFEKSYNFIQNDSSVLAASTHDSL LAEAFDAERRGDNQLAMRCVHQSLLISYCRQLGKDGVGLFFQKMITRNPQSIKMFQED FTRTYGRIHLRTKEILAEEAKTPSASAERETIQLVATDPSMDITFNIPDGPPPAELQI EGEGAEDLDLDDVRAWLERKWEIFEGFPEDFRKALQTENLEKVNKVLGDMSLNEAEEI VGLLQEGGMLSFSEKGVRDMTTQ L198_04210 MSSDTSNTILLLHPPSLDPTHFLSRLTERDLSSVDTSIESVNWE INNRYYTAQVEFRAFPIDKELLGSSEEGDGAAGMKQWEGADVVVYIFDQVPASLPPQL VRLMATPRDIALAVCILPDASSEAAVNQKGDSKDPNKSHEDDGAEEARVEELFDELGM EFIDEVHPLTDEDDERPMPPLYIIRQTLQTHMWPGMARKPLHASSQLPGSPTSSIGSG EGQGSLDHAAFDVTFDENASPLPRHNSHEDKAGDHEKREETVFPDLSELRAQLASAEF GSIDALDRYAHLFSSSESDSGFPSAALVGLDGPYMSLNDMDLFPDGGDGGKEYERLED WLGKDDDEFEPKLLRRDQTSQEENAEQEKPEEGDWLENDDKRFEPSLSGPLPELKPEE DWQKVEHGHPTDFFEDEGFEDDFDSFQSAQPRAETLALDPTPLLLHLQQVRSELSSLN NEDERRERAGAEVAAMLNMLGMGLDEEEEELLDFDEFAGEGLVDTGTKRA L198_04211 MPSTSSSIPPDPSSTHLPPSSVPPYLRHLIVQILLRKGFDGAEA GALGEIERLLERHVETLLETARDYANLSGRREVHAGDVVTAQESRGWGVKGLKKETKR RRTALGIKTTPSPPPSPSSLDPILSDILRQEILDEQDLKPHLSGDGESTEDGERRLDY APGWIPSLPHTWTFEPLETEEEQQAKKPAANPPEVTGSLLDFIKLTAAERGDIPPELG LVDYRREREGAGGKRGAGLEKRKWGLKGAGG L198_04212 MNEAQLVKSIQSGMMSVKLKAIDDPNAMEELYLQMIEPKKWLSC LEEDETGKYIPDLMRVIYKLLDPESEGWTGGGANLQNPSTDERNTRDKTPPPKPKTPE PARPAPDIDPQIREMQEQLAQAQAQINAFSSQQAKASQGGPGKSSQQSPVKPKAPKNA NRLQPTQKRRKIVEDEFAGSDDSD L198_04213 MSQTTTPDEATLNAIRQRLMETGDWERIQKLLRAHLEESGWVDD LKDLAKERARAQETPNLEALVKEISENAAGMVNESVRRDVTVEIESVLDREVDQA L198_04214 MPLPADLLREKIERIPNPPIGHVAANSFIPPAQPVTDGKQLPTS NPLPILPAVEAAKRLAAYAAVDRHVIGVGSGSTVPYVVDRILAQGFEVNKDRVFLPTG FQSKELIVKAGLTLGDVDQYARIDVTIDGADEVDNELNSIKGGGACQLREKVLAEAAD TWVIVADYRKNSETLGTEWTKGIPIEVVPFAYAKVLTNLANMGSPHVLANGEPGLTLR MGKMKAGPVVSDNGNFIIDAPFSSEEMSEPESLLHRIKMLTGVVEVGLFCGMARAAYF GNEDGSVSVRSNDGSVTRLGSSGTSTPSAELIPIIEREARAKAEANRQSVAKANAV L198_04215 MRPSSRPRHTKSASITLPLPAAPMAPSPHSSLRSSLRPRPSSPS RLPSTDSLSYFPPFEAMGSVCSDQAAGADGEGSSTGTSHREKKREAWQGHARGEAQGS SLGSIKDMVSAGISWSLSGFACTAPPTPADIAVTEEKRPRHTHSSSTSKIPSKPQPVA PRLPHANSCAAVEALARRINPAKRRVLEPLTLDESEHHLSVSTRSLGGWAGPRGEESV RDIHKRRMKGEVEAVGLARSESQGDVAFARKPSTLCRSKSLHNPTTRRPPRLRLQNQP KVSIPVQSQQPTLQVPLPTPYHWRFPLPSPPVTSLSPDIPHEAFEMAVDNPCSPRSPR GPRSSLPAFSVSARGELEQVEYDLSPSVSPTITSSSQPSTPPPPKRDLGYALITHSST RPDPWSHLALGGGPVITGGAGKVRRMRSKSSGWSEKSGDTIRPGVVKIRGRAVGLWAE DDEGDGEATPKGKVH L198_04216 MTISSYTWSCLHKKSILGINFGQSYASIAVIDKEGHPTCIANEE GERQIACAISYAGEQVYIGNGAKPHLVKNGKNTIMGFRNLLGHSYDEVDHTAILTAPL ISTSTTPAYTVDVLIPPPAKGNKSGATSGAATPAAEPVASQKTLSVPEVTTLFLSTLF TSATDFLGTKPTAAVISAPSWFTAEQKDALRKAAKAAGINVLQVLDEAAAVLVGYRTG LSEERKERGLLVVVLDMGETSLNVTVAQVSEGEYTVLAKGQDDKVGGREFDNLLLKHF AKEFTKKTKIALDLPCGESAPDADKRAEAKLRLAVEHTKRSLSASSGAATCAVESLKD GYDISSSINRLRFDGLAAPVYRKISAVVSNIVKEADLDLAQIDEVLLAGASTLFPGLQ SNLSYSFPATTPVTATIDPAEVIAVGCALEALHLSTLSDGLKIEDVLATAAEGKVDTT SAPIGIVLPGQEANTLAAVVVESGAPLPVRRRVAIPAPAGKVALELWEGKHEVNVDLI QPPPREKDEDDEDDEDDEEDEPEEVKTAITVKTKALGAVEVELKKENNLVLEVIVQRG GGLEVRAWEEGREEEADKFEA L198_04217 MKLAQVFLALPLLSAVNALHFYFESDEKRCFLEELPSETIVEGH YKAFLWDEQQNQWKPEEAMGIHVTVDELSSGHNVVNTRGPPDGRFTFTSHEPGDHNIC LHSNITGGWLSNQHIKMYLDLNVGSAKIDQSDDKSHVTTLSSKIRELNVKVADIQREQ RYLREVEADFRDASESTNTRAVWWSLLQIVVLIAAAGWQMRHLKVYFEDKKLR L198_04218 MAATTATRPPSPSFHAHNRRHYYLCGGHTLSWEEQWYTEPFFTS DRASYDSAASTGPVQPPESVYGSVGECSRVLSSLSTEGITGSSAVSASGSQTEEPQTT RVTTSIRSTFTSDGEVETTTYRSVITSTLSVETGSSDSSSMDSSTPTAVATSATTVST ATIPSVEPSEQVAGTLNTCAGEWDWQGWGAVAGLGSGVIVGGLLWLAWIFLRSRVPTI FSPKSWYSRPDLRPSATWSFFAFLLPFLHLPSIDKAGASPSEGDNALQVLLAGLKLSA IASVVALAVILPIIMAGVPCLRDTSPPNSLGGRLGALTDMSLVRLLNALDPSPDSPAA DTFLAMLVSIGKKRNLPSTIAPAISSARTRLIVILVLLTVLSVGGGLFVVTRAYAALM RAKRVFEDETCHGVDMVWIDVQRAHGWAGLSEEGLRRWFKEWWKISFRDNRDQESEVE VFGLFAIPDTTELKKVVANREQVLLELEQLETRYIHSFKLSAPSSTSGGRGLEPVWSP KSIHSQSTRVADQMSPSSKGADFLGPKDSYKIGSVDNPPSGERLEFDLPTTVTTAPTG TKFHELNRDSILMGGRFQVGQRIKVDEHGNYVPDPSPPTSSESAGPGLGESDDMLGSA ESGDFGRIPTRSASGHFAPMTTPADIGAISPNDADISNSYSDPALLGPQPRSHEEFVP RPGSSGPQASSHRHSGAPSTIAKTYMEVRELRSKFKELNTEVQRLQAGKFADISQSTK GQEGQVSSAILGWIVVGRGVKWLHGAHAIEGRSREDILWDQLGQTGRKSEMTFWAEVC LLGFLLMAICVPCLGLAVGTAPGFSYYLGLFKPLARSDDFGSGFVEGFVPVIILSLAM GTSIWFVETSGLQSDVLATSKSFSAVESISLTALQLWVMLIWVILTIALEYATQNFVL GVQKARGVGDGASWSSWFIFVLLLNIAFIAPGLYLLQGKRLLSYRLRKGKSVTPRKLF RLRQPPSYVLSYAMAPCLLAVFYASTLLFLFPLLAIPILLTLYLSFIANRYMVSHVFI DSSGGHLGTLAGLWTVRRLGWVLALGPVLYGLIMLSRNEWALAAVSLAVGVIAFLLAE TLTSCRVPSPSYNNLKPDTRQALDRVKAFQRGSTTDSHLTRVPSRQSELSLFRRVAAL LPGYTRLPPDCPVPLKTDKVDDMFQTELAAYSTPDLGPGTIVSSGLYQETTETKGLVY PPEMLQPVPLIWLPYDEAGVAENEAEDLGVHHGLVAIVDPVGRERDLDARMIHRRENR RVSGDGGDVDSPLLNQDGDRRE L198_04219 MPDSTKKATKSKSSKQNLNPEKKKRSKTTPTPSPRPSKSAKLVE INDDDDPMAALENEQNEDKGPMEALEEEQIVPKVADEYEVQAEREIAAAKDSLDSSGD KEPLKLVHQVRHQVAVPPDFPYVPINQHKRLDPPARTYKFELDPFQYVSTSCIERNES VLVSAHTSAGKTVVAEYAIATCLREGKRIVYTSPIKALSNQKFREFSETFGDVGLMTG DVTIKPEASCLVMTTEILRSMLYRGSEVMREVAWVVFDEVHYMRDKERGVVWEETIIL LPHSVRYVFLSATIPNSMEFAEWITQTHEQPCHVVYTDFRPTPLQHYLFPAGSEGIYL VVDEKSNFRDDNFQKAMASLAQGQGEDPADPNSGRNKKGKTKKGGAMKGETSDIYKIV TLIMRRNLNPVIIFAFSKRECEDLAMQMQKFDFNTPDEASTVAQVFENAIGSLSEDDK KLSQIEGILPLLKRGIGIHHGGLLPILKEVIEILFQEGLIKALFATETFSIGLNMPAK TVVFTSVRKFDGKDFRNLSGGEYIQMSGRAGRRGLDARGIVIMMCDEKIEPEAAKGMV KGQADRLDSAFHLGYNMIINLMRVEGVSPEFMLERCFFQFQNSMSVPVLEKQLKEAEV ERDAIAVEREDEIEEYYELRQQLKERGQDFQAVITHPAYCLRFLQPGRLVEVREGEKD FGWGVVIAFNKVVNPRGRPPIWTDQDPPQKQYVVDVLTRIASGSSVPKDRSASELSPP AEGDKGDVAIIACSLSTLQAISQYRINLPKDLRSQQDKNAAFRAVGEIKKRMPDGPPL LDPIKSMGIEDKSFKDLVKKISILENKLQSLPVTTSSELPRLYDLYDRKQQSINTVKS LKRRINSVHDILQLEELKSRKRVLRRLGFTTADDVVEMKGRVACEISTGDELMLTEMM FGGTFGTLTPEQCAALLSCFVFQEKSEAKVRLKEELATPLRTLQETAKRIAKVSTESG IALVEDEYVQSFKVEMMDVVLQWCKGAKFSEICAMTDIFEGSIIRCFRRLQELIRQMG AAAHAIGNTELEKKFGESMDLLERPNTVVFNPSLYL L198_04220 MAIFGLFGSSTPEQQEPSASADLFSSTNFRSNVIPSEQPQQSSS FLPDPPSQPNATPPPPASQQHAPTALEALGSAFDPARLHPLAGISENLDFLQLDEEKL NELEGSASVLPSRGWTDDLCVGTGTTYVSGLAAGGLWGFKDGLSRPLGNNPSFKLRLN SILNGCTRRGSFMGNSLGVLAIFYNLTNSSLDAVRGKHDVFNAVAAASVSGAIFKSTA GLRPAMVGAGIGGIAASAWSGFKSFV L198_04221 MRLSCWNCTTLDGEDSKPAFGRDVRSAAPSPSQSPPTLPGLPRV KIIPATPISGLDDIPHPEPAPDTASSVITPPTVPTASSRVSLSGRRPPFIRSASASQS ANPNPSLTSFFRSHSDMPPTRASGGTVMPSNMPRLARTPEQSTTGRPRSTFTQRLSGF FETYKPKYLQGSHNPHGTAEEVGATQTGAGTVYLPSPHRDLPDDPFASRIDMADGGDL GPLCLLPRDSEDSRYTGSEVGRDIKSERESGPGEATVDVQASLRTMSAPSSSPTARPY PFLVTTKVTQPADDDSATAMPKTSRDHHFPGFAKQSKASGRVEMMEKNLGQGVDMRGS AAVLA L198_04222 MVAAVPNTWSQGQGTAFIRNIDDSDRPGVKLFHSIVDTIEGENP LTIISWVAPDSNHELEDLFYFSGDVAHDGNAASTLFVHSASEAHINTLPPTDPMYETL LPPIVWYIQCLGIVGNADESSRSFEVTGTTYMGKKGDWAAFVWRVEVPDTARWPYWPY KDGQHVFVSGPLEKRVDGVYCINLQSMHSVGNFSSSAPSSSPQRPTVVHRRPNIAFSH EHPVKSSSVPTKKTRK L198_04223 MSAHPFLTSQAAYLPQASAAVYSHSRHGSTTSLDSMSSGTTTST THTYHSYSSQSPIDRDCLLWMQRESTGCHVFGSVANRGRGLSLEQRAESRKREEEQRL MQEEEKAFKKSEKRRGRWF L198_04224 MSRQAPLVIDNGTGYTKMGFAGNSEPSFVFPTVIATHQSAGGGG SSSGGGGRAPPPVAGKPSHLASKRGIEDLDFFIGDEAVANSKTYSLHYPIRHGMIENW DHMERLWEQSIFKYLRAEPEDHYVCLTEPPLNPPENRENTAEIMFESFNVQGLYIAVQ AVLALAASWTSSKVTERTLTGVVIDSGDGVTHTIPVAEGYVIGSSIKHIPIAGRDITY FVQNLLRDRGESAVIPPEDQLRVAEKIKEDYTYVCQDIVKEFKKYDGDPYKYFARFAG EHSVTGRKYDVDVGYERFLAPEIFFNPEIYSSDFLTPLPEVVDQVVQTSPMDVRRGLY KNIVLSGGSTMFKDFGKRLQRDVKAIVDGRIAGSEQASGSHLKSTGVDVNVISHKRQR YAVWYGGSLMASTPEFFNVSHSREDYQEYGPSLVRRFSVFGSAS L198_04225 MDDNRQPHPPLQRPSSSHSSRPVGAAQDPPEAQMANASRRRQCA NPCSSPPMAPNPLPDWSTSEDVASETNFYAKRPERDDSLWKPNWQGASSTPMEPSVSN EGSVGLNIRTPIASPKEQYSFARPSPAASPEEKCPNPHSSLLTSTPLNRTCLSANHSP CTSPTPPPAQMASSGVKKRTRSNSLPCPNPPKRPKTIAYPPPTRPPSPRSLRHPMRPQ HPFRGAPAREAMFHCVVAGRSPAINTKSLKTLDAVEILKNKQLRHDLLFDTLAFRPVN AGPQGEGKVGRYAGVVSTSAVPVVDPSTSNVVTELYWQSVDAELKWGCRCTRWSLPEG TSSLKGDALRNLVRVHKCLCGQWRPELSERQWWAKTKAWPSRLPELIKTLREILMSLM GSTTPCADHFAHSFSREALEAHEQTCPTVTHSLVPHLQSALDPEFLTMQVRRGSFNIE LFKVLGNAMRVHCAPVRDGLIDRMVETAMAGKITEGLKMCFDCAEVMKLDIANHQVHS LRPYLWQNAGAHEYAAFEETLLASRSTFATSKTRRWINQASLRMLKAASPAERTHLIG KCACGNANELAARSVLDGFLELVFEQKCGEGRWPPLTARTTSTEAPLWEDGSGNMASL PEVLQMDGRRIRDFHASVVELAATHLVLMAIRELYRAHTQSPNKEISSDTYNFFLSDI LESFDRVSATTPGPVGQDERDAIYDELSFALALRVVLPDEMKRISEAEELKDMLTPDK LAHIHPVKDQLYMFLRNNISRESQRLQDHMPVMRKVLYKVLTDVFMSYRFNPKSLFFD VAADKCKSTDKVAASSTGQDHQSKTGSPPPMWIFSSDLRFKANRASRAVTARHDECLE TCKEEELLLIANLGLEGVVGPIKDITERMVRLLGFNLSVFKEVYLRKGFMAGSGKCDP VNERGL L198_04226 MAEPQQSDAAKKAAARRAKILARVKPASQPSPPQAEPVQPSWAP PPAEPKAPRPTASASPQPQMSSEQEAMARQMEAMMSMFGAPGNGAAGGEMPDMSKLLS QMMGDPSLAGGPAGQNLLGDMDDPAGLGAFGGAGGVPPNIFGGADGSSPFPFPGMQQQ SQGKSKVARYFPLVHLVATLFLALFAVLWWEPALRSASAYQEEATTWSERWSGFSGRR VKGLGEVEVLPLFLAFVTVELILQSSRLMIFRSPPAPHALLQNFLPVLPQGIARPLLT GSRYLNLLNQTYKDGCLLVFAVGMTIVGAEWLRGSLIV L198_04227 MSATPSPDRNRPLDDRKVTGYDPLIPPALLRHDLPVPAVASKTI SASRRVTSAIVQGTDPLSRLVVIVGPCSIHDIDQAKEYAAKLRKGVQEGKWPGLEVIM RAYFEKPRTTVGWKGLINDPDIDGSFKINKGLRMARQLLGDINEMGMPVGCELLDTIS PQFIADLISWGAIGARTTESQLHRELASGASFPIGFKNGTDGSVGVAIDAMQSASHPH CFMGINSQGMASIVKTSGNKDCHVILRGGTHGPNFAAEHVQKALSTMRTKNPDAFASI MVDCSHGNSSKNHLNQPKVAADVAAQIAAGEVGITGIMFESNLKGGKQSSDLGRGNLE YGVSITDACVDWEMTVDMLDNLNQASLTRRALLESRAGASGDAPAVKRLRADE L198_04228 MLSRPLVSTSRFLGTPPRSAFSPIAVSTRTMATVPPLPPFTAET AQKKVKAAQDKWNTKTPHLISPAYTPDSVWRNRDDFFSGTKSIEDFLTRKWQKEHNYR LRKELFAFDKDRIAVEFWYEYSETKDVSSQWYRTYGLEHWVFAEDGRMKSRQMSGNTI SIAQDERWFKDRTDVNDGEVPPGHISSK L198_04229 MQYLSKAYNYYSGINPATLSGAIDVIVVRHVAADGTVTLSSSPF HVRFGKLQVLRAAEKRVTIRMPNNLPAPHIAPFDMKVGESGEAFFVVETDEQVPEDLL TSPIVAPTETELPPTPPLSDDQAADEHGGSVTHEPFGSTEKQVPHEEPLGDVDFLDLN ATPDTPSKATHSATASPLRRDNPLRHNILGTASNILPQSMRSAPSTPTKPQAPARQPS IDDNAPNDEAKAKEEDRPVVSNTDKEPNPIEAHAGDRPELPARDKKLSSYNAAYSASS AEKALPKVPAGQGEGPEVLYGKDVVLDMDGYHSAKDAETQQGEHDATVETFIQDLISS VQSTVESRPKPRKSLSLQTEAERPFDDQDVSPALSPRQSSPDLDLSTTLSGLSMDGKK THFSNVASHNRLERGQSEPPQTFHTDDLSKSPDTQAKDVVEREWDWSRPQPDTPDNGG EQHNVTGSLKNVEENPFLFVLEVGKHTHSFELSLCEGFGKDATEAEQEAMFVKNRITF QRFIEDSRIIDNPAIVVGYNDLYFTWSSGYRLLYGLAVYRRTLAPPNASPSSPTLPAM SLVDAGGDIGSGSTTAANGTGWSKWWRRGQSNGSESSVRQGQSETAPATTTPVPGTPK PESDAPVQEADGTRHFAKTLRLSSDQLEQLHLKPGPNTISFSVSSSYSGLAVCTSRIF LWEETDQIVISDIDGTITKSDALGHVFAAIGRDWTHLGIAKLYTDICNNGYKILYLTS RAIGQADTTREYLKSIAQGQYRMPEGPVLMSPDRLMASLHRREVIMRKPELFKMACLR DIQRLFGEQAKEAFYAGFGNRITDAMSYRSVGIEASKIYTIDSTGVVKTELLQSAGHK GSYIQLNDLVNEVFPTASSKVKPEYTDFNYWRDPVPDIPLPDLTPPSPALSARSDTSG RYSVIGKITGMARRSSRATHPNGSASTSQVDPSSRPSSPLMAPSLTSMDMSEGDEEDD ERSLSSMPGSFEDDKGELLDEVFFASGSQQSRPGHREEEDGREESDGEDDEDGGEGGQ DDSYDDDAIFDDDILAAGEMQNVPF L198_04231 MPPMARSNPSSPNPNHRLSTSSNRSLKKSEADFEAALRDTGATL FLSAGPAAIGEEPETESASGASEATPGLDADTMDSPVYSTEAGKQRSYEDDFKELGRK SQDERVGLGVGSVGMGSPVRGTFTTPGVIPPTPTRGHMRAGSVMTTSSAASTGTGHTP GRHARKVSKSLGIDAELGIEPKQKLKKPPPKRRNMFRSAGTSSQPDLASIVRRSTKDK PDHDPPPSAGPTSTASPMSQMTPSTSSKTLYQSPRGVSSPPRAAGTGTAEGTKKENMD VYGSMMGGNQMATIAEGQGTLNRNRSNTSDEGFKSMRNKAKGMFGKMFGSSKDSRLPS NSASASSSRIDIHEMPSQSVPPVPAVPSAYAQQKQRVFNSPPISHPDVFGPTDGSTAD RFTLGSPVLPQKSPPPPPRPINTGMVAGRVSTTPTGRRESGASALSIDKPLPAVRVTD QDGTAPQLEKKIGSSLHVRQPANAEEEEVITPVRAGSSRKPVSSPATAAVSSFSADMA GMLADIGQSEPARELGLPPSSMRKKENGRGKFPADLSRTPSQESPPPTGPQRSASLPT TNLAKQESSSPQRASTSHIPSYLATASKAQPNQFSRLRASSGSKIRLETQMEGSEGPL LNVQPSAAPPISPIFGEDKRLDLPSTVKAASQANDASETPSRDSPKSPPPTGPSAWGR QSPGAPNLPESSDTELSRLQSHTGSGSVASRHDDGDVEMMASPPRMPSRADTVNTDTT DTTEDGEELEEKGRRLACELLDEDTTNVAGDKVAEFLGGPHPVNTVALKYYMQYFDMK GQNLVEAFRDLCQKLYLKAESQEIDRIIEAFSGRFFECNPNTTFGSPDVVHTVAAAML MLNTDLHIAELQKHMSKSEFVRNAMRAIQLSSGTGEDRSSTPDLIRDDGDNQPPSLSS STSTNYVGSVRAKVPASGATQRSASAPVVTSPPPHHRADSSLSVVGSAVSPSESKLRS SSTTVSSGSFNYGKTWEIETEAALKDIYSSVRADRILLPTASAWGNDRNTTGNKRQSM VSIASNGPYDRAGRVRSPSDRVNVLKRGSIRSVQGLLASNSPYSSQWYSSEGRLSPAP SYANSTNEINSPGSAFSPALGFASNLSHTVIREHDGDDTHSVHSHASVGTIEEMDDDE LALLGAPWAKEGILQRKVHSEGVTKRVKKSGDWKQYFVVASKGDVFMFTFGDGKGGGS MSMGGSVGGGNWLENANANGKIPLMHTTSATLPKPGYSSSRPYCFSLTEPSGEVSFFQ AGTEDLVAEWVATCNYWAARKSRQPLQGGVSNMEYGWNKVGLDDQDDQMSIMSHRSNM SRLGGTYGRRLGSSSGGGGNDKIYINDWKPPPAASVPSPLEEEAQLEALVAYVKLQEK ELERHKAVEEPMICLYSFGSKNLAKAKDNWRAKSHYIHTEIFKYETYIDALRRAIHLR VKKSGEKKLEKSLNSSIHGLHAVAEGKASPLVGAHSVKSEDEEEDNQYEDAAAELGKK TLTIDPTAGQYDDGEEEQPITPGATLRGR L198_04232 MLTPPQPPSSSVLNQQFRAITGASAAEATRYIKKYKSVENAVDA YYNDNDDEPFAVADPAQEKKLGAIWEKYKDESNPKLITIEGTLQLCEELGIDPENDSV LFCLAADLGSKVTGEWEKAPFVAGIQSYPGTIDTIPKLKNYLPTLRTKLNTDPVYFKK IYTHAFTLGKGSNEMTRSLALETAIPFWSLFFPPAFNSTPSALSHVPDNSPPQFTQPE LDLWIEFVTQKNRAISKDTWSLLVDFARQIDKEFKEYDEMAAWPSMIDEFVEYAREKK GTRV L198_04233 MPPRRPTTADSNKKLPAVPLDALGLDLHGRGPYAGTGTTGSGDE NHRRSARQQASSNAESISPITTSSTRSASRYPYTNQSSSTLTSGTQNYSTTTLTVPGS PSMSVTSSQTSVFYSEGPETPVSKRRVVFQPQASPSLRSEQTSTPGRCAPPDRSAPPT PSSGSGNSGNSGPVPLAKKRSSSQLLMGIGKGIGRVGSVMRRNTAEGTSSHGSFSAPG SAPGSAASTPRKGVNNTGTWRKRGKMPSMQEQVVFEGTGWESMDRNEGDSGIGRPFNV EHDLHVSPDLQDLPPAWLSSLKAQGLSESDLFLISVARKRQHTTPNHHVAGDDFLRAP HSAPVGPSNEPYAYGTSDSQSSRASSATGMLRKFSFEVDRPAMPTAHGGRLAAGDVFG GHPTTNPKRISRRISSDSYPVSATESEISESEYSHHQHRLPTTAPHTTSPSSRSRSGS DPNLLAAPIPRQTKRLSAQLRGFKDLPIGDVESARDGEWTKSILGMGFAWEEPKKYEE STTAEKAVQSADSSGVGKALNRRSKLSLAPKQSRPCTPESLSKKIARKPLPKEEHTPP SSASGTAREFEPASVSTTTSFPRSPPPPKRPDNAHRRGDSGFFGSASAMSSSGSGSGG HHTNASVSSREVYLQGPQLKEVVVIREESGERAVAIPRTSSESFGVHYSSPFKSRSSV ELDLITPSTSDGIPGLRAHGADDVEQVDTGYDVDYSSDDEEHDFESGFANQSGSAKKK RPKDKRQTFGLAPPPPGRDLPRLSIDRSPSLPQIGVSPLDVDASTFGKSPISPSPEPA VPGLPLSPPPSYSPLPLPKHLSAPHRNSTSSDSHGYPNRDSLQSTFSRYSRDSRTSLR SDRTSRTSHQSLKSWELGEVAHVRHVACKGMMPSYNVSSASLGDLMQNQAKRSPEIDR LGDTVVERLDEESEYGESEDGARDALDALGQAAQRIVQC L198_04234 MAALPPAEASKRSQAVGMLRNQMRREAESGNSRHKHCKEVHGEM LQFIALRRGKKASSDGRTSLEKPAQKSRPKVVTAGGSKPQLAHHHRKERLQMEMAAKQ RAAEQNRIHPATPITPSSERSPAMPLNPNQPHSNDRPGNTIHLPRLPYQPDPIRNVGH PALISQPSGHSPRHPMASGHNMTVPLPVSDVAPLPVALSRLAPYDLNALSQKPEHVRL MREPYRSSVWLQIMCRPTTAPHAISFNLLTRAYLHFLSFSARETASTPGTPIQSSHPS RPFHPSSASQSHHTPMHPRPPLALPSRHLPPLSPTDLVKIFRNLWPQVRFSGGVPGNE ELFCLGMEFRAGNAGTGSNAGGASSRP L198_04235 MIIPPDPEKDPNIFRESATPSIYGAPSEHSYFEGSAWDAESLPP YEGQRLSRLSVHNDLGTATALAGSSSREGDVFSDESVAQQPQLETRDRGRQPGLGVVI PPEPTHSYPGRRSPTDSTGSLTPTATRASSSSLPSFHTHHGAVLLHGGSHISRSPSIV STTRTTLPVASTSKLWESSASKEKNVPLAASPTFRRWWRRWVQGAVVLVLITVALVIG LLVGMKHGGVSKHGVSAPSWEDKDGTARHVAICGWNDPFNLTYTEARDGPSPTDGNLT NCNRFTPFNFSSPYSSLFTPFSATSVYMSTFNFPLQANASAPSDLFINARGLGSTGTI TFVGSDGSDDLKNSAPEESITVDVIVKYAGAQTLDSMMKVCEMNRGEGGVGVGIYSPL QTDNKITSRGLLNPTYVPTTHIVIRVPASIYNQDAPFTYFPSLSFGLDYMHLSLGDLE GIAQFGELNLSNDSGGVSAGYVALQAGNIEAKGDVRGQWNVSEGIFVNVFSGNILADI ILHDPSAASDNSTTPDSTDSDPDFAIVRRKSASASSRHRVNTGFRTVDGSLHVRYLHQ PTTVTLSSMVSTLHGDISLHLHPNFVGPFAAKTIWGEIRIPDPSPVPGYDPTWQGRHR AVSLGQITVQSNSSFARAGMNATVLQNSTNTITGAAYWADLVSINQGSSEQSTGGDTV AGNSRRSEKRGDGKTTVRYKTVDEVQDEEGVDGDEVVVLGAWGNVAMAFDGL L198_04236 MLPEKLSTVVPIPSALLLLTLLLYHLTPLLSLSSNLTPSLQKLS DIIPHPRRARNLPREFFNLPPRPNSPSTASKLAWGDVGARLGVRGKLMLLLAGEGFVS LVAGWVVLEIGIEGTTGIWAGVAVSITLLPVTSAWLALFVMVSQPMHNRHHSSRLSSF RHAVFKSGGITHDTLYPRIFPISAATTGVATILAGVLGDSARYVILAMSTASVAVLGG CSFVGMWQMAYRPREGMIRLRGESRMSLYEKETGYLPEGISEDDEREAGEVEEMDEAE LRVGDSWVSSPSRTETMISSFEFSPGSNGDPQNTSPSANTSASESSYKTPKSKASGMS LRTPPTMPQTAMSPSSFQHLSPNIALSPNSQDKSIEDSWLSQDTNSRTISEWSFPSPE PAVTRPRSPLSPAFDTNLPRPGQTQGVAVKASDSTVYTHTLSSIGSPLRSPDGSVIAA YSPDPFRPLPRAFESLSSYPLSPSQMAGESRASLVATRAVGSIDNAVTGVPVERVFPK GSSTWTLESCSGPTASGTTLVEAKTPVRRQSRVKPIDLRAPPPPPMPTNMPLPPTPTF ARSSTLWDMSSGAGKDSMELLMGGDERDWVAVESGPEALQSWSRGGRGVGMVAVAGSI CCWALALALLLQGPSGMATLLYLISIILPSPVLVLLSYILRYRHPKQGSNRGKKGSTS TAATSGYKSLALTSESQLSLPVSISPRLTPPQPKRYSTADINGKHMGKLVQQKPSLTT FLSTDGGGSRGVTFEGKSPERRHTVYGNLDLRDMEAEEVMRKTIARKSADVWFEEGHA VQGGGIFARAAEMLKPVPAMRVLETQPKTRAHKDDIRSHRGGVVSMLAKRASSLFEGG WTGVQHEATSQRAETTGRYDDASDDASFISAKSGVAVSVTGPSPDKRASRLSRGHSCS SNGEYESMLTQIHEAKRGRMSNGPTLIYNQRRVSDQRRVPGKGDEYELDWLTAGVLPK LVPAIKIGNDIRVEPAPGSAAIVHDSDEAGDCDTPRADRRSYVENDNESFIGAPSFRS MSLKDQSTPHQSRHIGKHTHTRSFSSSMDLSKSPEYYTAQSHGQSTSRVRRDASAKSN QTVREQELKEKTSFGLPKFNKEDFTEEMRKSFDELARPVSSGFDLPPIPATLTQQGST VERVEERLPKESPMTFSKSYVADMHLALELGASISSQSLAAEANLPQKASHMSPSQRS LASTLNTDAEDAVEEMEAMMAMDTPTRADFVISPPLSSYGGEDGRSSRASVRSISTTT SGTTTTSASGTGYSTSFAVEAPPVPDIPSPYRHSAHGAAPSVRSHPHPPPLAKSTSTS TFGPVNNQRFSIIEPHPPVQHLLPKSSSETLRSSSTVSELEASNPTPLPKALDYKPSK QELRLVKQLNERNAERDAEKEMKEQKSESTVEPAQNSKTVEKRGLKPLTLVEKRLSNE IIAAPQAVPNKSALPKSKSATGSQKKFMVLLQENETGSKVSLGGSSAKGKENEAKGSK TSTGSGIGGVRGLRGLRA L198_04237 MALAAAGTMPEYLGHIRSMQDAYDFVKNKSSWIGPNHSLVFQLV DFARNLTSLLSLHYSADPAEHIPTSFPTSADAELSEAEWARRRREFDESEHLDHSSSM GSEGRRLSDESGSGSDCMSPEEAGDEARRLDEAMLARRALKEAV L198_04238 MLHTPIPLRLSVNKRRFHLSPRTPSFTFNPPATPSLPLSGTSFL PSPAAPPSPALGLSHSPRKRPSTPTSASSPVAPAHALEAGGSRIDCQRPTKRIRSLGN EDKGNHKGPLGLITITGTSVEHGKMEDIEMREDGDNVHIKTGDEEESFGNVPSYQART IGKPFPTAQSGLPQSTPLFPPLPALAMRRSNPKKLSLSLPSSSSSSTSSTPTATGPSD SSFPTPFTPGPPRTPALAMSTGRSTTRGLRRPSLLSLITQPPSGDAVPPTPAVGMHPY ATMHMPRSKGRARSQTAEEIFTTRPENKGGSWSATPLGMGMGVPTIEETEGSSLTTSG LNQLGYALPSSSPQKPASDSSSTTSFSASTNTTPSTSPPLPASFSFSKPYPVRQAEPY EDGPIEVVPGVWLGAEESAWRFDVWAVGKSKVRIVNVAQEIDDPFDLSVRAVPGWSGT GSEKKGTMKLKTYPSISEGHAARPEVEYCHVRWSHGELGLADLPEKATLGDVRRPQGP EVQDMWKFWQAIRWMEEGRKSGTPVLIQRVSISYKWVKLTRG L198_04239 MSDLFEDPLKPENNHNMSLTPFHIFTLALSSLSLMLNNLPSSSP FAPLAGPCTRLTVLLVLLLLAYHALLWMIKQHEADVKRTHAKEERMQHDPLKKSDIKK KKNDWEHQYGHPSHYLTTRDGKPRLFPFPLGLAGSSSASKELWWEAGNTPHVGHYNQR ETPAAREQMRKEMEVKEAKRQKDAKKRTKAMEEERTKWQKRLTHLKVIMTIVCVGLVS KKLAIGCLAAWIYYVVAAHLAAMLAVKKEDEEKPAKEKKKIPTGPGMALTYLYEPDGD WVKPAGNIPTKAPTNRLMTSFESRYAM L198_04240 MFFLRELTHTILLHPSYFGAQLEDYLRQKLYEDVEGTCSGKHGY IISVVQITDIGEGKIMPSTGQAKFRTGYTAIVMKPFKGEAVDAKVVNVNKMGFFAMVG PLQVFVSCHLTHADMKFDPSVSPPCYRSNDEVIQKGTKVRIQIVGCRVEANDMFAIGT IKKDYLGQIRDD L198_04241 MSPSVPAPATLAQPPSQNTASPRSSLSPEKVGFKQRERKTPPPP APSAAYARRAREIIGDEMGVPTYKPVVDVDDAPDAPGFSVPAGYRRARAGTMPSNLHE AAARYTAPPDDDQPTSATTVTSATPTNANYTRTSALSPAYPQNSNGRPTLRHTASSAA NLENSEVPAPNRIRSGSLTLPSAGLGDAFGHGAFSNAWLSNPGLTTAASTPARSPLAS ESAASLATDDLNFSTLDYLGLADVPDHLPPASMSELRRQAQHAIASNGPASRHRASTV SNFARPYRPSVTSMGHSTNNSYDGNSEEEALAREIENLGIYDTSFMSNTQLANLYAPS SLYKDSNRQRASTIGALENPQRRGLSRSSSYLQSIPQSPVQSELFALGAYGYGRSRDN SRAGRLSISSHTSRAGTPDDKGLSTPQVPTRSLWIGNLDVNATSNALLQVFAPYGAIE SVRMLPEKTCAFVNFMDKVDAIRARDDVLNRLGGHVSALSETAPVRIGFGKIDSAPNG PSLSTVAPTPPGLVFTTDTPSDTAPTPAPAVSAVAPSVADAPSVTVPPPAMTGATLNA PDDQLSTMPTRALWIGSIPSTTSASTLLQIFSPFGPVESSRVLQNKCCGFVNFERLDS AVSARNALNTRDILGSDVGPIRIGFARVPTRSPAVGPNGQPLPASPEGSNPLGDALNS VKGATSVSTEQQMSAEGGGLENYRSPLVLDLVKQGVHEQVLEKGLAGANGEVSDQQMI MQVLSLKTEEDGDVKAAADARPPATYYTAIPPPADRSSRRFDTGKLKEIRKKLDAGQC RQDEIDDITKDLMEDCAELAADFIGNTIIQKLFERTSFALRIAMLERIAPHLATIGIH KNGTWAAQKIIECSTTGEERAIIVNHLRPFAPPLMCDSLGNYVCAGTLRFGDPYNGYV FDAMIDRMWDIAQNRFGARCMRTCLESNSTSLYQKKRISSGIILNSIPLATNPNGALL LTWLVDSSNLPGRYGLLANRFIPHIAHLCTHKLASLTVLRIITQTTEPAASSNLVRSI FTSTNDQTLIEILTDANNGNQVIGKIIAVNTIEEEQKKEMIEAVRRVLPNIKASSTPP YRMLLEAVGLPVPAGYMSGSPFGRSGTPNWQGNNRGQQQQQPQAYGQNFYYNQPMGAT GYVSGMGGNLSPLLIPQNMPLGQMRGSPGMGKSPRTPVARQGGRMSPASMMSPASDPF NPFASPSVDMPFSTQGMRMGSTLSQPPVTFEQQGSVGAGLGFGGQGGGGLMFYGQNAV SSTA L198_04242 MPVQEIQSAQQFDSIVSSLPPTQLLVVDFHAAWCGPCHAIAPVL KQLSGVYQHVKFVKIDVDQQRDLASRFRITAMPTFKFLKAGREVEQLRGASPPQLQQI IARHAGVPPPAGSASSTTTGEVTESLLRHVISKGLNCLNESKTHPLSSILGPDRGPRG TSFLESDVDPELLISIPFQDPIKLKHISIFSGISPSQAPKTIKLFINQPNIGFDEADS LTPAQEIELTADQVKGDKIELRFVRFQNVRSLHILVKDNQEDEETTRIDSIDLFGAAS GDKAEATPQQSGAAPGGSMLERLMGQK L198_04243 MATQYQQYGITPGQSAEAPKRPHLYVGNLSPRVTDYMLTEIFAV AGSVASAKIIQDRNFQHGGFNYGFVEYADMRSAEQALTTLNGRKIFDSEIRVNWAYQG NQNKEDTQHHFHVFVGDLSPEVNDDVLSKAFGAFGSMSEARVMWDMNSGKSRGYGFLS FRDKADAEQAIASMNGEWLGSRAIRVNWANQKTQTGGARTGTPGSTPMGMPPMGMGQG MPPPAAAPMPTAPVYAAPPSAPLSYESVASQTPEFNSTVYVGNLIPYTTQQDLIPVFQ GYGYIVEIRMQADRGFAFVKLDTHQNAAMAITHLQNQLVHGRPIKCSWGKDKGSMEPT AVPAAGYPPIQPQMSYPGYNNYYGGYNYQQAGVPGQPGQAGMAAPPQGGAPVPQVPGA AGQADGQISQQGAWDPAAAAAYYQAGGWGGYYGALE L198_04244 MSSKPPHNAKSANKKKPLPIDYSTQITTQLAGLSAPLNLTNDDL IYTANELYKRMMSDPEYGSDDAYWSSLPPHLRQFIRDAVPCAGTISANNPGNTSTQKT MYQMAQQIVQAASQGMGLGQMNGSARPPSLSLPQQSLGEELGFHRHPDSRSVEEEIDE DEEIEDDVDVPALNGDAPKKKNKKKKKKAGIQQLDVPAELPPPPPQTALPKAPPRPTP QPPQPPALNPPPPPHNANPHPAHHASSVAPTPPPSSRAAGKQPMSSAPPANPPARSAR AAGKAPATAAPPHNHNHNHPHTHPPASKTPSSAVAKGKAPAAQPPAKIWTQSSAEDRE NIRQFWLGLTEAERRDLLRIEKDAVLRKMKEQHRHSCGCAVCGRKKINIEMELDQLYE QYYDELRSYAAEQRTASNGLRAPPSGAGPFPGSVEVDASGTVTQYDHRAPDSGHHHDE HDHDHDHDHEELEDEESEEYDEDDEYADEDELDDDDIGTDEADVGDDDEEPPAPPPVS HRPTPRRPPSVKAPREENENDFLSFGNSLATIKGGILTIADDMLKNDGTKFLEMMEQL AIRRSVREEQNLRDMQEETDEEEDEAEPMTEGQRAEEGKRMFQIFAARMFEQRVLQAY RERVAKQREEQLLRELEEEEDSKKAKEEKKQKEAQKKKDKKKAQKQRADEERLAREAQ LDEEKRLAKLAKEEANRERVRKQEEERIRREAVKRAAQEEAAKQALERKRRQQEEKQR EEEAARKKQEREEKAKKEREAREKELKEKDRKDREAKSAKEKADKETKEKAAAEKAER ERSAREAKDKADKERKAKLEAERAEKARKEEAARKERERATKEKAASEKAAAERAAAA ARASQAAPVSYGARSPIKGPTPQPTPPISQPSPVKPSSSAISASSSTTPARSTQKSPP YYPQPLPPVGLPSGAFPRMGALPSYGGPPGLRQGYPAQSSATFSPPRTNGSALSPNSG TRGFPSTEPGSSFDQSMRTAPLGVGFPPVKQAGRMPAVEDAFAPSSSIGVPPSRSMSN AGEIGSMISTSSLHAEEYRASPTPIAPLAPIGRPAFSDMRAPPGPSAAPGAPPSTVAG PSGSSALRSRSPPLPDQVFGSAALGADDEIVQPQQRRPTATWDMPSAPGVGRWSSSPS IWGSAPGVGAEPSHNHAHAAATGSWGAPGIAAIGDRSAPPPGLSLSPTIGGGVLGNGQ RQPSFGGIGSPFVAGPGAGVLGGGIGGMGGMAPGGSAGYGQGLFSPIQGQQHPHGHQP GHHQQS L198_04245 MRASLRSLALGPYASRTPHPAPAPPGLLAGKHIAIKENISYSQA PTSCSSQLLQGYIPPYNAACVDHLINAGAHIVGTTKMDEFGMGQVLTNYKHTTIVLTT GGSSGGSAAAVAEGSCWAALGTDTGGSVRLPASYCGVVGLKPSYGLVSRRGVVAYGDS LDCVGVLAKDIDIVEKVFNIISHPDDRDMTCAPSSVRSTSLPLDLSSTSLKNLRIGIP AQTLLPHPYTSIPPSLLTHLQSLGASLRPVSLPSVRKALPAYYVLASAEASSNLGRYG GGWYGSEAEKEAGRIEGESGQERRVRVRSDGFGKEVKKRILAGTHALSADEFNNTYLK ALYLRRLLRQEYQRTFRIAHPLAAPYTPNSDGVDLILHPTAIRTAPLLNQDAKTGESV YLQDLITVPPSLAGLPAMSVPAGKAEDGWPVGMSVTGQWGMEGLVFGLGRAIEGWARN L L198_04246 MSRSTRPDGRSPSQLRPVHISINELDRADGSGRFAFGSDAILAS TSGPIEVRLRDENPTQATLEITHRPLSGTGATPSRALTTTLERIFSSTSVLELEKFPR SLVQIVVQGLTAPSVVGGAAGGFGSIVATEEGKGKGVWPREDIEEDYIPASVLEERKV SPLSANSYTFASRAASLNATTLSILSAGSVPIKSLPIGVAVALGTKGEFLMDPEAAEE RRARARFGFGWAWGKGIGEQGAELVWVESEGEFSREEWQQALQASKAASKQILDTIKL ELANNYISSGVSS L198_04247 MVDRSGIGLRTVFLPRPSLQSITTTTATRTINDLTTQIGTLGVR GSTQPLPRNHSLDKWQNFVVNPAIGQEFGNDLQLSEIVHAENKDELLLDLRGVVFFRA QDIGLEDQKTLSRKLGELSGKPQDSALYIHPLTPKDSEKDDQILVISAERRNKRAQVE DSTRFASKDWHFDITFEPVPSDYSILKIHTAPENGGETLWASAYEAYSRLSPEFAKFL EGKEALHEATSSDKYAEAAGITLRTDVRGSPLNSGPGLSAIHPVIRVSKYPTLGRSYS H L198_04248 MQAQCSGSTYALFIAKAASFPTTNCYCTSSDISAINYLSFSTTA TTCAPIAQAAVYRTDTTFTYQGCAAGAALNILGATATAVSAPAQCLSLCASYRNAFFS PLGVTYQCVCGDPTLSISFVACTSGLYYVYTHPADAAASGLARRKRHLEVEERMKRSQ MIRERGWDCPKGMQACNVKGAADTWECIDPKSDLETCGGCAYGDYIKGLNNTPSSGMD CSTLPGVYRGSVTCSDGRCVAYACKRGWTLEGGICMKSLTVQP L198_04249 MYAQQSTQTAPAEGSPEKKPVVILCIGMAGSGKTTFMQRLNSHL HSKNTPPYILNLDPAVSHMPYQANIDIRDTVDYKEVMKQYNLGPNGGILTALNLFTTK FDQVLGFVEKRATTVDHILVDTPGQIEIFTWSASGAIITDAIASSLPTVVAYIIDTPR TASPVTFMSNMLYACSILYKTKLPFILVFNKTDVQPHDFALEWMADFEKYQEALNDKA RDEHGEGSYVNSLMGSMCLVLEEFYNNLRAVGVSAMTGEGMRDFFNAVEEARQEYETD YKPELDRLAAERAAQSEADKKTQLERLMKDMNVSDKPASTSNPFGPFPRSDREDAYYD EDVKEGGEVEDWDEEEQEAIRRQMEEEEEDADAMELGKLDVDEPEIGGLAGGAREGAD RGVSWDAPQ L198_04250 MADVNEKIADPKAERRASTTRYLKLNRLPTLQEVLDRRTRPPLD LFCFYIFLQREMSEDALDFWLDVQQHENLCKAYFKDLRRSGRSVQDEWPQFADYARSN GSHFSPLLSLPSEPPSPNPTSPNPLYPVSPDQAGDFDPSHSPLNPSGSRGRRDTESHG PNHGMTSPTPSQGVGAFGERAQKEGRTSLAPSQGAGTRSGRRRSKAPTVIARDRAIEK QALQESAERIFYRYLFDGGEREIYLPPSLRVYNFPESIEGETSPLIPDLFHAQKIYVF KALEQDAFPRFLRAKAFANLTPFGSVVRLIAGLLCLWGAFVLAFSLIFLDWKPRLTRL WLILPFLFAFILLLSSYYSLSPLLFLLNLSETTPFHFISVKEPYVRKLVAIRAGIIVV ASLLLTAIFVVIFTVVPGHRL L198_04251 MLIELVTAEAILEPMARTRRSLVAPDSLPLAVDQPPRPSSDSSL SSPPPSLPPSPLQVAPLLPSANRLTRASRTLSSLPSTPDPTSGPSIFPEGLVGHSSQR EKPRRKFFRQSKARALQLPKRGRSPAPVVPMEKILRALGDGELEKKEGLSLKKYPQDY EEEKASKGKGKKRATPSDEDTAEEAKPAPKPQHVTKSYLSSGLYCQEEKPITSQTLVA RVLKAREEENKADKLRKAEERKTKGRRSEPMRPTGEGVRTTRARVSLSSSARPPQMPT EFDPKNNVKKDSVTVQTDRPILPPLPYDFGYTLFFGKQHDFELPYNIKEEFAKGVLDG KKKPDGFTKIRANIYPERQKIASDIKAVCKCDSTSNCGEQCINRIMSYLCGKGCPCAE RCENRSLNRRKAPSYKVTYMGARGFGIVITEDVSEGDFIMDYRGEVIDLDTFRDRICT TYRLTKNYYALSYSPYEVIDAGLRGNDARFINHGCAPNLEVRKYQTLGDGWEEYEVGM WASRDIKKGEELFYDYNFEHFSAQPLGSQTRCACGAPNCTGYFGRRPAQSSTMPVASE ESRGQAPESYERGQRSGKPVPSKGKMGRRQHLHPVTSVLASASRSRRTVVVSASTRSA PSLIRSSSTSSNDVPLRTPEPIIVEVQPTDDAVQESADGDARLLKVGRKRKSAPVPIG QEKGGERVMKKKRSFMVPSVVITTASKHAVNGSAEDQQGGRRRSTRGKA L198_04252 MSAPPPETYLAPGFNPAKLTVPQLRQVRSVLLAHGHGYSARIKK DELVREFETHIASQASALRRQAAAVVPSDAGIVSVSDAGEETPAVPTKRPRRSSRRST AEPESTDVELPDQTEVTPEPPAKRSRSKAKSVPVVEIEATPRRGGKKNGQPVIQVEDV DEGVDGKIEDELPKVAPTPSRRTTRGRSSMTPVTPATEPPTSARTPKVPRSARKSEPA IKVMENLHEESERDDTPKKKTARTPRRSAGDEPAFSDFNPFQSGSEAAAEKARRRRKS SIGFATSTRKPDQPRYSEPGSDAVTPPGILRRMGPSRENLRTPPSEVKRRLAEGDLDD AVAYNHEVQNKLNQISRNAQEDAQVVVHTSTDAATDTEKSLATRVNDQITHNVPAPRA TLPLSVLFLLLLTFITNFKSQSSSIGFCDTAYSSNEIALSRLSSRTAAEKCLEERARL DATDRDQAKHIQCDTSNLPLVPFLPVPFACTPCPAHAQCSNGEIVACEPEYLLSPSYI AFLSPLVDGLPTVGPRAFPPVCKPDTRLKRLIGSMAKELESSLAQHRGDVICEGTGGG SDGERFGEEEEALREKYAGMRRQGVSREEFDQIFEAAIKDLVEHEDLIVSIDVENNVT RYAAARTDLTLVCRGKLEAADLLDRWKSQLGSTAAVLTLIAYIRSEVSRRKEDKYRAA SLASVALRRIQDQEQLHYTDPASTPNPFIPRDQLRDLVMPHKGATLGGSKARMWEKVV ELVEGNANVTVREQEVKGEIWKTWEWSGVGERPIAHVTWEE L198_04253 MAAAVASPGEEITAGSYVGFDSITRQIEHKFLKRGFQFNIMVVG QTGLGKSTLINTLFASHLVDSKGRFEPEIAPRATTEIAAQSHVITENGVKLRLNIIDT PGYGDNVNNEGCWDPIVKYIKDQHSAYLRKELTAMRDRHIPDTRIHCCIFFINPTGHT LKPIDIVVLKKLSDVVNVVPVIAKSDSMTLEERAVFKERVMAEMQYNQIRMFPFDSEE LDEEELTLNERVREMLPFAVVGSERSVIVDGKPVRGRKNRWGVINVEDEAHCEFVYLR NFLTRTHLQDLIETTAQVHYETFRSKQLLALKESSTKAQQSSI L198_04254 MLALRTLPLLMVVCLSSISFVVAESPFLGCITHIFTTVSSQSSQ TSAAACITRCASNTYALYITKSFNYPTNNCLCTSANIDPTDYDYSTTTTCTAGAEAAV YRTSSSFTFKGCSSGTGIISSTSGTVASPAACFTKCAAYANAFYTPNLFGSGYTCACG SGTLATNSNTCTTSNYYTFSHTAAAAASGLAKKKRDFEKHERMRRSQVIRERGWDCPR GMKACNVKGASDAWECVDPEADLESCGGCAHGDYVKGANSTAATGVDCSSLPGLLRGS VTCSSGFCQAFACKNGWTLHNGACSRSLTVQL L198_04255 MASDGVLRPSPPSPARGFHVTNNTPGEKPASLLTQHHPQVISDR VVAPVVAIVTVNGPLATDQALSSPDSKRADDKESFYGENVGAFEVASQVELNYRFYEP PDTYEGKKRWDPKAEWTEEEETKLSRKLDWKVMSFACLCFLALQLDRGNLGNAVSDDM LSDVGMTTANYNIGNTIFSLCFLFAELPSQMISKKLGSDVWIPIQMISWSAVAMGQMG IKGPQSFYATRALLGLIEGGKATLASRAPVTTLILYLSSAATELTIRLSYFWCALTMT NIISGFLAAGLLQMRGAFPSSHWLFVIEGTITFLVGLWAAFYLPASPTQTAKWWRKEG WFTQREETIIVNKVLRDDPFKSDMHNREGLSLKQFWESLCDYDLWPLYALGITSFVAP NTISTYYTLTLKALKFTTFQTNLMTIPGNVISIIFTLIPAYISKRVNERLIIASFNPI WMLPFIVGLIYAPDDLNRWVKWVLITLTVSHPSSHPIIVSMNSGNAGSVRTRTVASSL YNMFVQSASLISSNVYQPTDAPYYHKGNKVLAGITGVAIFNFWAAKAWYIYRNKQKAK VWDTYTAEEKGEYMKSTSDKGNKRLDFRFLH L198_04256 MPVATPHAPQPSLVNTLKASVYHVEHKEHSEEPFNLRSFAHFDS APSIGTEFREFSKDGKPVIDIRDLLGDETKIRALGRLVSERGVVFFRNAIITPEEQRV LIDTLGRLGGKPKDSGLHIHPLTATASKLGDDVQVISNEYSHDFDKGGIKTNQGEILK REFGKDLWHSDITFEPYPSDYASLTIRTLPEVGGDTLWASAYEAYDRLSPLYQTFLES LTATHAAKQFIPGAIAQRGDAFGQPRGHPENVGTELEAVHPVIRTHPLTGWKGLFVNK EFTKKINELTLRESDTLLDFLFEHVSSNHDLQVRFRWEKNSLAIWDNRITFHAATKDT DGILRIGTRSVSVGERPYFDPKSVGRREGLAKEAAGDAVA L198_04257 MSISFNDPDILPAYNAVKDATADYDWALFNQSGNDLRLQATGSG LEDLEEEFMDGRIQYAFARVKDPSSKLDKFVLVSWCGEGVPEFRKGLYFTHAAQVQDK FLRGAHLVIQARSDIDVTPAYITKRIQESSGSKYISHASTPTTAPAPKAAPSYRPSQG LGGSQGKPAAVPAPASTFARPTPAPPARVPAAPAPPFRQPSPPPVIKQEAKPTATAVM SANTPAEPEPAKPTQDDRIAPVGTAYTPIKLQPGKLADRWNPAASQPDEEEAPVKGPS IKDRMSAFSGAGTAAPSAPQPSGKKLTWSERQAEAKKQREEEDKASAAAGAAATSRGG ISSAVTGAPAVAAPPPPARAVPDSAEKKEDDDDWDAPKVSAPVPPPATRAVPPRPVSP DSDEEKEDEDDWDAPAPPPPAVPFRPSPVVEDEPSAPSAPAPPPPPPPPPPPPPAPVA DPQIEELSRLKQDLTLGEAPPAGPPPIPVDSRPKVAEETAVAGKTAKVLYDYEAAEDN EIDLREDEIITQIEELDEGWWSGVNPAGKAGLFPANYCELVEPTEAPAVESSAPAVES REAPAVETTESFAAPPPPPPPPPPPPPPPPPAAAAPAAQKEYMVAAYDYEAGEDNEIS FAEGDHITDINKIDPDWWQGTCNGKEGLFPAAYVVGPDEYPLQD L198_04258 MSREWALRVALLHDTLLQSAQEKAAAAAKSHARAQSNASLSNIS SPQSSSQPSASALGIDFGALLKRDAKSPRYPERMIKGLDGLLQRVAMGKETKYSDARF RRTIALFWSSNWPEKSFQRQLKEDRRVEDLILSFTSVSAKSLKKEEGLGDSGWKEELN VQVSLFIDMIKDALSAISISWSSSEPLRQRLEQYQTSLRSAPKDNGDLSRSKSNKSVA NSIGDRSSVAAVPETPKYTQGELTKVVEVLYNWPKDELDQKSDELRQTCTPQAAVNDF KTILKLLNTEKSYPYSASDFLTQAKWQTWRGQEVSTLSQVMLLMMQADSSSPDQSSTS SITSQFENLNLDTFTPSFTFIPPNARETYQQILENCLNADLDALEVRPDDEEVPLTIL SENHTLLLKECAERWRLTPEFCSWAFMDAMIGLYEAGDVPVDCVLEATSMINKMQVDK PSSEWAAPELEGLSKAINRRDGCFLSSLITTVTQAGYLSEDFISAVNNWTTVSSSGNQ LPQAKQTLEHLLSLVKSQASDRYIDEAVDKIRHEGSKTYEFIMQMAAWVEKETKRSCK KFTESLSPDIDLVEYILDTHWNIWLHDLKDTLDLVPSEARLEALDDDFMLFNKATKLD EMRHAMSGGYGGHPVAPADPPISSLFHNTVNLWLDSVGTKTRGWVDSALMADNFESTV ENGPSSSVGDLFDSLTSAAEFLMDLNWPDEHQLAEYVTRLARVIGTCINDYCTTLEQY FNIAMRAVETSQTEEKQKVWMEKAKATLASMQGEKKIQAFFNFTPESCVKLNNIESAR HRLDRLYTLLRVDELSKLTSPVKPANRTQRYLFTVKIVLAEGVVFDNGSGARQPDSFV ILSDEHGKRHHKTRTVYDDSNPRWDESCDVIVNGTAWFMITARHRNLTGKHDLLGRAY LQLNPADFENEVLKDILQPLDTRGVVLLRISMESERDDMEFHFGKAFRCLKRTESDMV RLFVDRMTPVLRHTLSRAGIKSVLKSNNPQPQVYNEALGKISAVYKSAIGTPDYNIPA PHDHTRGRGPSDEDIESAIHPLFDYLDTNIHTLASTLSSNAMQMVMTKLWKQILMTIE GLIVPPLSDKPSSMRPLRDAELDITLRWLKFLKDFFYVGGDSSGVPISILQSPKFNEI LSVRIYYDWATDDLMEECVRGFQSTLQYRATKRMKSVKAQRNLGTIKARKTAKRKQNK DGGNAEMIMRILRMRPGTQEFLAQQLLAMSIVKLRNSKEIK L198_04259 MSSQPINPAVINRPLVMCGPSGTGKSTLLKTLFVKHPGQFGFSI SHTTRQPRAGEEDGRDYYFVTKEDFLARVGNGEFLEWAEFGGNCYGTTFAALTALHPR RCILDIELQGVLQLKAKAPLQEPPLSPVFLFVSPPSIPQLKERLSGRGTETEQSIRKR LDAAKAEVTYAQEGKHDVVIVNDDLKEAGRKLELVAMGYENWETCGDKLPALEVADLD L198_04260 MESRNLDHRRNNFKGKTQFSAQELRRRREEQQVEIRRQKREENL AKRRNLQHVVNEDGTESDEESGMDATAIGDVLPAMLQAVYSDDADAQLESTMKFRKLL SKEKNPPIDRVIQCGVVPRFVEFLSSTNSMLQFEAAWALTNIASGTSEHTQVVINAGA VPYFINLLSSSVLDVREQAVWALGNIAGDSPKCRDYVLSQGALQPLLGLLNENHKLSM IRNATWTLSNFCRGKNPQPDWDLISPALTVLTKLIYSLDDEVLIDACWAISYLSDGSN DKIQAVIESGVCRRLVDLLMHPSTGVQTPALRSVGNIVTGDDLQTQVVISSGALPALL SLLSSPKEGIRKEACWTISNVTAGSPMQIQSIIDANIVPPLINILANADFKTKKEACW AISNATSGGLQEPNQIRYLVQQGCIKPMCDLLTSMDNKIIQVALDGLENILKVGEVDK DAAGPGGVNKYAQFIEEAGGMVAIHNLQHHENLEIYKKCFYIMDKFFPDDDEEEAEAA APAVDASGQYAFQTDVAAPQGGFNFGGQ L198_04261 MGRETSPGRHSSRPREREHRSRHDDKEHRSRHGDREHRDKHRKH RDETEEDRRERKRHRKEEKRRDRNDDGLEVQDDDPSMWVEKSIDGTEAVSNIPTTDSL PLKSHISTSEAPLPPSTASGSAARERDSWMLEPTGSSASAPRDSREVPQSADLFESMG TEHLRKDPKADQPDPSKASRSYSSQRAQLLDGKSVDDYETKETKVQFGGPGSQWRMMK LRRLYEQSEEQGKPVEEIALERYGSLQDFNEALEERKYLDDKEERRKSRRGPGGLATP TGGSTGARTPDTGKRFMFANPASGDESFGSRPSSRAGFRKPGEDRDQTPNGRIDLLRR ENSGGGTPGARPGASGITSSMAMSTPVPSVFTPTALTRSSTLPDTGSPARDPTASKPP LSTEQLNKLQAAVLRAKLMDDPNAEKMEHEYELERERSEAAANGGLWSGASDGVEVQV LPTLDGRGQLYDVGTGQAAEVELGPGNKKKKVDKFETRDKQGNLLRYNADDDSQSLGE LVRQERFGAGSKDQKDIDAEMARAIATDGKFQDDLDYMDDNAEKLARRKLKSDALKRA FAINDYARTKKALDTCPFCYQDDRPPQTAIVALGTRTYLCCTETEELVPGHCLIVPLQ HHLSMLEMEDDDWEEQNFMKCLMRMHADNNQGVIFFETITTFKQQKHSYIEALPVPAR HFGNLPAYFRESILASEGEWTQHKKLIDFSTRPGGFRRMMVPNIPYFMVQWDYKGEKG YGHVIEGVKESGSGGGGGEDEEGEGDIGGAGKEDEFPKYFAQEIIGNILGLEPRKWRK PQRIAVGANKERARALGTKFQPYNWTVGNTV L198_04262 MEDSHFHLAQHDHIDSPIQDETAEALIASLKAVINNPNNAGHHQ HAAAEGETHEGQPDADAGDEHHIAISEPREADPVETLNSYTASGTNVVNVAIRRSLSV LFQLTTAHSGLLEELNGVGAIHSLAQNLKALKEGNKRQVEMLKELTAQIRASEMGVDL SRQFPDGLDSEPSPVVLRTDYEALKAQHDALVASTATAPTPAPVSGASHTAEAHQPSP VKRLSAPRRGRPSKAATQAAAAAIIDPETFTGGGTEPIKSISLKGNSTSEGRKKRSLK LEHLIHKMANRRLGVEYAVSNYESKGHRTLPDPESRPLSADESPNGVSEFRPNFQGDV HADSVRPFVDQVVEDVWDAWQASAVEGDAEVDKPKIKEAMNIYWLRLMKRWEEQEAFK RGEVHRDQLSRRKQNTYRRQQSLLMRRTGNFDQSPLNACRLRAHYRTLLTIDFSTATS DRPEPERQYSLQAWEAYRRLACGPKAGEAHEVLDQWWQSPVVRHLLILLDEFSQDQIA QAKKKGKPKQPNPTFHLPPELWMRTEPPALRPKDANGLPLSGAPGLILFRFHVSQEAI DMYPEWAKGLYDNPPIPAEDEGLPTLAEILSTPPYTRLRHLLHVAKARMYPKRLDDEQ IAQINSGIDLSHLEGIYVPYLGIGDNGQGSVPITLEGEGEYMTTFAALSQLASNSHGE SSRPELAGPPGSSGLSNDAANNWMYHSPGPSTSQPSGTPGPPPAPSAPTAMAESEKQG SSQRARRLGKRMASEVPGGAATPVAKRPRRQSNAGMGMFDVVNDDVGLDTGLDAEDEE AEGRVTEVLGHDAAFLEGI L198_04263 MTTTSPPPKGNPANAPATPFPKPTQPLPEELGAPLEDSLDHIVS NSKAVKGSHKDQLAPSEIREDAVHSHGAAAKKWVSKFFPSEETLDHLFAAQHMGNYVI DRVSGKKIFETMPIYVRIGMHLLFVSGNSYMSYKSVEKLLQDKSIKQGKTYDRTGPDV REHIEAFIATYDLPLNELLVQDLDQYPTFNSFFSRRLVPTARPITAPHDPTIVISPAD CRVTVYETVDLAKQFWIKGKQFDIPSLLLGDEAEQANGKASLIISRLAPADYHRFHSP VEGTIVGIKDIEGELYTVNPQAINEDLNVFTLNKRSVLIINANLGPGRETVPVAFVAI GAMLVGSIGWSKTPGQKVAKGEELGWFQYGGSTTICVLPSRAGLQFDADLKENSLKQM ETLVQVGMEVGKVVPIVPTGAAKV L198_04264 MAQGAGKSIKAKGKSSGSARKDVGKTKRGRRAAAPTKDKSRLAE RAQNKEMSCKINNSIEKQMVNAASAGKLTIMRSVGEAGAGEGKDGAKAKGKK L198_04265 MPPSSSCVPKRSVPALVQEWVALRQTGAVRDFNQRYRQALEPLE LEVDNPACLCVWEAGLKPELRERLLAEMRIQGHHHITVFDDTCALAEEIERSMAYISA APLRHPPPLQPHLHLSAAYEAPTAQHSLPPSAEVPLAQALRLAWSNQGTRAAPSTRRP EAIPAPEWGARHKREWGDAPRPRHLSDLGRFVREAWELCPYCRDPDHQQNSCEKLKNK KCAHPGTSSLSPD L198_04266 MNFNPLNLLPLPPTSLDPKPIPTALTVDPFSDAVWVGTSSGLVS ALCSPLTLTRNVQFPAHGYKSARGAGFGHPAVSAVKEIRVTDRDVWTLTEGGIGGRKR GGAPKWTVSEPTRTLQTMAPNPTNSHELLAGGSGSLLLANTARGEMVRTIDHSSAVVK LAPLHRTVLAAGLSGQVTVLDPRTGFKAAQNVNSVQAHVGGLSGADVQDNIVATWGWT HLQGHPMPDAHIRLYDVRALRPLPPIAFPSGPAFVLLHPTSSSHLVAASQQGMLQTID MSQGPSATVFQQLDITSYLTSMALSSRGDYLTFGDADGQLHVWTTQETGENAALDENG ALALPPFNGYDGVKPDWPDPVEPPPAIDWTSNTPLNVVGMPYYTESLLSNFPPACYAT STSPLYNPPPTIPSSVLSSMKMVDFVGYAPNPKELRGKRYVLRATPGAENRAKGRGRG GHRRDSEPRFRSEKEKNGKHSLKDEEDGLTGDGEIPKYYRKVEIKYSKFGVEDFDFEF YNRTQYSGLETDILNSYTNSLLQALHYTLPLRAVATAHICVDCKKEHCMLCEAGFLFR MLEDAKGRNCQASNFSRAFSATSQAYALGLMDDRQNNKSTAPYGSLIQNFNRWLLSSF STESVIGGETFDIRKTNAAGDSEVAVENLSIKNKPSAIDQVLGVELTTTNVCKNCGYT TSRQNTLHAVDLLYPKKVNHRLTFPDLLRSSILRESSNKAVCPSCRAFAPLESKRSLS SAAEDDLPPVMSVNAMMTTPDVFGFWKDRDSERMKREGKEGARFLPRRLVFKNGGRGL EIGRDGDGVGYAVRSMVVQIQDSAENAAHLVSYVKIPATEKSEPQWVMLNDFLVRPVS EAEVFSFPDQWKVPAVIIFEREDVDTLLDLDRLPKGLDKEILFKDVSIARNRKEDIIK HKLLRRDEMPHRGSLVAIDAEFVALQQEEMEFRSDGTKNILRPSHMSLARVSVLRGEG EMQGKPFIDDYIHTSEAVVDYLTEFSGIKAGDLDPSNSPHTLVPLKVAYKKLRLLVDL GCIFVGHGLSKDFRTINIFVPPEQVMDTVLIYTIPGRQRKLSLRFLAWFLLHQDIQTN SHDSIEDAHYALLLCKLWMDHASEGDIAFENLMEDIFAEGRRLGFKPPSTVGLSADLP VSPGKVGAEPSLGVQAAIAAGLATPPSKEALSLSYTPAGSPSARRQ L198_04267 MSTPTALKDFPAPAPVSSTVPSAPAPAPAVPSAPPPPPSSSRPP RATSHRAPITINTAISAPSTRTHPLKRLSIDLSDQSYSHSTSTDAYTPITPTQENGHI GITRRRQSISSPRAKSNSVEIPQGKVRSPGASSGFSWLSGGRLGIPHTEDKASKSDDE REEDDIDTPNWKRQAKKGPIHHKWDWGMPTQMANPAVDVTPTTPLEGDQTTPLVQPIN LASSSHSHSSAGSIPNPLLQPLPRDPNNILPLSVGSSPFASPVASRAPSPHRIQDFAS MSSGGILPGPSSAPGPFTSVSGSSPRTSISTSSSRIFNGRSGSRSSAEDDELSPPYRK YNPHSWFARSQAPVSPKAPSNAPRIMRLPSTARLLPTGTRRWGWLLEWAGFGAPPDSP RISGARRSEREHLMDGGGMHRGKRGTKRVLGSKWIARVMVFIPTSLWSITLFLVALAI FAVTLTLTLKHILNPDKEALPWRQYCTSSYPTLYSLQDPSQKPISVSPDHASHSHPIP TNSFASSSTKLTLTPLTPSHPTWPYRPHASLPYSHETAQGELDSLLAPVGVLLGVFTT DAGLERRQMIRQSYGSHWRSRREGTEGVRVRFVMGRPRKMYEKAVHLEMEAFNDIVVL DIEENMNSGKTHAFFSWAAENATVPDWQYPHHPREEDIDDGESDAERERGGKANAPIW MGEKRPDYVAKADEDAFIMLGELEKRLRVAPRSKAFWGYLVKNQFMAGECYALTYDLV QYIAASTALKTLTRGKEDKLVAKWMNMHPQREEIVWVTDRCWIYDHPKAGTVYSHGFL YPSVVSEVRTENRTGLSPAVIAHRGGHDAADAYSTVSKFGVAYHPFADDMSVTEQVEA LVEGSPLSRLREESHTSSKHSSQQTYSKTESTRHKVDRLYKAKSSRVERFLGDQDERG GTVVVHYIKKADWFVETMVALLGTADEQDVWHRGVGMGLSALERRKGRVAKPKSEGER KVQVGKSPKGL L198_04268 MSQSSQSQEEEESLQQASFNIRPCVPISKQLSNHALDTVPEVPP GLSTEQQPDLEGHVDQGRESFAGSIKTSTFSETQESAIDWTQKPRPLSESLNSAPPLS PVAGEGAFEETPTSTKAIDPQQSDEARPTTVANDLSRSEPAKEANGAQNDTAGWSALD VTVRPQSLKPVSPPTEKTMSMSAPASDDREAPALISHPVPGSPEYDPDLNFHELQGDP TDTEEPPNVPTPEPSQPVASPRRLRGAVSAPARVTTPHQTMSPVSKYSSVKSRSGGGV GGPGSKTKAVHSAPGSSKGSGLVDRSARNTREPTPEKESFEDYSLPKKENPQNYLRAF FSSNSKFGEQGGETGEGKNSTNKRVELQNAEAVGDVGEDEGEGSTGKDEDGGDTIDWG HSLDSNRPRASTADYDNAPGSPTPTRGPSSGPDEPESPLQAGPINGLMSRTNHPPSSP STQKSSSRQSTFQRSSPPQPTRRFGFANTHSSLNESQSQPVVEDEPLFSSQVVSQEMD LRGENENFSQMSAAFPATQMQAPSMTESNNDPRSSSNAKEESLEPTLKTDGSESYERP ELEATLRDPPDQPETQADEAPTVPTPPQRTMTVPLHRTLQYNSRRNTPSASPPVTRER TLEVPRNRLLQRRKKGDESGTVSAHASEAFSAPKTPVADQDLADSSAARHQISPANGL LQVPTPQGKAIEPSPNEASQVAALAEPEHEAEVPTQVESDGHPMDVDEPPVSNPTIAT EYSHSPPISNEAAHEPPKTPARSSSPDPLDQLHTASKSKSRGKEAAVDISPDPGTGSH TINHDHSAHTNVSFPTQRPTPKTTPKAYKRRERRAPKIFSPSEPSFDRDAGEKDEGDS DSSSAPEDTEDFSFRPNVREMKAAETRARRSLGGMSSTSGAGPSRLGRASQAGDSDSR SDPEQGRPVKRRKATRKSKSSSSSLTDEESSSAPEDPEDETFHVVGKKKPKGKQRKVN VASNRGTRGKSHAGNEKEKVVSDLARVKGGRMTRGGKSSVGSSTVSTPASTPARAETD DPRVLAYYDRAYYPARVVGSTSKGYTVKYDDGDYKDGIQANRLRQLVLRKGDAVLPHP VDVTGLLERMEVLCDWSGDKRGVKLKGYESDRDGDGQFLGFVKLKLLRIPARYVEENF DDRLYVPNTPNVDTPNKVVRHPSPRKNSKHKIFTGLAFIFTTGTEEGTEGRNANELKE LVEMNGGVVYKSWEPLFDKIVREKLVSPYVPFVVASATRLVMTSKVMIALAKGIPILS DKFLDDSISQSSLVRWQPYLLATPRSNHTGSSMSQVVDRQWGAAEWEKDHAFHVEKPF GGLRILYIISDKDDIDNLATTCLLCLGTAEVESIKLPRVKDAEKALDSLTDPKWDYIV LDDRSVKIPSYLSGNEKMTSILWLKDCLIMGSALPPSLEKGTEEEAKEEESRRKRKDE GGNKKKGGTAGKREEKEPPKKRQKRK L198_04269 MSLSPVAALNSVIDRLSDLADTPAIDYKALVILSTWIQTGFEVY ILQGHLDDETFRKAQVYSKDKTRYLLAQVVFNQLLGWGLIKTGLYAKLWDVSGTVVDS FGLGTNWVIVRSLVWVTILTLTTALPSIPWSYYYTFVIESKHGFNKSTKGLWIADTFK TYALVAALGLPVLSGFLRIIEYTGKTFVPWLMLFLVGIQLTLQIVYPLIIQPLFNKLE PLPEGELRTQVEALAGELGFPLTHLYVIDGSKRSSHSNAYFYGLPWSKHIVIYDTLIK DSTTEEVVAVLAHELGHWKFTHPTKLLLATQVHLFLTLTIFSIFINNRSLYSAFGFNP SLAVTAPQPFCIGFILFQLVLEPTDAFVKFLLNAQTRRYEYQADEFAVGLGKKAELAE ALIKLHVKNLSSPHSDWLYSMYHHSHPTLPERLAAMDKYEEERVARVGKEGKKDL L198_04270 MSLDNEKDADKGTFIESPRDSATPIKPGIITTDNVPDDDQSFAE YVARMDPDELKAFEKKLLRKQDLRLIPWMTLLYLLSFIDRVNVGAAKLVGLTDDLGLT SLQYSNASMIFFVPYVVFEVPSNLLLKRLRPSVWLPFTMVCWAIFQTTMGLVTNYHQL LALRFCLGLFEAGLFPGLNFYLTGWYKRDEINKRTAFFFGGAVLAGAFGGVLGYGLFR MDGIGGKAGWSWIFIIEGLLTFVAAVASFWMIHDWPDSAKFITPFERRFIHYRLKSEQ GLAQSGTYNKRIVKKALSDWKVYCLMLMYIGAAEPLYRQVSLVGSLFTPTIIAKLGTY TIPQSLLLSVPPYVLMSITTMGTAWLSDKYRRRGFFLMGWSLVGAIGYLMLLTIPIRY PGALYFAVFVSSAAVGPLIATTISWCQGTWGNHYRKAICMGMVFSCGNSGGIVSSQAY RNKDAPRFQPGHGAALAFCMLNFTMATILYFGLRRENNRRQALYGPPPPPEEVHEYDS QESLRKWGLEGMSKEELVELGDDHPASRYIL L198_04271 MRLKISLLPPFEQQKCLLPVPDDIKTITQLKKLLSKSLSTVACT ASSPKDLVLEVDGFELLAGSGVEVIEAGDVVCVRLAPGSCKEAAIKNEKKEKARENGK RKKKDRKRKQSPSLEDRRGEKKRKVSETPKVQKSVVKKTPIPAPAPAPAPTAPVQRPR SLSISSSSSDSSSSSSSSSSTSSSSGSDASSSSSSSSSSSSSSSSSSSPESELPTSKA TDDLNVFLNASTSTPKQTIYRPIEGIKSIQTPPSVPAVPPGEGKQSTQSRNARRRLAR QYKRAAESQTLTPNGNTSAAQSIIGDNTRGQSTSGYISEGRADESLVAGAGSGDLSIP VPEGMANRNKKKGFKKEMEGKRGVKTVFGESGGAHGEASLAAGSQPSSLATNPTIPVA SGSRLGHTSVTQNSERDWTQDGLPYGDPEETQAQSTTNTSSAPRNASFNSLPSNLFIT RKEFGTGYYKPLKKGRDYEVRGQSEGGGVMETEESTEVETEEEVPGEPTGLSGGETFI DGATMWETVEVKFDGYKVLSDPASLKPGDYLTYKELILDPYTFSPELQLRIARVVSKQ NGKVEMERLQRAVEDGYEEEEAMEDDTGEESTQFTLDVENAKLNSWRLVA L198_04272 MLRRHIARLSPTPTPTPFTRSYSAPTPPGPPPKPPTPSLLDRYT PYLTSLSQRTGVPLPSLGLSFLALHELTAILPVLGFYYLFASFGVGAGLVVWVSEVGH EEEKEGWKRWVKEWYEEGVAKVDRVGRRYGVLEYEKQEHKVEGSGETAVDSVKTGGAK AAEKVADAVAAYVLVKALLPARIGLSLVAAPAFARYTLVPLQNLFRRQR L198_04273 MPQLFKVPVLISYSQARGISRRSIASTSLAPAPKKKRMALTTDV NVSKPIKDDAAAPAFGAGGKPLPPPYKSTGNEALDTLAFLHMLEQLKVQKRSGWIREG VEGAESISDHMCRMALMAMMVPNTSERPLDIPRCVMMALVHDLAEAYVGDITPVEGVP VEVKHQLEEQAMDSFLNEMLGSEGNKEAKERFRSLFEEYEARETPESKLVKDLDRLEL ALQAVEYERTQEVLTLAPFFRGSIPNLEHPAVRSWAETLMEERKQLWESRGRGEQEQR ELEGAVVGGKVKAAGGA L198_04274 MDIVAALDTLESLSSSTSTSSSGPLHALIDTHFAQAKERILSGD DPKTVVVDLQKLVQKSKKEVDKGLKGWYGALGNVGKAVDKTFPPTLGVISKAYESPNL FVDEEASVALDKAVLDSLGRRGLWDAVAALEKETSLKFPEEQRALASQLQFLTASILS SNLTPALEWCATNQQFLASPPHPSSLPYYLHRAVFQSIADKKEAIIYARQHMMEYLPT KPVMKMITSRLYDQFPRRSEDIEMADSKGETKVTNPLSDEDATDLAALVSSFRLEFHR LHQWPKEDPLSVAVDLGSTGGALMVIEKARRVMGEHLGHVRQWTDLPMEVPLSASRRY HSVFVCPVSKEQATESNPPKMMTCGHVVASESFDRLLKGGRRDVKCPYCPIETAQSAA QRLYF L198_04275 MSQPQSRYTQQNPNPLVDRSVKVPEVIQYICGDCGAQTAMQPSE FIRCKECGHRVMYKPRTTRSPIRGSLVIGPGCL L198_04277 MSFIPLLTTGLKVFACFWALITFSVAAAFISKANDFFGSSYVQA TKLTAGNAIIAAGVLAFFLVLTVASPNNIFISVMLDAVFLGAQFIFFLGSAAALSTLA ALTRRSDMYTWAKLGEATLGLAWVMTFLLLGILVLEVAYTLTHFGGGYATWRTPFNQL VAYGTGAHTKHHTPAAPMATVSHAPTATTGTHAPGSHISPGVGTGVNEHNYQLAPPQP REAGGTHLGTVNPTAANRV L198_04278 MFDLPYHCKAILAAKSETGKTFDDIAKSIGKPEVWTTALFYGQA TTNEETANAILNVLGGQGLFDQLSDHRTAIGDEQIIYEKVLKGLSGKGEENYGVPGLI LRGATIDLPPKDPVLYRLYEALIVYGFSYKALIQEKFGDGIMSAIDFRTSLERKKDPK GDRVVITLDGKFLPYSDPSAWEGAH L198_04279 MRVSPIIALPALFLSLSSPVLGEPSTKSATQIAQDANRLLAEGS YSAAARAYGEAIELDPTSYANYYKRATAYLSMGRHNAALDDFDSILKLNPGFSQAHFQ KAKILAKEGEFTQAQAELKVFGKSKSDPEADELLHLATVGEAAEKSAVQASKQKKWQV CVDHSTKALEVGPSSTSLRQLRVGCATELGDINTVYGDLTRLASLNPTTLSYPVQLAY IAYFLRASPQATAHIKQCLHYDPDNKPCKAVHKMLRRLEKETTKTRNFVEGGSFRQAM KVLDGPEGLLARFEEELAEATKSKDGKPAYLFPQLNPVPNSDMRLELYALACKAAVGA NDFGKKGTFWCEQVEKMDPENADAMVLRGERLLKGEKWEEAVRMFEKAFEASGRSQDV HGRLQKAQRLLKVSKQKDYYKVLGVPRDADERQIKKAFRKAAKTNHPDVGGSEQKMAA LNEAYEVLSDPELRQRFDNGDDPNDPTSGQQQHHNPFAHHGGGHQFFQHGGFQGFPGG GQKMHFQWG L198_04280 MSGAQHDNDNDSHSGWDDEYQDAWVRAKFDFDASDRGHLTFRAG DIIQLLRKLDTGWWDGILEDTRGWFPSNYVEEISADDLHQYYDAGLGYPSQQEYEEGN AGLGGDRRGDAINADDLLRGSWGDDWGGAGLDQLAREMMENDEGGEDGLGFMLEAQRR KNSNNGLGIDLEEFGTGASGSRDETAFKPTQTTRAAQKAPDTTPRVEREDAWIPSITP DGQVYYHNTQTGEDSWELPMDDAYEADDPYAQTDEQFFHNVPSSLQEDIFKRSVEDSE SGEFAVPHSHADLPYPWIAKLSDDGREWFFHNRLTGQSQRDQPHIGDAESLADMGLGL SRMSMIQGPPAIRKSLLLQRRTVEDWQVKTCDSLRAVTQPPNPPTLGILVEVIYDALR EVLEATVTGSAAEEEMSRAIDLGSEVGLNAALDREEGAIEAVQAGYQATLQSIRDLLA SFGYVGPLDPMEELPRPAWTSDLALVGCVGVLGSVVHAAVTSKRQAESGLSVWSEVLR AATKLKDVVSNYSSLLFSGQLTAAQRDEKEGKRVEGWLGFDPLSLGEALGGKWGFGRE DKGYRVLDQAAVLDCQKARVESDVALQHLENVSSENLQTAVLEALRVAKSFDKIVAEI DIASQVDVDGDVGDWPNGGATREDDLQEYAHLVHQARLALMDLDQSTREVNEWSVAIL NALSAQRGISAELESLTTTLTTAFRALPTLLIISREQRAANEQGLIRGQIGIRSPKHI ATSQHTRSTSLASTASRGSRLSDLVRRKVRGLVDEPEIYGDAYEARDRPSEMPSSASQ SQASLNNNSGTRRTSASSSVSSLTYQPTESDGTNSQKGNRSSILRAFRRGRAGSDALD GSGKQAQKAPSKKLAKLLGEDMSAIPVVSVPPPQGQQQQGGGPFNVGLPTQPETPWYM MDDYVPGEIIFDDKGAVKAGTLRALVVRLTSHSITDTPFFQAFLLTFRSFTDPTELFE HLADRYYLPTPESLVPEQYDEWKNKKKWYIQLRVVNALRQWLDKHFVREADEEILDKV EYLALKMPGEDTKAELMSKQLLQLVAKRRQGDPEQIFPGTSGSLLSPPAPLVPRVSGR PLRITDINPIEIARQLTIIEFAMFQKIKPSEFLSKVYQDEQKSMLLAPNIRKVIFTAN VLAGWISMSILAHKDPRARGAVWKHWVQIGVECRNLNNFSSLAAITAGLNSAPIARLR RTREHVSQKVLATKADIDRNMDSSRNFSNYKDMLKTVNPPCVPFLGFYLTALVFIEDG NKSFIKPGAPTRGNAVPPSNSSSSISALASGQPPNANPTSEETVIPTKPLINFFKRSM SAEILRDIAQYQSQPYRLARSRVVQDFMNAEFERVHDAPDAWELSQELEPKEKDDERI TRMLHDSVSGDH L198_04281 MAASQETPLKSTTDSLHLELMSHCLQLTFNCIPSPTAFNVGSIV FLPSSSPFFEHIIHHFQAFPNNTISDPTGLILGEGWSRQIPGNTHAEANALANLRTRW EHLLGGHDDTKHHFPALEDVLKDSVCYATMEPCSVRTSGGPSCALELVQSHVQAVFLG VEEPPDYVQCEGVRILQNGGVDVIRVVGLEEQCLEAARRGRSD L198_04282 MGTSSKKAPLIPRSKKHNPGWFDRKISKPLSTLSPGKLFGRRPV ASKPRSVYINEQLPEECYDKKGRILKEYYFPTNQNVTSKYTIITFFPKNMFEQFRRVA NCFFLAINILQFFPKFSTISPGLVILPLIIVLAITALKDGYEDFKRHQADHKTNNDVV HVLSGGGYENRNPIEGKNKTFIPHIPLPKRKSKKAKKAEKAAAEAAAGQSSENLASAA PGAEPRGEDTLHRTRTQVSTWGDDPEAGDSPGELGWHRTAWEDVKVGDFVKIYENEQF PADIIICATSEEEDVAFIETKNLDGETNLKSRNAVPGLSQYNTVEACLHAHLRIDLDA PENNMFRLNGAVVNLDEFDDQEEHPILPVTLETTLLRGCVLKNTAWVIGIVAYTGRET KIIQNAGATPSKRSKVERQMNPQVIINLVILAVIATVCAIVDHVNEVSWYSDQAYWML YADTGGDNPSINGLVTFANAFITFQNIVPISLYISIEAVRTIQAAFIYWDRAIKYQKN GVTTRTTARSWNLSDDLGQIEYIFSDKTVGTLTQNAMIFRQCSVGGKVYTGDGEAPSH PVVAHQHKPPLRPSEDEGPFSNTAASSSDEYDPKKEGEDEPKVVLPKEVLAPFHDAQL DKDLEAHETEQSRILHGFFSVLGLCHTALASEPEPGVIEYKAQSPDEAALVQSAADVG FVFRGRDHNILRMSTPLGDEPDEYELLHVLEFNSARKRMSVILRKMDEDGRIFLLCKG ADNIIFERLTQDNSQREMREKTDKDLQYFASEGLRTLCLAYRVLDPGHYEAWAKEYHN ATVALEDREAKVEVVSSSIEKDLVLLGATAIEDKLQDGVPEAISDLKRAGIKVWVATG DKLETAVAIGYTTNLLTKDTNLIVVREGRHSIADQLREALENFFGEDAGLHRSISRFS VRRSTEAPRLTRVNTGVQSLVGNDNGTRPGGFSLVIEGHALAHCFDDQETEALLLALS TRCTTVLCCRVSPLQKAQIVHLIKDNLGVMCLAIGDGANDVSMIQAADVGVGISGEEG LQAVNSADYAIAQFRYLKRLLLVHGHWSYFRNSNMILNFFYKNIIGIGVLFWFMIYCG WSTTYVYAYVYLLFWNVFWTLCPVIAIGLFDRNIDDETLMALPELYSTSRKGSYFGTR RFIYYLMEGVYQSAVVYFFIHYTYLTTTTRGDGYEVFMYEMSTTQAIAAVMVANLFSG LNIEAWTGWVWFGVWVGPFLIWVFTAIYSIIPPSSFATGVYGNDVFLFRSAAYWFGWT LTLVIALMPRYLIKTIKQNIVPNDVDTMRLVRKYHPDIDLYNHPMLGGKLSPKDEVGA TEELDDYSEGRESIQMNSMRARDHGVFGKGDRYGDMETGLARKSIDNRMGVRGSMESS RFGIDGSARGSTVDMSTGMEQPPSRGYGFTMEENGVAIQRMQSRLSQTSTINSRPRWG RQTSNADPTAPPFAPKNPSGMSRIRERAGTILSRKRADTGATSGSGDKTAASPSKSRF LSRRRGSQSQQEGAGIGSPLRSGTGSSWEAREGEEAALGRELGSGQNMAPPEIPRV L198_04283 MSSVAGLQRITPVPTSAEFIDVVLNSTMRKTPTVIHKNFKISRI RNFYMRKVKFTQDTFDEKLGKIVSEFPVLDNLHPFLSSLLNVLYDKNHYKLALGQINT ARHLISQVSKDYCRLLKFGDSLYRCKQLKKAALGRMATIMKRQKDPLAYLEQVRQHIS RLPAIDPNTRTLLICGYPNVGKSSFVNKITRADVDVQPYAFTTKSLFVGHMDYKYLRW QVIDTPGVLDHPLEEMNTIEMQSITALAHLRSAVMYFMDLSEQCGYTIEAQCKLFHSI KPLFQNKPTVLVINKIDIVRLDDLSPENRSYVDTILSDKSVIVVEASTYTEEGVINVR NTACDALLAHRVEVKLQGSRIEMVANKIHVAVPQKRDDVERKPFIPDAVKGRVKYDKE NPDRQVLERDVEQELDHSGQGVYSVDMKKNYLLADDSWKYDVMPEIINGKNIADFIDA DILEKLDALEREEEALENQGFYASDEEEMLDSEGEEFQDAARQIKAKKASIKKISQEK NHLQNRPIIPRKKKHVSLTEFTEGMRAAGHDPMVLEKRAARMIAKKKQAWEKAQAEDA AAAEEGGMDVEMDGGDVEMEVAPGDRKELKRRAALATTRAPRTNRLTGGVSTMGQHDK ANELQAFAQRLPNRLAKASESDRHVPITRPKWMLSGKRKSGTNDRR L198_04284 MSLSDTRILPNLYDALASPPLSPQPAKSRTRVSPVTTHGYNTPL TSISPRPGATFKTAITVDDTHTPISRPALNGAVTDLAATTITPTPGTLSIKSSIPRSF QPTQQPLALLSDPANHTSLGEPPRLILHNEADSLTRERKGKMPVLSHLLSVGDGRVLC LAADENYVYAGCQSSDNEITVFSRSSLQPKYRLLGHQGSVLALLIIPEKDWLVSSSSA GDVRIWSTESLDLIYIIHPCDDTSGDIYSLAWDEREGGTLYYGSQSNSIEWVNFSGAG SSRHRTISASAASSIQVVSNGDASPRSAPSQRSGRYKPHKFFDNPPDGACSGVFTPAS ISVSGCNTGRTSVSPKVDLDRVDDGLLRQTQAATEIETAAESRLAFAHYGYIYALHIV SRPNGRTWLVSGSGDSDIKIWECEAGGGISLVRQFDSLSGGVLSFAYRDDLLYAGLQA GEIGVWDLETGARIRTIEAHEDDVLTMCALGGDVYTAAADGRILRVNEEFDCTAAFRV HGGPVFDCVVIPTQKEDGWQLVTSGCDSYVKIWQIEAPNVGLNHEEVDMGNEGDVMLY ALSKLVAVPTVSDDAHRESCRQGAHLLKKILSQLGATSEVLSGEQGRNPLVLATFTGR DTGKPRKRLLFYGHYDVQPAVEERWETNPWELSGRNGYLYGRGVTDNKGPIMAVACAA ASLRQRRELDVDLVMIVEGEEEAGSRGFAPTVRAHKADIGHIDAVLLSNSTWIDEEDP CVVFGMRGVVYANLSVESKEENLHNGVDGGATVEPMFDLVRVLGGLSDAKGVKVPGFY DSVRPETPEEMSLLRDVSAACGRPLEELIRVWRQPSFSIASINSSGSGNKTVIPRKVT ADISMRIVPDQSLESIVQGLKEHCEKTFKSLGSTNNFNASHFCLVLESLLTTLQVQVT HTASWWLASLESPYFKALESSIQDVWGVKPLKIREGGTVPTVFWLEKEFGAPCVHLPL GQSSDAGHLANERMRLLNLRNGKKVVEAYLTRLASV L198_04285 MSFFKRQDPNAPAARRAAQEGQSYQPIQDNSSYAPQPPARQSPA PQQQYPSQGAYGEEYQQSPARTPQQLHPGLPPRGASQYSEKPSGGEYGYQPQVAAPQR GYQQQSTGSGRGVFGVAPCPSEVLALSNLLIVNPGDFPQDVDFVRVRGRYTFGIRRDN GVPRGTVGPSRHIRQWVGLSAHGEEVDIEPFQPGNGDWASSAQLEIGFRLKRKETQDL FDSEEMAAAFISAFPSLPLTPMQPLVFDYRGHELKATVREVSTLDGEDGRAGIIMEGT EIIWVKEPNSNMKLKNSSNRGPTNAILTPNFKFEDMGIGGLDNEFSAIFRRAFASRIF PPGLVDKLGIQHVKGILLFGPPGTGKTLMARQIGKMLNAGEPKVVNGPEILNKFVGQS EENIRKLFADAEKEQKEKGDESGLHIIIFDELDAICKQRGSTNSGTGVGDSVVNQLLS KMDGVDQLNNVLIIGMTNRMDMIDEALLRPGRLEVHIEISLPDEKGRLQILNIHTTKM KNNGVLDDDVDLAELAALTKNFSGAELGGLTKSATSFAFNRHVKVGTVASFEGIENIK IGRSDFMHALEEVQAAFGVSEEELQQVVQNGIIHYSSRINEILNDGQLLVEQVRKSDR TPLVSALLHGPSGAGKTALAATIAMGSDFPFIKLISPETMVGYNEAQKIAQLHKVFSD SYKSPLSVIVVDSLERLLDWNPIGPRFSNGVLQALVVLFGKRPPKGRRLLILATTSNR SILSDMDVLSTFDTDIPIAPITSLKHVERCLREVQLFDNAEDQARAVGMLREVKFGQG GTGEMMVGVKKLLSMAEMARQDPDPAAKLVSSLIREIS L198_04286 MRSDEEDDEEEFYDENEEDEVNADGEEEGEEEGSDDDGSEEDNA EDEDEDEDEDEEGEDEEEEEGGDEEEDGSEDEGQPMDVDAPAENGECPVSQPPQPARS ATPPHLVRRSFLKPAFNLQYPPRSLSVEVVAGIPLPTPIHSLGSSNCMSYLLAGGQDG FIRAYDFWGTVNGTQTMTAQQRSVVGLGETVNKAGVARGWWTNEVEGIVGGTLAKRPE AVYSMACEGDALWTLAGTQSGPINLYTLRHSPGHLVHTLKGHTNVVSCMTLLPEEKSF ISGSWDGTVKEWDLNTGQATRSYPSHKAQISSIALRPTGISSSPPSSPAPQKDEDGEE TGNGLAANINLSVGPDFFQKKDGPSENENSLDKASEGKKLSGESGDVDMASATTPNSG AVDSLFGDEASESEQPPASILPTNEPPPSLPSPDKPKTLGLALPGQRPTITIPPQQPQ AQSRGQNQVSQQIPPGPPSAPLFKPLLPTSAKQAAANHIPVLSPAGYKNYSDDVLLTS SMDGQLVLIDRRVPSYEGAGSGVGRLVAGEKTPPWCMSATWLSNGNQVLAGRRNGTVE VWDVRKGSNAANTNILRTLRTPAGSGPISSVVAFPDGQHIATSSTDNLRLWNAAELFQ PEDSIKRSKGKTPFRIIAGHHGGTISSMIVDSTCRFLVTASGDRGWGGESTKAVLIHE IKW L198_04287 MSDDDLFARFAALRPPASAPSSPPLHTVEAQRTVDEVAKKAREE DEELERIASGMPTTSSSDVRSGKDEDDDELAKRIARLRGNEAVEDEGDSGEQDMESFL KEISASQHLPTAREESDEQMIARAFASVRQDRLRPLSPANGSDSGQEYDGPSEEEVIS RALDEAKLDKDKPPPSPDIGEQKEIPGLSFPSLPSHVPQEEDVMDEGARRRLELLMGL KPSPAQVGNKSQLPSVPKTKPTYDLPGYNSARDEDTDTWCCICSKDATLQCIDCDDDL YCEECWRDGHGVAEGQERGHTVKQFNWEGKRPVAV L198_04288 MNPTRSLLSLSAAFARLAVRPTLPSIASLPSRAVAPSQSARLLR SERGFASSSRCEATINQVMRGARKSSKRKSSVPLLQDCFQKKAVCAKVYTTKPRKPNS AVRKVARVKLSNGMMTTAYIPGEGHNLQEHSVVLVRGGGAKDLPGVRYKIVRGTMDLN GVAGRISARSKYGVKKQKKN L198_04289 MDGDFIPLEGTPAPSKKERKEKVNHTLFVSSLPYTATSTDLLTH FSFIGPVRHGFIATDKESGKSKGVGYVTYSLREDAERALVELNNKSFGGGNRKIKIIF ADEKMSLKERKAEIKVSKPIPGQTDNKGPSDPNAIRTLVLSGLPTGITKAVLWKKIRK TNDKAEVVYPVEAEEGEEKPAEDVAQVLFPTHGDALKALPKLHGHTYKGSVLSCVLKK RLERFSSKGDGKANSHAGRLIVRNLSWDTTVQDLRKSFLPFGPIHSIDLPTLPSKLPP SDDPSKPLPPPRARGFAFVWFMTKLDAEKAIEGVNGKVLKQPKEGEGRVVAVDWALSK EKWQEANKDEKKEEKEESGSESSSSGSGSDEESGSEEDSDEEESGEESGSGSEEEEEE EPVKPTLPAVDVGSTLFIRNLPFETTEQELTDLFRSFGPLRYARITMDKMTGRSRGTG FVCFWKTEHAEAAIEESLRVAQETGANNIPLGGGPSKNPFALPSLLTVDPSSSLASRL VLHGRTLEITHAVTRETATQMKEDGERSRNAADKRNTYLMREGVIFPNSPAAEGLPEA EVEKRQASFNSRKTLLRGNPSLYISKTRLSIRQLPLFASDRTLKRLAIHAVREFDKEV AESNREGLARAEEMDDTMSATLEGKDKKKGKSKKERDTVVIQSKIIRQTEKLDAVTGQ GRSKGYGFLELRTHKDALKVLRWANNNPEVGPLMWEWWKIELKDLKERAEKGLVEARN REEEEKKAASDGKMEKQSKKVLESAEDLEARLKKLDNRLQEGDDRSGGGMRAGKTLIM EFSIENVQVVKRRVEKIVTQRGEARDDRGGRERGGRERDGRDGNKRKPGVIAAEDSDD DEAPRAKRSKPDDSWKKDTPGGGKFAKRDAPTGKYDKKDRSGGKFEKKGGKFDKRDRP GQVQPRQAGRRGEEKSVDQVKQAVKASEAGEKRGIEKLGSQLGSMIGRKRKSRKGGK L198_04290 MVAAKKVPLKAIKTLTFYADRYTLGRRTDPIPQLTCNGPGCRVY QPDVVQCTNMGDDGLGGVQWKCDTDIPSYLRLGKVDVSCEGWSRAGDSNVLQGSCGLT YDLFKVNKGLEYGDDPYDHMPSKFDRHISSLFNIAFYLIAFVILYSIARSLIAKYFPR HTPPPLSRYNPWGGGGGGGGGGGGGGPGFNSGQPPPPYVKQEYTEDSTQVPRATTWTP GFWTGIATGGLGAYMANRNQDTWGRYNRGFGDGRGPVENTRTRRFWDDDYDWDRGVGP SRRAGGTPPGEMRRATGFGGSSTR L198_04291 MSSPIVVAIGNPLLDIQVNPDEGPAYLKKYDLKANDAILAEEKH MGIYDDIVANAKVTYVAGGAAQNAARAASYVLPPKSVAYIGSVGDDDLKQTLEKVNEA EGVQSAYQVQPTSKTGACAVILSGHDRSLCTTLRAAEEFTPSHLSTPEVAKLIDGAKY FYIGGFFLTHGVESALEIAKTAASKGKIVVLNLSAPFIPQFFKVQLEALLPHVDVLIG NESEAASYATATGMSDAPVKEIAAALAALPKTNSSRPRVVVITQGADSTLVASSSPSG SAGNLSLSDENPKTYPVSKLSDDQIVDTNGAGDMFAGGFLGALAQGKTLDESIEIGHK LGQMCVGQIGPKLVYPRVNVL L198_04292 MTTPVLAAQSVTELIALKAKTQPDDPAVHTGAAEFGQELQTLTY LDLSKAVDRLAAHYASLKIQPEVVTGDLPPERIVAVLTTSSINETLLEAALAKLGLAG LLLSTNNSTAAIVHLCKITKSEILIYEDKYEATANEARDLLQKEGIDIRLVPETKFPL WGPKGVREADIPPYPARLTPQQEAGRTVAILHSSGSTGFPKPVAITHYALLSNALRLL PVSTFLALPLFHAFGHIAAFVCLYHGKPLTIMPPNISLTSVNICRVIRESPSPPVQQY AVPYVLKLLAETDEGIQTLAKSAAVIYAGAALPDNLGDRLVEGGVNLVSIYGSTECGA VMTSFRDFKTDKGWNWFRNEGPIAQYLEALPQGSNTFEVVIRDGWPGKVTSNRASDNA WCTSDLMLQHPEHPTWFKYLGRMDDTLNMLLGEKTNPVPIEMAIRGHSPLIQECIVFG DGKPQVGALILPSEQGAELGKDPKAFLDAVWHVIEQANADAPTHSRILPEMVEILPYG TEIPVATKMSIIRPACYRKFADLIDSIYERFERGSGEPKKNITTKPELESFLTSTILS TISDKKTQAPGKEDVKAELGVDTDLFSFGIDSLQATRIKNAITKTLDLGNAKIGQNIV YEYPSVGQLAEYLFNARQGSGADESPEKVYAKMWDMVDRYARQLSKEELVTISSKGQV VVLTGATGSLGAHLLDQLTRRHDVSKVICLSRAKSHADSLRRLQDSLAQRHRTLTPAA EAKIVSYAADVNSEDLGLSPELYEYLKNEATAVIHNAWPVNFVISVESFDEHIRGAFN LLDLTLKAPGQVKPAFFFSSSVSAQLASDVAVTEVFPAKAETAMMGYGRSKWVVEKIM ERAGKETKARCGVLRIGQLAGDTENGIWNETESWPLMFKSVNELHALPMISEAPSWLP VDQAASTIIEIVFSTTFASKPSSASVYHIINPHLTSWSDVLAGLAAGGLEFDTVPQTE WVARLSQSNPDVAVNPAYKLLDFYQARFGSGDDIPELEFKVERTREESETMRNQVKKV GPELVALWAKAWIESGFLA L198_04293 MWLVLARHGQTEDNLHGIIQGHKDTPLNDWGRFESARLGHALRK VAITEAYTSPLSRASETASIALRHRPEITIHEHPGLKERGLGSWEGRSPPPKEPTPAD AEGYESLTLRSKLWFDELLSTHIPPPPPPTESQHRRSVQDADTIFVVSHGAWLSNFRR LLLSPAYHFKIDKHCNLKAHCLNTSISLVYCEYNHAKKKWTGVVQIWAYVEHLRDVLE KEEKVGIADDVGR L198_04294 MRLILTRHGQTDDNVRGIVQGQTDTPLNDQGRHQSAQLSEALKR DHITKAYTSPLSRASETAKIVLAYHPDAPLYLQDDLRERGRGSLEGQVWIPGTIIPDD AESFDDFTRRSTDWFAKLLDAHIPHLPFPEEAPPLLRDPFPESHHSTEDVILIITHSE WLEQFAAITCSSLYFFGQTEKCDMKARIVNASVAEVNSVYDHQEGVWKGMIEGWGAVG HLSRMGESD L198_04295 MRLILARHGQTDDNVRGVIQGHKDTPLNDWGRFESKRSAEALKK YQITEAYTSPLSRASETAQIALAHRSDIPICSHPGLKERCLGTLEGRRRKEGETAPAD AESKGAVSIRMRHWLDKLLTSHTPPPPPLGATDQKGHFKDDTVILAVSHGGWLGVFLR TVISPAYHFRPGKGCNLDAPLMNASVTVIDCEYDQLEKKWKGVINVWADASHLKDVME KEVTEVADDVR L198_04296 MPPFIPLPDRLPPNGRLPTPPPNSPYTQLPLPTYGAPDIDLSIP LSATADYTHAGGNRRMSDNGYENGGGDGGYYPGEETPPAAGGSYTPAEEPSRKRPRTE GEGANGNGSYVPRQRAAEHPPPPPHSSQQAPMPGQSPLSGSIFNVSPRNPFTAVVGDW LLSVANGHQNIEIEIKLGMFQIPSQAGQPPRRINLPSLIVIPPDYPIGSFVSTLAPQH HKSLNNLLNRAVESQASLPRDAGRIYFKRSRLVDSFYDLGNEGGGKVRVSRDMKTGDV VESVRKRRVGDCNVYCPGSAYDLRISVNVEEPCEVPEGQPKIIREKDRACYQHQVCRV DLTSVNTFAKPSDAQCQPTSSFELEIEVLNCRRLLAEGKAQSDRFDEMLQNVLDSARM LVKNV L198_04297 MAESRGGFGRGRGGAGGRGRRGPRRGGKKEEDKEWVPVTKLGRL VKDGKIKSMEEIYLFSLPVKEFQIIDIFLPALKDEVMKIMPVQKQTSAGQRTRFKAFV AVGDFDGHVGLGIKAAKEVATAIRGAIIAAKLAIVPVRRGYWGSHIAEPHTVPCKVSG KSGSVMCRLIPAPRGTGIVAAPASKRMLQMAGIQDCYTQSKGCTATQGNFLKATIAAL AKTYQFQSPDLWTIIAPGLTPYDEHSAWLAIAAKKAANY L198_04298 MAANGNSSSAIRRKLVIVGDGAAGKTSLLNVFAVGHFPESYEPT VFDNYVTEIELDGKPVQLALWDTAGQEEYERLRPLSYSKAHVILIAFSVDTPDSLENV TQKWIEEVRSICGKAIPVILVACKTDLREKAIADGTYTPQRFTDRQTGQKIADSINAR AYIETSALLNQNVDDVFEAATRAAVLVRDQGHGGVGASYDRSGNGTGDGSGGKSKREK GEKESMCGCCVIA L198_04299 MDPTPHNNESRDINPFRRALRHPPPHVPREPSTSSPQHMPVFPS PEYPENLLRDWSWDWEDTMSPDSNPHMSSHSDETSHEVDSIVRQQSKLECMLLTALDD LYVAQMFEDLLCQPPSPRSEHRPTRSLLGLPNELLMMTFNCLPVEQIVSLRSTCKLFA ELTKSRALYRSVLVDQFPWASDDLQGVSVPRFYTEYILPHIRHLTVILPDNGLNTIPH RGMFQAMDCQDGVCTPSFIYTFLDSIPCHQLETLIFPRSGFLVILDIWAKLLAQQRHL RTVNLSGACLDTDCMELLSAMNRLEHLDLSGVLAVDGWEDLTEAFVHSEAWKAIRYMD LSACVNIDKVVFKEFLKSLPVSLRYLDLSALPWVDSSMLEGVKVWGRRDGDGVREGPV QLRWIGLKGTKVSEVDLCTLNSQWAKSKLQGMESLSQRAIKALQLNKQEIVDQYNLEL LQVDHAFTPSFNRAHSAYDIHHGRRDIGHCLSKISALIECQEHIQSYVILEGFTEEAE QMIRSRVEDDATRYLRVWSDRGVVLNWRYERWNYACRLGEFLSNVAKVD L198_04300 MSSASLVSTGLKAFACFWAALTFSVAAGFIAKTDDFFTLLGGSK YVRSTHLTAGNALIAAGVLAWLLVLTFVSPRNICVSVMVDTICLFALFVFFLGSSATL STLASLARAYDTYTWAKLGEATLGIAWVMTFLILGILIFQVAYALLNFGGSYATWRSS FSQLVSRPEEVAPATPTTEKEAISAEAPVAAVPALEV L198_04301 MKRIFKKAPLVDPLPPPSSQPPSNPPSGRSTPGHQGGRSIAAFF GGGAARESSDYDSAQQHPSPQQQLQHTPHHDHNKWGFGMHHQTEVTPFPSDARAEQTP PPIGQKGKEGSSGAPSMLEIKQMQERRDQERSQTEDPASRDSRRRGQPQQPPPIHPGQ RTSRGYQSSPSPDGWTNVPTASGAAGGAPGAAYEGTYPQHALPIPNASFSHQSQAQSY AHSHSSVNSSPSSQSTHTPMFLPPGARPPTPPTSRPPYPIHMRYSQSSLKQSTTPNAM CGECNEGKSGRERGYSSPVMTTRVDGHQNESVATQHAMPRDGSAAKLQKQQPANSRSP LAHTYSTLSSTDNPPTFPSPRPYTPASGAATPTPQTQPNHISREQPQRATPIDLPNPH IPVDDQMHGDKDLPLPPREEQQPPDHEKAKEGKKKFWGITVKSSDKKNKKAQAESHAS GHGLMQSVSLQPNAVGDWRQSVDEARRSSDGRGLDMIDSLSTSTHGQNVSQNEEEPKG RLLGLDFSRRDNAAAAQVNDVASAIQILCTSPDPSPPAIYEVCDRINHSGQGESIAKE AARALRKELKYGDEMQRRSAGKVWFVLMRNITVKGFKSDPAHATNKKFVQALEPILTT PVAKATVSLSTKKLLTDILADLTYAYGMDKECGGLIDLWKKVKLPQEPDFGRPMPQDH PIYSHNPDQPPTSQMANLQVHPAPASHSSHNSHISQSTSLPPPPRPPRNYAGPGFAAG PGYKDLPSHSEDIRRLEEECTAAQESAKLLGEALLYTRPEELEYKPVIREFYPKVFHA HTSLTNQMDWAQAEASQSRERLSKSGALVIHDGPPADTQGPESTLEERALATLFETHA MLAEVIKQHDDLERMAVDEKELREVRERSKKETRMDRGQQMQMLSVGPTSGAASSSRS PSPTPAPLLPSVQPAPQGGNLKSNNPFRSAAPAGSRSPSPDRHHLPYAPRDTNSPSTG RTVSPLGGAKLRMGPRPLPNPSKPSSAVPTPSQTPAPGATASSYGGSQSAPSRSGTGD SNGKSSVGKDGEEIDGDDLPKIPLKPSRKALGKRRAVVDEDNNFDPNDMFNPTSTDPR STVPSSNNGLPDGEGSDESLLLGDDFTKVKPIVYAYDAYEERQKELKRLKELEERARA SSGFSGGSGGSRVFA L198_04302 MSNHRQHLIASADNGTQPVNAPIGSVIDHLPPKLEELVLDGIHV PEELTMNDIAQIQTVTLGWDGVARPNRLRVISLNDCRLYLGELLKLQYHWLLARIHAL DKLSLEERRGYDMPIISVGNPSAFKKVAWWQRYYDNAKLDSASHRVLAAQAKQVMALS EVDEEGLTKEVVLQR L198_04303 MLIKAKGSPLISSLLTAFLFVAALPAALALQDNLAGIVDWHKPY IGQPLLTPTPPLYIERTNFSGGRVALITKNNVLAAINAENGDIAWRQKLEDNDPVVSF HVQDDTILLLSGPSASSARLFSLSTGHLLWHYPLLPPATSQLSTPVHLGTDAAFVPQQ EDGAPATWVILSDGRRVTRLRHDNGQIFWSMDSPSAGSNMVFKQIRPSGNSIHILALH YSFAVQSLLTSTIALDSPIPRGDFGQVPSIVQIPEQAMIASSAEPGGAQVVWFEHGRI RSLLVHEDGSLGQIKDLLPGNGRHYASIIDVGLREQGIVLGKREDGGVEVLDVKEGKK IEEFELSADAAERSESVYSGTVTENGVIVNRVYWSFSMSIAVAQTIDIPYLTSTDVIT SGFTFPYDDLSHGVLLHAAVSPSLDAKHLPSLVLTTSASAILRSQFDSLPLWVREEAL ADVVAAKFVDLGEPEVEEVREILGEESFVGRSVRHLEALKDLPGYLINFAKRLTGASY SSALHLTPLNKNRLHRDQFGLQKLLVVGTANGKLVALDSSNGQSVWSVNVGLITKEGS EIQVETVDLVKEGETDSPILGVVATQFTKTRSFTVAFHVEAYTGKVLGDVHPTTGLPK GTYLFEGVVKEVFATIYKNCGSGIRVLGLVNEKDEVSIWPGCKTVVGQIAEGGKPFYT TISTNLDGAVLKGYVLAGPKAPESTSAFTSTLLWSRPFTEHERIIDSKPLQPSAIASF GRVLGDKSTLYKYLNPHLLVVSTFTPSSPKALNPLQAGEPVGQGKVYVVDSVSGEVVY ETAIEGVKERGGVKVAMVENWLVYSWLSEGGWRIASVEIYEDADKGVTSPALSTFEAH KIQVFAQTFIIPTAIKTLGFTTSKAGITPKELIIINDRNQITSVARRLLDPRRPVGKP SSRDKEEFLISYDPLIPIDTRKVISHVYPLQGITNILSSPALVESTGLVFGWGQDLFL TRGLTPAGTFDILSDSFNKAQLLLTLGALSAGIMVARPAVMRKMLRMRWH L198_04304 MSHAEQLQTLQAIFPDWDEQDLQFTLSDAKGNVEDAVLMISEGR AQQFTTASKKKAAKPKDKVLSSRNADTGGWGDATVETGFGKPSRGGRGGSRGGRGGRG SEPIRGGRGGARGGRGGARGGRGDFSNTDGAAPAWGSSNNAGGESSTTPGGWGEAAAA EPVKADEGAGGWGAEPEAQPWDEAPKQADAVPGQSKGDDFASSGGWGDAPAAKEVESA ANSNGNAWQAEIDKPVPVAQPSAPVAKPKLTWAQIAKPAEKPKPVPPPAPVAPEPQPE PEAEATITSDEPVAQDEVAVTPEALQDTTPEPVGALGDAPAQDEVEAEAETAGASTEA PAEEQPQELLPEETAPAQADKWETDPAIAGSGTQNWAEEQSTAAPEPPTTYQGPPGFS TVASKAAPGVQAGLRSNSRAAQRYKEAEGQGVVLPASVGGVAGVEMQFGSLSFGGLNG DGVDSPAPEPKQEAPQQAAPIQAQPAASPVAHTQPPAPTQQQAPASTASQPPAPLYQQ QQPGYSAAPTPHQTLQQQMQNYQYLQQQQAPAPVHSATPEQHAVQQQQQAQNQFYRGQ DYYSPIGSHGEQAQQQQQAAAPQPQPQASNSPYEPFGAFGQQSRFLGQQANQHVAQGS DSYGAAQRQSFDSYGASGYPRPPVEEPKPAATPSHPTAGQLPHQQSGYYSGMGNMGYY QQGPYNPYYQYGQAPQAGFQQYYPIAGRGVYGQPAPQPAPAPIPAKPQATQSPYGGPS PYPAFNSEDSAFGGLGRYEAKPAGAAQPNAAAQTPAQSGSALGGSYGSQGLHGFLGMN NTQAGAARPQGAPEDSKYGGASSNQVGTPNVSAANPSAPNSGIAAQQAQAAAQAQAIQ QQQQQQRGPSAAGQQQHQPQQGGFGSYPYGGGYGGQDWGQYGGHYAGRGGYSQWQQ L198_04305 MADNINDLYGDLDLEDLDASQLEELVEPPELDVAPSSTPALSHT SQPAPAQPQAQSFSQPSEQPAQQHQFGYNSFDQQQQSDGAGDRIKPSDMPDEGKMFIG GLNWETTEDSLTTYMGQFGEIDACTIMRDPSGRSRGFAFLTYKSPTSVTKVMSQPHVL DGKQAGRLFTPLTGLTSPQIDPKRAIPRAEHERTAKVFVGGLAPSVTGESLKSFLCQF GQVMDATVMFDKETGRSKGFAFATFQDEDSVGRAMAASGIELEGKAIEIKKAQPRGTA QGSRSTYQQNRFGGNQPNAFGGGMGGGFNGGGGFDPNSMAMMYQNMMKPAGGMGNMMG GFDPSAMAMMYQNMMKSMGGGNAPAINPNMAMRSPNDSVSSGAAPGMNNMMGMGMGGM GMGGMGMNMGGMGMGMGNMMGGGMNRGGMASRPPNAPRGPAAMRGPQQGGVTPQGPGA QRYSTQGNARARPY L198_04307 MDTPHNRSSIDTIILLFATLTATMAYLHHVRKMRRNRPMRRVIH NGYWNVERQIEEWLHHRHHGIRTSLGLHVDEFLDIKDFLEANGIELETEGVSANARLA MALYMLRKGASQASVAEDFGQRSEDTVWGSFWTVVEALVREDIFRQWIKMPTAEDGPH PILADYSPFVFQHALGAVDGTHVYVKVPQSSKKAYISGRKDSPTMNVFAACNFDLDFI SIFAGVEGSAHDSRVLSMAKSQGLFDLPPETYVLGDAGYALSGTILTPHRTVKYHTWE PGAIPQSAEEEFNRQHASLRNCIERAFGLLKGRFHILRDKPLQQKPKNQWKVVVACAV LHNMLNAHRRGGMDPMDQEEMDETMRREREDRQDRGRRDRTTGSEDESLLVGVDDMRS RRQQYTDILWERFQARRPRRGRPSGRGRPRRQNQNRGQYE L198_04308 MTSPQPTNKSASPAPPSQSANVHASNQKKPAAKANEEEHRVIDE VLIENLSSLRTDFEQGTITSLTPSDVEANKWMDDKKFKKQKAMTKFEIKELVWMEIFR RAKQDSRLSGVNVKIETPGYWKRRWYHLTRDWYQPIADARSKSGRNFYDGKLQLSEEE WEDRIKASPAYKKLRETPFHHFDAMQYILDGQSASGVNAGPRFPRSSGETPSGPSSLQ QSRSLSLSPSSVPSGRRAAQDHRAEVVNVSQRWKRLERKRKATEEGDNSEDDHDGSEE DEHEEESQVDKKQKRARRGWPRKKEEEDDLDDDGMARAVLGMQRSMDKYLSKQAQVSN PGSVRLSTIASWLEKREDFEDATKARIMARCLKDEGCAEQVKLLASGCELKLLENAIL EEIRSW L198_04309 MFAAQQSTPSIQQQLLDLLARQQETPAPAPRTYSLLGRPRFISS TRQTDPFVITRHLLALEEHLARGKDTMKDIFYERWVIEECNHSISQVGQWLEWSREVG KTTVSFGQWSRAFKKKVLARDWVDQARHAIVQKRMEGKSGQNFDTFANLVRDYQHLLR DSDEPLAEMEVKRILAAGLDSPYLLRERDAPLYDIELMSETVDNCANQAIYQSQYTAP RPSSRPAPRPAPASPARPAQLNAISVMPSAPSAAEVHAWLDHTVRLPPGVDGTRARDY LRQRGLCFRCRQHGHISFGCPTTLQQVASLSPAPVHTAVPVQALANAPAPASLPSTPS STNGSVPLLHVQARLSADGPSYQSLVDSGAAVNVVDKTLVEELGLEVREMPPIVTRMA NSTFQI L198_04310 MDVYVGNTIYRDSPFVVMPLGPANRMILGLPFHLQHRLLAGASG LEALLEEGRATRRREQDGEVVVGSGLARISEVSLELASELVTRRKELTAQLLTDFADI LPPQLTDVASYPKPAPSVSQVRHHINVLPNTKPVARPYYRLPLVFGSAFKAEIDKHVD AGRLRTSSSPWAAPAFLIQKENGKL L198_04311 MTFPDPAHAPSSLGNSHKSHATSSSADFGAFTSAAPPTPHSVHH LGEADLLGSYEDDQHVAEPQRGQPPPSPGAQPTWPSAFDPLATGSTEIPPYPPHLPTN TIDRHSSSPSATYISLPPRPTEISPNYVPHARTRRLSSFTVATSPTSPPVFTDTGDEL VFHPAHQSSGNTALGSIQNVQRKSSTSPTSSRVKPPTPHSSILWQQQQSLPQGGSLCL LRKFSLQPPICLGITPEVAMRCLHIKHLHHHFQYQ L198_04312 MGPRVKRTKKQPISISSSAIRPSPTVSHKLTQAKIATFHNLLKK RATLKRQLDSSPSATASEAVSSSLQEVELEIAELGGLDGYQKASTLGQAKERGGDSSK VLVDWIKTRGYGKGDIRSVTYPSNDLLILTPQSLLEIGALRPDNYSFHKWIHNTPIDL HSQHPNILEQDFFDRPLPASEYEAFDIVSCSLVLNFVDEPLRRGEMLYAMRRQLKQKD SSLLFLVLPLPCLRNSRYLTIPAFVQLMKVVGFDLVQERYKANGKVGYWLWRWAESVG DASPWRKKAVMLDGPKKNNFAVVLPQ L198_04313 MSTQQPLRRRQYSWAVIVSFGCLAIALSWVAFSIYQEDVRVSGS WGCEMSWMSPSYAPLQWPQRRMRQRYGLYRYLEQGIDMGSPPRGHPVIFVPGNAGSYQ QVRSIASAASRQHQHQGGSTAVHSKSNHIDFYTVDFNEEFSAFHAQTLREQSKFLQLS IERVLAEYGHLPEHSKPTQVTFVSHSMGGIATLLALDSRWASMVDAVVTLSTPHMMPP VTIEYDMDAIYTTISRHSQLGIHPPIVSICGGISDTQIVSESCVLPNTPGSEDLRLTA FTSAIPMVWTGVDHQAMVWCHQVRWTVASLLLDWANANGVDGIDIARERLVGPRAAPL SLPDGNIITFPISSATSPTMTLLRRRTDALSLQECDEKGNCFPVEFRSDLLPLPRNTS APFPSPGEGVNLDDAILSSDLNITLSKGMLEVRSVQGARKDWVVFGRHVYRSVRGGSW EREENDENVTHYTLKFTSPLSDSLLTYDLEATIGQCEGQYPIIKHTSISVPSLQDTTS EARFYPITSISSVLRLHSHVPLGPFLSSERVRGVEIEVFQSPTCPIQMIRMSPWHMGV LGKIVTRYRMVAMAWPVGWASAVVLRQVASFHVTGTIPSYDKALTDVAVDWLPKSLVG IVLMGFLQTALSSYGRSHTLILGTTDLAFIPLLFFFWVWSFGVTCLVWCCVLVTVSLY RKLPATLPLRWISSIERNRIKPSEGPEEPHRRPSTSRSNATVLGVIALIAIASFFVPH EAVHVAAFMILWTIFANEDCASPTIPDDISPTELHMDAQGLRISWSTLEPHESFFPME FLKRASYDPPLPQVEQDARILWSSKIAASPPSVSYDGIMDSQVERSEANILKLLNKVH DFGFCFVTNVPATAEDTKTLIENIAPIRHTHYGGFWSFTADLSHGDLAYSAQALPAHT DSTYFTDPAGLQIFHLLSHPAPGTGGTTLLVDGFYTASLLSAIHPESYDTLSRLATPA HASGTAGTMLRPPMSQPVFVHDEHQRLSQVRWNNEDRGVLGRGWTPEEVTGWYKAARE YEALLKGEDAEYWVQLGPGTALVIDNWRVMHGRSEFTGARTMCGAYVGADDWHSRRNV LLERHRQQRKQESALDDVWSVGW L198_04314 MAFPPPSRHRAPFAHQSSSLDVPTAPLPPPHYRSAIAPAPSFPD PALLPTGACPLDSPPSRRFSLPYLTSNSKSLLAALPAVATPSTATIRFISLCFLWYAC SAISNNTGKVILNRFKYPVTLTLVQFFFVALCCAICSHKTIGWAGRLRRPTKAIMRGT LPLAVFQVGGHIFGSMAISRVPVSTVHTIKALSPLFTVMAYSLLFGVSYSPSTYLSLL PLTLGVMLACSFDISFSNFLGLICALGSTLVFVSQNIFFKKIMPTSSSGASESGGASA KLDKINLLYFSSGMAFILMFPLWVYSDARSLFNLWSAPAVQKNETSVLVYFFFNGTVH FAQSIIAFALLSSTSPVTYSIASLVKRIAVICLAIIWFKQPVHSVQAMGIIMTGIGLW MYNSAKRDVDRGEKKMRHVEAVRGGMLPTTIGDQRILEEQDSESDFKGTHNSPRPVYN NSYNINLPSSTTTAMKSSFSQSPFPAAHTNAPYPSPPASTTSSPPSDPAMLHHSRNRQ RRLSAEGEKFRLPPSVNVRSPGIEEGNEFERVNRGIAVGT L198_04315 MPPAPRNIMPLEPTSYPFLGNANDVPPSPTSTTKSQAPILPKPD IDNNDISNSSSNMSANNANDYEFFHSKKFALCFGAMCLNVILFALDQFIITAAVPKII NQFQSLDKLEWLNTAFFVPCAGAILIYTQLMTIVSPRWIYLAAIATFEAGSAICGAAS SMNLLIVGRAIAGLGGAGMWNATYLIGGELIPFSKRPGYFGLFGVSYILASVMGPLVG GAFTDMSEEGWRWCFYINLPVGGVVLFLLLIFLPDTAQLIPFDGQFDRRPTWLKLLRI DWLGGALSVGFVTCLGMGLQWGGVTEDWDDKSVIISLSFSLGLFILLILWSLWFGSRA MIPMPLFRSLHFTAGAWVAFFGYGNVVVYLYYLPLYFEAIKEKSATAAGVLLLALQLT MGVCLVFSGKLGEVTGQAKYVIVAGSCLLATGAGLFTTLKADSSIGRAVGFEVVSGVG LGLVLNIMVVLVQANYLDRPQLVPHATNLFNFWGFVGRIVSMSTATSIFNNKLRIGLS SIGLSEAVEVQIAAAPSAIWTLDASQRAEVLVQYTAALVKPFWFVLGLGAMCFISSLF MKDIDLKAVAQQGQQAEINGGDRDGHENYAMQGSDVSSMRKDTSTQDERKGDLYRVST NEIGRV L198_04316 MLSVVKFLVATHGIALGWGKAIDLGANDQQHSPEARWITQSYRV GESFTYDSDMLAITKISEASNVSPIIVTSTLYISVYHGTTDESAHPTATSMYDDEGVV AVAISTSSGATSLIDVSFTDSHVTPPSTASTKTHSSASSTSSATADSQTWVDAHNRPR SQYGAANLVWDDQLAVVAQQHANLCNKEHTKAAENLQWGSAAYNWNKPGYSEATGHFT QVVWKDTKRVGCYIAQCPQGSVVDEKYTTSFQTACEYDPAGNYVNEGEFAQNVGKLVS L198_04317 MAATAPEPPRPASPPLLPVPQLDLRWVHAGAQHLDLLPTPITTT STVYKAFSQPESQRIDEQWHALPSDERDSLVKVWGGKDGEGVPATRAKERSGSSDKGS NKAKPKPSSEGNVAEQTHPVDAKVHSERKGEARSETSEVSETSDQSSPELELEKEFRQ LMAEPSWDSQDLGVIKGVPVSQDSLFEVSLRTLSLHPVFWAHTGPRVAVLRGTWFLTD ETKPCCWELAEELEKAYLEIQPWQPSYQHELSTALSLGQAGEEKLKYSLPPRFGQGLG VIFENENRGRLITQVSSSRLMTDSANDMYSSGTLTYMARALWDSIRSKASGTYIYRGF AAACAASGKEHTDVNSQGKSQAASRRGSHNSNRSSVNEKSPSTRLAGLPKQRPQQDTV VEAAMKGITKDAKQTLEGVKKDLKEVHNQKIGERPPGLSPDDRRAREEENAPLIHDTE DYSPCTDLILVVHGIGQQLAAQYEAYNFVCAALKLTDLRRKQSSNPALASIIRDRRCQ VLPVQWRASLDLDDQKTAEDAAHGMDNRFTIADITINRSIPYVRELTNAVLLDIPLFM SHHRQKMIEAVCTQANKLYRLWIARNPGFEKNGRVHIVGHSLGSALVAHILSDQPTKI PTISDLPKSILSETRDRFLFNTSNLFLCGSPLGIFLHLDQAQIMPRKGRERTMNSPPD EALDRSGKFGCLSIDSLYNIFYHTDPIAYQLNAAVDSKIASTTSPLAITSVTSPFYAP VTDSISSISRYLPSALGGRTGDAASVRPGAVRLPSGIEMAGPTGEERLEGSRGERRFS ALNPHGNIDFYLPSAGVSEYLDMLTAHLSYWTDSSFAAFVLAETFSTRLDLVRTGMGL ADHLSNREREAR L198_04318 MSKHHPDLLMCRRQPGIAIGRMCEKCDGKCPICDSYVRPMTLVR ICDECSFGTTAGKCIVCSSPGTP L198_04319 MSDPKLPHDATFDRTITNDRASVTASANGGGYRGGEGFRRVVTP GGSPEDNSQPAFPVFHRKFGNPAPLGLLSFAATTFLLSLLNIGTRGITVHNVILGMAM GYGGLSQIIVGIEEWACGNTFGATAFCSYGGFWISFGILYIPQFAVLESYTDPTMLDD ALGLYLVTWALVTVVFVLASLRSSIALISVFVCLDITFWLLAAGYLAPSTKCTTAGGA FGIMTAAAAAYTALAGMLTKDTSYFLLPIGDLSR L198_04320 MSVAQEDGQAGAAAENAGEDVKPADIPQGAVVNVKDLPYMLDSA FPPSSQSQSATSSTAATPSLSPMPTFSDSDQGHSHGAPPLASVVANSNGVIDHHVLSP IPSSQSSANPEQPHPAGNGLEGTFPFPNIRPIPTASNTPNMPTSRSLTSDGPSSRASR TNSAQGHVIAPMPQRHDASAPSVRSASAGNHEQREGKAEVLTRPSRSSSVAKPGDGKK SFFHKMFHPGDAKAADKSKLHLDLPRDPPANGSVSGRPSPGVSAGASPPLTPNVSDDS RPPSRAGSRAPSVKRQNSAIGDAQPVIDRKGAATPPVAPPQPQRRLSQRSNGSPSRKP VAAPAVAKKDDAGAHKFTLKDLVGLGESNKMSRKASGAGSARGSDRGSTRGSEAGDNA STASLLKKYGVCDRAAIGKGATAIVRLAHKWDRREEKLYAVKEFRKRRKNETEKDYVK KLTSEFCISSTLHHVNVVETVDLVQDEAHHWCEVMEYCPGGDLYAAIKKGGMSSAEVE CSFKQILQGIAYLHSMGVAHRDIKPENLLLDGRGHVKITDFGVSDVFRMCWEKKTHLS KGLCGSEPYIAPELFEQKEYDARMVDVWAAAIVFYCMQFQELPWRVAKPSDSTFAAYV QAYKPLASVEGSHTPVHHGTPPPLNNLIPRECRNIVKHMLDPDPKTRWTVEEALKDKW LAGVQVCWEAEGGAGHSHTTAGMDVVKI L198_04321 MDRIKSAISSSSEGAKKLSKAFRAKTDHSALGGGSRFGMGNPFA DNADREDTSLPRLHLIIHGVALFFTLLAVCTIAAVAGFQGKWFGVTGGTGFTLFLVLL SFFLSATLLGIPLIYDRWDKLRRSAQFLSHTRSLLILHAFGTFLMLLAAFIVTISAWT AKGCKNADDDPHEDLGDDYKNGLASWCRTKKASAIFDWFSFAAWAALLVLTGLAFRKE QRNNRRDPSFLPPETNGISYSNIVPEEERYGDKPDSYPIQGTMPATSNDSRREEQPYD PTTNYGYSQPSRPATQQQLSRPSVDAYGAFDGDGMPGGEQPSRTTQMASYSDPYAQIR SSLQTGQGPSQPAYSIPPGPPSYAGYRQH L198_04322 MAVFQQALAPFSLKGFYLLTWGTALGANVYKSLAAYKTYRVLPR QTFGTLQSQLTSTYFSFSSLTTSALLFTHLYFHPSLISSPRVPPHWLTSEEGRQGLFI IAGLVPQLLNWLVVGPLASSSVFERARLERVDGKDYDAENPSDKMAANNTKFATYHTI STALDTVSLLALGALGLAVSL L198_04323 MSAFRSLATSTSYRAFSTSVSRQKTLTETVKETADTVNKKVGQT LASGVGATQKATDATKNAADNAPTEGEVKSAANDLGNKTAETAESARQQGNQKLGQAA GAARDVKDDVKKNL L198_04324 MPSPAGPPRRTSSPLSSPSSAGAPHPQQGSPATSSTLPLTDDES ELTEEEGDPTEGEREQEERADEGDDAEEEQGGIRPSRAASQISSASLTPPPSDVPHKS PSPQPNGNGHPINGAIENDTSERLLDEGEEEDGDVTMRAAEDTVDEQEDASKANGHVE QVEVSDAAMDGQGADEPELNGYAEEDANMAGEGEEDPASGDHLESVPIPIVGYSKHLP HGPAPPAAAMKELLMLEVKFAELRNCLYLEQMEEAAAEEDMILNENYPALGYLYKTLE ERRERLHEGASKRYEAQVAEYRRMKDAEKHLVWSSWTDERERIHWEEFQATWSKRRKL AREKGLVETHRPTKPIPPHSNNIRNFDWAQDAVPSPLPRDAAYQDVLAMVRIA L198_04325 MSALISLWRHQVDHEGSPYTTPRSSLYSKLLKAYSQLHVRKRQA NHEDKGKGSDGDGIQSRKQLADIRQAFFCKGTFVGLRDLAAFDWGHGGLLRGDNQRQL QISDMGVQYFEDSAISDPTPAVAFSCRITSSKTNKNGTMQQVGMFRARDWKVCPVGGQ TMYWFSRLHCSTTEWPHSNTPFPNLSQRSHWYQGLPYFTNTGSNTQGISYGTQLSSAK DVYSTAGVQSRLYTHDGRRFGARVAVKSGAPDGQVQRLGLWASDVKDTHYLDVLPEHT MRALAGHPPYQGTFFLARAVQVPRDLMEMVFPMVEEWLDHFSSPGHINSDIEAVQWLK YLKELRLVFIQDIPHWRQLYPEHSIFRHPIFTDPDSPYHAYEKDALASTLSRDRNPPL RDASDAARSQHTMEQVNRNTHRLDDVFQVMQDVLEKVREVKEDVDETHEASLETRMSV LKVQETLGRKIKGLAKGQDQIREGQVQMRDMICQSIIPSLSGPQDDAVAQLLGTFASA SSFVSQLPVDGAVSGQASSGSGLGSSSGAAAAAEGSPPPAAPTSVPTSVSASVPTTSG PFAPVVKGFISRKVNNIVDAMTEWETGLAGQPSIKERFPYTFHWGKDLDSLAERKYWE KRRAVANMVNHILNHPDVGPRLVQQPPTIAEAATVLDRWRLANGHKSWGKFMKVAGGF VQNDMEKIIGEPLHPLLPFLSHFM L198_04326 MLSHVIYFLANHFLATTECGALAFDPALARGKGEEATSNELWDP AFFGSFMGPGAVRFSRNGVSLAEISVNARVLESWLVGIPSDTREYVRARLLAFNDSSN TLRNVKYAVAASYIALLSSSSAQYTTGMVEPPYELLGENTPDPMGNVGINLSRRGDRR RGEGKEAPYEAGQISQRMKDYAKGVTAVVDADAKWVEDALRTLRDVVVVDEAPLSDLW ALGRHRLAVYGVCFVRSGAKKPCAHLALGDRLVRSALGSHHPGITLKSLNTPEQLSLW LFAVADLGRCIAERGRRTIFNFWSDHPSEASSSAEPPESSAWSSYLGLPDPLLILLAE IVNLAADLSSSSASIKEQAGELESAIRTWKAPGIRLTGAGAEGSGVGVGRVSVHRIGG LHPTLRHAQSDILSLLDALE L198_04327 MAAVTKARGAAAKLYSTINRALPIDSASPDGLPPDSVRGEISLE GVKFHYPSRPGVPILKGFTLRLRLETLVGAPGSAKSTVISLIERFYDPIAGTVKLDGI DIKTLNLKRLRQQIGLVSQELTLFGTTVRGKTSNTVSSAPNGNTPPPKKSLSSGERDV VIGGQKQRLAIAKVIVSDPRILLLDEATSGLDTQSEGIGQDALDRASRGRTTIAIAHR LSCRYDRIYVMGAGEVLEEGSHDELLATEGSYAQLVNNQKLAQEAVVDALAPGAKPVQ AEIEDVFEDEIPLKRAATSPWMRNRQGARQRLKRMKSCVQATSSMRGCSRSTRLKRGR TYFYAVYRDLFDRSDDNECRLFGCCMIGDGLPFVGYPVRLYFFISAICSALVMFLQAS GSSRTGWDLNATLRQKLFHAVLRHDIRWLGEEENSLCWRCDVQPCRPTSKGPGSLWSH TRYDTSSAILNDLDWWSHHRSLLRPSPRSDWYRLCPAARIWRLASIKGCQRMKKLHAA SAHLASEAAGAVRTVASLTREDDVGVIYSNALLEPIKVNFKTSIYSQAFYAASQGMTF LIVALVLYIGALWIISGRYSTSMFFTVLNTIVYAAIQAGNVFSLVPDASRANSSAASI FRIIDDRPSIDSN L198_04328 MAKGPTTEGIGLGIMCFAIYAAYALAFFYGGVLVTQGRVDSGTV ITVFMSTIIVSFSMAMLCQGATFLRLCFQRSPRCRDEMV L198_04329 MGSDVEMYGKNTSGNSSVEATAFPVSSNPDKSPEFDDPERDAVF GARKEGEVDYRSVGMLKSIVLMVKLTIALGVLAMPQVLLEVGAVPGVIIIIVISSLTT WSGRVIGVFKANHPEVYSLDGVGYVLAGNWGREVHSFAYALFMICLCGSGFITTSLAF NAITGHAACTVVWAVVAAVVTFAFASLQTLNTVSILGWIGFFSILSAILIITVAVGVE DRPEAAPSTGPWDKDIHAFNSEASFLGGMGAVSTTVFAYCGTPAFFNVIGEMRQPKRF NQALFASQGLCTALYITIGVVVYYYCGQYISNPALGSAGIIIKKVSYGIALPGLFVGC ILYTHVGAKMLFVRLLRGSDHLTGTSFIHWSVWLGTVGMSVAIAFILAESIPFFGDFV SLVGATLGTFLCLITPALMWLHDNWALRKERTSIGFRSHIALSVFILLAGVFIVITGT WSSVLSIKDSYADGSVGSAFSCADNSN L198_04330 MSAPTSSSSNTVQPSISSPGETPPPSIVAAGTFVPAAPVEPAEP QQEQTPATGPGSGGVVPDQAHKLPFKEQVNGYAKKFAGATFGNEQEKEWGEKKLAGEL L198_04331 MANDLPPTAHALVSRAFAIAAPPLKLSKTLKSSVKRGELTEDQL SAALLDFLIPSSPSLVLSYLSQLLSSSTITARSLCISLLSRISERDLPPISSLIPISD IIINNFTGFTDLLLPAVLGGPASDYVESSSAGPSSLGQSRETSSLSVMLPLLRLAATP SPPSAIVSLVARLLSVLAPFPAPSLDVGLEAGQLLPLLPEEISNPLRVSLGGLMEDLV QGSASETAAQPQTVLMAGQGTSAAPALPLKEVAALLLEQTHSSFRYSRTPLPSESQAT VTPPAPHIRLLRLGQSACPVAGDFLFALVEISIERVLNGPSGDRPHAVGTGDNVRDFG WAVEGLPKLLKWWKMQSTDGEFEKWMFPSDASSLLASAIARLLPAMQAFSEALLQTYS SIVSAAEEEEESSGFTPPDGWSVLPLQDTLIASLVHEDIINSGEALAILPGVTIASSR NGPSLLERMGSESRHHIKPLAFIISYACGSASPASHEFIQTIRAIPQTPPAEDILIYM SSQSFFFSSLISQSSPTSLLDLMEQRLLTAYAELDEYTRSEDPQGCLTRFGEGVVLIE SFAREFDLDLPPLLHRARRAFGYGSLTPTHQDCVNGWVKAIFGSDGIEDQILLATPPE DLAVLVPTLIQQAIAAVTCGQMDLETLHSGLSYFSQPLLSWCLGGVIAWLCDEIFRLG PLSALHLVVLQSLALGHACPDQLLRVNDQALFDVIRPSNDLQDVINSSGFKAEDLRTK LTSLGVTAPDSRQDLSLDVALETISHFPLSAPLWPCSFIIALRAKLSTYGGRTAAISS ILSKTFSSANAPSEAPIIAGQWYSPLVPILLAIDVDGKGPLAADLPHWIHSCIDRADL ADSDRRKLGALVKDSMILVSKTWGEQFGDRILRQIIKELELILLAPVDTSSSDHSRSV KRRKKRQPSAGLVKSAEGICKVLWEDEDLRERWGKDLQALDYLC L198_04332 MAATTNNPKDRNLIAVIGDEDTVTGMLLAGIGNVDKDHEKNFMI VDSKTQGSVIQSTFNKFTERKDVAILLINQHVAERIRSDVDKYTAAFPALLEIPSKDH PYDPAKDSVLKRVQKLRGD L198_04333 MSFDQNIDALPYVDKQVEDPAVKAAAQALIEAELRQTPQIDDND QRLPPNVDVFSKSKSLQELLANYPSAPLQGIDVTKYQPPTVREGATVEELKEAEEQGR TGEGHMGLRVENTSILSTYGPNAWLVRNYQLNAQLSELQGTLSGLKEQVTETNRTRRV FQEDAGLHLERLEGRWSDLVSSTTQLEMACNAMDGEVAALERRENQLKAEVAQLEG L198_04334 MGSMEPLLGESKRRVCYFFDSDIGNYHYGPGHPMKPTRIRMCHS LVMNYGLYKKMEIFRAKPATKREMSQFHTDEYVDFLYRINPDNAAQFAKEQVKYNVGD DCPIFDGLFEYCSISAGGSMEGAARLSRDKCDIAVNWAGGLHHAKKAEASGFCYVNDI VLGILELLRYHQRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGTGEVRDN GIGKGKGYAINVPLRDGISDENYKSIFQPTIQRVIEWYQPGAIVLQCGSDSLSGDRLG SFNLSMRGHAACVQFVKSFNLPLLMLGGGGYTVKSVSRTWAYETGLAAGVQLGRDLPN NEYWEYYGPNYELDVRSSNMTDQNTPEYLQKVKEAVFEVLRDKNAAPSVPIQSVPRMR HDDEDENEGEDDEDKDQRRPMRLWAREKQPETSLSDSEDEGTGGRRHRRNYKEASQKK RRSETPLNLSVPGSVVPAAPVPAPVTPSEVAPAPPADASAQATSSNGPDIETWAAGVP AHESQAMEVDNAAASAPAPAATATTTGGEPSETQPTVAAAEQGDVVMQEATNGE L198_04335 MPSKTFATSGMDLVGHSIDNGRLRFIAVLGVGAYGVVYLALDEF THEYLAVKCLLRAGLDERQRHFQRREIALHQLASGHSNIVTLHRVIEEGEYVFVVMDF CDEGDLFGMITEKQRYLGNDALIRKIFLQIISAVEYCHSMGIYHRDLKPENILCLRGG EKICLADFGLATSEKMSTDFGCGSTFYLSPECQGGLFERLESYSTETTDVWSLGVILV NLTCGRNPWRQACPSDETFRAYVHNHDVLRTILPISVETNNILKGLFALEPEDRISLR ELKKMVMNVERFTMTDEELRTAHTAARQAAAVVRQPPPTPSGQEIASVEDALPPKVSL ISPIDEVDEIDDEALDTFAQGHDNARIRAFEQSDYRSSISESSPPSPTWSTMSAASSL SSDMDDGEVFAPLESATYDPNSRAPRVMDMSTPQLLDGNDSFDLLTSRSNSSDGSMLF TPGVWDFKVDVSGLANNDFIDYARCEQSLKGAKDGFLLHQQVEGPEDMGACHVGGPSP SNRARLFA L198_04336 MPPPASESALFQHTPDLSATLKREWEPGCQPDEVYEEYLPTWRA WMRNKLVDRLRRENVWMEEWQRRVRTERRDKFFYYSAVFGTHTFFMMFLPILFFFGRP WEARGLLYVVGTGIYVSSYVKDLVCTPRPYSPPVIRLSMSTHHHEYGFPSSHSTNSTS IALFFGQWLFNLRHDVGYLSVVVGWILLAIYAASVIGGRVYTGMHSTADIIGGSIMGI VCWVFWIVVREPFDRWIMTGSWTVPAVIVPFVLGLIHFHPEPMDDCPCFEDAIAVLSV ILGCILVEWVPLGGVAPWLQATDPGAFYRYDIITQVLVGIARLVLGLGIMFTWRLIAK ATLLRVLPPTFRAFSHILHTQLPTRRFYTAATDYKNVPRQAFRPIPSVLDLQSGTSSP AASSPTHSPLLTPQRVPSINGKGRSPSPMALSQANLRLRALRRESGGAVASNGEVREK PLKVRTMALKYDAEVLTKVGVYGGIGFMACGVIPYLFEIIEQSILG L198_04337 MATNTLFAQQTGEIGGYNETMAAAAFESQALFLLKCQYASLVIE TFLAGVLVMQLFTYFQYQKTDKLWTKAVVVWSAVWTMVITVYYWVYLSYLFVDNFGLW LPWLEVRWLAKMPLFDVLAVIPVQGFFAYRAYLLMNRNKILLGVLETLFGATASGPTL ISKCLPVSPQLLLMISAWTAVTTGADVIIAGCILAGLLRSKTGWAHTDKLVSKLVRMT FEAQLPPTFLALAYCIEWSQTPSSLLGAVFQALQSPCYMIGLLFTLNSRIAFTTVDND NRSQQTPQVFGMSNINNTRRPTDGIQVEVQTYVHDDQPYEYNHDKKGQSKADSLSDGD TERGVVFENGSRAHLTAGSNAV L198_04338 MSSAAYRRDSSATKNKRVITDSNVPLDDELRGIKLDIPFRNALK FFARLSEAVFHEAFDTIYWALNSRRSREHRTFWVNGPRDYVGINARDPLEEGFAFWEL NKTPRPPAKCDLCDRLFSQEKYLYIHVRTGQ L198_04339 MSLPPGRLHAPISSKHPSNADSHRGKLSKIQEAELVVEINAYAE RGTNLWVSHVQQLGEALAGNELGVALHPARDFYDIVGLVGLMFTLNSRIAFTIASDES HQTSQVFGMSNDDQPYGYDETMKHGHRKMESISDEETALDRFQRCLSKTRHVD L198_04340 MSDSTGFGSGGSTSVLTVGSSDESSCLPSSVNADFYFSISPDSN PSACSSMSVTWPSNTTDPTHLYGIIPHGSAWEIPIDDSGTSQDWTVNIASGTQFLLLM SDAGQYQTGGSTDLYTVQSGSTDCLGNDSPTSASGGTTSTSSASGSTASVSGVGGSSS GGSDDSSSGSSSSNHTGAIVGGTVGGVAFVVLLSLIFFFFIRRQTRRKADAEDIAAKP YSVTHEKAKRRRTVDLGSGDEQEAEERNVEGEVYQLSPFRYPSPPGNVAPGAVPETAP RPTQEGKIQPFVAPSSTRPSIESTAAASPPEQSAQGSSEVERRASTRKTAPTASANAL AAASNHENRQSVVAEETERRLMQHEDAGQVE L198_04341 MSGRPSVARVYAPVNEKLGRSWWDYDNLVVQWGVQDNYEIVRKV GRGKYSEVFESIHLPSDSKCIVKVLKPVKKKKIKREIKILQNLAGGPNVVGLLDVVRD SQSKTPSIVTEYVNNTEFKTLYPKFTDFDVRYYIFELLKALDFCHSKGIMHRDVKPHN VMIDHEKRTLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLG CMFASMIFRKEPFFHGHDNADQLVKIAKVLGTDELYAYLERYDIELDTQFDDILGRYQ RKPWSRFITSDNQRYISSEAIDFLDKLLRYDHQERLTAEEAMGHAYFAPVREAAAKAS AGQS L198_04342 MAYAYPAHHPIAGASSTSLDIGRHSREPSRNNWGVITEFSHKVA EDDIYIRFLEERVRVEREYIDGLRKLYDRTRSIDSLHDDAPPPQKWEKPTSRIAWAEV RDYTLREIESRDAMRNALEEHVVKELVRLKDQQSKIKNSLKENIKLAESAYEEHAKHH LPKVKKSYVQKCQILEDHRRQENAIAMQARLLSTPSPTSPTTANLQEHPYAAPAGPSY TTPPSATPPLPPVSNPALISVNEIDKERVGNRLRAGSGSGLENKSRDVFNDIAASGKK GFSAFIQRLGGDKERGDDVSLAGGLEGEGLQRRGTTGSSNSKAQMAMRGAKVKRDADE ADKAYRTAIFHLESLRLRREKMRISAVTHLEEFNDELSKTLKTALQLYMDIMHSTAVT NAQATEVAQRAVNVIDADHDMRIFRTKLHASMQDMSRAPVPYENFYVGPCRSLIFGVS LSDYDFARADGNDHGSPPTIVEKCLAAIDARGQDLEGIYRVNGRHAAVQKMIQGIEKD EALFEFDEKDDVFSIASILKQYLRELPEPVFNLPHAERVRYSKSRESHISSSFNALRG RLRRLPPIHQTTFQAIIEHLGRINANSATNKMGAKNLAVVFNSVIFGQDLVPTDGNVL AMHHEQDTVLEDLITYSELLFGADSPLQAPRVLPPDSGLSHSGVLTQDVGIDGPRPGT SRTKIRIAPDDGIPPEVSTRPAAERLNSGGHSSVTVTGQPDITPVAEFGPGAARGVFT RDEDLTLQFDASLIPASMKEGLPENIHVRPLASTDLLRHHFQLLNDLRPSPALAPSVY NAIFTHFHTAPGTYYVVVMVDKTTDELVASGTLIVERKHINGGGASGHLEDIVISGKM QGRKLGVRLVVGLRELAVLLGCYKVILDCQDAKIPFYEKCGFYRRSAGMAYYVSSGDV SHQGSPGALDVPAASAKRGSGDTLAPPLVSTGAITPLSDLTDTDATPRLDQYSHSNDA ILGEPASRDRAASDASSEGGVLLTVPPEVAASDGSTPGTPRTFTSASSGTGTGVTYSF PTSDSSALPAWAAEGLGSKALRRTSATSSLTGSPESLGQRGGSSGGRKRMGSGLSGQG KGESEEGEELDYAARREAAGTPLPPGAAPPNLETEPERI L198_04343 MNRIFGSGRAKPKPSLTDAIGSTETRISSLEVKLKKLDAELGVF KGQMSKMREGPGKAAVQQRALRVLKQKKMYEGQLGQLQQQTFNMEQAAMTTENLKNTM ATVDAMKVANKEMKKQYKGIDIDKIENIHYDMEDLIDQANEIQESLGRSYGVPDEVDE ADLMAELDAIGLDDEPIGDNETPSYLSDAQTLPDFVDSAPLEDFVSF L198_04344 MMERPPQEFLYLAATLLDALPASEPISDALLLQLHMLFGPMLMS ALQLVDRREVVRVEYPSSRHVYQVASSTGKSYTLYMDPPSATVPCTRESSLELAVPKD DPPVHSSMPEESREEPPLAPNDRLTSAASVEDTLKEEAEAGRRDRIRQLAVDLEGYYC PCAGYGHNTLGSGRTVVCKHLLAVLIGSKTGRVVASAAQ L198_04345 MPPRDLPEPLDAVPRLPLDTSLPSALAHLVHHLVDPLARHYPQH TTQYLLEQLKRDLFRHFQPTWDEAHPQVGSGTRSLICTRHLGLPMPMRGAGTRSGVEE RVWRKAIAEEGGRSGDVNMGEEWEVWCDPGQVVWRWGPWEWEDPEFEPSKIVRESLQV IWQSAADGDKLSPTTPAKTAQGTPSRPSYAVPIRAPTLLAIPPTPSRGQGQNEAIAVQ ESLLPAFSTLGLGRPSDGGQNRVSSGWSSSEEASSRSTSMTYSEEQPASPEHRSASRT SHRGSESQSSISSSVSDSNSGHTQLLTPATRPSTADPFTVSIVPLRESFRQESVDVFG DRESSEKLKTTPISQSRGRASPNALCESNVQPATPTTENTITPRVPTPTVTPYDGGNV TVLGGGIKLGGGAGSHSRSSSVQSSHRTPIDRTRSPSVSFASRALSSATGPNGEPRKQ RTRRRIMPTYLGHLGQPGVGGPVMGAFNGVVSPGTSGGMGYGFGAHVGVGVSPPQVGI RGPMPRMGH L198_04346 MRNIAPLAVAIARLKGSPANLAVDPETAAAYVAVERIDEEGVEI HILRVDGQEVEAIASFNSPVLAPFSKPSYIGETLSLHYLADDRTLIILLAGGDIAILA LEGPDGAPAPVEVVGSVDSGIKAAAWSPDDEQIIIITGEDTLMAMTRTFDIIHEEPLC SEDFGQDKFINVGWGSKQTQFHGSLGKSAAKLPSGVAPSLAHGTDHGLPTISFRGDAS FFAVSSLDPFPSEPGQEPSARRQIRIYSRDAASGFAPTLSATSESLPGLEPALSWRPS GNLISTLVRYGYSGGGEGREGRWDVAMIERNGLRHGGFELREDRKSWGNGRVRGLSWS SDSEVLAVWLEREDRDVLQLWSMKNYHYYLKQELFSHSSSSPRFRSFKWHPEDPLSLY ILCADSIQHRTFTWDTYVSRLGMPNDTASVAVVDGHRLLVTPFRTQNTPPPMSSYQIQ LPSTPVHVSVSDWDDTASAVFSNGEVLTWKLNTRLPGPKGSKLRGGRKVAEPEVVLQK KIADGRVVRLVATGPNGKVAVLSLAAGEEGGRVNVFGGEEDEELQVESGVERVLWAPS GDILALDGESRLFSLASETGIDTILPSQPTSIMLSNDLLFTLSPTSKLHFTSLSPSAD PEPISISSQPVTSFTLTSSHLIYTTTAHFCHFAPLPILEKLTQGEDVPEQDKQWEERR IERGAKVVVAAESEMSLVLQAMRGNLETIYPRAMVLQVVRRSVLGGSYRAAFLTCRKH RLDVNILYDLDPAKFMGNLEDFVKQVPEVDYLNLFVSSLKYVPIPPTNNKVNDICDTL RGLLEARGVEQYVETILTTHVCKVPADYEAGLRVLLQLQANHPEIVEDAIKYIIFLSD VNKLYDVALGMYNFQLVLMIAQYSQKDPKEYLPFLRELRALDKWDQRFMIDDHLARRE SALSNLKQAGPEKFEDAANYLAKYELYDSAFKLYKNEPEHLTIIHDLHGDYLYDRREF TDAALGEYYTPYLLADKPTKALKAYERAHAWRELFSLAKKEGVSEEARKDLVERVTDY LASRGRHLEASQVFVEYTQDVDSAVDTCCRGAEFSEAYRLVSHHDRSDLIEAMIAPGL EEAQETLIEVFEEMEGQLDKEVKRLNELNGIRQKDPDSFYIVERELDLEGVDVATNAT TATGISQFTRYTVAPSTMSHLTRFTGQTGVTSATSKKKVKKRATGRRGTVDEWEYLVM SLGRLGTRVEEKSGEAVGLLRHLVLASDDHRALAESLQSTIKAFRVKLVNGIDMAWED RETVLREVLESGGSGLEGGLEKSMGEVKPKVAEWKGLGLLLGA L198_04347 MLKKDGTGLDSEAVIDEAGNIKIFLTLKKPLPELPADYALPVEE YALDLRPEAPPVGPMYIVVYLPYLSLALRLILSHGHHVRIATHTTFKDFSLEEHLSFF DVGGDPHELMAYMVKNPGLLPGIESLTNGDIGSKRKMTGRMLEKFYEATYKPDPLTQK PFAADAILSNPPAFAHIHVAEALGIPVHLTFTMPWSPTTSFSHPLVKVQQSNAEPGLT KYLSYAFAEQMTWQGLGDVINKWRRKQLNLDPLLGLSGPSILDRLKVPWTYCWSDAFI AKPDDWKEHIDVSGFYFMPSDTDYEPTAELKRFLASGPPPMYIGFGSVVVDDPEAMTR TIFEAVESNKMRAIVSAGWGGLGNTNVPRSVYIMKESVPHDWLFAEGRVSMVCHHGGA GTCAIGLKEGLPTIIVPFFGDQPFWGAMVHQAGAGPSPIKPKNFNLETLSSAIRYTQS QSAVTAARAIASKISADDGEAKGVESFHKHLPLLAMRCDVAQSKIAIWWSDELFLRLS AGVAAVLVEQKITSWEKLRPYRSKEYDTRKAITDPVSGGATAVLEIVTDASEGVFQIF STKPHIGIIKTATIAPRAIVTGVRLVHEGMENMPRLVGSKSRPQADVTDTSSGFREGL RGFAYGVGDGISSLLTEPFRGAKEEGAVGWVKGMGRSYVSVAMLPAAGALGLVALPMK GASKSLHTFMYGRQEKVLKPPRQAISIEQGYKLQPAEKKRILKLYKDALESDEIKERQ EVMKKVGK L198_04348 MDVSIESPSTNAPGSVDGFDEDNQEDYLDVDIPELNFPPFPKLP SALTVVSFDQFEHKGVWTKAGLVNSEAGPSQPHDALGVPLVAMERPYTGINHTFEERE AHKKEKRKAATERKRDFPITLPNGPKTKSQSPRLDPEDCWKIGDPNSDWVEPSVTSTV KIDVSIPPFTRLINATREFILSRDAVIQSRKNRHLFGILRDQLRIRSLFLGRHWPGSG PNAALYPLRKRRYVDDNAPTLLPTPKGVRVDEACVLLRDPERSVRRLLTLARMKLDSP WNEEPVLDFLEIAAAYFSYIAHYNVFPEANLHNSFKKVASIARSASKKWKEATQLEGI VTKQDGWNRACWAVWGGEFSGPEEMGPEKPAEVEGQVAQEEPQEEDDEDAVTWTVEPI VDKRPSAPTLNEVLPIVKDLLSPRSHSNIRLLRSIPYASRIIVAVLPPLPAGSDAPRF STQLHRLVTIKSPAASNQEEDKEPDEIVVWVEGDGLMVNGGNQLVGMVLQGRWGLLGE EEDQEGSWWAFKARHCVLPAI L198_04349 MADATIKGLPPPPVSYDGSNFRIAIVHARWNDAVIKALLEGTIS KLKAQGVKEENIVVKSVPGSYELPFACKKLIEAGKTQSANAAPSMLASTTNLLSLVDS SSPTTPQSTTPAPAAGTASTAPFDAVIAIGCLIKGSTMHFEYICEAVTQGLMRVQLDS GTPVVFGVLTVLNDEQALVRAGAGTKGDKGHNHGEDWGLAAVELASQCKNWEKGVL L198_04350 MVVSTRLILCWIELTTVSFPQKLRVSISIELEGVTNVRPADDDY EYFFTVMCSSCREVHPKTVGLNRNASALSTINTTQGDEHEISGSKGSANFVWRCTNCK KEHSASFLPSAPKSKSTAPLPYSNSSQFSSFVTLDCRGLEFTEFHLRRGKWVCEGEDS GKAFEIDWDELAQEGEERWDDYDEDKGEAVGVSELKSKVERA L198_04351 MEHSQDDAYYQQQQQPYQGYQHQPAQQPHQFIDYQQQQQPQQPQ GYVDPYGYHQGIAVPPQSPPVQVTHSPFVRTDSSQNVSFSEMARMAGRPQTFDEMYSV PESFLEIEIRNAMTHGMGRKMYTDYEIVCMTNIPAFKLRHSVVRRRYSDFEAFRDILE RESTRVNIPPLPGKVFTNRFSDEVIEQRREGLQRFLEIVSGHPLLQTGSKVLCAFLQD PNWEKSQWQ L198_04352 MSSSSNPRGNAPIPGSWTNRPSLDDPRPSAGAASTSRRSIDQRQ YNAGLDASEGSRRNSLASDSIHNSPSSMSSMRHLARYDDQPAAPPGSYPQQPVGPSIS SARLPRITTSSMVDVDKLRGEESGPRSAPAGHQARAANGQGSAPIRSSSSPDPWANKP RDSSSTISPVDARPNLAGGVPGGGVTRQQGSLLPYAPSTDRRASPASAGRPLPPRHGS DRSTHSHSSAAANAETTTPSGEPLLQWPQPRTTRREGGSTSCGQCGQVVHGQFVRAMG KVYHLNCFRCKDCNKVVAQKFFPVEDGDGMYPLCERDYFARLDLICTKCDQALRASYI TACGAKYHVEHFTCHECDVLFGPNDSYYEHAGRVYCHYHYSTQFAVKCVGCETAILKQ FVEMNRNGRNECWHPECYMISKFWNVRLASKTFNTPAASAVASTVSLLEMASITAPGA PVLSTDPASPLPHQTPKQSEFSLSPQELKERQDAMEQKVQQIWHVLSGYEESSAALIG DMLRAVNEKNLLDIILLAERFILHVETLFAVIDDIEAQFAVTRAKGMPHAREAKQLCR KLVNLFSNMSQLSPSSSAPSPSSEELFQLITQLAHYLKILIRIALTGSIKLERDFGNK KAMANCLARLNLLAMDGGDPSLRRSMEALTRRPEGFIPSTRGVAYGYRSLAPEYTGET TLRGPQGEDFIPPEGCAHCRAPIEEDCVRLGMFLRWHSSCVACTTCGDTALQTITIRD DATDDGSAHSHTDSNNGIVARATASIEKRAPPRVDYFWYAPKHFPGATQSPSQMWCTP HKKGEGECWQGFEGVSRLEQYAFLLHIALRRLYVHFRVHHNLNSVRDHGMSDRAESEV KRMKSVTLDRKLSSTARLPQRSMVVESPAGRMADENGQVVSARVEGDSPTPPAPTPKE KGAAGKFGIEAQPVVVTPNNVDVLRPPFARNNTNVMIVKENSGEGASSPPQAMLGMRK VSAPKDDDAITLADISMLAGAGKDRQPDSRPLMSSLTNVQSVIIRHFALLQLQKTALA PLFDLDDMLELLDGRKGQWWNKIFKGNAKKDTKKKGLFGVPIEVLVERTGSDSSLGAP NSQVRVPEFIEDIISTMRQMDMAVEGVFRKNGNIRKLQALSEALDKDSTAVNLSEENV IQLAALLKRFLREMPEPLLTFRLHKLFCAAASLPKSDERTRCFHLLVTLLPRYNRDTV EVLFTFLKWVSSFSYRDEETGSRMDLGNLATVICPSILYAKGSNVVKDDSFTAIGAVQ ELLENQDEFYRVPGELLFVIQEGIWKIFAKDLDLPPKEIHRHCSKYTQARSAAQPQSQ RLGIPMSTSSSQVSNQATNSRSVEPSRMSLVLNASGSDPTVNNVFRPPPVPLSGSRPT SWIQHQQSHSQQSLQSLPGQRFPSPSPTHAGPGPMWGRPPAAPFQGPAGSSGSRGSSR GSAPSSPAPGDGERRSFQMDRERSWTPTQSEGHEPHLPPQAYSGSGLGLVPGQAAPPA VVSRHPYAQGGAGHAHYR L198_04353 MRKGAGIAALSRHTNTQISYSSLSSSLTSSQLASLQSSLESFRT QLVAFASQHRDDIRKDPAFRHQFQKMCAAIGVDPLAVGPASGGGGSAAGKGWWTEVLG IGEWEYELAVQVVDVCVSTRTINGGIIEMSDLIRRIEHLRSGGLSSLPLSASSYKDSP ENTGRITSQDILRTLKLLHPLQAGYTLHHPTPSTTYVRTIPRSLDTDQSTLLAIAATT GGKLGWVLVKTQTRWSDVRVDVALDDCVLREGLGWADEQDEGERVIWIIAATSFTSAG Q L198_04354 MPPSLSAPMARCIPRSARCVSSNTPGGDADPAILLRQVMRNVAQ PVAVAVASVPPSSPLAGVAAYHGTTLTSFTSLTLHPRPLVAFSLRLPSRMADCLRPWR TGGGRDPGLPEYEKAGSVGAASGDSGLRLPPKSDLPWPLSKLPMPEDPPIWAQSLCSQ IPNPTSPPSNPSSLPPSSKMVGSAKPVPPTPLTISLLSTSNSAIADALAQPRTDHSSI FSLPDTWVSPSSSSPAHPPSLKGCVGSLQCQVVGSVMLRDLCEPGEVDGAGSEMFICR VVGVEMDSEVDEDSLLPLLHWRRKYAGVEELE L198_04355 MSHAAAEPSLLQLSAPVLPMATRRTGHPNRPQGRWWRAHQVKNV AVPAGGKEGYMSEEGFDSDATVRPGGGPAAPFAAPSSSGLARTERVMSVSIGQLEREG RKEGRAISKGSMSQPDLTALRGREREGRERMGRKEVEGWVGEVGRGQRQQPLQDQPKQ DVDQGKRRPSLGRTKSVPDAPKPIIVSSSQIVEPWLPAAPSRSPHSRSHASLTSMRKS PSPRPTPLAIPTMSPITSKKNLVEPSLSPRSLKLEALPVVASADRDISSEEGDGDSES DGSLSFVSKRRALPGGELRQRLGQDGGVRFLRRSSSTGSDVLGLSFDSPSSAPTTTST ESISLPSSRSFSPLNSALGSSGAHDAPDATPKSQSTPMPPSFDQFLQRSSLFYLRLLA VFPAAWSIGVLLQAFVTGGLYVDVWPYGIDLSKEALERLVNGGDLMIGKWIDVNRGDI LLCIAWAYCTGYFCFCLTTGLTHRWLSYYSLPSTITRLTSLQCLCWPMTYLTLWVLGA RNSRPLLAWVVIGVTTGWSRTVQMWVTSNVVPSDLSPLASTTALGLGIHPNDSPSSYI HPSSRRGSKQHHRAPSVTMPMGPPPAHLSWWEQFLWGRRWDWDSVAREVGWRVGGLLL VTVAWLFWGIECGRIVRG L198_04356 MHPPPRLTTPRPPTPRSVSATTTGTDTGPVMSFHPSSSSTSVRP SLSISRENTPSPVAGRSVGGGPTPRTSMSHRPAFIHASTSYHSSLGGTSASHTAYHTP ESRAGQEERYGSKESSVVYYPPDRNEHGAYPYPHQQFIPFSQLPTDGSQTTGALSAIL EKLPAFVVDFVEGWRASLCSSSHGRGDADGQDGGGGLGKAMMWGWVATTIGFFMAIAF WRGQLFRALDDLSHYLANRGILGPLTFYIMIFITTIPPLPLYSTLIVLSGYTFGVWRG FCVSYVASLSGAVFVFLVSRKTLKDTIVKSLAGSSISMSLLHVLPTHPHLLLLIRIAP YPYNLLNVILASSPTLTLQTYTGCTALALFKLVLHTWIGSGIHDLSKSYGHSQAEGGE GRENVEGPEGSGEDALPAQDGETAAAKTWTTWVGIVLCVTLFFYLTYFAKQAVKRAQE EQDRQEEGEREERQGLTCAEAGEAV L198_04357 MPCAHLDTAIENLKPPSPSQQVHREECTLCFDGQDSSEGVLVCL ACFNGGCFAQGRAHAQLHYKKTGHELGVVVKRTRRELKKRDSNEPPLKKLAISAPSDE ELFSYSTSLYCFACSPSSGGQAVETENSKAEDLVRGVLKALSSAQQSEVKAWEEEIVA CEHTLLLQQESLVAPGEVPKHCTSCDLGANLWLCLTCGLANCGRQQFGGVGGNGHALK HFKETGHMLGVKLGTITPEGNADIYCYACDDAKTDPLLSTHLATFGIPILSQSKTEKS MTELQLEHNLKFDFSMTDADGHALEPVFGQGLTGLKNLGNSCYMASVLQTLFALPDFR ERFAKQERFKHFKECPNPLPATCLECQMLKLGDGLLSGRYSHVASAPPPSTGLEDVNQ EAPKFQEGVRPAQFKALVGKGHEEFSTMRQQDSEEFLQYLITKLREESKRQGLQDIDP TRILSFAMEQRLECGKCKRVGLQTEPADLASLPVEAVEEGVGEDGKKLFKRVELTKCL EGLCAVEEVPGYECSNCKEKTTAYKSSKFKTFPDLLVLHMRKFQLVNWLPTKLEIPVN VPSDLTLEHLLSQGLQAGEEALDMSAPSSGGNGGVPEFNATAMAQLEAMGFPTVRCQK ALLATGNSDAEVAMGWLFEHMEDPDIDAPIEVTSSLSASQEGPSDEQIAMIVDMGFNH NQARKALRESGGNPERAIEWLFSNPDDPGEEASAAVAVEESTKEERVSGSATLPARYR LKAFISHKGPSIHSGHYVATIRQPQAGIEGHAEAEDEWVLFNDEKVVRAGPDGGQELR GLAYLYVYERV L198_04358 MSTPVEIPVDLVGQSSLYNVPDPILRRLRLEDQHGQTIKDLDKY FAEKEVLVFYAGAQYKSAAVIYVSADTDPLAPASVLQGKPWLRMIFNDDSDFALVRKE KDGGPPRIQEVARGENFIQAGEMELGMEKVELGVEEYQNEYVRPLSRAGLTSIMDVFA TPSVAVYHLESHQFVAKNVKPAAFKPTTIDKTYDTWRKGGEPSLRVIGVDVVRTLKLP LIGLLLAVIYRLLILFGGDEYHVIPRWLDGVSWNQGGRGLSSDALH L198_04359 MASPPPRPIHPRFTILGQKIEAAEARAEAAETENKKLSQSLLER DQELASTQHKLQLAEEEVETYENKVKELKSASDEGETHRTTGENLARKVQLLEEELDK AEKDLKETTEKLRQVDVKAEHFERQVQRLEQERDDWERKHGEAVEKYQQSKRELDEVV SQMESLVINALSDTINDLMCLATVRSPFQRICTVMLD L198_04360 MRFLAVLCLFAALTVGTLAWTKEDYEIFDLVSALETAEGKGVNF YSHLSIPSSATTQQITKAYRKKSLELHPDKNPGVKDIEERFARLGLIAAILRGKESRE RYNFFYKNGVPRWRGTGYYYARYRPTLSHTLVFLVLLSNLFHRLVLQLNFQKHRARIS YFETAAKSAAGVLSGSGKGGVDAGAIQGRRRKVKVPMVEGNDYSGTLELIVSGDHVYL PQDDGHLTPISTLAPSPSFSQTWLPSLALSLARRAVSHLPPSIQESLPAFLRDEEGAE ADELELDDEEDEEAESEVGRGTPTPMGRASRRALQKGKGHTGKGSKNNTPKDSPAGTE AESDIALDNEAPKKKKKPGLGKAAAMRQRKLAAKN L198_04361 MSDDILRNSAALNALKRHQLVSLSKKYGLRASGKNVEMIGRLQV YADDHAGDLDFYIPEPAPTPARPLPAEYPIPSATSPAPSPDHLPQILLKPKAQLNHKE SFMSTASRLSNSWDVLSEGNASLISKMEDVVEEEGEEKYGSMGSWKSANNGEPLNEFG GEAPEHVKRNSSMKAFASSISKRGSLILLGRSDSSSSNRAHGEPGYEPPADPETVQSQ PAEEQQEMIVDTPPSPASTVGVPRRHSRHTLQERPSTIRLCSPAPFQATSDSTSDHSN DDLPFVGKARDLKERRSMAPLRSPAGAPSLGAFERKSMPALPYSNSASVGNVYPSLPT MPVEYASLIRSSESASDATPIPGAFPPLPPTPGRAQILFGDRTGTGVSNHQFSEAAQA VLKEMNAKLPQGSFKFGEELLKGRDAELAKLVQVNKDVGTGGWGLSGGGLTQDRYAEA HQKEFAKMRSISKSSIRPGPSRTASSGSVTRPYMIPLAEGSKAAKRKLAGSTSTLELP SAPNGLPLHSAEEDVDSRQSKRTRLSTHPLGSLRGAKKSLANMLGEEKGLSQHTPRKQ KDRKEKRASMLGRGNSMKFPFLKKKSTLGPDSLSASSSLPSISHPRALPRTLPSGPET GASNTPLSSSTHKRLVQHSTDNGQSQKDRGRSTSAQTMMSQASGRTPKRSRIPDFVPP LPSAKQDKQASLDATNTLGLPKSSSVVKSTTSFVTNTSSTSAARAYKRQSQMDLLRNA RPAPLPPAPVHDLQQKSKASSATSASLDRALSSFVLAPETKPKLPSSFPQTAEEYPRV PSSSSTRSLITPAAPRPQAASNPNRHSTLFQPTAASLARMQATVKPRTDRPLPTLPST PSAMVQPAIQPTQAKENKRENGDIEVISPSISFNTIQPFGNASSRENAFESNFMSKPS PSNTKGSLNGKSSTGPKTTLMKKGSTASISAVAARNRARSNGLSAVKSRGDLRDKEQD MKRRKEEMKATMERRKEERELRELLGM L198_04362 MPPLSVKDLTMPAAAFTMAVVLTGHVYSSIGQARQEADMKRARI VQEEQEKKTAARLRAINRGAPVSSQAGPEEK L198_04363 MVLAPTGQAVQMLYGTLVAAPAEMDDMTGGEGVYFVFPDVSVRF VGRFRLKAMLMRITGGPAINVCVTPTFEIVHNRDYIAPPLTPLTRHFNNQNVVRFGLP RWS L198_04364 MPRRRSTTQHSRPDDRHNGHRHHRSSRHKHENRTGHLSRGTTGE VQEDQLDIEGSLHGHSPTRSLPESSRNEVPPTSSWEVPGEPRRRRRRKSEPQDGIWPT LCSLCLTIGIPLIIEGFAKSRRRHRTTSTRYRGRSEEPKETTHFRAQEHDDFEPEPDA RVQDWVEQRAEEMEEIPVEAPPDTLPSVPDPSQEIDVGEEIPADTDLPPNDDCEAAGA TSSSISTYYHVFTLSMTAERLASLGGRSGSRKSQHESSRPRGWNKIVKVPDTYDSLPL DKWMSSVGWSWKDPEAEGWTPEDSVPEELRLGKIDKSRTLRGLSRQDIDDVKSKVWTG YVRFYQDVIRAKPPVHLASEGQEEDVAEEAGMTV L198_04365 MALKRINKELIDLGRDPPSSCSAGPINENLFQWQATIMGPADSP YSGGVFFLSLTFPTDYPFKPPKVQFTTKIYHPNINANGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIANTYKTDRPRYEATAREWTRKYAT L198_04366 MGLASNLTVTSGAYGQQLQPHGYGGQYPQQEPRGYIGPGSLQEG PAQGPGDSNNANTQYLMNVLQQCVQDQNLHAFYNPQALNIVAQGVAQSGALNTIAQEW KIPMELATEIVKLALFDVIIYVDDSGSMAYEDGGERIEDLKLILSRVTYVTSLFDSDG IQIRMMNSQVEGNHINSEQEALRLVSRIQFSGLTPLGTSLWQKILQPLVVGPAHQGRL NKPVLVLCITDGSPAGEPKGHLFDVIIRADHELKQTRYGPDAISYQFAQVGDDTKASR FLAELDAHPVVGSLVDCTSNFEAEQEEVQRKTGTILDPTMRIVKLLMGPISSSFDLKG EHIHRSLYLASVDNCSSDEAFHKRYY L198_04367 MGLGSNFLATAGTAAVAGYMKNSSKLQSSAPGQQSQSYAQGGQY GQPYGQGGQSGQQYGQVYAQGGQYNQPQGAASQYYSPQGPQGGTAFASAYGSSAQGAG SNNSNVQYLSSVLQQCVQDQGIQAFYTPQSVGNIAQSVAQSGSLNRIAQEWRIPQELA TEIVKLALFDVVLYVDDSGSMAYEGGGERIEDLKLILSRVAYATSLFDHDGIQVRMMN SRIEGNHINSEQEALRLVSQIQFSGLTPLGTSLWKKILQPLVVGPAQQGRLVKPVLVV CITDGSPAGEPNGHLFDVILRADQELKQTRYGPDAISYQFAQVGDDMKASRFLAELDA HPVVGSLVDCTSNFEAEQEEVQRKTGTILDPTMWIVKLLMGPISTAFDLKDEAFHKRY L198_04368 MTRPAIAIVTPHNPYSITERTPLTPRGQRRPSENGRAHSIWKYA RYATLSIHALLWAATLSISAAVRPHSLAAIVPSALGLAFSMMYSATVIWTRSTDWAAN RVVVEVAYHVCHAALLLVIGSITVANSSLCISSSGSLPASGLCVASAVPILTFSHLTL VTCWLALVLYLAFAHSTSSPHGIPRMYLNIWNLLGGEHDYTRTGQVDGVSVEKWWDQI PTVQERRASMCVEEAGSLREPREVRLSTSSWHTADFDYGRRSSGERRWGDSYVGVESR RNSYSLGEQGGEVGYTRIKSWGGQSYTETGSAHRECEEGDISQGARLLGEKSKGHEAR VSLVSTSSSSSDETVRQPIEAKQSSGPGISPDWSEASHYTQ L198_04369 MIETHSAEKQEAMDWDMDMVDDPLEEISNLESKFYNQGYDSGHA HGRLHGLFEGRELGKEKAWELWEEVGFYDGWASMWIQVLQAKAAASGTAGSKRGKEAR ALNHAQVLVELINTFPTINPTQSINSNAVSEMVDDTPAADIDLASLLSLIRARYRLLC SSLGTRPRLASATIVEANPGSGAAAAGRTGSDGGEAEGVVQGIEGPMKGVDTRQLRF L198_04370 MDDSASESGKITPQVLPRAISRSPNRLSFQDLPYELLRHIVSEV PAESRLGLVSTCKRIGFIAGELLWDGRLIARRGEGHPGYGPEKLFEGLQNTKPTKEKP FGRDLKLRFLKRVTFINLENPSTNSDGFLTFTSVFEELSASGIEPFPSLKYLETSTAP AELRPIVRNFDLALASVCRPEEMVMKSGYSLPDIKIDAYPQRLLEFAGGHKPAKVMHA PEGSSNVIPIVAYGTRNVLKPSAMFTTNVQSSISYIVMVVRFMHPELQHWRWQLSTEE CAKRDKTVWCFTWESARSGGLPWSYNDASKVEAAVLKAHPGMAGRMEFLPKRPSGGWA DLINSGKV L198_04371 MSAIIIQASAYPSERTPLISPDSRSASAPPSPAKDAPSKAPPLK DSISFTRFVTVLIGIWSANLVFAFQSTAIPTLAPEIGSWFEHAELASYLGSMFTLANT AVIPIYGVLMEVLGRKTAIVIACVLFGSGTILCALAGNMYTLIGARVFAGLGGGGLLT VSSVIVTDLVPLRDRGFYQGLMMTVFGSGSMLGGPVAGWLTDGFKVGWHSAFWVQLPV TVFCCIIVVTFLPSPAIPPTHTSLLSGLASLDWLGTILLVTSISALILGFSFHTSYLK PLTSPVVWGLLLFSIISAIAFLLVEMKVERPVLPLRLFKSNHLAAVMLSGFFLSIANQ SFTYQIPVYFLVILGTTTAKAGLIISLCSGLGLATGSLLAGQYIRSGYPYRWLGPIAL VPAVTSALIAASWTVQWPWWGYYATVFPSVLGYSTFLCVQLGKSSALSAHHHQPKATA LLYATRSLGATLGVSIGGSIQLGALATQLRAQFQGVDHQEQIIDAILHSKSAISLLSP SLQPLALAAYSSSLSTVWLVSSAVAVLTLLCSFFIQVHHVDEEENKVFQGTGGGLNDD PPFGQALGEASSEGESH L198_04372 MPSKESQDWDSLVAWFEECHVGFRMNIELRDTPGVLRGLVVTED VKERATLLHIPAASMLNPLTLLASDSTSKVLSKGPNFSIPRHLFPQPSHVTSAANSSK RLKTSPAGTDSSQSQQLDTTELLTLHLALSRDPHKRYTSDWQVYLETLPKDFRPWHPL TWLVKPDPGTKEAVEGWKWWADLYENHASPTLKLKVAEVKKRYDADKAVILSTLRTEE PFKSHSLATTLTEEDLLWAWLNINTRSISIPLGLPGPTERMNHTLVPILDMINHSSDP SVTAPRVKQLPAPTPTPASSTTRAKKSHKSTSSDDWASHGTRYSRNGLHLVPGKIDLE LVAPERKMAQGEEVMFEYGGHDNATLLAEYGFLEEPDGADDQKWLNLKYGELDVGWIV DELWAEKVGSLEEDEEDGEKGEKRKALEGIGCWGRVYFLCSICMALLTHNSANIIHAQ PSPPHPSHSLLMTLRVLHLPQGSPKLTGIQQGYSTYVSPSNELAVMSSLEHICARVVQ EAEKRLKNLKKLDKELKTEKGSIAERQTMLEMMEGLYTEEKVLAKNILGRIEAGEDLS L198_04373 MVNPDEDTEFNDALRHHGILPPKPPSRSPSPDIPHISHTDAVRA VAATADADQLVTLLEADNLDSDDERMFEEYKQKRLDEMRKEEKRGRFGTMEPLGREDF VREVTEGSKVDPDAPAEEEKEDEEDKPSSRLKGTGVVVFLFKDSVPLSQHLRPLLYQL AAVHTSTKFLSIPAGLCIANYPDKNVPTLLIYRDGELVRNVVAGAGLKGMKTTVRDLE ALLLYFKAIEKPSSALQRAERQGDDSDSDLDHDFNDGVGTINGRGGGLRTGGTGVGTG RGKEESDDDDSDFDM L198_04374 MSPLHFTDHVVLVTGAASGIGRVYARFYAARGAKVVVNDVSHKS AQAVVDEINSAGGKAAIALGSVTEGDKIVAQAVKAFGTVHVLINNAGILRDKAFKNMT DEQWDLVIAVHLKGAFSCSKAVWPLFRQQKFGRIINTSSAAGLYGNRGQANYSAAKSG LVAFTRTLAREGAKYDIKANVIVPIAASAMTETIMPPDMLKGLRPEFIAPFVGLLTAK NGPDVNGRIFELGAGFFSEVRWERSKGAIFRADDTFTPSAVALKWDQASDFTQSDHPL NTTDGDMAGWAKQAATSPPNKQLSPPIDFKGKTVIITGSGAGLGRAYALMFGGLGANV VINDVVQDNAANVVKEVEALGGKAVAAVCSAEEGEKIVKAGVDAFGTIDVLVANAGIL RDKAFVNMTEQMWDQVIQVHLRGTFKCAKAVWPIFEKQGHGRIITTASPNGIFGTVGQ ANYSAAKAAIIGLTRSLAIEGENKGIHVNCIAPRAATAMTATVWTKELMDIFKPETVA PVVGFLASDACEDNGTFHEVFGGYVGKMRWERTYGAVFPNDKEVTPEQILGKWKQITT FDDRSSHPSSPSEALQQIVENFENTAREASEGVESFEDPEDTEEIKAAKKVEPEAHEF PYQERDVILYNLGVGAKVEELQWVYENADGFTALPTFGVIPQFGSSHTIDIGSIVPNF NPAKLLHGEQYLKIKAPIPTSGTLVSYARLLEVLDKGKAASVTYTIETKDKETGEVIF ENQSTTVLRGSGGFGGKRNGNDRGAATALNAPPKRKPDAVMEEQTTLEQAAIYRLSGD WNPLHIDPEFSSIGGFPKPILHGLCSMGIAGKHVLKAFGPYEDIKVRFAGTVIPGETL VTEMWKEGNKVIFTTKVKERGAPALSNAAVTLVEGEKPMKAKL L198_04375 MSTSSNYMKALVVPQPGVEHYHLQDLPIPVPRANQALIRLRASG LCHTDSIVRDGSFGANWPLIGGHEPAGEIVALGGSESGLNVGERIVALLPRDLCGKCP DCTLGDWKYCKEASLGGINADGYFSQYAVVEAKLCVPLPANMTFEQAAPLSCAGVTVY TAIKKAGLKAGDVIAISGLGGLGYLGVQMAKALGLKVVAIDARPEPLLLVGKLEAKLR PDLIVDASSTNAEDIVQAIKTLRDGNYVGWEGVDASVLTSPAPSSYGYAANLTRSHGL LVLIAQPPKLEFEYPLFLAKDLTLKGSLHGNEVDLKETMELCAKFGIQSDVKTFTIDQ HEWMLDEVQHHNWKGKAVLLF L198_04376 MGANDKPAVPTTPPHAWPVPEQWPAAKVRQTFIEYFQKQPQFEH TFWKSSGVIPFDDDTLLFANAGMNQYKPLFLGTADPKSDLSRLVRAVNSQKCIRAGGK HNGADLDDVGKDTYHHTFFEMLGNWSFGDYFKLGALTMAWDLLTRVYGLPKDRLYVTY FEGDAKQGLEPDTEAQQLWRDLGVPESHILPGNAKDNFWEMGATGPCGPCSEIHFDRI GGREAAHLVNEDDPDVLEIWNNVFIQYNREQSGELRPLPAKHVDTGMGFERLVSVLHD VRSNYDTDVFTPIFAKIQELTGARAYEGKLGEADKDGIDTAYRVIADHIRTLTIAISD GGVPDKDGRGYVLRRILRRGVRYASSKFNVKIGSFFSSLVPTVIESLEPIFPEVNSKR KELIEILDEEEASFARTLTRGEALFNKYAALAHEEKRTVLSGKDIWRLYDTYGFPVDL TQIMAEERGLKVDEEAFEKARLESLEASKAGGKEKAGAQVKLDVHDLGALEANDAVPK TDDSAKYGREDITATVKSIYHSSRFYSSTSELPPNSVFGVLLDKTNFYAESGGQEYDT GVLAIDGQAEFKVADVQVYNGYVLHVGQLEEGEIKVGDEVICTYDELRRWPIRNNHTG THILNFALREVLGDHIDQRGSLVAPTKLRFDFSHNKSITVPQLTKIEEISNEWIKKAA PVYSKEMALAEAHKIPGLRAVFGEAYPDPVRVVSIGFSLEKIAANIEDKRWATTSIEF CGGTHVAKTDDIKDFVIVEESSIAKGIRRVVAVTGHDAHEVSRRAVEYERKLETIRGL QGKEKEAAMKPFLTELGQSGISLIKKSALKEQFEKMAGELAAAAKAKIAADSKIVTET IKAYFKENPNENVFAASFDVGGNSKTLAAAATAGKSTGKAIYAFSADPETGKIAHINY LPKEVVAEKKLDAKIWLNEVAKVLGGKGGGRDDSATGVGSEVDKIDEAIVIATTVYKK RVEGA L198_04377 MADAWQSRAAQYRQDLQESIPPEWRISVTPSCYPVSEYLSQSSL FTHTEQSILKSDATGLRDAIAAREFSACSVTVAYAKAGAVAHQTTNCLFDFFPDEAIQ RAKWLDEELEKGGPVGALHGVPISVKSSFGIKGHRMTRGFLSDVDSAPAEEDATIISI LRNAGAVFILTTALPQSIMHLETDSFLGPCLNPHNPKLTPGGSSGGESAIIAAGCSVL GIGSDIGGSIRAPAGAAGIFGFKPTAIRLPKSGCTSMMPGQEAIPGAFGPMGKSPRDM ELFVHTVLQAETWKTDPTQAGMPWVPGRVNWVGGDKPRVGVMWDDGVVVPQPPIQRAL KTAADSLKKAGYEVVDFEPFKAAESWELVSSLYFTDGGARIIKCAAESGEPVLPLTKW IMSQNCRERTMEELHELVRTRDKFRANFNHHLSASGVDIILSPTGYGPAQPLETTAYW SYTSMWNLLDLPSASFPTGLFVDVALDGKQTREMYLGEEDAKIHARYDPHLLVGAPLS LQIAGSRWEDEKVMEALNLVSRVVQGDTK L198_04378 MPRLPLLLTTATLALLPGLSAFSFSFSHGPASCDQAVVTWSGGQ SPYSLTIIPAYDYPTTVSIPDSAYDDASGTGSYNWTANYPSGTSFVAMMSDDSGTGTG GVSELFNISSASSESSCDMRSEETDFLFYLNETSLTQCQGVEVYWDDSAVSPVSIIGA IPGGQVFQLVSSDEKTTSMVWSTNVQAGTDVIFAAFDSGTHLQGGSSDILTIQGSGDN SCIDDASPSSTPAASTATQTGASGGTKTNAGGVKTVTAVTTQTASAASGAAGLSTGAI VGIVMSAVVVVGAIQIALLWFCCRRQIRALIYHRKEMRGTEIKPSGGVDLGLASRASF TSGRENDAGMGELAGTERRGSRYSTVRSHGAGEDFEAASSISPFWDGAAAIPPSGSVM SLNALGNGRPPTIGSLGFDSEGESLRPPRGAFGRDRNDSLSSLTLTIPETIDESGQPS PAYDAAHPSPALSNSSNSPLVPSSAALGGRGNMSKAQMAASLSAQNADREGERDVYFG ARVPPPEAPSGGFRRHVDAGAVEDLPPMYRPEWENSPRNSQHERE L198_04379 MSFSRSSASALKQALRTTAPRASRGAALRSYSLLTGAAPRAMAT RLGATRGVKTLDFAGTKEDVYERADWPLDKLQEFFKNDTLAMIGYGSQGHGQSLNARD NGLKVIVGVRKGGESWKQAQEDGWVPGETLFDIPEAIAKGSIIMNLLSDAAQSQTWSE LAPLITKGKTLYFSHGFSVVYKNDTNVEVPKDVDVILVAPKGSGRTVRTLFLEGRGIN SSIAVYQDVTGQAKDKAIALGIAVGSGYCYETTFEKEVYSDLYGERGVLMGGIQGMFL AQYEVLRKNGHSPSEAFNETVEEATQSLFPLIGKYGMDYMYNACSTTARRGALDWAPR FKEANLPVFEALYKSVKDGSETRRSLEFNGRKTYREDLQKELDEIDNQEIWRAGKTVR ALRPDANKDEL L198_04380 MSDLYLDPSIRDWVLVPITLIMLLVGILRHYVTQLLNSAPKKQS AAAVREQRALGRSAILRGTSTLSPIPPAAYKALSVSLAAALSSDEYIKPEDVKKEGDA PANPLEGAGMESAMDGMKKQAVMMVPNMVLMQYINMFFSGFVLMRLPFPLTAGFKSLL SRDIAMADLDVRWVSALSWYFLNLFGLNGVFKLILGADNAAVDTRDLTSTASLSGAGA GPMAGPGGTPDMTKLFKAEVENLSLAEGSYKWVGEGIEDRVLAGWGKV L198_04381 MRFSTLFAAAIPLLGSALAAPINAVGADALYLKRDIDVGADVAG ALSLKRDLLDIDADAKAALNVRDVDYVSVLQNLESTVGSITTLAANATEDEVTEVLTT VNDALTTALSDLGLSSSSKRSERLVARDLTDDVSELLATVIQDVDTIVAPLEDTLSSI PAVKALLDEVNTALSSIVTGLDEILGGVLTLVSNILSGLGIDLTPLLSGVLGLLTGVL GGL L198_04382 MPDDAIFATFQEVKSRKKAQARKSDPLVASPAPSHPNDTDHTKG TNIPSSLLAVSKTPHPAFTLSIRSSTEFPSLSKAVRSVPAAPQEVQPDPEMAPQREIL AKSPLGKDDGILSSPPVTDDNRALVATRGKEIAPVKYHAVTGTSTNGQHWPLSRNPSN GTEGGNFPSHREDTNPWAEDDAIASVDCRRPFPSSDSGARFNRHQSSRGFRGARNGGI RPRRTDWWRRDPHPMFSPKYAAQRLLIEFPSAISVAHAKAVLEKHFEKYGAVHSVYHY DQTGDRCYKGFVVFEDPVSIQRVFSDPLAKVCDLTPDPDTAESRVDITIRPSAASDLE RIVFIRVTGSRSPEEARDRRQAHHKQYDIEHRDRYEGAPSQRYDSASSINADGLALVH KELLPERVNLDQIPFKVRTKEHHKLFSSICVRQISTSWEEFARQVEEGCGRRPVNIRE ISVRHSSEHEFIPLLCDHLGEVCSLRQPSDKFPGWLVTVSGSRDGRHMIHELQKIPGF FVRWADERDGLFSDDPSATTLKWPSHSNAHRPFPQDRSSPSPPDPVIHTPTTPHATIP SSSSLTILGPSPTHPTLRRALLHSYRGRTLVQDNASGEARFLDESAVFVGRVNKDMES NASLQTRFGKYGRIICLEFNPRGVAQHVNHATARVMYDNKKSAADAIAGENGAHSYGS HLRCELRKVIQSDVHTRAIYVDANNRPLSPTDVAKAFPDPNQGFPDPAIVDVKVAPSP ESTAAPPRAKQSPLPSAPFDILSPNNSGYPYDIPLSPQFPGMMQGQKEGIEAQMGAPS LGLSVMAVPNFDHSAILSFNSMWGLSQPNGVPALQPVYANGSGSASRSVSNPLPAHKV PTPDEKDTPITTPATPSQDIPFATIAKTIEPNSHTLTPVGFKEENGIFTAIYADDELK SYCETNGLPYPPERHVTPKKEEGQAKLGPAWRESLLPIQTGGHDPTSISPLRRGSEAA ISGEDRPTLTKLRLRRSRSDYSLDTRLRDGDVSEAVSSFGSSRVPSPRGLLSNHHPHG RLTPTGSFIDDPIPGHHVPYVANTPADMFQFPSLVMSPPPPPVMPQNLIPIPIPVDTR ASPVDELGRMGPPYISSHYPIYDSLSSSGSSGPAGFYLHSMNGRNASNGYPSMMGYDV YAHQMAVQQQQHAMAMGYQYTKQPLHGGREGPGRENWGGSGLRGNGGDVHWAGGDDSG NGAGNMRRNDSSIKGRTNNYGSMRGKGKGGRRRGQLYHGQQSSRQQAQTEFAEGD L198_04383 MSDSAHQNHVISGYKATLNNPNVSDEAKSRASEGIENFQENGSS AIEQSDKNNGNADHHENQVLGGHKA L198_04384 MTPTTSPKSPGSPPHEQTSYGVKRKFDDEEEDSPPPTPRPDKRA RESPNAQLPTPPNSSPSRPLAPEPDKPLPSLPTEIVNYIIGLADSGTLRTIAGVSKTF RSESRRARKRQLSASRGFGADEHIEFRVYDAECPISANPSEVVTTGRSQFGVMSSVVL GEGRSKAPFKVEGQLGTYKLSWNGKSYWATRALNQEEDDAFGSQLLQLGFLGRLGALF KLFREEVKSEIAALKD L198_04385 MVVTAISPVHPNHADAADALKTALSPISPKHPPPTLDYGLPGTT PPDRMSPTVVTSSPTVSGIPGRDESAAGPSSPRPIPPPPNARRHRSGILMSRVRASGS ATRLQGDVSGSKSHGDLVSMAAARRDDDEPENGDVMEHLGQDTDEDDDDFWEGYEGRG RFRPASREGWKRVMSEGRHNSDGESFWDNSARYYHHLHSGRNSQSPSICTSPDQSPVA SHTTSPIFVRRNLSLPQNGSAGVSGSAKSLSPNQHFLQSHLPPTFPSPVNSGTSPDTL YPEYQGPAAMVFTPTTQEWKDLQETPEWIEAHGERVDANFASSDEDDSGHSRHHSLEK DEGERPRRILKKSISAEYLGPEETHTPDPGSPPSGDKSAPLAPVYQPNIIAPSPVMAA NLSRRCSAPLTLGSPAAESPGLPDGVQSTGALGLKDTSACPTSPVNQSTPTPGEADPD DVILNPNRGAAPARWNSIGRRDSLRSVTEKEAPPPLQRAKTKRELEREKLLKMVDEEL EAEHEPAQSPGWGGGVQSIGKGFSLDSARSPGTPPPSDVPTVRVDPDALNGPSSEDPA VSNKKSPPNPFESHFTKKLGSKQLTSPFKPSPLNASPIVAPEDGSVTPSTAATTPAAD VTLEATTQFNTRPTTESSSETSTGLDSIRDYTRGIAGSQPPKPGDITPPKSPRVSSRK RDTNRVSLVAGRVVRPFALPPSTALPPSARAAAESPALQSFSPFISPNTAPSTPATTT FPRLDSHISTISTAPSTGVPSECGTPTEEKAAGIGGRGIDDYVILKEAGKGAYGLVMR AKVKGLNGQPVGDEVIIKYIIKARILADCWKKHKTLGPIPVEIHVMDQLRQLIYTPPK TMNPWDPARPRPGQFKPPFDSADSLSSTPVSPGKKDQLSPPLSTVQKHITDEIKTSPR RGHPNICKLVDFFEDREFYYLVMPRFGTGLDLFDRVETRPLGLDAFEIRSLAGQLIDA VNFLHINGIVHRDIKDENVILDGSGHCQLIDFGSAAHWRPGKKWDTFSGTLHYASPEI LRGDMYGGKEQDVWALGVVFYVLLVAETPFSEIPDEVLKGLEEGYQADTVLMARCGNG HEEEGLEDDGGGRLGDAADLVRRCMEIDAANRPGAETLLKHRYLKGAGGWTGRKGWIE E L198_04386 MAYYGQHQGLQYARDEGSTSQLQSSYPAFPAEAYEHDEAFLDEH DEFPGEVDVGAALQYWTALRHMSPKGKQREETDEDKASKSPWRLRSKLKTVTAGLFIC LNLGVDPPDVVKTNPCAKTECWIDPTQLPANKAIDAIGRNLHQQFETLNPKVKYKAFL DPSVEDTKKHCIGMRKTTKDERVVFYYNGHGVPRPTPSGEIWVFNKNYTQYIPVSLYD LQEWLGSPCIYIWECSAAGNILNNFMKSAERRDAEARHAASQAGHEELPRTSYTEALH LAACKVNQILPMSPDLPADLFTCCLTSPIETALRHFVLQDPLRRNTGLSADDPRSRIT VDLAMRIPGDLKDRRTPLGELSWIFTAVTDTIAWLSFPREMFNKLFRQDLLVAALFRN FLLAQRIMQAYHCTPTSIPEIPDTHNHPLWESWDLAVDSCLAQLPGIFDQVGEPEPGK PYNIPAGMYKPSTFFAQHLQAFEVWLQHGATLNSKRAARGANGLVPRSPPEQLPIVLQ VLLSQSHRLRALILISRFVDLGPWAVHLSLSIGIFPYVQKLLASPAIELKPVLIYIWA RILAIDRSCQADLLRDSGFTYFTQILSAFPQQGSLVIPNANEHRAMSAFILSVLCRDF RQGQTACLNTHVLDACVQRLHEDDWLLKTWSLLCIGQLWAGFDEAKSVFWRMDRQQIL IDSLRSTSVEVRAAALYAFGTLLGASALPPDSAGIGGGGTGSQIGLSDVQQLELEAGV AFACMMSVKEDASPLVRKELVVVISCVVREWRGWLVAAAWVYFEQEAALAAAESDQPL PRDVVSETLDQWTSDEDRHPAEHQHNLTLLSSFKVLFETLLDLSVDPHTGVALSASTV VDYIIALLVDSAFVRVEGSALRALIRKHSLPKPKPRVQSEKLSHLNGVPPPLNRQKSD TETDKSTGNIASLKRSSSVAHALRSLASITGLKTPDAPPEQEQLAQPHSEMPTVADLT ASCYISPYPGATHQRIQPDKDSRASTPSRPAHQGNHLNSHMNTRSSLGILPSRLDQNP VKAYQVLEALTAEDMERLRMRRLKGREAGEDSDGRYGNNGLSLSTDLGLGMVAKEVKD DVLPLKSGYYDWAMDYFRTPQMKAPDADEPGSETYNEQAWKHQRNEMMVEKSRAGEEY AATHSWATEAGTLHNEAWPLQLAFHSYDPILAVTDDTDQVCIWDWQHGVKLNKFSNQN ISGSSISSIHFVNEMASSLMLTASTEGSIRVFRDYDIPGQTALASTFRAVSDVYPVGH SSGVLTAWEQQKGHLLVGGDMKVVRLWDATVERHLRDISTQAGSNLTAISSDEPNGNV FVAGFGDGVVRLFDKRADKADEVVLRTWRQHKIWIQSVHLQKGSMRELVSGSMDGEVR VWDVRKPDEPLYTLPKRNSGLMGLAVHTGAPVLARTTAITPYSTKQELEITGFSNPVE PKRLARIAIPVPPAYNTRRHRPVDFLPSASSLVFHPVEMMVAAGGFDTSGTVKLYKCP TPDFPQGTQWEQANGHI L198_04387 MHLMYTSDEKGNRIYTLKKVTAANKPTKSAHPARFSPDDKFSRH RVTIKKRFGILPTQLPSKPL L198_04388 MGSPANAYPSRPGLKHFLQHPHHLLRPSIRLKTSLYTLTLLIVL FLVLALNAATSGPSTSERDISRSPKLDTPADTGEGKDIAQGKDAHLVDGDIQRSFEEP DFALLSGRQPHEIGCNVPLEGEDSGVLIFLGIFSAADKRGRRDLYRKEIIPDFPEDLV TVKFILGTPPFPNAPTDPEIEKRDALLKDVRQEMKQHGDMVMLPMIDNIDLGKTHEYF KYIAHTYAGPSHGQVAGRPRFVVKADDDTILVMPNLIAAFKDLDCSKNVYWGTSAGRS HFFGDYFRGLAYAMSWPLASPVSWIGSADMTFTHIAKIEDARTGQWLRHLDPVTDPIK RIDMGWTMGDWNQLDVSIETVALHWCKLDDWVWEQHARLLDLWAQAGRQYTPENGVPP KESREKGKIMPEKAEKEQQRQKEMGWDVQGKEMGWNVHGPP L198_04389 MAGRAAALDDKVFCIADMQEAARANLPKKNLDYIEGGAMDLITL NDNMNSFNRYRLLPRVMKDVNDVDTSSECWGSSFPMGFSPTGMHGVAHSDRELGTSRA AAKNGINMCLSSWANSGVQEVVDQGAQYSNAYGMQLSVVKDPEINLYVMRNAEAAGCK VLWITVDLPILGRRLNEYRNKFQVPDDLDMPIFPPGANWRDTATDPRMAYDRGLTWER VKWFKENTKMEIWLKGIMDPEDAKLAVEAGADGIVVSNHGGRQLDSISSTLSALPGVV DAVAGRIPVHFDGGIRRGTDIFKALALGADHVFAGRVALYGLAYNGEAGVDLAIKMLH REFLETMIMVGVNKVKDIGRHHLATVNADGSLSRLKD L198_04390 MPTVNQQHPSPVGGSKDDLDKSTDLGATIDVQAVDFDPEALTAQ KQTKRHLKPRHVQMTGISGAIGTGLFIGVGTSLSKAGPLGLFLAYTSNALLVFSTYNA MGEMVCWLPVDGSIVVFAHKYLDDAWGFSLGWLYFIVNALAVASEVSAVAAIINYWTD AVNNAVYVAAVCASLVGLNIFGVHIFGEGKFYFSLFKVFLIMGLLVMTFITMVGGNPE QDAYGFRYWKDPGPFVEYLARFLASGAFLYKQHTPMYGGPDFVALAAGETRNPRRVLP SVFRRVIYRLMVFYLFGVLAVGVLCPSDDPDLISGGAGAAASPFVIGVKRLNIPLPDL INALLMSSAWSCGLELFYGSSQALYSLAVDHKTPALFRFTWRGVPTFCVLAVSAVSLL AFMSASNSSVEVFTWLTNIVGSGILLQYIIHHIIYIRFR L198_04391 MAQGIPDIERPWYRKGQYCFSMVSLFCYIVIYLTNGFAVFLKGN WSTSNFIFAYASLLFFLVPWVGYKIVRRGWLTPLEEVDLYAGRTKEQLDSNDDVEMEP VTKGQKFNKWLWG L198_04392 MSVTSSSSDHPSPQQPTEPHAKRRPWTLEEDALLIDAVDRLGSA RGPGSAWSEISSIVGGTRTNKDCRKRWFHSLDPSLRKGRWSREEDEALKRLHKEMGPQ WKEIALLIPGRKDDQCSKRWRDVLDPFLTSKKAWTPLEDALLLQLFEAHGAKWSVISD SLPGRSPLACRNRSRKYFDLTRGNNKVSASAARHTSPHHHTVEPKGDRATTLRSADTP PLEGTLGLFPWGLPEELLPDGTPGLFPWEVPDAPLLGSVPEDNSPQLEMTRAKSAPEK WKDLMRNNDQMNMSDAQNIIPTPALDQDYMDQWLSLFHQSNSAAASISQSQTQTSFAP PIRSPSSLSSATSSALSLPSIPAAPVSDPWALFAALENGQASVNVDVQLLRKLMADAA KGSSAVESRV L198_04393 MSRSYDRGNPAALTVFSPDGHLFQVEYALEAVRRGTCAVGVRGK SCVVLGVEKKSTLQLQDPRTVRKVAMLDDHVCVAFAGLTADGRILIDKARVECQSHRL TVEDPVSIEYITKHVAGIQQRYTQSGGVRPFGISALIVGFDPNDTIPRLYSTEPSGIY SAWKACSIGRASKTVREFLEKNYEENLERDDSIKLAVKSLLEVVQTGAKNIEITVMES YGVVKNLEQEDIEKIVAEIDAEKEAEAERKRQRLAATQAGQASMAMGSSAPSGTQTPS GAAGGSQEHHSAPGGDSGVQ L198_04394 MSSSASIPPLNIPLHVKYIQDLDKKQDLAYHLTSHLRLNGIYWG LTALYIMGQPEALDREGVIQYVLSCWDDKAGTFGPHPDHDGHILATLSGIQVLLMQDA LDRADVERITSCNLINADGSVRGDPSPESDSRFTYILLSSLSLMSRLHLLTPAQLSSI TSNIEQCKNFDGGFGLEPGTESHSGQVWVCTAALAILGRMDLVEVDLLGAWLSERQLP NGGLNGRPEKLEDVCYSWWCLASISIIGKIHWINAEKLTNFILSAQDPDEGGIGDRPG NWVDVFHTLFGVAGLSLLGYPGLQDIDPVYCMPADLIDRLGLRKAYSVLPRQSS L198_04395 MPHIAHLPRYTSTTLRSSVILPSLPQILSELLHNSLDAGATKID CYIDLTKGAESLRVEDDGGGIDREGLEKVGKRFRTSKPTNEGGLGPEASYGYRGEALA SIASLSLLDITTKTRCSQVYTKILKHSKDLFFGPNASRHVPGSHGTHIVVREIFHSIP VRRQELAQSSQSTIMGQIRKVVEGLILGSKGVRWAVWEDRGGGAGGLRKVISIGETES SLDLFKTLYGSALVQRVQNIRVTSGKRRINGFISISGDVSKSHQHLYINNYPIDRGEL HLAIAKRFSNSRFATVASAGGADEEDDYNMLIAVVDEFLRRNGYSSGRMASLTPSPTK KPTSPLSKVFPRPETSYAKPSPLSISSLRATVTPIFSAAGVPIPRPPSTSSQLLGLKR HASPVSFSTFSTPKKPRLGGTTHSASPKINEIGSRKSKWIEELLAGVDTGVLPITRPK SALRVANPTCNIHELHEDTCCSHSAPDIPLNLPPTTQTTLQVQFAKSCLSSAIILSQV DRKYIAAVLTTMKGAKALALIDQHAADERVSVEGILWELCEGFRKGDLAAERLEDGSE VGVVLTKGEGEVLRRDGVIEVFKRWGMDLALDHHWIDGDYTQVMVRSVPASLTSRLKR KEAVEMTRLIRGYLPVLEESLGQIQVLVRDLEKKFIDQDDEGEGYGGTWGRIMRFMPK EMLELANSKACRGAIMFEDRLTQDQCSRLVQQLAQTRFPFMCAHGRPAMVPLVVLAEL EEVPQDKGRKGAGKAINWGNVGQRMKEAHEEEEEEESSVTEVRQDVV L198_04396 MVGGNPAHDAYGFRYWKDPGPFVEYLVSGSTGKFLGFWSVFVQA AYAYGGPDCVAFAAGETRNPRRVLPSVFKRVIYRLLVFYIFGVLAAGVLCPSNDADLT SGATGAAASPFVIGVKRLNISFLPDLINALLMTLAWSFGLDLFFISSRALYSLAIDHK TPALFRFTWRGVPTFCVLAVFAVSSLLAFMSASTSSIEVFTWLTSIVGSGVLVQFIMY HTIYIRFRRAQMAQGIPDIDRPWYRKGQYFFSIVTVICYIIIFLTNGFSVFMKGQWSI SSFIFAYASLLSLLVPWVGYKIVRRGGWLTPLKEVDLYAGRTREQMDFNDDPEMEAVT KGQKFNK L198_04397 MSAPHPLDPASPQELTAAIDLIKALYDVPIHFKVAGLEEPPKEV MKKYLTAERAGTPIAPPNRLIFMMYYIKNTPRLFEATIDVTDGKIDHNKEMSRDHYAP VDRVEHNEAAEVALNDPLVKKELERCQIGDSVVVCDPWDYGKDEFDSNRRLSQIFFYT RNPKNNDPDSNHYAFPLDFMAIIDLVQMKIVKICHIPIGAEPIATPHNGPRILGEPIE PEYDHRLQSKPARTTMKPLQVVQPEGASFKLTGRLIEWEKWRFRVGFNWREGMILYDV TLDGRPVFFRLSLSEMFVPYGDPRDPLHRKGAFDLGNVGAGATANDLSLGCDCLGTIA YLDGHIIHARGEVVPKNNCVCIHEIDSGIQWKHTNHRTGKASVVRKRQLQLQTILTVA NYEYIFYWTFDQSGEIEFETRATGILSTTPIDPKCTDKVNFATRVGDGVLAPYHQHIF NLRIDPSIDGYSNTVSYVDSLPMPEDKNLNPFGTGYYTEETKITKSGTLKTDPFKARI IKISNPDKINPVSLTPIAYKLVPISSQGLLAAKGSWHDKRSHFGDAPIWVTKYKDREL YPSGDYTCQSDGTEGIREWVAREDAVENHDVVLWHTYTFTHNPRPEDFPIMPAESVKI MLKPSGFFNYNPSLDVPLSTQAINQSVKYDPTLQVGADGAVNGDTVKVNGGSCCTK L198_04398 MSSFILACTVFLEPPVVERLHKAFAKVHYHPDGVLPKEALQEAD ILFTEMVGVPAQITDLKAEIPNLKLIQLVCAGSEKALACPAVKNYVAQSDRSITLANA SGIHVLSIPNYVVASILGAYHQLSRQIIFGAVNKRWATRAEIEITDKPYYARSPRGRT VGMLGYGALGRESARLLSCLGMEIIAANTSGSATQQTGYIIDGTGDADGSIPETYYST KDRTSFEAFLKRCDVLVSSLPNTSATQYIIGPKELGKPPSKERFACQHWSGQPRQVWS SEDLLAELNKPEGEGLFAAHVDVTDPEPLPEGHPLWSHRKATITPHLTGDTEGEYDIA VDILIANVKNLAVGERLYNAVDFVKGY L198_04399 MPFRARCNPAAILQRRNAALLLRPDSVRISSVVTPLVAPRHQVQ QYRHSSSSSPLQSPFSSFFSRIRRADTPETASKEFTDALVSCDINSLSTAYYTIGKAV QPAQWISEQQLLASMRALAEKTGKSPQGLRLLSRMYGDISRRFGYPKESAYDEAMILG LIRAGAVKEAIDLAATLKKEAVDWRAMLQATLRSGGAVEYEHVARIVEPFQRQASLSH DDYRLLLRVLRTYPDQSNSSQLVTLQKDMSARGIALDKSLEAVITSVYIALGDLEGSR NIIEKWSLLSTEEYELDMWDAIVSHHIATNDVPKLHEVVGKMRDAGIKIPQRALLVLA TACLDGYIDSKSRVGYRDAAAAIDEVGQLSGDLPGTEVWTGVIQHYLDKVDERDSLDV ALQLFDESQGRGIPLTAELVRTMIVPLCSSRKGQYTEDALRIYDEYMTFALNSELEVE TVRRRFAGVYGKLFYACARASPPPMASVIRLLDDMRTLSIDFTPSNLISWLVLLMRAS PDHNAAFTLYSNFHSLNPAAIDEEGYNVILTNFLGLKWEGRGEAHAPPDLFVSIMKDM ARAGYQPSSHALTSLLKTYGHTATRLRRSSRRPALSPEDPLSRLGSSISSIHTLIKLD PLLPPDIPLLTSLMDAYNRIGSTFEAFEVWDEIVQRRGREEERRGKEGVREIYKPAIN VMLDTCGWSYQLAKARKSWSWARRFGLAFDKKHYEAWVECLCRCGSLSEAADVVLDQM GKENGVPRADRDTLRLLCKFGRKERDKGKQVAVAQGVLNRVKEAYPGWWDELKQEGGG KGRRGQAGSQ L198_04400 MVFRHVWGWMSSPRKPNLNLTPTSTNSSTSSQQSSRSGRLDEQA ATTAAYIVCPRPLPSRAFSDEADTECPICLDEMELVIFAPQPSELRGKIRSLRKKQTY QQAVETPVDPALHTSKGHVVAPCGHVYHYRCLKGWLEIKPLCPLCQDKLPTLSLPAP L198_04401 MTTPEVPVGAKVQVSVGIGHVRWTGTNPGFAVGKWVGVELLEPA GKNDGTVKGERYFDCPPLYGVFVRPSQVKILETPKTAVSPSCPRPSSARPPATPTTSR LASGQSSRASSPQKPLTSRAPPTPGQSSRVTSGSSATGVPQSPAPAPPPARRVSSTSS RPGQGNIFKRPPSVLDRAPTPLTTAEEEEDEPVRGVISPPPQRVISPTRRVISPVPSL SHQTGTRSISATLAPPPELPSPEAHPAANPTPRSPSPDKGAIHESAMKRELEELRIRV RILETRKHEDGEKIKDLEGRVGEADSLKTARVRLQAKFQEIQSALLAAQRSAKDLQAE NGVLENRAAEAVDQLEMAALDREVAEEKAEAADSEVVKLGERVEELEMEVAILKEENA EYERPVSGVEGERTSLAFVQLEKHNERLKDALIRLRDMSSEAEKDHKTKMAELERELT SQEELITNLELAEAKLSNAESQIEDLKLQLDDALGAEDMLEQLTDRNLQLSERLEEMR ATIEDLEALKDLNDELEEGHVETEKQLNEDIEALEIAFREEKRRAAELEEVALDMEST IGQFRELVQSLQGDLENVRLQQMNQESDTATVTKDSQALMNLNLKLQSTAAKAQSKTI DLELKKLEATQLFEHLRIVQAYLPEAYHETEEDSASMFLFFNRVGAKVDMLVNVVSQV HGLPASLHSASSEALVGICELKGKLRYFSTLNKRFSSVMKRGSTDDWSAYGKFLTEIT GVEARIDSWVAALKNDEFSECDCARDLGSLVAQFDHLAETAFHRPQLDVGEQQLGLAH VFDCDLDNFAAAVGFARHAITSLAAEEDIEIDVGESSLEESVYDPVQRILDLVRSVQV PSAKLVHQIEEIAQASSAFMPEITLILSDLTASVSNAVDLAVQLAQRIGQHTALLRST KQPLKLSDIEGFLADVTAQSASAGVQAHPWEVIGAFVAKLGEELGAAVPKVKEAVKEG RVMVYDAPAPWIARVAAIKEAASHNAETEKQVLMLTEEVKDMLREIKIRDQTLQEAGV KVETLERRLETSRKQADDIVDLENDVAKAKKQEKVYADAVEQLQQEVNALENQNAELR KGQGGDRQVNANQGIPSFGEPIIVGSGAGLESSQLAEQVANLKSAVRFLRQENALLKS KELYKEIHSLPTLGFRSEPDVPELVSSLYKETPATPGSESESSLPSTPTHSPLRSLPP TKHALEMESRILLYQIAKFQASPKIVDISQLGGEKVGWKSRKNAPEVQVGEWKKKERR LERRLEGLVGRARSLGERSNLYR L198_04402 MSALQPRPLAARAAAAPAPITTKIASTKFLAKRYMSAYLGRVAL WTVLVLAGASVCVTGSASSGLYALIYAIPTFISLAVILKLRKSFITEPYRPAPRTSLV RLFLSCFWNPRAITLLAAYYVFGLTVSNAWLSLLGRNNWGFFTPTIRHPWQLNEHRVI LVFSNACLLTTIAARDILGDKLKPTWPTERKPLGKAVQAALLSEVWTSTRIGFTFSWS VIAPFAYCWLGIRTFVWQWANWRILASTVRPFVGNFARQTSKTPSPWSLLGPMLVLNF VALVILQFPVKALMAYTTQSPLSQDQYLITALKSKDKYYLQFTLMELLRVAHIPASRK ALFDNISRSPIPVLALWQDLLLHFGTVHHTLITRGSISRPLTPAQPSTPSSAHAIPIK QGDIFRPQPKAKSSSFNLKAAFDGPIRPSAPPPAVAENISKVGDLAKKRIGEAQGMAL GRIEATGVGASFVGEARVARRDVHEWAGREWARRSVRVVLGDAVIVQRVIDIMVLLAG ASIQEDTYGNVQQVLPSTLEAIVRFRDAVKLFELELVGKARVLGPAQESGLGEIKESL GEIVTYCEDSVRKVAADFGQSLGAFRFPPVIAHSLGEICKPSI L198_04403 MPHSNTEETKHPGAGTLYVYSATSTRGISPAKSTRRGVSPFAVP TVPKRWEDVPSAKEEPAIVATLDSLLRHGIAEYTYVPHSLTGVLGRGKFSTVYKVTGA DGKQHALKHTPLYPHHPLIAARLLREPNLLAELPRHPCLIGVNGWIRTPGHFYLVGKT IRGWPSPSFLSPFTSITVQSGIHPRPARIMWDNVLVNVDTGDVVILVDLGLATRFSMS QPKLTTCCGSPAFHPPEIVLALARPPGEVTYYGPELDIWYIALTLLSLLLQVRFPLGP KHVSTHIMRERAMDRLQELDELYPPHSPWRLKSSRSSRIVGDGMSGMSGQDVDLDFEK REWKRVRKAMEDFLEMDGVRRMKKFRAYEIGEATRQKVAAFEGDQEDKKFKQISFEPA DVKYTLPIYVDEDAKKKPSEVITLRNPVGESHRRVKSYIKYLLRSAGILYHVLPSPSS LPDTQPSPGSSIDSLEQPCILQLVVSIPDSATIEPDPSPSPGWLPSILSNFKRSSTPP GNPNTRSVSVPPAKGKSHSPSPAPLGSQGGSNGGSKKDRALRCYIRLEFEDAPAPAEV YRRGSAETFTSMRDSLGLTALHQNQTSSSTSTTMSITPTQSPHPPHPQRSVSASRTTS QRPHPRRAASAIPNTSTPRLSISTQGGMPAHKATLHNPAMMPPPSPLSREVSLAGSPT ESDPAQSRSQSCAPSRASSRSRKQSVARSSLLLQHGAYGSNQHPSRQSSLDSKIHIHL SDTRAYAVLLKALDVTPDVGVGMHHGYGDPSLSPMTIRRPSLAPSMAEGAEMVTEEDE EPQRGRARSKEDGSSLLSMHTTRGEANGGRHGVKGKPAPAALSPAKSVVKLPLTNSEV VDAMTPVEVLLDEHGRDRDEVVEMEKKQRDQSRGRQRGLLDVLFGRSEGRGARSSSVP ATFAGEPVGLPV L198_04404 MGDIAALWQQEQAERAHKTSHPSRTQVGPDSDEFYYDLPQPSVP TQYNEEEDARERERGFRKARTLKPGESILEQVGLGLEDDSKVRHLQSSSPARRPDSDD EMSDLEAEGEEEEDDYRAATSGLPFVRESAPPPPSSPRPAHTIIFDTPSPGIPSLGDA PVLVQRLIRRKGPPGPSPLSQSHIPQQESESDAEDEDERNDVRPSRYPTAEIPPYRPS SSLPPSLASHLLTRPHPRSRFLVFPSNLLPAVDILPGSTAVADTRTGLGFGALNVPYE PARSEERGGGFPLSTPVKSPPTPSIPRLQDGPYHESLGGLGKDILASWLADSDMKRSG VSVQDYGWPGNVGGMTTEPGRVPSVNIHAEASYPPMTSSSSSHEVLDSSSIPSLSDGS LILTPFQPAPDQPSLPTSRRRIRARPAALNLDQAALREQGPSTPLRTSFRGGLSPSSS SALSVAPVEPTVPVPRSPPSEPSASGPSVIPRKRPSPTTLGHRRGSKQKGKGKARAES KEEEFSESGDEDSEMPDVLEDPVHRIRFSPHPPTIAKPPLPMRREQWMINIQAWTFRR DRQIQRGAARREADKERLVRGGSEDREGRAWVYKRDCEEEEREEVSGYTSEGEMYDRL TRVVRQISELESSSEEQHSDDGGAMSSENDETKQNTILNTRRRSNLSREPSEDVDMAA ETSGMNIDDGAQRRNDAEVFPRSHSSSQFYFRWGGFLDFVMPISHANARNVPHSHHFW KENNGAAAADYARESSPRRETRGEEQPPARSPRRDRSRSPGRGPERSGRPNDAGQQNP GNNLHVSGLSRSVTERQLEDLFSKIGKIVKVQIMMDPHSQESRGFGFVMMATGEEAQA AIDQLTGTNLEGKTMTVAHARRGRARTPTPGRYHGTKADGPPGGGRGGPRYGGGGYDR PYQPRSYDSRYYDRPPRGGYDERDRYDRRDRYDDRRYDDRYAAPAPRGDRYDDYYRGS GSSAAAPAAAAAP L198_04405 MFGIKKKLSSLIPNDARAHLQNVFTVEWEDGNKTVLVDVADTDK QQHEMEMSGVGAGGGGAYGRLADDEEEDRYTRPVLRTRKSSQKLNSSSKNMPSLPSIS RKSTSESHAPSSASTFRANPSGPSRYGRTNPFEGSADDDPSPQTSSSSTYTSSPYSTT SPRFPPYSSSSQSFSQGGASGHGSGQERRTAENPWQTFRSDEVDMLGGGESGVDSPTS SSGTHSNGSVGYEVYRSSRTNTLR L198_04406 MSRRPNVDMATKRIKKEIADLAKEDLGNISLTPDEANILHWKAI LPGPAGSPYEGGMFDIDIKVPHDYPFSPPSLLFMTKVYHCNVSSNGNICESTRSLLKT AWSPALSLYKVILSLSSLLTDPNPADPLVPPIAKEYKSNRKKHDETAREWVRKFAQPK QAPKVAPKPPIQSRASTASIPRRAISRPIPSSESPAPPAQIAGTRRGPPIELGDSEDE GDIEVVQGSSRVNGHAGSGGGQGGGTGSQGRGVKRAKTSGGGNAGDAIVIDE L198_04407 MHALKSQWHRLGGSKGKAPYRPDQGDEKRLVVGHFMLGNTYPFT ADDWQATFDLAEDTLLDAIVLNIGPEEWQLTQAQLAYELASSRRIKLLLSLDMNVLPH SPDELSSRVVTAINAGRTSQLLWDDKPVLSTFSGHEFGDENWVETLRLISRGLEQQVM FWPAFFMPPSDFMAKPYVDGAYAWISGWAMDNTSMSLKVDQPFLKGDKPYMAAISPLF FTHYGTEGDWAWNKNWIYPSDNLLLPNRFLGFISPHTRTPTPQIIQLISLNDYGESHY LFPVRGAQPGSDAWTEGMDHEGFRIMCKYFIGRWKNGLSEGEELDDGHEGKVVIWYRT KPKDGVYDDAVGRPDRAEWASLLSLHLSIGSLTITQAEDLINLFILLPSHSAHYTLHL TNGPASHTRSLKSGRLNLLTLPFIPGNVHYSIMKKEGSAERVILEGDGKEITEGGAWN FNMWSGSVY L198_04408 MSKIDIDPAFNDSDELFDSSFSFMNTTDNTMEYYLASPEGAKSP EASTSQQPQQQQQQQQQHGESAEASNQFSLSPLTMKEPIHAVDLSAAAYPYPPYALTY DQQNSSMGHSSSLYHPYRGPGGDFTGRSPRSPHSPNSIDGSPHGSFNSNRLSFGAPMA VSPSLVSPQSLVGSVPNDSPTGAYPPTGYPYQFYAGTPLSGMSNLSNVSPTGPGLSMV SGFPYPTSSFMGGSPTKSGAVRTLRNRDRGSKSRRVKEEDLLSDDEDEDSPRGLGLSN SDDDRVPVSNKREDVRKARIESEQRRRDELREGFKRLKEALPQTNQRASKSSLLDRSV AHIQAIESANRFLLTQLEDQNKECIKLREILHNEVLTRPSSNSPQEQQTQRLH L198_04409 MSSTVNVAGHQVGRMGYGLMQLTWTAEPVPDITAFAAMKAAADH GSTCWSSATFYGPPDDRFANLKLIRRFFDTYPEYKNQVVLVVKGGVDFTSRGPVGDDL DFLRDELKTSKDILGDKAIDIFSLARLPNSPVEDVFKGLVTLQKEGWYSAIAASEMSA ASLEKASKITPIALNEIEVSLISYEPSIRAAIAWSQTNKVPFFAYSPLGRGLLTRTYK TPEDAAGQVAGHIPRFQGDAFYENMKLVDLVEEIAGKKGVKAGELALAWVYGTSDYAV PIPGSSKPERVIENINAANIKLTPDELKAISNLLETFEVKGGRYADHAIAHLMK L198_04410 MASAFSILTAGGAKFDRNRFKDDLELFTTKQKRKDRKGKSKQVD NVAITSALPSSLDFFGDHPQQKPQQPESEDEDPDSDSESESGSSSASIPAPPAQKITL TGPDPLPKSLHTNLPSLVSHENHPLTSAEGTPLLSALASANIHSLWGVQCAVGGCLLE DRDTICVAPTGSGKTLSYVLPTVVKLRQPSRNLKDQEEGKGIRSLILVPTHDLAVQIQ GVVKAVTRGKHWRALVLSKATEKAIWESAPGEAAGEDKEMEGDDSEDDDESTGSVDEF VPKKSGNPEGLGIDILIATPERLHHLVDSKKVSLAHTKYVVLDESDRLLSPDFLPQIE PILAACTNTQVQKCFLSATMPSGAEGLAKKWLKQGGIRVVVGVKDSAVTTVDQSLLYT GSEAGKLLAMRNLISTGQLPYPSLIFVQSIERAEELYKTLLLDGIKVDAVHGGKAKTK RDEAIKNFRTGAVWMLVVTEVLARGMDFRGVKVVINYDFPQTVQSYIHRIGRTGRAGR PGKATTFFTLEDGPHLRTIANVLRSSGCPVPEYMLEMKKPSKNEKRKLAKAPVKRKAV GGGGRDLEKEAGKKRKAMVEASKRRKVKEAEQGSDEE L198_04411 MLYARNTVPRAIPALCIYHRAPSAALGVPSGITPSCSLVGSGRY PVSQRGVVFLRAQDLDIEGQKSLGRKLGELSGKPKDSTLHVHPTTEITSSKGDEISII NSERQSRNVGDTSRLASHHWHSDITFEPVPSDYAILKLHTIPESGGDTVWASAYEAYS RLSPELAKFLEGKEAFHEAGFFRKEAEQYGIELRTGQRGSPLNQGPSLSAIHPVIRVN PVTGWKGLFVNQGFTRRILGVTKDESDFLLDYLTKLVTNNHDLQVGTNYAPGIGDIAI WDNRSTQHSATFDYDNAHRVGRQGSLHRGETLL L198_04412 MSSPPRYSDEIHDPVKSSSVTEPLLGASSRTPNVAAAASGSVSA QRLAEEGWSDDDVANGLKLGPNVSDCDAEIRMFFIRKVYSILSVQLLMRTVVSVLLSL DGAKEFTHATPWLMWIPMILSFVSLGFVWWKRHHHPANLILLGLFTLFESVMIGLAVS YYESRIVLQALFITLGVFVGLTLFTFQTKYDFSSWPPILYISIWGLLTTSLISVFLPF NATFDLIIAAFSTLLFSGFVLYDTQQIMQKLSVDEAIVGALTLYLDFLNLFLSILRLL NNQNNR L198_04413 MLQIMSKPSYVPRSRPASSSPAVPQTRSPAYVPRSASSSRAESA VTPKLPSQPLERKSSRLRYEMRPVRLSEEAQESAKSILKLLSSLPAPLPEELPPTFLP SPSASPVSSAGSPPRTFSEYVRAKRKRALESSDSEPELAGQVARSGAGVGLGLSVSPG QAKMRKTEDEGRNVTPLGSPSLLFAKKERVKSGLRNEVDVHEKRGGGEDARRDLWKRE KWIEMSAWYRDRALLLKRHGDAYFRSPTAHKEYTSTLPADHLKGLLCLTDSALLYNYS HFCEEKAKGRPSPNTYDSSAGLRKFVCSKWEAEMRKEYKGEEGVHEKERAKAMAGLMY LLEAVIQYQTGRDALAMLQHRGKELQTTSTSPRDKTSPSASNTTASPNPPPPQPASVQ GPSPASSHSPAHSPSSSTSSLPPDLLPLIFSSFRQNAESSQSLHLSRHHLTLRILRSS FPTTYQNAINSELADHALPAPGDALGSARYVDVDETDRFCWPIEIGMVSPVAHVVAFG RRMVQEMAEREGRDWSILLE L198_04414 MLFNVASILSFLALTSLTSAFPLPNEPRGLAKRATNMRIKSYRD GTCLTGAGGKWGIGTAITTGLCSEAPTWTINDNGSGSIILEPSNTTPQLALDAGTGTD NNEGVKLWTSYPGLFQQTWYYTDDNRIAITGGDQCLDQGDDGPQTYQCTTDNTNQIWY LELNQNATDPLTGYPITAAA L198_04415 MPLKLNPRSPLPPFTFSLSPSPHSQSQQQDASPPRPPADFLPEK DMYQFGTYPLVADGEPGRGLVKCERCGKVGVEWAAGEHRRVCSHILDGTPLATRKGGK GIKTDPKKRRASEDTPLSPQKRAKASPILPTVDLNSHDYRGMKKSDIKKLQKDKARQE KKEAKERERLEIAERKRQRANNPINVDRQCGVINDRNVPCARSLTCKTHTVGAKRSVE GRSRPYDELYLDWQREHNPNFKEPARKDRVEKKKEKKKKEFGEEGDDDGLEGDDGRRE VGELIALTRMAGDRVKNHITTLGNPSSIQSQPGSATPGLNSSFPPLSALGRSQNNASN RTTAKKPPFQPVWRSNAVGGGDFSDVGRMLVQALAARTKPSVQGAQGVGMPGAGLGES QGLSISAV L198_04416 MSAQPSASAPLFFSYSPRTQLILHSSLTSAQLFAFLAPPAFLVS SLALKRTSFSIRGLMRYSASGAALGAVAGAGVGGARAGSASDLEVNAKLGQMASFGKS SLDETLVRRNDYATIGAALSALLTPAIFLKRAPLPALVLGGASVGLGLGAAGYYIEEA TKGEPKAV L198_04417 MTYNLYGYPPPDASPYAYGYAYPPPPPTLNPWRDNPQGFVRDWV ELDTNSDNIRPNHISKVEQWTKHPDWLKVIMSVLKDRLGCEWPQNYKAIMYLEKVPEA ELAGVHDDLKKIVDAGDSLRGHEHFKEQAKALYEKAKVQKKKADVAAEKKKYEEMMKK FGGMPYSGWAGWAGAPPVAGAYPGGQQQYMALYQRPSPYGYYPYPP L198_04418 MAYYAYGPPPMPYYGYGFAAPAPVPNLWRDNPQGAIRDLMERET STSFSHPNHLGDMDLYTKNPDWLKVIMSVLKIRLGCEWPQNYKAILYLEKMPEAELAG VHDELKKIVDAGDKLRGHQAFQTQAKALFGKAEAEKKKAAEAEEKKKYEEMWKKHGGL PFSGWAGWPFPAPGGLPPGTAAQWAGQYPFKGPEGFSQTPASYVPQEYPYSRSFYYAG RPEDEAKRPSMKSELAWALNHQAAA L198_04419 MAYPYYGYLPPPPVFETPYRPQPFYGGYPPIIPSPTFYGYSSPT SFQQAWAQDPPGTFRTMVEWDTNSEKNWPMCTHFIEPYTKNADFLKVLMSILKGRLGC EFPQNFKAIVYLEKVPAAELAGVHDELKKIVDAGTSLRGHNLSLEPAKALFEKAKDEK KKKEDEGDEKKKVEEMWKKFMGESYGGGWPYSPAAAPPAWPGYGPSYIPYAFYPRPS L198_04420 MAPVALTAANASPPPLPAKKAYAAAQLNAGLNLDADGASTPYSA TSTAVSEYDGAKDKVSHPASPSAIAKALVESTIPSTLPSPTDDGYYANLIASSLPTVA TKPGATVFASAVDALEALAVKHSESVWVYDDAALVEFGARVSKSGSKKVHELQTRQGA GLELAGYASKTQGKFTIFASIKTLQYLLPSIDKIEGDVLINLATTANHESLEFTDGLY ASGTLKALASLPEDWEVVFSSGDKIIDTASKLYAGEPRKVIHVVESTYSGRETAAYTF PAPQPAAIEDLTIQNGSATQLYLAPAGYLASGIAAVLPASAGLVQLNTLSPSSDALFA ALTTEGGRKTVQVLGATKADAEALKAVVLASLYAASGSSKSVLPLVKSLVAASVAAIP DLTTEQVAKPGKVVSFFTAPTSPLPQLLAHLFLSSPSLSTRLAQFGSASARGVRSVLS LAPASTPAAALSVEEPSDVTWLSDANVLKSADVLASAKQGSIVVVALPWSEEELPVKF TRSEIQTIQSKQLRVFLLDLAAAPTTPIQEQVAFLLLYTGTSKLSQGVWKVLDAFHNH QVAREDVEAAQAALFEVNAASWEIPELEEGKTEKVKSQWEWDALPGLAGVVASSDEQG SGMGPWDLAARHLFFREAFAVPSAKTTDNAQGLPGVSALRPSMSEETFLVTVSENRRL TPQTYDRNVFHLELDTAGTGLHYDVGEAIGIHGWNDAEEVREFCEMYGVDPDSLVTFP SPTRAGTLESRTVFQLLQQNVDLFGRPGKAFYAALAKVATNKSEAMRLKFISAPEGAE LFKRMAEKETVTFADVLKGFKTARPTIEELVGLIPEIKPRHYSIASSQKAVGDKVELL IVTVDWVDVHGSPRFGQCTRYLSALAPGSKVTVSIKPSVMKLPPDDKQPIIMAGLGTG AAPFRAFMQHRAWQRTQGIEVGPLIYYFGSRYRSQEYLYGEDIEAYIASGVIAHAGLA FSRDGVDKSYIQHKMSADKALLSKLLKGKGSDAAYFYLCGPTWPVPDVYEALVGSLTS EGGMERKAAEDYIEELKEEERYVLEVY L198_04421 MSRPPAAPAAPPSAPGTPRPATTTPIHTIVQNLPNLFNMYEKGQ LSDTQITQLRTLVHTQIRQITANSINSGRPNPLYSLPDAINPSIPYKDVPALVSREGF DAMIKTSTRALVEAKRAREQQNAAAATAAAAAAAVSSNGTPGAPAAVPAAPVSRPGTP GPAATSAPVRPAGQATPAGSSATSTPAPTPPRPRPMPSGLFTHAELTQLARLPADVRN AWLAKEPTRQSQFQASLNYWRAQPKSNFNPANRATPQQNPTNSNAGRPPQNASATTPN ASATTSFTGALPDARGFALRPPPGQLSGPGGMNRPQQQLPPPEPEHVRRLRQIHAMLA QIAPGLSVEAGYEKVVEDIIDRMMKEGLEGAARLAKHRNSDKVELRDMARYIDHAWEM TIPGFDAAPAHPVHVAPDKEKKRGKAVAPKASKLGGGRKEEE L198_04422 MGRASSKRHMTTRGLTLGIILLGDIVAAKSAEYAGENRGIDTAS LKDRGFISTGVLAAVTSIMATSAAASDTIASISSIAQETTLTSQDRTRSIISNTNPTS AATSEAETTASLPSLTTASDGTLHWQSEIVSEADATSDDAQVATTALATSTAGALTSS ASPLIDEPHTSSNEETSESLQQASTTTALSSLASTSHGKSTVVIAVTDHGSGSSSSAL SVSDVSLSGQVSQTILSSGVLAFDENSSSGLGSAQSSTVRASTHATSRSVALDFGDAT ASSHSSQSVSTTSSRVSGTASKSSSIDGGGVIAAEVDSTTRSTSSKNTASDYNLAGSS KSTASAQKSSSDALSESSSAATASAQSSATSDIFVTSGTTLTGQAAIAALASETSTVS TSGSSKASADSDSDTKEDSSSLSSIAIVGIVGGVLVGLIVIYLIWYQWRKKKARASLG DQIPDDPEEDDYDEKRRQTRSSFGADEPFTPATYRQRGRGASRQGSRDRDWETGYGET MYDRQTYWDEDGHERMTEYDGRGYYPQSEYLQDYDREGGGGDGRTEYGQTQYGDGMTA VIADGMVTHAPTAPSQAGSAQNPFVPVPPVPRVPSAYSIHKNSTVRTNADGLDVPHSV AAQSAGQGQGRETAYGASIYDAYGGPDSRPQTQFTEPSTSNLLPWLNKGSQTPAPPVP AVDAQYSHRVPSSPRQGSPPQASVQQRQQMINLEDEYEPRAPPRAVMAQTPLPMAQNV PFDGGLEQAPIPTFR L198_04423 MPSTPDLSLAALYLGGDAAVRRLPRSEPLNDDSDSSSVDVPRQQ APARRLGRSAPAEDDDDDDSDFLNSIRYNRPSGRLPRSTPPEDASDSSSFDAPRQEAA DLPRSTPCTSPSSAQHQATESFPSSASAQGRPPIIRFSPQAAAVLFDGAPADISDHQR WQGDNLQSVDQAPIDSNISNGRSQAVNEALADLALTGLIRQELSNMASSAQSQENERL RSVNSGLVEVTRIQKETISLMSELIVVQSEMMGRKISEPEYRAKSRGITSRLRTLVGR GTVESE L198_04424 MAPNLHNLLSSLRSPIFQTISNPTSARMGTKYLRKRLRGPSVAS YYPELTNPFPKLSLLNKNIPSNPFAGWDGNPLPSAIKNRKGKVLLDEIQWKNAGSMLR ASELVDSGFAEVERSKGTGWLENPVEQRRVARVARRRRFGKGPPKKGQGKRSQMKKKK L198_04425 MRWSSTLGGLGLLTAASAQLDGLKGGSSSSALGQKPESRVARNV LEGKSEGYCSPSGPIESTHCLYEQVESLNQRLFPALHELVTTPFFRHYKVDLYRECPF WYENGFCMNRNCGVEELNESEIPEKWRAAALSEVRVSGSEDEGVSGCYFKEQDFCYVE DDADFNGQYVDLTLNPERFTGYSGDSAHRVWRAIYEENCFGLSEASLSELSKPFSAAP PGLGLGSAKSKSELASAVVGAGGVSKPGDGSSAPGWGFSKLSEGWGTEMVKAPATGEE MCEEKRVYYRLISGLHASISIHICADYMDQTTGEWAPNLDCFISRLATHPERLSNVYF NAVLLLRAVARAAPYLEKYDISTAQVGVVREGDAKAKTLLGEVLGLAGSEGVAKGFDE GDFFAGQDADILKEQFKSHFRNVSRIMDCVGCDKCRLWGKLQVTGIGTALKILFELDD KAFEQINPDLLQRSEVVALINTLHRISESLASVEQFRKIYADTQQLESQSQSSKTKTK TFKAKPPKAAKSPIPSRPHILDDLLGVLGSLIDSLKDRCWWCFNFCLKKVESGGGGYR EFGSSVRRWVGGVGLGREL L198_04426 MDDDFEDLLRDETYEEQVDQSVLKRDTPKPSAVTQAAPSSNEIR LGRRVLELEKERDSLATELQALKSRLPTHANPTVPIQHPSASSAPETDQPVDIPPPLL PLMNTLRTHIAELTRDNQALRQTFLGQAIPRRGPISQVATPITAQTATLPNALAPPVA AGILQEDEEMDALPEAGTSENLSPHSALAPSRESAAGLDLERVLETVKTLVQENEELG EMVLEAGRGSGSDWQTSLEESKAVIESLDSDLSHHLSVVQATRAELETYQNHFGPLPF NIAPNATAHPNAIAPSGTPTASRGLPSFVGRPTPTGPSAAQQGRGPRPFVPKQPGQGQ GQGIGRGGKPGFQPAAGVRRPFSNGPGNGSGFSPGNAPASGQAGGDGPTTGHVRGGSA GQGQSLRQDERGFKRRK L198_04427 MRLFAIRIPDTPLDAAAFDKLATLVEPPARERIKRFRLPEDALR SLVARLTVTWYLHTQGLLAPRQLPVFGRKGKGKPTLSVPALQPRLEFNNTHEGSYILF ATLVSSSPLACVGIDIMLHPEDPFPTQEGISEQLTLLERQSLAIPLTPRERSQRLTKL WSVKESYTKAIGEGITFGLERIEVELEGPGEGKVKTVRVDGKGVGERGWEWRQNDLGE DYGYAVWWRGDDAEDTGEPQMEHVSWEEFSGPLSDLADKLAQ L198_04428 MAIDDNEHEYLPAAYTEQHAALAPTPVLASLRATSLTDNHTSLV VAKPDRVEVWDVTETGLVWVAELKVWGTIVSIDQVSVEGSRPHIVVLLSPPNARALMV AFDKATSVLVVTSSQPLSPPTPTLRAAEFFSAIVAQERIALVSLWIGVISCLEIDVDR KSSGKKKRLSKVLSDEDAILKFRDNYNINIREHNLLHISFLPISTYGPTLTFLWLTAT NELRLQARSVMTASHSLADLSLPIDVVQPASKLSVSEDTDFNDIPFSCPAARRVVPVP SVTPDGVRSLLVTGDEHTVLYTLSPRELESPNDAGKATSGPGTSPRANARRSPQEELT SGNVKRRKASMGGSKAATAPTDGRGLELIPRWRIRQGFGTVLATEILEDHCTGASAII GDEYGALTAVGWEFRKGDGGGRLGTVQVLQTAVDSTSPPSSLTYLGASHLFVSSAVAD SVLLRLPSLGDSCDSQTAKSGKSKQVAKGDESEAWSVIYTKGREKVAAEGSAQVLERW MNVAPVKDMCAVKDASGGLSHLLLASGASESNSLRIIRSGVGLEELTNILGLDSVERM WPITNSGISQLLLSTPTSTILLQIEPTISIKNTSQVFSNSPTLAAGIASEDGRLVQVI PKGLYVWADVVAGVLGGQMEIDEQIVCAQVVGKWVVIAKRGGGVSVFKTTTDGFELQA TKVLSNEVSAVSIFQGPLPGPVIAIATWTTQIYLYTLSQLADSSEPVALTQEAYATSL QLKSPVAGSHSAQLLAGLSSGTLVTYDISIDNGALVLKSRKSNSLGSQPLVLFPTTSP KCEPSIISIGITERMSVIFESNSKTEFSSVNKKDILAVTDLQTSSGPVFAVYSASSGL SLVRVTSLKKLHVQTCDMGSTSTSKLAHVEHLGAVACGATKRLVLEDGDLEETNWVEV RDESTLGFMASYTLKERELVTSIRSVLLYGTQYLAVGTALLPEEEGDSWDEGNLAVVK EGRVLLFKAEQESGQWRLGLEMEVSTVGAVYALETIHGFLAVAAGSEVSLPNFPNINR SLTRVASWASAFVISHLAVIPPFGSREDGDLIVGDGMRSVIVLQVDEGSGKIYDDERD MATHGVVALGRVQDGGEGIVIADSHANIMTYRLSSALEKAATFGLHEEVSKFQSGSLV PSSSAPEVITPDVLFTTRDGRLGVIGQLTDSAARTLDDLQRNMEREWKGPGEVGWRNW RRAGTEVVGKETVGFVDGDFVQHFLEPFFYESEERDKVLQGASSHEHISKIGENGKEQ ATLEDVVRLLEATASMH L198_04429 MDPEQDPYKPKFKRTRTGCLRCRRGKHKCDEEKPVCKRCRNANG ECVWPDSAKSTPSTSSAVTSSKRSHNCIETQSPTIQELNENSQVCVCRKSSRAQGNDR LAAASGNELDALFSSLTPTDYLTLTFPDSQERELMSHLLCFGTVIMYAIPIIDRPVLF LDIAQCLSNPRGSSIVSDAVHLSLISIAAVHQSSLFMQQEKKYLAEAPVNHFGSSPAL AHASFPGDNQRRMRLLGDMFARTSLDLCRTGIVFQLEGQQHSTPVSLLATAESLLSSA MAVMISQSLAGGKLWQGAFDTALEIVTLCGGPAKMLDNLKGDPARLARMRTLLENLVA VDVCRCLASGSAPSLMNEPFAPWWFEHAPNPRISGLTDTVNHCYGMDRGFLELANRVN ILVHDGLSLETLLDESQFAIHRQKVNDLLLEVDIWELDANGALPHKRVQYGNAIMINA LKVVIYVDLVHYPHEHPLVQAAATAALEVLEDSRTLGFDIGQLLPTVITSISIFADLV S L198_04430 MGVKVRQLSVLLAARIVEPLSYTILFPFVNRMVEDILPNVPKSS VGKYSGIIESIFAFSSVLFMYQWGRLSDRIGRKPVILAGLCGLGFSLCMLGLSKSFWW ALGARALCGALCGNASVMRAVLGDITPKKDEGWVYPLWTIGWDLSCVIGPALGALLQN PASQYPSSWIGQIKFLEKYPYFLPCAFISALSFLSFFLVLFCLEERYLPTLSTRQPRC TASSSFLPSPRSAKCSSPSSVRPSPLPKPSLTFITVITLTAMSFDAGFVLFTYSPIPL GGIALSPSSIALCLSIKGFISIAFSLLLFPLAQRRFGMRPLYRLFAACWVGIFAIPPL MNVLAAGNESGAWVENGTLKGLWFLMGPLVILYVFGDLCFPLNMMALNAAAPSPSSLG ALNGISLIVSALARTMGPATFGQVFSDLS L198_04431 MVYRPPTSPAPYRIGVLLGSNRHLSNTQGFSIYLTNLIAAHFPF LHPEVIHLEKSQGHPLPYVLSDEPPARHSRESLPGAYDNERVRQWSATVLAWDGLIIL SPQYNSSYPAPLKNALDHLYHEWLNLPCAIMSLGGGGGGRLRRDLKVMCGGSFDMKVV EEGVEVAIPGNLIMGKKRIEGDEEFLKRYDEGAIAAVEALMGVIRRRKGVEEGDAIAA QMSA L198_04432 MSRRSARTALQPTAANLAPQSTSLPPAEPVKAEYPPKAAIMAAL MTPEDDEELTPPPSSPVPQREEAVDEAAEKVVNKRKRAVKAKEPREEEQYEEESELEE KPKPKKRAIKKKAVKTEDEAEGGKPEGDKPKKKTPKKSRIAKDEPEYDEDGNEIVKKK RKPRVYPKKVYEIPDVERKTTHFKGRLGYACLNTVLRGTKPDSIFCSRTCRIASIEEE GMELPKGLAMMNVRDLKTLIQARSLGLFLWNEDNKIRFMRMSSEMFPFASHAKYGYDL AFAGEALKEAGDLAKQYGHRLTMHPGQFTQLGSPKKAVIDSSIRELEYQCEIMDRMGL DQDGVMIIHMGGVYGDKESTLARFKENFTTRLPENIRNRLVLENDEICYNVDDIFPLC EELSIPIIFDYHHDSIHPSSSPPSVLIPKIAELWKKRGIKMKQHLSEQRPGAVSVMER RAHSDRCQNLPEELPEDVDLMIEAKDKEQAVFELYRIYGLEDVFHDNLRPPDPSPSMH TKGRKSNLKKKVKETGEVDSQGEPIQLTEIEKEGDGGEGDDATLPAAEDPGMEVDGLE KEGEGDVRPKKKRAPKAKKEEAVEEKGEKPKANKKGTKVGADKTGDEGEKPPHKKRGR PKKNEAPVKAE L198_04433 MPAAPHSLPLPVRAVHPPPTALPPQRSVPSDDAYRPPPYIPRVR ATGSRSSRASPAGAGLGEDMPIAIDRGVEGIPPGPRPVHRPNAPLAYIMGQAILASTL KGLSLEHIYRWIETVFPWFAHEDNCGWRNSVRHTLSIHKLFEKVERTELHPPGKGGIW QIADGEECHWGEGTSFTKAFPVGHFHHGKCKQVSWEEGKSGRGKGKKKRLSDSSEDGK SAKSESTTPLVPRSPAKIERPVAPRRMSSGTTAVSSSLPVTPHLETTPTLPSITEFTN FSYSPPAYTFPERPLAHGRTHSYEEEHQAKRPRLSSETLPPISLRSRNSQGAIVLPPI PAFAAMEHLPPRYSATNVDSFEAEVAFASRYRRIPAKQTSYERYSSSFESESLPGSSP ESRKFQDYGYGNEKAAKWRNRVEVVEVDDRNDEEATRRTPWNGFRFWVP L198_04434 MQGGTVGSETTNLWTGELSKKAVEEEKKNELWGRLEPKMEESAI LMRGQGHYGKAEFFEGDEQEGEVEDEQDEAEAQMAAMMGFGGFNTTKKKNVQQNVEGG ANVHKERTWRQYMNRRGGFNRPLDKVKD L198_04435 MPDQPALGGHQYFAALQPPDRTDTKPPLNTGPGKKRKASSPALT SVADKRRQSIGIDPSTDDDAASSSNSKPAREPKRTRVHFSCVECHRRKQKCDRKEPCS QCVARRVPHLCRPFLNGVEDPNANSDVNARLGNIESLLARLVSSAPPATGATAALVAP VARAYTDNGSPDVSSLTASGEDVFHPHATPPDPAPRVKMPHKPPPSGLFPSNMSYATP PGRTGYGWGLREGRRISLAPDDNFELREVLQTLKESGVSQGHLEWLIAGVPGRRMADG LVDLYFKDIDWTRYKINRFSFMSRYTKFFDSIGRNPTCPKIDADTLKWLPLMFIVLAI AALSAPHELVSRDEQVGWSRRFYGSARSGLEYAKALQRDTLDVLFAGLLASRYMLLTR RPAEGSAPVTTAFQIGLYRDGTVLNITDKKEVEIRRRAWAMLYHIDRTISLLVGRPAS ISDAHTDTQPPANLDDEEVESGDFDPAGHPLNVPTSYTYVIVRHKLAEIMGRIAYHTF AIQLPEYSTVVSLDRELLTWRDNLPSFFRMENPDTSLDKSHPYLFVQRHLLACEWYYT RITLNRPYLLRRKPQDSRYSYSKTAAIESARADLMSRREFVMEKGKLIVNSGGYRVLN SYMVLGVTIKLDPDSALADELRQLLNVVSGRLPDSQNRLSEPLVKEELAIVEFLTAKP QNKLSRPPPRSAEAGAGGDDQTPVDLLLNLATTRSGKRAAEEEKRQLRLQAAREKEEQ SQIAKRNAGAGQISSSPWGYVAPSMPGLDVQQPFGNDSTQRVPRPLQPPPTRRADGSI PDSTDWSPEMALALQNSQRQQIQASAQAPVAQQQLTDGLPGFKTSPSQNQGGNLSLSP YGGFSDLPSFSNETLGGFDAATGFLQSQLPPSNLDQAPSAGSSSSLTTAPGQQTVDGL GDGGIPQFGNATFESFDFNDLGLDVRNNGGGFNPFALPQTEEGNSETSAPDDETMFLT YILNKFANTQPEMS L198_04436 MASPPRSPTGAPTTDFALIEAQKENIRPLATGRSAATLSAVLKE PSAAEKVVQEGHDKHRQAIEEAEKKDKAGQDQDDDLALLGDILDVYNKYILFTVQHHP SSDFHLLPLLETTTRRFVNDARYKMDPRYLRLWVMYARHIERREEIWAFLESRNIGTD FSLFYEEWATALEGLGRRKKADEVYRIGIARKANPVERLKTRHKQFLERIMAPPSGVV PDDDPVSASSARTPSRAVLGQVRSGPSSSVAGATQLAPSMRTSSVNNGRKMEIFADAE GNKDETSAPEWADFGTRDERRKENTAEAGPWQGETLPQSANRGRVAPRTPKVAVFQDT TEQESARVQGASEVFSRHRQPPTEAELLKSDPLRHYDTSSLSTDLPSLPAPPSARKPP RPTKVAAPAAGAAPAPAKAAQAKYVMQPWTCPTDGPLVKMANGKQERRMFDWDMVYKD GDEYNFEQIRAKQRGLYGREGRGEVQAWEREMHKPGSTSPPKSKSVESRKPPSPTVNT KLAEAEVMSMFDQTIHGGKMNRDSDSESESESESEEDGGVQIAPTPLPNRTGNVQMLA PTPGGFVPPTPTPSAPSRLFSAPSSLPTISDENGKPSKPVVFSDENDRPTVFSDENNV PPPSAKKFNIFSDDASAPPPSASKPRAFGVFSDENQTPARPPAASQETPRQPLAASNI FSATPAVAPPNHGLGRVTEAIVEEEEEEQVAPPPQTEDVVEDVVEDVVEGVASASLDE PPAESNYYDEEEGQQPRGMRRFNINMMTPITERTCEYTQFTGASGVGRESLARRLEEE EEDEENEETGDKGDSAAPSEFDESGLASGDVRDDFQLPDGFTIHQAEERSDIHTMVLV DGKDEGTATTLQDKSVYHTANGDQIGASELADPCDPTAESTVTALLNAIDPPLSELPG LVDNRSTAINKLDALEKHARSKLRRASASSRTSTSGDDSCTLTLAGNDFEVHDKLGQG GFGIVFLGVDIALRRAQDDADSDDEEDEPVDRSQVAIKVEKPGMIWEAVVLDRIHSRL DKALRQSIIQPRNLYLFRDESYLILDYCPQGTLLDVVNKSSSIFGNPGQGVDELLAIF FVIELLRLVEGLHSAQFIHGDLKIDNCLVRVADLPNSSWSAAYSRAGSDGWSQQGLRL IDFGRAIDLSLFPRGTEQTFQVSHTVDDKDCTEMRQGKSWSYQTDYFGLAGVAYCMLF GKYMKTMISEGKVKIDQPLKRYWQPQLWQPLFDTLLNPGHSLPITSQLTTIREELEGW LEDNCQKGGKSLKSLLRKIELAATTGKKM L198_04437 MGVPALFRWLSKKYPKIVAKVNEQTPTKVRGPDGELIEEPIRYE NPNPNGFEVDNLYLDMNGIVHPCTHPEGKPAPETEEEMMVEIFNYTERVVNMCRPRKV LMMAIDGVAPRAKMNQQRSRRFRAAQEAADKEEERKEAIELFKAMGHTVSDETANKKG WDTNAITPGTPFMDLLSISLKYWVSHKLSTDPGWKDLKIILSDSSVPGEGEHKIMDWI RRQRSYPTWDANTSHVIYGLDADLIMLSLATHEPNFRVLREDVFAQSSRGPPVCKNCG KAGHIIANCKADKVMKDPNEKKVVEVAKTEELKPFIFLDVSTLREYLAIELNLPGLPF PFDLEVAIDDWIFMIFFVGNDFLPHLPSLEIREGAIDMLLKIWRAELPRMGGYLTNHG KVNLDRAQVILEGLAKAEDEIFQKRKSDEDRQENQQKRRRVDEHRRQDDEKAREEAAK NGTVSLNGTDYVPVQAAATARGGALHPSLPTRPAFDLVPKKEADAKSASAPASKAAQA LGGSNSDIVKNRRAIRMANMSAAQALKAELEGDDGEANAVTDEMAKKAEGKEDAEAVV AVQRTEDEEKEQLSKQGAKATLEEQGGDEGVDEDVVPAAIKADEEDGEPPLGDATMAE AEDTEESTEAPRKRKRGSDGEDDGDAAEDDDVEAPPNPEADQPVPKKKMNVNPDGTVD YVDDVRLWEPGYRERYYEQKFGVSSTDRSLIDTVTKCYMEGLCWVLEYYYQGVPAWDW YYPYHYAPFAQDFQNIDQFDIKFEVSQPFKPFAQLLGVFPAASRIHLPDPLQTLMISE ESPILDFYPPDFEIDMNGKKMAWQGVALLPFIDQKRLLDALGSKEPELTDDEKRRNSW GDQVMFISSNSDLYDVFCDKLYGLRAKDVVKPVPIDTTASHGMTGTVLADPNCVPNAT FDSPLPTIEACPDVNPNDSLSVRYYFPRQSHPHRSVLLKNFKPAPPRLTESDKDFVRR GGQGGGRGGRGGHRGGGGYNQPTGGPGMGRGRYESGPHQRGQGYGQTPAPRQDYGAPQ THGYAPPPRPVAAYGAPAGGYGYQNPYAAAPPAPYGGGAYGAPPSAAYGAPYGGARPP FPPTQTPYGGPPRPPAGGYGGGYGAPPRGGGAYNPYGGQSGAYQPRNGGAPPPRRY L198_04438 MVRSLVDVEEELYRLWSLVGELSEQLSNNRALVTQLKARADNVQ GQAVHVGTGFPLRRFNVDISAEEFQTELEAFSSHLVLENQQLQHENKQLNALLKEYEQ TLETVMSKFRGVAHTSQQHDLSLHSYYTHLLQTLQTAHSSAQLHDSTSLSLLLNRLST LLRSALRSMQGEDTGVEEQEDIGAMGKAIEEAERVLAGEGSSALDPPAPDGSSRGPSP TSQPLPSQSAKTLPRAPHRPPHFPGFLPGSSGGYAGTEGQADWALEREMEILRLEDEN RQLREMLGIAEESKLPLPLEQEVVEEGKEGEEEAHRRKSSLTVEELEADATMEAERID AQAEMGLDIDQLQIRPDEDVRAEDAAPRRPLLVPSVLGFETDTPPPESAIVDELDGEG DGVAPLEKAESS L198_04439 MEAISHAGTVLAVLSKEGIALAAEKKVTGKLLDLSLAPGAGVGG EGTEAWMGGGGEKIFLLNNNILAGLAGITSDANSLVNFARNSAQQHLFTYDEDIPVEM LVQRLCDMKQGYTQFGGLRPFGVALLYVGWDPLYGFQLYQSDPSGNYSGWKATCVGAN HSSATSLLKQDYKEDLSLEDAKALCLKVMSKTMDSTKLSSEKLEFATMTLDGKTQQPL AKIFRASELDELLQKLELGGTQEDVAAEGTGGGGGSEGNVAMST L198_04440 MSLSSSTLSLKFMQRGKAPAGNATPSKNTNKAGQHPTPSASAGP STSNSPAPASLITPNSTDRPEEVSQTLAREEAAKWYIPRPNKPATAGAAPKKGDVRVE YEASYAPFLPGFDDQDSDEEDEDAGERTAGGGRMVFGGFGKPKGKEKAEDGDDEDAAM DSEEEEVQDKRAKPRVEKRKSFAKDKREQKVPAQQPPKTFLRPAMSPPPSNPKSSSSR PKSSTQSVSSLKQEPNTALSSKKHKASKRPAPPESPQTSFTGSGAGVKKAKIEPEGGK QKVKKEKSGGGGSLDDREKMMKAQKKAEKRRAKEVKGL L198_04441 MSGLSTQNLVNDIALLRTISPSSLPLAKAQSPTTSNTPRQTLEA FAPSKATPEDSQRLAKAYVKEMKRVNETQGKGEGEEVGERIDQLRALGEDIQKVLGDV KV L198_04442 MVYIKNWTDFESATTDLYARSPDKVRYCVKFQPKTGHLVLKITD DLKCLKYKTFSSIILNRFDSLNLRLLTSMSNTRARPKLLSRTSATETPERGGTPAPAA AGADEQSQAGQTQSNATAGGQAQGQGASNSGRNKKKKKKGKK L198_04443 MSGFLNSIGRLRAPKRSPTNTPTQQGFFDPLPSPGVETTQQNSQ QPPSPGPKPLYLCQPFVKAALVKGSFKTIVAPPKYVDVNEWVAINLFDFYHNLNQFYG VLTEFCTIHNCPTMSGGRTLNFLWPDQNQRLVSLPAPTYIDFVMSWLQKLLDDENVFP TKSSKPFDHSFAYTAKHIYKHLFRIFAHLYHAHFEQILHLSIEAHFNSLFAHFLAFGK EFDLLVMKDLMTTQGMGQGVAELSEKWRQMGILET L198_04444 MPTLRRGSAASPHHSFSSPSAPRFRQPDIAYHGNDAASIMSRPE NSAGEQKALNALVNKLVHKLPCNSGIQLTILEQDAGVKATVQSILQLSRLRLPLVAHA LVGALETLSKYTNPYHFLADISLEVLHSQLYLLHVLNLCFSTSWRLHAQSNAPQSSDV PRPWSDPPPFDESLAKYVLSVLLVYTRLVSLESDFLDIGAQSIREQKGTGSGSSSSWG KGAATSSGYSLGTKFLLQHSYPLTQQQPSAERHISPNCTTTTGTIAQMTKCVARAVFY LSAANWPLVFSQTKKRIQHLTTTIEDAPDLNELRLVEWVNVDQSRLSQLLAEVTNAFL HTKRPAQSTVATMLRKAIRNWISINPKEYELFIETGRKMDGGPDALFDVLYSMSDLGS FSNSKRTRAFYPLMSMLLVLCPDTLKRLVMGESARGSSSFSKKISFLDSFKKGLNSSK AFEACAICYVELMSAGMALTPRLDGSGIRSFIPDIQNDLKNALFYSPLSNEISDMNVL VEGLVALYRANPTTTGGLLFPKLWNDSESSKVVAVKACILMAVEGGRLPWHPPVVDIM REVGPSIRGLIKAHAMSVTADRLGPRRPRGSIELPGAQNDLAYEILSLYALEPSFALI NVRLDNSSDSVSSIFLTLASLLVIPNPEIIRTATAKTSISLINHICEGCGQGESMKNL GHNAAGGLWQMLLDVGRQMLFAFHDGDYDEITISATSMRDMLYAIIKLAEAYPEVLFP SSKAQPAAMVVSAAGYVCIVSPDVEQTALTLPSFMALGKLTMLAHRSASGEVITNAYS QYPQSRAVVFERLAVLPTAVGRQQQQRMIRRTLRPMAKGSTLTTSVWVGLFSIWKSLT TKIIAFDAGNTMTSRDRRRVMTADIEGLDEQESKEWQNLTYFLCSITSVGICTSPPSS LSSAIGKEGLLPAAYDQDISEPLIIVEGFIKQCVELLVTNSVAIRESVKTALGSEYPT SMCGLLVTQISKLLSHAFGPTGVNVSDAFNTLVEQSVNILRLQIDRMGPDNDMPTVQV EMGELLHKLGQYIQRLGRSDLAIRLKTRYCQLVEVALSKKDHVVAENGLGLKNSVMEW LCEWSVDTSRVSDDDVYSTAIESHGHSQRELDHACLKAMVPVTEGLVLRLGGEEAEDP QRVLKSRLFYRHYHHLVKVIEKTNNEETQSTNHPPSIQGQPSTRSGTQEDAPTLAILA LSNLLSSNIDVGLKHCLALGYHDDPALRTVFMQLLTNILQQGTRFGGLAAKRASLAPK LFLENLTSTNMALALAMVDVCSQSGPDMDELSTLLFRVFEGNGTLLGFMRLLIEREVT VTNHESELFRANSLTMRMITMFAKTYGYNYVRATLQPLVLSLAEKPAECSFELDPRKA SATDDIDRNADHLKLMCQALLDLICASTPKVPLMFRALCHHIWEVVDDRFPDSRHSAV GSFIFLRFFCPAIVSPDTIDLDSAPDNAQTRRALLLITKVIQNLANNVVFKEPHMKML NPFLSDNIKQVTKFLSDVAIRPKTVDVQNAAKAFQEDAEKSQDLDGDDAIIHRFVFKN QTKLEGSLTGMPKSYHHSTSASKVARTECDGKDALEKLRAVMKATGPPADTNLMSASA RALAYDEFMQQNQGRNVDSVKDVFYEGPASQNGRRIFYFVVAKVALIDYDLLAYHVFK SLDNVTEYFDVVIDLTDFSSSTELPMTWLKKSLQICPSAILSSIFTLVLYNPNTYSRK RLKRIIAELLTVSPPVGKNVAAASSPGELVDHIQFTSLALPEHTMVLAYEADHVFTNL VCLSEHGMQVPVVVKLGHDCLQVASWRKQDLTTNIRSYIIDVVKLADIDDIVTSGGIP TDQLVIKHSQNETLIFVSRKRNEMAHIVKSARARLRDAPINSRALRPSDVPATLLNVA LLNLSSDNETLRMGAYILVQELSRFFKYDLAARVLTVSAGLTIPNNSLSWVRDLSNAL ANSATHLTLEFLKEWTIGFSKADIIHKTASLHYIGPWLLNLDQFSRPTRDDAEESVKQ VKEVIRSFISITVAERRRLHLTIQEHLWSPLAKSHGSLVDIMIYELIYGAIDAGLGSD KTECIADILVSISSTNVRGKVVARLRKSLAQTYLRPSNHLTENATWNEVCALARITLA LGFNPTTALDTQLFLPETFHVITLLLGAGPLVMRQTVYGLLVSIIHSLASNATSGEMD GPALVKLSNRLSKPEMMTAFGVSQGHGHIELSGLPNKNETDVHLLDRVEEVSKFLGDV LTAGATSVDCANAWRARWMGLVAATCFQHNPATQPQAFTVLGFLVSDEVDDDLIYQIL VAMSTALSHFAESHSILIISMLRCLSRIIPGLLPDSRYATSLFWLAVGVLQLGYIPLF APALELLITALRFIHSASPDVPCAELMTYVLDSRSVVANETQKLDHVCGVSFDVDISF ALVAIIYKGVRHPSTRKLTIEVLLDLLRMSASPAQQSGEDEPSVAEEGIAYFVALLSI VANSPEEAKSVFLAAGLPIDEDAVDLGSIPIFSLLSVPDNRRAVLLISLVVALLSGSG GSDAEKVVLYRLLADASAELPEVVRMVYSSLIPRIMSTLGATSNISIINSSTVILERA LMDDTYAFPNLSAIPSTDSSTSLPHGHGKPYASSISSTPSVVAGTKEQLLEDIGMKGL GELAFPQVKADRLTMMARWVASLIENFTL L198_04445 MSVASLAGPSTDKAPNLLSYPKKLVQTLEHQGAVNVVKYNHGAK YLLTGSADRTIRLWNPALGKEIKCYRGHAQPVLALDIAQDNAKFASSGVDKAVFLWDV PSGAVTRRLQGHFGHINAVAFSQDGQVLASAGFDAKVMLWDMRAASRDPLQTLKEATS TITSLILPTSPQVITGSADGFIRTYDLRFGLLTEDLIGTPISSLKLSPTAPEESVLVG TNDGKMRVFDRKDGGCLQTFEGHNVGGKTGKWGTEWGYGDGLVLAGDADGKLWAYNVL DARPIDTSPTPIHKKTITNIQLHPKGKEMITASADGTVKVWGH L198_04446 MSIHRLYTKGRIIGHKRGKRNSRPNQSLVQVEGVDSQEAARAYL GKRVAYVYKAKREINGSKVRVIWGRISRSHGGNGVVKTKFRTNLPAKTFGASCRIVSI HWACDEAKG L198_04447 MARYTTALLLSLVGAMAQTADTSVDSSILTITASSAGPSGFAIP ALSALTSGAETDSTVALDTTYTAGATPSVSGAPVLPTSALTIADYPSLDVTPPTNSSL VTEWLGKIDLTNAPTYNVTTGDCSTSTEATTDGRCWWTCGGCTRDTDITECPDKNTWG LSYDDGPSPFTPLLIDYLNENNIKSTFFVVGSRALSRPEILQTEYMSGHQISVHTWSH AALTTLTNEEIVAELAWTMKVIKDAIGVTPNTFRPPYGDIDDRVRYVAAQMGLTPIIW TSFEDNGSTVNFDTNDWHISGGSATGASSYATFEKILNEYAPQLDNGFVVLEHDLYQQ TVDLAVGYILPQVLANGTYQLKSIVNCLGKDISEAYIETSSNQTTTQVTSAASTFFQA TVGTETGSEVSAAASATGSTAAGSAGASSESGAASGSSSASDSSSSGSSSSAAGRSAT LGKAIIALGAIAVGMVVAA L198_04448 MTTTTTFSSWNEVKANEGSNMDLRRVLPLLSFFTTSEIEEQLSS NTFLPLYLNDNCELPPIKFKITGGNVSVCDDDDAGDEESTNAKRNTYLQWRSRCLEYS L198_04449 MPFGVISYGEGALLFTCVLNALAIELYAFTRFARPADNGQIARE YLAVAAILRAKRLESSGHVSDTLQRGYHSHDTEACTVLYRDGQGVDTSIPTRFARSIA AAKYSLAICPSSAGLANLVKAYNSIANAFKAQVNNNAPSPYEITPNGIGFYNTKLEFR SKVFMFANRKNDASLRLYTLPLYSLTWCYHQYTGENFPCDSDLEEPVVTAMLKGTAYR RRPWPRVVVRGPSVDPESSDAEAIFEAGFHSLVYLPDDVVLSVAFNVEGYVMDGKRAG LEWTPSTIIVHGRVSAGNTTESSPAKRPRQDALSSNVLLGKSPVKKTKSSSMPSESPV ASNSSSAASNSYAGPSSSSTAPSSSAVTSSDVFGGTEPLRKSSRSRKGKEREDDDYEG L198_04450 MPSGYNSGPKALVSPTNSLHPSPASQYSSLQYAKAGSSKSAPRP RLKSSHQRTFMSDSDSDLTPASSDEDEEDDRYESLVTPRKGAHKGRPSTAGGGSGSVQ KSATKKAAPKKGKNPQAKKKSHSSKDINTKTTTGPSGRDKKKAIKLEDSEIRRARDAK FDVDQDFGDGVTPTQSASQHRSIHEDDASSYGDDESDHGITDEEHTIAGIPEAFIGQE IEDLAYGAGDLDAEMAFWGGAEDGSDDEDEEMYIDQLSGSEADRQSQSSVSIRDSDSS TDDETDDEVLDEFGFPVASAPHLPLELAENGAEDPGLILMENWDGQFVLVQPRVERSR SRHRGDRGSRTGASTNGSVMSGTELHNLTIDADAADREFESDTSSWSGLSDEDDGGDT TDSMAEEDMPMLDSPALNEMMEHQMADAVLNMTVDGGDISGMVLPEAVASVPGPAITF TEAVGEITPALSTTSSSAPFESNTLPTTPSSAEPTPSEMPAPSNVPQMGTFHPPTGDP AQHAVIDGSGLTTKSPFTHRRRSRRNRDAASLASSREDKERKRKSQSDIFSPSAMSPS TPKPTASTKSKKLRYSSIPGHPRYVAARRAAEALMPEEDRNTTTDDEEDGFNLEDMLE EGVLVDGAGEGLEGEPVAAEHLRHMLRFDRVGVSTYLRRNFGTPNAAAAVEPRASFSL GVASAYPSPGNARLDDTLVGAMGGRMLMSPVLGPVEEGRGRSGRKKEKKKRKQSASVM PELAI L198_04451 MQSDDVIWSVINHQFCSYKVKTATQNFCRNEYNLTGFCTRQSCP LANSRYATVREKEGVLYLYVKTIERAHTPANMWERIKLSSNYTKALEQIDKELIYWPN FVTHKCKQRITKITQYLIKMRRLSMTQQPKKVGIKKKLERREATRERKALAAAHLEKN IEKELLERLRSKAYGDAPLNVNEDVWQQILDMDSKGKDRDLEMDMEDDESMDEEEEEE WEGGEREYVEDTDDESVGDLEDYSGSEFDEFDSEAEGSQGQAFPSDLEVSGDEDEDDE GSEASGASSPEAPAPKKAPAPVAGTKRKAPKSGGPAKKAGRKPRVDVEYEMETEPLSR EMLKDW L198_04452 MASLLSLPIANRSAARTALSLTHTARRTSSPPLQHLRSFGVSPR RSLSHFDTYLFVEKLEKNGMTRESAEGVMSVLAEVIEESIKGMEASLVSKAEQEKQRY TEKVDFARLKSELQLHEKNDLTLMKAENDRLMADVEKLKQRLREEVTRTQAGVRLDLN LEKGRIRDESSQQELKIKEVDTRIESEIAGLRTQIEQAKFSILQYLVGVATGSGALLI ARNQLAYMRMMM L198_04453 MVGFVDAYTQDDWTRDIELAMSKGIDGFALNCDGQDANAQQLTF AFKAASASSTPFKLFISPDFVHYSTEDHEPVSDLLKSWITEDAYFRYNDKPFVSSFWG EGTDWEAVRTDVGQDLYVVPYYYASQSAAGTKGVDGLFSWSAWPGEGTEDVVNQNMTT DKDKEYLDLLGPLDKTYMAPVSPWSSTGYSKNYYLYSDTLWPTRWQQILSLTKSHPDQ LKFVEIITWNDWTQSSLISPYRGTETTDGNEAWSKDFDHSAFMDMMGPFITAFKAGSE DVSVTENRLVYWYRPSLKDAECDSTDSVGSKPSGAEMAADSIFVAAMTVGAATITVTS GSSTSSQIVDKAGVHTLSFPMEAGAVSFEMKMDDGGRASGKGAIEVTSGCYNDVYNFN VLSGTITVDDGSTGDSASSSPVVSETTATSTGTVNDSSPSINAVSEEPGLAAGSSSSN SADTIQTAVSRPSWGITTCDTQPRALLPE L198_04454 MFTGLIEHLATISAISPTGADHFTFTLSDAAPILVDCSIGDSIC VNGACLTVTSFNQDSFTVGLAPETLRRTDLGEVKVGDKLNCERAMAGHTRFGGHMGHV DETAQILRKVPDGDSIRYTFQLAPSTSLLPYIIEKGYVTIDGASLTVTEVDDESRTFG IMLIAHSQEKLTLTAKQVGRTVNVEADCVGKYVLGSTDRISAMVERIVESKLKARGL L198_04455 MSFSPENSLAALPEGGMEDAGVDEGLTAVTDDVAPPSNTPAATM PNSTPKRARRSQGRPGKADPDPDSETPASMAIPTSMTGVAQGLVPFEDESELSDLSDS PIKRPLPKPKTERKAKSRQSLPKRPSGQSSSEEGESDESFGKKKRAPAKGKARGTRTA NATPTKGRRARAAAPVEDPDSEYESGSHEGQKDERSPEKGKKRQSLAAKRKSTTGDVN GSAKKPIKRTKLGASSPSLYDDDARMSSPSQPKSQHRKAAPRGSQASMTKGKGKKVDK DEAPPLSDFLNSFQDAPDNQNHDVLMRMFEDSGDEMKEQQGGEELSNDEDVPAFRPTT RPDALFDTCFVFAKYPKTAGSWYIGEFLEFRPAKNEVDKQAGKDYCVVKDFNGEVHEK IKLEDIVTRKDERIATIKLGNYDFTDSGDQKFTSDADFRPPSPPPQDPSPDAGPSHPT PIPTQQELYPDDYSDLPTAKQLCLIRPHLQSVLDESYPPAQWRMDAFHRGRNDRESLK AQGNSGDYSEDEIVGVLLPELKRWALRRERWREAEASYISLSTVDHFLTPGQQRPPEP PRPSGSRRYEALSPSDRDLFVQLILLPEAIVEICIRSHAPGVLVEKLKELQEAEVHGA DDSDACSLISSVRDSDDEDEERMANRDAGTPRVELGAGAKVVNERDPREQSQVARDEE PPTEPEAHREGEDQSSNAGTVIASTASIANPSLRSPPQPSSVLTPASLPRPSPAPDLA PLAEDPMLLYKAAREHLLDLSKEDTAKRWSMGEAILKRARENARERIGLAPEHLSKEE MKEWEAKRAQPFGSERPMRRVRSGRSNYQ L198_04456 MGPDTAVSLPTVSYRIIEDDNSLLQPAGVQSEQSRSYGFNDYSD FERPEHYIRYIEPIESELSVQVEYDMDEQDQVWLDALNVERKKDQCGPISYEVFEIIM DKLEKEWFNLSKRIPKPDHSLPAEDSKCSVCDDGEGENSNAIVFCDGCNLAVHQDCYG VPYIPEGQWLCRKCTVSPENPVSCVFCPNEGGAFKQTTTGHWAHLLCAIWIPETSLGN AIYMEPVEGLEHIPKSRWRLVCSLCKEKVGACIQCDNRNCFTAFHPTCARQMGLLQTM KSLTTDGVLRAYCHRHLPVEEADVLQGYGEGRDEEWDARSNLDRVLPPPAKAQGKARS HKKGASNRCIRTPKAPIIIAPLTKKSAQAHSKSFRPGPPVIPKMIVNRILDYVGKVQV RKKPAVVEMISRYWSLKREMRRGAPLLKRLHLEPWTASTTAKTQTEAEKTLKLKFLQL VRNDLEKVRMLAELVRKREKEKLRQVQVIKNLVDGFIFPNGDKMRVTLEKISALDRRE LFLNPVTEIEAPDYFKIIKEPRCWLYIDDKLERNLYIDVAEFKANVTLVMDNAMTYNG PDTPFYRAAEKLKKSAEPLLAELDDISAVYQAALPPHALETVNAGEKWPVGDLEASLA RLSPFLNPTPVPSRPDAPQDLLSSLFATELAPPKPPTPSPSPAPISRKGPTVEERNAK WRVREAEDREQGLVRATRNRQKLELDFAKEAGLGLASPGSGVAKDGEGEALMIGTAIA QNDEGKTVRRSTRGGEITESPKFMPRKRDRRPKVNPTPAPTPAPTSALASAPITATPT QAAPQSATRASSTSLSSTHPDLKPLSRPQQGVAGLEAIPILSDRERREQELALALELE GTDVGGLDQFKRFNVGWVLPEGKPEEVEEVSSQAQPAAGPSKVIEPTPALADDESDLS PPPPARSQAGTPQAGTPRTTRKRGGVVYVPDMDLDPEDMLRRRTSRPKGQGKGKEKTV ETPLPDQVDIPQAGVEEGSASIHPSRKGKLPPPPAKTKRKVKDPFPPGTLVWAKIYSF PHFPAEIVDLDDPDDRSEVPDPVLAEEAAARKAAEQNDTRVWLVRFYDSSSSYGWIAE DKLDDLGESDEVDGMYLSGKMNRFKSQHMKKMCKKAYREAMASMESSDEEKL L198_04457 MLHPAPPRRSLSARFASTKAVPAAPSRQGTNYKNRFSLTTTEQQ KANMQTVNIPSDSVPENLKPIEQIVKRAKELKLAEPVISYWCCFSAAQKALKMANRSK EDTIFLMALIEALETMKVALATNEAITSEAAGAAYVENFALKVFMPTIRKFVVAGQFI EVLRCFEHGMTEEMEQKLLYARWKAADGAKALREGRVPTAGPPFPEQDHVSSPAAAAV TSPSHSSQLLQSPPQHTPGGGSRQNSFSNNARPPAPSPPTHTQIISPRPSPALKARNN PPPIDTDPRTPRSTTSTTGSGAWSTIATPGLPDDDESQLHFDLNRPDAMLPPLAHSYS GQGGKRSPLRTPIDEKKNVRFLGPDGAPLSPASTHLSVSSYTAPPAPPPTDIPSPEPQ PKTLPVVGPPPSGRPRGDSSSSRNGVPHVHSSPNNDAQQAPSGGNGATSGSRQRTAQV PIPGSGSGSGSGQGSTRLPSSGNVPPPPPPSLIGGGAAPRPMVQPQSQGGGLGLSSPP PMQGSVTVPAISRGRSNSHSHAQPQSQPHTSSSSSQTFAPTPKTMSRKDIEYTQKHAK FAISAMEFDDFETARAELRKAINMLGG L198_04458 MHRPQTRARVAPLAVRTYATAKPAASEVSSILESRIAGASAGGD VQETGRVLTIGDGIARVYGLRNVQAEEMVEFSSGIRGMCLNLEADNVGVTIFGNDRLI KEGDTVKRTGQIVDVPVGPGLLGRVVDALGNPIDGKGPIDSVGRTKAQLKAPGILPRR SVHEPMQTGLKSVDSLVPIGRGQRELIIGDRQTGKSAVAIDAILNQKKWNDGNDESKK LYCVYVAVGQKRSTVAQLVQTLEENDAMKYSIIVAATASEAAPLQYLAPFSGCAMGEW FRDNGKHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNE SLGAGSLTALPIIETQGGDVSAYIPTNVISITDGQIFLEAELFFKGVRPAINVGLSVS RVGSAAQTKLMKSVAGSLKLYLAQYREVAAFAQFGSDLDASTRYLLNRGARLTELLKQ PQYTPMPTEVMAPLIYAGVNGMLDSVAVDKITVWEKSFTELLKTQHQSLLEKLSGGVL TKEIEEEMKKVIENHVADFSA L198_04459 MPRPNISHALSRLSLAARTLQTSAPLPPPTSALPPSGPSSSPLP PLLPAATPSPLPSSTPSAFSLIQSQSTPSTGRYIIARLHARNYLLHPRDILTLPTLKP MQAPGTTLSLTKILEVGSREYAVRSPAAEAKALKKGMSFVEKRTVEFPAISPELVRCE LTVLEHTKSPMERLLKKKRRKGYQKTIEHKQGWTRLRVGDILLGNSGGQI L198_04460 MEQENNAPSRLPRLASPAAKHSGIPLPSLASTMAPLASSNNANK RKMPESPMRPPTGKRSVSGSGVGKAPTGAAASAGLRTSQRKPSGGFVPTTQRKPTTLT RPATSMGVSTRRAVGSTGSSTSASSSTAGRTAAKPTAAAGRARSGLAPPPTARRPVAA STSGPSAPNLRANSAMGRSMGPSSRLTRSNAGAGPSKDQDGRLENVEKMVGGFHDLLE KEQAKISNLQLSHVDLQTLLQSTQSTERAARRDLSTASEELSSLRAAHAREVDELERT ILRKDREKRGLEEEVRDSREELSRERDSVRTFKAQLAEQSTKHLTLEAQLSASQTQLT ILQTEVERATLAVSAMKAELQVGQDRAQAAELAAEQKVRQAEEDRDRRIGEIEEELRN AETIRRKLHNQVQELKGNIRVFARVRPVLGHELQNPEGIADIAYGDERTAQETGQSQI VVTSKSESATGKNREQINQFTFDKIFSPKAGQQDVFEEISMLAQSVLDGYNVCIFAYG QTGSGKSWTMEGGQSEEEAGMIPRAIDMIFKTSDYLKDRGWKYQMEGQYLEVYNEVIN DLLGSGQFDTKKHEIKLDKDGKISVTDAVSVPLSNPLQVHNLLARARGRRAVAATLMN ERSSRSHSVFTLKVKGVNPLTDEKCEAMLNLVDLAGSERLEKSGAGENKDRLKETINI NKSLSALADVIGALGQGQQGGHVPYRNSTLTRLLQTSLSGSSKTLMMCNLSPLATHLG ESLCSLRFATKVNTTQVGQAKKAISR L198_04461 MLRRTLPRSALPRPTLPAARAFTKPSAPAAASTQLASSSRSDTN VLFEFDAHKVGNEIRKRGLANAQREGGMDRDTIIRLLYSLGSRHEVERYLRIFTQSNS SAGGVLPEAKFAVLKIGGAILTNEIDDLALSLSFLNRLGLFPVVLHGAGPQLNEILEA EGVVPDYEDGIRITDAKTLAVARRVFLQENLKLTTALERLGTRARPIPTGVFTADYLD KAKYGLVGKITKVDKAPIEAAIKAGCLPILTSLAENAEGQILNVNADVAAGELARVLE PMKIVYLNEKGGIFHGVTGKKISTINLDEEYDALMKESWVKFGTKLKIREIKELLDTL PRTSSVAIISTDMLQKELFTDAGAGTLIRRGYKLYKQPSVEAVGSSQLRQVFTERDPE VQSGRKSVAEIFSDLKESPHTIYGDEPFDVVAVVSHPEGESPVMTKFLPSRNGILNKI VDNVFDAVKKDHKRLFWTAKADDENRAWHFERADGSFTRAGRSLFWYGVSDVKEVERI IQGFEENGRIERVFLPVGPSVPPHRRAAGAPAGARAFSTSARPSMASNSAAQSARGYA TVSEVAPRKRVALIGARGYTGQNLVSLIDSHPHLDLTHVSSRELAGLPLKDYSKSPVK YSNLSVEDVGKMAENNEVDAWVMALPNGVCKPFVDAVDKAVAKGGKSVIVDLSADYRF EDSWTYGLPELYGREQIRGATRVSNPGCYATNTQLLLAPLMPHLDPIQRPSVFGISGF SGAGTKSGEKDAEGRPKTVPKISAEDLNGAIRPYALTDHIHEREASRHLSSIIPSSSS ITPSDFSLGFIPNVAPWFSGIISVLTAPLAKSMRASDISQLYEEMYKGEQLIQVAKGV PDVNDAMGKHGWRVGGVQVHSSGKRVVVVGALDNLLKGAATQAMQNLNLALGYDELAG IPKDKL L198_04462 MVAQNKEIRVTWGADARLTSHLISLISETPRYRECIFANAGDRW LVERDLCLIVLGDEAWMRDKESKGWVRRGEKGWEATEMWTSGLVHPVRNRLHLLMKRM RDGWYQQKYGVDPSWWSPDQIPEQIRASFLIAHPYYFTLLELWNKRSLDGEPIDAAIR AAEGKGKKRGPKPKLGAGKKEPSHLSALEPSAKRARTGESSHDSAPSLSPPDSLGPLA GDIHISSQLSPDSTSEGEEEQDELSGESGLAQPLPKAEPETDSSALTAGKLPGAGTLD LGFCTPPVKRSRGRPKGSVNKKPRKEGSAVAGPAGVVDETGAKAEVPVKRGRGRPKGS TNKKKV L198_04463 MSMHFAPQWAKPIKPSGSSANTTPTTENPRKLASNSPFPALSAN PRSASPTTATHPTLSYSRVTHTPSSPSVATDGYFPHQDANGEVNSHPFRYSREQILAL YDEAKFRERPIELVQMAENGGVLVSKNLSRPVGTRDLTEGEKKLLATSVHPTLPARRQ LNQANSASTNEHVANGLPTRRTAGYTRGEGGNAFSGGALGKMGQFGGGGGVLSPGGLD HKAPGGLGGGFGGVGKRLTRRGEDPNSAESRSSGAATWRSGPKTPSSGNFEGVLGFGG SSAGLSPSVETTEQRENGQKKWRISSGTSAGGDKTLDFPVASQTAASLSIIATPSATP IPERDASILDTTMAPAVPSGVTTPLHEAQESQVEELGSIDWFYRDPNGQEQGPFKGAQ MNDWYSHSYFADDLPLRRSSETAFRPLSDLKAATGSTLQPFLVPARQRQLPPNLPIPI AALQQQALGNLPEHFRNLGVSSPIGTDPRISPQPALSGARGPHPGYDAFAQNAYAPQQ QSLEHIASPGYASSPSQAWNNLAPQQSLRMGMSPLAPVSNPSYGQIGAPSPIGSAPLQ YMQQQQQQPQIQQPQYFGQQLRSAPGDIYGQPWGAQGYPQQVAQQQPLQQQAYQPQTA PVQWEQQPQAQQLHQQIPQQHYTQQETRQEHIEQPEPIFAPESEKPAPVEEIEQGLEQ YEPEPTPEPESVNEESENDEEEIEDQLTPESPLASTPAPVQSAWKKNVVPQSRESAEP TPAEVKAAPKIIPTPSQPPKAVDVATPGPAPEAPSSPSPPPGKPAKVKVAPWAVKNEE RAAPSPSLRDIQDAEAKRLEAKRSALAEARAASASPAPTSSKDEDFVSMSWGLPSQPP RGARAVSPVVSTPGPTAPAWGGAGDAPKKTLKQIQEEEEKRKTKAAQAARVAQGQSAG VTSSSRRGYADLAAAPVKREQEHLPGWTTVGASGKASGAATPTARASTPAKPAAAPAP KPATVVAPMLKKTVALDDGAPSVEFIRWTKQALTGFKGDIDDFISVLLSFPIDPPAAS RTDQMEIISDSVYANSSTLDGRRFAQEFTVKRKQDAVAQRGGGGGKKVTSLADVVKTQ PKQPSVDAGFKVVKAKGKKKN L198_04464 MNRLDDAPTFILNRLFGQLVASPLEIRSWVDYPSQLIDAFIDEA ERLAMPHAAHDTPMPTCTLTITDPPNRSKKRKLGQAGETPGGLEEERTKTRPSESWAL IKRPKLTVSLIPKGRYRPPAKPPRLRSSHLTLSPASSIDHLPYVIDNRSYWESMIRIQ ALGSHVPTWPRPPSCFLHPGAPATLSVPHVTSPAQTSFTPGYRSDRLITYSTHWHQNS PLGREAGVVIGPAVTSPTSIRATDDLPQLSTDSVGPDRQEQTSFTDDDHCMAPMDDYD PLHDIFPDYQTPSSSDAPSNYLPAQLPPAVAGSQSSVHRVGDQTDEDVFEPDNERQNV GNNQEGENLGAGQWRVGKTPGHQGSHSRSVKASTGREDGGFNAGHKRSLSQSIAGAAT RVLKKPFRPPSRVVLQKTTSAPSSPFSDSSTRQPSTPSSSERTVVTDTPARGIKNTPP ATRIRSAKLARPFRTPLRSSRSNAPSPVTVVQAAPSSPYNPGPPQTGQAALTALQNEV LMLKKAIRYDAQDSAGRLQELIVMWRSAGREMVEKLYDLIPRPDPGTSDNPYISNPTP SGYWQESSASNGLSSEQEEILAKAPRNKDGDPVDADGNLLIPDVADQDMGALMKEIES STLYGDQVKGNGRFHEKEETPMCDRRDRIAEDPMVWNYGTVLRGLGVDPPLFGWNTEA EDWD L198_04465 MPPPVTPPSPTQSVMDASSQISEEDHDAGVSSLMNRTEIGYLAH WSVSSHKYGFGVDNLRDGNDSTFWQSEGAQPHTIDLAFSRRVDISAIGLHMSHPRDDS YTPSKIGVRAGTGVHDLQEVRYMEFTQPDGWHLIPLRPMEMSGEGSEKEGPPIPCHFL RIVIFANHLNGKDTHVRGLRVFGPPGSKETTHQEPLPAGLVGNGDDPDEGKMLLQLGH DGLSDFTSVEFRMHERIR L198_04466 MASLGPSLYALPHTPLPSNSRPSPTLHEQRDTEHLIKQLIDLTA DPAVPTPDTTKQYPTLRKAEHTQFLASSFFQLPGKFVSLDASRPWLVFWTVHSLDILG VALDQGTKDRVVSTLLHFLSPLGGFAGGPANSQLPHLLPTYASVCSLAITGNAGPNGG WDHLVSARQGIYDFFMRCKRPDGGFVVCEGGEVDVRGSYCLLVVATLLDILTPELLYN TDKFIAACQTYEGGFACSSFPFPSIIPSASAIPTADPSARAPMSEAHGGYTSCSLNSH FLLTAVPLPSFPAPIDADAALRWTVLQQGEAIEGGGFRGRTNKLVDGCYSWWVGGGAP VAEELVRRERSKKAKGQAKKLEVLDGEEQESDWEDVAPSPSVFNRVALQEFTLIAAQQ DAGTTGGLRDKPGKRPDQYHTCNNLSGLSIAQHNLVHSPSTIAANRAEFDPSKGLPAV KTSTPEGGWKSEEERQEARRAVWASALGWTVEGSEVVVGGKDNRVNTTTPVFNLLNLR LKPFINYFYCQEK L198_04467 MSLATLQHLPGALAAGVSSIVQRLTTTSSTPLLPFIPKSVKVVL LLLFIANSGSWPFVWHVRVWWFGVKAYYLAWHKGHARYLSDWKKANDQSGGVKGLRVR HKRTAGIDDCDYNLHLSNSCYAKNSDSLKMDFCIQLLSPVFTPGARMALGATHYNFFK EIPIGMEYTMEAYTLGWDEKWLFFACEFVIYPKNKKSKGSAAKDKAITQASSVISSAT PQIIPTISGTSTPLPSDAAIPSSPSALSNRCEDLKKAWVARRQAEPREDGGVLCCLSI SEYCLKMGRVTIPPRIALWLALQSPDKAQQDRAKAIVLGKDAGKAFLRGGWKEEPNAE TLGLDIGLEDGEVFEDSWVRKGAEAMEKVLEGVSAF L198_04468 MLSAISLVPSALLALLLYAVYKLTILLPSAAPFTSLPVHRPLSA PVTGATNKDTLLPPPPLKGQWIVVAEPPWTFSLSTSALNPVPSLVLSFLNFRNSQRLK KLYDLSIALGLVGMVAGIAGAGYAGWAVWTEVWAEFEGHVGVAVGGAVVEGVEMAKRS VDLILPSGETQTGSGGGLQPLIPGITMPLSHLPAFLLALVFNQLVHELGHALSAALDD VQPSKLSLTLSYFVPSMAVEFGSSMDNLDPNAKMRIAISGPVHNLMTWLTLWLLASSG LTSMFWIDRSYQGAVVQDILWTSPLSQHFQPDEVVTHLNDIPLSHSALVSPTEKWTSY LASDTADDTTRGWCVNAVSFLSLSPLPCNASTNGWREGSIAFITNEFDDADAKKEEER CLPPHPILDIPSKGCPCPDHRWVCVRPKSEEIVRIRVRGSWGRERVVLWDGDREEVLR HVRVGKESGRWWTGGVRAGALLFKYAPIVSYVNAADECFRYIKTIAFSLFIFNLLPLP YTDGSQLLSSLLQWHPAPRPLTTRTLQATLSSSKPLTEKSEKIGAEAEEYELGSDEED QVGLSDVASRRVGMGARKSPLWKRRLRLAVQGYMVVVCVVWVLGWGMLLLLRSS L198_04469 MSEVRPRKGAQSKAAEQPGKKLSKEEINAKVGNVQLMGTVRLGL SCLGLLAVLWFSYKTLTPMLQSKPVAQSQTRLTGTQYGSIKTSDVPKLKAGGKLEADV QKREAIKEAFEWSWHPYERHAWGADEYQPLSQTGSNLTESGGVGYTIVDSLDSLLLMD LIPEYQRARDWVRDNLHFDRDSQFNTFETTIRVLGGLLSAHYMSSTHASPEIQADASL YLDLATDLGERLLGAFSSPSGIPWSGINLATRVGIPDKDNQGVASLAEAASLQLELKY LSHLTGDYIFWKKAEKVTEVIRNQAIFDGIAPIFINPVNGQFVASEIRLGSRGDSYYE YLLKQWLQTNRQEPVYRDMYDEAMGGIRKHLIGKTKKSNLIFTQELHPARHPRDQTQS WQVVPKQDHLVCFLGGSFLLGVTDGGKKEVDWEKLDKRDEEDFLIGQGIVESCMKTHE TATGLAPEIAMFVQWSDDRASDLDWYIKPNQNGVLIDGRNILRPETVESLFLAYRVTG DQKYRDWGWKVFQAFNKWCRVEKGGYAGIEDVQAMPPKQLDRMETFWLGETLKYLYLL FDDSDHISLDKNIFNTEAHILPVFDSEYFSPFSQS L198_04470 MSLSRPSTAYDLSTPQESLFDRERERLIEEISTNFEELMGNMNN LNRTLEQVYGVGREFTTVSALWGRFSSLIKEQQTELAASADVGVPGTGGANFAASTTN NPSKS L198_04472 MTSRKRSLENDSVWPPGGLFGPSPGQGQPKRDRVYKLYSHSPAS LQAVTLPIASPSPSPLRQSFIPENSLRTTFGPDGSLPLPQLSDRTTSLSRAGSDYSSP MSHITNSSPDEIQHSTPLRVKLALSASSDGRPSVYEDSSEKYQIDDGIILGDALSFVQ ETRSHEPSLSPLAISNIYSRLGSARTGMTVQTPPSGTSKRSIFRSGTGSSEYASFSSS PPGAPLRKHRYIAPAVNHGSPISRRQRNSVTPEQLAPQLQTLLSESDSEPEIDVIMVD PKDLGSPKLWARRGKKTGWE L198_04473 MNPVSPPSTRGMRDYIPDVPSDGEDYDAVLTEEEPIEGDFTPRA VIAGLGVGVVLCMTNIYFGLQTGWVSMMSLQSALLGFAVFRIPVLLPRLFPNMRPLTP QENVVLQTTAVATGTMPLAAGLVGIIPALGMLTEEIDGQGPVHMGWGSLVMWCLAVAF FGVFLAAPLRRQVIVKEKLVFPSGTATAQLISLLHQIPPPAEVQGTRSRAYRRLPRTS HSVSPARPRHEEEGAASFDDGRRSGAVRLEEEEKDKELMTGKGWWALGLSFLASGHLT VLSFLFPALFAIPVFDIVGLPFGAHLAANWMWWFTPSLSYVGQGIIMGLPVTLSMNFG MLVGWAVLSPLSKHLGWAPGPVSSTTDGARGWIASLSLLWVALAIMIAESIISLLPIT ISHASTLLRYYHQRTAHSNVFVSTPQSQTRRLSSEDDYYDPPSEDQNDDPEFEPPERL VPGSWVKWGLAVSALGGVGLVWVVFGSDGIKPWATALGLVLASVLSLIGVRALGETDL NPVSGIGKISQLLFAVLQPGNVVANIIAGGVAEAGAQQAGDLMQDLKTGHLLRASPRS QFYGQMIGSLASVFVATAGYKFYTSAYTIPGPEFAVPSAGIWLNLARLLNNGHLPLHV VPFMLALGAVFALISTVKAFRTSLPPSVSSSRLIAYLPSGIAFAVGFLNSPSFSIARL IGGYVAFRSAKLSGAGETPLLAIVVASGFVLGEGVVSVVTLGLTSAGFGAASCWGCGV GGGGYCSGGCA L198_04474 MLTRLLSALAIGAAAVLASPVAHGHVEEKRWYPIAPKVMIISMF TPEDVWRTSLNLTQNVTLPGLSPLFPNVACNEAGEICQMTTGEAEINAACSVTAMVLA SEFDLRQTYFLVAGIGGVNPYMGTTGSVGFARYAVQVALADEIDSRQIPSNWSTGYFL LGSSEPGEAAGNLYGTEVYELNTNLRDAVRAFTDGVELRDGETAAAYRARYDYAPANA PPAIFYGDVSTSDVYFAGNLLDEAFGNITALWTNGTGQYALTAQEDNATFEAMVRAHM AGKMDFSRVVLMRTASDFDRAPHAEDDAVTAFLAEQGGFTPAIENIYVAGLPIVQGII AGWESTFAPGIAPQDEWLYNANIFHDLVERKREVREFERMLKRQGAARLRR L198_04475 MVDITGAQSLTTPLNAYHETMASHNAIVGESGNLDAVYESVRTC EDSSSIHTQESSSDETSELRQIATQLPFIKELGDPICLDWLIPLIDYSPISSGRSRLP ALVSPSSCEYSDDDEPPFSPTDISGDSPRTMDTTRRFRTRPSLKKLFVKKDRSTRKTP GNKMGPAQAMTYKGHKRQKPSIPGAISFLAPKDATASASSPTKPLSGAVGAMWEIPPL PALPPFAMPKTHFERALEEVNRDRSQVTETGLEGVKLVKAPEDSSGVIQDKVTEKKGG GGKKVGV L198_04476 MCECHQVTPDTEKQPPCASCASLSYPPTPTSTPHTPPTHRRTLS QRHLYILLAALVLVTAALAGGAYAIHQHVSHRKLQDATTSIIADSTASPSDTVSATSS VAGADESGYHESGWNSGAGSSPNSGVWFAEVGEEGEETKLERNVPG L198_04477 MSRPSNPPPRAGTAKSKQPATAARRNPGTVPGLARTASSLRQGG VHPHTSLPAHLRGLNLSTPKIPSPLGMGTPARQLKQSLPARTSKTTEKHVLLPEDPQL APLPRSPGDMPTMSAPPRRDSSGGIPGAGRSGYHPTMTHGDERSDAEKMTKREREENK LPRLTAYATAEGYRLKLLQAFLKREHGVSVVRVYDDCVYAVYNLPLLPGYGATTKVRS SPITKSPGGVSLMERMTMAEDLGYHDTYFPREDPTEATPAEYILSTSPGMEAGEELDA MAQDGEGERERRERGEEEALLEQVNAGVFEEIAEGITPLSIPEQQPSEVSEPEVGTQI FPTDGYTHIPSTALNPDDLPPASPSSEDAVGNTTYHVGYLDPPIGEGHKHVSHKEPQE QPKIRRRKSHGHLNNVAEAVFFSYGVSVFFGFREGEEKEIMEDCETAGAWQSPKSEDD WEAEEFHYVYDPDAESPRIYNDMFTFKSRSHLFKLSLAHAVAQSTRLSIYESVMQETL SLTASFPKELSITGHLQLTRREALKMTGRLFKLRMDVNLSGGILDTPEVFWSEASLFP LYEAIHEYLEISPRIQVLNDRLAVAGDLLEIIHEYIEERATHRLAWIVIWLIVVACFV EAGEVVARLVFHEIARDEGEYMLLKAPQLLLGSE L198_04478 MAYVAVAKALYDYAPQDPESELAFSEDQILYIVDKEDDEWWKAK LKEEGGGGAEGGVGLVPANYVEEIPPLSATRAMFAYDSTSPEELSMTDEATLHVFSIE EDWLLVRLESDGTAGKLGFVPRNYCEPLEESEGQVEVADAREAEEEMEAQRQRELQEK QRQLKLKDKVETWSISELDGKKKKKGTLGVGNAAVFFASDTDKAAPVKQYPITSLLAV SQPSSKLLSLSLDSLPQPLDFHCGSSDTSKAILAKLEQSKEAAGEALELLNAEAGQES EEEEEPVPAAPPVRNVQEPKAVRFASSPSPSPSPAPPSRAVASESATVLYDFDAAGDD ELSVQENTTVEIVDKENEEWWLVKDSHGQQGVVPAAYLQLNDGSAPAAPVEDDSAAQA IAAQAQADAEARRQADAQAQRAAAEAERKRIQAAAQERQRQEEEDRELAAQIEEEQKE RAARKALKRQEEERRKRQEEAQAARENARSGGLQPPQITKRPSDNDVALAASRLPTRT ASSAPAPRPPPEQSRPKPNPNKIRTWSDKTGQFNVEAEFLGVHAGKIRLHKLNGVIID VPVEKMSARDSELIKRHEAKRAKAASAADDDRPLGQQSRRVRSHESTHRADEPIPADV MRASKASASTRRSTFDWFEFFLSAGCDIDDCTRYATNFERDRIDEAILPDLDNGTLRS LGLREGDVIRVAKAIQGRYAKKDPELQKQIDADAEYAKQLQEYDRNGSKGPAPQAPPG LFTGPNGKLANNTRRGRPEKKSTGPESVDAAALAAASDKLNKVSLTPTPPSAPTPPPV TVSPPVPAKKEEEKPKAAPSGFDDDAWTIKPLSSKPASPAPATITTSISPPPAPAAPP ATSPSVANNTDSLLAQINNLRPASTGVSVSNQNTGGSFGQQTPVQQQQPQAPNAYGLG VQNASQPLNMLSQPTGASPHPTTLNSMATGGQGPRGPLAPVSANQNLLNPMQPQATGM FVPTRGLSPMQSQQTGWQQPQPTGMPQQPQQTGMMPQQTGYGGYGGMGMQQNFTGMPQ QQSSFNAIASIPPPQPPQQSGGGDKFAPSNIFAAMKKTDFTKPEEQRPQEAGKYDALR PLATGYNGGMMPQQTGMYPQQTGMYGQQTGMPQQQMQQGMVQQQTGMPMGGMMPQMTG WNPNGGMYQNQGGYGYR L198_04479 MPSESLIRWSHRGLFALIAIASLISLVISAVLVGYYNKHGYPSH HTHAYRDRIRILLVASVWTMAWALILIIGFAAMGTSAVFGLITHLVPIAISFLLFLIG VSALTGLTDKISCSKSSETFSRCKYVKGLVVISWIDTIFIFAALIFLITLAVIARGRY GARRSTLYLD L198_04480 MLLNSLTLAGLVVLALPARAADRANTFQYVGLSGVSAQQLFLGT LNKVYIVDKTENNNATVNSHPAWATEYDLTTNDFRTMDVLSNSFCAGGSQLGNGTWLN VGGNQAVTYNGNSQSPYKDWDGGKAVRLLDACDDESCDWIDDPGLYMTSRRWYPTLET LEDGTIIIMGGCEWGGYVNYADNQNNPTVEYFPKKGTPFTLNFLLNTMPVNLFPLVWL LPSGNLFVQAEYQAEIFDYKNNIEYPISNIPDCVRVYPASAGTAVFPMTPENNWTSTI IFCGGTYLESDQWTTDWNIAEYANNKSCVHISPDVDLTWYQNDPLDTGRSMGNFINLP DGRLFYVNGAETGTAGYGTQDWCVGESYADNPLYQSWYFDPKQPSGSRWSKAGVSSIP RMYHSTASLLPDGTVIISGGNPNADCNAEYNTSYTYFTQYQVEIFYPDYADRNKPDPS GMPSQLTYGGDYFNVTLSATDLFNNPININNTRAVVMRTGFSTHTMNMGMRHVELETS FTSTDDGGGILHVAQMPPNPAILAPGNALFFIVVDGVPSNASWVMVGDGIVGDPTVKD RSVLPRSQISAQIMAQYGYGNSYAKASTSGGLARLGEGMGVLGAALLACMLVL L198_04481 MPPVLTASKTIGYLILALLLASLYLYFTPLKTTPTMAAPLKHLK IAPKEAHKATVIFLHGLGDSGQGWLPVAKMLWSSFPHVKWILPHAPPIPITLNQGMSM PGWFDLSNLSRLDDPAYDDETGMNKSLASVDALIQQEVDAGIPEDKIILGGFSQGGVI AMLGGLTTKRKLGGVVGLSCWLPLSDRIEQASKSIILCTAVFWGHGTNDPVVDYSYGQ RSVDLLTQKLGYNLVPKGQTFARPGVRFESYPGMAHSSSPKEIEDLREWLAEALKE L198_04482 MRFSSIFVAALPILGSVFAAPLNTEAKKDLAAAPAELVQRDSSL LDILGELKADIEAAGSLSGVTAEVDITATVQVAVDALIKAGSALGADLSLGVDAEVSV SIAGLKREIEERAIDKTVVAQVLVDIIATINVNILTPAKPYLSSCTCQESTSLIKELD TLLTGLLSCVDSLLGGILILVKGLLIKTLGLVSTLLGGLTGLLGDVLSVLGL L198_04483 MRFSSILVAALPILGSVFAAPFNTEAKKDLSLTPASPALVERDG LLLGVLADLKADVIAAGSLSGVTVEADVNACIQVVLDAFNKCGSALGIDLSLGVDARV GLDLGLLKRELEERSVNKQAVAQALVDVIAIINVNILVPAKPVLSTCTCSQTHSLFTE LDLLLTGLLCALDALLGNLLIIVKGLLISVLGLVAVLLGGLLNGCLSILGF L198_04484 MSCAVTPTKTKTATATTEPAVKPTSTASSLLSRAADIVPEVAAA TGLDIGITFFTPFSQYSDALSTASKHEKSWAASQLTEEWKQSGLDEVVGGSVPRALNS WASMSGSSQSDGVRDEVKEALSKARDAMSCFKGASLDDESLKARISNLLDDACSLALS DEESEEEEHDHMQSVSKSRSSWSSAKGISRHTPTSAALSFDGSSLPSWCETFHTRTVL L198_04485 MASYIPHHLVHAHLPAPPSLPVSTPELRIPTDETISDELYSEPL HPEQAVSVAYNVENNILARTIYNGYVLDLRPMGSTISKTRLPNSEGSESIRVFFPEPL RTLSSGLVRISPQEKRLYILVVSQANIVYRLNFPLGDFEPSSGERFSFTLQNGEQWSE EWEVPEDVIGSCGGVGATTVFDERTVVLGGGDGGIVILTRSGPYAPAFGEWQATHHRG SSMFRLPSLFSRSANSSEQITSFAEYETRDNLRILYTLSRDKRLRAWNVDNGSFLKMV DIRSSSQALHVKGAEVPTSIDDNTVNQIRVVPHPFTTSRYSHLVVVFFSTPYSQAAAG SFVVYRVSTSGSGVNELSVAGERPCSSLSAGSELRGLEVVAPSKAQGVNSGWRLWVSW DREGSTFCETIPVDDIFQFTTYHASHDSSLLSDWQPVFPPSDARDFDAAYFDNLLSLQ PPNPAEPEDNGDIPATFIEHLFHPGRFSLSTLQTALDDYIHLLSQKHPRVHFALPQAH TSLSKRFGAIVGAQVHPQVSQETGAVVVDVYRQELKLEWLSVWANVRELDRQGRWPVT TAVVEREVYILTREGISVPVLEDDAGIVDRLAKEEIDADAFLALPTGALSLYSALAAP GARLAATSIALAGSHLSSVLSHTDVQPDEDGVLAPGTLLDVFQRTVGDTLGAGQGEAV EDIGAGLWDAFLEGSLSEEDRLRAREVLSKGDSVLRGLKQSLDILEDTSFPSSQSVPG AAFSGLGNALLTSTLSDLIASRHAFALHILLASLFLLCDSPPSEEDLEELVPILARSM TSYHRYRVLKWVATQTGEEAGERSSVGKAQKAQKGKKNSGDDGVSHSGEGDDGDGFGV EYSLLHSIFAHQIPQDASSSNHAIDTYLPAALAALTQIGLLSEDQTDLGAQASDVVLG NLIYADDHPLLAGAFTDLYPLSSGIAYVKGRAYLEADVVDGAVTFLERAAAGCRDNSL VPILPSTSGPNGLSAYYTHICEIFRSHGAQEAVVRFGKLAIESAKYATGNEEERAVME DLWTKVFMANLELSLYEEAYAVLASLPFIELKRDFLGHLISEMCERNEVGRLNALGFI GFQRDVEELLRFKARNSDPLRTPNYYKVLYSWHITRGDYKSAGEIMYLQGRRFAEGTS SSRLPAFELTAMQARSYLAAINALSLIEKKNAWVSVPGVPNRYLKGIKRRRMTTYIPE EEFTAGKKPVDIITLEDIQAEYALVLSRLRLSSKTPDLYDHGVVISPQETVGLFVQRG MYDVALSSAASLHVDMTDLFQSLAAKCVELSRLQEHNVDINIATFLQTSSITSRLQGP PAALALHYLRVSLSQHDSSKTNFKYRQSVAETLFSINQDKQGWRMPSWLVQWEMERDA EGWIGKAVRWGWVAEAVEWSLDLVRQATPPELLPKNKSNAVYLPYNLFDRVLAATEGG DEKDEEAVQRNAKILRDEVKRRLEALEG L198_04486 MDPRRRNNQPPQQSYPPNPSGYNRGPPPPQDRYGSSYNESRSYP PQNGGYDTPPQSYRGPPPPQSQPVYGGAGGGGYPGNARDGNYPPNMPNFPPRDTAEGG FRPHDPRSRYSGAQASYNTPTPPPGQTPPHVGYGTPPTAAGALAPQRAGTGTPLSNGV KEEAMEEHTPGGMRRPLFCVVCASNNNRSMEAHHVLNQNSFRVISAGTGSAVRLPGPS IDRPNVYRFGTPYDTIYNDLMSQDPALYTRNGILPMLDRNRKVKRAPEKWQELRRVGA DVVITCEERCFDAVCEDLLQRGGEYNRPIHIINVEIKDNPEEALIAGKSILDLARAIE ASKDVDADIDHILNVQADKHPHTLLHTVAFY L198_04487 MRFPWSKKDVDLEPTTGEHPKDSNIMEKKLGLSKKGAIFAAGAS LFSDGYANASIGPATTILKGYIYVDAFTDRPVNSRLLPAIAFAGIIVGQLSFGWISDK IGRKFGMLLCTGIVFVFSALQAASKGPGAQGTINALIAYRFLVGIGIGGEYPTGSVAA AENTEDPDIPKKTQQRLFVLSTNSMIDAAFAISYFVCLVCLWIFGMNHLNAVWRLTLG LGCVPPLFLFYFRLKMKEPESYAKNSMRHTGIPYWLIIKRYWIQLAAVSITWFLYDWI TYPFGLYATPITAAADTEGTLYTAIGWGCLINAFYLPGTLFGAFIVDYLGPKYCMIFG LCVQSILGFVLSGTYNLLTQPSRIAGFAILYGLFLAFGEVGPGNNLGLLASKAIGPTA TRGQLYGLAAAIGKVGAFIGTYTFPQIQASFGKHGQYLEDTGIFYLGSILALVSALIT LVFIPNIKPDAMKDEDEEFRAYLERNGYDTSKMGLRAPGIDVESANAITDALEKDKFE EGFTTQTDVVPKA L198_04488 MSKNAQYVYVWAVYDRQARKQPGEGNGFDERYPEIVQVLRLSHV CRQMRPLNKTQFKKHSPNVEHRSQKHVGIEIQWSTIWRNVLRTFEEYVAPEEQEDFSF DDPVHRLRIIGEEESQMFGDLCVETRDEASLTWWDDDQEKILEAAHAPVFAKLGMCPL SEEIGVARVWTLFWPMLELVQAPLEAYFESK L198_04489 MLRTTPLRLAQASAAPSRAAIRPRSIPRPPQSQLTALRATCRSY ATEVPPSGPASGATGGGGGGGNGPMLLVLAAIVGVGAGGYYYLKPVRDAASVANTVTD QVKTNSPDLSELAGYAKSALPPGVFALYSHLSKQPGGINGFLSNLKDKDLKEVLEELK KVGGDDVKRVVDKVQKKIEDAKGNVENVDWKALVADLKGELPPGSQKIVDLLIGKLPD KADIDNLVKKAKEVGEDQLKQLESSANKVWLKVEEARKDGKGQADALLKGLKEAAPAD VDALIKQLKEAAKKAGLPADQTEAWLKSKVDEGKINTDELAGQVESKLKTASKFIPGE PKDLVKQVEQVSPSLAKLVAQALQQSGVTDENGNKKQ L198_04490 MSDAEHQKHVIAGFKATISNPTTSAEAKEHAQREIEQYEKQHGA HEHHVIAGYKATITNPNTSAEAKAHAQEQIAAYERGGALEGHHFGDTEHVNRVAGGYK AALHNPTVSAEGKAHALAELEKL L198_04491 MSDDEFMMDDIADDEEYDFDYDDDDDDADDGDAGGDVENQYYKA KALKDDDSAGALKAFRTIAEDQPEKGEWGFKALKQMTKLNYLQLHQPDPALETYKELL GYTKNNVTRNYADKSINNILDYVGGEGKVRSVPIDTLEKFYDVTRVACDEAKNERLST KCNLKLAKLWLDRKEYTRLTPILNSLKATCTPSDVSSSDDQSKGSLLLEIYAIEIQMY SDLKENKKLKAIYHAASQVKNAIPHPRILGVIKECGGKMWMNEREFGRASEDLFESFK QYDESGSAQRIQVLKYLVLNTMLNASDINPFDSQEIKPYRNDPQIMALTNLVDAFNKR DVLAAEKILKTNAATITSDPFIASFIADLLSTLRTVYIIDISKPYTRLELDYLAEQLQ ISRGEVENLVMGAILDGKIKGKIDQVQGVLVLDKFGAAQRARYLAVRETAVKVRQLAG KVEIDKLGPKDGGARQWTGQMAPGIPAF L198_04492 MGRSHAKNNTTQSTLTYYERSLLRKESGARRLGGESFKPLDSCY LCLSQVTDPVACPQGHIYCRECCLSNLISQKAGIEVQKREMERWEEKERQEREDAKAR ARDRVVLDFEKNMALGGTSGRGLVRNEEKKEGGDSVGSKFKLDGSIVEKATQEAEEKA MRVLEEEQAESRKAKLAAFWLPSLTPESKVIPVKDIKLQTLCHAGAHPHPIARKTLLP VILTYSPDSKSKPICPSCSKELSNNNSSFLLSSRSPLTASNGDADGDEGRKKKKQKKD KEDPLVCGHVVCSTCTETIVKPQGRCSVCEAKVEEKGRIPLGKEGTGFAAAGGAEIKK NVTAFRV L198_04493 MSPRAAYLTIFWACLKNLQVLFIADRNLADGFHLPELNFPEQSI TCTSASTTLLPECLSITPSLYSLITALFTLGGLLGSSTSSNVVSQRGLKGGIALTGWL NLIGAGIMTFAPHWTILALGRLVAGTASGLGISLVPPFLSVIAKSEAELASKSGMVGT MNQLAIVLGICSGQVAGLLLTGEKGEVPGSWRYVTAISGAVAVAQILSAGIISSPTGE HKAPASPVDAESGPRDEAASPLLPGASSTPQTQLTLPQILSNPSLRGPSILCAAIMAL QQLSGVNAVMFYSTPVLRPLLPTSVGVVGVGITVVNAIMTLPAIFLMDRLGRKTLILA SIGGMATTSVLLAFGLNDHLQALSAISIIAFIASFSIGLGPVPFLLTSELVPQPAVAA LSSLAISTNWVTAFLVALFFLPLRDLLSSPVDPRQPENGRKGEGRVFYVFTIVLLLGG VMILRGLKTRQ L198_04494 MYIPNFQGALAAGLALSGGFSGKVSSGKVLDYAVEKCIDADGNQ RCSKPFPVTKSTCYEIKWTTDGKISHTTAEVRDAGSDEIIFYRDTDGEWTSEKNELVY LDFKPKVWGQGNSTVEYEVSQCK L198_04495 MSSYIPLPSRPTQRIVIVGAGIVGSCLAALLSEHLGPNIVLIDR DIEELPGSTGHAPGFVGQYNELPVLTELAKRSVGYYSHIDGAFDQVGGLEVGQGLEER AEGAKKAGLEAHVLSKEQVLKIAGDFVRDDIPEGPAGVLYPLDGTANPIAIAHSQQRK ASQNGATLLSVDVQSISDSRNGRLVRTDRGMIDCHSVILCTGIWAAQLFPKFTESVVS VAHPYHYSLPNNHESKTPFIRWPKQHVYARDHGMLDGIGSYAHAPIHVKKDELTDTAY GKWEPSFDDVLQNALTLLPSKTADTFKQGQKFNGLFSVTPDGLPLVGKVEDGLWCAVG VWVTHAAGAAGLLADQLLNGGAEKDAEVRKAVDPKRFEGVEGLEAKSLGKYNDIYNKA E L198_04496 MSNKKALKSIQGHLKEKNAESALYEATELLKSIDHEDTDAAQCL VFRGLALTQLEKLDESEKSYLQAYKLQPNNPLAAKGLTMLYEKTQDWDKYGLFLENQT QGMYDSGDAEKLAAALERLISIRQEHGSEEKLYKTLELLLPSSPLVPLLQSVEASKDS YVPIAIPEYPTPANKLPVLPPLPHPSLIAGSLAIALNVLIRFETALSAKIAARVANDR KRINAGSEAEVRKNVDREILATEGLKLAELWKEVGGHPAVEDDIRRQVEIKELNFWRR LVACLDAKPKTAARPAAKPSVPSAPKLKLAVSGLSEPALFQEKKNLVAPTKQEALDHA DGLANGFVLLGVNSAGSEEGWSWALEGKDEESIYYDIDLLHKYACAFPFSPLADFIDI YCRWFKRPSPEYEQEKDNASLQERTQFKKHKGGKASRARRQVRREEEGQDEGKVVVAE DVEQEEKEEMISLLTKLIVKLPKSLFAYRAMARVSLQDEDLPNAISFAEKARKLVKEI ESQRGIALPNAQADLDTVLGVALVPYFPPKHHKRATRILETVLTAKPENIEARFSRAQ VFQYAGDWTSAREHFQQVLDSSQDEKAIITAKEEVGWCLVNEGKLEAGRDVLEEVVGI RDGKSEREKEGEAKERGRAWYRLGQTEWRIGDDEAKANAEEWFMASIRANPSHAASYT ALGTCYSSANPPDHERALKCYQRAFDLDATEAYAAHRLAIGYANEDEWAQVRLIAVRV MEGEGGLEGVAGGEALGTKARFAPQNGWAWKALGATEMHYKKYDKAAEAYQIALRADP EDVSTWVTLGEAYVKCGRHTAGLKTFSHALTIDPANWRALFNIGQTQSQLGAFDKAIE AYGQVMDITGGEEVGIVAALAEANLASGRQTAAGGFRVRSRGAFHRAIELAVKVLKTG RTHRAWAWKLIGDATFELSWQESSIEEAQASFEYVQPVLQFLVEDDADQRSNAPGVGH AANLLQEAVSLSTTLKTAIFAFAYRAHLLKNEPRVVDPALYDYASALHTLAGRLDDGE ERKQCLRTAISAIRTALDRDAGDERLWNALGVICSTAGPQLAQHALVVSLELYTKDPV VWVNLGYLYLRLDDRELAGRCFLKAQVLDPDYARAWYGQGLLADRNGEKEQAKALFSH SVTLSAQALLEADLALAAATFARFLSPTSAIDAGILHQPAFALKHYCHQRPQDYTAAH LYALICERLGLVEEAVSSLERTAIALEEEFERAESAEIEHFYAVALCNLGRVRLSTGQ YEEALEALDNCWELVAGSSEAASATLKPQCKLLQGLAHYWLGQTDESLESFQAALDEA TANQDMEVKEEVAVLLSRTLWGLGGKDAREIAKSNLLECLSRENPSLRVISTLAAIAV VSADSDLIEASMSELLSRPISERVQDSSDQGDLVLYLHNLAEGQEEEAYEVLQDAARA APGSQRIINRLAEALIKASKAGDALKILEVPGGKNGTVESKAEEDRLRGLAELLEGEG KGLKKLKRSVMLAPWEEENWHALAWGKKVADEAGLEEEEQQQQEEVEQEEVQSSVQVA L198_04497 MWSKLVTTALLTLSLVDTLADARDPQGLRGRKPAALQAKKEAKR EYMEREALKLEESRAYLEERDSDKRYYNNKTSEFFVDSLPDVPFDLGELYSGLIPINY SDTSRELFFVFQPKLGEASEDLTIWFNGGPGCSSLESFLQETGLWIWQPGTYEPVLNP YAWVNLTNMLWVEQPVGTGFSTGTPTATTQEETAQDFVKWFKNFEDTFGIKNYRIFVT GESYAGRYVPYVSAAMVDEQDKSYYNLSGALVYDPCIGEFDYVQEEIPTYPFVEANNN LFNFNASVMAELKGLHESCGYRQYIDDYLVFPPKGQQPHLFFNYSDPANATCGLFDIV NELALQINPCFDIYEINLMCPITWDVLGFPTELTYAPGGVYFNRSDVKAAIHAPDYVD WASCAAEPVFVGGDEGPQAEGDLSLDPIQYVLPKVIEATNRVLVSNGDYDMIIITNGT LLAIQNMTWNGELGFQSAPEEEIYIAIEDTQWSTVFAENGLSGPGEQGTMGVQHYERG LMWAQTFQSGHMQPQYQPRVAYRHLEWLLGFTEKI L198_04498 MVDTSSHIRRGHPIFFGLITFFAIIEGIITAWLADVCNSNDTYP SNSYRDRLKFLVFVSWWTVVFGAAHLTSFLVATTNFVSSIAAHLGVWALTWLFWLAGA ASFTAALGGGARCSHSSLTYCNQLVAAEAFAWMEWILLSVLFIFLLLVAVRAIRQGDS LGGGLV L198_04499 MGAFDGLRGDQATSGFWGEHTSTIDWCENNYTHTRYIAEFVNTL SNLPSILIGFYGAYAVLQNKLPKRFAICYLGLSMIGLGSFGFHASLKWEWQLMDELPM IYVVSYAAYLVLDTRPGWEPRFGVWGPLVMLAWDVFVTVSYICLPNPVYHQVAFASIL ITSTLRTLVLILKRLPEGHPARAIIGKLMGWGVGIFAAGFAIWNIDNIFCEQFRDIRA AIEPFDFLVQGHSYWHYMTGYGAYLIFTASIYLHCLIKDDPDAYCITGYWLPMIKRKA TMTPKHGEKMTLAPTEKLD L198_04500 MSIGNPNGQPKGDFAGLVSDLMRHKHAEKGAKGVNGDATPSKSS IGLAVSRHLPATGFKKNTEENREKNEGRQGTGVERQESGWSSSSSLPSDAEGRTDSRS QQQKEDEQEGAEGRGPGRHESFIPDGHDDQRPIHDSPVEELSSYPSVPQPRANGQREG SGTSERMIRIDDSAKGSRDRERERDETELMSRSPSAKRQLTDELGRSPVENPGMKEEE VPGPRNEIALGPRITHIHYHEEREPTVDGIHHGPILKVTSDGEAELPPGQTPQASRRP SASQEYRSTSSNDLHAYENGMGNTISDGTWRNALQSSRQKKKGKTPASSRFSSFREDG ITTGESDAEGNHGDNEAPDTPTDAAQKRWSMLRHRVLPSKTSVNGPTPNKVSALAPTV IASVPVTTELFAGQLPVMILKTWIDRDENGKKAVPVLLGNLRFRVGDSVGLKQGKATG KEMFKVECEYGDGAVKWVIYRELRDFLSLHAHYKAANFGTSVAGLRSTRHVEIPDFPR MSVPFFNKFDKQAHGKEKERESKEAKETKEQMKISKNMGKAEYAQASRDALQRYLVDL IRAVIFRPESNRLCKFFELSALTLSLAPRGGFQGKAGFLMIPGSNASRRANQPGLMPA SWKASREPKWFIVRDSYCVATDGPETTDLYEVFLFDPDFTIIRPKRYYRTGMGILHPH KKDREDDEIANHVDADNPFTKEVMIASGEGKGSKAQNLQDETEHEASQHTFYIVNSQI KLKLVAKNARQMHQYIVSMERIAAQCAWTKKNRFDSFAPLRVNVAAQWLVDGRDYFWN LSRAINMAKDRIYIHDWWISPELYLRRPGNERYRLDNLLKRKAEEGVKIFIIIYNEVS DKTTPVDSTYTKRSLMNLHPNILVQRSPSHFQTGTFYWSHHEKLCVIDETIAFMGGLD LCYGRWDTPQHVLLDEKHTADDGPDGPVWRGKDYSNERVMEYANLDKPFEDMFDRTKI PRMPWHDTALQIVGQPARDLCRHFVQRWNLLIRTKNHTRRMPFLLPPADFTDRELQDL KLQGTCEVQICRSVGPWSMGTQTKIEHSIQNAYCKSIETSEHFVYIENQFFITSTVVD GVRVENGIGDALVNRIIRAHKESDAWRACIVIPLLPGYTYPLDSNEASSVRLILECQN RTIARGTSSIFSRLRKEGIDPDDYITFFSLRGWGKFDSGVLTTEQVYIHGKTMIVDDR LVLTGSANINERSQRGDRDSELLAVVRDTDMIDGTMAGRPYKVGRYAHTLRVRLMREH IGVDVDGIDEEQLMSREPMADADDIETWDPDHEQQSDDDDRGGTTQIKRRLATDRMIG TFQNGVSSVTKGMSENAISNVKRAADKVLHPIAVAKSGETVALNDGGANPSEREDFNP HGKIDKGFASSMVPTLEEKTIYERRPDQSHANGKPLFDHIDERGEDGQVGQAKSGKGD DEPGEAEVPTETKKSGLIVDSDGAKVSGVPRLRGKVSDTELYGTPANVPGDNDKIPVH DTDRTVENEDEEAIDEMNAAVKARKTLRKHLSAKVQMSPWSMATPTPQINPARFHDPL DGSFWKDVWVASAVHNTEIFRKVFRCIPDDLVTSWAQYKAFANHAEKFNKAPEEVAAN GQDEPVKVTHDGPGTHGAGGGGSGGGQIGSHGDESSGSKAETSDADVVDGKPRDVPHP HHPHVSRPGHHSEGSKSSFRGGESKRETEREKKASGPDEGWAEWEKEEMELLLNEVKG HLVIYPNRFLEGEDLANNFLFNSDKILPLPIFD L198_04501 MARQQTSVEIKSDIEAQYSTFAPIPDSSAPIEKLEEKTIPEGDA PAPAVPATAGDSTAPDGGYGWVIVACYACCNAGTWGVNTTYGVYSSYYLANNYFSGGS TLRYAWVGGLSVAVALTMGPVSNYLTTKFGFRIPYALGALCVVLGQCMAGICTSFGTF LVCQGVIFGLGLGLTMIPVQPLMAHWFHRRLALTQGIAACGSGAGGLLMANTTRVIIE KFSVKWALIVNGLISAALLTPGLFLFKGRHKQMKARQAPLEFKWLWHQGFVWVWLWGA FTIMSYFIAIYSLASFATHAIGLSQTQGAALQSILSAGQMIGRPLWGYFLDTGGRVNL SIICYIICGLSTLCIWLPSKNFGLLIFYALVQGMTGGTIWSAATPVAAKVVGVQHLAS ALSMFWLSLVIPALVGQPIAIALLNYSTDHLHRTGPESYYISIGLCGGMSIVSALLLY GSKRWLQGSWKLFQKT L198_04502 MYPSQASQTSHSPRTCANPKITSSKTAPAHKFYVDASVPVNDSV FDLAAFEKFLHDRIKVDGKPGQLGDVVSVQKEGAKIVLTSSIPFSKRYLKYLTKKHLK KNSFENFLRVVATSKDTYSLKYFKVDQDEAEDEELA L198_04503 MSEQETATAPIPVVPVTASAVAPAWPELTPEHPLLQFHSRLATI LSTADHSQIWGVTLSAATPPQFSTLLILQKYLRSVSGDVDAAAAALEKTLQWRKEFGL DKPEGEKREEFGSELDGLGYVTKVKTSEGKDEVVTWNVYGAVKDFKATFGDLDRFLKW RVHLMEETIAHLHLSITSTPIPDFSLTSPQADPHRIAQVHLYEGVSFLRMDPHVKAAS KATIELMTAHYPELLSRKFFVGVPLVMSWMFQAVRMFVSAETAKKFVVISYKTNLAAE LGEKDGVPVDCGGTGPALAELQTALVKADPVQV L198_04504 MSGSDYWQNMPQDGADDACVNPSDLSLEPALDTDGEAPEIDLEA SKQQSTPYASLCASHPGESNLPRDNPFAQRTGKGWLKSHCGRSQTCVSSLIEAGDLDE TDQEIIDDVRGQMVDERKRKAGHKRR L198_04505 MSHDEHRRGSTLKESIGKQIDFLFQDTNHLIDGSSPPELTSVPA FSSDPKDPDSSFLHMEDSDDDNEDGSAAPLAHLPSPPPSPPKVIPTSKAECFVLQPVP EHMKFRKRKRLALGMEVAPRPRVSSRASSCSKIASWDIVDANDEHFVTLDMDDEDPSS PSSYNSDFTDSVFRLSPRMTWGPRPALSATCAPSTASTLEHPHSPTSVTDIIPHRPRG LARLRSTLPTHALKSFQCILAASDVLSKFCPDDMPAGSFYGFFDEDEWAWAFGDVNEE SCKGAGRWDIRSGSTEKSRVGTYFAEPWLRTEFTNYPDHLSQLCHDHHTQVYQAHVSP ADAQAVSPQTERVQTEVIASQAHVDRMTQELVGLLERARHYEKGAESDYDEDEEEESC LWEWEREAPVAWGCEREAPAVVWAFEKEAPVARAESECLGVDDLDEQKERKRLIDEKD Q L198_04506 MPRLRASRLTFCLALVVLITYLYFYISNNNQGEDLESIGHDGIP RRHSSSLLNKGRSPHRSLANWWSQSIETEPVQVLEFTGDGLVKGWDSTYERLQQGDLT RAEKKQLQQAMEVHPILELMARSQEKWETLLASQSKTFPQAVTEYTRRYGRQPPRGFD QWWQYCKRNKVKIVDDYDQINRDIEPYFALSPEMFRKRVDDLTKTEHTSHITLSPTTA SSLYGERAHSSRARWLFELLEPIAQYLPGEVTLSLSDHDLGSWLLGDDQKQAALEAIE EGRYLTEEEIKGFEKKDGRVSVKGTVSACPPGSPGWQRGVAKREGREIERPNKETSFI HDPLLTYDFCYNTDLLDDHGALSWDFVRDTTLRPIFQLSKNARNPEFLTTPLEAYENF TDPIAQKKYSPWEEKTINKLFWRGSSTGDSYSKRPDGHTWRQSHRPRLALMTQETEGV KDVWVQKGKIWEKESWSVAKLNEAYMDVGLTGQAHQCKKEDGTCDEMNQVIEFKARVL PEDSAKYKFQYDIDGNGWSSRFHRLIMSGSVVVKSTIYPEWFSDWLTPWVHYVPSKVD NSDLYDIMVFFVGTPDGSSPGHDDLARSIAEQARKFGEEHWRWEDMQAYMFRLMLEYS RLSAEDREDWSYQKRYD L198_04507 MSQAAILLPGQPLPSNLTAPPLPKAGRGCYEHNGQILASVVGRP RRVGAVVSVVGREEAVGIVDVDAIVSHPVHRLTPQQAHLTLTTLADRALPESSEEFTG VIRLTDIRLTERDKIKVGECFRLGDIVKAKILSLGDARSYYLTTAANELGVVYAKSEA GNPLVPVSYQEMEDEVTGKKEKRKVAKPEGI L198_04508 MPHQTRDPAALLLQERLMRHQRQSTESLIQSDEKCRQRIVSDWR AIEGEISRLAGIGLLDSATRSLACAVAQYTKVLCRNLAIFASPDPKVEEMVEKIGTLV ISHTSNKRRHEDHEPRPKRSCHRTSEPPATSFECDPNPVLTSKPPPPSGDHSVVRLWF LNNLAYPYPTASQKEKLAVAAGIARGKVDSDLTNFRRRAGWTDILNKWADGNREKMRK LMDRVASGKEKRQEVLKAVEKCQDYLTERETKRIGDWVNEIAKATASEPASSAASKAS TASTKPRVESISSSTNDALRSSTPRSFSGSSAAFSDVSDASVLVPISSAPLKRGVPDE SISPFKRARNISSSSSSSEVDRKGWTSFFPRQQMVATPDFESSGFHIGSAVNPDYSSR KRHTLPQHQLYPMPPPAYSAAPPPSAPLPESWALPQEWEFNPEIQWGEGQ L198_04509 MDSNSYLEGVAATADQIMADCSSVVPIPSKPITTSGLQPRFAIP DLGSISNRANLSWLSPHLQQTVYQRIRVTLQSLRDNMLKTFRSTASGLLSQELLGGLN DREIESRLAQVFEEEYRRESDKLLNGVAHMVFRLEGQLVGSSTVISRKGSTFSTDALN TLESAYERCPVLSPAETNLVASAAGITSQQVRTWFQNKRNRGKKLGGGKPAKPSSGPR LVASLPKRVPRQPSCSYSASSEPLNLINDTPTRRPVRDLPRRAHSQRRTSFDPSFEIT PEPSLVDGGSNSVFSPATLSPGSSCDSIASNGGFISPFSMHMAQQQGQDGGRQETQIN ICWDQGVLNVPFEALKSSEGVPNFEFVPPTPSQNNWGSFDAITPDALSFPGNFGMDDL SQMSYGGQFDDASLGLDSIEYILNEALSDPTAFGGLTLSGSPQFSESSALTPGSEAGA SEASSTTFVSNGVRGGDGLDGDFFSVLDGMISAPSPDGEQACPIFSPQDRSVSSASSS SALSFTSSSTAPTSVSSSSALKQENQYQHNQYTKGLDLFVPVQHQQQPRQGSGNFDMG SVGNEIGHAWQGQGDFGAQPQQGQKQDQSSWGWTSGLLPFDSTPSPSDEHTPNPPFLN FPNSPNTPPFSQHTPTPSCLN L198_04510 MLLPSLLPLFALLPSFTPTPTLVGAYDTAIHPEFQQGLAINDVP SHRRLHWMRVANEAVYADGHPCPQAPFGSAVVNTTGDELVCVISNRVGVTGNPAMHGE ISAITHCTDVLTKKGWTPQEILGAWKEFSLYTNGEPCPMCASAIRWAGFKEVIYGSSI RTIAEHGRNQIYIPSSEVWEKSYSLGHATLMLGNVLTNETDVFFAHQFNESAPCPVGC ERQPVPGKRVQACTPVNNWEEVIAKAGVGLALGQSKVQGRHDEL L198_04511 MSNIEPESSFDKSKGITSGVQVLPSYEDADDQVLGPLPTSRKNK AKQVLKSLVTKDGWLGDYDYAALMIPYIPFVTKKQNKELPFYTVNERLPHLLLFILGL QHALAMVGGLVTPPLLLAGPAGANLGTESQLYLVSACLIWCGVGTAIQVSRWKIPKTG YFFGTGLISVTGTSFAFANIALAWLSQAYADGTCSYDTDGVTKLPCPEEFGAILGTAT LTGIFAIALSFVPPRAIRKAFPPLITGTMLMFIGASLIKSGVNNWAGGSGVTCATDHT VKCTAGTREEYWGSATFIGLGFSCFAVIIVCELFGSAFMKSASVFFGLIIGMIIAAAT GFFDKETITSAPAGNFLWVQTWHLSLRGQLVLPMIAAWAVIVAETIGNVTASSDVSRM PISGGEFESRIQGGVLADAVMATVAGLATVPPLTTFSQNSGVIALTRNASRSSGYMCA FILFLMGIIGKFGAIFCAAPASVIGGFTTFLFGAVATSGVRVLAYARWTRRDRFIATV GMGLGMASLCVPDWFSYFFTYTGDNAGKAGLIQAVILIVEEPYLISALVMIILNLCIA DELPEEVAKEEEGTTTSSEEEKREWNEPNVRRTESRVVREEAGPSGSGSGSGSGSGSG SSSGSGSRREPEAEFIEMR L198_04512 MSSPPLSPASTRNSFSTAREDNFTSAPQSFGAESHAEEEGGGES TPTRRLQVDTSHQPSSAVDDVASEGGHTPRSPMDFRSGPTPDWDYEAAKPKKAQRMSF VYGTTAGTSSQLPEESAPPASQAGDALPTGDGRRMPEVQRNWSDEVLVGRAPSVSRQS SLASGLVSASEEDDSGSDYAGETRRSVYVPYQSATRSSEGGEGQSQTGEGRRTRRGKM RKYISSCFTGGD L198_04513 MSSAPETNPPMELSQVSDTETGYQPEETPTVPEQTRDQGEDHHP CSTTSPAPTDIAALTDEWWIQHELPKNPVFPSPTTRSEW L198_04514 MSAPAWENQAPRVHPQPHPFPPPPHPIYHPPSQHPTAQWHPAPA TRKMHPPPDPSCTPGAPIPLPLPHPSHDFPTLNTVDAFPRKGEPEDDLAGIEALDPAL YDTPPATAMEVVPQHARGRSQSVASMKEGEEGSTALTFFPNPTQPPIQLKGARKSGLL PAPAGCKLEVNQLLILRPPQASASQSFDGQTSKWEMYTCRVCSKTYDGKNARSVARRH LQDKHGVPLSLQARRSRWDYAEPKEKNTPELREITLKSKRDWAVKHRQQSKIEKTHAE FLEQFGPRGLSATHGIILIAPRFRGPSGALEAGDSPFIDGINGSIVIPEEILTAVSIL RANEKRLKSESAPTMPLRASTPPDRLMSALTVSPKSPQRFPQPPQSTHHEIYQHQLDH LRRQHATRPFGFADVPMADRHPGGYGPPTRGVQGVMVGQGQMSMPVYQRAAGEGVSGQ SVEAVAFASAHPETRAPPQQAPQPQVLEPAPEIVSDQDESSRGASKDSMDIEAEVAAE SLLNLSTPIRAPEDEDPPTADPATRPAPPAWKLLDAPSIGPATKPRPARSKSSLQPFR DPRPEVTRSLSFEQTPGMDDDPFGFGETPDRPTSSATGSSRTRAVTSSRASRKNMPSP SPLSASTRKRKAPPSSPFAPPSKTQGQAEAISRPALRPLSTSFSNSNRGFTTLTATPI RSAISTTTPHLRAPFSHTKNWLLSSPSKQGDDDGAASLGLVPTHLAPATPGMMRGIIG VETPDHWGVEVGGKEGSVAGAGAGAGAGAGAGVKGKENGGETGLGTGKVKRKKVVLDT PKR L198_04515 MALWQIPFDMPTLLNSTSPLNSTSPLPSNTTQHATTPTPTGHIL FTPRYHYYASRYSWLWILSAISILVMLHLLRLLRHHHRRQRTRLRREVRGYKAVAGDE DDRHTSDPSSSPASGTGMRKRAGWVTRQIRAVGAGWRNVMYLSSFPAWLYMPETVADA VWTLLYMGVYLFFGLSKTSSWFPMRNDNVANQFGVMSFSQLPLILLLVSKNNPISSLT GITYQKLNYLHRASSRLCLLTSWVHALLWTPRVWAAGDTRPYLLFGIAALTGFTMLWI TSFRIIRRVAYEFFLVAHILFSIMYLVGALFHWKWLHYWVWPALLIWGVDRAIRFGRF VYINGFLLFAFGSRKSGERRAGEGIGEGEGGDGEEEEEEEEEGGGGGGGGGRGGRGRC KVELLDHDVMRITINRQNWSWKAGQHAFISAPSISLSPHESHPFSIANVPTPSSNDAI FLIRIHSGFTKRLRLALGGDVERGVRMYVEGPYGYAHSLDGYEVVVLLAGGTGVTFGS GHMLQILQNAKEGASAVKHLHLVWHIRHPEDIEWLAPLLNLAASLSGQSEIDLRVDVY VTKSHASDEPLPPNGISERLAAMAPDYLRERFDEARIPLTPFGAEPGTPGTMGGGESR DETILLPKPLPPMMGGTREMGRVGRFGLTGEAASLVKWHRGRADLGSIVEEDARACEG AMNVSGKSLLLM L198_04516 MSSKTGTDFWSPTYWSTRFTTETNFEWLVPACTLVPIVKDVVRE LPAPPRIWNYFENGVEDGEEGFCGVGGEGGEGGEEVGGEEEEGEGEGEEEEEVVNILH LGSGTSILGTQLQTYLSSPSPYSSPPSPPPSPPSPFPSPSPPPPKTQASSIPKCQVYD ADYVPLPSSTAQEEGRVPFLLVDALDLGSLYASLPLSKGRGVVGGGGWAGGEKGEGGG RSGKGGGRGRGRGKRKWDMVLDKSTIDAISTGPLLPPPPSPSSSPSSQKAPSAELGEG EEELPADPAERTLLNVGRVVRKGGRWVSVSYSSTRYDFLPFCPSPSPSSPATSSAPTS LPKSNDKEKYGWRVIRKEIVAMTSLPEGRLVRDGRGERVVYEPETGIWLYVLERV L198_04517 MADRRASRGYYDPVRGGSKSLSPRASLNTLPIPAPEPSPPSRSS RISMSALMNDDPAPVQGVSPLPSAPAPAPAPSNSGSSGRNGYPAYHPAPTPDDRYVER SYSQGHSQPLSPPPGQGYRYTSPGLGRRSPLLAGGTGHVRPTSIPTDDSSYPPSTHPP PALSSSSTSRARSRSQSQSQSQSTHPHPDLHDPDPERRHSSIAVARMQEESLAFERAK RVVEEEEEEMYRRKREREYAEREHVPHLPQHREVYDRAYPEPGAEPRTSELDARRTSE PYVRPSSEVRERYERERDVLPLSTAPSAASPPRTTSHSYSTHPHPHTSYPPPPPHSHS LAQHPDRPRPAYAKALRPNDLLMGNEDIWENTLIHYQTRREIQVHALADWADTYQAQP SGLGLDEHALPQWQGQVQNVRKGAEGTPKKRKYGKGKQGAGKGGLDEELLGLASSPPG SPSQTSDKPLNGHIDPAHPPSPSTLVWPSGLTRAEVIAKCMANDVSGLSEDDVKAVQD EIWMKEKIAKAESGAGEALPTNKDGTIRKKPGPAKGWKKIRGIEKKPDPPKARSRTEG SVAGSLVDDGEEGGLEKGKKVKRRKLDERTESPHFGYGEDGEHPPSESMHDEQEDLSR AGSVGASSAMNGSEAAPASVPAKKKAAKTKEPGVGKGRWTRPSKPEKELVKKAEALAG KSKTPLMVQDEALPPFSLPFENEDVEDVFQYAGQGVFQQNPHGQGQVAAPNTFDPRGV TEEEARIRYELVEDLQRMTWAAIVRDVPRVYRVYQGYDQTMKQIAQRRAQACVRNGFG QKNQKTAQRVGGKASKEGAAKAKRVVKELAAFWRKNEKDEILSKKKADKEALDRAKAE EEARESKRASRKLNFLLTQTELYSHFIGKKIKTQEAEAAEGMEVPAEDGKHGFEDIAI GSDGEALPDIDYDNDDEENLRRHAARGAQAAIQAAKDRASAFDTDQAKGGAPPPEDDT SKIIVDGEELNFQNPSLGENSVTITQPKMLMAQLKEYQLKGLTWLGNLYEQGINGILA DEMGLGKTIQSISLLAYLAEHHNIWGPFLVIAPASTLHNWQQELARFVPRLKAIPYWG SPKDRETLRKLWSKKNQTFSEDSPFHILVTSYQLAVQDEKYLQGQKWQYMILDEAQAI KSSSSARWKSLLSLRCRNRLLLTGTPIQNSMHELWALLHFIMPQLFDSHEEFTEWFSK DIESSSGGVTGTLKPEQLKRLHMILKPFMLRRVKKHVQKELGDKIEIDLLVDLSQRQR EIYRAIRQRVSITDLLATADNGSDPAAAGSKNMRSLVNLVMQFRKVCNHPDLFERADV VSPYVFGTFSRSGNLAREIDQLYLPDSGRNAIEARIPKIFWTDGGKLDVPGEENLAGS DTRVLHNLMNIWTPEWISERVKVSNSEFGWVKLLGESPWDVSRRAKSPLLAQLVEGAA EEKRWLDESRFVDDVDFAASAKKGLKVRPMLPSIDLPGSPSLHEIALTAWDASYLSRG DAKVGDRVITTPIIPDVSNRSFVNTQERLLNQPLVNTALYGLAPSELHNPAAAQRFSR LLPGGPIAGLIRHSSPSQTPVSPLRIPPTKRLIVDSAKLSRLDSLLRELKAGGHRVLL YFQMTKMMDLVEEYLIFRQYKYLRLDGSSPIAERRDMVTSWQTNPDIFVFCLSTRAGG LGINLTAADTVVFYDHDWNPSSDAQAMDRAHRVGQTKQVTVYRLVARGTIEERILKMA RGKKDVQDVVVGTKSVSDVAKASEIASLFMDDEELAESVAKRKQAEAHGYVQPSLIAN GGGRGKGFGDGLDLDDGDADDGFFNAAAAARANGEEEEGLGEEGGKPKGKGKGKAAGG GGALGPVDGSGKRSHKRGMGKKAQAAAAADSLDKALSKTVSPSSSKPPPKKKVKIALG PDGLPM L198_04518 MTDKPGPTKDLLLPAAPIVSYQAADVNNHLLPPISAWFPYLPSV APLLQHHPPPCPSNGSDMLENHPGPSFNVLALTFDSPNYERADVIRGEDRAGREPSIE VSPRDTSKLGGGWDWGAALEVITVSAAPALPLLHPRLSPTPLTTNNLHP L198_04519 MQDIGDASPQTPESVASWIRSFPLDPLEYEIETTGKSTRKSASK TYCIWGDFDEKGIRVVYDQTLNGRISASLRSQQLPLREGVVTQNMKICLEMGRHDARS TTSSFFNRSTDAINAGLPSHLRGKWKPCSGEESGGLYWVFMRNGVVIAIIKVKICTDL TTSGEGPVPQTDDRDHPSTEKVGMELCPQGDTAGRGGAQNNASHSDQSQADKREVSDS DKVPDNKDKLDEEISVHPPLKLERLLMACRQEGGLKLILASRTGKDGKVRPALRIVDQ QGTIVPEMMYWAMELSELWEQLDRYNLDLAILTSYEVWIPFERDPKIKNLLRMGEPIY RTEPETAPEPGKMSPMELAVASVIERERAPPLGYTLPPLPSGAGASGSRDTSSVPTKH GYDQVDGTGGAGSDNAIAGDANIARDQLVPVALQVSVPNHRSPDALHVSKQGNLNDGY ITGRLSPSVIASLTNDDSNHSGLPTSEPKADLALGSYISSGRLWDVYRSVLTYYKGVF EDSKEAVAAYRLEAALYSGPLASLQGGAVSASYGSFSGAMCLGRLSGGYPLHIELMED VGGPAAGEGKLQDLPLQDRQAIRDLYHQLHAVRVLHRDIEPRHILRRADGRFALIDFD SSRWVKDGLEGDRRLASEGRGVAAMLGLKKHAAGKA L198_04520 MLARKALASAQSACPRCVSRTRAFTTTPLLSRQVGKSNKPWDAS SSQRKGPNSFRNDARPGDRRPPFRPFRQAREPLQMTAEHFRPKPPPKATQVARILIER LPEWAHSDRAFEALAVYGIAPDIAKRIATTWFKLAKKGLGTATTEDEALSALAAGGWD APDLIAALHEDRFAQALESSALRHYLTYALATPHLTPVQKSHISLILNTTDISRIAYT EYTIARAVKRHFHLHIGPTNSGKTYNALKALSNASSGAYAGPLRLLAHEVWERMNLGS VGGLDGKGRSCNLITGEERRIVDPDSGLLSCTVEMLPLGGLDGEPFDVVVIDEIQMLG DANRGGSWAKAVLGTAAKEIHLCGDETTVELLRGMITSLGDTLTIHEYNRLTPLLVGD ASIENDYSKIEDGDCVVTFSRSSIFNLKREIESQGKKCAVVYGALPPETRAEQAKDFN DENGLCKVLVASDAVGMGLNLKIKRIIFEALSKFNGKEEVPLSLMQVKQIAGRAGRFK TGADKNIATPDEAPASGGYATTLKPADLPVLRKVLDWKLPSIARAKMDIPTSSLIELA ALLPSSLTYGDLVKHFSTLAKLPPSTVVTDPFNKLAIADVIEPYRPFLSISEIDLFSF APLSSRDEKAKEVFRNLVDDYVTLGCVTVESIYSTTDLLPTLDDVLETLQTLPPLSET AIPGLIKNKKVPPLIINALPKLETLHKSLVLYIWLSLRLEVSFPDRLVAQEFKTKCEA ALEECLQRLPGLKMKKGAQVDVAGKAWEKKAEADRLGEGEGVEWVSGAEYQKTKKARI WKDVALLEEGEGVKKRLDPGK L198_04521 MDKLQAIHLPPWAPGADLLHPLFRYTTAALPATLHEALSLVEAD KKTLWAFVAMTAFNPIFWNTVARNEYRNKTITRLVGSPLVGTYILALTIFSISAFRDH LFLNAVKDQPALAFLGHPVLQALALAFFLSGQTFVISSMWALGVTGTYLGDYFGILMT HRVTSFPFNVLSDPMYVGSFLSHLGTALWFRSPVGIALGFWVWVVYAVALKFEGPFTD NIYAAQNKRNTSSPSPTSSTFPTTPSRRSGRIAAASKSSAPNSDADSDNDRASTTATA TPGRRVSRRKSGLKSEIGATPARVTRSRSRGAITSGEE L198_04522 MPNTIPANAVSDEAAPPPTPAEIERKLSIRSIAPPSRSVDSPKK PRKLSHTLQQNPHVLSGNESDSSIASSIPGLASPNLSVHPTSPLLTPATTSVGGLSAI AERKSGGDEEIDSMMDLEDVEEEEEEGAESGSEDGEGQAELERRMEGERVIKSGYLWK KQEKRRAWKKRWFVLRTGKLAYYKDNKEYSLKKVVDLTQIHTVAPVDMKKHNNAFGIV VPKRTYFVKASTTSEVEEWVHDINEMRRRLSEKEEEERGKREKGESGNYAPKATSMPI PAPRMTPSGLHAIDTISPTNTSSYFADRTVSSPQPMSSTAQTASSVFSPSSPIDHNLT TQFAKLSTGRSPSAQSALGQSNSRVPSGISSAARGASGSTNREPSASSVSSAGRAGNL SAVMAAPPVSSEDEDEVEGSETPLAVIDPTKVILQTYLMKQSRRRKDVWRKRYFTLTS AGLAYAKSHMDKHTQNIIPLTSILDALEVVEDSSASDGNDSDRLSFHGHTHPSHHPQS PASRPFMRGRLGSSTVSTEIHKKPSSSHQTHQHQRKRNPEEHVFRVITAKRTYHLCAP SEEDEIKWLAAVKALLERQRQERAGWSGFGISSPFAGSGPGIGAGGGAAAVGGVPQRK LSLPFITQQPPTPAGPSPLSLSPSIVSTSAGPSSPSGETPAAATSAGPPALATSFGQH GQQERGQAGQGPAGQGQGQMGQEVGVPTMMPPPAAASGTASGSGSGSGSGSGTATTAQ RTRSATYTAKSAVADVVRKFHPERERGE L198_04523 MASEATKGKLTGRVGIVGTGHRARLYTQAVADRPNVTVVALCDT NEARMDWHNKILLEAGEPEANKYPAEQFVKMLKEEKLDILVVTTIDYTHDAYIIPAIE AGIKVLTEKPMTTTVEKSKRILASTKLPNAGSLTVLFNYRYNPVHWKVAETLSKPPSE GGIGEIKSVHFEWLLDSVHGADYFRRWHRYKDMSGGLMVHKASHHFDLVNFWIQSTPA SVFGLGSLGFYGKENGQKSGWARTYDRALDAKEAEDDPFAIHLEDEEGLKGLYVDAEH LDGYHRDMNVFADDITIEDDMSVLVHYDSGVNMTYHLTAYSPWEGYRVMFNGTHGRLE LEVIENAFRLPIPKGSNASEHVHGTAALPNEGHSKITLHPLWQQARDVPFEVGEGGHG GGDEAMLDEAFGPRKGQKERRCPVNGLGADERDGALAMAVGLAANESFKTGKQVFIKD MLGDVL L198_04524 MEDHETPEDLKDPTYNGRSASKIERRTRLEDEIDEACEWLEDEP PIHKVVESFYKRFLQLNVNLRAAIGWKTEILKQKGCRFRFGDFEIGGPQKKASLCELR EKFKGLRFPDMVFLAHDMHSDSEFPTIIPDISSPDLSLSSQIPPPDQKLTRQLHVSPD QHYTCFAPLIDKVKAKDEGDEVLNFVYGLHLLIGYVACACDTCASRLGVYHHYGNKIG RLLCLDNCLSQRGLFDESVALKLSTLSSEAPGQDHWEHQQGWAKEDTGARFRRVEKEK GGEEDDERCGDGDDRQGETDKEQRSRRTTGPGEHDGLEDEESDYDSSDDRLDAYIIEE RIAKEEAETVEAVIDQYMERFKKEYVLVSLGGFGSVVGEVRGREREDG L198_04525 MSYQAVPLNDPSQDPAPPPLPPKQPFPSSPYQALPQSTARPSSR PTGSSPSSIPRVPVPSSNRRYGPSASSQGSSHESYQPGPRGASMPHNGRDPRRDSQAS SSALLGAQGKGGAGAGVADPAGWGAMTGGPEDDDWMHNEDPAHDKRYNRFDAFSSRGI ANVGCLFIGALGLIALFAGYPIITFYTQKHQSTNGAYNLGGINSTGQIPLISNFPSPI DEDTPSDVYTRTGFDGEEYSLVFSDEFNRDGRTFFPGDDPYWTAVDIHYWPTGDFEWY DPGQITTRDGALVITNNQEPIHDLNYKSGMLQSWNQMCFQYSVYIEVAVSLPGTNRVG GFWPGVWMMGNLGRPGYGATTEGTWPYTYDSCDSGTLVNQTNAAGTGPTLALTSGAND GPISFLPGQRVSACTCEGEDHPGPTVKHGRGVPELDILEAQIDLDIPQGQVSQSCQIA PFDPSYEYLNTSEGAIQYDTDLTSWNSYKGGTYQEAVSSLTYIDNANYVETRGGFGIY GFEYYTNPDDRENGHITWVANGQRSWTMYPASVGPVEDMDIGQRLITEEPMAMIINFG MSNNFQAVDFANLVTPAEMLVDYVRVWQRSDGYMGCDPPDRPTATYIENHLAAYSNPN FTTWEEAGYTWPKNSLIDGCT L198_04526 MSQPLTRRSLHPQPSQPSTYTSKVTVESDADARLRDHQSGPQSQ PLTKAAFGGRKGSAAGGSGKITKREWIMVGGLVVIGMYARLWKIATPSSVVFDEVHFG GFAMKYIRRKFFMDVHPPLAKLLITLSAWLGGFDGKFDFKDIGKDYIEPGVPYIIMRL FPALLGIALIPLTFLTLLALRLSHTTALLGALLVTFENALITQSRLILLDSYLVFFTG LTTLFWVRFANEDSEGRAFTKSWWINLFCTGLSLGAVVSCKWVGLFTIAMVGVGTIRQ LWLLLGNLKVTPRQYIRHFSARVLCLIIVPVIFYMAMFQIHFWILDMSGDGDGFMSSE FQHTLQGHGMEDTYADVGFGSKVSIRHVNTQGGYLHSHPHAYPAGSKQQQITLYPHRD DNNVWRIVNASSPDGPASFPWDDLPFEEVLTGTKIRLEHVTTEKRLHSHDIRPPVSEV EFQNEVSGYGFPGFAGDANDDFIVEITKKTRGKSDRQAKHRLRTLRSEFRLRHALSGC YLFSHKVKLPEWGYEQQEVTCNRNPTWENSLWYIETNQHAQLPYDAEKVNYQRPSFFE KFFELNAVMWRTNAGLTERHAYDSRPQHWPWLRRGINFWVKDHKQVYLIGNPVVWWSS TAAIAAYLAVRAFLVLRAQRGYRDLHQPKTAFYDEICAFCIIGWGLHYFPFFLMQRQL FLHHYLPSLYFAVLLLCTVFDYLTSTLKPKVRLNVAAVFLLLALWAWNHWSSLAYATE WTKHGCENGKWLKTWDFSCADFHDNLAMYQSTPAMSSVKIGGDLGPDSATTTLVEEVP EPIQNAFEKEAEPVEEKTLAPVGPVPEVQMEESSAVFIAEGEEAPKQEDLRAPVGNDA GDPAVTEKDEAGGWHGGAEDEEKGQEEEEKVKIKEAEAPVGAKVEVKEMEMDAEQQEL VDQILEQQD L198_04527 MSTPPFLPLVDPTANALLPSLALIAPLLIPATLLNVVLHDLPTT ATYYVQAEKYDGDLITLLDNGAQRIVVSPSQLEALNGAIPKERLILKLSEEELASKKS LAEQVAGLYIVSFTAHTAKSLGLSVDVFLQHPSPNVSELEELIRSARPSSYVLPTEIL ANHATTTSTHLSIPAAFLAPILSDRPDGLFPSIVASANHSTKPLGLVYSSRESVAEAI LTQKGVYQSRKHGLWRKGETSGAVQQLVGLKLDCDADALVFEVVQHGSGFCHLPQTTC FGGLNGVAKLQDTLQARLESAPEGSYTKRLFTDEKLLRSKIMEEAEELCDAESKDEVA FEAADLIYFALTRCISKGVSFTDVEKALDKKSLKVTRRKGDAKPKWENAGEKVVENKE VKSTVPEPSKLPASDEPIRMRTAVLSELPAEEQKKLLLRPVLNSLAMIDKVKPIVERV RKEGDAGLHALTAQFDKADLSSNVQLPPFATPGEDVLPADVREAIDVAYQNVKTFHVA QNEKAPLVVETMPGVTCSRFARPIARVGLYVPGGTAILPSTAIMLGVPAQVAGCKTIV MATPPRPDGTISPEVLYAAKLVGVTCILKAGGAQAVGAMAYGTDEVPKVDKIFGPGNQ WVTAAKMLVQNDTEALVAIDMPAGPSEVLVIADHTANPIFVASDLLSQAEHGVDSQVV LVGINLSPSLLKAIEEQIETQANALPRVAIAREAVKKSLIVLVDSQEKAIEFSNEYAP EHLILHLEDAPGAVEFIENAGSVFVGAFSPESCGDYASGTNHTLPTNGFARQFSGVNT LSFQKHITSQFVTGDGLRVLGPTVVRLAEREGLEAHANAVRVRLAELSK L198_04528 MEYETITSGRAKRSTAGNRMRELLEKAHQEDDDELFQEVEDDEE FVAPVDQRDVYLDEFADTDDEGSGDDEERALQREERHVKNKEKGKSKALYDPLAKQPK KKKKTAIEGAGEFKQSDLDMLRAEGIDPATMAPSTLTLEIRKRRREMKRQGRSEVRRS NLRASTIKNEAEIIEREKLSLLALEQPGRKGRKSQHTTGIPQPHKRKFTQDELIAEAL EEEERNKEALRDWLKKEDEKRMLRKVGRKRVKGPRWTWVSRTVGKLVDEVPEAAKDVE DDPPEGEPSEPQEASKAVTTEAPKAEPEKPPVPILSPSENAPSQYTRNYIILSQIPGG LPAEFGLVLGDHVAWDELKVIPARNRPINRIPPLCPFTGLPARYRHPLTLTPYANAEG YAAIQDLLAERYVWNGEGMCWMGGEEEQWADGMEEVEGWAEAVGLGWRGGEELVKREV ELNGKKRGREGEPAPKRGRGRRR L198_04529 MSSREPEIIIIGAGIVGTALAYSLSHTGRKILLIERDLAEPDRI VGELLQPGGVAALVKLGMQDVLEGIDASPVEGYCVCNGKERVGIPYPVLEEMARADAS KGPEIRESEEAGVRTKKWHVDSASGLKEGRSFHHGRLISALRRKCLDDAPNVTVLEAT VRDLLFCEHTNKVIGVSAAPKGANAQKHYAPLTIVADGCFSKFRLTPGTRTRVPDTRS HFVGLILNDCELPMKHYGTVCLTPSGPVLLYQIGDKAGDIRMLVDVKGKLPSVADGSL KNYLIDNYLPHIPVELRDPLLNALSAQRIRSMPNSYLPPSIQGLENSLQGAVLVGDAY NMRHPLTGGGMTVAFNDAILLTEYLRPGGVLRGRPAEEGLAEGREGLEDWEKVAERLR EWFWERKQVSSVVNVLSMALYSLFGGSDKSDLAILREGCFSYFELGGDCVAGPVGLLS ALTPRPMVLFYHFFNVAFYSIYLLLKKGPPNRRNGNVFAAIVMLPINLLLSLRVFWSA CVVLLPFMITEFRA L198_04530 MPAARSFLERARARRERPAPVPPRPTKYHIEKPEWLMKRSADGG VPCHFCPATFPTVAKAKFHMVRGSGHLSDRVKWPINTREYYLWMAKHGVSDPAEVMSL AYFCKLNRPLREEAGVDYAVQWAEKVTAWIDEYNRLFRPWSADLVSSRPRDPLSVASR FLHKDYPLQCKGLWSTRVKLLPQYPALGRSSSILTAACVIYEALVTWAEDSQDVTNQH REVVTLARKGFNHLGNTQGQSPHGWMLLRQALQKVPEQIKDAVQRKIKPIGQGPLAMN EKAKGFSAPMAARIREDWEEWGRTLWKEWWSEMDVLGASEVKALASSRPDKEFTMNKR AAEAGYARAYDSWRSKPAQEKDEWRIEMEKIERRRKKKRSEVEEEENGPAAPTPVRQE GGDDEAEDDEGGVYLSPGFTGI L198_04531 MKFMGVPPPWRTSLQSLPGPSLAFRHPSSFRGPSLARLLSANMA RWERWAPEEVAFKLQQLATTAAASGCNYFSGLGLTFLLPTPMEIDVLHLLSLP L198_04532 MNAQDPLGEPFAFWELVKPQRPSPECSDCGKLFSSMKWKIVQHL WADHGVSTAGETNTTNFCHWCREYFVNPHRWEDHCASHHQKVVQPMVEMAQCSAPGDE NLKWPHLIEFESSVCSNRGSARFASSRSQLCQVTRSSCGNEGFCVKADPAQIRQILEP RERHWDAHGFDRARRIKDLATDPTLPYQAVQWRLLYKDYFGGRA L198_04533 MFMDGGDRYGLSACNFHQLVPIPIVAPASQFRLGLRMEEPYKVE TVLQDPHSKKQDPNATVFRTVIDARLDTLLQVDATTSRLHVTNHADPSPLFARQLRTF GPLVLRAVMLVFLLHGKLGRSARLHLLALLLQGNSGSRIGDLLKTYASGYE L198_04534 MSVIAHVDHGKSTLTDSLISKAGIIANAKAGEMRFTDTRQDEID RGITIKSTAITMYFPLDPEDVKDIKQKTDGNEFLINLIDSPGHVDFSSEVTAALRVTD GALVVVDCVEGVCVQTETVLRQSLTERVKPVLIINKVDRALLELQVSKEDLYQSFCRT IESVNVIISTYTDEALGDSQVYPEQGTVAFGSGLHGWAFSLRQFAGRYAKKFGVDKSK LMPKLWGDNFFNAKTKKWSKSADNGGERAFNMFVLDPIFRLFDAIMNFKKEEIPTLLE KLEIKLNNEEKELEGKQLLKVVMKKFLPAGDSLLEMIVINLPSPVTAQRYRVETLYEG PMDDESAIGIRDCDPKGPLMVYVSKMVPTSDKGRFYAFGRVFSGTVSSGPKVRIQGPN FVPGKKEDSVIKSIQRTVLMMGRSTEAIEDCPAGNIIGLVGVDQFLLKSGTLTTSETA HNMKVMKFSVSPVVQVAVECKNAADLPKLVEGLKRLSKSDPCVKVMMGDSGEIIVAGA GELHLEICINDLENDHAGIPLRKSDPVVGYRETVTAESSMIALSKSQNKHNRLYVKAE PLGEELTKDIEEGKVAPRDDPKTRARYLADTYGWDVTDARKIWCFGPDTTGPNVFADG SKAVQYMNEIKDSCVAAFQWATKEGGIAEEPMRGIRYNILDCTLHADAIHRGGGQIIP TARRVCYAAQLLASPAFQEPMFLVEIAVPESAQGGVYSCLNVRRGHVFSAEQRPGTPM YTLKAYLPVSESFGFNADLRAATGGQAFPQAVSLQLSADPTEVGSKTNLLAVQIRTRK GLKPDVPPLDYYYDKL L198_04535 MPKSAPSKSHGALQTRVNKGGSPPKTPPTNTARSAPSKSLLTGK PYSAPQTKADKRERPPKPSPTNTLALRSHPEGDVPHSSELSPPPPPPSSPPPAPKRDP EDLYEEATEVDTPPSLTAESSKGTSNSQKKKKEKQESKRRRLSKEATLIFSSQVFQPS PGGGDSEVTLDDKSFPAGQTSEKTVNGSSVLVDRSSSSTIAVISRKPSQGLFSSSIPA PLPPSPLPRQTFDEATEIGTPPSHDAHLLHPLLDGRPSARLSPPHLPTKSARSLIPRS RTCFKFGIPTNLEECRKAWDSLWDEDVWSGRSLKTLSSARHRVLLLKVEKKQKGAVKE LGRFKVHRARLHRWLISVCRPFWTVLSGGIHSLMEPGDIGFNSVFAKAIWGNKSTELY QYLRKINSYRSDIEYISFKIHWTILKLQTRDLGWDFSTGQPKDAFKDRCLVNLLSNKK LKKLLENIIHQGACLLFYSGWSQKACLEEGAVRFKQKAVHDYLRDAFLEEGIWEQTRV DVFERQWADPERKEKVTPLLQNTNKKDPSLEQELARAIIERYKEAREVGEDVSIEKVD PGDKIHARGGRVLHPFAPAYDRFDRQNNEVDPAAAEFFKFDRNLRRHARSEGNSFMES RLPPVAEGSSQFGGRDWHHVHGVKMVTAGLVVNLPDLIETIDNAFYGLMLPKLQEARL TIPNLRQRLLSIAISLSPSDLPTKSLSVPPGIVARSGLEGISSEFLYLVPTESQKKAM VLQVATEIREVEEVEATFESKKAGFPTEADAFERNRRDLTPVDLEAGFEAAEKLFKRD CTARSGEVKKDAELVLTFLDDLYVHPSLQAPQPQASFSLSQVSSVVCRLFMRVAHHFS SRNEQIAMDFLELAFDVLFLGIHMRTPVFGGAWLDLRIAQIGDKVWDAKGVRELPAVI ARLVVDLVAVDINLSVLCARHWRVGGDPTVRLSWRSVLGDDAKNLPAIHAKFIHHLIQ DQDRICGIGHCYELLTDDPRQRLIIKGQSNPSRKSFVSVQQHVPRSNVDLGDGKPSFP SFDCAWVIGCSPYSQSVTICVSRYVQIIHTTQRKAGGKGHEGALVRSSRRFNINMTSA SEAQDRKVELVDDEDEDQVLRPGVAEGDEDEYWEAEGRGRRSMDDLEERVVVEGSSKD HQVSSLVPGSELRRQGKPEKSKRKAAPEFSTETTKPKKSRKGKEKAE L198_04536 MPPLTILPFLQSVPLATRAITALYLAVTVPALIWNALYPRDQYG ASTLHSLDWLLLVPETSWKYPWTLFTAGLVELNFINAVISAISLPLACRYLERVWGPR ELIRFCILVIVGSNIIAFGFSWLMFFVTGKEEDTIYGTPYHGLSGLQVAFLVAFTQLI PEHQVQLLGTIKVRVKTLPGIHLLISNILVILLDPSPYMLIQFGFFVGWVYLRFFKLA EGGEFRGDRSETFAFQYWFPPPVRPYISIAANKVFALATKIGLVQPWEEPAGSYGLLP GPGGAGGAGNARAEAERRRAMALKALDARLASSPSPAPAPAPAPAPAPAPSSSSTSTS NPTNPPAQAQGASSSTSTSALPTAPPAAMVAASTSATTAAASSGGGKKDGKDQA L198_04537 MLPPDPPKLSHRDIDPNEDLYDDDVDINSDTENTVELSSTEINI LIYLYLLESNFTHTAFTLLSESNLPSTTLFQHFNPSYPTPSSSSSAATSKANRLNARA KDAHHRPDSSPSAPAFGRAGGRIERGELVRKLWKAVRWEEVERHVGSGGEVVGGQCQG KFHLLVPHVCPQGFQAGGLEVSGVGEAPPPKRPEVFPAPEERERREEKEKEVEKPKTK KKARRPSSSPPPRDRDRSLSPPPKKSAQESKKEKSRKRARLSDGGDSEPAPPKTKKKE KEKEKEGGLKVPTTSAQASRATTPGESRPNSKGKEKEPKKVELGEEVSSVAWNPKNVD LLASGSNDGFVRLWEFLPPPTSSSSPPNPNPSLTLPTRPTGISHKGIESNKKTITAVA WHPDGTILATGSQDGVGRLFTPSGQLQAIMSYGRGAVNAMKWSPSGMAILVGKDDFTV CKWVDRGGSMAMKMCFDAHTKEVNDVDWLDDDVFASAGNDHTILVHYADDKRPRFTFK GHTDDVTKIKWSPLVPGKQVTERLLASVADDGSCMIWKLPYYPSGRGGSVTAGGGGGG QGQGSFGPTGRSISPVKKHDPLSDEDDYFHQSQSNTPLPGSGAGKGKGTGVDNCLHRL SVVSGSENKRMDQLEWMPANDTGRLILAAGGQDATVKLFDALSGETLHILSGLESGTG SLAFSPKAFLGLEGVKGKGKVGRLGVLAGGGWDGVARVWDVESGRVIWGMEVEEDEKK RDIRERPMMLSMGWREDGKHLACGLFNKTLYMVNVMKAIGGKEKESK L198_04538 MPGPIHPQSPLILTLTLDKASHKFLTDLRSKYFPSSRNFLTAHV TLFHAIPAHRFGELEERLDRICAEQQGWDVFIGEPVKMGNRGVMVNLRERPSNTTPRI HQQLLGFLKEGVNNEQDRLTNQDLQPMKRPHVTVLNKASDEEQVDTCLSEVTKLFEDM KQEGQATGQYKGRAVGFEL L198_04539 MPPPESLPRSDSTTPAPSTTNTPPIPNIPPRQSSNTAQGVPRSL GASFRGPSPLSAPRVEADRSASTGKEGKEEKGKGKTASPGASNENLIGAKTEPRAQHA ASALTAALGASQPSSPAVPASETLAPTPGLSRQGSSNFARPSFSRAGSGASTPKLGVS YSKTPQSGPGDSSLSNLVDVTDEEKARVLRRHLVSAEERQVNPSPSPNGSVAATPSKV DFDEPVMPGESGVTGYGSTDNTRDDADQFPIPYDAPGGDVTHDLYKWQHDHRSRPGRS ASFSHVPVDRSDLDPSLAHIKEPGGFRRNFVVNRAQEQGLEAPDMVRNVIDFLFLYGH FAGEDLNEDEDVLEEEDEEAFPAGPGSSTYARRPFPADDLEDNTARGQQVGERRPLLG STKRTMSRRRRAKSGPGQGTASVTQAVLMASFFTSLLKGFVGTGILFMGKAFFNGGIL FSAIVMVAIAMISLWSFLLLVEAYMAVPGSFGDIGGALYGNNMRYIILFSITVSQIGF VAAYTIFIAENLQAFIMAVTKCATYIPIKYLIFAQLIIFMPLSMIRNLAKLSGTALVA DAFILIGILYIGGNEISVLSKSGVADVQLFNPDSFPLLIGTAVFAFEGIGLVIPITES MKEPKKFPKLLSGIMVLVAVLFAGAGVMSYAAYGSEIQTVVIVNLPQDDKFVQAVQFL YSVAILLSSPLQLFPAVRIMENGLFSKSGKHNPSVKWQKNVFRACTVVFCSLLSWAGS SELDKFVSLIGSFACIPLCFIYPPMLHLRACADTRRARILDWTLIVFGVVVGAFTTVQ TLRSLFIPTPAGPKFGNCE L198_04540 MSKAPSGGNEAYRLKLLVVDPARLLWFTTPPKPLLRPVCITVAD GSTLVVAGSADVCLLNHEGVVVQVRDVYYVPDLRFNLLSSARLMAIGATVSLHDYAGV ASLDGATIFRLQYRFTAWILDVSKVPSPQVECPPRALQVVVAKLSPPAARSGKAAPLE IWHRRLSHLGIGDPTDFRLWHTTTVY L198_04541 MARKAAVKYRSLRSNLVNLPLSLYAQLGQQQARPQGLILHLSPL SVSSSSSSRQPKAAYLGWSGLNAAVSASQLADGLESVEVDPEVAMSYGWSEGAILEIS VIHNPTVARSVAVTPLTSDDWEILEQHASFLENNLLSQLRAAVKGQEIDVWVMGRTKI RIRVDDTDPGASNSAVLIKADTEIHVAPRPRTSQPTPAASSILPQPALRKTSLPNGAA KSEGSGRPFHLRLVPPSIAGSWGDFVPAPQALAESGDGRLAVCSPFTISQVCQSLSLP PNYQILHVVICLHELAPEKPPGPILVEEEAKPKKEVRVVLTGWEEIPDGLVAFAGKVF DWMVGWGEVLVSGAEGKIKKPKAGKGGRIPSISLPEETTQRNIGFDKITETALGYLQR SSISGLSKPLLLLGAKGSGKTRLAKALADLLAKDISIVARPVYEDVGKLDPEERIASI RENMDKWLEDASASAPSCLVLDDLDSLIAPEAELQTSSNSPILADYFTRLFSPSSIPP GVLVIVTALDTSTLHPLLNTRHIFGETVKVPALTKEVREEVLRGLVEESQRTADGLVN GVEGGGEEEEEEMDYVVLGGMTEGYAMSDLNDLLVGATQQAVIRTTKSGETNVILTMT DFRLAKEEFTPVGLRGVKMQGSEVEWKDIGGLEEPRRILRSTLEHPTKYAPIFAQSPL RLPSGLLLYGYPGCGKTLLASAVAKECGLNFVAVKGPEVLNKYIGASEKGVRDLFERA QGARPCVLFFDEFDSVAPKRGHDSTGVTDRVVNQLLTEMDGAQGLSGVYVLAATSRPD LLDPALLRPGRLDKAILCDMPSPSDRLGILEAVVKKGGVELGVEVDLEEIVRRTDGFS GADLQAVIYNAHLEVVHASFERDLEKEEKGKALVNGSTGHVEKDRGDFKLVMPKDGGE LGSAAAKAEIKARMETIVSNTRGLGQANDENKPKESIKAVIRQNHLLRSLSETRPSIS SADRRRLELIYKAFINGRDGKMSDGDSGHEIGTRVSLM L198_04542 MSHTSDIIEEKRGAYADHLIVPVMDAEHDPKELAVAEDVILAES KYTESDYKKLKRKFDLILLPCMMLTYGLQFADRVSLSSGVVFGLRTDTHLTSQEYSNL TVFFYVAYLAGQFPMGYIMQKLPLGRTLGSSVILWGIVVIGLGVCQNYGQLSALRVLL GWFECAITPGFLFIISSWYKRSESTLRSCFFFAMNSFMGGIFNLIIYAIAKKTETNGH IAGWRAINFFLGATTVLSGILLFVFVGVPKDVWWLNKEEKKMAHARIVANGNGDGAQK PWNWTQVRECFRDPQYYFTILFNLTATIPNGMLGTFTNLVYVGFGFTPLESVLYGLPS NAVGFTVVICSAFFVHYYPKMRFPVAILWILVEMIVFLYGTVADDDIAEWQLWSCFLF SGVFACTTFMLWPIMSINTAGRTKKTFISATGLIAYCAGNVVGSQTMRASDAPRYLTG LTANAIVLAVEVVVLLCWYAYYNWENRRRERAFEESGLGWEEREYQNKLAGETDVTDL GKFGSLRALMAWINQTALTMSE L198_04543 MGHKTGYKNAQATAYVVANSSKAAAAVLPVLEGYVPPQRTWKTY LWSTLDVSREEAVFLTKLDVTLITSAALGVMIRYLDQVNITNAFNSGMKEDLNLYGNE LNYANAFWSAAYVIGQIPSNLILTRVNAARYIAFVELAWTAFTFGTAGVTNIKTLYAL RFFVGFFEAGHFPAVMYVCSSYYKPHELARRNTLIQIFVSVGPLFSGFLMAEA L198_04544 MVFSTLVGVIIPAALGATPVHPPNRGTRWALFYLTSLSGVSAGA TWTFVNETSRDDPEKRAYVGAMMNAFAYIFTAWIPIFTFPTSKQPYVAHGMFATSGFA AVALLTALAIGWMHHRDLRKAARLGEQGAGERGGEVSRVGAEKEDDGDGSEKGDASRK TPVLEMI L198_04545 MPPRKRNVAQRKAKSEDEPPLSPAEIIDEQEQENEIAALRKKNT EETRQSQNALDVGVLTSIFITAIQIYNFRNHPNPIFLFLNVFQLVMLPFSLTPEWLPS SWKPYLLSPSNHLLLVSVHLTTTLCALFLRYQQTPVFGQEGGLEFGEVARWALPTLVV GAVEMQRRAERETDAKIRLLEGLRYHVKGA L198_04546 MLSSGEYVLADADFQTSTHVLALFEREETDKQITGRNAYFNHIA IPTRTIPEQALDILSSRWRILKHARVSTRVDTDDDFMNLVVDACIVLHNMLLDTGDIY VVIDTDSDSVSRPSWDAAGKGLEKGITTCGKHSEYDMGDAINKNDAEAEALYDRALEA HRESLPKRREESRYPQGRGYKRELILPETYDPKRYKVPEGTPDSSRRHQHLISEMVLN EASGFDAKGLVI L198_04547 MVKSPRAKTLSVLKIKSRDTVASTPAPAILSTALHLLRGSQACL PAEELRGFIGCDHGEFHRIVKEFGEDAVFASKGRKRTAPPRVQIGVALRRLATGTTLK ELKKTFGLPNGSIEGYTQHTVSAIAKRLHQHVKWPDAQERVQIGQRIFEKFALPGCAI QVNIQPSTSALKIRSEDSKSGDDDEDDYEYNLLVVLGI L198_04548 MAGMNASASSSRNKRTRAPDSDDEDSASTRKRRLSQRVAEDEEG QGEGEGENEEDEYYRRMEEQDVEAEGQAYAEQGDSFKVKYDRGEDGYVAGSVVRIKTT NFMTYDHVEFHPGPHLNMILGPNGTGKSSIAASIAIGLGFPPKVMGRANEIRSYVKQG HDESQLEIEIKGRPGTPNTIICRKFNRMNEKSEWLLDGEVATRTKVMEVVQGYGVQAN NLCSFLPQDKVAEFAKMDAVTVLKETMRAAGDPRLTKWHETLIAKGKKASALEENLEK HVASRDKSQTQVDSLAPDVEHVRERETREFEKEVLEHILGIAEHVKLREQSVRAGVVR DKVKEKLEGHESGRQPLRDLQETWDNAVHKANGKVKVMQDRQKHTFANLRETKQALDQ LGTRREDIADQINKLKKKIERERLEKETCKTNIGKCEAILAEPREDHEVELRKAKEER STFESVERRKEDSARQASPSISFLLDYLKDNPDSFEGKVHKPLMISVNVPNRQYAWQV EACTNWPQRQTFICEKKADYDHLIALNGRPLPQKYIKNTGRWNPHRNSGPPKVQLYLA LQEVTTDTLNEFGFDGFAIDFVDADPGVIAYLQSVCKMHITAVTQKPSERVRTDELPS LGFKQWGTAKDWTRSNQSTYGRKAYSQIITAKTESRSFNIVIDTEAVAKKVDEIAKLR RKKEEAEQPHGALRDKISLVIGQKKEIHAEGRAIEEKIKALMYSSKRYTKAAHDIQLF KEKLVRLEGLPSYEAERTKLNDIRIKNARDRLRPLAASTNYCDTVMDNCADLIAATFR QVQATTNKKMIDDKVKSGSARGMVLRDRYETASKAFNRAKALTNNKWNEIKARLKPTD RTVREEVTKRAKDPTTLPSVDQVLNDLNTVKNQLEMSVNIPTAVIKQWERRTKELEAA QKIVDEEEEELAELKEDITDTLAKFNPALNTLVTAVSNKFSEAFERVKCRGEVGVDRS AGSDYGKWGIKIMVAYRDSDDLAVLSGSHQSGGERSLATVTYLMSLSEMSRTPFSLVD EINQGMDQRAERAVHNQLVDVTCGSESGQYFLITPKLLNGLEYHPKMKVLIINNGIHL PDPYDLTQRYGALKASLNKYRSTHGITA L198_04549 MASSFAQMSSEKLAQLQTQLPDILTDAEKLLPEEKRNEVIRDKM LARIMAQGQHKMQQQGAGMGMQSPMMGMQQGMQNGKIPVNQSQLQQQQQQHLAMQSRL QQQSAAAQQSRTGTPRPTQPMTPMPQGPINTASPQSHGGIVSPQVIRQSPSTAPGRPV GLPMQGIKTLIDNFPKLMELKRQGKLKPEQERLFDQFMSSPDGQNHLREFRAHQARLL VERGLTNPVAHPAGLQPQQTPAMNNMQLPLAAQQQLAALQQQQQQQQQNQQPFPLNPQ TQSQSLQNQLAPQFAQNLAGAGLHHNQNQLTPQQMQALQLQRLAAAQMQAAQNGGRAG MGGQGLVPNQAMINQAALARAAQQAQAQQPQNGMMGMSPHIAAQAQAQGQGQGQSPGG FGSPRPGARPPMSLQQMLINIQPSMSRVAPDKVESVKAMLMRLANMSDEERDGAFKAS PQWIPLWNRATGGGNQNQLAQQAAQQAQVQAAQQAHAAAQAQAAAQAAAAAQAQMTGS PHVGLQNGTPIMRPGSAPAVAGFNAINNVSNLHIPAGKARGLQGQGVAAGSPNMRLLQ PAGDGQQQLPPGLQADPSIVQRLLEQGIPVQQAAQVFARHQQQLAAAAAAGGNPAYPG LAAQHPSGGTPQPQRNITPAMLLAQYSHDMPSRPPPSRPEQIVPDAPAPTDQSLSILS RASWVPNYTDDVRVESMFKPQTVAETGSRTVGRGTLGWRRVDREGVDDWPEGLREAVD EEAEDEEGEEGEGKGRASGMPGQKRRKVQEVAEEVDKALKIPKDSETLLLELFDEHSD VISEASCMLAKHRRATTLDRKDIQLSWGKSPPSSNSLAII L198_04550 MVYTIVVHLQSLPEAVQEIKAKLKEASEIYQKDKETIDWYVMQD PKDETKFCIVERYEQESSQQYHLNNPTFDPYVVPRLAKPMDLTRWEEL L198_04551 MANNQSGPSSSLSIRGAAAGGPASRVVANALRGAGISRSSAAQG MDIDGGVQRGPGRGAARRGRSSGPLDQTGRHRPPVNGRDDSPYAKRPTNVAKPARGRG GRHSHPGRNPAGTPPTLADLRRGGTPSKAETDHANSQLKQKLGSEEMKAWLRSRMISP GVLDMSNLQHDEWLNTSGILPPGHVRAPHNSGIVFWRLIDSVLQKTDNTPIHTLTLGS NDFHHLKQLEKLPFWLPDIRALDLSDNPINHIAELDNILASGEKKGKANAGMGSLKSL VELKLNGCAFREKTLAMQDGEAIYKHEILRRFPGLRILDGVSLERVVFPIERKPKIKH TDEQKAALVARPYTFPVEVKPEFFSEAAAKDFAMSFCARYFPLFDNARGELLPAYAPN ALISIAANTLSSRSYLATQVVPRTRSERPQPVPFEPWTKLPSRNFFRNATSIQQRMET LKTRADPEKLLEWWDKNVPKTEHPLTDAGKWCFECWVLDSEGGRVRLCLQIQGMFREL PSGTYRSFSRTFILVEAPPDSPAVAAGFPATILSDTMIVHSYLGSNAFDGVQPLASHG VTIQPPSIPFSPSEVVIPAGAPAAAPGLPAAGAPSEAEQQALVAQVSQRTRMNAQFGM MCLAQNGWDLEAAVANFEEIKGSIPQEAFL L198_04552 MGAAQSSQAPQEQVITPQEPSTSVQFSPSLISRLSSPHEPTDPH ANTDEVVRRRLAAESAHLRSQEAEILKSISAALEKENLDKEKPGMSSEVLGKDIEEIR EKVERIRERKTKEGAAVKSARESVEKCYLTNPNKPLDCWKEVEAFKTEVSKLEQASSP PVIPSAAY L198_04553 MRKTLGPIVTIDTSPPHRPWDGNQATLLFFPLFTAFFLVLMSTL STPIVDGLYIGKIGASDVGKLSWGVWGWCASGVPGVSDLCSSDRKFSAPFSSITGKLP ESLASFQEINDTIPASFLIANGLMHIFATASVWMALTWTLAASGQWHNKQQNAYDWTR WSFAATGWSGILILTAWALDLSFLVRLSDLSLPDGSQAHPTPGPAIFMQLVAFLCCLS AFIARVSWGKFKSRPEWTLNNQSDFHREGQAPMSALPPSEEEPPTWESLNIQDAQQVV LDEKDGASLGVRAEQGSKPSIYVPSHDQTSYAV L198_04554 MATLAQPNTAPLNTSRKSPNLTSSSTQQVLSPRVPSPSNVPTSV SESNGLGLGLGHDHDHDHGHPHGHAHAQSFSPSAGEDQLVNTDGKVLPGQFKDQKCGG CGEIIDPTHMGVVVAFGTTLWHVDCFRCAKCKEKVSADTNLLLLSDGSPVCGNCSYQC FVCKEAITEEAIMTGDESYHAHCFTCRTCKRRIEELVFAKTSQGIYCMSCHNERVAKS RRHAEAKRQRQARREEKEREKREREEGKIEEETANRQTSLSTPLPNQGASGSYLNPTN PSQSGASQSPAANVPASTPSPRPWSPYAHGLGEHDDAERDQGRRDLAVGDRGLARAGS PAGKQLAEASAMPLPASPSESEGTRPLRPPEASSPQPHYQQRPGQPLPSPQGQSQQQP TLGAGLGVPSTSRAEKRRSINPSMTYGMDAPNSTFMEQRLPPSPLRASFTDAKANPNA NINAGDNGERPIKSPTSPSPFIGGQSLPLRDGPGSGGSGSGSGTGGGSQLQQAQAQAT EHLRNRSGTPEQQQQQVQPPSQAPPRTTSLPDHLAQAQQRRSRSGTRTEEDGAREAAL QTLEPGQGQGQEKKTPILTAPDLPPISFSLSDPDFALILKTMDQSPNKAAQAKFENDQ DEQEGSASKSLARSPSLDMLSAINSDPQAQSHPSRPHLSPHFSDPYSQVRRQASADST FSVRSRLGSNTGAPSDLQQLVELLAEAKFREKETVNVDVGVLTGVIREVEEMREAMMG LKNKYTGAKRSSQQYSEGLSVAGEEYDKERTRRTELETEVSRLRAQLHSQTARMSVIS GDEKRAENMKRRSKDLASSLTGLERDISRLRVERDVRLAEVDELAERVGLSNVEPNKI SQSISTRLDTIRSQYAQELESLSSQVESLQREITELRQIKEASLEESAALAAKNEDLS ELCSQLTRQTESLQDSLSRARPPTIFGNGSAFGGKGGKGHHHLQNSPSLASLTGQGLQ DVPEETVTARVVKVTKPETAEAAPVKRFKWYKSSKGPEASSSPANISKPLGLDKEAAL TQTSSSEREKGNKTRGGMSLGVAGLAMGGLAGGLSARPSTEFGMRDHTFQQHTMMRFT RCELCGEKMWGLQEVKCNSCGIVCHSKCAEKLPRGCTGSKVLKEEPEGPLLPSMFGRP LTEQVQADQQMIPTIVTKCIAAVEEVGMEYEGIYRKTGGSSQSKQITQLFERGDYESF DLTDVDAFNDISSVTSVLKTYFRSLPNPLFTHELHESFVTAATIRDTSNKRQGFLALL QELPTEHFNTLKVLMLHLNRVTAHSAENLMTSQNLGVVFGPTLMRSSDPSREFGDMAG KALSVQWLVDNALSVFGQDRE L198_04555 MNDPLSALSAPPPRYLLESDSSDEEGQGAYPGSAPASRPKIQLD QPPATVTLPTGGTVEGTVVATGQAGRFLLKHLPAPTGSEGVIKIGDEKVGVIRAFEKE LVVLVDDGDLSHESVWAIAKKLVEDIQSPQWTTLSSYVPAMYIAKAKSSHLDPPVRFI SSSSSQKTEVQGAERYDPPNFISGLAGAFLTLSALPTTSLQSTTAILLPLPLSRLAIS HLSTPLSSSSSSIASLLKGKRSQWTDDDDEPYSAPGMGRVRGARHGHVAGEGVGMYT L198_04556 MPPKSGKAELRACLVCSILQSTNDFLTQGCPNCEDILEMRGSSD RVAECTSLLYDGMIAMIEPTESWVARWQRIDKRMRGIYAVRVTGRAPPDVIEAIESRG GIYRPRDAVED L198_04557 MGSKTESISLEETNKHRAALGLSLIGVAPEGGEGEGAEVPEDRD AIAEANYAQRREDMAREKKEKETRERIEKARNKAAYNAKMKGSTLSQPSTDDSLSAKD WIKKQKKREKQRQQQLAKQRELDQAEADRIAEEGYGEEDLKGLKVGHEAEEFEEGEDV ILTLKDSGILGGEEDELQNVNLAEDAKIKAARERKRKAQAAYTGYDDEEFEDEGRIGE RGSVLAKYDEDYANLGKGGGLKSEGFRLGGAVVEKKIKADEDVEMGSAPAQKVKLNLD YAKEFEVSDYAKEGDAGFKKPKKKKAKRSTRRAQDDEDEMAVDGEPTFTRRVVEDGPE NLVDDDDLQAALARTRRANAKKKPRAKPEDIAAQIAQQREEEDRKPKIEQDAEAESGL ITLDDTSEFVRNVSLDSRALPVKRERLSPAPSSTPAPAGPSDTAAATQERVTVKIERP EEGELDEDEEMTEEDQELAEMAAREGLSLEEYRQKIDNQMVEMAALQAAQAEKDKENE PEPTVGQGMAGVLALLKHQGALKSRTAEDEEREKVQKQKDLWLADYRRRMAQRELEKI RSRGGDKDQAQREWENRMREQQEAKDALEIYRNYKPDVQINYHDEFGRQMTPKEAWKS LSHKFHGKTSGRMKTEKRLKKIEEERKQLSMNSSDTPLGMTNAFNRRQQATGEAHMVL SVGNKQSVQSSGSKKR L198_04558 MAFIGSGEFEDKITHLQKQLSLKDHELNSIKNEQLKRQEELAEA KKAMETAESQLHEEADRALKAKNQLVCNEMEISQLKLNLSNLETSLGTTSEKLKEEKE KDRVQDALDDALSRGLDRAADQISSQQLLIKQLEDNVRNSEQEAERLRAQGSSGGSNG SDEPLNYRERIRLMSLQNENAELKTQLENMSSAIPSTSQSSIPSSPVKKKSRRSLSLP VSDIRALEGQVGSLKTQLANTKRGYDQAVNEKLALEHATKKNVARLKEDLEEARREVA YYRASNGGVDVKQVEELKTAAQRVREEKEDLARKLSEKEGEVKRQLGETARPEGEAHL LESLRAELDDERQARQKLEAANADQPASETNSSLEKVSALEAELAKVKAATNTTSRSG DPELRQVRRDLQKALRDRDYLDSLVKENDELLAEKDEEISRMRAAIPIPGSPVLGAQG DSSRVAELEEEKAGLLIDLEKQVEYHAQEIAAIETKLSVTMSELDHVKAAETEARQKF EEGQTKITELQDGRQEVSIALEQARAHLASRETVAKQLTQQLTETHSALGEKQAALAS AEQEAQDILDRLSKARTALAEKQNDFDQAQRQRDDLQTVLDSRSYDDAEYEALRVSFQ QAQDALEEVTHGLEKAESENRELNDRVAESQDEIASIQNQLQETVAHLESSKADSHQT VSELEQASRKNHELEIRIWELDAKLRETSQAATLSTAKVDESNIRHEASPAAAEAGAT ELNQRVKELQSSLQSASKTSPQNSVNLADTKEIVEKLGRLRAERDDLRHNLSFVQNEC HFAVRAANSERDEVVKEVQRHKENLRKSKATCKKLEEEIGRLRIAEQDKQTELDGASS TFANDKKQLDEHIVNLENSLAAAQADAAALNSRVAELKSNLQTREDVLKLTEAKAESL QTELTNVLHHVAQSRKLSDRPESRASSTVASEDGDLPDDLASAVPAESRRLSHQRSRS GVLPNVLMERTLQDKIERRDVRITVLTNDLKKAKSNLALLESAQEETIAENAELEEEC GTLQKQLDTEAQSSAEDARGLVLALATYRQQLHGAELRWSVAQDVLAKAQEAAQVLRS TAAADRKASFEQEARITALEATHAITSTALATARSEHESARAELESFREANEHLQTRI AESEQAGVVATDSADRLAILGSRLSEKEERLRDLSTRNDGLTAKLELMLKTEKEAVSQ VAEKEEEISALTGRIEELSLGVADAQSAAASIGSEKDRLLEELAEAEMASSESLANIE TQKSIAQDRASKAEEELAKVNQQLDQRNKELALAIATSETLSAELQAEKSRSAENTVN IDQQQSTVDQLRAEISSALEASKTVEAERDALRTQMCELQAQVSEDEGTAQAAARAEE RLAVETESARRNIKELTELLEKAVAEKEELSQQSKSVDQIREAAQKELAESRSEITRL SAERQVSEDKLSNVRIRSDEALQRAEALEASLASLKDENEKLKGDLAQAMTVSSTPVV DEQLVIDLRERVDDLEVALTQKNKEVDEADDQTREAFKAKAKLERKIGKLTRQLEQAQ TDVNTAMNKLLSSRPIAPSPAKRASAPVPVPSRTSMPPAPTTAQAAPAPVSRTPRAIL PNIFSPPAAPGSGHKRSRENDDAEAIRPVEAIMLPASSNIISPRKALGSKSSFTPQRG GAFAPLSARLGVNGNVTAEKPVIGEMKKSVDMGRNIFAKPSPVTTEPAKRSAFPLPPS RTPFNTTRRNAS L198_04559 MPIILIFPPQLLETINNLLKVKDLPEDLRKPLVEAASQTVTTEV LAVKDVPVVEEKIVDEDGDQSEDTSPSPPPPPLTIDLDLLERLSTWATSDEGRSKLLQ NELDPLSYTHIALVSGTEVYLTPKQLERLRAAEDPDKPNPYLPSYLSPAPPSFGTEYR TLTRTLSTVINILFSICGAAVAVYVAATSGAGYSREKGVLLGVLAGVVVGIADGVLVI IFQGRVQENRKERWERGRKLMTGSGKMEPEAPEAETKEAVGMEDKSEAGPSALPKRIQ LKRRAIKESSTD L198_04560 MSKIIVVFGATGQQGSALIDALAEYNTPTPQYTILALSRSPLAP SSVALGSLPGVKVVPVARNYMDEPDKVFLDNGLGKGGVWGVFNVQGYVSEDVELKQAK AIADASKAIEVRHFVYTGVSFGSLIDDPPLAFAVKRKVEQYIESINLPHTFLRPTQFM DNLLPTSPFLLKVSRTILLRRTFYNHPERKHQMVSCRDIGQMGALAFANPHHYLGKAV DLAGDEFTMEELEKRYEGIMGAPIQLTFGVLASFVRWMVAPLGSMAKFFDDYGHTVNI PELRKDMPDLEDLDAFLKRYKGAIESKE L198_04561 MSLNNPSHLLISRNPPRSPAHPQLDPTLTSRHPGAASSPPATTL GRWVSDDTFTGQRPSGTYNDTTDDEYEESESEDEGPIEVHPTFQKGAELPGRVKVVVG RSEFWCHKEVLWFASPFFEGLLLGNWAESKPRPPSPTLAPPLNPPPDTDGTPSLRGST GHEQAIDQESETRDRSSVYHDPDDQAWRLHSNFDQLAVDPSCEGLLSVSQEESPKPVS PANTPIRTPRRARDTVALRASFSSSLHPPRAVPDRPASDSECAIAEVELHEEKASAFQ DFLYWAYPHLECKVTWTNVAPLLSLSTKLIVPTLQKQCSRFLLTHASGRPVMALALAE QYGNAGLFREASRFVLDQRESTWDEGEMNSLSVETQLKLSNRRSWFLERLLKLGNIDV KKEYSCRPDCPDPQRCQLQLDEKWRQAHAAVSRYGPPQPSVAFRCLRQLETFPTNPSL SMPHALCQGAAKSWVMSLFDRMFQLKIVVSANPGTEKYWLWISIN L198_04562 MKPPSTPPTHSSPPSYSPPLVYPQPTKQPSKGSAAVTGTALDAG GSRGGSVLGDVSGSATPTHPGSHVVYMEDTESASEDDTEPVHPAHAIPFHMRSTSVPL SRTSSNASSLAEEGEATPLLLSQDEDGGYKGKWYQGPLFVAAVKLGILFAVFTLVVVG TFYFGMPKMDPEDKGAVKLPRSFADIQALNALFQKYKHKYPVQILACGVVSYLFVQTF SLPGSMYISILFGAAYGIMYGLLLSCICESIGSLFCYSLSAILAPPLLTLPFYRARVE TWRTKIMGDPKSGKKVTWDSIFAILLVLRIAPFPPHWVANFVAPHLGIGMFLFWSSCF IGIAPVSVIHVTIGSSLDDMTSAADFHILSLRNILGLSAVIVAVLIPVGLKRIFKKDL GDLGEAEEALAANSNNDREITVPHIGLGSTSPNRGGGYMYHAIDSGVVLAVPSRGDGV DMRRKIVRRGKGRALEIIPDDESEGSGEGEGETLEMAASPLALSDALADAQQDPTLYE ADEDDDLYGPSPVAGPSSHPSNAPTPSPFAASEYQYRVYDPSNRIESVHYKPRPLSRN SSSYRSSRRAGAVKPHRPNTLQGYGSVEAPRVPMEIREEDRATRAGWWPWARTGVA L198_04563 MHPLFLTILGFLQWLSAILRFPPRTSLETTAPRTQTTAMTATPA PALSETTVITAHTVQKPTTSRAIFSPGSSYPSLPSSSPGKGGEYEHEYASHGTQARNG GKRMLPRGSVCIDMCDGEGAAEREAPVQELALYAQETDVASAPTQYINLDLSSTTPPT DNSIHNPTTMPDLATVDKSHTNSNAIEGVTPITSPSSVHISFVHSSIIIDCTSDHEPI DTLSINDSLNQSSPADDCDEPAIPVEGDCDISSGHHDINLDVSAPIERVTISTATLDI PSVAAKACVNSHEDVKHQIGTVAIETTAACEEVGVGERKSLSGKESNLVEEYMEIQNE ETVSKDVMIEELTERVMLSEAVCENLQTEYRAEIEDLRSQVRSLQCQLYNTETDLRSA ELAQLATENLAEDLSREVSIHKDMFRGQARLNADIMQHAMKTQEEVLGMLQEETEEGW RLMRLRTWSFDDVKIFRGEFFELGRELDEVNRDAVSEEGVDLPAIPAELIPPPSTPAS VRKMSIDTSLTSSPSTPDLSASTSTPSECSETPLLTPATPHKTFFAPETQDDIPPEMP STIVQQRSQSTSSLREPPILAKSQDLYIHAPRPVRAIAGKAVDQRREPSQSQSRGQSR IGRAVSQASIMIEGELPPQLMEVGVYLS L198_04564 MGASRQLIRICPLKVTFTHTRFHLALRTIDHPVVYHRLLLFRIN KPDPSLADCGPSSLEVSKEKPIWQAPELFCMEEMCPHLGAPLSHAEIEDMEDTRAIVC PWHQYDFDLKDGSSSTGLQACTYHVEVQGHEDDADVWIEAPHAEGSDVNEERQWELVE MRGVSEVEFLDPPLSPLAGLSLDSCPPPSLPPHLASVQKHELPDPLPTSLLAFAHLIL RTSDPQFKCLLTREAVTRLRAGQLKSIRPSKAEIRKQRENGGLLDEPPREVESVAPGK APKRGKGGSEKSRILMLHALANIEQYAIDLAWDIIVRFADFEINGERLPVEFFLDWAK VAEDEAKHYTLLARRLVEMGSYFGAHTVHAGLWESATQTADSLSARIAIIHLVAEARG IDMNPLTLAKLQAAGDTESTRVLEVIHADEITHVTTGHRWFSWLCDKRGVDPVETFRQ EVSDNFRGKIKGPFNAEDRLKAGLTPEFYEDLSGHLGWKDELVKRAEAAAAAEGDEHL HPRLT L198_04565 MAQFAHQAAGSHHGSWQPLPYIHSGFVIYPFHPETSPPPTPTTV DGGSLSLPPIPPQKDLDVLPWAGGRPSIGDSSFQGKRNAYEIKLDIGDEFYAFEEYRC TTVEDGRGDLWYRGFVVQAVSVTSLAPTPSSLTSSTQPASFPRPEPSVLTGIFPAAVC HVRPGNANDNGELSEAYGQAVKAAEERYRAASEGVSLGMQWVGEMGTVKEEKEEEEIS SRASHAHTSSVGHRAVIEVSSEGRPTDALHRRRSSSRGSFSRPNRPMSLVLEQKKDEI EENKPQPPLPKLTAGDSTVSGQQWPLVDEIACAIREWYSRLPTYLANREYRLFSTVTQ HIDALFLGRRQLLSQMLSGDELIRVRRECVSRLVKCNVAQGLDVIVRSLEDGSVVVVD RERAFSGTRWVGGISCYVYQVRLAYIDLTPLDKVFGKVFSFPGADSSQSPLSKPFSLA AAKTPAKTDEPAGTFHHLVLDVRAFIANPCAPGETAELYFSLWNKSDNRFITEEFVLV LNHLGSPARDAEQRLGRLRTMFTDLKVEDLGSDTYLVCKIVRNGALKMKSDKADGAHI QPPTTPKRTSLYGISEAGGTLRSHFQSPSSMNLTDDSFSVTSGYGPELNRPPTIDTTN GASTNGHSSLDSKPKFRRPLGVAVMLLPTLSKLISESSDASEAGVEKSVQIYVPKDEA SFAGLHEDIVNKRVKQFGTSPRAEGIVLNLTALKGSAPNLIRTQPSLLQNIPLTSRLS FPDVAFPDQTRSDLYINLLSAIFTPAPSTSNNGSVGGSIRVRKPVLSGAGNNGDIQVE MEVRTLDGTVIPEGIVPGGSGEKGVDRWESMVFHRNDRPTYNELVKVCLPSRPVDYHL FLTFRHRQKEKNSSAADLLEGEKPYAFAYIPLSGTSSVVRDGKHELVLYRMERGFQPT PNVYFDAPYIAKPGEVDPILPSSAAKTMVPLKDRVLLKTRLCSTSQTQDDTLRELFAW QPKSTLANEDLNLLCERLKLFAFVPEEEISHCVPRTLNSLFGILVSNLGERQDEVNGL VFRGLIKVLSITSDRRFPNFKSVLRVYINKIFTFPASSFHLLRSMKVVMSDPEAKDYR SFLKVWHLFFKFIIRSREQDRARGMGLDATSAHIEADYQKQTKYILTEINNLMLSSNK SLIGTQTLVVQHYADILPDLRQVFKPLEIAEMIIAFADTLAYAKGSIATYKLLLLLQV VNNVFDTSESRSLLVPALVRWVKPHLGRYDEYAAGMDASADARDARRIKWLECNRLAV TVLAWMTNVLQEWHVSTLIQEDEGLKRQEEDNIEYCLTLLPALFASYSELSNPKTLET IHRQRSSPTSPAIWKPTPDIFPTSVPFALVSQLPPPSLLERHHQAEADGLPRSEIFNC SLAECGVVITTLILATPRSNIERWFNEMLEYEGTETCSEVFVQIFDFAESIISFKAFP SQWLTLRLMCFSGILKALECMATVLEKPVFVPPPPSVSDPNTSVEGQVSFDVDLWKRL FGLLCDFCGSPELALEEQTQQRRRAEWIVAGDLRDVGAGLLWRLWSAIGWPVDIAGCE GLRMGGHQTRFTGLAKDILRLCLSSHDALCGVADEILFSILYAEHLLDQQESSIQTEV FVTLDDLFNSKSSPSSSDPTMRAYFVAQLRAIFETTPQVSAPFTSSLTIFLSQVEHFI DLLLALREIPATPQWKDERSTAIFQLMGFVSRIGRKDLYVRYVHELVQVCKETNDWLG AGLALKLHADVYEWNVAGEAWVQEGTWKEIAMPAQSQFIRKQGLYYHVMEYFALAESY EFSVDLCQELIMQHQKLTYDVRIIGELLQHQVKLWEKIASTWRPKVEYFRVAFFGDIP SLDKRKDYVVRAVNGQTFAHFCDKLQSKYRQATIHRSKIPPPESIKSGLDPVIWVMPI TPDPDLSIPIFSSQNETHVPEPVQAYWLANNIHRFSSMRPYLKDPGEREAVLTWTEKT IVTTKEDLPGLLARSEVINVRYEQIAPGVMATMEVERATKHLRKACRGKDGQLPEPKM LGTAINNAVDSPLSEGIQKYRQVFIEGDYATTHPQYQSHVEQLQTAIIDFVKAIYDSL EVHRQVCRDVPFHDALRTHMYRSFPIESADFPRTVSEDSHHILPSFSINGFPQAKLHS PIRSPQSVSIHISHDSGDSIFDSPSSPVAEQRLSVISAALSSNTSNYRLPPIALSPTE IGAESGNTQTPRDSVSTTRNTPTTPKHHGTNGTPAETHEVATPASSIGRSLSRSTSTR AKKEKRSSLTIPGVFGYGAGNNASVTSVGARARNMMGFGSRSTINVVHERMSEDELPP LPPAKENMSEKGLKRLGSIMRRK L198_04566 MVCKKCEKKTSTLAAPDPFQPSSSTRKIGENKLLSARARASPYA KPGQGAKKGSINPYGNKCLDCKQNVHQNNATRCQKCAYKKGLCAICGNIVLDTKGYKQ SAK L198_04567 MAILAGAVQPPLLTLLSSTSSPPLSPLFFQAIDPSEPSSLISIV DDSEDAEDCPRDSTQTIVPKNRPRGSISHPVIHIQSPDPRTTYIQAGCFLESSRASHE HDDRYRPLGVELPWVGFQIKRLGQRDLSLEVGVVDAKGREGIIRLSSFKTEPSIHPCH TPPLIHLPLNFPPSESLVTPWLHIPLNLVSLLPLFQSLPRDSTDNDGGQGGAKKKRRA ESELPSGSFKSVSFVKVYANCRVRRIWFSAEGEKTLASMGKGVEDEWALYAADSAASL L198_04568 MANQRRVASSLPTPISSDQSSIVVDQTSNANARPSSVTYTSLPV ETSAPLALIHRSHTIDTCRSVYFRDLEEFYEAEVQWASQLQANKDALPQELSPNRLLR FTSSLIVKQGYLWNIKGAQLCLHLPFELSSDEVSQLWKLPSLVNKMFSETYPLALTMH NARSDLKAWVDSGNGGRTVIMDLAPEMARSILDFDTRFIDEYKYVLCGRHSSNYAHIP SLKFMNFGTTYHPKTDTLSSPSANKSDFMLKNLKNEHKHLANELQQAEEWHNPDPLAV YSSFNESANAEGEEGARSRGFVDEFRFCVTV L198_04569 MFPPLSSSSRSTDTAALSASVDLEVIEAIFERGRDATSFPQIFR PYTEVLQESGISPTNDSVYYNFLLKVGVIKAPTWGDKWDVWKAAHSQTLPSFYSQQDS GSYSSGTYTQPSKSYASSQLPEVRKRVPFLASASSDLDEGYTGGEESEVLASRPSPRK SMLQMEMDMEREKSSGRYTPTQVDVTVEEDLMSFDPPIRTSTPIYAQHQHYSRGPPAY TASDISRALEDTADEFSALGLSTPKQSQRDMDAPQTISWVDQIDELSMESRRQMEEKA DVFYNYGLMWRCWNMWFKTSEWYRFTYKNITTARNNLLLRQALEKWQHRTRNTLSLPP VADHHHSTTLKKSIFKLWLLRIKQRDLVERETQLVATMEERARKQVWDKWEMRWKKRR EDRWRTEMAGKEIGFIQKMRKARLASVFAKWCLASKYNIIHRRHQTSLLANTLTHWRD ATLNQRHLNGILGGIQLGQKEEAFQIWRQTTVLRPLERDLVLRNEQRLAGRVMDEWRM SSWQNRQASKLDRRRLLGEALSKWKQVHLKQKSLNRKAQTFSKLCILATTLHTWRLSS REVLFEQIKDRRRVTQAFATWKAGKDRLKGLEALADTFVEGKDKRLVTTALKTWRSKA SHLAHLDSLATHFQHGSLKTKCLTKWRSATQTISTNKALADQARGFFVKRSVFGVWKG QWGQIKVDDWVRKREERVMRGVLERWRGMARKYHDLEKRDIVFTKFVDQRTQQASFTK WLNRVIEVKDRELRAARDHDEKLCWDVVATWRERLSRIRADEKRADDAVEIRENEHLR RTFQSWRLVAKRSKRLRLASEQSLIEKDGRLLRNVWEKWWEKKRERDLEDVRREVEFL HENVILFGVMDKWKAATVILPGIQADASRVKKTTWNAWREAFEVKTKAKRLQKERDMR LLYEAFNLWKDSATEKALLKARRARNRSRPSGGHASDPKIGPRRSLPSSSAHHRSGSA STAHRPSLNPPPIPTGRTFQEYNRPASVYSEPVYTRLRDELGLKRNRRVGSEEPVVPP VREGREGTSNRIARGGSVEVAPVPIVEPMERPRSGSEMLRALRGSIPER L198_04570 MSEQQRSPPPSLDKSSPAKRAKIDQEPAAEATEMSSNTPAPAAE EAPKERVIDENTDMSTLTDQEIMKLMEQMDQGTQDPLISTPVPLAVIREEYLKGSQQV VKKLDYLQEKGWDQVWRARGDGDCLFRAFTLAYLLRILYAPDPAVEANLAFDAVQRAL PAMEACGFQKDIYEEFLDPLITILRAFSEGLDSTANEYSIIQTLQSPELSNSIVVSLR LITSAYIRTHADLFSPFLFSPVTFEPLSAEEFCKQEVEPCGKEADHAQIMALSQALKQ GIKVAYLDRSEVGDGSVINWVEFGNDTSEESRPLTLLYRPGHYDVVTKDHPPK L198_04571 MTEPRPYRAGLGLPPVFTIRSGEAPSRDAHDNIVEHDFDAEEDQ DQAEGSHPGKKVESKEPIVFEALPKHLAWPEWNVNPYKHGPERLKRPPTFVKSTITYD ELGRSVSDGVEVDIDTGRSEAVEAAKEKREVDSGDKVKDWYLSLAKGSGSNAASVPAA ASRPVSLTPTYIPPDPPKDPLHMPVKRQDWFVRRPLVTSQSLPSSRPSTPAPSSISSL LSLPSIPNAKASNKGKDKAHYYALGPENKGYGMLQGLGWGGGGLGKPEGWTEVPDDGT PPSQECSIRKDDKGRRKRAHSRPEDEVQETDPKGNPIIDLTLSPSLSPSPSPSVSPEP SPMSGPGRVTPISTALKLDRLGLGHSHTSQAKITHTQKEIVKAQKKAKMGHKRVFKGE EAGMSKKEKVDWKRKNKKDREDRIRLMAALN L198_04572 MLGHDDADEGDISLAFTSNPASRAAAAPARPLAPASDFEQDVAV PSPRPLLDKESASNPGQRTRSRQGVTVSLNDEDGATSTATAPGHWAPGALKSGSRPSP AQPLSPQSYPNVDTSRIPFSTQPPGLPRRASSGLGRSRSLNVRLPSADDSSSSDPAQN SKQAPMSASSSRPTPSSRIGWSRRPGEPRPPPMMSPEVAEKMGRWVKDVVVCNFDLDR GPVVERKAGSRRWGFGEKENVAFSSFPDTSLFAEGTVLFSFKIRHIPPDPESLSLPEP PSPMPDRTKTVEEELLNDKLNTEGAASASHPATMSTGEKADEYRKWDERGREWLYGFV WFEQRQDQGIRRGYMQQKSLVILTHLPFPALFASVLQRVAPAFFDYGYSALEAACHSI AKWPDPIPDTLLSLPFMSDVLNVKLPDTSESTQMFKVTSIPSTPSPSHAPILASLPTS TPLRTFAPFIASLWSLWECLVLSEPILIIAPDPKTCSEIVWWLRDLMRPLPPAGDFRP YLHIHDHDFSLLVNANKPQPGVVVGVTNPFFRNAASHWPNVISIPSKSRKIRPSSSSS YSNPLDGPEGFITRRHRSVQKDRPLLKRLEALVAEGNLDDPAGNEALRSHFQQLTERF MVPLNRYFQTLVPTQTPIPGMGSHLTPSMAGSPHPSANNLPSPSPSPSLSASPMPSPA VFSHLSPSLPVPLSSQSPLTAIRPFSLPAFLTHLRNSGPNPLLFRSKSIILTGKSRVE NDFYASFCMSAGFAGWLGGRVESLGGALAGSVSRTGTPRPSTSSSRSVSSSGLTVPST PNRRSPAPGPPSRLRSVSSTLITTTSAELDSESARVTPEAGLGARPAFSRSASVGLGI SGGGSGLSNMDKEEGRKESSEDDL L198_04573 MADQNFSAALTTWKEINLSDLQKTLDTTAVELVDNQKENLVGRK KLAEQTREFKKLPDDAEKFSAIKVLLKAYQGEIDSLTRRSKMSETSFLNVYKLLADAP DPYPLLDAAVDQTVKVAEARMMESEMARLKEENAELKKTVGEMSGLEDKRKKAEGKVE QLEAKMDDLIQERVTQKENELNAEYDERMRNYEDREKGLHRQVETVKQQLRDLHTSNE SAEAKLLNASQRQEQDVAARLAELDMVAADLARANERVATVERRNELLRSEVESVRSG SQQAEKVKSLESQITELELETSRILATLDSAKDAKAQAEKRAKKQAEDAQAVIDAQKG EVEGLKERLKGYADYDEVKRELEIMKYVEFSGADFGDDGEDDDEPHLPDPNATVANKQ QVQSLESLLVSKNRRLLEDLTKLRVSWEELSGEHAKADDVIEGLQVDLARQRELVEKL EGDLMMLDGKEDRGGSGTPVGLAGLDIGGKTENRSSPAPSAQDTSILPIVTSQRDRFR QRNAELEEELRKQFETISDLRAEVKALQADNLKLYEKVRYMGSYRDTASAGAGSSSYP PSSSRGGGLLNGVLGRRDEEIGKYKDKYDESLNPFEAFKGREAQRAVQALNPLDKGVF ALTRAIVGNKRARNLFILYAGSLHALILFVLWNGMAASDSSSHPPVTIHP L198_04574 MDLVPYMFYEKQEPGSQLCAQHCLNNLLQQHSFDEFGLADVAKE LDEAENAKLSVDYRNKKSYNFDDSGYFSISVLERALDVFDLAMVRWRGEAMKAYQEHP EQQVGFILNLASHWFPLRRFAPNPPHAVAIKRWYNLNSFLPNGPEWISPTYLRMVLTQ AEEEGYSVFVIRKNSPGGQDSEEPLTGEGAGWADGGIGVLPECLADTMAVTLGEPVGR SGPSSGRSGTIRTGGTDSNQVNGDPNVQPSAGPSSPPARGSGRRRRQPDLSSDGIEPI DDPFSAPPPASRSRQTSSRSQTVQGDGDLFDNVHGVLQEPTNARPDPISIDDSDEQED EDEELDDDFEMLGAGGAGTYRGPTDFQQHARSYDDEDAALQAALKASMADVPQGWELP DVWKEEKSGTRSGTGGSAPSLATPADSAPAPAHEPAQNWSVRDSEAAPAAQEEKNEVE DDDGDEPAEEVSPEEIRRRRLARFG L198_04575 MSEVTPQRFDPKNMIYRNLGSTGLRVPVFSYGGWLTVGYNQKGD IVKELMQTAFDSGINMFDNAEIYAAGESESQMGRVIKELGWDRSDIIVTTKVFFGTGD AEKHNTRGLSRKHIVEGVNKSLARLGLDYVDIIFAHRPDVTTPIEETVRAFNYLIDNG KAFYWGTSEWSAHQIQQAIEIAKRLNMVGPAAEQPHYSMLHRERFEVEYEPLWRYERF GSTIWSPLDSGMLTGKYNNGIPKDSRYHHNLGNVMDSSIKALETPEGKAKIEKVKKLT EIAERLGGSMTSLALAWTLLHEGVSSCILGATKPEQIKENVKALDIFPKLTPEIAAEI EKILDNKPAPPPAYNRRADDGRLI L198_04576 MAPPEHDEIIKTSSIPARKVSVDGPLYSQDKAIATMYANAQAAT DKEQNMTLREGIRKYPKAILWSILIASCCAMEGYDISLLGNFYAFAPFNKKFGVELAD GTYQVPARWQTGLSNGAQCGQIIGLILNGIFTERFGYRKVLMVSLSWLIVVITMFFCA PNIKVLLGAEILAGIPWGVFQSIAISYASDVCPVALRGYLTCYSNFCWGYGQLIGIGV IRAMFKRDDQWAYRIPYAVQWVWPPLILLGVIFAPESPWWLVRHGHMERAKKSLRRLA SPKHNPDFDEDEALDMIRHTTELEKDIASGASFLDCFKGVELRRTEIVCVIWMTQNLA GNVFSNYSTYFFEQAGLTGTIPYDFAMGQYGINMVGVFGAWGLMALGIGRRKLILCGF SGLFVTLITMGFLGLVPESHKTQGAMATGSLMLVWAVFYQCTVGTVAFSLVGEIASRR LSIKTVALGRAAYNVVAIICNVLSPYMINPTAWDWGNYAGFFWAGTCLGCLIYSYFRV PEPAGRTFAEIDILFERGISARKFASTPVNAFDVSLHHAVEDYKEVTQHVERV L198_04577 MSDNQATASSSQFAADPIHTVRKVSEEQSFSKDQTMASMFNNAQ AATAKEKSMSLREGIRLYPKAIAWSILISSCCAMEGYDISLLGNFYAFEPFNRKFGVE LADGTFQVPARWQTGLSNGAQCGQVIGLLVNGLFTERYGYRKVLMCSLVWLAAVITMF FCAPNIQVLLGAEILAGIPWGVFQSIAISYASDVCPVALRGYLTCYANFCWGWGQLIG IGVIRAMFKRDDQWAYRIPYAVQWIWPPLILIGVIFAPESPWWLIRHGRIEEAKKSLL RLASPKKDPSFDVNEQADLIRHTTELEKDITSGASYLDCFKGVDLRRTEIVCAIWAMQ NLAGNSFSNYSTYFFEQAGLTGTIPYDFAMGQYAINMVGVFGAWGLMALGIRRRSLIL YGLAGLVVTLFVMGFLGLVPEAQKSQAAMATGSLMLVWAVFYQCTVGTVVYSLVGEIS SRRLSIKTVALGRAAYNVVAIICNVLSPYMINPTAWNWGNYAGFFWGATCLLCFVYAY FRVPEPSGRTYAELDILFERKISARKFASTPVNAFEITLHHSISAKELSDHLEDKEKA L198_04578 MDDPWATPAWSAPDKQPSIPSLDPPPEPPASDPWSVPAASGPST PPSLQASKLPTSPLKESTNNLEWGEQASWGADNSPVLAPIPTLPQSPVKLKDGEGDVW GDDPVPLPGSFGMPSQSVVDIAMNIEIPATPETSTTPTFASPSRSILASSPLSPHRAG LPASSSHASLSEAVIGGFGGFESPTKAASPLSGIPKSPSFGDDFEGFSGFAASSPDDP WGPKLEVSATKEDDGWGGSDWEGLSQSTPGATHIGVETAKSDASDEGWGGATGMGPKS PARGKEEDEWEEAQRRIRVTEERAPREKIDDMTNQWIKLAEANMTEAVDLTKMTGAEE LQYEQVINRVFEDASERIRSLSTIPPDINTYPPVLSSLVTHERYAYALQRPNPDPESS LLHSATRAVRRPRRIDPISLSLSTGEASWTTRSRLGEPEQDPEAVAGDAGDGKSKWAF WGKRPTAERKLTTGGGGALEVKPMTPTSTGSVVEKPRPSTDSKSPSLPASRAASIAGP SSRPVSPAPPAPPAPPTVNEQAAQGPSAVSRFFGRLSRRASSQPNSPTPLNAPAGSVD AKDLELSADDFSFLSEVPSMSAPPPGKGVADLLSMEPGANEPIKSLENLLNSKEAPLP KPLAPPPSGPSAPAYGGGERERKSSGKFVAKMKAPQPSDIDLLGGLDFASPTTSTVSP TSTGPTQKTGGAWDDFESFMTSPTSGSMPAPMQPSRPTTPAIVQPSRSPPPIIAPTAH SAVGMPFAALALQPPMVPTGISPAPSVSPEPPLYHSVKTSTGMQGFGKVGTPQDEKPD GMLSPSAIVPAPDPATFTFNGGMLAPAAAAGMEASISPESQAYVNLSTDLDLEGFGKE GTPRVLTPMGVSPAISPAPARMPQVMPGGAMAFNTAPPVPRAAISPTPAAYGMVSGAD DFGDFGSATPSRKATPAGLEDFDDFGDFTSSSPPAPTPPSKPKASHPIFSSPSRPVVT LPTPKNSPPKPHHDHTPAMKLMTRTNSVKGKSWPAPPSPLPQALKPPPSTAGTEGFPF LAPPPGGVKKSKGDLMGDLGEATDEGSLGAFGPVPVAVQPSLTASKPTGVNNGQSGGG LSAQDLSFFDSL L198_04579 MPFTPRLQRDRSHSHSSSLSISRSPNVLSAANFGGPPVSPHELD GPSFFEHRHAPRGSALGRTVSASGGDRLSAIQSVEDLTSAKPSTAEALIDPGAKTPSR LEAYTPDTYDTYEERLSAPLLKPQVHFDAPVSEAGEDETMDLDGVERLDGPAFEYTGP FQPPDSRELTLYMLSFGGVVILAVAAGLTTIFDWIL L198_04580 MAFSGALTITDLDDFLTPSQACIIPVRNNKSAEAEGPTEIHIDS NNNYYEVSTVPTVGNDFDDPSSSKKALEKAEINLNDCLACSGCITSTESLLITMQSQN EVLDFIKQNQHTHNADEPSHKHRRPILSISPQTLSSLSAAYSTAASLPPIPLLTLLRR LRAFLGQPEQGGWKVWDTTFARHMSLKETSVEYHERKDRKGKGAEMPMLASACPGWVC YAEKAQGDMLPLLSNARSSQGIIGALAKSWYGAKANHKPDEIYHVTAMPCYDKKLEAS RSDFYSSLYSTRDVDCVLTTGELDLLLKELQFDPYLSVPNESTPSYTATDDSPFPELL THEGSSSGSYLQTIIRDVQNSHINPTRTTTREIRGSTDNVEYLVQDTVTGEVIFKGAK VYGFRNLQNLVRKVAKETGIGRSGRGAGAGKLSAAVAARRRKAKPAAAENGIAALAIS GEDRKLDFVEVMACPGGCVNGGGQMKPAIAVKSDAMEVDEEGFQRPMADDGVEMKVGQ EKTVEGMEEGMRWSTKEWVAKVEDIYWTGLPTPPPSPTLTASEPPTNGFTNGHVDRLA ASDLLAEKIVREVCGESSEKRQDFLRTGFSKVETDVLNSGGITHEAVVW L198_04582 MRQSTRATRPCDFCEPESPPPPVRPLLPWPSHRPVARPVPIPFA SINGPQSPRSMVFEASYDLESAANLFPVVISLRRVLRYDSDPPSPRDQPPSVHGTVES EPVNREFVVEGRLIKCFISHLENPLPSLCASLSTPPSSIVCSVPVRRCYGVEEEQRSG RFGGLGGHRLAYKSTVLLGYADEGMSMLLRSWFRDMENEQVAASSDKPDNLGAAASNP LLVTSSGLIGAALGEEDMRFSSNEAELAHELPPVAVDLTGTTALSPHDGIIPEDD L198_04583 MDTPTTSPPSAMKSPSRRSWFSFSRHKPNSIKAEEASLIAPDRQ TLFSSRRQSTFSAANGDAGGTERLRPIQFSPNRIATLYVTPSERNLYLAAMSQLDQGP PPLPLWTDPAPALPRVDPSPPLDHTPPNPPSAWQHRPMSPKLFPGGVPHPGSPGLHIR EEFRNTAPPKAANHALVALIAPGGMRFTGFPPAALIAVDHAISEEWPWGVVKRSEGAS ELQARGEKDTVVWNVTLKGKVWRRKGNEELDTVRLILSVFRVLGRHGWTLVESIQAGS AKKDTHNLLFSYAPQTVITPPAFFALSIPLPDRISLINPPLKSTPSLISALRQSILSA SPLKLRTEPPGTIIHDAVSDEELLRRSEKGNKEDRQWIGHDARGIKLEGWVHDGVYRF WVDGMRRWIGKGIKRRVVENIHPMLVITMVNNFSSLHYQLTGSVPLVPFTKGRDVLIF QSLPSSGVSARDSYIPRVLSDGTDLAPGAGVGDSYRESVELLPPIALARQPQSQPQPP RQPEPKPHRARLASFTGRRRTASQESSNSRKGNVLVKKSSIKRKSMPILPSNTSLLNL GQMYGHGHHRRQSDTGLAAQSNHTGRDSSEGTAVPPGHSRNASHLVVTNATRNDRDNW SVADRPMSADSGIASSGYGRAPPAQLSRSRLSPPARSPSPVRAASPPNRSARPFQAKI SPAPRAAPRVRAPGQGHTPKPSYESGQSVYVDAPTDLPGAGGASTRRTRDFHPSLDDV RIPGADLYAPSPDDGASSFVTQPLALGQPIPSPPDEKSYPNVPAPQVANLSDRLPVRE TGSPRRTGAALVNVNVGRVESQRGSLGNTSTMVSSVPPQQHQLVNEHGYGGSAQFASS HNAAGQQGRGVRENTKRKVWDESRGIWVDTVKGSENRGSRGSHGSNEGVGSARTRVNV R L198_04584 MAQPPPLPPRKPSQAPLESSSSISLAAPAMPRTPPPLPPRTPKS KVVPEPIVVPPREVVPSLQTPPSQSGDTGVTVAVTPATPKEERGEPVWASPASTGATS ASSQEPHRVLGPGPSSSGSYPAAQTAEHLQSAAASSYEKAYSSVPKPALHTVTPNIPP LSTQQWVTFTSILVTLAYSRLSLLYLLVLAAGGYYGLATVHAQTRTNAPTSENIVTQK EKTMGSGDGAEAVGWVNHALYALFPLISTDVLTPFVDLMEDALSEEVPAIITSVRLDS PALGSQPLVLTSMRPLSDQEWFASLSQEDNPSQDHGSPRVGKGRRRAQSSTSKTPLTP GSRPGMFRSRSPSNASSPAESLSKTWSSSDVKINDDLLGNVRSRRLRDRLLHKITHRV PNQYSPSDGGSLSSPTSRGDDPDVEKDKEDGTRKRGGWRDEVEEDDPDAGQYVNYQIG FEYKRSEEAMQKGKGLHCLAFMGIGLKGVASAEVPVYIDVLYVKGTINVRLLLSPTPP FVKLGTFSLPTLPEFDIAANPLKKGSFNAMDLPLMKTYVKTSFASVLSSFLGPSTYTL DLDRLLLASAPSSLRLESVGCLHIILHSATDLPKADTMGSCDPYLEVGFEKSRKPVFS TRTIGNTMDPVWEEECFVLVTTDAIESGEILRIRSNDADRFSADDTLGAVLIDLASLI ACSSSNGGGLQKRSDPFIADRPGMRASGTLNWSVEFCPLWQMPPEEASERLNASGQPI TTPQGPEPSWLTWVKSLLPGDETDDEWLKNRKRRREDAKAWIAGKKEREVLEAEVGAS ETRLAGILRFHIHQCLDLEIEPTSGTYSSQPLSPGGGPPALAHITDRTSTENADPPSA YCEVYLNDKMVFKTRTKGVTPMPYFNAVSERFVRDWTKGKIVVVVRDERDREHATDPI LGLVELDLQTILSKTSNITRWFPLNGGLGWGRIRLSLLWKSLDLSLPRGISGYEVSTV QIKSLAFTPVDGSDEEGKKTMRAVVRTDSDQRVVDLTPGPAHRDPGEELPTSPTISRS FSSLAPSNLTFTLPPKHHPTLAVMYRHSSSIHVSILAPRSKSRVGLRKRLGAGVIRLG GVVDGEGRRRVGVWQGGGDGGREEEDESDYDSDASGDHSQVTSPNKSYASISLHKTQS LSRIKTHMPKRSSISSRRSSRKLLGYLDIDWLLVPGISRAHKRIAKKDLRFGKVFEAW EAGRDLERDLSISSHIEEDDEEDDYESDEEDTEGAGNSKGTVSLDILDNQLGEMSDRR THSHALHKRHKGVFQLKVARTGRYVKDKISSTVYSATNGGNSDLRAGYGRARGSDIVV EKEGLSTQTIAGILGWVSGNTFGAVTLTSYRGFWFSYACFLIPQFEVEASYAADHQER LNADGLYICCWDIITFIFLVAAHRSSVAMILLLVTLDLNFWLT L198_04585 MPSQPKSVFSALLHHLFSTITTLLLFLLSLGPIPQHVGFVMDGN RRYARELGKRVEQGHGEGFMALRRTLEICLRLKIRAVSVYAFAIDNFNRSENEVGALM NLAKERLAELCTHGDLLEEYGVKIKFVGRFDLLPPDVQQAARDMEAMTAANKNGVLNV CCPYASRDEIVTAMKGSMSDVYSGEIMTSEITSHDVFQRLGTSKAVSEVDERLFSRPE DSGKLDILVRTSDVKRLSDFMMWQSSDDTQLHFVKTYWPEFGLSDMIPILLGWQQKIW MRRLGW L198_04586 MSVAQPPTDTPAPVRTTKETHPNLPPGLILGPDGKPCKVCNSWQ DWAGLKMKKKSADEGQGVSGGKGAGAGAAAGMSAFAGMMSGATASKPAPPIAEEKPLD RSDCPPDTAQLGRSTWTFLHTTAAYYPLSAPTNTQTQMLNLLSSLSLLYPCSWCATDF RKDMGSNPPDVSGREGLMKWLCERHNEVNLKLGKERFGCEVKNLDERWKDGPADGRCD L198_04587 MLFYRQVMMPHSRQSLDVTPSTPSGSKCLPSSALVCTLLPVSST NTRHQKRPRLPASTHPRYIIDRSRYGITIGPTSPEFLGGGDDLDDIEEAEGLVWDLAL APESDQDEEGRGEGDNREAREPTRIGLSHQKTGETRRNSEARSSTGRTKSALEAPQRL PLSVRTSTNALPPKPKHMLAARKTILPQKTPLTRPLRSARKVAVATDLFDGDETDHAE DSDPDDLRLSPPRPQSPIAKQRPLSSPESAGPSKVPRTYTVDFEHRKRMADTALGPRT TKRQRRSDGQYRRSPQAPTTLVSSGDTTATRQKKRKAARKQVAAITVIDLTWDTDSDE EEGHEMGSSPEMSDGEEETSFPRQRSKTSREREDAILSQTNHRPLETIRAIPARMIAQ NIDASESGSPMNLTDDTRDGSDAEVPVGDDNVNEKVQEDPLHDMIAPELFISEAPQPA SPTPALRPPLLDVPSWELPTIEGVAQDLSSSQFHLRRFSSIFSRRIARRSPSSTSEYL PSYNCRPFLRKNMRIRLQGTSAFLDPDDAESIEYIARLNQYRKLEGTRRKEDNALGID MKERFRVVDEDLVARGADFNLLKQGVVLNMTFEGVFPVGRAPSKCPYQAQIIVRLEET VLHAVTVPIVQYKIHPQTTLSIPLSETFIKNRQPDYRTSMKLQILLFSIGRVQELYTS SDIDIVASDGHLAQFGPSINLRPTSLGDQAPPILLCLKTDYNFLPSPRFYPVSVSLSK SSKDVEVTYIVKKSNAGQSSVVVVSGFTCPLCSDLDGAGHTGSRQALFLHLSGHRKEA KLDEKRSTEKITRSGRLLKLTVFLKSRAKTSLVFANKYIKFHHLLPTLAGFSHPSVTS SPTEADGPMLSSPRQHLTLTKISSATHPPPDKLLEKPSLTSEGGTVEGALLAPAPDDD GRSSAVNEMSGGVEGNDVEDTPQKPAPHAEGGSPAVDELSQTEAMDVEESAPVAQATS SKSGEMEGNDVEDIPQEPAPHADGASGELSRMEAMDVEESVPVVQAMGAKTGEIKRNN DEDPSQGPASHADGGPSVVGELPRVEVMGVEDITPVAQATRPKDLAENMPIVPTGVYA DIKEITVSASSPPRIQPEISAVVGSSDLEADKDQNLVDSGVSNIQSSPPIQAKDDKPA APVAPSCSSVAGKEPDTQVSRGSTTTPTSLSHHPQRLSQGVRSPSLEHPIPVLQSNAD ISAGASNVEEGSEGIVPEGHSSVLAKASEPFQRAEVVPDLSEPEQENDETEGSSTKVV EAVSQEQTSITSPLARTEPQHTAIVSNSADTDTEDAPVFVPVIKAPRKTQLPSREIES VKRPMVRLTLPAQKSNLSELMPEQSSASDSRSSSIVAIEQEDIAMDTEVDTAASDTVD DAATPVPETPTTHPAQPKLRGMSRTPQASTSLSQSSTPPAEDVSKVAAIMQGSVLGEN EKSNDPNTTPVEAVSPSRVPDNGMQQEAKSDSDPQNQLRPGLRDLAVLRYTPRFTESG FAGPYMGQRVNEWTEWSHAVVPGTLFDFMHELDKLWDCGGIRHVVKHQEASIWTRNHL SEKRRFLACCWNRWTYEKGPIPGINKAGHLKLFLDKYGTIMTRAGIDFELKDYLHIHF QMKHISLADYVGACQYYKGIRGSV L198_04588 MSTVFYRWGARRDESRVTFDGTHISLFDLKREIILSNKMGNGKD FDLGLYDNVTGEELKDDHAQIPRSSSLIARRLPPSAKGRGTAQTYIAGTIAGDALTGD HRIESHARQAMQDKQLGKGLGTGFGGGIGGRFGSVSKRFDGKDKEGAVAEDVHVTTGD KDEDAKIQAILAQGAETWEQMQEDMAAGYRAPQARAQRTAKPSSSAIAGAATKYDFSI APDKEPPVGYICYRCGQKGHWIQDCPKNDDPEAGTDRKRFVRVTGIPRSFLKTVESPA GAEGSSAGAMLTADGGFVKAMPDQRQWQKQAAVKPRALTGADVRDQEPLEPELTCPIC KKLVWEAVRTPCCDTAFCEECITSQLVDHDFECPQCESKVPSLDKLRPEEDLRRRAKQ YVDTELEKNKAEKAEGDQSDVKDEPDSKAEDEEGAISYERPKAANQILNASGQPVAED LLNPQMIQTYLMGAKKMLLNPSLNAAAKTLLHNQMQTLQANLVQMQMLAMMGMGMGGG MQGMNGMGGMNGGQGQMGMMGNQFNQQQHNFQQQQLHQQQQQNFAHMNMQNHNQMGNM TNMGIQNNHMGGGPGMGMRGRGGFRGGFRGRGGMMGNMQRAPMGPAGMGINRPPQGLK RGADGDLGGDSKQPRVGA L198_04589 MSSISHLLPTNVRSTRLLPIAILIFLLFLFLPSLLPKRVGLLPS TPSHKVTVSSGGTLTHESREWLGKSVSLQDVLEMGRRRVEAKVKEYDAVGTKKQLGID IPKHLSMEEYTEQLKESWQKWFIAPSSSAFSRHTAPSSPTDDLLKHTLSHLSLVPPEL LPSSQASIPRYVYTTDLKTPKELPDQFTSWITENHEWTTMFVRDGDIDGWLEQAVGVA PGSRLGQSLEQQVSGEGGEPDRKRKRTVGVPRVVEEMEWLKADWGVVRADMFRYLILL INGGVYTDTDTASVLPLERWGISHKTYPPDPLLRSLPHLVSLISSSSSSSPPPPPEDE TEPNLIVAIEIDALATNADWQRQSFVRGLQVVQWTIVGKRGHPVFLDVIGHALGWGEK VRAEEQRGKRDGEAEREKKDGEILEWSGPGAFTDSVFRYLLVRYGFHPNEASGLKQPL RVGDVVLMPVNSFRADASEGFQGDHKVVWHG L198_04590 MSSPTIRWVKFIVYSGAIIGSGVWLQNKTVPNEKDYYNSLSPDL KAKMDQIIRQREGSKTMKEKLQEAGDKDEVIWGDQLSKRKPLFQDRRV L198_04591 MPPPPPAPPVFYSFSDSDVLVDSLANFVVKAQRDAVEKRGKFTI ALSRGSLAANLRGLVGQENVQWDKWEVFFCDEAAVPLEDEDSNYHSNYLSFLSTVPIP PKQIHTIDVTQLDDLEELADQYEKQLVNHFAASNAARYPVFDLMLLGIGPDGETCSLF PGHEILTEKDAWVSYIDDAPRGPKRRITMTLPVLTHCYRAVFVATGKEKAEMLHTILD KPEAGLPCSRVRPASPGLVFWFADADAASATETPATTFRWIDNEKEAKEAVEGAKRKA LRKQKEAEEAKTEA L198_04592 MSRQLSLWEAEERKLQPVFDDVASHRLAPASSALTRYLKKHPKS QPALIIKMYITHKMKAEEGEEEVMKIFREVMVLGGKGKEMTGRGVWWVTLTMRQMGKL ALAQKIYQDLYTLHPQAHQLLEQVFLHASAANDVPTMVEASRKMFNTTKEARWARTAG WAEWYAKAPQPSRSVGNGAFPNEVEDKNALKVAGLLVGMAGQECETSEQFWLRSQILL SSGPASYPTILKLAREQAADGSLARVWHRMEVVKEVLKRSGSERQNEWEEERQWVAWY LEEEDTAARNYAFYQYLLHATALSTDPEAVDKTVNLLENLEQEIGSKERAPALALLSI DSVLQELAQKGEAISNDAWSTRAASYWAQWCLKGSIISEVEGFTALNSARREEGYALV QQWAKKEASNEKEFKEKVHAETLLLRAQPASWVPQEDDVEKWWALYNAGLDYGRSLPP TDVQPADEAGLISVNLLLTIWSSSSFDEKLLWKAISRLEEIVEKSPVCMYARYLLIRL YRLVGAPLKSLPHLTKLSLSEIQLDNLLHVFTERGGAGEAVLSKSDKEWKAGGLVEKA TGMYKRTAVEFPEYVKDALSNETYSKIPSIKYLHSALASSLSHRALLVERASHYLLSA PSEGALPKELVKGLKKAAQGLEKGEKSEDLCNWELVYEIGGSLPLVRDIAELSPSGRV SDAWLNVLSQFWLNVAEFQAGGKVQDITISQGEALEAAEKALVEVGGKVLSVVDAALK GEEKEDGLAGVFDNLATSFTAPKQPHSVNLTLTSLLTLLRVVDLAFSRLAEAAKPQKG KKKSAYLGELVDTLRKARDQVKKRGLEIVAEIETREPEVEVREGDKALVEGIEKARKE VLVNFKGLFK L198_04593 MSPIRLNTPTPTHICPNNSLQVIDISTSPAHSDIGLRTPPAHSF TPTPPLSRRSSRALLADEGGKSAMGGLLGEEGELGVLLRTVDFAARKHSCQRRKDVDQ TPYINHPIAVANYLATTGITDVRVLQAAILHDTVEDTHTSIEEIAQQFGSDVARIVEE CTDNIDLSGLERKAEQLRSAPGKSNEAKQVKLADKIHNLESIRRSPPVGWGVKRVQAY FIWAKKVTDICAPAHPPLAARLDRLYSTAYTRVNGVYHPCHPETCGPLTEEEKERVDR RLRDLKDGDTICPEPLFF L198_04594 MASPHAHPSRSNSIAISTSTSIHSSPNPSPVSPASPSLSGTHIQ RRQSWNANIREDEDFIMHEAGGTESTPVKRPVPPHGTPTPVAATSTGVNHRPRLSERD ITWAGLRDGSDIWGNTTQATPTPQSNHPFMGQFPSSNSLDSGNFSPLDEGGLRNTFSM DRERLTPGRADDQGYDLGYGGLGKGTPRRSPSKPYETSSNISRRSTLRNVSSSLRKAS VRVVNIMGTDRRKERVGSDDDGEEILRDDDMADGDVGMKDLGRDRLDGIVPNPRPPLV LEEDRPSQYGRLRGRTLCVFGPHNRIRKGMDSLMRFPWTEPCILLLIIANAVVLAIQS APALNEPREDDGYFQSWEDYALLIFFTLFTVEMIARILVTGLLLDPDTSLSHSLFAPG GIVPTLRDYLLVTTSNIQSNLHRSHGKSRRAAWRSQVDPKLQQKATAVKKAFVGISEA PFQEAVAKQKSLSDQGRPYLRHSWHRIDMIAVFAFWITFILALTGYEATANRHLYIFR ALSVLRAGRLLVITSGTTTILHSLKRAGPMLITVSYFLIFAACIFSIIGVQSFRGSFR RACVLTDPNNSTNLITLDTQCGGWLDGDLATRSYLNEDGTESSVPAKGNICPLGQQCM TTDENPNSNVNSFDNVFSSLVQIIIIASVNTWAPVMYIAMDSDFFGSCLFFLAGVIVL NFWLINLLIAVVINTFNDIRAETKKSAFGGDETFLGTEPHWATEDRKAKSNKLLAIYQ KTEFFWVLLIVAELVVAGRGRQDYDSAFRYVMIVFTIAFDVEIVIRFLAHLPDWSTFF ERNRNSFDLVLCVACSLIQIPAIRKDDIYPWLTVFQRVRWYRVILAFPRMKPLMVTVF GNFAGMLNMVIFLFLINFLGALMAVQLFRGDLEAGETITFSQTYNSFLAMYQIFSSEN WTDIVYNVMGAEVDYKQDVIAAIFLCGWFLFSNFIVLQMFIAVINENFAIAEEQKRQR QVEAFIRKAEAPSDHLTWIDRLNPYRLMIARHRAVKVGVLPPSLVLSLKHSSGVDVSM PADLQAGENKRTKNAVKRLLGRDQDDEKIPLKKLRRHTRTQFADQEDEDEDDRGLTDL LPPLNAAVSTDEHLDAIRERRNQQADFIAAHPSYDKSLWIFKQSNPIRKFCQACVSPA YGERIFGRPANPLMSMALKTIVFFSVVASIVIAAIASPSYRKMYYAENGVFRGTWFDL VEVALGAVFLLEAAIKIIADGFIFTPNAYLLSLWNVLDAIILVTILVNTTTSLIYIGG LSRVTRALKGFRALRLITLFGRLRDTLHAVLFAGALKILDASIFMILYLIPFSVWGMN IFSGLLYYCNDDDASGLSDCFGEFSTSSVDDSLTYLVPRVWSNPTVDNSKWSFDTFRS SLLILFEIVSLEGWIDVMASVMNITGKDQQPDDMAGQWNAIYMLIFNLFGGVIIFTLF VSIIIQNFSSRSGNALLTTDQRQWVDLSKFIKAQTPSKLPKGRPQLPIRSWCYDRAVN KNGFWAVGFTMIYYLHILLLMMEDYSENLLNEVQLDWIFLCLTVLYAIDLLVRFYGLG IKSFRANGWNIFDLVVIAGSFATTIPALQASSRGDVANQANVQLQKLFLVSISLKLVQ RISSLNQLFKTSVASLPAIGNLFLLWATIFIFYAIIYLEVFGLTKEGNSAETRFQNYY TFGNALVMLAFITIEAPRCTESSNFLQTDCGTSPGAYVLFISWNIVSMFIFVNMFTGV VVESFAYVYQMPGKNSLNREEMRAFKQLWSDFDTERSGYLKRKDLTKFFARLTGVFEV RPYPFDFSIPNIVQRSTPNGSENASGQTSVVSGVKHAVDVRRVAQQISQIDYRQVRER RQIYTKLYNEARISEEPGKGISFTAMLVLLAHYKLIDDEQALQLDDLLVRRAKNERVM DLVNLDRVRGLLRTIYWRRKFIASRDARKRTLNAEAEGIPAIVLEPTPATPPGEKDSS FASSKYNASYSVHAKEELGRSPSPSRGSSPAQSPTAYYSPSPHSLPVTDLSMASVPGP RSHPSSPTFHGSTMSRPDTGRKLSDASHLSSDDAHYRRDSVSSEDLATDQVFGNASDS MWGDMMREAVDQEESH L198_04595 MVHAPSVASSHRNSAHGLTTFPNPFQDEPEQGILPSFLSKVKQT FTSGSSTAAIISQQAKPDKGGSDSNVGNYAYEEDYSKIQPPREGGQTEAQAIAEAVMR NRAQMATAAAHAASVQQGRVSTAAVPTIVQPGADPPASAPMQPAHSTSPLATLSMKSP LSTVNSAGSTSTQPTAAPPSVASSHNSTVPTKRTLVPSERQWRPSGVAPAQVTISPVT TTVQTASKEPPPSPGPRSVPFPISKPSHRTHIHLSNTAGPSSSRSATPLHHHAHSNSL GMTTGKRARRSSIATLPDSPSSLSISGMIAANAELQSHYVPGFPISQDDTRSVRSIGA VKRLNGMSRIIRRMKGEGLSKQYWMADEHCKECYDCKSLFTAWRRKHHCRICGQIFCS RCASNIINARRFGKDGVLRVCNLCLKIMEEYKEDDEDDRRSINSVSTSVHRYPSITDR AFLDAAISPELQYAKSPFAASQLFASHPNDSLTAIDESSVSGPFSRWGNELSHEEDIE FQRPFSPNDGSDGEGGQMWIGKAPKTAAPFRRPMEMDQKIQPESELPDPENETPGTPS TAPPSGPILGGAHRNVSLPRLEFPRTYTMSTDGDEGRSPLERSESSHQLIGLRTRASQ AGLTALLDGERTEGLWRARSHSFAKQPEMLSGASLHHFQLMLAQSISRADLPRPEEWH RVLSNLILKVPNNLQPNVRAGDDIDVRSYIKIKKVPGGKISDSEYVDGIVITKNVAHK TMSRRLYNPRIMVVTFPLDYHRVDNQFMSLDPILAQEKDYLRLLTKRIIDAKPHIVLA QSSVSRIALDYLLESDIALARNVKSSAVHQVARCTGADIVASMDRLVLQPRMGRCGEL QIQSFDHELIPGRRKTLMRFEGANREQGCTIILRGADLETLRKVKVITDFMSLVAYHL KMEMILYNDEHNIVPPEPPLPAEYRELLNMLEPRPSAASSLAESVTSETSSIRDPPAT PTPHSVDTLDPESPTTPKPNSTFTTNTAASSIHDNDDDERENEKREALEVTSQIATSL QPYLNTVLSSSAAIRFPPPAILAKMAELDRRLAELRQSRDEAEAAQILEEETKIIEPP KTSLLPVAVHDGGDTASIHTIASTATGNSTATSTEPSAELPSSAAMSALPTSTFSTVT HKGKDIDRDPYRVLRKPDEVSKESALAQVQHAHKEHLKLWQWHIRRFAPEQLRPENYQ GIVFLSSLGCEGTDKPCVEPALKHIDLYQAGDQTVGQFMETLTATALEPCPSKTCERL LLFHFHLLVHGERRLQIVLDQFPCPSPGHEEHIITWSYCRQCTTPSPTTILREETWKM SWGAYLEHCFYPPETPAGFSCPHDAYRDQIRYFAHRNLAVRIHNEQIDIFEPVRPSIS LQVKAETKVALKNREYEVALAKNGAFFDSVVTRLKTIDVNVVQSDKVSLLEKTIDHML ARVASDKEEMVDLLNRTYKLTPMTDVLSLTTVFRSLQDKVVQWDMDFGDIEKAFIPTE KDLKKMTATHLKRLFANQDAYSTLERGAGPPLTEADEENAGEKEVKIDLESSDYTPVP TQPTTPAPGTPDADAAARPSEEPTAIDLSSETDASATPIAGVNKVISDPMAVPAPNGA SSQRPDTETVDGEKEQGFETDSTVSALPSDFAGKSPDGAAESSALDSDAIHFVSRLPR RSAPAPSIADLVQRFNDSTKLAPPEPVSTPKMERPRSGGGSRRQSPRPHLVDVSDSDH SPRTRPKLRRGRTEQPVVRAREASRPGLLSDGDRSYAANASRIPSSYRYKSIGEGMSS EYLHVTRPGMGSRNSSYTGRSPSQVDHRSSPKLRQPTPRHAPLSPYPSSEGTPRVGGK GKTPRPPDSDRHSPNVGRTKRGGQPGSSRVTSMARHFDRLSREAERERQKRISIVRGK RARPVSVTKAKVQVFDNLRDAFRDEFDSDSSEADNEEDDLGSDDSIGSAGRPDKERRK SSPVKSRKSSSPKKDLPSPLPHPTSTSETLPAPVSKAVDFVESAPAEGSSKQEQAAAI SSSIRPLSVLSDTKSEISFTDRLKIELPSFETSAPLPSHPATPHVSTDATTADEAIGT FSTSQASDVEIGTANEKSSLLKSLSGLWAFRAADLTPLEYPLSASEHIFADSRVIIRE TEPTSIIAFTLSSKTYRDNSKSWSSNKTEGHFESFMPEEAVGTDRAAAWDVVSLDEVD DSTRRERGTHLKYDFESGTSTIFCRIFFAEQFAALRKACNCEDSFVESLARCVQFDAS GGKSGSAFLKTKDDRFIAKEITRFEMDALTKFAPAYFDYTRKAFQGQRPTVLAKIYGF FKIGFNNAVTGRTMKMNVLIMENLHAFSDPHPSLFVLLTVMKIYDLKGSTRNRLIQPT GRVNEVLLDENLMEIVYKHPLYLREQAKRILRTALFNDTLFLSNLNVMDYSLVVGVDA EKHELVVGIVDYIRTFTWDKKLESWVKDLGAGGKGEPTIVTPKQYKLRFRTAMERFYF PWVPDRWTVIGSEDEVPLEEENAAAGAALAVAS L198_04596 MPNKEEDSIFNQELHLYVVETHAPYTSWSGCISPYAQLKHILQV WFEDSHEEEYLATGSADELDLEGWRFEVYQEGRQKEDGEDAKGVVINGDESPIALGIL NAGIIYATRINPVVSPITTTPSYAACTRPSTTRSSSYASYGGGGYQAATERASAMVER ADGCASGTSMYPSSLPMRS L198_04597 MEADPLYHTKQLFYQSSFQACIDSATEFLHTPPTDDLESPEDAL GRAVYIARSHLALSPVDIEKSRAVLAPWLAGESPALQARAVDIFAQYLASPEEEKVEE IRDVVLECEGEAGEALVRAVAGGLFILAGEKEEAVATLADGAAKEDLECIAILVQLLL SLNRRDLALQTYTAAKRIGNDSMLIQAIEAWIGLKSGAQPLHQSFYFYEELYQLPSGR TPPILAAHAGAHLLLGEKEEAEEDVKEGLKSGGAKGKADLVGVGSSLGLKDFASKLQE TEPAHPYAIDLAEKTLAFDEAAKKWAVAA L198_04598 MRLTLLALSLPLALAQASSNSNTQSQSASQTASTSSNATSSAST NSTSTQASTTQTTLTTTLTTFPTTTSLSENPAPSTYTLTFTLSSSSDANSTSIDDQLA SLNTSISNGTYTDDIAPDNGTLWHEGDGWIPFHIVIDPAYGIAGAFLIITGIPVATLG GKNRWSALAISSGYALMLFTLVLILRFGVEPDINTLNPNPPSKTTRGLYLLATLVSAL IGGGAGIFFFTFAKHAISAGGGFTFAWFLLACKEGGLVPKTLGRWGLIGGMTVAWFVA GLPKLTNEWMMLVSTGFIGATAFVLGVDCYTRAGLKEFYIYNLGFHDLFPKLNGAKFP LTQTMMIELGIIGAFVIVGAAIQFRVLNILSKKLKHIQEEEDAKIEAVEVARAAERFK NVGVELEEWEGKYGVNGTGGEVVEDKEKQYAAYEAGYRERQASAQAADRSSVLLPQLG WDDSLDSRPSEETSFTHTRQASSTLSLLNHDSLSRPRRPSSSLSLLRQPSAPGAKDKK GLYESVNPDTPTPTGSIFGNLGMEELKLDLDTTPRSDPSSRPAPGMSTDDDLEEKIRL LDDIKKARESLRGSLDMLRSGTPGSSSLGENDRERRYSSASGKLLDDPRGRTTSVGSM PLSGRSTPLEPVMTQPLNDAVRPAKEAPTSEWDAYLASRQIVTPTPTSPHSSSHSPYP TQPTSATASYFPSRERPRESEYIDMPMSVARGIEERRKKTKSMLDQRVSDFGPRDGGA GGATYPQEQRHGRSEGHGRESYYGHGHHGSFSSERLLQQRFSSSASYYQPQPSSQPPP PDQGQGPQPERRSRTMSYDQLALRHRNRLSQLQMPVTHKLREGDEHEEKKKIEEEKKK WEIRQKEERIRAERAGRAREEGRESMAGRGEGRRKEEMEDWRRSVVLDAAAPALGPGP GGKKVEKRQSRIVN L198_04599 MSQNNAPPPSSPSPTPSAPSTSDTGQPPFAQGATFNSAEPLTKE NTPDTPIVNYITTDDLSKGDGDKPDFASSIFCPDQSEVTSLTSESKRIVSPLVSPQPG VSQSTATSEQESATEQVPLSPESTKRAKMSHTFNESSSSFTPPPQTSEQDSDGQMDID KEGDLGVDQEPDSPVVAPVPLAEESEEWWDLKMQWGGKVFDIRVGGNDMVYDFRKKIF TLTSIPPASQKLINLSPTLKGKLSSSHDAMRFATLHIKHNHKFVLVGTPVEGRFVDPD RAGLGAGPEGEGGDGELDVDYGAGKAKEKKGVIVKPEDDPRNKRKIQDIIRDIPITIM NQPRQGKGLLVLDLDYTIVDTKPLLSGALPPAECARPGLHTFLRQVYEHYDVAVWSQT SWRWLEAKLVELGIVGTEGSGFEVSFVCDRGPMFPVFSHRSGQVVKHEVKPLEYIWAS YPHWSAKNTVHIDDLSRNFAMNPGSGLKIKAFNSAGTGHGANDRELVRLGEYLVRIAT TVDDFTTLDHGVSL L198_04600 MSASLPVYPFLPSPYSGPPYDAPYAPFDPFHPVDIDKSRTSPRP RSAVAHPTPAINYPFHQPSPGSYQQGGQCQLPYPNLVPPNFGSSGGGRYSPTGSAAPT SGTQNDPTSFLDLDLSQPGPSTYRYPYPPAAQAQGQRQFTSSPTSSASLVNVKVEDPL STCPGSLAGSDIQDGLGGDAEGEEEEGEGGEMDNEEPLYVNAKQYHRILKRRMARARL EELNRLVRSRKPYLHESRHRHACSRPRGKGGRFLTAEEIEQLKKDEAAKPKEVVA L198_04601 MASISRHVLKRGYATAAAAPAVKAPIQLNSLTGTYATSTYLAAL KKSSKDLEALAKDVEAFDRSIKEDAKISAFIQNPTLTASERAAALKTILPSSSSPILL NLLSVLSENGRLSAAPKVFADFHSLMAAYRGELEVIVTSAEPLDNKALTRLDKALKTT EIAKGKTLKVVNRVNPSVLGGLLVDFGDKTIDLSAYTRVQRFNNALTQGV L198_04602 MAGTQERHKSAPSDASKPDDLAIQELSAALSSSGGSLRLAAKRL AQSAQRERLQSQESQGSVSIDDEAIVEDTPEAKEEKEKREIMERAKQRRAAQMAMFAN DPEEAGYLEQYQDQDQVVEDGDEEGEAVEENGHMQEIIPGLWVGDLVAANDRKELAKY GIKNIVSLLRPALMFPDEYSVYKVEIDDSTDTDLLSHLPGCVSWVRTALDGFGMLHGH GEDDDEEEYEGAYSEEEVMKEMESQRPKDMPAEIPTPHPAPILIHCQAGMSRSASLCA AYLISTYALSPDQAIQLIKEKREVIEPSVTFRGQLQVYWEARGKVSLKEKGVRMWYME RSAAQFINGDGSAPSLTNVANYSGSPSSSNPPTPRGYARRKIRCKMCRRLLAVRDHMM PHILDQAPAPSLPPSRPRTPSAGEETERKRGSFSFGAGMTFTDVEDPSTSSSATVRPP PFSERSRRGSQVSEVINPLTGLPGASLSRRGSHGSTASPVGLTLYDRDTGKKDESEPA SPQVPLPKSKSEPSSSVPVPAPVGRGGRPLQSADQLMARLPPHLLALRMAGGGTGASP ASTPGSTAPNSPIHPSPPANPAPNASTPASASSNPAQSPSRRRSLLSMTPAGASADEA LPEKAGAKERRGSNDIHGLMGYTPPPILVNPKCSGYFVEPLTWMEPTLASSAVAGKLV CPNEKCGVKIGNYDWAGVQCGCKEWVTPGFCISRSKVDEVW L198_04603 MSKSFSILGKNIKANSPADLEPYLSELRAMEDVEEVHFGGNSLG VEACEAVAEALKGKNNLRIADFADIFTGRLISEIPQALSHLLSALSSVTSLVELDLCD NAFGGRLAETLVPFLSSHTHFSVLKLNNNGMGPAGGAEIAKALKQNAEKCKEEGKEAS LRVIVCGRNRLENGSAPSWAEAFRAHPGLREVRMPQNGIRMEGIQAIAEGLTGCKSLE HLDLQDNTATKSGTRAIVKSLPSWPSLKHLNLSDCLLGKAGGIALTTSLRDGSNPKLE SLKLQYGEMDGTSVDILAEAIAQHLENLEVLELNGNRFAEEDECVERLKKALEERGHE DALDELDDMEEPESDEEESEEEGDGVDDGESDDGKIPAVSDKQTDDLADLLEKVHVEN K L198_04604 MSAVAPVNPKPFLQDLTGKVVYVRLKWGLEYRGYLVSTDGYMNL QLANTEEIENGKSNGALGEVFIRCNNVLYISIY L198_04605 MIAGFGGFGQSNNNNSGTTSFGGFGAKPAGQTGSLFGAAPAQPP ASGGGLFGSNPAPSTSTGGGLFGSTAPASSTPAAPAAPASGGGLFGGFGQQPAAGSTT PASKPSFGGFGSTTPAAAPPAAPAGGSLFGGASAAGGGGLFGAKPAAPAGGSAPASSG FSFGAKPAESSAPAAPSGGLFGAQPAQTSASAPGAPSGGGLFGGFGAKPAASSAPAAP ATGGGLFGAAPSSSTPAPATSAPSGGLFGSTPAPPSGGGLFGAKPAAPAAPTGGLFGA KPAEGSTPSSTPAAPSGGLFGSAPAAAPAPTAGGLFGAKPAAPTPAPAAPPASGGLFS GFGAPKTDAPAPAPAAPKPAGGFSFGAPAAAPSAEKTEAPKPAGGLFGTAPTPAAAEK KDEAPKAPAGGLFAGFGSAPAAGEKKDEAPKPAAPGGLFGGFGGAPKEGEKKDAPAPA AGGLFGSKPVEPAAAPAPASGGLFGAKPASSTPAPAAGTPSVPAPAAGSTTAPASKEK PSESAPNLLRGKTLEDIVESWDRDLEEQVKEFERQAGEVREWDKVLVRNGTQITNLRQ NLLQAQQTQAAVDEPLAYFEAQQTQLEQVLDMYEREVQKANSGGAGGEGGGVRVGKMP AEREREKAYTLAEDLNKQLDDISRNLSQMIEQVNTLSSPSSSAPPTSASLASSVAGGR PGTPSQGQAAQAASQAQMPDDPINQLSAILGAHLRALGSIDGGVGRLGEKIGELEGRN SSAGGQKSAFGGRGFRR L198_04606 MSATKQGATAVHGSNPQYLIEKVIRARIYDSLYWKEHCFALTAE SIIDKALELPAIGGVTDRQTPTAFMCLLLKLLQLQPEKEILVEYLLAEEFKYLRALAA FYIRLTFKSLEVYEILEPLLADYRKLRVAHAGGYSLTTFDDYIDELLTQERVCDTILP RLTQRSVLEEVEGLAPRKSLLETQEESKGHRGRSRSRSRSRSRSLSASPPRYTSRSPS PASSTSSRGDRFVSRSPSPPSGSEDGGEEGDTRDRFISKSPSLSPDRVMEIGAEDVLE GDV L198_04607 MPPKQGDDDVSLPKATVLKIIQELLPDEISASKEAKDVIFDCCT EWIKLISTQSNMVCEASSKKTISPEHVVEALKQLGFEDFVEQVEVSNADFKQAQKERV RSQPDTKGMTEDELLELQERLFASSHARFGGNGNAQ L198_04608 MSPHESSKFTQAIMSILDTFPKGTEGAGGVGGSRGGDDAFSATQ SGGFGFGLGKDRSRGTGFSPFRSGAGQDSLRNVVGFSGRSQSADELIEEYEILAEQIN VIQTDVELVEWAKEHVFKAQAVPEPQIASESSKLLGEATGEIEATASATHDLPPLLQF SPVYPKILARTLEVLRKNFNSPHLLLALFHHAQTSSLESYLSGCGTAAYNQVLLCRWE SFRDLEGVMRGVREMGMMGVKWDRETNRLVGRVVDEVGRDMLEEGQGSRWGKKEDVLI MLQQLEERVEKNVLDEEKRRDYEVKMKRKDRERKEAEATREATRQAREETDVWV L198_04609 MNAIQDSPPGRALPWGHQVSTPHSQRRAGLRSSQAQWRSSAWFI TLVVTYGIAIDTLTDAIVVPILPFRLLDLGYHNIASDTGQLLFARSAGIVTFTLPVAY FFHKYPYRRLPLEVSVLVMIAANVLFMLSTQFWTMILARYISGAASTVALTVGLTLIG ENVKEVKAGRHLGLAMSGLALGQSIAPIGTVLYEHLGWKAPFTFCIGALCLDLFLRLF VLERVNIRVFHEKRLGLKPDSLKPKLLNGKITTPVHHHIRDFSYAELNKAEREALLGI DLNPWQVLKAMVRSPRGMTAIMSMFTYGLLSGTLQPVITVRIQELWNKSSDTVGLVYF IATFPTLISGPIVGYGADRWGAEWVMLPSMLLVLPWPPLMLETGGIGAFVVFFLFINL FSNYAFYPSGLESTIIARRTQGISEIHQFGIMEVAFATATAVGTLVGGHVYDEVPSNA GWDAVMWFLFAIALLSLPMVFFFSGDVPWVKKIFSRKQPKIAGQSVCMQRLGDDNEDN AGGEKKRMDDKAEEAWLEMKAKMEDDQREYSKQKKKSDSSNPAFEV L198_04610 MLNNPVVETFGMVYHSCSHIGNAADILTCAIIVPILPYRLQDLG YSDISTLTSWILLAYSGGIVSCTLPVSYIFHRHPYRRWPLVGAVIGMLLAMVLFMLGK LYLMRYGSEHIYSRSLQYSGVDGGLLPHAPPIAGALYDRLGWNAPFISCIIVLSVDLL LRLFVLERSDIRKFHEKPLFLRPGTLKARLVDGRIVTSDDQGFKDVGFTELTKAEQDT LYGVELKPWEVLGASIKSPRGMTAFASIFVYGLIGGALQPTLTLRVQERWNRNSEFVG LIYLAAAAPTLIAGPIVGALSDKFGAEMIMLPCMILLLPWPPLLLLRNSLAELIVYSV FVNLFSTCAMNPSGLEATMVARNIQGVSEIHQFGAMEIAFGTLAGGQFYHKIPNHQGW TAVIWFIFGVSVVVMPMMFCLAGEPELTLAMRLFGRWDWNLGQGIEQREKRKNRHESF NEIP L198_04611 MPKSLAAFAAALRSNQKRPTLPSLPDNWVQQSVAVIVPTEPGCS RWLSCSCCRRQVVQPEVESRYPRVSDPEVTFARSSSNRVAQPRSLRSQTSTSAPLRKR TPFPSVTPPSGSKEFSSSSHHPTPFRRTFFTSNPLHGSEYAPIPPSGVPRLSPEEERR PILYPDPNPRSTPHLPLPPTLPYSPDSHPFKRHLSILSLSIVASHSDESWAVYCAIHD DLRKYIPDSTFRALLLKQCQVKENSLAEQWARVKELLKLGKECDMTLEDMGSEVLEKA LEMGVDAALAGETRKERKRLRQIWFALTNSLPNLSIVPLATRKRWLQLQERLLIDRSE CTSKPSLLNQSTLMEESALNMVERGGAGGVETWVARVLIRSRGNAGDGPRQIVRNLLW CGVKKATLPRTYLYDAVWGMVRRWDAKEDRVALLQEELKAILEEVEATAGSMAEVEAL KVMTRVIDQLRRHHEQVSMTLAALDEGKIDYPAQIYKGVEFAWKAKDSEEKEAIIHLE GAFRLFQACLVHRDADPTPLVASLSHSLLQLRPRYPKPIDQIIVPFAQAVYQARLFST LPPKIITALHRLNLFALPSEDAYILSRKTYDQARAAEPPFAWSLKNLHSWQELFACAL TREKPHVHFASRLYTDLIADGLHVPREQALLMLRCVGAKPSPSRPILLERHIKDYIWF GYRDKTAFIHAVVKGLTGKGVKDAELALTLAERLSAGMGEGAELEPIVLELIIVNLAR SSNPRVRQKCINLLHRLPPGTATRSYNTVLSFLASQSRANPSVNAEDELSPRQVLTLV ITIYKDMVDRGVARDGRTTSTLLRTLTDNGLLDEGIKVLESSLQHDILPKSHAIGRLM VRLALSGRLTEALSVEKSWRQAIKGIVGGVLKENKVWDLAVVGARALVDVKMGEEVDF AELERQTGWVASKEYMVFLRDQKPRLGSATKEGEAGEERLVQGAQAEDRLHGIESQEG RHLGWL L198_04612 MSRRDILEVTHFFPSETHDAIPVAARTLEKLKRLTGRGSGNSLR PEEVTALPAISALLACEVVQSKDLDENSAQKLSHTTKQYFRSALSKASQLLQENAEVS PSRSSSKRRSVTNSTSPAPSPSPSNVLTGEQVIAAITPRKTKQLYDSRRSQSLLTPSQ FLNTPDKASPLRQSHVRIPSAQQMEGSPSKSGAGADVERTPTKKVKYSNPGIDLEHPP ASYTRSGKRKGESTDAFFSTMATTPAGLKLAAKGSRGVDSEDGMMGADWLKRPTSPKK ARTSREKGSRDKKRRKVDWTFQERNENEVSRNDLDDVRHTKETHLLLGN L198_04613 MATLRIRTSNTTPPNVLAPNLPLSSSLFNGQPYKIVLLPASALT TGTRAVLFRILDQNMKTIQDNSSFPYTEESKQEEMFDPTSRFLLALESSASVPALEGK RPEEMSSVAELTEEDVLGFVEWRFDTEETLRGSDVEVAYLYEIQLTSSSKGLGIGRHL MEILEEIGDRRQMQKVMLTCLKTNPKALQFYAKLGYEADEIDPTRMAEEDSESDGDSN DSDDEDEEVVDYVILSKALSRLKR L198_04614 MSKPQPQPLIQLPALFSTVEPGVFRSASPNPAQVPFLASLGLKT VVSLTAEHPIKPLLQFVRDNGVDFVHLGLTQWRRPGTDWKPVRYEIIKTALESYVLDV RAHPVLIIDPLGVHQTGCLVGSLRMMQGWNFSSALVEYRAHAGSKHRYVDEQYIEASD HPSIFTFEQT L198_04615 MPRYIPASLLPTHLRPAVRALPTTPLVNALRQLPSPTDKLLAIQ SQIPEALPPNLRVQDWVDGRGRWSGVSQRERLGGKKGSNWDIEKRGRQWGGLKWALRE R L198_04616 MSTTLGRALVGIACLALFHAAYSTYEQLSTLKALSRPTSDLPTS IITEAFLSLITFIIGIVLSTGELKDVTYRGELSHRTIDDADARMGFMKLSSRGKAIFG DSL L198_04617 MVTATIPKNFRQWHSPSARPEVIHELIHGVDRYNPSNLPFMEEY LATQVKEGQYDLLAYLAILKLYQFNPQHSNPDVIINILIKALSATVAGPDFNLCLEML REPSVCLSEDEALVVVFPYLQNLHELSRSCQFTKFWAEINSNSEAANALRTRYLPQHY APLDSFRFIFSTSIASCFRRISLSQLGRWLDLPQDQVVEWCKKVEWQVEGADAVVPAN GQNDVKAGVVKENVQLNQLTKLVAAAAY L198_04618 MDGIEEQSSSTQSSQQHFEHTSNIRRSSRLSISTTAADLQSAQS APPGAKGKKKVTIDQSSSGSKSRDPPATASHSSTASGASQNLDPKGKKRATSSTASLS TDIPPPAAKKSKRNSVSSVGSSSSKRYDLRTKSEAQSAESSTAKGTGKGKKMAPKTNP KKAGASNDRSKKGDTSARHLIGVDYDEDDSMWVDEDPEEGDATMDDEEMMRDDYDHDD DEDDDEDDDEENEGGGINFDEATAALFGPGFRVFGGGAIGGGLSKRLQRLKKKLRSKK IATRLASLRECSELLLVSNEDTLGGVFSPGGFAAEFIAILKGEPNVEEGESDEEESNY DEMDEDAQLAAALALSSGGEAPEAGLGGEEDELECQLVACRCLAHMMEALHGCGHTLV HHGAVPVLCSKLQEISYIELAEQTLSTLEKISAEYPSAIVRQNGLGALLNFLPFFSTN VQRTAVTAAANCCRNISPEHYDKIKAVFPTLRDTLSSGEPRLVEQATLAILRTIESYR HNSGHLEGLLDHDTMAAVNILLMPSAGSPLITPPTYTHLLKSLTTSARGSARVAIGLL EAGMTSTIYQILTGVLPPSHDEDEQGGSSGGQGLAGGVADMAILQNLAHRPKEQVEEA LALICELLPPVPRDGVFDPRMYSEKNLYKVKKGRKIESSADRVRRSTRVAEAVSGSNS ASNSAGPSTPAAPGEPTSATPIGNTGDVLANLSASREAAVHKAKKELEAQMQLRYELC KSNTDLISSFIKTVVPVLVDVYAASVVLRVRTKVLVGLLKAISFAPKDQLRATLRCVP MASFLCAIISSKDNDAFVLHALQIVELLVIKLPDVYQVSFLREGVVFEIDRLAQEEMS KEKAAKLAAEKETVNPEEAADAVQPNPLLLASNPSTPASKKHSAALDPRDSNIVRARM LIARKVFDAGGENQLAASKVLSDINGMVKKLCLPETTEPELRETLRSIASQFSRIDQG LSSFELLQSGLVDGILEFVEITGEVSSADRRAMFFEIFSNTSVSSPNPLTILVKRLHE SLGRLENFEVETAFGGGADPMRPSSSGVHRTLRVRLCAEGDDIPKNVSQLVITIQAIA SVKAVHDYLRPRIADGNFGGGGLSQMFAAYAANRGGGGNTSASRLLDALMGASRAGSA AAGGPRSLEQPPSGSPNQATGSGLKLPERRRSARLNPSSTTEESDAGGSAPAAEDSVG AEEAEHTSTAATAVPAPVEGERAGLPSLPIGMDFGDDDEDDYSEEEFEAPVSAKDLVR FLLLLISSDGSRVEAKTPQGTRIATPQQSVPASASAGPGPSTSTTSRVTPSRAASGSY AGALKTEPTDWHLEFSLDGKKLDMEDIVYGAVFREKHLTGSTSTADFNTAPTIKFRKV EGPAPVKETKDPSNVASSPASVMSALPEEPAEPTTPTWKILHLLRVVHDLSVEGRENL GDVDGILVENLFVNNKLTAKLTRQLEEILIIASNCLPEWATSLPKHFSFLFPFDARYN FLQLTAFGFSRLLLKAQQAGGGRHRDDLPNIANLLRRKVRISRTQLLESCAKVMEMYA TFPGTLEVEYFDEIGTGLGPTLEFYALASTEFARRNLNIWRDEDSSLPGTYVHHPHGL FPSPLAGFGDAVAPKLRWFKTLGLFIGRSMLDSRIIDIGLSKIFFKLLLGRPVKKNIT TLKAVDPQLARSLERLQAYSSARREIEALPLPASSRRAKIASLTVGGAKLGDLALDFT LPGYNIELKSGGAHIEVDDGSLDEYLELVLDWTLGSGVAQQVKAFQEGFSGIFPIKSL KIFSLEELQLVFGNADEDWSRETLEQSIKADHGYNSESRAVQNLIEVMTSYTKEQRRH FLQFMTGAPKLPIGGFRGFTPPFTVVRKPHEPPYKADDYLPSVMTCALYLKMPDYSTK EVLATQFDRAMRDGKGSFLLS L198_04619 MATNTNSTQQTTQSDAFLQCCFVIMKAQAKDKKADALTRVVVHS LLSFNILAIPQRERTLSSQNIQPIVDRVLSDPSVSRSGSATLPSGESVTYTYVPMTGG AYGSGGNKQGGG L198_04620 MNLTPLFLLLLPLALAHPSPHVNPHRRLARSIAHADANRPILAR AGGVIDDATESSIAADQAASTSAARPLTSAAASGRSTSAAGEASTSAVASSSAAASSS TAASSSAAASSSAPVSSIVLSTSAASSSAAESSSVVPSSTSSEAATSTSSSVVASSTA PASSSEAATSTSSSSSSSSSSSSVIPSTTTVAQSTTSQAAASTSSPSSSSTTDHSTVV LVTTASRSHSSTASSTASSAADSSSTSSSGLSKGALVAIIVVASIVGLVIIGWTAFRK WKLRPSNRFGNKMQPIDFSPQNDNMDDDFFEKTLHRTASQSSTNRQRQELVAQLDDPN QVPGVPAHDFTAGSAAVGAGVGAYGYHDDGYGHAEQYEYDQYAAAGEGQAYEHAYPPQ PSHAAPHGYDYPPTSPIGGLTSPLQVLGTAITTGSNEGYADLQRGPSIGSGSGHGQAG VARAMSPQGMYLQEQPMSAGEMHFPSEMHFPNPHDQQYGGLGRPTAGAGADGPYAQAS AFRY L198_04621 MSLIGRFEHLPNRPKSDQARPLLEKIASQVKPIMSKRGWKVGTL AEFLPADPSLLGINTNRGQRINLRLRPPGAEDTFYEYDQLVLVMLHELTHIVHGPHAA PFYTLLKELEEEYYALKTKGYSGDGFHSDGHHLGAVRRNEYDGKKKGLAAAEERLGRA KLLGKGGKLGGSGSAGKSMKELVAEAAERRLKDDKVCKTEEHSDEAEKEVKKAQEESI GVDAGDLPTSTLAPTLTASTPKRPASKHLEKEPVASGSATTPIVIDSSPEPKDLSAPP RHSPPAKPKSIIPRDWSLAPSFDLTTLFAAEKPAREEAGLALAEAAKKAGVEFFAQIG FVDAIVKALNDKKSQAAREGACEVIQVLADNGAIQVLEPYVVSSAENGIFPNLLEAFA DKVTPVKVAAVAAVSAVVKHMNHWAAFVILPSLLNLIRTSGKWQIKVGCLDILQQLVK AAPYQTGEAMPQLIPVLAEAVWDTKSDVKKAAKATLEKACALVENKDIEKFVPALIKS LLNPIEEVPKTITLLSATTFVSEVTAPTISLIAPLLIRGLDERPTATKRKVCVIADNM SKLVDSEYTVRPFLPRLLPGLIKTAETIADPEARSVANRAIVTLRRIGKVPAESDGSD LPPLPIAEGEHLATNFVALFQKHGGVAVEQSNPGLAYAGVLAASLVNHHNFDQKTWES TLPAYLKLAAPSYDSLPAVRELLQKKADEAESGDEKFADEEEGEDLCNIEQFNLAYGA KILLHHATMRLKRGHRYGLCGRNGSGKSTLMNAIINSQVEGFPPPTEVRTFYVQHDID GSEAEISIIDWVLADKRLQATPEEIKSTLESVGFDEVKQKNSIGSLSGGWKMKLALAR AILFKADILLLDEPTNHLDVLNVDWLINYLTSLTHCTSIIVSHDSDFLNRTVTDVLHL NNFKLKRYPGNLEAFVQHVPEAKSYYQLDVAEDYQFKLPAPPLLDGVKTKEKSLLKMR NVSFQYPGSAVQQLYDINLQVSLSSRVAILGPNGSGKSTLVKLLTGETEPNLGGQVWK HPNLVIGYVAQHAFHHIDMHLDSTPLEYMLWRYQTGEDLEEMNKATRVMTEEEIQKMK EGATVIKEGVKRFIEEVVARKKLKQSYEYEVTFKGLSSAENMWFSRDELVGRGFEKKV IELDTREAQRLGLMRPLVRREIEKHMEDFGLDSEFVSHNSMRGLSGGQKVKVVLGAAT WRRPHIICLDEPTNYLDRESLAALIAALKNFEGGVLIITHNREFSESICSEVWAMRDG YLEASGHNWVEGQGSGERIDKKADGEEEVKYDALGNPIAAAKKEKKLSAADKRKAKKD RMARRKRGEEVYTDEE L198_04622 MSKYPALAEPVTLGALQLPHRVIMSSLTRNRSLPTTVPNDDNVK YYAQRANPETGASLILSEGTLISHQGTEWPYAPGIWDETHAAGWKKVTDAVHAQGGQI VAQIWHTGRTCHPDMPEQSVGGEPVWAPSAIGARGGKFRLLPGQPGYISNPTPIPDPT VILDQYTNAAKMAKLANFDGVELHSGNGYLCEQFLSDVSNVRTDKWGGSVENRARFGL EATKRLIDVWGADRVGIKISPLGGYNDTYNTTPESRIETFRYYVSALDDLSLAYIQIM ASTFGDPCHGGVPQGFDHDIFEHYGPLIKKSNLIANAEFDAAKGEEAVKSGKAKAIVF GRPFVANPDFYSRAQNGIALAEPDVRV L198_04623 MSTPYPSLFRSEEMSLVQLYIPSEVSHDTISELAEMGNFQFKDL NPTLTSFQRPFNTRIRRLNESSRRLRLFRSQITALSPPLGIPPLNAVPPFTTVGPRAQ NAYDELEEKLKEHEKRLAEMNKSYEELGKRKNELEEKRCVLRETAGFFDEAGHRHTEI RTSIDENDGTAPLLEHAAEYGSLPGDSSLTGFDLEFVSGTVERSRMPMFERILWRVLR GNLYMNYSEIEEPFVDAVTGQETHKDVFIIFAHGAELLNKIRTVAESMKSNLFQIDSA QDKRADALREVSARLEDVDNVLYNMGQTRRVELGKIAEALEAWTDAVRREEEIYKTLN LLSYDQGRKTLVAEGWCPTRDITNIQLGLRRAMDTAGTSVPAILSELRTHQTPPTFHR TNKFTEGFQTLIDSYGIATYQEVNPGLYAVVTFPFLFAVMFGDIGHGTLMALTAAAMI FWERQIAKNGVNENLETFFFGRYLIILMGIFSIFTGFMYNDIFSKTLHIWQAGWEWPS NSTGLVEAVSTGTVYPFGMDPTWHGADNALIFNNSYKMKMSIILGVIHMTFAICLQVP NHLHFKKYLNIYAEFIPQMLFFHSIFGYLVVCIIYKWSVDWSQSSVGPPGLLNMLIYM FLSPGAIDPAVQLYAGQGFIQTVLLLTALVCVPWMLALKPYMLWKDNQRIAAQGYQGL QENGNGDGRPSISTEADEEEEVGMAVAESSDDEHGEFDMGDIVVHQVIHTIEFCLGCI SNTASYLRLWALSLAHAQLSEVLWSMTLDLAFESTGGAIWRGTFLFIMFATWFGGTVG ILCVMEGLSAFLHALRLHWVEANGKHYMAGGYPFTPLSFSALGAEEGA L198_04624 MALLYSLRMALYSLLLLTTSFIAVLIGVLCTLTRQRLNTNYYVA RTFWHIAGPIIGWKFDVEGEDYLWSLSESEGGGKAGKEGRSMVMVGNHQSMVDILYLG RIFPKHAAIMAKESIKWIPGLGWFMLMSGTVFINRKNNKSAASIWIFPEGTRHNVPDP DLLNFKKGAFYLAVEAKVPIVPVVCENYNRLMDGETRFKRGTLRIKVLPPIPTTGLTS ADVPALMTRTRELMLQTLKEISIPAPPSSSTGSSAASPTPLLGRPEQDREGHYGTGSP ADPVGGEEDGEDAEDAVEDAVGEEEASKGERVVPLEDQKPNGGVAKRSKGGKKLAIAM VSDFFLPVVGGVETHIYMLSAELIKRGHKVIVITHSHPNRIGIHLLPPALKVFHTPIP PVTASQATQPNYLHLLPFFRSICISEGIDLVHGHGAMSSLGIEAILHAPLMGLKTCYT DHSLFGFQDWPGIVSNQLLVGGLRNVDQVICVSNTGRENMVLRAQLDPALISVVPNAI VPEQFKPDPSKADPDRITIVTIARLVHRKGIDLLISSCPSICALFPKAHFLIGGDGPK MVELLQMVEKHQLQSRVTLLGRVLPGEVEGVLRRGQIFLGTSLTEAFGISLIEAASCG LFVVGTKVGGVPEVLPNDMIEFCRADEDDVIRALTHAIKIISSPNRPDPFESHRRLAQ MYSWSDVALRTEQVYYRAMARPERDVGERLARFLTVGPVYGPVMCCIAAVSAAWFSIL AFWNPKARLERKTASL L198_04625 MAIPLAHFDATRKQNYVEVSSAGLGAQIVACSDDFFVSRHNLIK PTPSVSMKGQFGPNGALYDGWESRRHNPAFDWIIIRLATPVTSIHYVDIDTSHFNGNE APQSQVFGLTLPLDGSVPKWTPKNEGWEEILPLVDLGPDSRHIFEVNNVGKQGNFGAL MVRMIPDGGMARFRAYGLPHPPFIPKSLPTNWQSLEATNLLSPLIGGRIIACSDAQFS PPGNLLLPGRGHDMSDGWETKRSQAGRGKKEWVVARLGVPGVISHVEVDTAFHPGNYP RECSLEATLSSDESLDSATWTTIVRKSPLGAHRQHYFNLEPSIPATTVFSHIRYNIYP DGGSKRVRIFGHPIDPTSPDAQSASEELIIPALPLTPETFKEYGEVIQGFSLPTSAPK GINVNIANQGTAFKFHRLALPRHTYPPGTLPKGGLHVGAVQAKSKLDISLPRKIRVDL LERHAHSTQAFIPMGAEEGKKQGGYVVVAALAGPDGQPDLSTVRAFVASAAQGVNYGV GIWHHSMLTVGGDLNYAIVEAQTSVPGQIMDLEKVKPPKELSIQVPAFPFTSSEATSL VRPQPYNLTSTLSSLLPTGSTLKPIPITPENFAPYGQLITTSPSSSHTDTEHAPDGLT VKHNRLAPVTTSYPEDAGAVTGIAVFRATPKVGLERGKAFDLRYMERHAYTSQAFIPM GKAEWKDHSEPAIPSGGQFLVVVAPAHPSIPNTPDPTKVEAFLLPAHQGLSYNAGVWH HPVLVLDETMDLACVETQVATGVVDSDERDCELVSWEGDEVFGRVFVPEA L198_04626 MKHALSSTVFFGLMGTACQALTITFPVATVGEPGWWSRGDHLIR WEDYDASKTLDISLTSPNSTTKQTILNDIPADQGVAVFTSPHHLADGDYIISFSVKPN GEDEASNFSVWHGIEPPLDEDVKWSVYGQAADDDTGGWGDDSAASPGISKGMIPYVLS FAGLALGVHAFF L198_04627 MTPTNYTSKHPESLPVLLSALRDLQLTDPKAIRATTHLYPSSMY APQEGYRDRKIVSWKMTEHMYYKKAQIFPTLARGLFTERLEDGDLMPPGVEEGEERIV LRGYDKFFNVGELAWTEWDSMAKHTIGPYHLTLKSNGCLILISALDLEHLVVASKHSL GTTVEGGRRGEQRGNVAQKVEANETSVEKSLKALDISESAESEQATPYSAEADVATDY DNGPSKSAQKRAAKTAQKEAIRSQKEAAAAARAKAQEAARSGIPARAREEAKEHEEAL QHAEVGRQWLKKTLERSGKTEKELARKLWEGNMTAVLELCDDSFEEHVIATPEHWTGL HLHGLNLNTPHFATLPPESVSSIAQEFGFIETKYLTMDTLEEVKTWTDELAKTGSWEG DMIEGFVVRATVKDVPHDRGSPPYKPDAPFFFKVKFEEPYLLYRQFRELTRVLLPLAS PDIKPAEKEAVWQAARAKSKRAELKVYAQWAASMMEQEPTLFDDYNKGVVRVRERFLA WTEGEGKSKWIAAREGKVDKVERKNAKEGLPKKWIIVPIAVPGCGKTFIGNALASIYG IGHTQSDDVTTKRSAPAFIQSITSLLLSSPSNIVFADRCNHIADHYRQLAAIASDRKL AKYDVRLIGVTWGLDEIPYYKVLRVLSDRIVARGDNHQTLRPDLSVEAEHEAIVGRFM RNYAPADPEIFETFIQLSVLDNARESLGKVIEGLEKIICLPKPSEEQVLAGIQAAEQY RVTTPYHPAPKLSKPTRFYSLQLEIDLWEFASRAITSLSHGQTSQNGAKAFLDDLRRD QRITSRPHVTLSHETNVKAERLEGGRAAEDGTPLETGQDAGLEETMWETCKTVLTSDY PTLYDYALSYLVWDDRMMAFMLDDLQPASASSTERTADDSHHIGKILPPTARRDLHVT VGTVSQEVNPFESRLLVRQLRDKMERGEEIGESGEGEQVADGGGKVRWLRIQGLRGQG RLRAMG L198_04628 MAAAELVPDMITDVFNRLVNSCHTKCISSNPLNHRYAEGDLLKG ESVCIDRCTSKFFEVNKQVGERMSAMGNAAQASGSFSR L198_04629 MDYQNRAGANKGSGGVAGASETAIDRRERLRKLALETIDLAKDP YILRTHLGTLECRLCLTLHVNEGSYLAHTQGKKHQTNLARRAARDNQDQQLMIQAPQV EQTVKKAFVKIGRPGYKIIKIREPVSQRMGLLFTVSLPEIKQGERPRRRFMSAFEQRR EVPNKALQYLVLAAEPYETISFAIPSKEMVDAEEDPESTWEHWDGDEKVYSCQLLYK L198_04630 MSLFPSKFDTSFSGPTHAFLAAAKSGGKNAPKRKRESTGNTNTP GGKGQDAAVKQADANFEKLLKRFGDSSVVKEKGEGKEGMGQLPGQGKKKKQRQEKAVE QSPAPSFALKADKGRKSISAFDDEPSSSPAKSQKKNKGDTPQGKKSKVTPATKPAKVV PVELPIPSIPNAGTKLQVGGEGMSDMQQKMQDKLGGARFRWINEQLYSTPSTEAVAMM KKDPKIFADYHQSHRLQTAAWPSPPLPHLVSLLSPLRAGAIIADLGCGDAGLARELVP KGKVVLSYDLVGDSGWVVEADFLTHVPLPGRPGVAKKNPAASEVVDAVVCCLSLMGVN WVGGIYEACRILKQGGEFHIAEVTSRFVDIPAFTELVISFGFSLEEESQSSTHFTLFK FVKEAEVPQGPARGEKGWDARVKEGEGILKGCVYKKR L198_04631 MSTAVHESAVDSDKRAQKLASARKKLHTYRASRSSADSHPSHTR QPSPHKIPLTTEANTNTPAMPQHKREGSKGQGKDFEEPETLKARHRRSDSQAHRRQRS SISLSTSGQGLSRPSIMGVFESPKEQEGPSSLISEEGQGGHESGYEEAAARLSSFSFG SKPTPARGGTFPPKRRSHSRQPSAPLFDMAASPLSSPTSPNRLSTPSSRPPSLLLTRP TPGLLPSPNSLAGPSRVGSPSSPPTPVRRKQHSHTRSNSISLPNLKLQNAQVRPTSLG VPISPGFSPLTSPVSPNGDISGRPSRVGPLNGQRLKFEPSGRGAEAEKEREESRKQAL EKLTGAPLEISRSRSPVVESLVNEISLPELDDEDVESVASSARPLSGLGGSGSFSWST QSSLSLPLSAVSSTSSPLAASPFSWASPTDERTFSDRPLSGIGFGLTSSLPAPVPIKE EPLPSFGDFMSKQGKRPSMNRQLSALQEVDESEEDEDTELEDVKEEEEEEARQTFRPS IIVPALPNIHAQPAPVPASVVSPTGLRQLRLVSSVASPREVSSPADSHRSFSIAHATS PPASISSAFTHGPPSPTKSYGTIGRGRPKPVSMLQSEAEDTPKRTVPRRSTPGSGSRG SSIPYKKDTSSSTGSADLGLTSPPAAIRPLGSPTKRTWGRPCPRPRGLAGDVGSGRVL NEVDETDEEYPFPRIPSGPSAPTQDKRLSLFSATSERVSSELSRDSFEQSREQDRWRD VQLEMERENQQLRDDVEFWKGKSMGFEERYESERREGLVLRERVRKLGNRLSSISSLP TERQSFESSAHTAESRMVAEMREQLFQLTGKLEQERKAKELALAQLRMVVAPRNEKDV ETEDAPELRCDRSESQRDSTPSIVTPDPDRRAPVSPPVTNPAHEQLSTITDAEKRLTS DLSTRFRGWGFPSGPQSTSSSTEGTQTSLAKKRESFFGLSNPLRRASSDDAPASRSGV DLPPFDIGLGAELGPDMFGQAKRVVSDPANPYSLSMPTIAPGKQSGGYAGFGLPQYPQ PSVQARHHQAPEYGYGEATGVKKPLDFSRTCECCVGEVIEV L198_04632 MFLLAWVSLLFLPFIAASSVSTIYWPVSHPDPNNPWVIGENNPL AWKTGGGTGVQSFDIQLHNANKTVMVGFLPIALRVPMKRVNRVYGGSLEVELDSGVPT GDGFFLVFMNTYHGQVYGKASRSLHSKKFSILDATPSNYTASALPTGTVTATLDTVPN PTQQWAITLDGIDADATATASATNYAGNAGSGS L198_04633 MPDDTAQTLRQLKIKTGVVKRLHKEESSYEKEVVDQKAVVAKLK ADEVDGADIRAAERVLRDSEMMVPHTRRSLEEAFNALSDLVNALGEDESVSTTQEFRD AFSILQQVEVDWKSEGN L198_04634 MSKGTPCPPPGLIENAMPSFNTIALTLLALLITSFIFRFHILPF LLRSSFPNLRLDYISLLSARGVEWRRPGGDEGAPTVTVERAGFTWGGAKGEVTGLVVL RIEGICLRLKQGSFESGRDKKERVKKQPGRLSSTKTRFISNVLQLLIHHYPSVTRVIS VQIINCRIVSEDLNEVEMTVKELGFGVNVDFQGEADLPDSPFSTSHPKHTYKRDHPLH ILSPVLSPAASGDESDFITSPTQFSPPASPLNQHSFTMPPSAPSEPSEPTRPKIHRTD HSYSRLSHARRRASVFQSRMSSTASKIWTRAAGRKCGSVCLSAYIDTIRCSEPSPSPP GSAKPSVTDLKPPKSPFGRAFQSKRRPPPITVTIPDAGVEALVAVEERSRVKLSLGFG PKKGLLGEDTLSVDAGMGKLSTTLEAVERIKTLLDRVKKEPAEKKAKTDQWSSHGSAR IMLRALESAKVTFSHITFTHYLKNPHLKPSRPVSATSSISELDISGQPGDDRYILALE LTDISCTLSAADSSNNDRARNAFGTNFSPASVVRGVGAQISWQKIEFQCVAPGEENHE KSQLLAIRQAEFTGFSSWRPQGWSREEMLFASDPNLALVVCFGKVTSVDLAGDMQLLH ELEIAWKATHPKVHKAAKKENSELLIGWLPPRLRMVLDVGSITAVLADRVSKETTTLT FHLDGLHYGTYTSFTDIVARRRDRSAARTAFEQEEQLQERRETNHDVDYAMHPSMLPQ AVRRLSTANKAELRDGLSICMRGDATIRVEPIQVNMTLAGDRIYELAEIGRLHGTFTG DVLGRCEVKEDGSESATLDFSSISGRLDMGLQEGITVDLWRREVVEALVIMGEAHQKR PWDERPPPPPPPRPILDILPSGISARVSLGKVNILMGVKDPNPGCHLGLIRGVWFQSV VVLEYAFYKNAIQALPWRHTLTTARRAKLRLPEDITTQALAFAAKYQPGRGGAALVAI NTEDTYVQPVFNGKRFVEKGGVKHSKMPKDKPKAKDEDEFVGWAFQRAALRKKLGVNQ FANNVRPLDLSDAAQASRPWLRIPTSRTYVTTQQSSQGAETEFKITSKTEGVALISDL SHVYCNLLAGLAIKRMILAWKRPKPPRPASLPPRNPSSLSIDFTIPNFQAHFAFPLDE QIYVYAHSVTLRKKPYTGLIAATDHILAYVPSPRLIGSWEELLRVKTLAVALSDPHLP LVISPTIESIRFRIPFAYKLNNLVLNINVTIKALKLLRNSVSGKTPFTTVSRPAAEMP KRIPMVSISIGYMSLEAKDDPVETSLNLIWRAGMVEQTKRNLLEDQFAKKLLLISDPE VQPDVQDEAKNTGGRRVPVLTKKHTVSAERARWALDTLITQSWTRRIRAAKHEQARRE NVALQPMMGCGTSTKLPIKIAASSKTAPLFRAAFQDVSFVVADPGLVREEIIDYMGKV SSPFRSDAEFSLMVPLSIQWSMGEVKCSLRDYPLPMLRVQRVESGESQPAFRMETTMI IAEELGDEQSVFHVPVTVIPEACGDKNAAAFVVQVAKTISPVKTYAEPRFKIASKRTT EFTWGNSYQPAIQDFMKVVETLSHPPADPSPRVGFWDKFRLVLHWKPIVDFVGPCHLH LKGSFDPYSVSGIGSGFCLAWRGSTRLLIDQPNEEGETIQIIADELVVAIPDLAPFHD GAAIGNNRNGQRHDRHHGQSQDRHKSGDFEQTLIERRYTKPCARFVNGVKVGFGFEFE RTCRPWTCDTCGKSENVMHRKCRLFEFKQHQDVRLRSPAAIAKDEKELGRKIDSYEGF RSDFVHFSISLSAPNGNEDIQSEEYHPDRVNSLHFAPKASHHFLAWWKLFSHQMSLPI RHGSLFPDSPPPSKKFGRSLGTIKYRFDLRPVYISHMYFQVSKDHSATGKSESLGIKG RIGRIRADAHQRAQEKIERHEKLGRSTVVVHKPFYAVDILADDIKVKGLRAHFDEHIG GPGENGGEAGVLHPKASELPLGHREWYNYLDYIDADRKPIDKNPRIELVNFGECPHFF YCKRVKARTSTSGDDSPSQATLGLETSKFGHEPTHPCYLGTAEGVREVQQSLTRQRIN ELREKLSNYPLEGLSQDGDQLDKTSLVQRINILEKHHQDLAHETRRLSDHTFTDPNAP RDNSTGAKEGFEDTIHVHGPRLFLSNSSRNIAIKYMYMMGDRKKEEYFTSYSSLRSIL EGFQQRMTRQRSYSYDEQVVEEQSAQQILNDLVSWLVEKPASESIHHVEDPVMAAEVR RGLPDVCDVKPKTKILVFMPQIALRSEAHPDAILLMSMEEVSFKRFKVLDEHALDNMM AEVLNRNYVTMSGLQGFYPNENALNRERTGLGLPHGLDFIPLEIFLDVKSEAKDYDRV LQKTTINVAMDKFNHIRMPQGLEWPEAVDDRGDVIKHLRLHQDFTAIVAPTLTVTANS QNFAALYTIVTNLLMYDDPEHKGRSDAVDDFSRTFDAADRDIPRLIADVNALQTHMRH LVGLRHGYESHFDQLDKDGQEELFKIRSELHAGYESMYTVNALIRATLAKDDARAAMK TASKMDVRIGGVAWHMLKDQRLETMAKVDITGVLFSMLNNKNGTMDNAMVLGDLKALN GRSNMLYPEIIVRDEPAKRKIKKAFSAVYWSTRPPIGGIPILPNVNIEFVNILFKLEE SVGLEVMDYIFADRTRRREATGTAVKGGIENSATTPNSSFFGKGNGNGNTNASASTDD LGSRRANASTTDFGSVHKSKSQVSLVPSQADGDIALIKMIEDTREMRLRASTNKMFGT FRLQGMGLNLSYKSDDSRKHGTFSMPDCVDFKFKTPEMVYVNKVWAMEDLFEHIKRDI KNSAWSQSGDIISQIFKKTSLFRSKERLKQAASVTAMAEKAQKGKKKDQGNPPISPSN LRYTMDHSSPSPEPDPELLSTLSRALSRDAELANNNSINRMRSRSTHRAMSMSAQRPS TPQAGRRESAAASNGLQTSSLGRSSGSRYASTSLEENRGETRDSGENGDNEEKSRIKG FFGKLKAKNLGHGRESRYSEDSSLSGSRVSLRQQAHPIE L198_04635 MPVTVLLTGLNGFVAVHTAVTFLSQGSKVIGTVRSEDKKAKAEG RYLIAGEDYTLKQILDTTRKVQPDLQKYFNRARESVPPEASYVIDSSKNGLRYRTLEE TIRDSVAGFEKLCAYGGSVILVTGGGRGVGEMIAEGYVVNGAKVVYISSRDAAACEQT AKRLTNQGPGKCISLPADLAKYDECVRLAKELEKRERVLHILVNNSGVTWGESFHSYP DAAFTKLLTLNVQRVFTLTQQLHPLLEKAHEEDRFIARIINIGSINGVGNPGLETYAY SSSKAALHQLSKHLANRLAPHITVNAIAPGPFRSKMMKHTLDHFEKELAEGLPLKRIG APEDIASTALWLSGPGGAWVTGTVVPVDGGSLVATSSKL L198_04636 MRFPAPASPFFRRAFATSASMRVPLLVTPKQLKELPKQTTLPLD ASWHMPNSPRSAVAEYLHGPRIPHARRFDLDEVAELSVDKNPLSLTHMLPTKERFQKE LEKLGIEKDTHVVVYDSVGVFSSPRALYTFKIFGHDKVSVLDGGLPRWIQEGGEVEMG DVGDVGASDYGKIESYHKDWVRSYDEINKNAEKPLSDPSTEIILDHRPAPRFTGAAPE PRPNLPSGHMPNSLSLPFTQYLNPASDKVPFSSYKPVDELKAVLVKGVGGEERWGEVE CGKKVVFSCGSGMTAAIGWLANELVKEGGSKAATSALYDESWTGYALREESKISREGF YHE L198_04637 MGGDQPEIITAYKELTSIKAQTQELPGKDVDMDPLAEFTKLEDW DDDGKPYLREYVGSGKLKGKKAIVTGGDSGIGRSAAQLFAREGADVTIVYLPEEEEDA QRAKKAIESDGQQCLALALDLMKADQAEAVVKKHIETFGKLDILVNNASKQILCTDIA EIELANVESTFRSNILGMFALTKFAVPHLKGGSAIINTSSVTAFKGSLGMVDYSSTKG AIVTFTRSLAGQLAPKGIRVNAVCPGPVYTPLQPASRPADNMEGWSVGGPPLHGRASM PAEMGPSYVFLASADANAMTGHMLHVNNGQWIG L198_04639 MPPTSSMAALMASPTTSGGDATLMANGQTLPDISLGSLGSSFRS EDEDERLEQERARSNPNPTSRARRYSPETSQSRSNATPSPPRAALLTVSPPPTIRKSS SHSVLNTQAPRRPRVLHRRTSSVAASSDGSDGEDITGPLEARYGKADEETDDEAMLSA LSLVPSPRKTGGGGKRAYGGASGRSGRHSVAAGELNGPMTLRDQEKQLEETKKEVFNL QLENHFLKERLSNMAPEHIEAALKENVKLKLEILTLSKELKKLKKLVMQQDRDLAATA RDSGGSREARELEKLWKDEKERRRRAEEQVSSLKDELQSGAADPELREKLEDAEASEN VWRQRTEQLEQELDDQRAANDDNQEEFAKLRDEADRALDEVDKLRGELDGARGMRESV GLGKGRETRLAQKVQELESQNASLQSDLSMAKKGVMSEEDAEMLEERLNELQDKVAAL QLELDNRDQEVDDLNVELDAKVQEHEKELQQVAEEWKDEVLEARAQVDEVRDVTLIER EEHLTSALEEISQLQATKAVTHDRLEETLKNIERDNVEKDAELMDANREIEELGQKVY ELEELVEDHRVREGELNADLKGADEAFEHAKAHYEELIGVLKEARRKLQQEKEDALDQ IRKERDARQAEKDAAKRDLDAHSSRHRQALAEKDTYSARLQSELDAARERVTLRDRDL ARVEGKLQDLEDERRKLGDEHTSDRFGLELELERVQRDLQRLEDELEIERKEMDQKDE MLREKDLEAAQMLDKHRDIENRLASERQGRLNISDKLDQTLKAARQHEREANNLRERL EELEPLLTETQQERFQLQKQSESQRQERSELLLRVFKEVNKFLGTEDNTTPANFALFR DTLVHRLKSMLSVRSEFDKKIKDTEASVDQRMSSLKRQLEQKWRTLDQFEASVKKLEI TKREWRSKFAIKEGELDALKSRNTELSSQISTLKSGSSTESSSQLRSLSERAQAAEKR AQTASNQLAALEERLAEYQGRYGQAESKWEARVKEYENRLRIAGEKIKTEKQGGKERA MQLEAQVRELEKQVHDAQKRNQRVEGVVASAGHLLPGGNERGGRMASR L198_04640 MSYNYSNPFQGYQDRPALPQRPYEARPGLGKSQIKVFFTGLPEG LSNRDLLAVLKEDLNLPSTTRVFNAYQPDGLDLGIAIVCVDAVEDAERIRSWYNGKVI NHRYTLSVHHVLTHDKKYPSALIEKLHQSSESKPIITRTPVTPVTTTSNHPFPPKTVA HHQAGQSNGFPPPHVNGHANGNTYGYSRSLQPRAQAQRSHPPPPRPHSANSLTSRIVQ AGPSRNLVQPQNEGGRGTAQQQKTDPTPGLSLLKRISGGPGSKKSHQQLKSKISPDPN PSPNPNLNPRTAPPNPAALIKLAPNVPSLPFGHRNSHRVDQEVATGNGSGDSARGQEA GQKKKLKNKVKGKERTGERNQQVPVENKTQEVLDQEMEIWRRGWKFSTDDGNRGNGN L198_04641 MASGIPPGEYDLDLSAFSHERDQFVAFRYSFKPASITATTQGLY TPTSTSGDGQVVFDVPNGKQVFDVKPEEGSKGRGKECVMIWNEDTQTFELHPMDTSLN LNLNRTASNSYATSTTGSTTSSASFPLSGLPHPSASAGAGAGSQMGDDLDAEGELEDV VIEDGPIITKGSEAALSVPEPKGRGRGAKGKKPMESAPIPIIGTTKAPAKGKAKAKSK PKATPTKGKAAKAKGKAAEKTPQGKFKSSEYIQDSDEEIGPSQSVSQTRSPFQGKPKV DDAQDFDDGMDEFANLLGASLAEGEFDADGEDHEEVPSQAPVQQGYEQYPRYAYDDED DDEESESEDDELGGARLVVRQNAEEDESEWI L198_04642 MTSLNSVSGWYTNAYSGPFRTSRLRAPSLLPGARIPAPVQQTLV VDAAHNNSPSADDANPEGKDGVKNVKRRETVFGPDVGEDDEPGWGEGGKEEISVDVVK KWVEKAKADEGIHPTTTLQALVNLKRPSLLLQQVDQPQPKADKYEDITPYPTAAQSDS PTSPTSLLPTPPLHTLKFTYDATTPAARITLLLYPNPRPRPTIPEGGGKASFEEGEEE EQEPTVIYTGLHAGGFGQVFDLPKEWAMDLSSAIRIEEEDQTTKPEVKEEPVEQVQEL NEQPEEQQGRRRFGIFGRRRGESDVEAGNANIEMTSPVTQSEEKEAPKQVEYGMRILI KIEATGPEGQHLKRRNAQLTHILITGTWVNDPNSGDDAGPGGKRVWVVKVARREAVIG SHTFLLKEIFGLSATSSTATSYPPTADDPYASTPNECIVCLTSPRDVVLLPCRHLVVC RDCAVGMVEFGAGGKVARREEAPATGTGAGGGGDGEAGGEGGTDTPATTLATTTTHRE RRKKKVKGWYCPVCRQPYISLLRLALPQAKASEAHSHHELSRQPSRASVRTTRTTKSL APTLPDGAERFLEGLRPEGADAELELEEGEDKEAGSGSVRPGWVGGAAGTPDVEKPEV EHKEIEESEFTGPSPTPATMETPSHPEGDVADEGKGKGWKEVA L198_04643 MRFNTALLWATTALHLSALEAGATITGQKWCNKYLCVTGQHDSS QKIDQYTLEPPEGDTIPLSSFGWMAIGFGSTMADTPMVIGWPNSDGSITLSQRESTSH SMPTVVSSPSREATLLSSASFSNSSTTSISFTLPSNSTVSNGTSIIFAVGSTNPGDSA EDATLKQHSVSGNTKIYLLTPWSANSTGSSNTNSSNGSGDDDTSSADSASSKRHKMLI AHVVCGAVATMALFPIGIITPRIARGLTTSRWWFPVHGAVNGMLGFGLVVAAFGIARG NFDGKFETSHRKLGLALFILCIFQTFLGIYTHSYRPTPNQKRFTTSSGRGPSNFIHMA LGLVVVAIGFATVWEGLDEEWEIWSGTGKPATGWKVGWGLVVGITTLVYLAGLYLIPR QLHNERQRRNLSSTINHPNPSANYGAPPPGNHASSVRGDFPLMQKDSNSSTESGEQYG MGYVPRQGHERLPPPPVTVQPQQQRRLPPKWVPDSGRGRSTY L198_04644 MPVFPAALKPQARAAYRDMLRSARVTFQGDPTRHQALLTALRAT FSSPTLTPPPSASAEGSPAKVPIVQEESIGRDDVARRINEWKDVAKFLRKNVVQGVKS DDGVFKLRVHEDTELGDNADIKKPAALPTTPFPNRNRRRCGEAAPSE L198_04645 MSTEDYDEFGNYIGGDLDSDEDSDADIAPSYAPGPSAQPSASYA PLEGLEDDDDDMDDPSAGMQLTEYGIEQVDGSANQVVLHEDKKYYATAEETYGPDVET MVQEEDLQLLSEPIVAPVKNRAFTVQEKGLPATRYDKNFLIDMMEYPDMIRNVMVGGH IHHGKTSLLDMLVYETHKMTWDADTPIRYTDTHILTRSRGISIKSGPMSLVLQNSKGK SNLINIVDTPGHVNFADEVASVARLVDGVVIVVDVVEGVMLGTEQLIRHAMQEKLKMT LVVNKMDRLILELRIPPSEAFFKIKHTIEEVNSIIASVDSDESYRLSPEKGNVAFAST QMGWCFTLTTFASMYADTFGSFDVEEFAVRLWGNIYFDPASRKFTRKPTDAESKRSFV HFVLEPLYKLYTQVLSADREALQETLADLQITLKPSAYKMDVRPLLKVVLEAFFGPSV GLVDMITEFIPSPKEAADTKIRHTYTGPLTSNLADSMISCDPQGPTVVHVTKLFHTAD AEQFRAYGRVMSGTVKVGQVVKVLGEGYSLEDEEDMAKAIVEGVMLSESRYTVDTQTA PAGNLVLLSGVDNSIAKTATLVSNDAEDDLYIFRPIKHLTTSVLKVAVEPVAPSELPK MLDGLRKVNKSYPLVTTKVEESGEHVILGTGELYMDSVLHDLRRVFSEIEIKVSDPVT KFCETVVETSALKCYADTPNKKNKLTMISEPLEPGIAADIEAGRVSMKMTNKERGKFF ENKYQWDVLASRNIWAFGPEDNGPNVLINDTLPSEVDTKLLNSIKESVKQGFQWGTRE GPLCDEPIRGVKFRVLDAALAQEPIYRGGGQIIPTARRVCYSSFLLATPRLLEPVYYV EVQAPADCVAAVYTVLSRRRGHVTKDIPKPGSPLYTVKAFIPVLDANGFETDLRTATM GQAFVQMSFDHWSVVPGDPTDTSIQLRPLEPAMGQSLARDLVLKTRRRKGLSDSIAVS KYLEDETIIAISASGNADLLG L198_04646 MSAPQPVTETLKEDKLVGEEVHVYSVSEDKKPAEDEPVDTAFAG LNKMQAMRKFWRACLFCGITTFGVMMDGYQTSMPGSILANAGFIEQFGTIISSAGVKS LDAKYVSMWSGLGYLMQFIGNWAAGFISDRFGRRAVLWALSLVFALGIVVEMVAKNYK DWLGAKMLMGLGQGLVQQGVLTYISEIAPTQVRGTLMSSYGWSYSIGQLLVAIALQII DVTDSYAWKKAIYSEWVFMGLWIIALPFLPESPWFYARNENEKSAKAVMARIYKGVED YDVDREYTAMQVEIQHEKENAESSSQVAIKDIFRGTNFWRTMASVFGLVMQNWSGSAV VFNYTTYFFQQAGIPEPFQASVIVYCILLGALMISFYTIERFGRRTLILAGGVGCTIF NVIIGATGFMPKDSTTTDNTTLAFICLWVFTYATTFAGTCWSISAEVATPRLRAKATA FAISTNAISGALFNTTVPLMISTTSRNWGVKTLFMFAILCGFGTIINYFLLPETKNRT FSEMDEMYENKIPPRRMASYVSGMEQSGLK L198_04647 MPAPTDGPMMISLSEEERLSGVLTPEHLFDAVTAMFHDGLVVLS NAIDVQVIDKLNDKMKDDTAKILSGAVKVHWNQGRDKGNVSQVPPIAEDWLFPEIYAN KAASHVLSHVLGPKPELRYIRSNTLLGNATERQRVHKDVKMRHLMHPFAIAMNVCLID ASPENGSTEVWLGTTSQSTSDDLQEIGLGFVREDKLEERRAVRPPVQASIPRGSLILR DLRLWHAGMPNNTPETRVMLAFVYFAAWYGCEMQQPVPRSLKPAIEALAASNDSKIVV EYVDDELASDYLNVEFEAKFWSKLQQGAM L198_04648 MSPGSPEAQPAQEAQAEHRGLKACHACRIAKVKCLGGGPALKCA RCASAAIECRFSESRRGRVKGSRNRKTLERLAEQAHQRSQLYPKPNPSKPPNTPSGRA ISSPPNRPQSSQSDGTSFGPFSRPQSSSRSSNATQPSSSFDRQNLRVLERSFPTGSER VPDSSQIDAKEAGGQNDLHNPLLFLAECARKGWDSTSPWESPLVMPVPVGKLPAEDAK NLEGWRLRHFEEVVEDQRSYFQHGLHGSKRDVGEGLDPVSWRIIVDKQVEPLFDAYFL YCHKHWPMLDRNLHTPAFVRNRSVFLFTVILALGATSLATLPKSSTRERTTATKLFAH VEKLQLVICATAAKSIEIVQAELLSQMWALRTPRLVDDQRAMRLGMALRMAGQIGLQH PFRHSGAVPLDAFAKNDLMLRVSLILTESRWESIADRKEVINVGFDLTDSERSILHRV HPLDPEAIIASDYALYGFEVESKVRISSNPSDPFLLDTSLDAELSFIHTYLASWESHW VEPQTDPLERWSFQYAALRSLLVGLLRLAKARGNRPGPWTSNFRKDLYGVSTRILEGA LSHERALHMLRPTSVLVFVSTIVIQLTDREARERDLILRVGLRLAGEAGREEMKTFAT HNGFQILNMLCLSREEAGQRRAARILSFSDRVDGERQGSNQPKHSHDAHQVSTSPARS HQFSSSLSSTSSSPLDRSRQNFAQQPSDYYLPLPVTSQDLYNVEPQSPNQEPGHMNAG NSGLDQLLAFSLDDVDFPYISDNAMAAASAALHNGETLGQRGFSHSAYVSDPVSFTNG VSGRGNESQIAGGEPTWSDLLAAIVTGRDGGEGGMSDMSGLCSSEDRGIPTSEGVGGM NWAAYHEPAGQGTNTASGPEGDRMTTELYFQLASALGS L198_04649 MPKATAKKKEKKADFVKAKLKLGKGKKSASNATDTSFKARSIAL PGQEALNRALLVSEGNGPSEPTTANGLTLEDLLNRLRHPNSGVRKESLGGIKEILQQG IGKEIGKVLRALGGLVADDDAGVRKGLLGLLEWYLAHLPMSALSPHLPLLVLQTSSAL SHIFPEIRLDACKLVHLLLQHVPAHVLSQWPYESSNILEGLRLAVGLGGEKGVNSSIG RLTGGAKLVTMKAMREFVRVGLDSGEERKWGAGWVREGGKREGKRKEVREEPMLEDLA LEGWLVGAQGLKDICDGGNVWEVGRLGSHGGQGNEAGVVSVLSQLYIQLHPLLLSTFL ENAPTAFSPSAPTVPTASEDIPLQLCVATASLTELLANAILTRAPAESNTPELKEVKA CIFDFQRRMTAWFPFASRTAPTPSGVTPEFELSLAYADLALLLAPRPVELVYPTQVDR RAKELGWRERVKATEEAWKKMQTAEKGAKGKGKAGQSQWAMEEVAEWVVDVLAPSQDA LAPSLTPETYKALLPIVWDLLVQPPASTSEQADIPSLVGTSLLNHLLRQSSTSLIREL GDQFLISLIEVHEQKYMTLPFYLPPSSSIRPLIQGWFDSIPRTLFELGPKAPSTTQHL LRFLLSAALQAPAAYPQPYSLLDFSGFSQFTQKLAAFFWVKHPKRGDIPGAWVKLEDK EVKRLGLDVAKAWAGWDQGGKLAEAVRKACEGSEGELGQYWRR L198_04650 MVFSSTALTLAKYALGTSAVGVTIALGGLWYFQRKLIYPSNLPE GARTVVPKPIDVGCPYEEVTLTTSDGINIKAYVIPVRRHPSSMSDIRALKKEDRRARA EEEMIKWAAEMGDEKSILYAKSRPTVVMFHANAGNMGHRVLLARNFNVDHRCNVFMLS YRGYGLSEGTPSEHGLKLDIQAAMKYVEAHPILGDTKIILYGQSLGGAACLYAGSKNK DIVTGLILENTFFSLTTLIPLILPQIPKFLLPILLTEHWDASKTLPLIPASTPILFLV GSIDSLVKFPQMHNLRKLREKDGGKCQWKDFERGDHNDTCVQPGYWDTIGRWLKEEIE EGSLVVVEKESSSSGEEFSEVTREEAMEEKASA L198_04651 MPSESALSQAAVRHLLPEPTLSTSSPFHPAYTMSRASTSSQPRS LRQIQEAAGSRRGSAMSIDPWGPLEDEWDESPHFRHGSPGWCFPPRSSTASSVSQQAS ALSYGTRMRLSEDEERRSSFGGVEGRRRSSNRSLVGFGFSTSRRRKSSGLHNVYASHR LRSSASSGGYSLESVDENRLHQLQRLGLLRRRYSDVVNTKSDSEDEDEEPDTSRRSDL REMLSRWSLSSSDHHHYEGDEDDGEAEILTASYASTPAHPSPSFPERSSLSPDPVESS FVDGLGTIPISSYPPPHSPPFPAHLPIPDTSSYTFGGPSSRPSLQRSLTDYAFPPRNT GAPHLPELPSPIRNALSAIAPASRYAVHLHEERSTGSFPVARTAPIGSSPLRESARRQ SVMSSEEDGPSQRGSLADAPPLFPRQEDQSVWRPNAPHSIPLPINPYRFPTTSSLAVH ALSQYQFPSLSPSAASFNSATSFESLITPRAAPVTISGVRDGPTTDERLAAEAAAEAE LEGYPFHAPPMERISAPASPSILHVRGEAPPFAGGMRRTLSVTFADEIPLGLKGQKRG SCSSVSVYSTTSTPSRRGSLLNEEATADVGLKRSSSPLAKLFKMAGKGKENVEREPTR RGSTKRSGSADAMWEGLGRDSRGRR L198_04652 MSTSPNSPTKKYYYASRPSSRPSRQENSSSDSAQVRSQAIAKLK RAASLPRQPNGRRPDARAAREEVEEPIESVGGSRPVLENATPAKQKGLGAEYGDEQEM LSPSPVHTAFDHSAMYPSPIDPTNVQRSASAADYYSRPYDNSMSPIGNQTPDWHAMKL AQSYLPSLTPTSISQFHPPRSTPSPLPTLGELRTLQRSNSQAARAHAMNKLTGGQNIA VSDEDITLHPSPSRNGLQRAGTLGAPRGLGILARRANVEEPGVKMEQSSIDLEKPRPR LQRSFTVSSSNMGEERRSAVGRRMVERLAERREASKNEEAEVRRLWEERRAAAADLPD SGPIKDSQDSAAQSSPARLGEPLPAASNDMLAAPAIDNDRPISGGTFRSAQFEYEDHL RRSLSSRTARGALGTAPEPVPTIVTDSQGLEPEEEHLNTPTSVRPGDTAATEAYLDES PLLPPQPSFATPTRYTNHETVEDEGTPTTSTPGDSTTMSGLDSMMFVMDGTPTGQHSN QAGAIEGNWPSDIGEGGSEWGTPGRDIHQSQFINSPSLLSSPDPNSTSNGHLSPSFRD SSVLESRMSWEELPVTPQVAENSSHSRSGSVSARMKRSVQSALQKRSRSKSSVSSSPP VSPSQGSRGSGASGTPSQISIAQTQFPPRPVAQHHPSISSLAPSQADSSSSNLLIQHQ LSADPSQVSLLPRANLNDPRIHMAKMSPFPGIDTLAGGESQGRLEAPPKLTQQYSDSV VPLTNSAPEARDIYALPLEPRPASVDEKHGPVKRLSEDSVNKKNWLAKALASPRASIS RKMSSEFRAQRENNTLGQGAMVIGSDVDPFASPPMGATPTLGQGATLSPPLPTRSRAA SPTISMVPEANEEGRATRFAGMNGGVVQEAGEEQALPEKSVEVLKKMDEVLALGPDDP ARPEILDDPPRKFLMSAQILQVVNANTVKDRFLFLFNDLLVVAKPLITDGIHATLDMK FLVKHIVSLDKLSISGITDEPSSSNEPERHPVVNGFIKRFAGDPEEACRYLVERSQPR VDSATLASLIFKTPELDKAQVGKVLSGDAKLARAFVDRFHFNAVRIDDALRMLLLSIR LPTNPIACENLLCMFAEGYYEANRERVPYTRKLTFELVMAIMSFNDALYSTFGFALPN HAITWETFISAFRSKDPRGLVADQVLWDVYSSIRSMRLIQALASHEYRLERPVVMNPS RLPSRLTYGVWSERIYVSLPAADPMLRIKLLGEGLEFDPPVLDFAESSEESFRVRGTS LGSKSLLFDRVGSNAALYAGLGNTRHFVVERAFMRYTFQIAFVSHLGLKRKYCFSVNT PEARHKWGNLLERQIKQTRASKAESEEVSGHDGRVRQAIRRNAEAVSLQVLRDAVIPA EETKESASGPTSSSIAPLVSRPATAATVRPTTGTDTVSPGEPSGRFRTASISAAYTQY ALKEEYDLGPLVPTRGRPGEASDAMLRSQTGKELVLLCRQNSLMSGLLELLYAGTGNG VSEEELERSERSRGVRM L198_04653 MITLTPLSASANESSSSEPISYLLQLDDARILLDMGQRDYRASA QQTSWEYEEKVRELAPTLSLVLLSHSPANYLSLYPYARARWGLTCPVYATQPTVEMGR VVEGEEVSRKPLKGPLVATSEEIHEAFDWIKSVRYNQPLHLGGDCSHLLLTPFPSGHT LGGSLFKIRSPTSGTILYAVGINHTSERHLDAQNGPTGYADGVQRPDILIVESGRSLI TNPKRKQREAALISLISSTLESNHSLLLPVDPSPRLLELLVLLDQHWTFKREMAMWPY PLCIVSRTAQDMITFARSLIEWMGGTVKDSGDSVVETRPGKKGRPARVQLGSEYGALD FRHLQFFASPADLLAAFPLSQPKLVLAVPPNMSHGPSRFLFTEMANAEGNVVLLTARG EEGTLGRDLFGKWEEKQGEGEQWGQGKIGKLATLDGTLQVEIDSKVPLEGAELEAHLE SARLTKEREAAQKAALERSSRRMLEADDLESDSDDESDDGALAGAEEKGELGGATVKR AEGANAFAGDGEDVRTMSFDIYVKGQQMRSGRGAEMARFRMFPFVERKGRKIDQYGEG LDIGQWMRKGREIAEEGETEEVREARKRKEEEEEKAKVQPEVPSKFVNQLVSVDMKAQ IGFVDMDGLHDGQSIKTIVNDLQPRKLILVRSTQEATQNLVTFLHSISGFTKDIFTPS LDEEIKIGTHVASYSLTLGDSITSALAKKWSEFEGYEVTMVDGKIILPAGSTIPILEA SHLYQPLPKTEEAEGDEAAEEDVKPSAAELEAPPKEATPPPSAPTVSNLPLTLPTSTF IGDLRLARLKYRLSLLDPPIPAEFAGEGVLICGPGVVAEEKRKGGQVVAVRKVGEGRI VVEGSVGRIYGEVRKAVYGGLARVDSV L198_04654 MTTSITDARFTVLHRSLTDTLSLSFDDPPETGWSVMPGYDESIP IPYHLEPPRLDADETLPSQMHPSYPFGRPVSSGKGRGGAGNGKRRRGRRVGNLLGTVG GREEGGSSGLRVEGFGARGGLGGGPGGWGGGGDGDGWEESGLREIVEKEEKKEVPPGM AGAKRPPTPPSPSLHPNQLPLPRSGHLLRQLERQQLREAIQAELQFDGPVPNEVPAGQ RGDEAEGEQGGGDGGQQE L198_04655 MGGGDLNMKKSWHPVLLVNQEKVWKAEKSANEERKKLADLRKER EEERQLEELHRLQEASTGKKRVEKLDWMYAAPGAEGGALGGARLGEKEMEEYLLGKKR VDEVLGQGDKNVGAASREFIAVQNANTARDTASKIREDPLLAIKKQEQAALAALMNRP DIRKQLREAKLKKEEKERRKGESKEERKARKKAEKHAEKEDRRMSKHRSHRDRDSRSP VSDYSDDRDRRRHRDSYDNRDRDDRRRSRDRPRSISPKREREYSNDRDVDYRRRDDRR PRDQSPRRDGYRRDDRDDRRRDDRRRDDRDDRRWRDEPREGRNDRAPPPHHPYPVSAP SSRPPPPRPQPAPSTVNPQSQTLEDQRAARLAAMSSSADQMYAERSKTLANRAEQERL ELAREESMRQKYGQEQASAGFFKAQTGMGLSEALSRRAGKGLLKDI L198_04657 MSLRIALPRLKHLPQRLIHTSRPVFKDDVPTASSTPEGLGFTPH TDRKRELPDLKINIGSSARKHVIDNFVPKGSRTAANPNTDADFFSDSPAPQRQSRSPR QAGTPRRARATDAVAAEAFSATGTDRALPPDVARRTRGDRGDKRGAGAGSRDSGRERK PRRDNARDSAPRRAGLPRSHLVYAEADQSKDGLFGKKGLLGATSHRSLPYSKAKHPIP YNTSQIITPLPSTPLPILSPHPAKAASQSVHVADWIAALSPTIGQSGKEELSEVTRRV LGVKK L198_04658 MANFDILHAKAVAVITGAASGIGLASALYYARKGLSIVLADYDQ ATLDQALEKVKGTEGVGTVLGFKVDVTSIKDIISLRDSVLDEFGEVHILMANAGTSAP TPAFSLHTPLEELQQDWDKVLSTNFRGVLNTCQAFAPFMAKAENASVILVTGSKQGIT APPGRAGYNVSKAAVKTYTEQLAHELRAEDSPCSAHLFIPGWTFTPLAGASPNATKPP GAWTAEQTVDYMVEKVFEKGDFYVVCPDNETSTSLDKARMQWSMEDVLLNRPALSRWH PSYKARFEDFIQQKQGLNASRSRSRGRRPELRDLSQAPSVSSIPSEADLLAFRRD L198_04659 MSLRSAPSSPPPFFYPYDPDSPLLVIKGIISTATFGAATGASIG VAQSLNPTVLAMNMTLNMSIAGLGFFALREYIISPLLLSIEATPGHSICLHELQRRLD PLETIGQGEAPTVGQRRTERILDSALAGGLTGGVLSAAFRGRGTFGKAAFTSGLITST LQLGVNQFRVMRLNYLAGESSPSPAGSTPPSTPPPVSLPPTPTFDTLKSDLPIPQPAD KPSLPERMMTSLSTFLPVRKLTNQEYLETLEKKKEGVETRLKEIADEEVRMYEWADRQ GKQPS L198_04660 MKFAPLLLPLLAIPASASIANDALSWASELVSGGKGSLATAHGA HVAEVDGPIRTMDSWSYVDCGLATDAIQLKSIKVSPDPPVPGKNLTVSVEADVLERIE DGAYADVTVKLGLIKLLQKQFDVCEEAANANASVQCPVEPGPYSVQHTVELPEEIPKA KFSVQVRGYTAEDEDMVCLDLFVDFMKPKN L198_04661 MSVLTSSTQCARKISPRTSLPVRFLSSAPQARRPSPYRLLQPQA FFAAFAPLHVRGWRLESLKSGTQLSEEGNLDDTIEAQLYKGDLQGRRLVRVFEMGEGK EGWRRVGEFLRMAGTAVEEQDHHPTIHISPLSDYAPPSPTLIFPQSQSDYIIELSTHT HTPLPPYPQPTKGGAKMSPGVTSKDVALAERVEGIYEQVVGSAVEVKREHEGL L198_04662 MLVVPSMTLSPPSPTTHIDRVRHLLSFDRPVYPLPVPNLELHSA AASGNVGLVHYALTHGQPVNSVLHGVLPLHAACSGGSLSVVRMLIEQGADVNAPRLPR RYSDGKKGTAPSVGTAGSTPLHFAAANGHAPVVQMLLACGADPSKPDKNGHTALDLAE LSNHDQVVNVLHAYQKVQNEDAATSGERSPAASSLALNDGESVVEHGKGKERERTFSF SSLRSNDSKPKKGLQALLSKASKSSLTSETPKERAPPPPRLHLPSEASALDKLASPFE LDTPQTPSPMKGDNTPLYTDGSTGSWPSLPLSRQSSNRSATSQTSPGIASPIPRPPPA RAQHSTSSRRPSLPSIFEKAVHPGAAFRAALRHESDHEEKKKKAAQQDSHHHHGFKRL FRRAHSPPSRSPSPPARNPEDRVISAEDLDAGMARLKRASLDMERPVWEDGAGMGKEP ISAPATKSRFFEEELVPPSSSSSGSSGVLPNTLNPPPPSSVQSTPCTRPRTGNEVIAP SPLANEWAGDEEGRLKRAWEIVTRHSSASSLPTISSPTEPSPDLPTSPGSTKPRSYTM PGLSNPMTTATKSSTGSTSSRPTDDLRKLAAEGSIREMEKQSVKREMEGEEGDAEVEP EGEEWHDARSVLEAESTANLADSAISLNEGPVHTSSRPTSSHSLSHTRGSFKGGRIRS GSIGSVTTDVSRISSPPPSHIRMSSITDIAAADDSAEDDVPPLSKPPSLHGASRPRGK SLSSNSSGNSHSHTSGDHQPLSSTTSTSLTVPSLAPAAVLGHAPSSNSLASFPPVPEH AALSTTPSSPSLHRRLTHSRTISSRAEAKAAIQEGEDEILLLAQLEQDGESKKDLAAV LAAYGESHEIYAEMERKRGVKDKESAHRSRESSRDRPTALTTISAPDARSDIRLSNIY DKRAESYRERLASLTIPATGPLKAKPAPTSRTRQRQRQRAVSASANDMWLKPGSAGNR MRSASGGIPLQSNSSTSGIGSSGSSGAGWRDNSRPGSVLEREEALEQEDDRYPWISVP RPESVGVGVSQSAGRPRGLSNASTASHNVHNFILPAPAHTSKHRDTISSSPYTPHPRI PSSGGTTISISGNNPSPYASLFSHKFTGTPLNDDESEDEEAERQNYTVIENDWRGGRV VGADELGFGGMGPGGAGLGGAGAGDKKKWGLRKLGHHLHHHQK L198_04663 MSLNNRKQERDFTAEVKALEPEAEQLTKDGKLQEAIDKITLLEK QTRNAADMSSTSTLLVLLARLCWSTQDLDTLNAQLTIMAKKHGQIKEAVVRMVDEAIK WLPELRERRDKGDYNGKDKVDRWLELVKTLRDITEGKIFLELPRARLTGMLAAHHEAL AETAPKDAQPLTAKEHLDTAADLMSDIQVETYSSMDKREKTEFILEQMRLESQRGNWV RVRVGSRKINRVYLKDKEVQDIKLRFYDLIVQLALQDDEYLEACQAYQEVWDTEEVKA DAAKELSAIENIIIYVVLASYNNEQSDMIHKLYANAALQKAPLHFDLLKCFVTKELMR WKGIEDIYGTTLRQSPIFASGSTLGKKIDKRWEELHKRVVEHNIRVVATYYSRITLPR LSALLDLPPLTTERTLCQLVTSKTVYARIDRPAGIVDFRKKQNVNGTLNGWSGDLGKM LDLVEKASHLVSKEYAMHEAVKGKKVAA L198_04664 MNPSPAKRPRRTSTPSPEPRQGPSISPSRQLPPIQGTPTLPPPF GGSAGWSAGWRGGSRRSGAEESPSPKDEEPIAGPSTSGPNAGTSEQGSSMVFTRDTGG RAPRSMMACVRCRRQKMKCDGPSSVPCRGCRTAGQQCIFEPRSRPKSISVIPSRPFYP GRPGSPASFYPPGAQPAPPVTSRPMGQEYAFRPSSREQMGPPPGSTMGSVYSGMTSRR SPGPPSIASSANIPPSPYGYQTAPQAPPQVIHPPPFAVPIPQRPMSPTRTSTDARLHA LERTLESTLRPLSWVPSALSTLQSSVNDLRAQVAPRRVFTVAEGTWDCYRTRVWPLTP WLVGLRDGAGLPGMVADMMGRRAEEVARAGQQGQGTSTIGLEGEARREVGRLIGEGRE WAKEEVHGLCVLATWTNDRTYAALAVAEARSLGMHALRRTQDNWREWAYVVIMDTMCH VPDYLDPVTRTRLSGPWRERLGSMPTSDPAIRERDSRLLAWLVYAELLGEVFQYRETP ATGNPLEMREERLVGPWSQFGQHWDAWASEFDVRNDPILSLYHMYAVLFTVTPVCFAD DRSWEELATSPEGQQLLERGKDAAISLIQSICSTEVGRTLSYSFPLYRPLLALAVLHL ISFAAALPAVPAVQTAQSSILHALRQAYETLNLHVPQREALPGPGAGVGLASGTGGAG QGATSGLLGEVAETGRLELGKRWLELSVGRDAWRRIVG L198_04665 MASTKPTQDQIEDAILASRFGDLDDLKAFVGEFGKEALAEGRDE RGNSVLHMCCGNGHIDVLEYILPLVPSSLLTATNEAGSPPLHYAIMNNKTECVKKLVE LPEEQGGGLPILKQKNANGRDAFLESCWSGEGKEEVSGWIEGYLYRVEGADDEDYEAQ AKEVKMEEGDEVVQAEASAVDELAEKAEGLDVKEKEK L198_04666 MAPKAKNNQPSQKNTLLGFLNKGSESPTKNQSSHVVPAERPVKR EREEAVAGPSRIVKTPSKVSRKGKRKETQVDGSAHDPVVISDDDDIELLSRPVSPKRR KTTKTTTPVVKKPASPDSPVFAGYPDFEPPPSWPKVINTAAIDDEDGVPPSDEDDARD QDPEDGQLEEDDSGVEMEDDEPRHPARGMGLSPSGSGVEGSLGGMDWEEPDEGMGMEG YGDEEDEPPSMKDGGKSSRPISSFSFTPPAEPSPPPPPPTKGPNAFSLLMSGHKEREQ WKVAEDDLRRDGTRVVGRRKAPFYKVMTGMPVAVDAFRYGKIPGVTAYLLTHAHSDHY TNLSKSWTHGPIYCSETTANLIVHMLQVNPKYVHGLPDNIPFEMPNTGGVKVTPLEAN HCPGSSIFLFEGPQTINAGDSGFASAYVGTKRIFRYLHCGDFRANPKMILHPAIARAP IHTCYLDTTYLNPKYCFPPQPLVISACATLARRQIVGESPSAPNLEEVKRGEVTGVGL SVGSEGLSGKANVVVKGEDGKETVVEAVVKKENGAGERDRMQGWLVKKEVKDEIKGEI VDEELKSVKEEKKKGRTLVVIGTYSIGKERIVKGNRCESYRAQVHLLPLGNIQADRLH PYLANLHPHFDRVLGFRPTGWSYSPPAGVDMMPDVNTVIRRDQARRFGEGDLKAMRGS GKDLMMYGVPYSEHSSFFELTCFALSLPGPDLKMIATVNVGNEKRQVFISVYEAILTC HQSSKDEKVV L198_04668 MASMIERLMGKGPQSSDSSPYRPRREPLPYEVPDPNGVAWLLRL PDELFEKVFENIDRATFVRCHRVCSQINDFLSGNASMKLQNLLQTASLHLNPNALKPN TSNPHLVPPSRAFLLDQLRERLTRFRTFEHKEPETVKFEEREGRLYEFLEGILMRSIP PPDANPWTRAIGREMGVYEFDKMREWEDVKDGDEQDDSSVVESDVVDVEEQEEYGQFR RVTKLDFDMLDFTFDPGQDLFVAAQFVIYKAGDNPYISSKPGFQDPGNVPTSMILLHL LTISTFQPHPKAKDPVIVWPGISLKTQAPSMGFQICDDGLYVMRNQSTGFGGRDMLCG WQWTTGRHAVTLTASNASTFESFVMLSPSSFAIPSVKTAIRQEADIVDTMPSHTDLLF THHLHIYAFPPLSSATFKAGEPVPPPHTATHVTTIDMPEFVVDFDDQIPPPRMTIRAD PPPRYTFPTYPLANPQPFVPDPESGVFIIEFYCQPYSEVPIHYVMFIMRKMLLQYLPA PTSPLLYQTFTRPAPVVPFSKIAPKCRLLGPHQKVPSWVCYVYQDRYVTKEEIPIGNK LLLYDFNPLRVRQALQDPNIAVQLQSGALEVMNQETVIEAHKDYEFSVLAEKTVTGKE LPCLVTKHKPVFEGEDDEVDTIIIDQERIIVFDDAEEEEARYMRVMEF L198_04669 MGNSYSHPSRPSHAPISSKGQPAHSSSEHAHVAQSNLPNLLERL KKDKHVRSMSVEINFAQTETPVQSSGKQRKADFMNRLAAAERKARPPWPLLYPADGIT DEIPFFSSPQRRLSHAQALQSILRISTQRPCSRSLRNLKTPWTPKSSADLEAERAMAH RLHQERRAEFIALMAEGQIKIDAERDRLARDKRSLVSAKPMTTLSSQTDKPAFSLPRS LRTPRKPKHHNVDYQLDNVEKREGFMARLVQGQARIDAKHARKVEPLQDQLSPHSEIF ASVDDMKPSDDASMTAEEIVFQQRKKEFVALMAEGQTKIEAVRRVQMDSPTLPSFPVS SKNPMTPPASSYSHREPTSSSSSINTTSSWKSRGLKPLRLLQSSSSRAITRPFGSPST PTPSVGDPDVSIPSSKKATTANGRLDDPFHRAPGHAQKPSKPSSEPAMRSTREKGDNV FDVSFARFRSASGKNIKRLELEADLNLESLSQAVASAAVSSSSYKENHDTKARDTAAA DTSFEWMSDSTGVYHDAAQDVSLDKVPLTPPSLYPDETTKEAYQTPVLSPEIATPDHS DTSRVSITDIINNAFIDPEHENANLVKTAGNPFRVPDKVMFDYDKTFPRQMPVLPESS PKSDLSTSSDEVSQYVPQDHSLMSFDSEYSQATATADTFHELDNLTPVRSDTSPDFSF PGDDSGPIKFRRESLKASSEVEMLSGRDETFESLSSLWSQPSLVIRASEESVRHVVEP VVEPVDCMPSAHTDPQNVSEGSKRASVVISSPNGSIPGITISDMSDDNDDDSTHDPTT PPHRSLKSKRAPAPKRMRENDTSSIAYPKSLRRAPTKDKTGRGPLTPLSPNLSRMSRN TLSLGKYNSTQPTVQDKEQEQDPKDGKRTLKGFAMKRMSMMRMRSGNGEQRSVGQGLR MF L198_04670 MDHPRRFPTINGFRIGVEIGGGGFSKVFRAVDDTTNQLAACKVV NIFVNPNSVHSAPNVKELQKEVQVHKALKHHYVLEYLHHELVAKEKEREGLVPGLYIL LELAVGGDLFDKIAPDVGVPEDLAKFYFAQMCSGMEFIHSKGIAHRDLKPENLLLAAN GNLKITDFGLCAVFRHQGKTRLLSGRVGSLPYVAPEINGLPGSGYAAEPVDIWGMGVV LYTLLVGNTPWDEPGDNSPEFCAYRTGELLNYDPWTRIRGQARAILLSMLHLDPQQRI TIEGIKQHPWCMTPSQLHREQIPEALTQGLRGAGMMTYADPTFNSGASQAYTSQRNQR MASQTGFFNKEESQFMRGTGNITQAGDLMSITTRFWLSLSSHNALQLLSSYLTSSLGA KNIRLTLSKDPSTGRVVEDGSAGGNITVVKLAGNNRMEGTFIVRGNDSLAAEGQSLVI MRRGKGSLLHWRSFWWSVVRAQELEQYIVRGDE L198_04671 MQQNQWGQYPYSQQQQQPPQLLNAQPTGFHPLQPVQPAFNPAQQ QQRPQPTANLSIPAGQPNNYAFLNQPPASGFRQGLAPQMTGYPGAQGTGSGLMPQQTG FSSAGNARMMPQQTGFHGGMMSQPTGMMSQPTGMGSAGITPQRTGFQGGLMSQPTGMQ GLQAQPTGMPHDPRLQSMMQSFLPSNLSQPFSSGIPQFAQPAQPLQQTFNSLLQNPSV NTPKIPWVLSRQEKKDYDQIFRAWDTTGQGFISGDMAKEVFGQSGLGQEDLMKIWNLS DVDNRGKLNLPEFHVAMGLVYRALNGNTIPDTLPEELVPASMRDIDSTVNFMKDLLKH ESSASRSATSSPGYTTPRDASKDALLYKHSDDVSTTYKPSSRHLDRKSVRYAGEDPDA GLKDIRRKLENTSTLLEKSAEKSIEDEELEEEVETLQYRLKRIQEDIEYCSKGRRTSE KDEERRKLERELLFLMHEKLPELERRQERRKEEKAMEERAGVRRRDDRNRTQSRYDDR DSRDDYGGYRGTFDREKEKDRYDRGGRYDDYDRRDRDRSRDRRDDYDRYDRDRRGSRD ERDRYDRPRSPVGARSPPPPPPAPSAATSAQPPPPAPTSSAAVPSTKNMTPEERKAFI REQAQRRINDRLRSLGIESETKQDEVDSSVQDRLEKEKKEAEELSRKADEEQKEREEA RRKKLAGVNGAEDLPTDKPASPAPSAPLKSALKKPPPAPAPRAKPSAPAPPASRAPAP APPAPTPRAPPAPKVPEEDPEEVEFRRLEEEAARKKEERKKRLEAMQREEEEERQKEE ELLAARKNRSLGPSPAAASPAPPSPTPPAPPAPPAPPAAEQASGSYNPFRKPGGAPAA SPAPASGGFNPFFKPAAAATASPAAKSPEPSVSTPAPETAPPPPPPPPPAPPAPPAPA PPAFQPPPRAPPSEPEWEDITEKQVEDSDSDSDDEFASSRAGRQGLAQALFGNIMGGS APSPTTSRPGSAAPKAAPRTLNVGGGNPGQGMSALLSSIQGGARLKKTQTVDKSGPPG VGSVIGDAAPPAHISATPREHAPPPQAQDAPAHTEERAFSPAPASEDSYVGGNPNRQS VDWYAGLAADASHPAASVGETSLLEPTKEVEEEQEVYQSLRGPEVVVDKAEDEDEFDL STTLRVRSLYEFVGSRDVDVSFKEDVVIDAHPAKDPSSPWWYGTVVKDQSKGWFPSNY VEQIQAVPAQALYSYAPEEADQLPFAEGDKLSVIDHSEPDWWKAEHSGVIFLVPAAYL ELQG L198_04672 MPFTNVPFYTPKPAKSSNATMNIPMTLGLIPQYMVWHSLTGSAN GVTETAPVVPTDRNLSPTVTISEQTEQPQSPNELQPPRASATLLQSPSSPKSTQTPGT PQIRSRPRAASTLSVASTTASRPPSFISEDEDDQGDSSSDDSMLSWWSDDSSDDEEAE GEETSEKVENGQGNDTTPKAKKTRRSAPKPPTNPEKEAERKRREAQRHSLLAAAGLQV TREPSVRRAAPAVPGGRKRRHAPAVPEGRRRGKDLPPIPDEQYEKSERLGTQDAYARY EAFLAQSAQAQSQPLPRPESLSVQSRPSSQGLSPQTTGQSPQGAPLSPTSTITSLPPS IGKEAGGKISGFFKSVLATHHPEPKRPSISGPTISRVGIDDQPSSPSPGSTGQSSEAE SSEFGKTWSSLVEPSVLNTMDKSERKRQEAIFEFIATENAYNRDLQLIVEASDMLVFY ASLLSMLDEKALTVIFANIEDILLFNTGFFSALEERQKAARLYVVKIGDVLSFLNEAG IYMTYCINQHQAIKLLQSLREERPELNAHLQHIRETNASIRGLDLSSFLLIPMQRITR YPLLIKQIIAYTPYDHSDLPQLEQALRVTEGIVSRINESVRESEGQERLRTLSENLWI GGEGRLDLTAPTAFSGPRRLVKEGTVTKAKSGRKLTMLLCNDIIVLLDNKDLYRMPLA LHEVEVKQTRDVTGFALRADQRRGGDTVALKVMSASDAKDWMGKIDRARKQALSARRA M L198_04673 MSRSHGLKATQSALNSSVKIISAERTNDGQVFTSLRRTTRSAKT AAVDDEEELPKKSKLNVPKYEYKPATPSPRKKPRLEDAKAEPDVKIQASPIKRVATPR STPKKPMPQTSLAKPHQAPAKWEDQYRLIEHMRRGIIAPVDDMGCERPRTGANDAKTF RFHILISLMLSAQTKDAVTSAAVSLLHETLPGGLTAESLAAAPAEQVHDCINKVGFWR RKADYIQEAAKHLLEKEGEEKGDVPNTLEGLCELKGVGPKMAFLALQCAWDINAGIGV DVHVHRITNRLKWHKPPTTNPEQTRLNLQSWLPEKLHKPINPLMVGFGQMICLPVGPR CDLCLLGQRGICPSRVRGVTGKGRKDVVFTFGEVEEEGKEVGEWAWGQTSDSFPDALR PKKEPKVEISYESLQQIADLEPQPQPITPVKMERGVGLEEAIEEPGLRSPEQVLEVID QVDGVTDIGAEVKKETVDW L198_04674 MIRNTLKGSHRQATPPLPSHSHSHLHRPQSNRPIILLALVLSAL FGLSLLSHPDPSAGRKLQFPGLLPTKPPHPAHLKDQVLERAINQTYEAFKEACPGSSG IGVHLNPDLTASQKKRYEGLKGYGKGRYMLVTNTRQIEAHLPDLLNTLLVLLHYLSPE HIAVSILEGPSSDCTQKVIEDVLQPMLAEQGLGRSWMRIETGEHKIDWSQYNRIEKIA ELRNRALEPLWEGVGDEEWSKEVEAVVFFNDVYLHAADVLEVLYQHQRNGAGITTALD WWKKRPEYYYDIWVGRTIDKGDLFYPIDWPWWSPSSDLFSSPTASTSKNAYTHLQPFQ VFSSWNALAVLDRRPFLEHGVRFRRGDLEKGECAASECTLVASDFWKEGFGKIAVVPS VQLAYERDVAQDVLEDLNKQKAQIGWVDGVPPDHLDEKLEWRNHPPEKVRCHPWPETN GLSANVWENTEWVKPWLD L198_04675 MSDVGSTFYSKCSLQEGRKAWVVDDSQNSGLKWAIKPTAPDFDE DKVEWIYLSHIESLSKELSTREKARLAEADVSKGAIWAEDPASTGALAFLPVKSTWYD PSCAPHPVGMRIKTGTPAEDPIVLFLTSFFPIGFEFMVTLISKLTPEYLTLALQAFDK AASDAGREGGFIWGLDPSSEIVEAWKNHGREVEVKKRAEAKGGLLGAVYYGEEGQEGR SLDGQMWHWL L198_04676 MTSRTQVDLLVIGAGPAGLAAGQMFSRLKRSCLIYDSGVYRNDV SDHAHAVAGFEGVNPAEFRRKYRQDLIEFYGDTTTFKQGKITTLNKVGEVFEAKDENG NEVAARKVILATGLKDKLPDIPGIADQWGKRAIHCIFCHGTETANKPFAFLFTAPNAW INTGLAGTMLKLWPSLKHDPVYVLTHGLDVNTAEGRKAAGLEPYWDIVQKKGYTIISS PITSVKSDADNTTLTITFQEHSSIQVPYMLLFPEKCTPNDHALPFVNEQLFGLPLGPM GTIPFDPASQKSGGGGGMPRMGDSPKTPVKGLFWAGNSGAPAGNVAISVAQGQMAGAV AGDELGDEDLAKL L198_04677 MISHQDDSSTQDSSLDQVIEVLSDDSQDVIYGPKSEYCYLSFAP DVTFSCYLNPSLKRLTALRASTVPPGSIRGSEAGNQIVSYFEGWRATHESLLDPRMRH LADDKSLPSRLATKEELMTFFREGATLCEVEGCCKSALEMSKGGFEKTVKGGVDWFMR EMEEHGKEFNSVLDRFEDEERKDIKKVVAESLGEGWEDQIRAFRSATDEGERVYFPVE LAERFI L198_04678 MQVEYESSPAVGEHQAEESFSFRPGNGAPESEEEEAEDHEAGGE GSKKTKTKRVIKQRQSLAEKQPGTTMFPISKVKKIVKADRDVDIMSSEAVFMCAIAAE YFIKHFMEEGYTKARLEKRKIINYKDMANVVARNEEFDFLKGKSNSTILLFLSSSYAR YKDEKTYPVVPTEPANIVTPC L198_04679 MSEALERRRQKQVAEDNQDEGIVASFNDEDLPPLAPSTNPLFPN AVVKKATAAHPKAGTSSGKKESGDGPQGTRKSARRSTLGQADESFDLNEFRESQDNEQ RERGHSVAVTSEGGDEKMDEE L198_04680 MLTNLSLVALSLAATANATAAISILSPGHGAIWYKNSTVSLNWT LTDPATDNYFFRAYLSNKDSSVLEGNHSIADSTNATAEFVWILLPQVPASEGYTVNLV NTTNEAQVFASSEEFEIQDGIVASTTTSSSSTNSASSTSAGNIPNAKLTTSAPSSSNP FATDASSASSGAVFAIDLNFAGNIVHGGLMITLVCIGMGVFL L198_04681 MYNIVDVPAGVFPTGLKVDSEVDDLKDDGREYLSEMDEMVATAY DTKIMAGAPLGLQVAGGRWEDEKVMKALGMISEVVHM L198_04682 MSSTPPSSPWGPAVLPPTWTLSEWQQTTRSDPLVNWGRDDPIPE KVDIVVVGSGLAGAKTAHSLLTSYPAEARPSLITFEARETCSGASGRNAGHCRPDAFR GFTAFATMHGEKNAAGVLASEAITLDKVKAFVKEHSVECEFTERMTLDVVLSEEFKTY CSQAMQRAKDYGVDVSHIKYYEGEEAQKITRSPRALAAYEWPAASVNPAQLCYAIHRL NIALGAKLFSWTPVTSCISSSPTTLDKGEESEDGYRWKIMTPRGEVLTKKVVWATNGY TNLVLPEMDGLITAHKAIKLTLPPAGMAKFPRIEHTMSLRYVERFYSVMQRPDNSIIL ASPRKWPGQSPKTFQHLFGTYDDTQPLSERTTNTFFEVCDTLPGGGYTVVKDGGAEGY AKEGEGGLDYAWSGILGVTPDHVPFVGSVPDREGQYIIAGFNGHGMARIFHVAPCLAR LIKGEKWDASVPECFEITEERLRRLREGLVEKAGAMGELTEKTGEVKV L198_04683 MGITRDSRHKRAATGARRAHYRKKRKFELGRQPAMTKLDSSKRI HSVRTRGGNVKYRALRLDTGNFAWGSEAITRKTRLIQVRYNATNNELLRTQTLVKGAV VDIDATPFRQWYESHYAQPAFRGAKLAEEEADKKQSNHVKRVLEERKTVAKLDPILEQ QFKAGRLLAVISSRPGQSGRADGYILEGKELEFYHHKLQLRKAKHAA L198_04684 MPNPAPITSLSFYLDDSLFPSLPPAGGTMNHTDLMGRPRLSPAP PSSVPKPLSHGQLRNKQPAQMAVGQVWQGLQPGQVTRGRSIGAGVGSGHAKAAAPPDA VRGKQGVRRIASLQDHLPPRHSSKSHTRTSSDPQQDPQLAAQMDVKRSIALPVPAEGI PLASPRDTALVKLLTTLQSLTPASRTPDPPPPSPSSATLAPMSLLAPMAIILEALVWE RETLKGQEGKKTLPVLRDGSLLQTMRDNTPQELDWRVVNTYIHTFGTLLSSLLPYLQS PHPSDQISELTKSARQYVGKLKKVFGEVAGMYVDGYGFVRGMWDEGAMKGAAGEVGRW GDLFGV L198_04685 MSSSLDPAKVAFIESAIKHGVLLFGDFTLKSGRKSPYFFNAGLL YSSSLLTATANAYAKILADSRIPDFDVLFGPAYKGISLAAVSSVALYQQTGKDIGYCY NRKEKKDHGEGGTMVGAPLKGRIVIIDDVLTSGKAIREAIAILQASPDAKLVGIVQLV DRQEKGQGGSGKSTVQEVEEEFGVPVEPIIGLDDIIAYLESSGKWEKELKDVTRYREE YGVKRA L198_04687 MLPSRLAQASIDLYTALPAHGKPTCRDNGVPEWTILSTISLVCS TGQEHTTLQDETEKPPRIVPISIGTGVKVLPHARLPPVGDAVHDCHGEILARRGFVRW LIHQASLVADTGTPVDNAPREEIFVERNASGQFSLKNGVKVWLYVSALPCGDASTMYT AMHQNQEDASQWSDSLDMPPTPAPSLTSDAPPPLPTPAGPLRGRGKYSHISSLRTKPG RPDSPPSTSMSCSDKIASWVVLGLQGGLLGASGLWAKIELDGMVLGGVELPSGVDLEP EEWERRVKGEVERAVYERVANQVSQKYTPRLPDIQFTSLPFPHSKPYIASLHPTAPEP QPSALSLSHLPWLLLPGGKPSKREILSNGTILSAPWKAPGLVSLRPKGRSRLCKLETL SAYTSLLQRIQPAPPGGWDEATYWDLKHPSPTPAYQLAKSALRGELVKVQGEGAWKAL GEMYSTSKSEESTGPDPPFEGWLVSGKPFESFTMLGEVVQE L198_04688 MPAPADADAALARLFDTPRLDSDHLVGALQEHVFRSMGQGKRVR EWCTQVTRAVERAPPFPAVGEALATPILTSLLPFTRLPSNPYFPTPEPPIPPPLLSNS SLPTLTHNQLIGALARLSKEDRRISKKLHYEQELVLEACLEYIGRRWRSAATIDGNVV DDISRKLTEVEFEVHLQGYPPTVRNALSPVLHKLRHTLGFITSSQLVAKLCSTGPSAC PYPPSQPDEIVSSWVVRRLQAGVSYQNILHELHTVQSGLSDDDLLPNVLRPLGLADAF SCPSTPRPAERQPKGLSFKPKITPRWLSHKRANSSISKLHPISSPLAAADHRRPSLPV ISSTPSLLTPPYGDRPSSRASVCSSLGCTDVKGVKSTLLGQLLIMRYHIHGHEDEGWF LGGGRESAEERLTSLEARSGPDEELQQVFSSLRGVFSLLQPALSGMNMHTRDVSFDLD QYIKDVSLPVRITEEDDDEDPIEALYDSRCGTSASMHSFASMDSQAEHEFEEGQKRVS ELSTFTIRKAVREEGVKHRAVQFRFPQLPQLPELAVPQSPDTPTRPSSRLAASRSVPD FEDGGNYTRLPRRILRKERNATRSALQLGTKPNSKPGLGLRIDTTTTLLLRPRLSPPP SFNSDLPYTFPDHSLPSTPTTCYSTLTTATGMATASTPRGSMQMTDQTLTRRQMHRQC VIFDGAPISPGTGAGMDTSGGEGRWSGEISLNSPSSPASQASRTSSTTLYAPKHYPSP ESPILSPTPTFHSPCSPSPSFLMPSSPVPLSSILALFQSLSEGHSLEAADVEEALGRF VEDEQRAVEEKGGEWDAEARCRVAWLIEQVAVLLNDPAYLSAISNVVSSLSTPPLSVT PATPALSLSPPSTPPASAALGLNLGLGTAPHDLSPVKRAPSSRSFSHAYSSSRFGSVE AGRGRGHHSASYC L198_04689 MSGPKPFAVVYGATSFTARQLLTYLHAHPALAAEEFDFAISGRN KEKLDTLNEQLLEGKREVIVLELSDLEGVEAMVKRANVIINCAGPYRNTNAENIISQV VPITQHHPQRFTNTNTPHLHSACAKTGTHYVDLCGEAAWLSTHIVPKYHAIAGETGAC IVPASGFDSVPSDMTVYLAQKTLRASYPGGILADSTSMFKCSATIAGGTVQSFVSLTE LPKSERRSPEFTLCPGSNLPTSKPLLSPSLPATSVSNAQTGTYFFMYVFNRCIVRRSQ FLSSTTLHPSTSTYGSTDPKPSDEGEGKKEVMKYMEGLDIGYGKVKSSLSTILMGFGF GLFFGVKWVRNLILSYLPKAGEGASLEKLHAGYFKVTNISTSRPTSTDGKVVQVLTKF NGQGDPGYLSTPYMIAESALSLILPPPANTSLPPLAKKGGVLTPATAFGDVLLKRLEG SGNFEISSQIVEA L198_04690 MSLFHLQLPPPAGLHAPDPSVVRPSLAGALAVSSLPGNSNGRGK RSLNARYDQLLSQVKAKGRSILSPKTRDAKPMLKKLPSRSRGGLFSHSVSALPVSAPT KQSVSRSTINQLAYHLGQRSAASTADWDREAPSFHPDPYPYQTSPRRDGYLTDAIPTD VDVYGDYPMDEDGGRYIASSIQNRSPSPLSQPNYTYHPAPPQLTPTPIHLHHPTPPQV NPQQNRQPMTLAQHRVRFRPAQENQLYSPSPATFLQWQMSTPKGADGSFASRDASMVS DLAGVGGRYAGYVLEHERWEEPSSFNWAPAPRQMDVSDVATAPPLWQQPLSPRMEAGP PPQKETHDYQLSSSPLFKHNQHSQTQNLSSDAYVLHSPTPQRILRLPTNLGPTRRPTP APPQPSLLLSESIPPQLMQAHQSLLPAHIKSSSAKAKKARKERSYKVKGREVVRLLEE MAENESDGEENESTGADLWTTSQRDSRPPLVLPAITYEPSPSRTLVNLPISQHSSSAT KVPSSGINGSSFDLSSDAARPSPTHIADPGPKAEPHLINDLLKRKEAPSPSSSPVAKA GKKMKGMSVREKIRGRAPTPGPTPLVVSQTEDEDIGLDLDLDASECGSQLSSATNIKN VRADIPFSNPPIFALSQLPVLPLPRPLPDYTPARKSLQPRRKTTGKRVQRIESESVTS EELESIRSFSEPPGGGKVMVYGSDDGRGIDGKGDQVIDSDGTAVESDVVATLQAGHGK DMTRQGSNADSEMYGKGKGWVDEGFFDANGGELGFRIWHD L198_04692 MPDKTVLITGANRGIGRELTKFYLEKGWRVLAAARDPTKVAQEG KVVIIKIDSSSTTDAQEAVEELKNKHNITHLDVVIANAAVAPTPSPLASASISELSSG LITNVSGPLALYQATRPLLSDGDAFVVITSTVGSITGEWMPYLGAYGATKTAVNFIVR GIHIEEPKLKAFAINPGWVNTDMGYVGSTLAGLDAPPDKLSDTVPGIIKVIDEATKEK TSGLLWN L198_04693 MVRISIPTLPPAPLVPPNALTLLPPALAASHLARFLDKGKGQTV ILTGAGVSVDSGIRAYRGKEGSYSNPNYKPILYHELVEDTDRGNMFRRRYWARSFLGY PPVRDAMPNPTHIYIAALQHLGLAPNLITQNVDNLHSKAYSLLDPSVSNPPILELHGT LAKVHCLKHRHEITRDVYQEHIAKMNPVWDEAAKEAERTGNQPRTNPDGDVDLRGANF STFSVPPCPSCKAEGEHTSIVKPNVVFFGETIRPEVRDHSFDLITNSSSLLILGTSLA TYSAFRLVKQAVDQGKPVLMISTGPSRADNLPGLEKMDRIAGDVLSVYMDSVLKDNRG KVFDDVKKVLHKGVIKAIPEVDGPRAEG L198_04694 MPASPAPSSPLFSLDHPSPGSRTGSDDDEMLDTVDLNADPAPED DPWRTVPLAAATPSVAGASSRDSGASFRTDTTQRLSRAVSTPATEFTPTTEEEGKDID VAGIEEGETPMVLGVAVIGPTVEFAHPASLQDALANDEDLSRLLPFLALPDGAHLSEE DYSFFHCTYSPSDSRVHTNVPSSQTLFGISCNRQLASTELLKRPSDVTRSMVQKAVIV VASKPVFGPIRDRLGVVTRAYFAQRDFTHTQILEDFYHSLETSLQGKSGEEAIYMGTS LRELVHKFRHRTLILLKLLMLQKRIMLFGYPVDKLCTYQYSLVSLIPGLLMDLYESGR PELEKEMKKARPTSLRTSDRASLLRFMGLPLRVFGNGAFFQPYMPLQEIDKLKCKSWL VGTTNQIVTQQKDCKYDLLVNIDNNTFEFTDPKLEKTVGLTPADRKWMDDVVQTVEES WNLPEGERPSFRGSDDDLRARFEEYILSALSSIKYADFLTKGKASDISIIAETAGAPG SEGNVIQDFSEQWIIAFKETDVYEKWNGMTDPVLFDIHEPKHPCEGKAGVVSDIGLRL AEGLHDLHLDQQLAPTREVLSSAFQAGSQSIFKAFDGVRSEVDRRMKEREEQARARGA ASPGASGNSTPTAKPGTPTGQDLKSTIGGIGSGIGSFFGSKVASFRAPPKDESPKGLR PMSLVGSVSSSSPKAMARSGESVPSSISRPSSTSR L198_04695 MADKPPAHGTHGHHPSALPPYLRPSISHSSSTSSGHSALPQPQP QQHEYVPGSIFDAEGVTGSGLEERAIFDTAMSRSGTPVGTPLPRTDPLASPLESELNW DAAREGSIARRPYWRRPSPKWVYPFILGAAVSLGMATPPKSELFINLACLAHPPSSEQ TVIVNGHGSFNAQIAGDYQTGWQDQADPSNSAVLAPVNQANATELSPSDRWFLKLQHE IFEYRQSHRKTSVPGGRRERLPPGPQPTGPLPRPGGDEPWGDHEPERSGEDDKEGQHY QEIDPSLCKKNPKVQATAAKLTMIMTLTMGILSALTTGFWGQTSDRLGRTKVMAVVEL GLLCNELCFILVATFPYLAPGGYYALLLGPVIDGSLGGISTITATINAYLSDVTPDGS RVMVFARVHGIMMAGFATGPVLGSMLISYTGNIMTPFYVNVLIHSVYIILILFLLPES LSSEARVILTKNAALAKDAAARREAAEREWEDEEVANDAPRAAGDGNRESDPLLSGWS IVSATNPSRRRKRFAGNVRRTIRKTFSFLDPLTIFFPRTEEDAWTGRERKNWNMTFVG LGLFFMSLLMGVLATKVQYSLYAFGWTSTQLGPYMSAMSFLRSAILIGLVPLIMRYVK PRFQQGNNTGVSEAQTYAAGDSAITTSSDPSAPEPSSDEPSSRAPLGSAYLDLLTVRI CLFNEFLPWVLLAFGPSEKGFVLLSAFLTLGGPGVPASNSLALSLLSDPSQAGRLFGA LAVIHALGSTLISPLMFGTVFAATVGTYAPTVFALAACCVAGAFGCMAMVRLDRDKKD SGEEERGRSKKVKRVNSSSVYGASGVTMRSEMAGASGLASASGVESGAD L198_04696 MACGIRLADLDQAQNIVTLGHDAVLEGPPALDRLLPNCPHLSDV CSPTDPAFYHYKEAMDKHKKSASSSAVCVLRRPRPRRVTLERVVLKVQRIDAGEVKLK GSAVPSVVGSAGPSTSVYLL L198_04698 MFFQHHQSQQSSATATAKHHDSSDRLPGASNSSWGRHPSFTPNL PMPSPNGYPPLGSGYPPGVGGAHHHPSLNHSHGGIHPSFGSGGLGGSGGLGHGPGGFG MGMFQGVQASPPRGEPVPMTSHWQTQLARAETSRTASSAHHRARAAAISSRATNKPAA VAIVDPNARPGSSHGSNGLHRKQGSVALNGEPSTGTPVMTALTPSTDPANPTQPPATN DKEDEQRPNEPWTGLDLGGIRLKRLSTALFSFSHITSLYINHNALTAIPSAISNLRNL TLLDATGNELSSVPSEIGVLCKLKDLLLFDNNITTLPWEFGTLYQLEMLGIDGNPMNA DYRKKLIEEGTRGLITYLRDHAPQGPPPPERPWIDLETDIDSPSTGKQESFSVLTYNI LCASFAPATTYSYTPSWALNWDYRKSLLLEEITAASADVVCLQEIDCKQYADFFYPEL KKKGYEGQHYPRSRAKTMSADEQKNVDGCATFWKDDKFNLVESQVVEFNQLALQKTDM RTEDMFNRVMSRDNIAVVAALEFRASGGRLLVANSHIYWDHRYRDVKLVQIGMLMEEL EKIIDQFSQYPAKLDLDPEYNNGRPVVYERSEKGRDIPLIMCVDLNSLSGSGVYDYLS QGEVSGDHEDFMTHLYGRYTATGLKHGLNLRSACSGIGEMRMTNFTPTFDAAIDYVFY TPRTMKVTSVLGDIDREYLDKIVGFPNGHFPSDHIPVFVQFRVRGQQ L198_04700 MFPITHPEPVKEYIETAHDVERLLRELKPDLVIVDTMFGPARDA ISKMADVRFITLSPNTIKELAVGDQGLGAFLWPAHTTGFPFPVPWYLVPLNIIALLFP LLYFTFSSYHKAFDKARHAYGYQKRVPLFEIAQVRPSKTLCMSTPGAEIPAIIPESLL CCGPILQASTPLELADKELYDWVTARPTVMVILGSHFLTREPVANNMIAAFRVLLDKR RDIQILWKLRKYGEYELQGLEEVGDRLRVVDWLEADPLAVLETGNVICSVNHGGSNSY HEALFTGTPQVLLPAWFDCFDFGSRLAFLGNGVWGNMSSGLAVSQPEFTKALLRVIGA TPDAPEAAKILARAKELQDIVTDKGTRLGRDVAAAHILHELEYGPDAL L198_04701 MSIYDTEFSRRIRSSIHDAPPTPISKSPRYDLRSTSHPAASGGS SNLFHDGLGNPDVFGGIGMATSKSASPKMKGKGVQRDYGDSRFIPTRDGTDMHATFQL TDSVRSSAKVKTRRKSGQQQTDGDVRREEVQATFTQLLKTELFPSPSSSRQSPSSLSK ARHHKMRQPMTFDTSNIPPNAPSYTISSAGSTPNHHRPPPMALPTSSGAPMERQMSPA STLPPLPMHAPSTPTSGHGRPPGAGPSSSHHRAHQSQVALTSTTHRATSPSHSNPRRS AFSPPPNSVTNGAYSPSTPTKKRILNFGSPGRTLGVNGISASDSLDDMNHPAYSLSPV GKESQRVLLSPRKGIRPISKTPFKVLDAPDLADDFYLNLVSWSQSNVLGVGLNNCVYL WSAQTSKVTKLCDLSPTDTGEGGDVITGLEWTNKGSTLAIGTNNGLVEIWDAEYNKRI RVMSGHSGRVGALAWNSHILSSGSRDRTILHRDTRIADHYIRRLSGHHKQEVCGLRWN TDTDQLASGGNDNKLFVWGGVDARPTWRFGEHRAAVKAIAWSPHQRGLLASGGGTADK KIRFWNSLTGGLISEIDTGSQVCNLMWSKNSNELVSTHGYSGGPVSNQIQIWKYPSMT QIATLTGHNFRVLYLAMSPDGQTIATGAGDETLRFWNAFQKAKGEVGKAAVVGSGIGS GSSIVRMGGFERLR L198_04702 MLEPEAMSLPGRGSVSSTAYSEPDYSQLHLPEEPQATSPASRSP RPSRSPGKSLVPDEHPPKRRVSPLMKRLGEEPPFMLPHPSRSESTFYPGVVIGSFAGG SGSNSIFGQDMQLDGSMDDILDPNARSDSKPGQGRQPQAGANIAPWLQDDEPPSRSHD PSQTAQDPARGNAATVREKDRKSSSVLNHFSSVPTLPKIRRSGTADGTKLPLYASGTA THSPSGLASSSSSINTEGRTSRAGSGDSIQTLPSGKGRRMSPAELGQLGQGKTGTRAG RFGSTASTASGTSSTGEKKKGFLGGLLKRKGPNLSLNPINDGAFDHRGSTTSIPGSTS SRGSVASTGTHSPAASTDRPTDPFFKAFHSTHNLGSYVPEDAVSPSYEGNETPFHLDM NLDDMEGIIDPSKARLPTAGGPTHTPPSGFRPPAASVAATESSVGSTGVREPANQMSS FGTTISSSSESGPSRTGPGSDDKNVGRRVIGEAERQHGSFTQTNPFKPGSSSGSVDLR PPYNPPSPHSLQPKNQLPPSGANLPRRPSALRNVEMGNAGEKLEEESSPDGSQFMSPV WRARAHSTTLFQDPFSGSHKGDNPPTSAGLSPSTLVHPPTLLPAAEAGPGPSTLAQQS LAHPGAAWAAPESWGVEADEDGPGEDTTSSSEDDWVGVGEEEEDEPLSPIMASPPATS PTSDTFPSPTRKPPSPKEAPPFGFKSQQQRTRPGTANTTGTIGSVGRKKNGKRVGSSA GRPGTGMRPGTSGSVHSGGLYWARIYRADNSYGLYNLPLNTTTAELLAVLSNDQTQGG QKKVATNMKLYICERGQDRILSPSEKPIAIQHRKLLQMGHTEQDNLEELGKSDLAILC RFIYQAPILPIMNPEEESSYDSFEFIDIAGRDLQTIPIFLHLHAHDIIILNVSRNPMS DIPLDFIQACTALKELRMSNMALKRVPNSIRASQTLARLDVSCNRIADLESVALNEVE TLVSLKVQNNKLTSMPSYFAQMKSLKYLNISNNKFESFPAVVCEMSNLVDLDVSFNNI TELPAKMSDLKSLEKLGLYSNEITHMPKSFSTLANLRILDVRRNNITDLSVVYALPNL ATLQADYNNVVTLDAQLGANVRQFSVPHNSVTRFTLAPPPNMAIVTYMLMNLDLSHGK ISTLADEAFSGLTNLVTLNLNFNQFTRLPTTLNRLTNLEVFSCTDNMLNTLPVGFGKL QKLRILNLHNNNLKRLPDDLWSCSSLEVFNASSNLIEAFERPPDDMDFRVGRGSTASQ GSGNGRSKESISPLGKSVRKLFLADNRLNDDVFHWISLLPFLRVINLSFNDIYEVPAF TLSRCEKLEALYLSGNKLTSLPAEDLEKLQNLKILHLNGNKLQTLPSELGAIKTLQHL DVGSNVLKYNIANWPYDWNWNWNTSLRYLNLSGNKRLEIKPTSAQDMTHASSFRRELS DFTALSQLHVLGLMDVTLRISSLPDESDDKRVRTSFSDINNMAYGIADTLGNIDHLAM FDLVVPNFRGKDNECIFGMFGRATVTQRPGKIAKYLQEIFAETLAHHLEKLQPGEDTS EALRRTFMSTHRKCFEYFVGQIKIENERKPSWTSFASFDSMFRGWSPGPASTLKTGAS GAVVYLKEKVLYVGSIGDMLVVLSRKGDAELLAKRHDPTDREEVARIRKAEAWVSTKG FVNDDKDLDISRAFGYWHEMPAINGAPEIRTRTLQESDEFVIIGNHALWQFCSYQTAV DIARTERDDPMMAAQKLRDFAISYGAEGNIMVMVVNVSDLFFGRNGVRTRGSSSQTPT DMIPEQESYSTAKRVARRRAEEVGDRTLNRLQQEIEPPTGQVAIVFTDIVNSTYLWET NPAMPTAIKMHHNLMRRQLRLDGGYEVKTEGDSFMVSFQSVTAAVLWCFNCQIGLLMA EWPRELLDAADGNVTRDSNGVIVQRGLRVRMGVHWGSPECERDPITRRMDYYGPMVNR AARINASADGGQLMASQDVLNEISVFMEYLKTTDEQALDELQGDSKREIMELRRIGVE VRDMGDRKLKGLEVPERLHLLYPKTLCGRLEISNEIRPEVEVNDVRKHADRHRMIDVE QVHQLSAIALRLEAICSYSPPAPTSPGESPQPVVRRVPVPPSYLGPSIKEEMSDEELA VVVESLVGRIENAVSTLYLKNFGEFCGVLAALQTATRTDPKLIIHALSLMNGAMGKER AIEGGR L198_04703 MSQPPTLTPNLKRARSSSQLSSPDSTSSPKRAASEDPSEPTRFL TADSNMIPGSSPLRLDTADEESTKSWVEQTGQVRLDSEGDAEGDGDETMIAESQEPLP VVSQSEWKQRVNDVLENLPPPFKHYERYYILPQPILNKLQQLAFGEPSDATLSPDELL EAMQKLVPDQSAESFWVIRSERGAEGAKLIGKGEQEDVWALGDAEENVDYVFIPEATW LKVVEWFGPYQGPSLPRYCVPPDNIEVQPATIRLFIIFPESTTSPTSDEASQTILMCP STTPIKVFESFADHVATQKLGESRFIGQWGSRLWKVEKSSDNDETLLKAGSLEITPKT LIAAKGELIDTSAAEADLAETVLGISKSQIIAIEFGKVAEGSTAPTWSVDVGTEKQAV EKSSKPAPLFSKPAMFAGTSSAATAGVETRSQTKPKAGKGLVGLQNLGNTCFMNSAVQ CLSNTPELSQYFLAGVYNSELNRDNPLGMSGQIAEAFGQVIENLWAAQSNSYASYSPR QLKWTTAKFASQFAGYGQHDTQEFIAFLLDGLHEDLNRIIKKPYIEKPDWVPGGGNKE LASLGKDCWEGYKKRNDSVIVDLFQGQLKSTLVCPECTKESITFDPFMYLTVPLPVAQ HRQFKGIFVPRDTEKGPTPFQLLIPQNAAFSQIKDKLGALFGCKANNIIGLDLWKNRP YAWWRDPDHNGECKNNDIAVFYEFEPTVNVVATRKAVGTTPADASGSFTVPVYTFKTI ENARGGYRIGDSPSDTHMTPFFITLSKADAADPVKVREAIMRGYNRFMRENKKGEIYV VASSAQARAASPEDEDGPVTEIHMNGDQATIVEVPTTQNAEQDTPVKIVEVPAEDGDL LEVDPATAPISGNVTGLHMNGSSTSLASLTSARSAAASVSSTAGKLVPRADLFKVYVA DPAGDLSYSNFRNSLAKPKQEVAGLYDQEISAACKNWSLLESRKKKSKRHMVTRLATG FSAMIGGSNTSNTSAAGSEDEAIDGSTDPKLSPAKAAKLKLKEEKAKLVVRPGEGIFC EWSPRDFAEWLDHDVVEDKFVDPAIGRELAKKNAGKEISIEDCLDEFSKEETLGDDDL WYCPVCKKHQAATKKLEIHKAPDILVICIKRFGSSRRMNDKLDNLVKFPIDGLNLEDR IGERQLAKTLKVDGQNVTEYGIEESDEALLYDLYAVDNHFGGMGGGHYTAFCRNRVDG EWYNYDDSRVSKTDASAVQSRAAYLLFYRRRTTRPIGGISRIKAEEAIRKEAEDAPEP EAGPSGPTMHMPGYRPLNPDAAIDEELPSYSSAPLDTSRDVDSSEDEAEPSLSRASTR AAAGLPDMDAGNYSSFNTSQLGFGNSVAWGGSNGSNGASQYSNNVGFFDGIAGTQYPA PAGTELPYLSDTYASGPSTTPTGDETLDSVMSDAGAVGEEGVSSTHASTLAGDEQMVD QEMDIAENVSASEIPTDRKAKVD L198_04704 MSRPTPNVLINRNLRPAGASGNVGAGASISSSLLFSPNYHHDSD NAYFPPLAIARAGGKGGQIFVYSEDGTTTSGYSSFAGGGRRWGDAIAGESTENTRESP PSYLHQHFSQFADTTTSQSYRPPSNMPISHNLITPPSSFTQSVDIPGARGQPSVRPMS LPLTPLSSSAARVGQESPEHSGSTPDSGKFDNSIITLITSIFPLHAQTVSLLSHTLEI LTPPVHKLQGFIVDYPSSYTSGRTVFIHMPPGHGTVNQRPESLSPNFSQVLRPHDPLF STSPTSASHLASSAYAFDIRESLTALLDLAAEALEGNHLVLVLERNEAEQEALGEMLH SLMYVGGQVVKPGGLEGGWEWDATKWVLVGLEL L198_04706 MPPIAIRFLVPPPARDGRSAHRPHPYIVLDKTSPSSPSLPTPPA SEGDASSRRSSSTTILNANAPITPASLSAPKPSSASPMSSQSRKRKASFVLPSPTDQA GGEGKKAKMMVVRMKPDFGTILARCAAYDPTDDGHTVKPTHSSATKTYLHPYSPASDW GNFRSNKLSREEEVREERMMKAKKMGKEEKKTKMEGAMRVKELVKEMKVTLGGGVYVP PTVEEVVVDTPEGTPRPTTAKPGRGKKSLSPASISTRAKSHSPLATETPLVADALANP PKTVPLAAGKVKPGRPKKVTVVEAKERPSRSSGRTKETEKS L198_04707 MTSKSSTQQPPSSTFRLPRGKGLNRPTTWFGTQSFGKSSTSSTS SSTTNVSDGSSASIAQRMDGVKQSPVPNPFTVSSFKSPFPSSKGKHKLSPSPSPSPRK QDEDFVMISPVRHGSSPLANAGTSSTPENDSPTPACGMASAAARLRLVDDSPIRRVPG RSRSLRPGADGQSSMSPLKNPVGMSDEEEEEEFLQDEAPTPMMGESPAPPPRPVFTVR QSKSSDSSSSSSGGLFGDASRIPTLSKSHPLRRRAGTATSQDRPATLGQKKTISLDQI HQSASGDDAEALFGSNSQINSHVRKARPSTTFAISSDGRPPPLGTRPASSTEHRAHKR INSSDRVGLTHMRANSAGGSSTASISRSFGAKSLSLSLAPNLGNLPDFSHSNGSISSL STNSLTPPATFSPMQPPIFEGVKPLQEVFQEEKTVSRKFKPRDSGVSMGSVEEELPSQ PRSLVPPSSVMKLAPSRLRRPAMLKRNSSMGDEKDVETPGVGPMLASGWPGKPAPFQF LGDSGIGLSIGANQADAKPAMPGTPVKKQAYKGNGVGHSVSQPTLGSDSFDCEITAPL ENSSKPNLPPNFAKPRNTMPPPSTQKPPPSMMKRRPGTSELPRLTLTQANSSSPMATE DEQSPTVRAHGSLKGISQMRNLSLVNSREKGSKGKDRLGVLGRSEGGVSESEEEDGTP TKSGDSKMALAANRKNLMTPTPSAKTSIRAAHPSAYSNTATAGTNLMPRLSLPALPPK KMAPSRTLHHRQSHPATNQPEEEDLFEAKFITLDTLGKGAFSTVVKVQERNGEGIWAV KKARGMFDGVRDRLRHLEEVDILRHLSLKPSPHVVLFQDAWEQNRQLFIQTELCLGSL AFFLEEYGRVVERLDEGRVWKCVRELSDGINHIHSNGVIHFDIKPANILISATGSLKI GDFGLATRWPRVEPLEILKGAGLGMGSGNMAVLSTMRKEKLEREGDRVYMAPEMLRGF FVMAADIFSFGLVVLEIATNICVPDGGAPWHALRENDFSVVDLSPLSPALCELVCQCM SAQPELRPEITHIISHPVVQRARKGKDALAPEDKNWLMEVLAGGFSTPNVPAAAAGDE DVVME L198_04708 MSTTAERKIILITGANRGVGLGVAKVYLEKGWRVVAAVRDPSKM PKLEGEVVVVKIDSESTTDPFDAVEEVKTKHNIHRLNTVFANAGATPTPALLVDTTPD QLDFMLATNVRGPLMLFKAVRPLLTDDDKFVGAGSDLGIMTGNLYKPYLGVYGTTKAA ITYLMRGLHHEEPKLKVFSLHPGWLDTDLGNAGAAMSGLDAPPEKLSVAAPAIYELFE NATKEETSGYMWK L198_04709 MPQLDGDLVVVKIDSASTTDALEAVEELKNKHNITQLDIVLANA GVASTSGPLYQTDLEKFDHGHAVNVRGPLALYQAVRPLLKDGATFAVVSSVVGCITGD WIPAMGAYGSTKVALNFLMRGINQEEPKLKVFAIHPGWLDTDMGNAGAKAIGVDAPPE KLSVAAPAIVQLLLKATKEETSGFMWK L198_04710 MSAIEKGTTSAPRVIAQLVITGGKAFGKATFAAMQQAGKNFTHK PEGMADSAPRSADGKKNALTSQLQMSLDEARLILNVKKDDPLDVIQKHYDVIFKANSP PPPPTAAETKPGAKPSPPPRATGKSPKSKAPTHSFYLQSKVFRALERIKAEKEAEVTK SRPEGEATAEAGAEQPKGEQKEGESR L198_04711 MDVDKSLDDIIATKKQDNRPKQAQRPPIPRHSTGSNGGSRPTPY ARPPPRSTGDRWVHDAYPGRGGFNGRQGRAAPNPLIAGTGATFTKENQRIEIVGLHYE VSNQDLKTIFSQAGTIADGPQIRYDRSGRSTGAAWIEYTTVAAAKNAINKFDGAMTKG QTISIRYGRPPPIQNPGPANGAGPGPARNGSTASLLSRIQPSAPAGGKAPKGPKGAAN APSVREANGNGAAGAAGGGRRGRGGRGGRGGGARGERPGPKSAGDLDSELDNFMKPAD SAGDVEMA L198_04712 MPPPPKNITILGAGLSGLSTAYHLSTHLPASSGTKITVLEAANH IGGWVDSRKYEVGFKGQDGVMREGAVGIETGPRSIRPRGGRGAATMLRMLRDIGLEES IIPIPFSHPAAKNRFLLDTSTSTLTPLPSSLPSVLRPQPPLLEGLLPSALSEPLRSRG KEKELTADGDESVDSFFRRRFGDSIADNLASAMVHGIYATSSKQLSLRSAFPILHDSE AKYGSVVAGMLLGTKSKAARKVEADDWAELGELGKRREEWSLYGIKGGLGVITEKLLK RVTQRGVRVVNGEPVRHIELSRNPEEPISLSTSARSFKSSHLISALPPRLLSHLLPSS ASLPHLAHNPSTCVGVINIVLPLPPSQVHPAGFGYLVPRSPLEKNPSGVLGVIFDSTA VPPSPPELEGQITKLTVMLGGPYWSSYTPNSPTHSEPETLIPLALSHLRKTFPHLANV EPVLAVGNIHHNCIPTYLPGHGQRLRELHENIGSGEWKGKISLVGNGYGGVGVNDCVY SGWEVATALGGGDRVTGLERWHDWE L198_04713 MPAQSKASRSRIADTVYDRKLADLRDQYRSQSSKFSAQSMAITQ MISVNGRPHRPVQNLGPASQGSGSQVNTYTAICNMYCKKVIPQVGLDFQLPIETIEMF MQISDEGLVILDHFSRLGLLQMKPRAPITAAEETDRVSIGLVYLAKRLTIMAQAWDLP AEESVATLIGDWPEKVAELCREDSGNKQSLQVMKATRGSSGCVAQ L198_04714 MKLPLSRKARILILLVIDSLFFLAEIILGYYVGSLALVADAFHM LNDVLSLVVALYAIKLATAPSSARNSYGWQRAEILGALINGVFLVAISVSIGLQSIER FVSPEEISDPRLVVIVGCLGVASNVMGLFLFHEHGHAHGGHSHSHSHDEVEAAPHPHS SATLVHNNDLHPTHSHLSHAHSHHSRIPPHDPSELYVHPSQTRRQVIQTAHEMGYNSV YSKRSSVSSLYGTPAGSSASAASYKESEKAGAHEKYGSGARRSWGKGWLDKMGMQSAI EEEEEQLKGGSSGTLAGHLHHHRPEQDSSATLAPRGASSSALVLGPVISEKPHQHGPG CSHHHPPQNNSRAPDHDHDHAHDQNHSHGHPDVAFSAKVPHPHSHTHSHGGHTHDEQP HGELPHGGHSHGGHSHGGHSHGHMNMHGVFLHVLGDFFGNIGVIVSGIIIWFGKGRWT IYMDPTISLLITVIILFSAIPLCRSASYILLQGVPSHIALDDVRQAIYEISNVEAVHE LHVWQLNENTVVGSVHVLVKKGQEARREFMEVAKEVREVMHEFGIHSVTIQPE L198_04715 MPKVSAATMELTIKHSGKNYNVPVTQETTTATFKQAVSELTRVP VERMKVMVKGKLVKDDTDYAALASQKVTVMVIGAAEALPKAPTQPIVFLEDVGDGSYA KAEPNGLVNLGNTCYLNSTIQALKVVPEIDSALKEFTPSGTSADTRVVSSLKKLMTNL DSAHDAVAPLDLISNLRVLAPQFAETDNHGHYSQQDADEAWTTLISALRSKLPHGADG SLVDKLMGLELEKTLKCAETDAEPESTSTESLSKLQCNISGTTNFLLSGIQDSLTQQV EKNSPVLGRNATYTMKSAISKLPEYLTVHMVRFYWRRDIQKKAKIMRKVKFPLELDLL ELLTPSLRARTQPLNVHAKNVLKDRDARATVLKRKPGEGREEEEKRKEEREKAEELLK TQETEGGRRDGSAFYELAAVVTHKGASADSGHYIGWARMDDGAFVPAEQQQWAKFDDN KVTFCDAQKILSMDGGGEDSVAYILLYRQAKI L198_04716 MKLHPPPIVHVRLPSSPSPSILQLTSITIGHVICYIALGSILKF QNPNNIQIEVGATVRRYYGADYTLFQRALIIRNYVEAQLLRLVEEFKLNKPFYTDLNH SGLTHGGLPLLIYHSKTTYLDAIQKGGSTLQPHYFEHGTTDAREVTKGSIEGKRVEVE RAVGSVVCKKMMAERHKREAVAV L198_04717 MLASPLTLLLLGAGVPAAIASPFRPINLRDDANSTDSVTDAPTA SWTQNSTASASAAEGWNSTESWSSTASASPVSVTSSVIEASTVSSTIADPTSPTSWAE SSVSATASESATPDETWPSEAPADDGTISSYHTSNITYISPNIPAPFNTTQANSSPKW EAAHSRARERLAGWSLEEKVQLTTGVGWMQGKCVGNIPAIESQGFGGLCLQDSPVGVR FADYVSAFPAGINAAATFDKDLIYARGYAMGQEFKGKGVNVALGPMTNIGRIAAGGRN WEGFGADPYLSGWATDATIRGIQDAGVQACVKHYVGNEQERNRTTSSSNIDDRALHEI YTHPFLRAVQADVASVMCSYNLVNGSWACENPKTLNGVLKTDFGFQGYVMSDWGAQHS GVLSANTGLDMSMPGDISLGSLTSYWGQNLTDSVNNGSVKAERVDDMAERILASYYLL GQDEDYPEVNFDSFRLFGSNQSHVDVRDDHYKVIRHVGASSTVLLKNENKALPLTTPR HIALIGSDLGPAIKGPNGYTDRGGIDGTTAMGWARSRARCHLNSWLDDWDVPGAQYWA APADVAIVGVHAISGEEYITVDGNDGDRNNLTLWTNGDALVQGVAAVNNNTVVVVQAP GPIIMEEWISNPNVTAVLWAGLPGQESGNALVDILWGDYNPSGRLPYTIAKDRADYPA DLVYTNTDDPVHPQVDYTEGLNIDYRHFLAEGIEPRFAFGYGLSYTSFELKDLKVEAI EGEKRKRDDVPEFPEVDESPQGEVVVGRFTVDSLHKPRWTVSIDVTNTGDINGCEVPQ LYLVFPEHAGEPPKVLRDFARINLDPGATKTVSWNLSQYDVSIWDVETQEWTVPEGDF GVEVGKYVADVDAQTSSFCFKA L198_04718 MLASPFVLLLLGTSVPLVVSNPLNVLVANGTLNNTQTSSSEAET AVTFSDRPALNISSHHTSNITYISPQVPAPLKATHANPSSKWDEAHDRARSYLSKWTV AEKVSLTTGLGWQQGRLASGVRSTDYVSAFPAGINAAATFDKDLIYSRGYAMGQEFKG KGAHVALGPMTNMGRVAAAGRNWEGFGGDPYLSGWATDATVRGLQDAGVQACVKHFVS NEQERNRTTSSSNIDDRTLREIYTHPFLRAVQAEVASVMCSYNLVNGSWACENPKTTP AM L198_04719 MLKSPLLLLLLGTGVPAAIASPFILGARDNTTTTESSTASSTAA ESTATIPSPDSSQNISSYHTSNITYISPQIPAPINATHANPSSNWTAAHEKARSYLSS WTLEEKVSLSTGVGWEQGRCVGNIGAVESQGFGGLCLQDSPLGVARTDYISAFPAGIN VAATFDKDLIYARGYAMGQEFKGKGVNVALGPMTNLGRVAAAGRGWEGFGGDPYLSGW ATDATIRGLQDAGVQACVKHYIGNEQDRNRTTSSSNIDDRTLREIYTHPFLRAVQADV ASVMCSYNLVNGSWACENPKTLNGVLKTDFGFQGYVMSDWQAQHTGVLSAETGLDMSM PGDIGFGSLSSFWGRNLTEAVNNGTVSEARIDDMAQRIMAAYFLLGQDEDYPEVSFDS FRLTESNNSHVDVRGDHHKLIRHIGASSAILLKNENNALPLTNPRSIALIGSDLAPPT NGPNGFTFRAGIDGHTAMGWGSGSVNFPYLVDPLSAISQRAREGGAIINSYLDDWNTQ NASYWASGAEVAIVGVHSDSGEAFVVDGNEGDRNNLTLWTNGDELVKAVASANNNTIV IVNTPGALIIEEWIDHPNVTAVLWAGLPGQESGNALVDVLWGDYNPSARLPYTIAKDR ADYSADIVYTNSEYPVQPQIDYTEGLNIDYRHFLSEGIEPRFAFGYGLSYTSFVLSNL IVDEVEGAGDLAKRYVSDNPQVDESTEGEVVVGKFVVESLHKPRWTVSIDVANTGDVN GCEIPQLYLVYPESAGEPPKVLRDFARINLDPGATSTVSWNLSQYDVSIWDVESQEWV VPEGEFGVEVGKYVADADAQTGSFTPQQ L198_04720 MCKPRWGQSRRCINIIINNGTVSEARIDDMSQRIMAAYFLLGQD EDYPEISFDSFRLSGSNMSHVDVRGDHHKLIHHIGASSTILLKNENNTLPLSTPRSVA LIGSDLAPPTDGANGFTDRGGIGGHTAMGWGSNSGSAEFPYLVDPLLYAISRGAEVAI VGVHAHSGEEYITVDGNVGDRNSLTLWANGDNLVQAVASTNNNTIVVVHSPGPIIIES WIDHPNVTAVLWAGLPGQESGNGLVDVLWGDYNPSARLPYTIAKDRADYSADIVYTNS EYPVQPQIDYTEGLNIDYRHFLSEGIEPRFAFGYGLSYTSFELSNLSVGAIEGAGDLE KRDVLLNPPQVDETPAEETVEIGKFVFKSLHKPRWSLNSVYPESAGEPPKVLRDFARI NLDPEPPKLSLGTSPNTTSPSGMLRAGQVCGG L198_04721 MGDSPDIKPELQDSWEGGKDQKPNTPSSPVAIASPSSKRDAPPS PLFPPASPIIDDEDLKPRTHTAPSTAPSSSRGGTRGGKKSATPEVKPVLIDDLPSAWD EAHETFSTLEKCVYERKDIGVTKENNEMMVCDCAWSKYDPDAELCGADSNCINRALFI ECLAGECKAGRHCQNQQFSKKEYGDVEVVQTEKKGFGLRAGKDIPSNTLIYEYIGEVV KENTFRRRMQQYVKEGIRHFYFMMLQKEEYIDATKKGGIGRFANHSCNPNCEVQKWVV GRRLRMGIFSKRDVLKGEEITFNYNVDRYGHDAQTCYCGEPNCVGTIGGKTQTDIGTM NDLFLDALGITDEVEADGMKGSKKKKARQLDDVDFNPVLRPIVEDQVQKVAAAMRQSM ENPKMMKKLLHRIKITEDPAIHRQLMRMHGFSMMFMVLTEMADDKEAVLLTLESMDQW KLQIRNKIEDSQIEEPVKELSERPNEKISSLAQKLLDYWSTLELSYKIPRVPKLATLD ADDEAGTQTIAEANILSALAPRRPDAWENTVEIQLDIAPVRPRAPVPRSNPPPPPSVQ KNPVLQGGLSQDRLKLDAIIAMAGQSVSPVPVEQVRESAGASPAAGPSRSANGDDERR KRQKRSHETEEEKLEKRERRYRKMVGHVVVDSMTKYRSQIDHDTFKKYAQECTDILVK KEKKRGNLTDRHLRPFADDKKQKLKAFTKDFTHKVIQHYKAKNKRRPSSSSLKSNGPS SSAAGTPSKDSTPGGSSLGETPSKGGADNGLMDDIFGADEEDVAMDLDDDDDGTGAAA GAPSTVGASATPASPMRPPTPPLPLPPIVQVVEDAPDGEPFEVGLDLGAASKTNGKHA REE L198_04722 MTTTTPQTPQTPTLSSPFTPTRRRTSQQFSPISTPRMGSVGDMD TPHVLQRAVMEGQDVPESPIIDRGFAMMLSPGYRVGESSTGGIGGAVPEPRFLSDPSP QSIFPLLDEDEHNATQETDLRKALILRHAIHWGVERGDVDLVNWICSLDGRWRGVLDK EVETLEDSENWGIVGMAVMCTCGRQEKEEIVRAVVQRWGVSVGPRGGRDRNGWTPLHL AVLVSTPPLVSFLLSHGASPHDTNSRGLTPLDLVVGIPDREAITLFLEHAISVPHPST PLPSSSFVPPSSTALTSLPPTRQKMLGRRRRKASRYLEKMEAQGRRERVEAERERWVR DRVRVVDVRPELVLPRKEVKRINGLEVDLDLELDAQDQADDEEQEEINHLEFNSNMLV FSLVNLPEIFDILISDYTPVSQPLAKRTLPANVLFYYARFAWYMCDEGWLEELIEGAV ERVEEGVYDNIEDLAFLAFWAYNSCVLLHHLQSDEKLRVACEDLGLLTMVEELVNAIH VFVIRIAERRIDSVLDSTILDYETLDDFADVRFEGEWSLFRSFVPKKKREMPKASSIF SAAGTSPGGLSTSSGSRQSIDLSVSPGVASALRTPTRPQSMGDLRLSSSGRSSSHESG TSSTFSTPGGNTPAPEVDSGPGKITEILTGVLLVLQLYEVNPALIVQAFSQIYFWIAC ELFNRILTRKKYLCRSKALQIRMNLTALDDWIRSNGLPAKIATQHLAPVSQLLQWLQC LSQIKEFDTLIGTMQGMKAINPLHMRRAVRDYRYEVNEGRMADDCSQYLVQLQRDWER RRLQQAGADAERRKSHSSEWSEESWGSEQIGASGWSGGMEEPTSVDALFDGSIVLAEF TPHTAPESIGELLDSRYMLPFLLPEEEIYLIAAPPEDAAYQSLHLPSSPFVTDTPTGR PFSRSSFSSSRPLGYRIPSVGKIRELTPDFFKWMKEREVEMKLSREALKIEKRAVPAL VHPLGPSHRGAMTINTTMRPPDDKTNLSPTPTRTINKKRSGNFSAVSPSPATATPPAV KEADISTTLSMLYPTPTKPGANLPSPGLRSTASLNELREKKIVGNAFNDIVEEEGDEV HGHVRSESFKMRAMAREGSGDSLSSNDSQPTGPAFLTSPSSIESGGGSRWWRLGKGEQ DSETERRRRDASEDTIAPGDGWVPGDVKTPDAKTPLSAKRFWG L198_04723 MKTTHTTIHHRTSVASVNSSSSSPAGEPEPPSYAECVSGTEGRR PPAYGDTTSSTSLPTNPFVGLVNQINLGQMIVWLSRPSTLKSRKPGHCQIQAKVTATI RTCYGEDDTLFERAVLIQNYLEAQISRLIAEFQAVAKASGHTLRSGDLISLVHEALEP IYASISEYNLTYGLKLVICESKQASRRAAKTGGSLARPGCPLYDNRTLDEVVEGLVIS REWQVKEAVENVLSKHPEHGRRRGRSV L198_04724 MVCSYNVVVPEEDSETHLLPVLLSHDNDIPALPARLGHMLIHIH VTPALKYSLHPSDRSRRLTATISTTQRLGIAHEHTTNERAVFLHLYIQNMVEYLGLCL AEGDDQIGTPISASVWESRVWMLRIVTARIWIGFQKAPFDSVDYDMVHGFEALQAPSK SLFTQCRKQVLKSELPSYVHPDVFHLLEMKAALALKDDLNYVFGCLQRFLLEIRIKGM DSIIAEAEDKETFLKKKGMLERIRRRKGMFSPFVDVCSIVGRGFTA L198_04725 MALSLADELAAFDNPQAGPSQSLAAEFGLDLETELGLGSGSGEF GMDGGATLDDELQFGGGFGRPINPLPTEETAEAQMNTATSSARGSIGSPSRLHDFDTS LSSPPSPSSPMSPTFEEPTTNPSTLYPLDAASHLQGVSSPLRPPNGVRGKGLRSENSW RSLRAGKEDDEPLLVLSEVMTTNSKFITGLRQIDEPHSPLPRANASTSSRSSTPQIKA IPKDTVDTLLHRHLVKMQESERARDEQLRELGVISREMGSVAWGDAGEPSSPRLDLLE EDEEKEDTADLGWVGESMVMPEDAPRLVDEIDRHLEDDGVEEEPFNSTDALLEDPFST PQLAPSFDPSRTNHPNLPVLPSQTLSVISTSTSLSHALLSLSDSLHTSSSQSTFLSRQ IRSLRAAVQGFREREGMEEEAWKGVEHWERSKVEEGLRGGKGFNMEMERVWEEFEGLW DGAKADMDRIRKGQVCESVD L198_04726 MTGQTPDEPVPHSPCVDPSRTPGIEVSEHTDNDEEAKQHQDING TVMKKRLDHWKVISGNSRDLRDICHSASVLARFHFSGRWYSWGHPNAAQHMFQRVGLT QSAIFGWVNRDIASLSLVKESAAISALHSDGPTVDLALSIGPFLDTGSLCDVYSISPP NTAPRFDNFPPLVLKVMNPSTFDDAAGGVAFATGEQATEAWKTETGLYAGKLASLQGS IVPLCFGAL L198_04727 MDIYFLFLERVGDAVCASEDDLESLPLATETSIVALYEALHAVR VVHFRHIRRRADGSLCLIDFSGPKIASEGEKGDRELALEMEKVMEMLELEVL L198_04728 MSSRASLKLATRAIYQQRRSFAATSFARNAAFEPALAPGVSPAY DAALAFISAHQSKTLAKLESFKSQFPSSPSPEQLKQLDKLEIEAYANDPAVRRTFKES NGEGAMDKRIMRWMGEKKWTKDGGLDLLMQRALQMNVVPDLLAEIPPTAPLTVSLSAP IIPGTFQLPSSFSQPPQITHQLFHHPTLPTASSPNPTALHTLLVIDPDAPSHETHSFN ERLLYMKTDVPLSVVDGQVNLTDKAVGKEILAWESPAPEQGTPYHRYVVLIFRQTSPS SVSTVSREPFSLRSFLSEQDLTAQALTGITLFRAEWQKEEDEFINSVFREQRGVAEGA PVYSKVPKEVRYGYPMKAKQRQKEEIREQIFEEVMKDLESAGDKTVA L198_04729 MIEGLPKSSEEMEGIEFYRRVMLAEYQARRDKRMKEEELQTRVS HRPNDHMPRVWLHGHVVSMNVGQVNIFIGTGNALKGESPDVIRTKVTSTVRVAHYGEM EAFEKALLLQEYMETQMSKLTYELRKEAHAQGLIIFPSQMVSYLRDAATLIYRELEDY GLTYGFNLVISSKKSQFDRAVKQGGCQARADMEGIDTFDVYYVYRRLISDKEVHVGLA VNAVLLDSPCSPKRRFPTALKRGLAAVCARRRLAVRPVASRRKKQVI L198_04730 MSDSEEDFMSDKFLLNLPPPPSSSSTSNTYTARREKAALTGNRS GLAKNQLKARDLEEVRRREGMGRSLFEREDEDEAEGGESESQGGKKGNKAMEMMMKMG WKVGEGLGKKRSPSPTPPSHASSARAGLGAKRFKPGDELEDTPPPKLQPITEPIRVSL WARRKGLSAREPSPPPLPLNPSGRNPDALGKEQMERLAKEADGFRARQGHEWGERDKE RKGRKCRDLLVGYDKEKGFHALHVLPFDPLGTMPRPILKLIYPAALSPSPSPSASRSG SPLPNRVAHGKEENKSQAERLRKQMRRDMLSDIDGAEVGDDEEKDVRFGVGPDTAPKR EQVEDEEKKKGEQVEEKEDYEGVDWEEHVAGTKRVLSMEPGEYLTFIVNQLRHEHLFC FWCAYKYSSWDELEGPGGCPGEEEDDH L198_04731 MATQLALDLRLCTLEAQLFGTPPSSLAPPDRAPQDGAATRRVRE IHERLQRLGESSEGVKRLLDGYEQYLPLLTIPHPSTPTMTDQASDESQPIQESDLLPD SVKLTMVLAAAEDIVGAERDLRELEVLKGKGAEGSGQLEDLIPQRPDLIRAMQESEKE GEALAQARSQVGGLLVRYTDFTSTVSELFIDLHHKMENLEEGVARVERKRRKELAERY L198_04732 MSAPLAPRPLFGGAIALALPQDSIDASDLRQIPDNQEVFLFPHS DTALVLEVLELVEEGGAKDDLWEAAKFHFGSIAHDNASLSSTILTPPPSSPIPSTPST STPSQIKATTLAGTQQIHKFSHDPTGAPREGHEADVPDQVWIGLALWRVWIEGAAGRK KADVVLSVNVNLSAEGGEGAKEQHLVEGWFAQAVDSFKVEDFGLFGDV L198_04733 MAMSLGAARRGKPKIRPPKKASRRSPRGMGSMLTLLQISPEASI DEIWRKLSNGIREIQNHNASKLSFEEHYRYGHNMVLFKRGDQLFKGVKELITEHLNQL AQERIVPTFPRSGGTRGAAVERALEGDRFLKALKGVWEDHIGSMRKLKAVLQYMDRNY STAAGQPPIYEVGLSLFLIHIIRSPLFPIHTHLISTLLSQVSLERDGESITRSTVREC TDILQRLHISDREIRERGMPDKEATVYRVDFEPEFLRRSGEFYEYEAGEMLARGDAAA YLRNVERRLREESDRTLHYLSTDTHPALHALLIDNLLSSHLSPILQMPGSGLQSMIER DRYDDLKRLYDLFVKVPEGAGKEELRRALRFDVEMRGKGINAAAVDPDVIATPALTVK REDTDQPMASPSKPAPVKPKTTQAAALASALRWVQDVLELKDKFDLILEKSFADDKQV QMSINEAFQSFINANARAAEYLSLFIDENLKKGSKTRTEDEIEQAKSKTLILFRFLTD KDKFERYYKNHLARRLLYSRSASDDAEQEMVGKLKKEMGFQFTHKLEGMFTDMRVSKE SDVAFKKYEAARDVGLDLSVSVLTASHWPQPIVAPTPCTFPPALNPAMAAFQKYYDSR HSGRRLTWQVALGTADVKCRFRKGVVELNVSTQALVVLLQFERLASGDILSYPDLHKQ TGLPEGDLVRTLQSLACGKYRVLTKHPKGKDVNPKDTFEYNEGFVSPLARIKIMQVAG AAGAKVESGKEREETEGMVEEERRHQIEACIVRIMKDRKRLDHNELISEVAHQLSGRF SASVGSIKKRVEGLIDREYLERIGEGLGAGYQYLA L198_04734 MASSSPALYVLRMLWRRAPSSNFKSFSTAALARDTPDTTISASS IATPRHMSSASFLEDESQEYSAASLHLSPDIDPPEPIPWPTLLPRHGVQSARKPKICD PLFRLVGLEQYDEALAILQELQSHHQMIQRRHIYLFPALDALRAGDTARFMLWLGLYP NKPATADLRPLREIWRPMTLWMLGVKDDRALIERYLLHTAKLGLTPVTLPPLLVHLAF TIPASESLDLVSRITSAYLQYTTSSTSTSSRAFFQKSTALMHLNKIWGHYLRVLMLAG WEEEAWQLYEFPPADVRWDQRTVRVMEQQWVSKKVDVAPDLPRQIRQMLVRDYIPTPN DLAQVLAGLTSPPITQTHPNLLARFQSRFLSAPSGRKRAWVFAEIINLQQEGLHDQAI EHFAKHYYWVGLPPHPLAPKESDATPRSYPTLPLLTTLFRSFFRTLPQAHFESVPLSI SSYLDSIPALPPSLRPNMVTYVTLIRESVYLAGPDAGMSVYERIITPNEKGEVLHEAH EELYRPIILSLAHRRQIERLRIHLDHFGSLGSLAKPTGQTYRALVAVLSKTGQHQRVA EEMVYRGLGEVGDDCFEGLIMEED L198_04735 MSNPLCSTQVALHPDILPHILSYLPHDALYPALFVSSTFHRAAE PLPYDTFVYSPRTSHVYSESAATERSSKRLRFLLSKTTTLVYSQAPHQRRYPSDSENS VLAIHPRLKHPVIAQCDPQHRPYPSRCCLLDDVQTIATSLSVPFTCLFSAMRHDELSL WEDFDWRDGDCGHGPDHGVDVDGSGEYGDGLEDDEDGTQEDEDELEEDGDVLGDDQVA SEQDGNVRSWCMACSVCRHHLAVDLHAFPALKALTIRVPSKLYSQFCSYLLKDNWPPT LEELRIVWYDETMEEDVSLPSQSFSSTCGPLRNLRGSMPTGLQLQSLIDTARIREGAP QSFMTTLSDIMLALASCQTLREIKMFGLEQSLLVRSLLWLENVSTGDCISLARKTLVE SLLSDRRATQLDILPINDFLSLDSNVRYFAPEERRGYEKAERVLKTPYVDGTETTLLE RDDRVPSSRQKESLAWLLRRDLAIGNV L198_04736 MDIEQFRKAGYAAIDAICDYYQNIQDLPVKSEVKPGYLLDKLPD EAPVKGEPFDQIAASFKSDVLPGITHWQSPNFYAYFPANSTFESMIADLYAASVSNPG FNWICSPASTELEQVVVDWAAKMFGLSPVFLSSSKIGGGVIQGSASEAALTAAMAARE RALRHLTHGGKQGANGDIVVPDEVRQKYGQKLVMYGSTQTHSLGAKAAILLGLTFRAV PVSAEDDFALRGDALREAVEEDKKAGLVPFFVVGTVGTTSSGAVDRIAEIGQVLKENP TMFLHVDAAWAGVAYALPEHRAQLRLDEVNEHAGSFATNMHKWGLVTFDCTLLYVRDR HDLTQTFDVTPIFLRSKEADAGEVVDYRNWQIALGRRFRSLKMWFVLRSYGVEGFQAH LRRSISHCQALAEAIRASPNFELVTQPQLGLLTFRLVPATPSQTLTEVTLNKLNRAFY TELDHRNDIFLTQTALKSKEGSLVHCVRFAIGGVHTKVEDVLGTWNILEQVGEKKLKE YKL L198_04737 MSLMLNSEHYFAYITRAHSWLKAGRTSSLVAIMPESTTTKDEAR TELGRQAFSEPHRSTCAECSLLDDQELLNKAQTIAVDMASTLGLQQSQFRTDIQPNLA ELEENNDKGWEQVQSFVSDAITNTYRESVILPAELIDLSSMMLDVLQEENNPEGSVPF PVDTLRAFGNRKRPSILTTLGRFL L198_04738 MPSTTTLLALLATSLSVCAKTYNATLDPWNINKNQDATDVMDYT TTRSNTTYTPSPENWRTLPCYTLLLDKWADGDPSNNDFFGMKYEYDMHETQLRAGGDA KGLMSDRSLDYLHSIGYRSIYIAGTNFLNMPWQSDGYSAIDFTLLDPHYGTLQDWIDL IDRIHAKDMYIILDFTVGTMGDLIGFENYLNVSTPFSLDEHHAEWKLPDYAPWGFQHY PDFNFTNTYNDSCTYPTFWLDDGTIYNPGKTGCYGSDFDQYGDIEAFGVFPDWERQLA KFASVQDRLREWRPQTAAKIKQFSCLTIQALDVDGIRIDKATQVTVDFMADWANHTRA CAVEYGKDNFFIPGEITGGDTFGALYIGRGRTPTLRPSDKATAINLTQDAEGYFLRDE GIAGLDSAAFHYSIYRSLCRFLGMDGNLQVAYDVDTNFVTAWNEMAVNDELLNSQTNM FDPRHMYGTGNQDVFRWPGLTNGTERQQLGQFINNLVMPGIPLGWYGEEQAFYLLDNS ANNYLYGRQAMVSSQAWKRHGCYRMGSSQYYNMPYDKVLTGCDDPWNALDHFDPTAEP LRSIRNMLYLRTQFPSLQDGFDLVTLGNWTHFIQLPGSNGTDTELGLWSTKRLPVSAQ EGTAPFERNGTISQVVWLLYTNENDTVTYDYDCGGDDWMSSPYQANITVRNLFYPYES YTLQNSSDSFYDNDLAPYTGCLNNVTMDPFMYKALVPQDQWVPQPPTMTKFTPGHDFR YTTTGGTNDIPITIEYDVDMDCDSVTNAMTLNYTTDSGSPSLENTNCISIDQADWEAP SIPSVAPGRWRWEGTLRDATDGVYEIVIASVDSQTPGVSTNSVNHLLLRVGQVNNPIV FPDNDYADGILSVSDGTYTLTNTAPGATSFRYSGDFGQTWAPWQDYETTVTLNSTYFE DDTHWWDGQHVNVQYHSALAISSNHEVHADANWSGGERRWPQILARGSFNNWGYDLGA SASFALTGNGTWEFDMSAAWPAFIQLNIWAYDDYFYGDVDSDGIIDRFPPNSQTANYL NMSIPPTPHLAWNIKVDDATHEWSVEPIGHQTTTAVAFALFLVIPALTALAAAAIFRY SFYSIKVNRWGLKPSKAAKETSYFPIVGGHGEKKGDVNEKGGVMALTEKSSKGPAKLI GWPEDPNKRRKVMIATLEYEIIDWKLKVKIGGLGVMSSLMGKAMTDVDLFWVVPKVQD IEYPQGDYAEPIEVIIFGEPYLIEVETHKLDNITYIILDSPVFRAQTKADPYPQRMDD LSSAIFYSTWNQAIAEAIRRNPIIDIYHINDYHGALAPLYLLPQVIPTCLSLHNAEFQ GLWPLRTKDEMKEVCAAFNIPKEICSKYVQFGNTFNLLHAGASFISHHQKSIGVAGVS DKYGKRSWARYPALWTLRNIDSLPNPDPTDIAALDEQPIAVDKITVDTDAESKRPEDK RLAQEWAGIKQDPNADLFVFVGRWSKQKGVDLIADVMPSLLDKKPQIQLICVGPVIDL YGRFAAEKLARLMEMYPDRVFSKPEFTSLPPYLFSGADFALIPSRDEPFGLVAVEFGR KGALGVGARLGGLGLMPGWWFPVESSATVHMLSQLTKTIKLALKSTQDERAILRARSA VQRFPVVEWRQRLEDFQRRSIVASRGGAGEQAWGWDQVDVDQNAEGFYANGDNDSSTS MARGEWARSDTPDSTAPNSPMPGQSPLGQEGQTYYFDPNAPMASSNNTLGADYHKRFD KNANRQSAESFYDEDPNASPLYYNGDKRKSQGKPKFFGYDEEDAPSSIGSSDHGDSTV VGSAGGNRDSTVAQSYDNFLAAANRQFAKNTGGKNAPDPYFDRRASVASAASGDSNFA PTRPFTAHSRVSSFDSISSIVDEKNASPLNKAMDTFTDSDGEVAQSFVQKLRDLNSGN SKGDLCIEKFLIKSEKAFFDEVKKDKIASMSIRSRDSFIQSRAPSMIEGFRPEFPHRD SDTSAPMSHSGHSQEDRASHYGGVPGSAGHEMYEEGHPPEAHMTRLQIFVGRQIAGWP LYSIIISLGQLLSATSFQLSLLGGSNTQTETDLYIICAIFAVATVAWYTLFRMKPSVF CLSLPWAIFAIAFLLIGFPSIHGVFLAPRKTITRVATYLYAIASSAGFLFFGLNFGEE AGAATEVWVLRACIVQGLQQIWVSALWYWGYTLTGQDPTNYVPSRVIIYITWPLAAIS AVFAYCMWFGLPEYYRQIPPYVPNFYKTLLRRKLVIWFLIAEILRDYWLSGPYGRNWQ YLWAQADIPKWAVVVMIIIFFIGIWGLLLGILIKYSTIHSWLLPVFAIGLVAPRWCQM WWGTSGMGLYIPWGGDAGPYIGVGLWLWLGVLDAIQGVGLGMILLQTLSRLHVCATLA GAQFLGSCIVMLARGTAPDKVGPGKVFPNIAIWDVTGTGNNMPLAHYEFWLCLVCQII IVIGYAFFFRREQLSKP L198_04739 MPDLLSSSPSTPLSGTYILQLSTPAISVRRFTPFLPGDTSGGEG DLSEILWRTLAERASAEVGSMLDSGVGAVDANREVWLFSCSKVDIDLPEAFEELPIQT LTPQTLLACPSHPNPFECLSYNTCLISLKQTSESLKMCDMLANAIIETFAWKKGGRAL FRASGVVPIESRWRPHVYPLSSTSLLLTITSPCSPAPPTTCTHHLLPLSLPTTFLSPL KLALPQTIRLEAAFDALIGRDWKKGRKSLLTRELVMGQKDTHEWAVYWVPLRQEGEGV LTVWPGHLAAPIKRVQEPEPSEPIIHPPKPESFDMMGTAHDLFEFFSSYREPSPRADG GTQAEDPDPAEDEDEETIDVDKPPTRPTSRRQSIVEEDSEFDDLFDSPSSSPVRQPIE PYQPPLAVAPVQPEPETDAMDFGDSLVHIRDPTPPPATRTRETVEEREPDRRDEVGLV TEDDFAFFDSPGFGDFGGMGVDIDSASLIPEAPPVNVAEGEMDVDDLLAGFGLPVDAP APAPEPKEEAAEDETKPEPSSPSPPPLPPTPMTIPPKTKPPQLHPLIPHPYAPISLQE PPRFHAYAPPSPAPTPETSLVERLSAKRKQSYADDWTFEQSSDDGYNDGEEVDYTGPP TPVSDILSDSDEPTGTSSSRASLARDHTPSNSMTGAGQTEIKWHGTRCVGPEWVVLRD TGVEEVKTTWDGAWRTPDMMPLVDNGLKAGARKSADVDWEKVVREVITNRSFRRTVLG KRDADNERGRGVVDDVVNGGDALSAFSETRMTVPPQPQITTSTVHTPLILRLSISALS YWSELGLTPQSGRKNIKLGVVSDDPGQGREMVDGLKKGWEDLRFGQTEWVNVGKDGVI AGVQTFLDTSFSTLLKLLEAEHHLVLFIALPPGTHPPSRLFSPSFFTPANVTLIPLHL PAFPNRLTLNDYRVLSREAYDRLPLLLTPIQSRGEPLWPHDRYISAPAWTLASHKEQK PEFQLAFPIEGWDVLNRWRWWHLSYDVVPSTSSVLSKDVAVVVWISDDRGEAWELQVL SKEKDWKERVGKIHAWGKKVAGSGTTEWNLSVCRVGAMDREEWQAWRDIIANEPLTLL LSTPSGPATTCLTDPCPTAPPRPRAIANISQAILTDPNTSIIDTSLAGHITHLPFALP AELLSSPSLLPQTIYPQSTFLVTLPGKDGVEHKTVSYHLLSHKPRRPSQEKDKEAEKE DVKAVASEMAEEYYRLSCLARQRWGGEGWLDAVRWGRGVIEEMS L198_04740 MFSSRDTKADDEKHNNLGVNDQKDVERQQDDITRVVSASSQINT IDGETVVDGVWGTLEEDAPNYRGLGWIRASVLLVKVQIGLGVLSIPAALDALGLVPAI LIILAVCLVITWADYIVGVFKLHHPEVYTLADVGQIMFGPIGREIFGAFYWIQLVAVA GAGLLACSVAFNAMSEHGTCTIVFVVVAAVINILISSIQTLDRISWIGWIGVGGIISS IVTLTVAVAVQDRPSAAPQTGPWDKEVMIFNNPGFILGISTLSNIIFSFAGTPNFFNV IAEMKNPRDYNKAMGVCQTFVLSCYLILGCTIYHFCGEYIASPALGSAGPLMKKVCYG LALPGLIVSCVLNTHLPAKYVFVRVMRNSRHLSANTITHRVVWVSCVIGSCAISFVIA EGIPVFSDLISLIGALIATPNSIIFEGMMFIYDLHTNPDKYPNRNKWWHKGLEVMNWV VMIGFGLFATVAGTYAAAVTIRADVSAGSTTQPFSCADNSG L198_04741 MGSNKPRGLQAARKLRTDRRENRWADNDYKKRALGKFYKTSPTG GSSHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFTDENDEV LISGFGRRGKAKGDIPGVRFKVVKVAGVGLLALWKEKKEKPRS L198_04742 MDDNNQPRKSTIIGQGRHGSVIAQPTAQQLSSKDKEPIRSGSQG QDHQSGSSGGRPGWYAVKVVSAPPNGRSRHMAPHDILKEAKILQRLHHPSIAKVLAYE YDTSLLDHKLYMPLYVCSLSSLFADPSFTFISSRRSVPASLSYQLLSALSFLHSKQIA HRDINPSNLVLDIHGNLKLIDFGTTWVPSRLYQEYDLGHEDDPEETEEAMYPVVGSGA YRAPELLFSPLRYDPYAVDMWAAGCIIAHFFRPFSHSYPAAVGEENEEVGEDSEEDYD PLDDERPSSPAGSGVRQPLFDARFGALGLAASIFRIRGTPTEDTWPTFRDLPDAEKIE FPLSSPQDLAVDLLSDIESLGEAETEALVDVLEGVLALDPGKRLKAEQALGSEWFRLA TTRWEEGHLQAGEKMEWYDGPCVDWVSRSKLIM L198_04743 MDMLKVMGNPADSYVSLVAQQNANADNTVDDSSNYEEFLLHEFL ENDPTRLFNVIDNEASFFASFNVPTTGEEMRVAAESAHLDAPPALSPNPQALYNGAVP AAATTDGEWVANAHSEFAPAVETASGNGFAANGFPDNGACMDPRFLQPTLSPSLIHGK QLSTKSWGPMPTFEASPAQNFGFSSSDEQAQQAFLASGGIDNPAFQAMLQMPVTALGP AFSAVPAVQPAQPTVPYAVESTQPADAYPVEPTQSQNSGTVNSSPVVDQATFLRMILA GTDDNLKLNVMASLVAQGNPSPEVVGLIKAHFEPSKNNTTASAGAVPSSVPETQPVCS SASSSQSQSLSPPEIIQTPIATPPKPKRATPKSSSKRKRDSSDEGEKRPSPASHSLPE KEGKMIRQAQRTWTACLDCQRRRHRCVKLDADDRTESCTECYRKGIPCIMPSKPRIHP SETTAEARAEYRARKQAQNAEKAERVAAGEPATAPKKRKNKKFMTVYKTIRRKVSDPP EGSSGKGKSQEVEGANYTPESRPTSDDFADFSSLPNTTPDSYCPTTEYTSFSPIPDLP DMPMTEEERNTDLDDLLAACANSRADLDERGYYPGEAEAGPSSSPVSAIAYYDSDPIE EQYSTEDSSPIEDSGENWKTWLDGGDDEDESDDYYRL L198_04744 MAFRQSLHFKSSFLTPPRSYSQPCFSLRPQRNFTTQGSVVSRQA IGRITPKRIAVPRSSVEGAAPVDNGMPHRSIWRPLIFCAAFGGGGYALLAFYTNYDTQ VWSDKMGGGSWWRLGVDQPSDREMARAKQLEGAKKAQKLLNELPATLSFVPNLILVPI LRTYVLFSEFYLNSPPAQLAPLALIGGMGTIFLAWKVPRAEKFMRKWFLHRPVIYTAS AKREWANCVTLFTSTISHQSLPHFAFNSLALFSFGAASFTFLSAPPVTPSLPTSTHSP HFYAFLLAAGLFSSLGSHLWTNVFRLPRLIKAIQHPARISSPQALANQAAILPSLGAS GAIYAALTMTACAFPDSAVGIIFIPFVTIPIGWGVAGMVAVDMIGLIRGWRMFDHVAH LGGALFGFLYYGYGREAWLWTRRKLGAQERKAGYL L198_04745 MLISPSKIHAALTDVLSSNHANGPHTALLITPQGRLMSRASINL DDEDSDDGNGAPNGNGEGVDEPYLDPPARVRLLLGLASQWNEGSSAKMECELGRLHFT SLSLGASETPSPSVVSQKLLPESKPAKVDRLLLVLNGRGTGWDVLAAKSEEFKKAWKS L198_04746 MDTEPEASAEPAPEGMEGEEGGDDERGFPTSTPPPPGAFVIPVQ NPPSPSPPTPPLPDPDPYFNRDQCVPILPPRIPHLPPSHLATLKPKQMQASDFVDSGA TARALAQLIPQALADTDTLGERASWNGGAARALVDIIARGVGQGLSGVGEAEGVHRTQ HPASGPQPLLLPGAVACVLEDHMVAPIREPYRHASFFSPDRDTGRQVGKAERSGTGEK FDLQCQYQSPWNAFCVRGRRWIGYEGVEGIDLGSGYRKSLNSTIAAMGAISSALTI L198_04747 MQAPPPYQPSPALATSAPLVPGDNDPQAFISNGPGLSARTTLSQ SGKVNVWLDVKDSLPQLPVTFAPGVKEYAVEPEWEGDVPGMDVLVFALGSADDVRRLT ALAKQLVISHGHRVRIATQDEHEHFVVQAKASFAGQTGKDGERLEDKIEFYAVASSDS NLKTWIQSPSTLEQTLRSLFQSTFSPSSAIARPFAADLIVAQPDVPGAVSIAELLGLP LHLVSAAPYSPTVTLPHPGTQIQRSNTPSSVTNYLSFPLFDAQIWRSLGPTLNTFRIS SLGLPAIQRADAPGLLDRLKVPFSYTWSPPLLRKPGDWKEHLDVTGFVLDKAEEGFSP RDELWYFVKGGRAPIYVKLDSIAEDEAGEIAALLISAALKANKRLILDIENYYNKNGE NPDIYIVSRNPFEWLLEQGILGAVCHEGGEGVSSRAIVAGLPSIILANDASSRQTNHH SSFYTTQMRQLNISTSPIPLPALSLEGLMDAFTEAEALSAGARQLGYILSREDGLLGA VASLHRHLPLANMRCDIVPARVAVWHHPALDLHLSAVAAGVLVEAGKISFKDLSPNRA KEYPTTQSNPNPLTAGAGSFLSSLTDSVLSVLPFFHPSSSSNPDIDLPSLQPLLISQT QTPAGTWSWEYQQASRTRRPITGWKSGLREARNEAWTGVKDGAKGFVKAPMDGLVNRG PIGGVFGLVAGTVGAVTRPLGGVITSVTYTAQGALREFDSSAKQAKLAASSPAESLRP PRREISKLQAASLSSEDKRRVLEEFKRAKGEEGVRERKGRSEAIAGGLQVERRRGGVV VGPLGLGASMSNLDIGGGGGAGEGKKWWKGKGKGKERVDGLSPQISSGSGIAGWAAQL QFGLRDNSSHPTVHYPSPPPALAQRPTLAPYFHNALGSIDGSHIVVRVPEEVKHKYRS RKSTLTVNLMASASFDIRFQYLLAGYEGSANDAAIFHEARRTTFIVPEGRYYLGDAGY PGESATMVPFRETRYHLETWKGSGNS L198_04748 MVQTNLTYLQASDASSPYEEEQSFGDDSLDPLRRAAKLIKSGKG KPFSSSITGTASLTCQTSQERLYHNLQALNLPFPEAVFELGFFQKRPEPFWALAKEIY PGKHFPTPTHYLFELFRKHNVLKRVFTQNIDTLESIAGLPSDMIVEAHGSFATAHCLK CRREVDREEVLRAGVRRGEVVKCDATLKDAGGKGKGKGKGKSQTCGGLVKPDIVFFGE GLPDRFFELIPELRKCDLLVVIGTSLQVQPFASLVNYVPPTCPRLLINREPVGPFTSY KSTSPSSRDLFYEGNADDGARRLAEQLGWGEELEELVRDGRRVLERKWKKEEGGEVAG QGTKEAEKKSKKAAKAVEDEGTEDLERAIKTQLKL L198_04749 MSAYDNAAPARRPDIKKKLVVVGDGGCGKTCLLTVYAEKRFPVE YVPTVFENLMTMIPSPTDPSKIIELALWDTAGQEDFDRLRPLSYNDTDVILIVFACNH RPSLLNVQDKWFPEMAHFCENVPLVLVCTKTDLRTEQQTQSLMAAQGTTPITTQEGER VAKEIGARRYMECSAKEGLGVRDVFDAAVRESLKKGGLKKMKGKKCVVL L198_04750 MALVHPATVPEDSPQRPSRAKSVPNYADLLPEDASDASSVSDGA SEFEPEVNEAVVDDVLHEMSRGEEELRGFVPLPPALSEASAEAGPSVVRAIPRKRVSV AVSAEASSSKLPSSEGAKRNYPSRTQKKFKCTHEGCEKAYTKPSRLAEHEMTHRGERP YKCVQCDQAYFREDHLKAHARTHLKVEVKPFPCTEQGCTQSFWTPSKLKRHLEVHDKE RTYKCDHCDAMFNKHILLREHVVVVHMPAGTKPFICSHEDCSASFSMKAHLKTHEKTH DPKRFTCAHPSHTDDLPIFSKWSEFQKHMTDAHPPTCPHPECNNRTFKSNPRLRDHLK VHAEQEADRVAKEAREAVAVDGQKEPIPQLVLEGMMKSRRKRKRESVAADDDKPKKLK KAEGDAGKDHACDWMECEKRFKTASHLCVALELLLTSLQKYAMETHRNVVHLKIRSHT CPHADCDKAYAHKATLMQHLSKHDTAPPPGVDGESNGGTPGPSSRPASRRGSQSEGAM LTGALRELRRFVCPAWALGSAPPALETASQMALDPNIDPALQEDKHGNEQLLTISSPI QGEGAGISGVEGENEGEKGRCISRFWRVYDVRRHLKSEHGMELEDLQVRMLLVKTGQD GT L198_04751 MAPLDHARLHPAHQPQFAHFPSRRSTVFSTKGIVATSQVLAAQA GLEILNKGGNAADAAVATAAALNVTEPTCTGIGGDIFCLFYHGKTKQVKAVNGSGRAP KELTLEHLREQGITGDSIPLTNLNSVTVPGAAAGWLTTIQEFGSGKLSMRDILDPAIR MAREGVPEGELNAKQWQIAEGLLKGASDNWDEMTMPDGHAPRPSDVMTHATLADTFEA VAEHGHAGFYKGRIAQAIVDLVQSGGGVMSLDDLAECQAELVQPISYTYKPHHPPAPS SSSEKDPGVTLWECPPNGQGLTALVALGIVEAVEEVHGIDVLELEHNGVQYLHILIEA LRLAFADSRYYVTDPAFNPTPVDELLSKPYLLSRAKLIDLTKVVPVKHGSPVNSSDTV YLATADNEGNSCSFIASNYAGFGTGAIPKSCGFTLQNRGTGFTLIPGHPNCVAGGKRP YHTIIPGMVTQGDDLLMSFGVMGGFMQPQGHIQVLLNKLRGFGTQASLDAPRFCISAG LPDNGTSGAEQGAGDIDSEIWFEEGISLEVIDELKRMGHQCEIASGYARKIAGKGQVV QRVVDPNGRRVWAAGSDLRGDGCAVGQI L198_04752 MPAFPSINTPADHPANVGTLPNAATVDVVVATQAPAPKSAPKEW TRRDTFIMLNQFLSFRQGRGPYIKNYQTFHCQTVISLIKEAGGPQRTVKAVQGRLDTL RKQFGILRHLREQSGFGWNPELQVVTTDEAVWEDMPKKYRVWKNVPFPYYDVSSEQRQ LGEGGGDLAFEGEWESDHEGEEEEMPKKYRVWKNVPFPYYDVMMEIEEGGGGATGEGV LVILLDPLASQGDAQTPMGNVIEGEGLSPPWEWADEEDSQDMQGTPASAPTPSLRHIS ATDSTGSLASTPKSSDLKPSPTTSRNKRKAAAIDQEQDAVQVIIDIIQRREPNAQARA RVMQESSDVVWEFLQQKAVEDVISLDDVLLLLGAAQRNPADARSIVSLSRHPGPITND VLQMCVRSVRATGTVNTPPLITSAIITSQGS L198_04753 MKSNFQFQNLCGTVYRQGNVAFTPDGNSVLSPVGNRVSVFDLVN NKSRTLGFENRKNIATIALSPDGNVLISVDEDGRALFINFRKGTVLHHINFKRHVNHV SFSPDGKYIAITHGHKVQIWNTPSHLVREFAPFTLHREYTGHHDDVVSVCWSKTSRYF VSTSRDMTARLYTINPLEGFTPKQFAGHRDMVIGAYFSLDEKTIYTISRDGAVFVWKA KKGVSDEDSDVELDILDAPTTSTSAANLALEHTVAYSRWGVHSRHFFNQPGTKTVCAT FHPKTSLLIVGFSSGVFGLWEMPDFSPVHTLSISNEKISSVAVSPSGEWLAFGASKLG QLLVWEWQSESYVLKQQGHYYDMNTLAFSPDAQNIATGGEDGKVKLWNATSGYCFVTF PEHTAAVSTVEFSKQGQVLFSASLDGTVRAYDLVRYRNFRTFTSPSPVQFSALAVDPS GDVVCAGSQDSFEIYMWSVQTGKLLDILTGHTAPISNLAFSPSGNQLASSSWDRSVRL WSVFGRSRATEPIELSGEATALAFRPDGKEICVATLNGELSFIDIEEGEIKSVIEGRR DISGGRKIDDRLTAGNNAASKYFNSVTYTADGACVLAGGSSKYVVIYDRAEGVMIKKF QISENLSLDGTQEMLDSRKMTEAGAMDTFDRQGEEEDLEDRLDSTLPGASKGDLSKRR YRRDARTNCVRFSATGRSWAAASTEGLLIYSLDESTTFDPFDLSLDLTPESTLQTAAQ GDYLIALIMALRLNEKPLIQRVYEAVPPSSIRLLARQLPEVYVAQFVKFVSDHIEATP HVEFDLMWTAAMLTSHGRYLRERKGEMAATLRGLVKGLNGLEASVAKISDDNTFSLDY ILSQVGKSEQANGFEGEGSAFILDVEGV L198_04754 MSANPPSRPVLVAKPIDNVNPDHNDPSQTVKYRHRLPEQKSQDL AKAKSKIERRDATIKQLQSQIQALPNPSIIDNLQKQLDDLEARHQASKGHEAKRHEWE QYAKKVKQERNSLRIQLEEKDKKMEEDARATSIANAEVQSLKDENESLKSQLSQIRSS QPAQSITSDFLNDYPAQLSSAKAELLKTSAKLSAKRQTVFERDAELRKLRIKMDGQMK SLEIDLQMVRRERDWRDKEVERLGEDKKRVEAQLAEEEGRCEQLEDLLDASARAYALH HMATVPKTSFDTLQYEHLRAKHEAADWRLKAETRGVELRMKKEEIKELEQRLEVGEEE KNVLGEAFDTMREDAAVLREEIDRLIGASISEQRSDFSIPTLDPLPSASPAIQLALSH SDLITSHFLPQITSLTSDISSLQSKLSTTTSNLTSSQSSLADLKREHASLHAAHASLE RAHEPCAGIKLELEKSELECALNTKSIEGLREEVAMLKMKAKEDSEGMKRANDCVMRA KVAEQSLVEEIDYLREVYLEASKYESLYTALQEEHEILIAREQAAVQEAEDITRQNAE LAGHTNEVQKISYVEGLRREMVEVKQELAGTRQMLNISYDKINALEAEIGAYKSLDSG VQGLNLGASGRMKVQRRQPEGGRLTVSRLSKSVAGSRSSRN L198_04755 MAISPIDTSLSFSQPSLHPVLTIAYTLPPPTDSCTPAASFALTL PDALFVDPDELGGKWPIAAEPDEVGSDVWIWALEPSSVDIERPYSRNSAHHTLHIRLY PAANIKEQEVLEVPLHARYLPPSDQGERTLVFPGEDGSGLQAGWVCAESLSDNIKALE LPLVHHQPVSLALPTGKHAHQEFVELVTPVVIWLGWAWIMWKLWGLTKRGTKVKTL L198_04756 MAHHANEEHRGKPGNFTMMQYFEWYAPGGGVHWKKYEDESDRLA SMGITACWIPPPTKGSSPEGTGYDIYDVWDLGEFDQKGSVGTKWGKKEDLLKAIKTAA DKGIITYIDAVMNHKAGADDKEEFLATMVDQGNRNKEVGEMHNIQGWTKFDFPGRGDK YSDMKWNFNHFTGVDYDAATETNAIFKIQGEGKHWATDVDKENGSFDYLMFADIDHSH PEAEAELNKWGKWVLQETGAHGFRFDAVKHISQSFIAQFVRHIRSGEGAKSKAFCVGE FWHDDVDALESYLQGLGTQFSCFDSCLQDNFYQAGEAGEGYDLRKIFDGSLVQRRPID AVTLVDNHDTQKGQSLQRWVSPTFKPLAYALILLRPDGYPCVFYGDLYGCGGEDPQEG VGQLEDLVRCRKLFAYGETRDYWDHPNCLAWLRTGDADHDGCATIICNGNEDGSKRVE VGQEHKGEKWTDVLGWHQGEVVIGDDGWAEFFSPPQSISIWTKTDARGREEFKKE L198_04757 MPLQPIRKAAIIGAGQMGLGIAYVTAVHARVPLTLHDPSPAVLS RAESAIQYHLKKDVGKGRMTQNEADAAWDRVKLVKGDGSGNSGEEMANDTDLMKAIPE IPDLKLGLFKRLGELLPPTAILGSNTSSISLTKLAASAGSMGGEVGKGSAERVIGIHY FNPVPVMKLVEIIPGLQTSQDTIDRATSFGKACKKEVTTSKDSPGFIANAILMPMINE AIMLLEKGIASAPDIDRTLRLGLAHPMGPLALADLIGLDTCLHIQRVLAEETGDSKYR PAGLLVRMVDAGWVGKKGGRGFYEY L198_04758 MSSSISASSTASSTASATSSSSSIVGNTNSSSFKIVGICLAVGS GLLIGTSFVIKKKGLINSTKKYGNQAGEGHAYLKSWMWWLGMITMIVGEICNFVAYAF TEAILVTPMGALSVVVAAVLSHFLLKEKLTFFGWIGCTLCIMGAVILALNAPEEQSVT TISAFKKLFLSAGFLAWASILIVGSLVVIFYVAPRWGKKSMLPYISICSLIGGLSVSC TQGLGSSIVTSIQGDNQVTNWFFWFLFVFVVITLLTEINYLNKALELFNTSMVVPVYF CFFSSATLITSFILYQGLKASAVTLITMVLGFLVTCLGITLLQLSKVDPEALESQLDR RSTMLMEASRHQTGDVEKGDVVGMEDPGMDALRGGFGAVGSIIRARSVSRRLSSGSTL SNGGKYGAGGLSTHGMGNMPRYQLSDNPMPSDAMSQISMHSAKSPSSRSFMSSHAHFL SPNRTGNSRDDGDIVNMYQYDREDSHNLHAPPPPVRSYSTENYHHTYHPNPLYPPVLE EDEQGYENEVDSKGRYGSSRTKIYVDDAPDQVNSAPVLGKGSSGGGYKNPYDVYPARA NEPKPMPIAKSQKSIGNIFHFPSGPDLSLDIPSHQRNRQDSSRSSRREKDQYPTLSKK DRNDAQEREERRGLVRSDSDGSAEMINSSPEGGDGDGGEGEVSDDDAMSRQSEPPSAI STRATIGRGTRVNLSQTDLRSERSGGSGGEPVRKGTKSSRSQARIQQQQGGSKAPRRL PDVPGFAAGPPYGS L198_04759 MPPRRRAKKQPSAEAAPHPPPAPMGPTSLEALYPVHDILLDLFF DMKPFVFMRLSKMHRAYALRRMSKAVVFSSDLRERLRDTIDARPKTQIDVSFLIPIFF AHTMVFDSMQTFGLLAADMSIVERAKRLRKRPGSPYPFHPARLFEHVRRIQLPMEPFV GAYVACLDATQVQSEDGKCRGLRQSDLGYQLGDHFSQVSFMVQPLSEPLFDDSNFDNE DWDWELEYHTKWMKILLGEDVEAAEVAFEVGKQVEAVIVVDLQPTSLPGQSSSTQLDL LPAALQDFVDFRQLTLVFCNLSSGSDKSFTPKVLDEISDVIAVIVRHRFWWLTCSCYS RLGGLPPIRVQLDHFELVKEKVLGEVGSPIEGETHWAINRREKVKEYLIKSTVFEMVD VDLLGALVDVDGETLRGGGSV L198_04760 MPSSAVHSVDHAFHTPNRPSAQNPNSTPTPRLSPILNYKNPVVS PIDPAQPEFAELLTPLKFRRRKLRPRADQQPTSIPPVAGPSRLGYYTLEGDLYRGAAR DPTQPEAPPVEDDAVSLGTASIASSSSTWSWGSGNFDRALAVIERVGEALGVRRGSTS SSSSSSSNSESEDDNRSQVTRRRRRRRGSTRLSRTVSNLSRTTSHSPERPKREQVPKK RQFTLLLPPNPKDADITSAASSVKDAITLAGSDKSPKLSGELKYPPDRVVTTPSLPSV LDHIRNVRLSNGLAPLEGTPPATPGLGPKVPVRRGGSAPGHTRAPKRAPAFVNPPLPA RTQSRLHALRGADTPPVRPKSVSDLLGMSRPGSPTGGLASPAPTAAGTPMVERDLSGL KAKAKQNGCWWLDVSCPTWEDLRDIGELLGLHPLTLEDVLHQDPREKLDTFDKLGYYL IAIRALDESYFKYTPGSSVPQPTTTKVSEKPMSPEEKTFNEKATAEERLATPDMEDLE LKKEGRRRGWGMGRAQGKMVSKTGEKVEIIEDRPGKEGLEGVGVGAVNVYLVVLADGI VSFHYDDISKHTRRVLDRVLTLHNPTHGADWIAHGLIDSIIDAFFPLIRYVDGAVDDI DSLTIDPTTDPRKTAEILESVQAAVNGDPSVSGRPDSAQGRKHSFGAKSTTLVKKPFF HQLLSPPKIHLPKALIYVRLFILPITSAVQRHHERNPPKTVFGRSTMLKNITDVRKLV TGLTRLLGAKGVVIGRLRKRARNEDNNVEAYIGDVEDHILLLQTSLLHYEYILSHCQP AYLSHLRISLAFTRGRISQSILALSTVAISVLPMQFITSLFSINVNTPHNGDNESDTL KEPDGSMSPFNYFGCIVVAIFLVACAMVTIIRYWRWLARKKNGRERGVAVPEFWDGYW GWA L198_04761 MAPPRPSKPTRRARRSRHPRDPSSRIELTTLEPLHPVYYIILDH LLHMAPATYIRLSKGLRDYGTARLSAPFDFDDQLVSRFHESVKKQQDVRWLFPITQSR TIRFPSYRLFATMVCLLSQVERQKRRTRKAGASYPCQYHPTPLFARATSMEIRLDEAV PLRSLFQQINAQLQLTNAAYAYGDMTSHVGPRFRNLVLHHISDSALSTLIRDCATNYR NRHICEISTCLCLYTTSPVLTTTVKLSISDSRFPEPSALSASLTSLLRSLTSKKPSKI IIDISGPTHSSLRQAVVDAIAKHISMMADSGYLSQAKMMRFEMTGRYELYARVWKKVR ERRYGKDLMRVLRSIYKID L198_04762 MALPNPSSAIQLAHPHQTQPSHPPLTSTSLTTLLPLQAVHDDIL DCLFEIAPWAYLSLSRTIREHGKDRLSAPIDIDGPLLSRLHDTIQGRQDVRWLYRVTW SHTIRFPTYRLFAMTVCLLSQGEKQKRYYWYGPQPAEARYPCRDFPTPLFARATRIEI TVADNASLSSLFQQINAELKLSDSVNGIVAIASHLASHRQDLTLRLSDNLLCTNIVGV YMGLVYFINNVFLSYSNQTYKITFLLAISDINFPNPSSLPTILFQLLQPACDTWHTFR YVISINGLTSRDLRRAAVWAIIQLVTAATGRRKGGRRAQVTFQVGEEIGDEVWEAVSP QVSASRLARLKDMFRFEN L198_04763 MRLTPPIRLTRQSAPLGPQLFMTANRNLPSMSTRAKWRGSRLGH NQAVRRVLRYDSDPPSPRDQPPSVHGTVESEPVNREFVVEGRLIKCFISRLENPLPSL CASLSTPPPSIVCSVPVRRCYGVEEEQRSGRFGGLGGHRLAYKSTVLLGYADEGMSML LRSWFRDMENERVVASSDKPANLGAAASNPLLVTSAGLIGAALGEEDMRFSSNEAELA HELPPVAVDLTGTTALSPHDGIIPEDD L198_04764 MSSSTTASPSNTNTNTASGSSSTAATSSVSIPQTAANGGVTVTQ PPSSASASYYKIAEDSWVTFGWNLTSLYVQPTSLTVIASCSANGYTYSVGPTTSPYNV FPGNTTQIEWNPWQWEQNPTQVAFAEATYVLKIWDERGEGAAATAGYMSPYSGTNFMM YRPAGYTSIADGWQYSNAFHTLTQPAHLMMLCTLLITLLSAWGILRR L198_04765 MELRSKTFCFKGVEKRISGVSLANPLDEHPVISTLLGQKDGSRF RRRPWPKAFVRTPSGGALPVGTQCLGPTVRSLDFSTLTYDSLYAAVRGVDDSHRLLAA SPSTSMALAAAVTPAPSPVPSVDFPCDICQSPTHWSPACPERHAPDARARQEARKCDS QLCNGAPVEHTDWNLWQGSKEDEVMCRKLGIALLPTGTTTANEEDTRSPGVEPVGDFS QPKSKQHGAKVGERATLTRPAYEEAKKTLGKQDLRARLLKYELFSTVIQRILQGCYVK DSMASRIRHRRGAQIGVDPTLPPSSFNSRWPRSIAAAKYSLVVCLNPVSLDNLAKAYR SILAVFAAEVSDGAPSPYQITPNGISVYGNKLEFRSKVFMFASRGNDYSGETFREFVG ACPACQTSNSTTQKTPGLLHPLSVPTTKFADIGIDFVGPLPESRGFDYLIVITDRLTG WVVLIPTVTTLNLFPTSRGPSSLPHGLTARRIRNWLLLERRNGLPPYRRWGSLPPSSR QASLALSRHPVSLPHPIPVILDGTGHRRRPWPRVQVRGPSVDPLSTDQEAHYEAGFHS LQYLPDDVVLSVAFNIEGYLMECYRKRQEGQRSLFKSLGFAPSGPTRLNCDNKSTIHF ASHPSAHQRTKHIDIKYHKIRECIAHESIVSEETEKIERVDVGKFLAKIRQLIVKRTV KAVQGRLDTLRKDFVILRHLREQSGFGWDPELQVVTTDEAVWEDMPKKYRVWKNVPFP YYDVSSEQRQLGEGGGDLAFEGEWESDHEGEEEEVEEDPSRRAKVAKDYRLCKDGSVE TLKKLTAAGRLIMMKAGIMTLDKTFLDWNNGNFQQKLNTEHYQKKENANTSPLPRTPS LPPSSPRPSTPPLPPPVTTTIDKVTVHRHSTLSRWDSFPPYLIQAIHQFWASVSAPPL RQHKPRALANLPPPV L198_04766 MLTGKTPADAQSGHPGHLTPDQQATLTSFRTLLANTTPPLIPAS PAREEHTAKLGYDRYSDAALLRFLRARKFDQERAKEMWAANEVWRASFGADELAADGF PYPEASQVIKYYPQFYHKTDLRGRPLYIEQLGKLDIPKLYAITTQERQLKRLVAEYEK SFRERWPACTEAQRRGGKLAEGEVVETSCTILDLYNAGISSFYRVKDYVMAASAIGQN NCKPLAISHSQPETMGHMFIINAPYLFSTIWSLIKPWLDEATVRKIHILGRNYKSELL EYIPKEHLPVALGGSCVCGGEGGCEMGDAGPWNVKAA L198_04767 MADFLGRPSDGDSPFAIGNRAMRDVSSPQPPQQKNPLPRGAAVP GMAPGQVFGGPSPHGQGYGPQQQQQQAGGGGGRGYAPPIQTGGPGFGHGGQGMASPRN GNLQQQAYSAQGHNQQGYASASASAFQPQSQRQQQGYNPPPRGYTSTPPQQQQQGYQN QQNQQQQQPYNPRPAYPAQQAQSQAPLRGQGYNPNPNLSPRSPISSGPPQSQSQSQGF YAHQPPQQQHQHQQQQQQQQYGSAQNQPTYASTRERHSHQAQQGQWSQAQVQATSPPP PPGPATSGDDVELRNMFNAFDSTRTGQLGGGDLQRLLARDATMDAREDSVKMLMNIFD TDRSGSINFQEFEGLYRYIQDWHGIFRRFDTDASGLIDRSELHAALLGFGFSLPPEMV DKIEERFGPPPTPAPAGPAGGRQVEGDGISFDRFLMACVTVKHYSEGFRAVDVGNEGR VTMDYNKFMEMILDAPS L198_04768 MSAHSPQYIHGFSPIASSYPSPTSPHSYTCWPIEPGTLSFPKVP IPTSTTVSTDSEAGAFSSDWHSPCPGENAAARSDAQSPSLISTLFSTGRSRQTSSTAS TSGWPTPITPSTTGGVFSASEKPTKPILRRDTFSTSGEEGGRDFFQGFDLSVPPKVLE EGHEGAGRVVKKRPSVLKFAVKPYLPPSPTSKSPYAPSSSRSRSRSTSTSFSGLSSGG QAYGGRSPAPPRVLGSAFDDEYDEDDDQDEDEEEDEDEEEEEFVESPIAIPGHESDSD EGYVEDEEDGFTSDEEDPSTNTFTLFPKFTNRFTPFAQPAASSSGHAHTSSQATFQGS STPRRRGRTLHPCPPSTDDCDNADVSVPFSGRKKRSISIVTDKPSTARCTRHRSPPPP SSTTAATREGGGLPKAPPAARSPSAAELCRRRGSNAAPMSAAPYPGGSPSKIRLPQPQ IQTKGWKSDDSAFYPSRKEPQSASVIETRREQFPSPPPSSFALPFASAAASRRGSGSV SGTGMGVGSSTSTGLGIVNNKRPSLPPSTTAPAVPRLSTTTTISTSTAPPRKASLPLP SGGILRSASDFAQPSPLATATGTGTTSILSPSSAASTVHPHSGHGRERAITISTGSGP KDGSDGADRVRMSRGEGVSRSFDGGFMGEMDVALALQEPAHSAHSTPLDRGIGQERAL GELDERMEVVQF L198_04769 MGGAVFLGCWLMGGSWLVGVLGVVSHLSHWWFLEFVEGPHMQKL YGNRLRKDGGLTKTLKSNLPNSTAVRQAVSKHPRVSRVVSEVNRGIEKVEERVRGGVE DFLDHARPMLDGVVDDARGLLQQSRERMIITRVAKPKDLTSFDPSSYSLQIPTSSSSP TPYPAFHVGQPIPISWNAPSNHSSKDWIGIYRLGSCKSEIVTKISSVGKWVGVYEEEW EGNEHVTPESEGKKADAGMVVLKGKQLPWQPGQYEIRYHHDGKHNVMSRLAPIELYVD KPRASRSSRLSSPRRSSASLSSSPSKSVKTAEIKEEVGVKEVRETMMKLVCLALEGEE ELVPKAAKGKAVMRLASVPTAASQVSPGKALNKPTLSYTPELDEGDEGEDGVEADAEV EDQGSLLGSGVSGGEKRAGKAGKADKKGKGKKGGVGIEDEVTPTKDTFSPSNLASADN PHALDHLASLADRSAVPQRSILGLADDDSTRDPGIDRSVDSDSDPEPEGDDFTIMTET QAKRIATLAKYAFGVELDADVVVAEANVGALARRVVGAWSLAAV L198_04770 MKTTRPTKKLDYRNDGPFRVLTKISDYAYQLDIPPSWQRFDIFP VSLLRPYTPPHFPLQSSTPSSPTPPALDHDESIPSYRIAQFLNSRNNSETGKLEYLVE WDGLEGTAEQVSWQPPSDLSSDPRFAQAKEEFHLLNPTKPSTDTTPRPKLNRAKPKTT SSAPQDDFSPATISQISHPISSHPTSSPTTLPTPNPIRPKQPPNWKGWKLVPDEPSPS SSLPYDGPTSRSGRPLRRKFIHD L198_04771 MASTSFRILPREAACSFCNGTDAVNPEGRQERMITCSSCGRSGH PSCLGMATSTLVKRVMMYDWHCQDCKTCEECRTEGDVAQLLFCDSCDRGYHGYCLNPP LAQPPNALWHCPKCSSPPIPTALPRSHKKKIPNPSKAGAARPTPISTPSAPRPRGRPP KQKKPLKDDQAVFADSRIKVKIPNPNHRAPTEERATPMIVRLRVPSAKRPVEEETEEE KVPYGGIITGEDADTSRTKPQADDTERYEKARKEAEFKMGGPMPQRGNSVVPGSPMAT PPPATGGKFTPAKAPPARPLRDRLLQQSLPDAFPFPSTPGPSTPGPPTASPWTGGTNL DKIKTIRFGTFDIDTWYSAPYPEEYAYVPDGRLWLCEFCLKYMKSGFASTRHRLKCKA RHPPGDEIYRSSTISVFEVDGRKNKIYCQNLCLLAKMFLDHKTLYYDVEPFLFYVMTE MDELGARFVGYFSKEKRSRDNNVSCIMTLPVRQRKGWGQLLIDFSYSLSKKEGRVGSP EKPLSGLGAVSYKSYWRISVFKYLAQTSDPAKLTLKEISKGTSMTIEDIYTTLLSENM INILDSAPLPTPLLSSSARRGRGRPPVRRKGDPAASVEPEDDHGHTEEIVKIPKKYEI LVDMPHIQEVLERQKKKGHLKLEPERLKYHPFIVARQSEKGNDGEGEDEEEEQEGEEV EDAIVRFAPRLVPPPPVIRVAPMTPHHRSHARQAFPDTPTVQTPLVAPPTRSLRKRKS DVAETPQRALRSRDSKTSVAEMLGDESGKGVERMGVPNGVVMDGQEAMDVDELPLAGG NDIPIDPALLFDDDTPQGAQFSAPHDPSALAFTQVNYPTHNSDFGAGAGGMYQSEVYG YDEDAEGEDEDAEGEQWVEEDEDAEGEEWDEDYEA L198_04772 MSVRKEPPSGPGMALSKRARVEDEADEGSMVMTVASSGEGQRKN ALIRSVKRTSGLEAPIVSLSGAHGGELTACVFDPSGETLAACSVDRSISLWKTYPPHT NYGILPNVHKTPILDIAYSLDSETLYSGAADGILIWTDLRTGERLSRLSAHYGPLNSL SVTISGGRELVLSGGDDGIARVWDFSLDVKDPVAEFDDGRDCPVTAVAWSSDGNQAFV GGVDNEIKVWDLRTCTILYTLRGHTDTIASLSLSPNGHYLASYSLDSVLIIYDVRPFC QDPTRVYRTLSGAPAGFEQALIRVAWTRHDGGQKIAVGGGDRTVTVWEVETGKIVYKL PGHKGTVTGVAFHPKEPIILTGSKDTNMLLGELDAQDFS L198_04773 MDEFAHSAESVIRYMPDQQSTWDEQPEWGEPYGSFALYTSQSQK NQLGQLSDIMQRVYATEEDKPPFTYRVHSSVEDYLEDGGEMRGPEDRPSLWDHYKERL EREFDSSRYLDKLCEESPGTVKIVFTTRLTRTVPSSSSGGDDPRTSGWKVLDPEFSVS RKVMDDCPRAIREDKEAPAVYKRSIYRQIESLVHNHNSGSYFELGDDTTVKEF L198_04775 MSKTLLRLSRIPRETYESVNANRENKFGMTTVRLRKLRDAWSEF DWIGGLRLLQWLEDPLGIVLMSGKRRKQEEYINSHPQFISISKAKDGKDLKIQFAALF SRNFLEFYGILDLVKKQYTPETLPYHIIVPYLLGYAFSSPPLEGPFSVQDVAFVLNNL MKGLGFGGGYMAQGGDVGSFVTNALGMYPNECKLIHLNFRLSLASASGSTESKKPTDK AFDPLLPLQTFGYALEQGTRPSTIGITVSTNQLSLLACIGEKYDACPTLSFSEEVLLR FMSLYWFTHSFSSSIYHYRYMIFWYRSTSSQRGDAVPKDPHGIQRFPKYDAGGHFAAL AEPEALWSDIEEIIKENWE L198_04776 MYWPTATTRLVSTPIPLHSPLSHAEPNRKGNFFATLTADSLAVW DVRPTVMQAAVVRSKSSINRFGSNSDVFWTHDGRGLIITANSHHLLFYHLVPSSRPSY DFAGPSTPGPGEGDVVMGWQLTYLGTAFVMGGCQSILCQSHNLLITLRHPPSILSVPW PVPSQLLTPPNSHFPPPPLDAATEAQIECDVWDLSAGKEWLVGEKPAVPTKMTSMKTH GMPVVHTMLSADGRGYVVYLASHLLQACTSDAQRTQLLTGPKYVGSLIHPVPQKIEPL EGDMDKAMEVALNSRFGLVAIGLESGKVNLVSIPSWLNPPRLSHTLDFRRSANLKSSP GQVTSLAWTGDGYCLAVGYEHGWAAWSMGGRLGGWGHRDEEASQSQDPGVLSLFWIPG NLELFVLRPHESSPQLEIIPFAKSATTNQPSPDNTRYAFLQMDDSVMVYRGADQPDMS VINPESDVWQSIKIPAAYISTNWPIRYASISSDGKLIAVAGRRGLTHYSASSGRWKLF QNEREEQQFTVRGGLLWFHHVLIAAVDADKTHQIRLYSRDLGLNEVLHSQTLLAPILV MTLLDNSLLVYTADNMLFHFLILPTNSSIKLHLCGSISFRGILQVPSRVRALSWLIPE AQKTLGDPADDLIVATIIFLVDGKLVLLRPRRARTDEVRYDLQILADRIEAYWTHLHG VGTLENSLWGYDGLNMRVWLDALTIEATTVNDVSDAYESVEESVKVRLDFYPLSILMD KGIIIGVDYETSTRTLPFPIYKISTGTHLFLPKFLRYHLSSSPPSLSQALTLAQHYQH LVYFAHSLEVLLHSVLEDEHPKDHEILPTVVTFLDYFPSALDVIVRCARKTEIERWPG LFELVGKPRDLFELCLTEGKMRTAASYLLVLHNLEELDDVDDTIRLLKQAIEAKEFHL CKELLRFLHSIDESGTALRTAIARVGIIDGSMLDVDGDSPMPTTPSIVLLSATPTDVQ VNGL L198_04777 MSQSPLARSTRKSLPAFAHAPSKLGKSSIKPEDPPSPASPRPWP DRDSTPSKGTPSKSTQSTPRYRNAGFSTSSPHTPKIHYSPHALATPPQSMSKSASIPF DMAASAKAARRAEEEMRRTPMEQSGKKKRFVRRKPLHERIIGFPQKVVDTFLFHTPAS VLDVLPEPHLANPIALGVHVLHYLLVAPLFTAKDDYGSVLQSGRSQSAVSSRWHDVEN AGKTGLLGVSTRFFLVALLMALALGNALYLFTRFRTYDMLLRNPTEAPVHSPHASPVP APKAKPQSDDEEDNVFKAELKEPWFPKLLKLTGRALLYLVKFTFHAILSAFGKPTTGP SLKDIGASGHTVQSLRVWDPPPFCLAFFSAYPPTAPILTHLLTPINPLLTPVLHLSTT FLLAHLEQAFSQLVKDRMILSAEVMREYDQRFVYKKIFSNKVDRGVSTHECELFLD L198_04779 MQSNFLDLPTKTATPQPTFAKHLLDYISTHFRDAHPEAFKQDVD ILVSMRRDWVEAKSEAHPEVVKAYMRYHAQLAFLATKFPSDIGLQFAYFLPFPPTFSL SPDSPISLSSLTYERASVLFNTAALYASMAASERRAEAESIKRAIGYLTSAAGVLEYL IKNVLPTLKSEVSSPQAAGYDMTESFLGAVKEFVLAEAQECYWQQAVLAGSYKNGLIA KLSMKVSEYYKAALSSMNAAEYPSAAFFPATWVAHITTKQMHFEAAAQFRLSQDDLEK SRYGEEIARLRVAESLAKKGLDAAKRGVTDSVTTDLKQLQATVKSSLERAVRDNDLVY VSPIPPANQLAPVNGVGMVKVNIPTEVAEPVAWLMGGQAGMEPLFSALVPYGVHLALS IYDDRKDTLVRSLDGKREELDGLAASTLQSLNLPGSIQALERPVGLPPSLLKKAEEVE SSGGTDRIRGLLDQVQRIARSNTQALNDAMDILDQEATEEETVLERQPEMKGTRMPSH VANQQLIGMAAQYEATIKQAAGSDATVRTKWEEWARLIGLLAGGEDTINDYVPSTGAA SGSLPPSVRPLRASLEQLDDQISHRARILNEAKRISAADDIRPQVLQEATKLTHGGTG DVKTEWFEDIFHKALDKYEGLRREIDEEAEKQDELLNQIRTQNEEFLSQRKDDPIVKE RERRLQDMDLAYWKWREIVDNAEEGIKFYNSFAEMLGQFKATCTQFLNSRRQDINQIT AQLQNIHVTQSEPQHQPSPEPAYIAPPATQVHPSASPSPAHYQSPPPPAPAPAPRLPS PPRTFLAHPSSSNWESAEFLPPPPPPPILRSGGVQSQPKVAPQAATPRRVTRASAAAG NHEATERNKYSSGTPRRKGGGVV L198_04780 MSSTTSDASPSLFSRRKLPAFPRRLSIGRSSRSTNADSSPTTPS LLSPRSPLSFSRSPTVGYAYESMTACTSPPIIQRGTLATESSRATPTRTRSGSTGLET EDRAERDAASTSPEEESCTPSSEETEREAKRKAFLKKQVEEALATVDKNQYLGIHPSE RGIPEADEEC L198_04781 MSGPQEYGIRSELMATKILLEGSLPALSSTGANDRGENYGPFLQ RRVDQPIIESRFTLRSFRDEGIGGGETAVPVPLEVERAADEYVSSVGACIEPLQSTLV DASMLRWGDAAEKYQEYNRQAHINQLTLLSDVMHGVFLDDGGNLNGPDDRPMDPMEYE DKLERAVASAKGVDELCCESAGTIKLAFTTGINQPTRLARSLPSSAGGHGGGVNGWNV SSPQASVSCLVMDDCPEWIHKNKSAQISYSRAFFSALNAAVPHEQTFRLGRMTTAEDF MVDTNTYEHGLFRQAAYKTVDEVSFLNDEGNSEENSQLVDGHLVKAAWVDASMFNVLS GSPLINRQWYFRTRSEYKRSIEEGLDIMRREVEGTGASDTDQSGKSIWGTWTEL L198_04782 MAMGQWTPTEDPSTLLNPPQTAPPAIPSVKPAPKRKASAPKASS SKTILPSKSMPPPRAPPRHTIIGDLNGDYYPSAGQQAEGSGSSIASGSRTNGLSAYQA AKQKQAEDGEWSDGEDSYGCGFDGDLEIMVNGVPRGQRLAQDSWAVMAQVLQNQPPSS ATTLQIRTDAERYYQALVQISKNPGPHQEHPWGDRMHRLLMFYTYVSDVGDKIVCARA CNSLGSDFSTRGLRELLGDAEYFETTGSLIRDP L198_04783 MSKPKSKTSGPPPLGGQVPSPFRKSSQQNVNAQSSPKFYNGPTV ASKPPTAKDKAVVAGTKATAVAKTLQTRTVAKVRRHPWGFVSLLSTIPFLIIISLAST VLCPPPGTSAVNKYLLSPLGYPEHQSHPILCYPANVYNAEVLQPYVYPVLDDLQAKLI TSSPYVNYVEPASAQAQRVGVKVWNGPVKPVVTRIQRGIRRFYLTFVEPHIPYLKARY HTFVDPYTTRISALTAPHLASARAYASQAGANAYGTYQYAASHPYTGHASRYAQQGYK VGSQKGFEAYKWSRPHAIRAGEEARRIATQVLGPRAVKAAEIAFAQIDAAWRVVKAQA CAQYRTHLEPHVGPHLKTAAAVAAPYYALYNKHIHTPYVQPAYQSVFPPTKEPKTFLK MLADWLPTSGLTAAETRGGLDDYFTDVQKAKKGSTGDLKLGEIKPKKGEKIVKAEAKK PKVEEKSKKDNKRWDRATMNDAIKTFRAKVDEQGKTSEKQVKKELKEHNDGVVQRTIP EFATNMRSEVDKEIEYVLKGLDRLYTQSPTLTREQVKDSSDKADERVGKSLDKIKARL DLVKNRVHGEAKPIVKGQFDVLDTLLGAEYVKLAEHMSWVDDITVKDWDKYHEIRKLA SAWKDKYIALHEEPTTFKPFAELRTELSDIHEGFRTRLGILKRTAVDRIEAREEVEAA KKENAKNIKEKKPEPGKASILPVVEGAGAAAAGIAGAGGVIGKGKEQVLSALSAASPT PTAGYAAQAQSSAESILSAASQSVHEATRSAYKAAGGTPSPESPREYAESAYGAVSLA AADVLAQASKSLDAATKSAIRAAGGTASPESPKEHLESVYKAASEGLEALAVGAAGAA HEATRSASRAVGATPTPESIGESYESVVAAASSASQGVVSAAGKQARDASKAAVKAVG GTPSPDSPAEHVESAYHAATDQAASIASDYASSASVLASDASASVHSATRSALSAAGA QPTPESAEEYLESIAGVVQQGAASVYSVAGENVHDATRSVVRAAGGTPTPETPGEHAE SLYNAASEGVVDAADAVTEQAARIYTGIQEALGVVSTPTPLASSASSYLASVASEGSS TAAEALATGSSILSSLQSEASVSLHSATRSASSALGATPSPESPGEYKDAAVEQALSA RRAAESLVRKHAGQIKRDLEAEGKTFEDYRHDHAAGGVGHNHGHGHEGHAHDEL L198_04784 MKYGKEFQQLLNESDFPEEWKSSAIEYRQLKKVIKDVVAELTSM GLSPDVLHKLLITEDTEGKSLIASHTPMVSDGGGEEDVIEFEFESEEGSPVVLRSGSV DLPPPPHHQPVEVIVHPVTEQQNLDDDEQSVESLHPHHHRKFRLRLLSETSQTPKEDG ASVRPLSVTDIISAQEHTSNLSLEDVHHRGRGSELSARVHGKRKVVKKAVSDGHGGVK AEYVLTGDPQHPIPQLRLHLDTSISPSRSHSHSPSPAPSSFESETEPEIETETETEDE NGGEEAFDLAMKTPRAFNKVTNPTLPSSPHLSRIKGARSPIWAIASSGVSEGMSDFSI GEAAVEEIPNPLPDPPSPNFSAMFEPASASTTERKFIIPLASDLAFFSLLTTALTSLS LFHARQQTLFQQSVERLCAMISKSISPQGSSLEVLPTPLTPSGSNMSDTVPRLHASTS AKASKKDLYAWREIFSLWIESQIFESTAERDRGERTIEQAESRLQKFAAEVVKRGLGD RRTMKGKKVKEAWEEFLRLNMLLLDLKRFQTANIKAAQKILKKHDKRTALTASIGFQS FVRSTLTAHSQIDKDGHISTWTFYNTSLPHVLLSSLTSTLLPILPSLDDYVCLICTSI AFKPIRLGCGHLFCVRCLVKLQKAGKGECPLCRKDVVLLADKSSLDLTIMHFMKQWFP KEVKEKQKENDAEVVNERALETGLDTRCSIM L198_04785 MLAILPFFALLLPALAVPTPNNIPGNSTIAPPRLARNNVPGNST VAPPRFTRNNVPGNSTVAPPRARNNVPGNSTTAPPRLLSRSSDNTDATSAIIKINVPA YTSMLPDPSNASADDVALAGPGTIGIQVWEGDVVEYEWKIQEKAVEDDGEAVDAWSLQ CNLTLNDTLKSDATFVFSLSADAPYLSGDLEKEVRFVCADVQCVKDGGCADDSYDPSF DSSTSPE L198_04786 MAVRRNQPRQQAAQTSQTAPSAYRQTDALTEFQTRRLNKRLEEL ERTNPTDIPASSFVPGNVQAAGLAQVNQPPKKKQTANVRRILYNKKSLNDWLDELVRM SGVVNIASDFA L198_04787 MYLISDRVHFTSPLVPKSARGETDERGEADSRNQVEDGHDNRPD FLKDPSYISVMNWVQSNARHLSQQSVKDLFTVLSCAGFDPSVFGQVSVKQLYRALDKK VSNDYDDGPMMDNDGSPIPPNPPPRNLVKTESHTRKRKRRERRKQKQDREEKEKEKRR RMWSRLEARFGGHYGVLGH L198_04788 MSLNHHIPKKISLKPKAHHGFQFVLWLLGLLLPPLAVAVRFGIG VDFFINVFLCICGYIPCHIHNFYIQNIRNNQNRARTPKWAIKYGLVDNSNNERRAQKN LWAKRFEERNNHSTLTDQELEAGEEGPNYDPYAESPAEVERRRNEGLWSAEDEEYYNA DRAPNQKSWHYPANFEGTVGDGRSYKRKGKSSGSSGGDRWQRAARRSSVSSSTNTYPP TAATEDDIPEWGRDYGSKGRKSGKKQQKAAAKKNGGENEWARNPEFNYSNERAGAGYS NGAGGGGGRRRGDSGASAGRGGGGKSNGNAAAAPSGNGDPNWDHQF L198_04790 MSPSISLSPSNAAPQPMFPRSQAPRPTHTHSSPALNIISNKSSP AGPAGGPTVRRAPSAPAAAVGDRVTGVSEKLVSLATSSGSSSVEEATGKPSSTSPLAV FNQPLLPSAPIPEPITGLPKRRPSTSSSHAASRLPSRSHTPAIHNGGETDTADGKPTP QTGASRMAAAAKRGLDGEVGGEEVADLYEEGHPLSEKCLREKDYKSLTVFDHPFHIPN RFNLLRSLGKGAYGLVINVQDTYTDTTLAVKCITRVFDKVILAKRALREITLLRHFWG HENLTGLVDLDHVWDGYNEIYLYMEPMEADLHQIIRSDQTLTPAHSQYFTYQLLRGMA YIHSANVIHRDLKPGNLLVNGDCELKICDFGLARGFRAVDGEEGQEDGKMTEYVATRW YRAPEIMLSNKRYTTAIDVWSIGCIIAELLGRQPIFRGDSYVEQLTLIFQTLGTPEDE TLEKLAGEKACAFVRSLPHYEPKDLRDRYPDAEDDAIDLTKKLLQFDHHLRPTVADAL KHPYVAKYHDPSDEPPCEIFTKWEEVEGLKTIEELREAISREIREIREEVRAIVEEEE DGEEEMMVYDDGKVFFETPDMLAAPTLESSDPSDPVTSSTNIITPSTPPTATATANAT APPSQSPKSIPFPVHPRSSSHSVSSSRASSAPRTREHSPSTPHTALLEESFGAPYGRV SRRSSTHSLGGGRRPGSLFFHPFGSGMTPMSSIVPSHPSTPSTATAFNQNQEPETARD TSLESRDRRPSGHWRSRSRAASQVGGSLVLDRLAALDVKDHHDPSKRADGAAGGQGRV GLGIGGDAEVPPMTVSPSDAPPSAPPKAFGLI L198_04791 MRISSIVHFITALLTFAPLRALAADTLYTDAVTYCAEAKAVIVD EFGITYHRSNQSVTFSFSLASVESNLNVSANLYVNAFGIEVVNQTLEICDLLSGVACP LPQVNFSGYGTYPIPSRYTQDIPSIGFSVPNLEAYARVELLRVENGEVAACLQATLAN GKTTKQRAVVWATAIFTLVAFLVALAHTASATSPSPIQYRWFDILFIFQTAAATGLLH LNYSLSYSAFVQNFSWALGLFHSSNMQSSINKMRAKTGGTMDSEAYSDVQYINRKFSP YNVYANVNDIGSSTESFNTFVAANSISKRDLEAHHLSKRVQIASALAQNATTDLNTGL PVYTNTINIPEANAYSTVWFAFLALIAIFIAFHAVLFGFVILFEKMGHGRKGMYWAPR LRKMWWPFCIGNALRLCMIAFFPIFIFAFWQFHIGDSGLAIFFAVFGILLCFLPLLAA FLLTLRRSRTTSQLNPQVNPIYVSYRYFHSLGVLYRQYRAKYNWFWFAPFVLAMIARA GFIAFGPANAWAQVIGNIVVEAIVFVAMMITRPHKDKKGDWLGGFLSMCRMISFGLLV AFIPSVGVRPIPRAVIGFVIIVFTGIPVVILFFGFLWNIGYGYLWRKDTTRIEDGLEV ERFSPTSSNSSVPAPIMKEMDAHDFVSSDAAARSRASLNGYGAGVGAGAGLAGAGRRA TDHSGSGSGSSSSELHTPIDPPYPGHNYRPSSYPSGKQYTSEPYSPTSPVSQYHSPTS PTSASAADAYAQAANGSYPRSSGGYGQVDTESPSYRYSRDADGYDDEERAWAEARRYQ L198_04792 MSTADHGRDPCPYVILNDFGGAFAMGAIGGGIWHGIKGARNSPR GERLPGSLSAIKARAPVLGGNFGVWGGLFSTFDCAVKGYRQKEDPWNAIMAGFLTGGS LAIRSGPKAALGGAVGCGILLGVFEGVGVLMNRMFAQPIPQMQLPEQAPPPTPALA L198_04793 MAESTNIIFDDRFTVDTVDKDGKKFDRVSRITATSHNHSMALTL DLAVELYPLLSGETFTLSLARSLEPEEDEEAEGEEEGGEVKKIKRELWRRESQGLGDD YDYVMYGKIYKFDDSAQGDAQTTAYFSFGGLLMALRGSYRHLASVVVGENVYLLLRK L198_04794 MRSVLALAMLALPAWGMRDTAPFLVWNDNEDSAWQQALAGGKAL VEGEAVYKGLKYLGCAWDNAVVVHVDKLHHSKLDAYNTPSDAHLHIPYLKGPSRRGLS GGLEDWASACEAEVVGSLEELDGGRQVVDLWIKEGEVVPWTEIPSAALIILTGSPPTH TKRQEYPFPSNPSSSYTYSESQTAPSTPTSTSRNSTIPPKTAPLLDRVQILTTPIITA LLITFGIFIPLLAFAITQLVGIQVPPMMLNIIPREKMGKERKDQ L198_04795 MSPESTPVPSSDHPPPSKGSKGGPKGKKAAQGVEVHKFKVGDIV LARLRGYPPWPARIANPETLPRNVLKQRPGKSPAIFCTQFFPAGDFAWLASKDIKPLP SSDITSFLSQTHRKSAGALRVAYSTAQDPSEWDEQQDAARRQGEAEEEEVDELEDEEE EKVKGGKRKRKEEKEVAGGKKAVGKKGKKEEEPKSKKAKAAPTKGKVEQKEEAEKKKK GEDPVSLKVKGWRHLLQKAFLGESMPSAESIHSFDETFREIENYEQMTIEALQFSKIG KVMRKMVALKNIPKNDKYKFTDRAGALMNKWQSYIDISNPPASGAASQSPVSKKAGKE DKPVSKKAEEEKEEDVNGEKKEEETKESTPAPEPTSASEPAPSSPAPAPAAPSTEDSA PAPAAEPTSEPVAEAAAEPTKAAAPEPVAESAVETTTTTEEDKPNGEDVPAEEAMQVD A L198_04796 MGLTNRKNKKNDPEAGDPDAEAKKQEEDTKKKYQGEEYDVLLKF VTDQADRLKHKGDDEGEDEEDAKYVRKWYTPWKKTKVETQGKKVPSEWLDTDRQKGLS ANEIEERRKHSGYNELESPSENQFVKFVSYFRGPILYVMELAVILAAGLQDWIDFGVI IGILFLNAAVGWYQEKQAGDIVAQLKAGIAMKTTVIRDGNEQEIEARELVPGDVLVLE EGQTIAADAKIIGDYEDKDGSKSKQVLDRLEKSKQGHKDGDESDDDDDDGPDKGPSLI SVDQSAITGESLAVDKYIGDVVNYTCGVKRGKAYGVVTVSAKGSFVGRTAALVSGSNE KGHFQIVLGGIGTTLLVMVIAFIFCVWIGGFFRGTSIAQPKDNNLLVYALVFFIIGVP VGLPVVTTTTLAVGAAYLARRKAIVQKLTAIESLAGVDILCSDKTGTLTANKLSLNEP YVAPDVDPNWFMTVAVLASSHNVLGLDPIDKVTVIGLKDFPKAQEMLKGGWKTHKFTP FDPVSKRITAEVEKDGKRFTCAKGAPNAILKLQKFDPRTVGAYRAQAQAFATRGFRSL GVAVKEEGKEWELLGMLCMFDPPRSDTAKTIGEAHDLGISVKMLTGDAVAIAKETCKQ LGLKTNVYDSEKLIGGGMTGSDIRDFVEAADGFAEVFPEHKYQVVQLLQERGHLTAMT GDGVNDAPSLKKADCGIAVEGASDAARTAADVVFLDEGLSTIITAIKVARQIFHRMKA YIIYRIALCVHLEVYLLLTILIKNETIRVDLVVFLAIFADVATIAIAYDRAPYAHQPV EWQLPKVWIISTVMGLLLAAGTWIVRGTLYLENGGIIQNFGSVQEIIFLEVALTESWV IFITRLAQEPGTPNVFPSFQLIAAVIGVDILATMFALFGWVSGDGDEHSGWIDIVTVV KIWCYSFGVVIIVLLVYLILNSLRWLDNIGRAKRSKKNEKLENFLTDLQRLTIVHESD HTGGSYYRFASKKDDGDGDSKDKDKEKKDKEKKKGGDGDGSGEKNENRNGNGDKKEKP KEKEVSFKEEEGERSGPDAGSTDKGALGGDKGMSDKAGKGHEAAQVHDKGREEVQPDG KQVQPKPQHQTQAQPHGQIQQPELGARVGGGGGDDQSSDGTRVDP L198_04797 MSSSLPPRDPAIEKPQSGLSHGANLEQATRKVNRFAKRDPALYP LSIIVTGVLGVAGYFFFTKSTEPEPQRKLMASGMVQPWDNASQHETHPSSVAQFKYRY KTREGHYEDAHPTLNQTVEHLKEHNAHKYRTA L198_04798 MLNAQNHQQGPGRTSPMPPVPQVAQPNIGQPGSVRPIPSSSSPS DPSSALAQSQSSDKGPDYVYFERKPTQFSESVQGKAMGAKMKLELFYKEAVEGVVGRK ERRTVLEKQLASDALTPDSLKARQLINLGRRESNYLRLRRTRIGLDDFRTVKVIGKGA FGEVRLVQKADTGRIYAMKTLKKNEMFKKDQLAHVRAERDVLAESNSPWVVQLYYSFQ DTQFLYLVMEFLPGGDLMTMLIKYDTFSEDVTKFYMAECILAIEAVHNLGFIHRDIKP DNILIDSLGHIKLSDFGLSTGFHKQHDSAYYQRLLGGADVSGSSGNGGNRQSQVPTGA GARNSVMVNAINLTMTSKQDIATWKANRRKLAYSTVGTPDYISPEIFLQQGYGKECDW WSLGAIMFECLVGYPPFCSENAHDVYKKIIDWKNYLYFPDDVHLSREAEDLIRRMLCE SDRRYTVEQLKAHPFFYGVDWASIRDIDAPFVPHLRSITDTSYFPTDELDQVPEAAAA TGGEGGDAKKDLAFLGYTFRRYEML L198_04799 MSRLCLEKGKTIPGGSIVLTASVGGLKANAGPIPYFAAKASVIS MAQTSAFALKGTNIRVNAICPEFIDASLPIFFYDEPILSQDLNLEIVAIALYRQAFPE ISTMSELRQTVQRTARHCRDQGLGMLQISSKDDILPEPFLIDSSSTPGDQQHASAFSY RVASEQLVSLGLRAGYLGPITAYCFRYNFANVIGGAADDSSLATSFGHTVYSSARLRH YTANMPLYDPVLPRSSDRILLPIQFWECY L198_04800 MPKSRASRGILLTSNLPQLQNLIKRDPEGYREEFLTQHNHYLSL LRLHTLSSNTPSSSTNNDKSNDLFADLITFCCQVSQCYPEDTKDLPAQLRGLLLGGES GSAAVGGDLRRTAVKNLVMMRNKEIIDSIQLLQTLLPLLPTVPSNLRGMIRHTILTDI KTSNLKTKNHRLNRVVQSLLFGMIESGMGAEVVGDKGRNKGKAGREKGGEAMWAVMMV KELWRKGIWKDAKTVSIVALATFHPNTKVQSAAMHFFLGSENEDEDDSDDEPDVADAR RDVRKMEHRAQVGHKNRKKVTQLEGLKKEENRIRKKKVDMAGVTPNFPALELLHDPQT FGEKLYDNLHKHDKIYSLDHKILIMQLLSRVMGSHKLCILGFYSYIIKYLTYHQLQVT LILVSLAQSVHDLTPPDVLTPAIKKIAGEFVHPGVGAEVIAAGLNAIREVCRRQPWGM EEDLLGDLIEYRKSKDKGVVTASRGLLQLFREVNPGMLKRRERGKAAAMGLIGNQVLA YGHTAETPSGIEGIELLEAHLRQQRKAAGDEDSLAGSDVDMQDVVDEADEAGWDKWDA ESDDDSDSSGWQSVSSGDEDLDISDSEDETDRKRDKREKREKKRLQRKGLKKKEGEEE SEDEGEGEDEEMDDGASVAPTEVSQATKKLSLLAQQKILTPADFALLNELRLKAAKDL AASGGGSAAKRKLAALEASKRHVDTDESDRFLTDADILGPRKKAKATWEERMESIQKG REGREKYGSMKGKKKKDAPSSSTNKEKAKNKPLMMAVHSDKVMQKKKASLRDKQIRLR AAIDKRKKQFH L198_04801 MPSLAPPIPAPAAPPPQPETPEGSDERTVLPSPNGADTDTPTPT PKSPLDSPSPPPSASPHPQQPLEPSPTTAKTAENSEGMPQSKGPAPTAAAASASSSKP SPAPTSTATSKPTPSPSTKPAPPASKQPPNTTAGQKKKRKRKGIAGLLLSFGCLSAEE FEDEPRAPSSSAAGGPSKTKTAAAEAEAGGQKAKVDAATSAGSQPVANGAPDVNNKKD VAPTAGKGHQTEGTGATLVGGDGKDKEVIVPPTEPHTLPEDETAGLTSSAVQPPGSGS SLLGTPSRQVSHRESNPDLGANTAEQTTTSGGYSDISNTDVVDDTTGTGERDDVGGEE YLDYDDEEDRLIEQGGMGIPTDEHGTPCPLLPPLAVKHRGRKCLVLDLDETLLHSSFK QLPAADYIVPVEIESQVHNVYVIKRPGVDRFLTEMAKYYEVVVFTASLSKYADPVLDM LDPTGLVAHRLFRESCYNHKGNYVKDLSQLGRDIHTSIIIDNSPASYIFHPNNAVPVS TWFSDPHDSELTDLVPFLADLAGVDDVRGVLDGRV L198_04802 MPSTRPIEYPLAQSTDHDAFTPLPITPSSPCPPPALAHEQKKAA LAAQSAEAIHLRAHVLDAVRSRADHIPEGGSGKLEGKVGAITGVGPESGIGTAAAKLF AREGAAHIYLIDYTSTPIPSLLSSLTTTYPRTKFTFLQADAADPTAISSLINQALSEE GRFDFFFANAGVSQIAKFEAGKNGSLMSFIRPVEAVEEAEFDEVMRINALGAFVAIKY AAGAMSKLCPEKGKTIPGGSIVLTASVAGLKANAGPIPYSAAKAAVISMAQTSAFAFT GLNIRVNAVCPGLIETNMTKSLFELAKANQTTNRIGVLNPTLRQGLGFEVAHTALFLV SDDSSYVNGQAIPVDGGLSAGVPYVRNKI L198_04803 MLRSLARRTLAPRQALHTVVRPAPSNLRPFRTLRIRTLPRSPLI PQSRQFHPSPRRDDILFVSLPALKGALLNITRFSLLFFPFIIRYKIWRKYKRLSILFL QIPIFAVCVILAIGLDQSPRTGRWRLLLMGEHEEMAWSRRKQKDILKQDGPNVLPPSN PLSQKVSRITARLITGLEEQDRHIVCGANWPPASQELSRVMSQREAMLSVGEGGGDRW YEPSGTAKSGYLPYRPESRNPLKKVESGDWRLYVIDSPDINAFALPSKDIFVYTGLLH TLPPDDDTILAASIAHEISHVIQRHSVENLGFMNIATVLFDVVRGFTFAFTISFPFIT DSAGMFINYINTVLTERAYSRKLEMEADAVGLQIMASAGYNPRAAQDLWQLMRCVEED AAASGQMGSMENRFSMLRTHPTSDVRHEALEKDMPGAMKLYREYLKKNGLARVKEGVP EQKKEGEREEVPDGIESVA L198_04804 MSLKQPAHVLEGSYELKDRSKAPLDTLDYNPPRYDEESGTRRRR PEQGGVVGMAKRTMDILVEHGVEERGIEPRPEHDRDELNIWSYLPQSTLWAAWNTNIL SFSEGVIGPSLFGLDWKTCVFCIVFFTAGSALSVAYCGTNGPKTGMRQMVQARYGLGY GLALVFGLLNCATMIGFMALTAILAGQCLSLASSSNMSVDVGIVIAALIALILSFVGL KALHIVSLTSFPIMIIVFIALAGVVGDKFHYVTAEAAMAATGVTTSGVLGYAASQIGF TISYTSLASDFTTNLPAHTPRWKLFLFLYIGLFTPMVLVQLLGAACQLAAYSIPEWSS ASSLGVPNLIFAIMGNGNGGSRFVMILFCLSVVANTAPTIYSAGLSGQVAIPWLFRVP RYFLALVVSAIYLPIAIVGASKFYTALENFTSVLSYWTALYVPPTLLEPLLFRTPVSR VTYPVEIWDKVGRLPIGIAAFVAAACGIPLVAAGMAQTWWTGWLARKIEGTGDIAFEI GFVVVGLIYIPARYLEKKYTGR L198_04805 MAHETFSRYLQENLTPEWKRAYIDYRACKKSIKVIAARMGHIQE EGEGDQGDSSGPDDDYGPSAPPKKTPEIIKGPHGGSGSLKIRMNTTSTPQLGRNTSRP SPSLGRSPHYGSTDDSHHVQSPCFPQSLSNIPPPLDLGAPGVEDDYVPASPGVPPRLT VTTDQKERDHAHRKGVAFSPTQEEIAGQRIDEVAEASETSPASDESARKDDAAEPLTR RPADLILKSDKSAPSPRMIGKSPKALFTPRLSSIRAESARGKSPGSQPRSLRSMTLPS PALSQMRPPGATTPVKPIDTFEDLYDRCEKDEKAFFDLLQRELDKVEKFYVARESEAI KRAHDLRIQLRELAEHRKLYHELYPEGMPEWEVRVGRILPTGAQPRAPALSKIRNRIK YAFEDRESLAVPDSKANGAKTPAISRSGSPVMSEHERQSLKEAMEADKDHNTYSPERY QKYKKDLRNALMEFYRQLELIKNYRILNLTGFRKALKKFEKTTKIESLELYTDEHISR CTFSKSEAIDNILKQVEDLYTIHFEHGDSKKAREKLRRQQKEKTHYQSVFRSGIMMGI ALPAFVAALVECDRQETRDAIPAWEGLLVCYGGLYLPVVFALLFEVNLLAYVNARINY EFVMELTRPTIDYRSYIELPSFLFLTLSYCFYFSFARIGSSNVAPTTWPAAWLVFFAV FWINPFPIFRRQTRYWLLKVLFRVITPGYSRVEFIAFFLADELNSLTYSIQNVYFLSC AYANHWPDDVVNVCPSGRSWPYAIIVCLPALSRLIQCLKRYNDSKLYIHLINAGKYAS VMTQLCLFVWWRNQGSRNNNASFIVWVIFAFFSATYACSWDFIVDWSVLRPKAGFLRK DLGYSRRFVYYLAMVINVLLRFIFIWYIPASTRHVRTRSFVFAAAEVGRRWQWNFFRV ETEHLGNADAYRVTREIPLPYRRIQADSDEDDSDSPKLERTKSLVGGVVNINLDRLRR GIRGDREGRGPDALDIGARGHAEQREYESSRPGDVENSPRGAERV L198_04806 MPEGFQLDLDQGDGIPRTVNWTKENYPAFALPEIEAHYLQLANL DHLKKELEHTVATLDRLSRKGLLDPTRDYRTLTNLAAKSLTNAAGSLISKDPDAVLHN GHREWNAADASRWANHVHLEADDLETRGHIYYGNKTQNDTEEDANEEVMAHLNRMTQT LKDRPTPPGTDELREFSQVRYLSDALRSQIISEQALPVLVSRINDDLTVAHPPRVSAA PSTRKNKNTIKWDRSFSDIEGARARLSASKRWPGDVKSFHRLEASVDELHTILSEEHE KVIQRQQYQHIFNHPDGVDLGIYDASRIGDLGELLTNRIKHLHESLNGLKDALTHVTG HPGDFSALALGDPHDTMEDSDIANFGIPGDLILQYNGFDRLSKKLDTLVQGFKYEPET TVFRPSIAQSYLRSLVGLRDQAHARYDDFLRDHSCGGDALEEGAERTKLLDNFNRATR QLMASDRDAARSLVIENDQASRIETKYDEKIESLVRSLHNKVKEGRDEYDRIHDEEGT SQSRVDSYRARADFCAAEGFLKEHYPTGNDSSNAKSQKQQRQLDVIAAKQFLDMAWEA SRNTEEKPVEELLDEMSLAPPEQDRPTAQLSLETGQTATSGPWKSAFNWAEDEDDEEW WKEEMSKLSKVKTGQSNEDGTLGSPSTSGERSNVSAPATERANAWFGSVPASRRLFGQ L198_04807 MSDSEDLHIPAGVLANAPFSLPNPDETDDLEEGISHERAHIEPR GATPGTPDGYTIQGVIHEIHGRIKADIEDMLADFRGRLKGLRSSAVQVRMETPNNSK L198_04808 MSPVTVGLLAPTGTVGGALLKSLYEAHKKGDVKLVVLHRSSSDT SKVPSDVDKRVVDLEESKVDAIKDVTKDLEVVISAVGSAGLKSQLYLVQALAGSSSLK AFFPSDFGAVWNEKERAIPALSFFAIKAEVVAKAKELKVPVTEVKVGLFDLYFFAYKA LGSDLKANKVQYFRKSLDNKIHITSLGYLGHAVTQLITTPSSLSKLGNTQPHLYDLTP TGKEIASAFEKVNGSAPEKYEFKEEEYEEKLKALPGAITAAIFRKWGEDDWGDLPKTE VEGWKEEKFEDVAKAWAKNA L198_04809 MSDDNSPVKVNTIRRKRPVVLSDDEDDAPGPSVSPKKSVKAEDE GKDVEPPKKVAKTSPAEKTVTKPASKEKKPASKPASKEKKEGPKRKADVKLKGKDAKP LASIFSKPAPKAKKEAESEEIGSKGKEGDDDYEEGEEELDDEAEDKQEGEAAVKLASI FTKNKSVPVSDKGWKEGEAVPYIALVETFEKIEATTKRLEILEILTQFLLVVAKRDTA TEAKDSMLLKVLYLCINRLCPDYVGIELGIGESLLVKAIAESTGRAMPKIKADLKNEG DLGKVAMNSKNTQTTMFKPKPLTVPYVFQCLTDIAKASGNASQTKKVGIIKKLLAACQ GSEPKFIVRSLEGKLRIGLADKTLVVALAHAIVLRSMGDKKPPPDQLAAKLEEGASIV KAVYSELPSYDLIVPALLQSGISGLRESCKLTPGVPLKPMLAKPTKAIGEVLDRFEGK EFTCEYKYDGERAQVHLMEDGTVAVFSRNSENMSAKYPDLVEQVPRAIKPTVKSFVID AEAVAFDLETRKILPFQDLSRRKRKDVKAEDITVRVHLFAFDLLYLNGESLITRTLKE RRALMYEHFQPVESEFAFATSSDGHTSEEIQTFLELSVKDGCEGLMVKMLEGDVATYE PSRRSMNWLKLKKDYLAGVGDSLDLVVVGAYHGKGKRTAVYGAFLLACYDPDSEHYQT ICKIGTGFSEEILTEFYEMLKPLELGVVRGDIEVGGAKPDVWFEPKMVWEVLTADLSL SPVYTAAHGLVDSRGISLRFPRFLKIRDDKSADEATSAEQVGEFYQRQVTAGGGMKGG KAEADDFW L198_04810 MSDDVGAPPHLYVTIAGWIALFPLDDLTPTEHTFISNELEEKGI IVIYDSSLNRMLSSTLQSSLSPNAKVVAFEMEMDLKAGRNSTTRSAINPEDRLFTVLS MNFFDRCADAINIGLPAHLHGQCEMCPRSKSGISSWVFMSEGRPLASTKVKLLSVLTT PIGSDNVGGEEPGEVDSVHTRMRLDKLVAACKQEDGLKIILAEREGEKGKPRLTLRVV NQNGSVDPGMTHWAAMISQLWVQLLYYDRGLTTLTLYNVWIPFERDVHVKNLFRMGEP IYRTKPDVPTPPEPLDHPLPPLPVWPSFALLEEILKMEGMKSKNISSAPGGPGYARGQ TTKRNHDEVDGAGGACDGTIDASLLMPKNAVAVTLRVSFPDHRSPKARHFFLQGSLDD SYITGCLLSCFTGSPPDSVLPASMSLPVRPTNAELSLAIYLSSGRLWDVYHSVLTGEG LVRKDVIAEVMCPYTYDDGEERSKELFENSEGAIRAHRREATLYSGPLASLQGGVVLA VYGSFDGRMCLGLGVHGCISDWQSKISTASPMPCVSSIAMSNHDTSVHARTAAAPS L198_04811 MGAAAAKLFAREGAAHIYLVDYIDKEINPLLDYLKRTYPSTKVR FFKADTADPQAISSIIDSALEAEGRFDFFFANAGILQTVDPTKVAQNGHASTTATYLP GEDEFMEVVRVNSLGPYIAIRYASAAMGKVCPEKGKYTPGGSIVITSSVAGLKNSAGP IPYSASKAAVISMAQTSAWAFAGKNIRVNAVCPGVIETNMLLNAAKADHKGYGGQEKL NPLQRQGFGFEIAHMALFLVSGEPTASKV L198_04812 MPSIDQQPIAIDYTKYFSHESQNRQRSQLKELRPYFEIPGMISF GGGIPNPSTWPVNGMTLSVPFANKSVFVPGYNTRSPEGMLPAAPYTEPLKTEPLRPDL VGELQYGSTYGPKHLLEWIKGHIERLHAPPYADWDNLCTAGNTDGADAVMRSIFNKGD YVLVEEFAYPGLLSPAATIGLKTLGVPLDREGVNATALDEMMSTWDESRGPRPKMLIL VPTCSNPCGSTIPVHRKREIYAVCRKWDLLICEDDPYCFLQIRPNGADSPIVPSFLSL DTDGRVIRIDSFSKIVAPGSRLGFITAHKMLLEKIMNSRESATQCPSGFSVAAISAIL RAWGGHEGFEREYLPHISQIYSKRCLTLLALLEKYAPDRTIELPAPSGGMFLWVRLKI ENHPAFTSSSSLAPEEISKQIFQSFISEKVLMAPSHFFKAPSDKVWTREEEAEKIFVR LSFSCPEDEEMEEGVKRMVRALKKEWALRDE L198_04813 MSQSDVTARSDVDEKKDVDIHKVTVEALPATDDGELEDGMVDHA YLNASKSTKFYRGTLFQMFLFGAISFVGPAMSDAISNLGGGGLSRPYLSNLSNSVNYA ASCVVTLVGGPLINKIGIKWSCLIAAIIFPLDGSSYYVSAKYVGKDWYLLFAKILGGF TSGFLYVAETTAMLSYPHVYERGLYLGIWSAMRNSGSVIGGAINFATNYSSDAAGGIA WSTYLIFIGFECTGVIWALLLSATKKVRRSDATRVPYSRDMTWKNEFKALYKHVLNKR TWLVFIPAFYSFFYGGVYGTYLSLHFSVRSRALSSLITPSATILMVTTYGKFVLDNKR WSQRSRGWIAFLMWAIPQMACIIWTGIEYGKFGGQELTLDYATNGRRWAEAYLPYFII FTTGYWTQLSLYWILGTFTTNVKASSRTGGLFRAFETAGQAVSYAINSNTGDKRIPLY VLSVLWVLAVPCMVGLISLIPERPVEKDDVADDKMDGSVSRDSALDAEVMAEQAR L198_04814 MDGRSSQHGKRSERLNRTPYARPTPTKPKTTPGGGTFGVIKSVF SNLISSPFSRSSPSLPTHDSFPTNQYQRSESGSEDNWEGDEPVQMKGQDVFSLAAAAG RGGEGFEARQVEWRTKGEVAGGRREAARRDLQVGSSVGPMLAYQNGQIITPTTPVFPG HFVKDAAPPSLPPSLATVSEKVTGQLNGHAMPNAIAGPSTVTPQATSVKTPSTLPARL PLPASFTTPSHPSVNGESSSQYSNALATFLQEHQGQELTAQDKTIIAMLTERITGAGA ASPAGSERRRGWLPNSSSDDVAYRGLNQSTSSGLGGTPYKQKYKQKYLGPGMSPRKTQ TSSPASSRVLSKSTSPAPAPALEPASLPPSFPNRHLINTALRYSATSSPLRHSHKASP TPAQSYPANTLSPASGTKRKPESPADKASAKRREVEETGRQNAAAIMLSLIEQTEKEA PQSHIEPVRWNSYDRGALNKSTSTTPGTPGSTSVLAKSTGPPAVPNSVPSTAHAGSTP RKKTPLRGAAAKMEAFREGMVGSKGLTTIERIKGVKPWEAKGLQKPQEPSKQTKPVEE AIEISSDEDDDDIEIVEEAASKKQIPKPTAVAAPEAPKNMFESAPFTFSSPALSLPAF PSTAAPVPQPKKATEVYDSPLRKSIIEAPKKAAEQAKAFSFSTPASFNAGKPAQEEEP AFKPIHRSASPKKTSTEQSSLTPKEAALKMDKAVLPFFTFVPPSVGGSGEVPKNTTEE KWEKAKSEAKGKDVQAFTFTLDVSAPSVPAAAAAKPSFGGFTGFGQVSGQKTDEPKTT SAAPTAPSFGGFTGFGQVSGAKKDEPKSTGGGPWKCSMCMLENPDSAKEKCTICEEPR PKAAAPAPAVPAKPSFGGFTGFGQVSGTKKDAPTSTGGGPWKCSMCMLDNPDSAKEKC TICEEPRPKAAAVPAPTPASTGFGGFGGFGSKPAGPAAAGGSWTCGMCMLQNPDSATE KCTICEEPRPKSTSTPAPVPTPALVAVPTVAATPFTGWGAGTGPKKSEGGEGKWTCST CMLENPASATEKCTICETPK L198_04815 MVLPITNPTRSFWIDGADSALRNHRSTPGLISYVNNYRLQLPPP YNTIILGSGKPAIAAHPEPSILSDEED L198_04816 MAGIFPELPEPFKATEGRVERLQHVDAKAQFAEDIERARESASK PWAGWDVRGWKFRIRPKK L198_04817 MVLPITNPTKSFWIEGADSAFRNHRSTPDLPEKADIVIVGSGYA GSSMAYWIQKFAEKGQVPSIAILEARDVCGGATGRNGGQLRPHIYSRYPTWSSRFGPE GALALIKHESSHLAAFDALFEEEGIAEEVCFKLGETFDAAMSDEAWVRLKGAYEAFEK DFPEDDCFKSCRLIEDAEEAEAFTQMKGCIGAVVHPTGQVWPYKFVHAILRIIMSNGE VNLQSHTPVQKVSDRGSDGLITVSTDRGDVKAKAVVHATNRWASHLLPEFTNLIFPER CTICAIKAPAGLIKHTGAQHWDSSVNNYHLQIPPPYNTIIVGGAKSALVAHPERYILS DEEDKQLEGSPEYYALWPTKSVVGWEGEGPAEFERAVNEGGVWTGVESSSIDSFPFIG PVPNKPGQFVAAGFAGHGMPRILGSTAHLVPILLSSLKIPFTQPPVAGIFPELPEPFI VTEERVEKLQHVDAKAKFEQSIESTRESASKPWGKSWAVNV L198_04818 MSRPDGFIQPYAPVAQDPYTPPPPSALHSQHHHSQSEQHHQQSP YQENQRDDAFFLYKEAKGEIIMNNNNLDGGVPPLFTKWQRVYMIIATAEAVIIFGLSS TIFGLVNVEETDTRTRTLPVYLSIFLLAQIFALLYVFDALRARNVVQAIQLILHLCLN FMLFLYAILQIPQTRIALRDAHEDNAECGHFENCIGPHSLWNVVLPLLIIPVIIFTFA IIAFGTLVRRLADEFGWDVYRYTGASTEIKHMLRAYQTLVSLLKLMLFFATAFCIAYL ILITAWDSKKAEFIVTVIALPLLFILVLACGWALREENKFVMSAILVLMVAGMAYFIY KLSTLWLPRTSSLYINTRITMAILSIFAILILFVTFIYGIICMSNFGKGLRGVHDNPR NKISVLSCLPSGKGGWDGEGELREDGEGQAGYGHGYGQGGRRFEIA L198_04819 MGIIISLFRPAPPPGPVAISHPHPLSAQPHPPPRSPVPRVTKNV PSNGGKASTKRHPRPLSPGFSPHLRPYTPIAEVVHAPERAYSYQSIRSLHSPSRGTTR ARRLRAVSFSDDDDTGGGSRASMQESLGLAVDSGEKGSRGKKGSLSSMGISSGLGLGI GLGQEKHRPWDRLPNDEMSDDTLSAPSSPVFHSPSPFDSPPSISIPTTQNSSNSSTPV GHRAPPPSSFPAASFPHFWRQSEPSTSAATDSSGTVSSHMSSSEGGRSKRRLIFRSPS LGSGSIKNGLMGKTKLRKVKRVEGGWDMVREGDWDTG L198_04820 MVVIGVYTREEIVNPHPVAKRIGRMSPNKDESTQGTEMTSVSGG GSSSRAAWTTPEDHYTAHSDASQAADDLGHSIENAEAGQMSQGAIDDFKERQEEERRR KEELEGEIPSLKKN L198_04821 MAPKHHHDEFESNQFLGKEIKYFSQAGFDLDRIHIKRNAPVAAL YEDAMMNEGAVISSSGALINFSGKKTGRSPKDKRIVYEETSKDDIWWGPVNIKMDEHT FEINRERAIDYLNTRDNVYVFDGFAGWDPKYRIKVRVIASRAYHALFMHNMLIRPTPE ELENFGEPDFIIYNAGQFPANRFTTGMTSTTSVEVNFKRMEMVILGTEYAGEMKKGIF SVMHYLQPVKFGQLSLHSSANQAISDKGDVTLFFGLSGTGKTTLSADANRLLIGDDEH VWSDTGVFNIEGGCYAKCINLSAEKEPEIFNAIKFGSILENVVYNPADRKPDYDDVSI TENTRCAYPIEYIPNAKIPCIADQQPSNIIMLTCDAFGVLPPVSRLTAEQAQYHFVAG YTSKTPGTEDGIVEPSPTFSTCYGQPFIVLHPGRYAKMLAERMEKNKVNCWLINTGWT GGKFGTGKRCPLKYTRAIVDAIHNGKLAQAEYENFPIFNLAIPKAVEGVPSDILNPAK VWPSKEEFNSEVEKLGGMFQKAFAKYEADIEEKVKLSGPVFA L198_04822 MSDSPSPITLTFDTTHNLQLDAYLPFPQPSSQTKLPVVVHYHRG GMVIGSKSDVYPPFLPGYLQSKGVLLVSPNYRLLFPSTAEDIIADVRTLFAYLAAPNT ELDKTLRQNGFAIDISRIAVIGVSGGNYPARAAATLPEIVPRPVAWLDLFGMGGDWLL DFWLQGIDVEKTMPLENTLHDMAKAEELIQNGGGEVVSESEGTLVDGKATDVRGRFNL WIHWQKEGTFLDYVLSSPGLPATLKSVPYGERLALIPPDKRRFLLPITPQTPPTYVLH GDQDLLVPIEESYALVNDMKTLGLFVKGVWVPGADHVLRDRKKGGWEGMVDGWEGIAR EAVDWVVAEVGRAR L198_04823 MVSAFSIGKLGLLLPPLAAASPIQLPAQGVDARHGINDRVLDGR GSFEHVDLHSRTTPKGNTHASATLFSHSTITTNTPIPSSKPTNPTTILSKRDSAYQTN VTFAGKTYINKGPVGFGALAGDAVDSFGETIGGIGSAIALQSFEKGDDGAYTGVIIVQ PDRGHNTNTTTDFISRRHYLSFTLNPYYNSTQLSYQSAKSTFDLKYNSTVRYFESDGT PTTGLDATGIRNGSIPEPISNATYNHISSDPEGLVLNSDGSFWVSDEYGPYIWKYSAN GTLLDTIVPPKAVLPYKNGSLFFSAETENPDTGRSPNQGFEGLTASSDGKTLYALLQT GTVQDLDANDYGRYTRLYVYDVSGTPTLTNAYVLTLPVTNGKGKPLAQSDFIWIADST FILLSRDGKGNGDDDAKSKHKDFLLFNLNGATDIAGTEYTDGVVPIAPGSVLVSNITI IVPTQFIDIIDDTQLERFGLHNDGDFDVSLINSKWESSALASVNDPAYPDDYFLFTFS DNDFITTNGSEAGEAYVDGYGSTLDNQALVWRVTLPK L198_04824 MSQPFASPLSTLDPLPPEIIQVIFGHLIILSQGSKALASRLSRT SKHFLSLISPQLYRRLSLCASNAAQFFYGLEGLESGELRHFDGSFGRDGSGLEGKSLA ERRLIWIGLVREVAIEDAEALKACYKAVKAIVGPKPRRFHGRTLFFWGRAKGEEATLT LSSHLCETFGSANPNNAPYVFPILYALAQSCSLVIDLPHELERMLESSIPAFHAICRG LSPCVKSVTINNYRATPFETKTIYASGFFSFFDSSLSGGRLSTVRLALAPGIEQLQEM LHIARLAANAALPEVTIAFDNYHLQPGETKVQIQQAVEEAHERAEEESCIVSLGVAFR ML L198_04825 MRPPATACLKNLRTPVVGRSALPAASRHLHLVRPPRLTSRAVAQ PAFRVERRSHGHKAQEVQGPIPEISKEDTYDIVIIGGANAGLALACALLSHPTLSTTT RVLLLEGASLDKTRTWPQSEEWENRVSSLTHESVEWLESIGVWKHIVENRSRPVYEIV IWSNPSADSNPTIHFPLIGRPLARMTENLNLQQALLKRINEIGEGIVDIRENSRVGEM RLGEGGRWVGLRIGDEWIKGSLVVGADGPNSPVRHFSGIDSYGHAYNTHAVVATMHHT ASPFYPNNTGFQRFLPTGPIAFLPLSETTSTLVWSTRPENAAALKKLSPESLTAMINA AYALPEETLGLLVEEVLAANEVGIPLTTERISTLIATLPTPPLSADQPLLPPTITHLH APSIASFPLRLSHATSYLGARTALVGDAAHTIHPLAGQGLNMGLADVRSLAETLEASK KVGGDVGSLEGMKGYPKERYPLNHLMLSTTDKLHYIFRARNPLINWARSTGLDVINEI GPLKRLLMGGAGSGVGPFSTGGRSEKEREFGRSEAGESMKSAGGWQHGLASAVEGAFV LKSVAGMVGGVVGELARNGLRKAADAVQKK L198_04826 MDLAIDPSLESQDAPRRTKSGRPSKARGTVPGSAADFRRREANR LAADRSRSRQAEKHSALDNAARVLQEENTRLKEQILALESAGAVAASEEYSHVEHESE EPVAGPSRTAEIEHHDDEAQDDQEAHSHTILAALTDITGVDFSEGNEANWMQGMESFL KDSTESGRLGELAAVATGGEDNEAHPVNEKTGVSYPLPGQVAPNPAIILAAALNTEIE CLVMEDLAFTKAAIVRIEHQISSLAGNHGLRHADNARDIVGDLLPDALYADDAELMEQ TNDNLVHTIADLEAALPGVRDDFIKAREEKAGEERRIAELVQEIKNLGTANAEDKAKV DVALRSIGGYVVNILDEQENQQQTTYSPALARRRRGRPPKSDISRTFYQSFLIPPHSS SGLDERGKARLLRGLRKSSYLSQTITITERSSQQFEGSNEEPRTLDAAEQSDKAQEET TAEAVNRAEAYILSQLDSQLPPHSPHEHPEHREGEDMRLESESFVDFLPPQDEAPSSS AGNTDNVYPPPMSTPMARHASLQASASPLAPSVLSRLRQGPPGSCDICTRTETTVWRK LTLGGVDYKVCNACGLYHSKFGVIRPPELWGDGKSLKKRRTTVRAEGEDYSRKRPKRQ DGPHEHDALDTEEGNVYESDLRDMGSEVKVGVQEERLDDPAISYGAQEGLEQGMGVMD EFLPLGDQVDELESDAGAGAEHPGELEDGIDEAFTAEGM L198_04827 MANAPHGGVLKDLLVRDAPLQSQLTEESRSLKDIFLTERQLCDL ELIMNGGFSPLEGFMNEADYTSVRDTLRLAKVAGQRQGHLFSMPITLDVSQEDIERLS LQAGARVALRDPRDDSALAILTVSDIYKPNKALEAEQVCGADDIAHPAVAYLRNTVKE FYVGGAVQAIKAPTHFDYVPLRYTPTELRAHFHKLAWRKVVAFQTRNPMHRAHRELTV RAARQRRANVLIHPVVGLTKPGDVDHYTRVRAYQALMPSYPEGMAHLALLPLAMRMAG PREAVWHAIIRKNFGATHFIVGRDHAGPGKNSQGQDFYGPYDAQELVTQFKDELSIEM VPFQAMTYLPGSDEYQPVDEVPKGTPTADISGTELRKRLRTGASIPDWFSYNGVVKVL RDSYPPRPQQGFTILISGLHNSGKDTIARALQVTLQQQGSRSVSLLLGEELRSDLDPH ISRAVTPEQKHINLQRIGFVASELSKAGAAVIAAPVAPYERSRQAIQKTVVGSGGGNF FLVHVATPLEWCEKVDRRGLYKRARAGEIKNLTGVDDVYEDPENADLVCDLRNDTVPE IVHSIIMLLEGQNLI L198_04828 MPLPRTRAITRESNGLLAGLPSLPAELIQMIFDYVLLFSQTDKS LAFRLMCTSKYLANTISPALYRHVSLNARNASTFFYGLDGKLKRGENRRWRSGNLEGK SSAVRRAMWLSMVRRVEIQDLKGLEACVDASAIICKHDEESRRKALKSHREGFLFFGD CHPANKDEEAVLLLGSSLCEQLKSTSHFDRQAHSHLVHQHILYIDLPPKHYPGSVRHF LFPTDRYRNSFVLLNYTAYEQAWFLDWLVDTTATIAGKYFRLALHKLEQNPEGIALGV AYTLARSCTKRNFAILIENYTFKEGETSGSIEERMMTHFDEHRGWKGAARDLGITFKV S L198_04829 MDLAPNYPGSTDLNYVLAELSKEHRSSIVKLLGDFTANPLESIT LVLDTNGNTSDENDDDWHRIAVQRIARSVAAVIVHGKLAVVVANYVFEDGETATEVEG AMSQ L198_04830 MFPKVLFALAASVAASATAIKRDESHSVNLVNNCGSGNAVFLYE NTGQQGSTTVSGPLRGGVAWVDGFSGADCQSSGVNCGIVEFTLIDSSHKDENGNSYQN SADYSLLKGSNGNHQFTYNMDFALSCDNGSPGTCTGDSADACPGAYLGEATTGGAPTQ CVADSTGITITFC L198_04831 MSLLTTLPRGTPLRSLRSLSTSAPRIAEAAPAALPRYVRKAAAP AKGGYKSSSASTAAKAVPAYPKYTRPTAAAAKPAAPPVAEAAPESFPDMPFEETLIED YAHLAPPNAAPSPPLTDLPDQSYQPLPSATTPENLGLGQGNKIPGEAAVGQDWSTSFA GLSEKPFPRETAEALLRELKPDDVEIKPDGLLYLPEIKYRRTLNLAFGPGGWGLAPRG ETHVGPRIVSREWGLVCLGRLVSVARGEQEYFDPSGIATATEACKSNALMRCCKDLGI ASELWDPSFIRKFKKDHCLEVFAEHATRKGSKKKLWRKKNAPKFEYPYKE L198_04832 MSNERIRGIHVHRPVIYGSHARLLTEAERQLAPPGHTHRWTVFI NSAASPPPSDAEDIDYLPGGADDMMIDKPPFKVSETGWGEFTVAIRIQFINESLEKPL NFTHNIKLHHMNQPADLPVVTAAPSEAGAEGSVAGEVKEEAEEGVKMEVDTPAETPID TPAPTAAPAPAPAAAPGPESTPAPSDPSQQAPPSTSTPAPETAPSTPASSLPAPYAAP VHSWQYDEIVFHDPPRVFLDILNAHPPTALPPKNRRAFLTQRQEADGKKKKLNSGLAG RSAPPSAAGSVAGRSRAGTAETGAGFLGTPAPDMGQPIPNILGVVGNQMSTLDVPLEF SQEMAKGENNLMVEARIKIVDQMDQWRERLIALEKELVAAKEEVKSTAL L198_04833 MSSAGKLFRFAKETVTALEEGKPHSSITDWIEVLSSDRYSDLSL DGIPELVDAVNIQKLQGTTEAARAIRKKLKYGNVHRQIRALIILRALTENASKGFQLN WANEQLMERLKLMATDQLTDPKVKKTLILVFHSWALHYKDEPRMTEVAGLWRKYSSQG NHPSVKKPTSGAALSGARSTTDQDIFSHDWNPAPGSRGADTYTDLAAAKADASQRKRE REAQLALEQREAEIERREREMKRKKDMEVVENRRRKEREEEEERRRAAKEAGKNKKAA PKKPPFNFEKEKPAIMVAVAEAIRSASNLLNACRLVNREHEIVTESPRVQDCLDKAKV ARRAIIRYIQVVNDEEYVGALLDANEKIVESIQLYDRLSKPAALDSDSEDEADNVAAL NARLAAQRLEADRTGELDKLQDTQKRESAKRQAKTLQRQASYANTPPKASAPGAAYGD LQDLDFGSIAKTEGAGRLPRPLTPDSGDDQSYGGSLSDFSDYDSSDAEWQAAHGPSAL AHKRASISHAAAAGKGKGKERATDYAELDDEFGRSGLLDDKDEEANPFGDPFADEADT PVQEKQRMQWAEI L198_04834 MEPIKSLDPLPDDILNVIFDHLLVLAIDDKPLGLALIRSSKQTF KQASPYLYRNVSLHSHNAYKFFLGMETTTRPGEARRWNDGLFEGRSTAARRLAYLGLV RRVEIQDEEAHSACMVAVARMSFNKLASGHGKRSDGIAFLPNLFWGLDPAFGPHGTML WSQGATMGILERLKLDMDESLGDLGHPTYLETVLPAFGDLRRYREALWSYFGSLPVRQ LKLCNYDIREDSRAESFIDSLCLKGLLAKEVTLMLKDTSAPTTLAPVGKLMRDTIQNN PFSSRPRFTVRIEGFIPSPGESLETVQEEIDNLARTLHEASDRVEPDVKFMLC L198_04835 MRILTWNVNVLRTCLDYHPFSGLPKKNVEGLLDHLDAEIMCFQE HKTPRAKLEKSMACPGPYDGFWTFPRSKTGYSGVCTYVDSRYCVPFKAEEGITGILLG DRLSTMKPPWTEEERIGSYPDVDSMRWDPEADGGEFDVKKLDMEGRAVVCDFGLFVLF NLYCPNETNDTRRPYKMNFHYALHERVRLLQAAGRQVIIVGDINIVRAPADSGEGPVR SSAAQHYEHPARRMLDDWCAPKGEMVDVVRESWPRRDDMFTCWNTKLDARSSNYGSRI DYILCTPGLRPWIKGGDILPKVYGSDHCPVYIDLHESITLPSGETLHLRDQLNPPDRP PSTAPVYPNAVRREAPEPPRFATKFLDEFSGKQTTLKSFFGGGGAKKVVERGQGKEKS SALPKTSASPAPPPAPSPLASAPVGGDTPAPPVPKSVPVLTPAGEEPMSAPLSLAKSA FSSLDSPTPVLPSAEPGDKSASQAGKASTTATTAPASSKAKGKAKATSGPIDMTFSDS DEEPVSTSASKPKATTKPAKGGSNARGESESSQAKLSSFFSQPSNNAKRKSPPISSSS SKSKARRQSLSQTPSKTPAPSVSQSSTTQLKESGLSEEEQNLISQALATSEAEREAKI ATAAPRWDQLFAKKVAPLCSVHQKPCKDFIVGKPGPNKGKRFWLCSLPVGAGYDMGRS KRARVDVNPKFRCDLYVSISTGALDRRV L198_04836 MESEKTPEKVHAAWKEGSCHPTEQEVCLVSSDDVRFYVPDFLLR AHSRVLRDMFTVPQAPSANSGSAGPVPPKIELTDKLCESSTALSLFLSLTKGDEEPHA ILEFKEFEGKMVETLHGAILLAQKWDSPMILKDLALVLRYYSRCNYDAPEIKPLDVII LASKFSDLTTFSFETFCQVLWDYKPPSHYECWEDAKGASCPVTNHWGLVNFPKVNQKH FSSI L198_04837 MLLTPALLTLPLLAAAIPTNRGQLAFNQAPALSDHASGDIKHAN YEGFSLDLNELRLVQFSEDEPPVWMTELQKIETKAKGVRFMDITDTPSLGFSGYLLPS AANVKYSYPTPGNHTKEIKKIIKGLDIGYMKKFLTKFTSFRTRYYRSDTGKESQQFLL KTLKDIAKPHSGITIKEFPHPWGQNSIIVHFSPSSSSAKEEPVTIIGAHQDSANSWPF LPAPGADDDGSGTTSSLEAFRNLVAANYTPSSPLEFHYFSAEEGGLLGSQAVAKSYEE EGKKVRAMLQMDMTAWVKEGTEERVGVVQDFVDPGLTDFVEGLISEYLSIPSVKTKCS YACSDHASFGKAGYQSAFAIEATFEDSNTRNIHSTQDTFDHPEFSWTHMREFSKLAIA FALELAGSSE L198_04838 MSSPASISQRLATTSSLLLERSRILDLGMKPSPSSTQQVVRNLT SIRADLERLDARSPGLGGGGKGKGRGKGGEEGVEELGGRYDRLVEMLEGDDVGREKAK ALKRARSAPSPSPSPVQSPFHDPVSPASEDIPSFSIEPPTPAMGQKPFRDYPSDDEGE PEPDRTLSPHEMLSNQQMMMDDQDERLNLLSSSIGRQSTLSTQIGSELDLHHELIEDT DHAMDRTAASLGRARRRMDRVADEAKQHGALDC L198_04839 MPNKLPLVRVPPQALVHRFGAPASAPRSSALLRFSDDGWTINRQ VVKPEGWAIVGDAEGRKLAIETLLSRHRIQPLPPPPGPFPYINSLITPSSSLPDPTSP LPPAKAIRHLAFARPPSTGEFTDFTARYGTLLEEDRLSFRQTLKNLQPPPSDEAIERV ARLMRIEDLLDLPSVSFSSGQTRRGRIASALLTRPHLLLIEDPMAGLDIPSRAEVSRL LGELNADVEQGIKIALVLRGKGGEEMPDWITNVAEVRGGDVWIGSRKEYDVRREGREA HEKKKVTEVVEINDEIAGEPVVKLDKVSVSYGEGTRPVLKEVSWTIQPGSKWHLIGAN GSGKTTLLSLILGHHPISYSLPPLSLQLFSKPRRTIPTPILRTLMGHTSPEIYASFPR GMGLGAGEVVGSGFEGVFSRRMLNAKQKERVEYLLGYFEDLLKPLPRAGIAAPTSVHD LFKRPFITFTPPQQALLLFLRAIVTRPKLLILDEPSQGMDEDLWERCKALLEEEWKAE GGREMAVVVVSHYQDEVPWERGRGRVLKLDQGVATVQ L198_04840 MSQPGFLSAARYGKDLVKVARVVRDGEQHHVVEYVIRVLLEGEI ETSYTEADNKCVVATDTMKNTCHIFAKTSPYVLDAPIFALHLALHFVTKYAHIHKAFI DIIDLKWSRIAVDGKPHKWSFVRDGDEKAIVECAVDASKGVESATADLKVGVKDLLVL KTSGSAFEDFYRDENTTLPEVADRLFSTSVALQSLITLPPNIPLTIDNLAEIQKELNF KDLKAKVTTDVLETFATDESASVQATLYTTLQRILTSCPAIKEVSMQLPNKHYIPINL SPFGLENGLTKEGGADVFYPAPDPSGLITATVTRS L198_04841 MSARPAAAAAAAAATRLSTLTRHLSTSAPVHREIQKLTVFGAGL MGAGIAQVGAQSGMKVILADVTQKALDNGLTIISKSLSRVAKKKSPDDIEGFTSEILK NISTTTDAAEAVEDADLVVEAIIESIKVKRDLFGFLDGKAKAECIFATNTSSLSVTEI AEACSPERQANFAGLHFFNPVPAMKLVEIIKTSSTSPVTFDALREATIRMGKSPVTCT DTPGFIVNRLLVPYLLEAARMVERGDATAEDIDTAMTLGAGYPMGPFKLLDFVGLDTT SYIAEGWREKAKEADIPEGLVAPIPVIEKLVKEGKLGRKSGEGFYKYDQEKK L198_04842 MSLSTPSPTQTHLLSLPPSLQPLSPPHAALHLARLRLLLSIPAG SSFDPGELQLPVEVKNMGVGRGVVGGWCHMCGGLRKGQGGAAKKEKPQGKEKGEGKSK AQEIRERVRKRARSSSPPLTEEEQEAQRYARSLQIASASTSTKARRQPAECTTCGAPY VRPKPCQKTVEEFGSARRTRRKVKEREREKEGEEKREEKGEEMDVDVDVEEGPPPPLL TQRPFSHIPTASPNLPTYPLPPPSKPPAPPPSHSSSASPPPVTGAADKKKKKPKKSGL SKLLAENKERNEAQKGASMWGF L198_04843 MSNTTLDDTSPFITYGGDTWGTNHTNDALLPKYFDATFHSTTVA GAWARFCWNGTDITLFGARRNNHALYAVTVDNGPVVWFNGFSGPDQLQAPLFASAGLE QEKHQITIFNENNVNATKELIWLDVDYINFIGDAIDCAEVENNTVIPSGTIAPPAGMT ESAITSAISSTITSSSSYDIASSSDVESSSSLSSSSEISSSSSSEVSSTISSSIAAPT TLSISSSSTSTSARARTTVIMDQPNVSTNAASSTAEIAGAQGTASSSGAALSARMELG IGQVALIGVMGWYFGRFVR L198_04844 MSVLSSQNYLMEKDSTFCSKGTASMHLVRNLTIPPFSSITDDEY RGTSEFQVGFDDGVKWLLRVPRQDHRSPASDLEALVVSSEVTTLGILKKGGIKVPDIW VPQKIAQGAAPGNYFFQEFVNGSEILFDAFATGLISTSNALYEDVVLHYIGLSNIKRN VKGIGSLYPSGDADYVLPISRRGSFMRPEAPYFFGPFRTLRDQYLAHIQQLIEYTLDI GYIAVLRSPIHCILLLLELRDLVKDCELLAKEEDEFLIHHGDLNIGQCLKNEKGGIAS VLDWDWSFTHNYQGRSLRITFLQYAPMRGMLFQQHGRSDLAGCILQGRIYLRINEALS LESPYPMFYLEDPGPIIGIRSAFRDLGQKGVGGHVESLEEWQREGLGKYENEVVLAEM KTRHTEWEELNERGVDMSESEMEEVFEKELALVEAARKEAKVD L198_04845 MFDVVSWTALSSDATPLQPRNVPKIEFTDHLCERSYPMAFLLSL MVGQEAPTKGFEDPERVGGKVDLCRETIKLSRKWDVSPLLMRSVLIELAGQPPQGKIQ PLDVLILVSCAGMDNVAALLLRSLRPSSFDNTTADRYPYSLRLDRIPAAAWGMIGMRF IYGLSGAAEGYRGPVDLGQEEKYALGFIKRVARYDESMASYEE L198_04846 MSSSEGFASSSNQLVIDPALTNPSEDFAVFAALANGVAEDDGHS TLQAAIVASLTAHQHDDPQGQVTFGDEHSDHSTFDPYASANAQAGPSSLPASAPAPTD APVSSSNAPPPPPATSAGISTNAEGHLVHDPPPTGPWPTRDEAHGAVREYALTHNFDV NVTHSDVYRRVITLGCVKGGTYRCTRGPAHEQVRQRGKRSGKTGCMWRVTLRDGPPQE GQEVEGRWNFSGLTDLVNEHNHPPITPSQNPKARHRTITLEIKEFVYMEVDQGTPTRQ IWGKVQKAFPDCLANLVDIKNIVGRRKKELPTV L198_04847 MSSSIDPVDIHPMPPLKDSYVFHSPLPDDFTPNGEQDGWLMGID EAGRGPVLGPMVYAAAYCPLSFKPTLEEIGFDDSKALSAEVRQKLWDSFKEHAPLCYS AANLSPQGISANMLRRVPVNLNTQAEDATIGLIREALDRGVNVKECFVDALGPAPKWQ AKLSSIFPTIAFTVCPKADSLFKIVGAASIIAKVTRDTYVHNWEDPEDFKDGVLVAKK DGEEVVIRGSGYPSDPKTQAFLRNNLDPVFGYKGMIRFSWATVKVLLEKQGVECKWQV FKYLLVVSLTSLRVDDTTQPSASSWFGADADNGRPKVWRDVGISGVGEL L198_04848 MGKPGKGLGKRKAPPSNSKPAPKKAKPSAPAKDGEETTQPNGRP KRPADQPKKVKLRDKKVIPVPESAYARDGDDSDELENLGEEEGMGVESAGFLASLDAG ALSRTVKETKRLHELSKAQDSLPQAPKKKSKTPVREVLSDESDVFDSDPEFDSDLQSL GGSDVDFGSDLDQDDWDSGEEISEDDDVNAAYDDPSSDEEEEEKKERHRKKKETEADY ETQGRARWAAQAAEAEADDVEVGRLPIKLPTGEIQMVQGSTRIAKPPSKKPKPKPESE TEEEEEEEEDEGSDDEAQAHRMAGLKGKFGRMGIAEIVGKKGWKNVERLEAAKEQVAQ LGSEILAGGELVDIAPLLTRLSSFGLPTVPSLEEGQPALPVPASIRGLVCLSQLAVYK DLVPGYRIRELTAAEEAEKVRDEVKRLREGEKMLVRSYKGYLKQLEAEVKGKTNLATI CLRCLSDLAVSITHFNFSENIMGVLVGRLARKSWDSDSDIVLQSFITIFKADVSGIHA QTLVRLIARMTKERHYQVHPNVLFCLLHLRLRDELDQMRRGKNARGGNKEKKVDEIKG KVFKSDKRKKWATKAQKKKEKEMKEVQKELQEAEAEVDQEERAQVQTETLKNLFVLYF SVLKNPKRTPLLPAALEGISTYAHFINVDFFRDLLAVLRKVMADQAVTEVVKDEDDSD DEAAHIDPVGASQRIRIRLLAIATAFDLLSGQGEALNIDLSDFINQLFSILRPLCLDT GIEDPPMLPPTTKSSSNAVHTLSTASLLIRCLNASFFSRHSRSPPYRSAAFAKRLTEC ALHFPPKTAKQVIAFARGLISKEPKIEGLLDTEERMADGTYRPEIDDPQLTNPFTTSW WESGLLGSKHWDREVRIEMGKLRDGKVV L198_04849 MSAPNKPLQLDPSQIYLQISHSVSQPPPNPVTKTGHQLRYVGQV GELEGEGVWQVIRPDGQAVKRGEEDWTEGEKSVVEEVKKLQGVKGVKVMPEVKQRPKR SEF L198_04850 MSEPLSRIAVDHESDWIRVQKNVAQAITDSMEARLATMPGGKDG EAARVMRIELEERLGKIQERMWHMAKYNIQVNGQNYEDYVQATEGFDEVLDRKIWGLN TERVEHETRIAERRKRMPDAINQMEEDLEGRREEAEWLPDEQEEETDASNAQEIPKPE RYEEVRETFEIAAANLAEVARSAPIQLQRAQRAQTVQEEITNMPP L198_04851 MSHYKVPPSRALSRNTSAQQFWQQSTTSLKASHSASADLASLRS PPTNGARKGAHPYDSEEEVKRLQKEIENIKRSHGEDLEKERETSRCLRERVGKLEGKV KRRDEEVVKYQEKLKDKERSTKAVEAEHDDERKAWARDKSDLREWLELERGRVAKLKD ENELLRDENIRLKWNGATSPRALQPLQPNIPSSYNSLAPSTPRKSQSQSQPPASAPPT PLNLRKAHQHLESQHKALKAAYDEVRGKLDESTRHFRAYVKTQKEREEKRRARKEERK IRRSQGEVKPVLDRVEIPQVAEKAESHLAEEAALEPQSEAKQQISISPTLAENTEYTA KQISPTTVRLSTQERITAQPFSTHSKSTARPRTPPEEAFDSAAVSDEGRNPFFSGLNA NRSIDETPSRPPKTEKPTTLHKSLVSRNLKQTPAPVTPQAAGPSSVKSEVMGSKRKLA DMEGLTPAEKADVRKRLAKLPASERRDIYKDYKKGGRYLEPDQLLAQASDEYEINPSA NEGAKFAFHDVKRKKEDRKHMHGGDCECCKGYYTSVGAMPKFNQGPVWQDSNEPEDGQ HAMREHLNKSSRHRDTWVRAPTPPGYWDIGFPDTQRVQEHNQKADQMTREKEERIRRE AMYVLNLLKGCFKS L198_04852 MSQHQQNTPLTLVQLWDSYERNPIPRWMARSADQRLYDESPPFH PPERFTYYPLPPTLAVEKHACRLFKLALRHHYATIFLMDEDCSLIWQTWNLQRHHAQP SEEEYDECLDSHTMTFLHTGNKMFRNQAYGQAVSAWLQAWTTLLPYHVDALPHGALRN KLGFRESTIIGNVSACLLKMWNMAKAAGLGDEDTSSFEIAAFQCAWIVIDLREYARVR TVYSACRRAEECLKELFEDGKVYGRDFGPNAVGVSEMRASAAKYEAYAKAQGDAIQNA DRELLFRDLDKSLEVQVDRETTRAVGPLMWDRLGAGGDTSSVIEQARFLDEQPLDYGA EAQQAMAGNRNLVEREWWYTFEPMEPHEEAGKGSFWYPPSEHPTPRLTYLPYPTGLSF VFHLHALTKLSLYEIFFRQYHEDYLGEAWEKMVQEKKREPLREVPPQMRLHRAEKLKD EGNAKYKAGNHKDALKSYFEAWWTTVPYHYYGFPNELDALRDQLAKIDSVIFANISAV YISLLKAVATPAESRVPFQKMAYLASWVAAERKFNNSIKTMINICTVLPSCVKRDLMK MIRVQDNRLLFTLPRDLAAHQTAPQDILALTHSWTRMRDALGKAKNKDAIYKEAFTGE HSKEDGLMMVMLAALAGPEVLRDDVEQMKMAKGYFA L198_04854 MPSTSSFGIEDEEDTQFDSPEDEIAHYRDKYRQAIEMLSDTRAE LEEFQQSSKELEDEMEQELSANDKQQADLREKIKRLEQEKDEWKNKHIALQKMHSSTT SAMQREMDNLRVAASSLLDMESRYNRAIEEKTLLEQDVATKDEMEAEAQRLKDEVRDA NEEISILKDQLARAISTPPSSVSTASPTHEPIRKVSRSSLSEDSSSSPLPPPVPSKTD STHGSPRPRMTRSGTLSSIPVPSPSTKRFSHIPQSPSTTSLSRSTTSRNLAAAAGTPL PNSPAGLTRSRSGIPQASPARVSVIASHQQTKSRGFKLLHDLQARLKATDDKLGGAKV PRRNVSGTGATVFGAVGAVGRRVTSTSSTATARSKQTDIAPATTLNRSQTTPLAQASA LPRTGSVMSPGWVLVGEGDDTPTGPWSMTLPTDEPQSPLDPNFRSTSTTSSNRSLPMR PGIPSPLASGLARSSTTSGRLPSGRPPSRLAQSTTKRDLAARPMSPTHIPIASARQNS RPMSPSLIPTASSRPLSPEMWNRSESPALGFSALGQSTSASSSRLGSDSSSAPNIVAR PPSRSGLNKRNPIGRGPPPTTTSRLHHHHSRGSLSAIPAASTGIEKVERAKRLGRPSS MGGEKRSAAGEKGSGAMAGRPNGARPSSVHTFNGTPPPVPRIPSSILRDKGKKI L198_04855 MNADLKRVRENTLDDSPAPSAKRRLNSHAPSPVPTSASDDDGMA DWMKIVEIKRKEAIYRQMLEYRRSSEREAQRANDLEAQRRVLEASFQAVEVCWTQIVT AVRDLAGAQEVELQEEQVLEPLLDPSLPQPELEKALQSRLPTTRQLIARFIDLIARNA TRPASEADLQARCLKLEAEASTLRGNFTLLHSQISDLTESRDGVQKDLARTRKALDRE RMEHEKAHEEWKEERSQVGRSTPGLRTNGSGHATPNGHVDMDEKVLNGAGPSASGALQ DTSELEHLADSRLKQLDQLRAEQTVLQQEVDRLKILATHPSESAVRESPFFQVYLNQL STQLSRANALQERFSATEAKLDQLRDANGDFREAVLAEARAETEALRSQMAKKDADLA RLRGQRDEMNAEIMERRAKEAEKCRFTEQMEGLASTREERIGFLTSEVRRLKGKLAAE HGSEGYLSFLRDSGVDGDYIQDLESKVSTSQDQVNALTSQLERVSSDHAAAISEVQVR AELEGARRALARYERILGPNVDAVDDVQYLATELEKKEKERAGLEMKLAEAEAATNAL YEEVEGLSKLWESLDQTVKSKVLELRDGEQKITRLATEKAKADNKYFAAMRAKEAVDV EAKTAQRSVEKQLRLLERAQEVETSLRLQISINEKGMTSLKNSALDLQTQLATAVAER TQLELRLQQSQSAYTEAQQIMQARVQEAVQEKEAKARLQDEVDGQGRQIKKLKERQES VATAAQTGMSNNEWAITQERDKLLKLLKCSCCEQNFKQQVIVKCMHTFCKQCLESRIA SRQRKCPACGLAFAKEDIQTLYWQIGGFAACFVGGLAVSLLGAILLFLGATGAFAVLF ALGGVISLVGTGFLVGFKTQLEKMFKPVRVVATVLLFAAIIMTFVSAFVLPTVLCIIF VIVQYLAYLWYTLSYIPYARTAVKSAIGW L198_04856 MSLNSVVAKLVRAASGISQDISDADLDAHVAQLLAQEAKAKESK WSELGLSGLLGNSMLAGRDEPDPSLPKPNKRFLASIIRTVDGHNSALLRSQAEGARQA RQERMPDPPPVRGQGSSRRGGAGPAGRLFGGAMRDMSRNEPKREDKGSRRAREERGHG REEREERNDRSARSDRNKGKERERDERSPRSDDYHREDRRRSQRQDEDEDRYHSRRDR RDREEHRHRRHHEEERDRERDRDRRRSRNEEYRHRHDSSDEDRPRKSRRSHSPEDRSH PRSRHHDSGSTSLPSKSSKPAHHQSSTPLPPKDAPPPRPRSPSRSPSPSPPPPPKSKM DRYFEESYDPRLDFPTTVASEGIVQDVGWDNMLAKRRQSPSLSDDESAPPPGILPRKR ARSPDTALAKLERRERRAERKKRKDRRRDDSESEDEVERAWRKEKMVREKEAKGDEEG DLGGYEYVKKGGTRAWDVGK L198_04857 MSSIELINPKAESIRRTQALQVNTAGAVGLANVVKSNLGPRGTI KMLVDGSGQIKMTKDGKVLLSEMQIQNPTAAMIARTAVAQDEQCGDGTTSVVLLVGEL LKQADRYIQEGVHPRVVGDGFDIAKKEALSFLDSFRQTPKLDRANLISVANTSLSTKL HTRLATKLSADVVDAVLAIQPPAPSSENEYREPIDLHMIEIMKMQHKTDTDTTLVRGL VMDHGARHPDMPKRVENAYILTLNVSLEYEKTEVNSGFFYNSAEQREKLVESERRFVD SKLKKIVELKNAVCDVAIGSNEKPKSFVVINQKGIDPMSLDVLAKNGIFALRRAKRRN MERLQFACGGVAQNSVEDLTPDVLGWAGLVYEHTLGEEKYTFVEDVKEPKSVTMLIKG PNAHTMTQIQDALRDGFRSIKNGIEDKALIPGAGAFELACAAHLADNAKTLAKGRAKL GVQAFAEAMLVIPKTLAANGGFDVQDAIVGLQEELAEAGEGGSVGLDLKSGEPMDPVA EGVWDNYRVKRQMLHGAATIAVNLLNVDEVLRAGRSSLKQEGPGP L198_04859 MRDNYNEHGVDEVGVQVVWTFMNKWWEVEGRELFEEQPAEAKKG LRILDMAAGSGEATICLLDWAKSPSPSPASPVIPQTPTDPLVFLRSNPAASSSSRPAF VPPSRKPVEPPQRASASSSGARRKVFGNAIPPKLPEGFEIGIVATDPYTSPAYSDRTS RPCHPLSFTDLAQGQLPPSASETVEPHGNEPIWDIIICSFALHLVTDPSELFALLYEL SGKARWLVIVAPHKKPEIKETWGWSRWDIASWSAAGNEKLYAGKKTKVDEDDEEETEL EIVRDK L198_04860 MSLPAQPLYDLPRVIITPSSPPANGSALLPSHPNYPKRTQRNRP KAHRSYTAPEYSTKHLYPPSPFSLPPAKSGRRPLRSPLYSLAMLLVGLVLIASSVMCA GDSAEALLEMEQKGLRKLGDVGRGVGAKLGWDVKQEKEEQVKIPIASAAESAVTDDEE AGRVFLEEGRGVDENTIPLDDRLDTERLLEEQTGSMEMAVEELELSGEPSEL L198_04861 MAPASVASSSSSVIPQRNHIAESEAGPSTKTPSLRSRSSARSAA SSPISPKGKEREEPSDQADSEEESSSEDEEDDVTESESGEESVEANGAGHGDDAINGD EGHGEDEDEESEDESGDASDEVSDEEDSDEESDEEEEEPALKYSKLKGRIPEILAKDT ASTISVSPRFIALGTHNGMVHVLSYEGVKVNSFRPHAAGVTCLRMDESNDFVATSSVE GRVVIHSLTTPESYAFDYKRPMRAIALEPDYAKKKSRAFVCGGMAGNLILQEKGWMGY KEQVLHSGEGPIWAIEWRGNLIAWANDLGVKIYDTSTGQRIGFIDRGLSAPRAELFKC TLQWKDDRTLIIGWADFIKIVRVRSRPSAPGSGNLHPLTVELTAVYQVDCMISGIAPF GGSYVVLAYIAPDRYENEATDDRMEQRRKAANRPELRIIEKGEEINADALSLGNYHMY GCNDYTLVKSQKSGDEAFLVVSPQDVIEVRPRDEADHVEWLVEKERYEEALEAAEELR KKHGGVLDVTGIGLKYMQHLVDEEHYDQAAALAHKVLGQDVSAWEKWIDTFVQHQQLP VIIPHIPTKNPRLSKPVYETVFSHLLLNDKPALVKTITSWPTDIYNLPTLIESIQNEL DATNDDPTILSALGELYLINRLPAKALPYFLRLRKPYVFELIREHNLFGAVQDQAIQL VTFEEERKEAKKREEQEKADKGEAEKVEEQKDDGEAVPGEKSKHGAAIKLLVDHVHSI PIDRVVHQLRVEPNFLYMYLDALLDKDPQFCLPYSDRMVELYAAYDVERLMPFLRGSN FYDLEKAYKVCKERDYVSEMVFLLGRMGNNKQALMLLIERLGDVQGAIEFAKEQADED LWEDLLKYSETRPLFIRALLEHVGSEINPIRLISRIRDGLEIPGLKPALVKILQASNL QVSLLEGCQRVLNGDCAGLMGKLQKAQVGSLKAASTSTCVVCSKAAFDPLQPELALIY LCRHLVHATCVLPEDVELPRRQENPSVTYLLQGNDKSGGVSSQAWKTRALGSTLGYAA AVRVRVKQCPICEKQG L198_04862 MYQFPPGLSLHDAFFFLPPEVRAIVTHWFTNTPFTQSDLARMVR INKHHYAHFIPELYNAISLDRQNCETFFYPLINDTHDPREALDRHRYSLTEEWSKVNH GVTFIPNAAVRKLACLSCVQRVVLAHTDAVMRCKEAAEIAWYFNESDESSDSRGMAYS NSKLFPAAQWLAFGDQITQKLNTYFNVWMGPVIDLCGSEMLPPVIRAGISVCFHTKSS HKLGWEDDVLRKFSILSDVKDASLHNMYPTFVPCNLEQFERLRVYLPQEKPEGDASVI IEEWIKALRDVVTSAILWMARRGFRIDIYNTDITDIRAILANLEETPERVELWKEILH LHDSSSVESCPGCGGI L198_04863 MSATPPGNIKLPSRPTPNDILGGSALAVETPSPAHVHGSNLDTA SPNDAGFSRGTVPRPKGHSRASRPSFGSYGRGHRLASSRGTPGGIVDELLDEVEAAPK LPSLVPGIRPAYSTPLPVLPIAVLCIAMSSEMLAANLCTPFILKQVEGFFYSAGHEKS SDTEAAVGLWTGNLVSVFFITQFLTSLLWSSIADRHGRRAVLVASLLGSCIALVVFGT SESLPEAICVRLVQGIFGGAVGVFRGSIRDLTDETNASRAYAMLGFAWSLGGVLGPLF GGVLESPEYNYPGTFLARVVLFQEFPYLLPTLVGATILFTGAVLSCFLSWDGGVRGGR RIELQVEKDEPLVAPSSPDVVQHSSPAPSSRTAVRIPSTNFRRGVTSPGEEEMAVSHG GGYPELGKSAGTRRDSRASVGTAYGYGGIRSKRPTLAARAALEAARRVSTAVHRDDSD DEEDVKGNKALRVAQKLLLANEENTFNINDLWVSAAVAQDTAVFDDEEEETEEEHEED DELLNDTSAAASPSVGGASPSVSEDHRGSLGFGLTHRTGRVTSMGNMSLHRNLPGHRL SMSGRRFSTTSGHMPAIFSNTGVRTPPAVTAAYNAESPRTEVDPFFQGSPAPEHRAQG GLAAIAEAGSNAVDTAVTQVSEKMPSSFALLPVQMIVQYGLLALHNTIHDQVFLSFLV TPYKSGGLGLNPAHFSLIISLMCLCQLVYQFYLYPRLGPPLGRFTHLQMFRIGCALYV PSYLLLPLLHKVASAESEGGFLLMVGLVMVTALRYCAGTFAYTSVMVLINAMSPPQVV GLSNGLAQSTVSFSRFFGPVIGGAVWSASINGNPNGYAFGFYFVTIGCAIQCALSLLI R L198_04864 MPKATLDYSLYLVTGRELLPPGKDYYESLEESLQGGVTLVQVRE KNSDTGEFIDVARKTKAICDKYNVPVLINDRIDVHLAVGTAGIHVGQSDCPIGLARSL VGPDAIIGLSVGNVAEAQRAIQQGVDYVGIGAVWPTGSKDVSKKKMLGPDGVGEILDL LAPTGIQSVAIGGIHLPNLAQLLHASISPDSRNALDGVAIISDIVASKTPREAAQSLR AVTDSFKRARKQYASLESAFGSTLYKPGRSVGNFVERAVELMGVVKDTNPLVNQMTNN VVINDSANVTLAVGASPIMATQPRDVHDLSPAINALLINFGTITDKEGMLVAGRQANV NRKPIVFDPVAIGATAYRQKTSLELLSHWQPTIIKGNAGEIGYMAKSSEVTSKGVDAA GPGFSDPAAIVKALARTRAAIVVLTGVHDYISDGVTNLKLSNGHHYLQCITGSGCQLG SIIACFAGAARTAHITKHGEEWEKESQLVQGDMLGAAVAGVLVYTIAAEVAGVRSDVK GPGTFRAALIDELYNLTPDVLQARAKIEVV L198_04865 MVSSPAVFVLSLLLASQSAFAAKATAPPGRHRHPRGFRQALEER ELDERLLPITATTTIVVPMTATHTVWVNPTGGQVVFGDGGDDEVSSSGSVVSSASESS VSSSYTESSTEPTASASSASLSSSESLSSASETLSASSSLSESSTASAFVGAATNYSS IAEPTASSSSSLSPSASATETITSSSIEPSSTQASTNSSSSYQEPSSSSASAPNSTVL SEAESSATETASSSAFVTASESESTGAPSANETSSSSSSTISEEQSATLTDSSSSSAL PTESASQSANSSSGAVLAGAPNSSTAFEPSATLSEVLNSSASASETASASNSSLETTA SATESATSSSSGWWWWPTASSSASASETNSTSASASQTESASESLSTSEINSTSATVS QTQSSSESLSSNVSSTATESATDSWSESISSTPTASATQSSSSTANASSTASSSSSAS SSTSTLGTNLMLGYYPDWATNITPEDVDWDRFDMLDFAFAVPDSAGGLTWDDDSATDT LTRLVTSAHSAGKKVKLSVGGWTGSAYFSTLTASDSLRATFVSNILDLYDEYDLDGID IDWEYPGTGGADGNAVSSDDSANFLTFLRDLRAALPSGAIITTATQVWPFADTDGNPM TDVTAFAEVIDWILIMNYDVWGSSTTPGPNAPLSDGCSNSTQPLANAYAAVSSWTSAG MPADQITLGVPAYGYLQDSTASSLIQRRSVDTTQSLPHRRHAMSLKNKHRKRPSDVTV TNEDGGISDGQVMWYSLLSQGALTLVDGSYVGDGGFTRHWDSCSSTPWLKSSSSGQII TYDDPESMNLKAQFAVQAGLRGCNVFSIDGDYTGSAWPLTDSVRSGMGL L198_04866 MSKQLIVFDFDWSFVDQDTDRWVFEVLSTELRRLLQTRKSGGSQ CTPDVVNDTMKDLYERGFKKEQVLEALRILPFHPAMKRAVLAYKGRDANNSLLCLSNS NEVYISTILEKHGLTDLFADVITNPAHWSESAPDHLIIGRRLPATEPPHGCTVGCLAN MCKGDELSTYLAAHGGIDSFKKIVYVGDGGNDFCPLLRMRKGDWACVRKGMELDGRVK EEGAKSGLVAEVKYWDQAWQLDE L198_04867 MLRCTPVLNTIPRTAPVQGYKHFLTPLRKLVVDYDAEAPSQDGV RSWITKPLIELARQNPDVEVVVRRVKRGKSAVLRGHYVNGRDKVICVNRLDVNQVNKK VDILLNSSGAKVKHLKNTTLEAAPATESARGIWSALHDQARDGKGYQI L198_04868 MALPQEILPQHPSDPLLLLPVPNPLPPTPTPSLPSLLAALAPHL TPTEVEELEKVPIPVLTSTIRQFTRHSQTLLNAARAGVTEAREELDEVDVRLREVEYE RERVREETERCEEYAQTHGDVELPDVETFLSSVDQTPKESESYEQTLGILRLEHELGE ILKREAQVAQITKERDAYIKAKKEIKVKSDAVDVHLAQFARVRPISYSLHQVNTDEE L198_04869 MPATPSLLPTHPSSSASSGSTAAAYFPELGQSSDTAAWEAVLGS GSSSVGGNEQTNGVVRRRTPGMASSSTLPSSSTAASSRSASKTSLLSTPPLLSSPPSA SPSPSRNASSSPLPHPLSHATPNLPSTLIDTRPALQRATSKTERQVAESSNGGSEWSD WGSGRGSLDVLRMTMEEPEGGGGEVEVLIHGVKPHESLAGIALLYGIDLTTLRKANKL WATDPIHVRSHLYVPLDACRWNKASETLARGPGEGQVTLHPKRNKGKGKEEPNNSHVY PNGEVEEADESGHDPQKTPRVLDIVRMPSSQLRPFPRRRPPDPPSRSSQDLDRVIQSE RDDSTEGIIRRASLTLGREQGPSIIPDLSTLPPPLRASSADPGKHKSKTMVRLRPPQA TTPLQTSSTLANRLSSLFTIAPPPPHMAPLSSPGGGVSPITPLPGSGVSARGGGGRSS VDSSASGRTAAPLNDSRRGSSAEQAKGRREEMELVTRVREGVGLGLGMGALGGGQSFP GRKSRSGNRYGKAREKND L198_04870 MPPKKSNLTHVTFHFYRSAVFLSVPSDTKISTLKESLVSALQPF SSALPVAAPSSPKDIQLWEILEPQEEGGKKEVGNLEEGGDEGFGSRSLSALGWGGWKS LVLGFKGEDGTFGTPIYTLPDPDDGDVEAAPEEA L198_04871 MSFPSSGSFTPLSKFSLPAPLFLHPQACNPTMDLLVLLSPYPAP PLPGQTPHSMAHWAMRGGAAGGKGKDKDEGKTRVGLWRTGGDKVWEVHVGGRVGGMAW MEDGRFLSLLLVSSGGKTIDTLSVHTGQVARSVPIELDLPVLEDGQWIELEYTDSGLE WEKPYNGSAVMIIDSLPRVTPVETPKPVNVLPFMRQKETAPTKQALHPHLSTFPALLP SIPPATSSILNIHSPSISLTFLTGTFPLPPSPPSREVLEIAQVSDRIVGLLDTVLRGV EGMELAFREGEKLTMIHREELETCAKQQGTSILDVHADLFRFLLTGRAGVAVSEWLGS RMTPRTITKWDTTMDTSFQTIQKLVSESVFPSLERILLLLEEIQGWSLTPRYQEHLDL GDTSAIERSMHLVSGLAKLVDGIRFSAKHEQLAAADFMKWLRYDRSTEEPPYATHDLK LVWSFLQNGFTQSPLRTHFPDLLERPPKDLLDEAFVQGLARKKGRRGLNEVMDETMSV LTRPRGQPASRQDSHADSSIAQSMSISMAIDDPPSLDISQDQDISFASSSSHSTIADH TKQQEDPYAIQEVVRTMEKEVWVWSNSVVQECRALVTAAVGAASGEVKDRGMSRWEGL KDQRAVAQGNWLALVPPSMDQLWIIYDSADPLEARIGAFNLAPSSSPVSCLALQFFDD EELVLLLDDQSEDQKHLVTVRYSDLDGMNFIPAGLEDWDVSRLVQIGQREMGTLPPLP IARSRPIEFRVPLVTLDPTATYPPISIALNGRAGRRLGCLLVDAEEGKAVEVLDLDAD EDEEDEEDGEGGRTIDEGECMEEEEL L198_04873 MPHLTEEQRQRWREDGYLLLPHFFDAEETKEMLDEAKKLCDEFD IEGHPMTTFKTAADDAHVGDEYFLNSGDKIRYFLEPSSVSQATATTPAKLLVPPAQSV NKIGHALAVLNPVFRKYTLETSKVSSLAKELGEQESPRVLQSMIICKQPRIGGVVPCH NDSTFLYTDPPSAIGAWIALEECTPENGCLSFLPGSHRKSRTANRFVRAEGGGTTFED VPGVEPNTENWDEMEGWKEGACPPGTLVLIHGSVMHKSPPNPSDKTRIIYTFHMIEGG KGVKYDEKNWLQPTAEMPFKALF L198_04874 MADHHFQVNAEALAAERVASILSLRDSVLNDIQKSSSSNAASLA TFAREGARHIYLVDWQKKHILAIIETIKARFPNTTLTFIEGDAADAPFVKSVIDRVIN DEGHLDFFFANSGVMELPEKEKEERIDDDPGKFLPELKFIALKYASKAMSLTCPERGK TLPGGSILLTSSTAGVKARAGPLAYSASNASIVSFAQTGSYEFAGRNVRVNAICPGLI QTEMTAPFLVPNLHGDSGTPAEGMMNPSLRHGLAHEVAEVAVFLSSSKASYINGQAIN IDGGWTAGNPFMPRPM L198_04875 MPDHLPPFLATDPPPTPPPSRARLQALYAASSTQRSSNPTGYAA NAQWWAAVLEETLRSGWINGEGGDRLVVKVDEALSGRLEDEKGSRPAGLGGVVESLAT TTPPTLHPLPHFLSSQVPLNLPPSMTSRFIGRPLWWAFGQLNPFGGGSDKVEKEETLW NRYGKGKEYAHMPLLEQSAAAFTAHMLKNPILSYTSSLFDADSFLEEYGRTCFPMGPT AKKLPKGDHRLSRRDVEVLVKWLSRDCLLTVTDGSVIKVLDTDQVAADHPISEADRGA VSVMNALRKVELQITSIEQQITESQQKAKKHLASGQKNIALSYLRSKKQLEDLLSKRV ASSEQLRSVIRSIDQAKGDVEIMSAYETSSSTLTQVLAHPSLSPDYIAQTTDALADAM ADQSEIDDAVRAGGEVAMGSRRVEVDEDDLADELAALVEEEKQAEEKKVSEKREEEEA TRAALEKPDLPTVPATAPSLPTEPTVVPTVTKPAVDEGLSEEEKLWRQRYEESQRQKD AERKRFEEERLRREAKMQAAE L198_04876 MDYSSGSSTSSRQPFDGPGARSILGGDSGPPNMSQSQYASSSRS TLPQDDSSRDTATASPPPTAQIKRVGGKANVSSACGPCKRAHLACDVGRPCKRCINMG KQDQCEDVPHKKRGRPKVPRPALGEPYHRPPRANSSGDIGGVGKWRGPPVYEQPYMSG PGSYGPSMPRTGPSYEMESSHPAPSPYEQPFTLFTTTDFKILRASPSSFSLTGFHPND FVNLNLLDWIHPHDKHLIDMERNRLLSVVPYGETPLTSLDVTQAAIAQMSELELLSPA EGMREPYPNKNLRVAHADGGFSPFNVRLHLGGGFGANLWKPATLARVYLVVSFLAITR PPSYAPAQDIPPPNRRASQAGPLTPLTPIPTVPSATAPGPLPSFSSIAAGVEPPPQSR YDQPPPQQAYYPPLPPASMSRPGSSQSYSPYGRPGVPMPPAGYTSSRRTPSPPGPPSA YRTPQTAGYPPPPPVGMGQEYGMPAYGYPPAPVQGMQGPPPPGLPPPGADYRRGSHDE WRGAYATDGRDGPGPSGSSISDGSRRAWEL L198_04877 MAPQTVPCQYKTGKTLGSGTYAVVKECVHIGTGEYFACKVLNKK FLMGREHMVRNEIAVLKRVSAGYKNIVQLHDFFETTHNLYLVFDLCTGGELFDRICAR GSYFEGDAANLVRTVTKAVKYLHDQGIVHRDLKPENILFKSKKEDADLMLADFGLSKV LDDDKLTILTTTCGTPGYMAPEIFRKAGHGKPVDIWAIGVITYFLLCGYTPFDRDTQY EEMNAIINGDYRFEPQEYWAGVSETAKQFVRDCLTIDPTNRPTADGLLQHEWLKEDVA VNEVSASGKGVDLLPNVKAAFDAKKTFRKAVLGMMAVHRFQDHSASSSGQHQTDAEKE QFKREVEEYKREADKEDVQTVIQPGSGPATPQI L198_04878 MDVQLQKLKVTDLKEILSKASLPQTGKKDDLIKRIIENNLSAEV QQDELTDPDAIDGTNVPASAPEATTAPGAPNTTLVDPTDTTTIPPPVEPLVPTDAPSA DSNPLGAASDASAPEPELTADQKAMKARAERFGVPLQLPKPKPAAAAPAAPKEAAPKP AEKQKAGAIDKNPLGYSDEVLARRTAKFGAVEKKTAAPAPAAAAAKPEEKAPEPVDPE AAAKLAAEEEKKRKRLEKFAAPKSAETTEEADGSTEPDAKKVKV L198_04879 MVTLFSRLAVEPHPTESATFIPRNPALWLPPGVRSVYGGLIIGL ALQSARRTVPAHLGLQSTHCYFLRPGVAGHEMKYVVSTLQDGKAFMRREVKGWQKGKL FFVLMASFTVAPEVLPERIFKRGDVRKEEETPSKVSNSLTDMSQPWYEDPSGRNGEGR RVKEGFQVPFPGDILLPYDQCESNESFIARRLEATWDVPQWKRDFLTTWIEQRATSPF LSAVARLKPGVTCPPEFFVDGNAATRMTWNRPTTTADEVIDEEAFKAMIAFISDYQLV GATTRAMGINMSTTPHVTMIASLDHSVHYYPFPPDLDYRKPTLHVMEAQVGDFSTARA TARGRVYSHDGVLLAVTCQEGAIAWKGDGEPRRGLAASGVDEEDLMAKL L198_04880 MSNPQQAKQKSPSALTPKAHSPRPNLKPLTPTGGPAGNRRSSNE RDEIHHPVDPTVLAEAVSKLDMIRSAPPPMSAVPSPSASAATSRPGSPRLYPNSGQAT PTFGPIGSGAITPGTIERALSNEGKQSVPGTPHFGAQTELLRTLDETTRVIRQSSKAP SRAPSVSGIGTVVEKPDYSEAKIVVAMVGLPARGKSYLSNRLMRYLRWLEYNVEVFNV GQLRRSKARTSLEEGKGKVDHSATYFSHDDAAALKRRENLAEESLESLIRWLKAEGNV GIMDATNSTVDRRQWIKQRVDKEPGLQVLYLESFCDDPVVIAANVALKVQSGDPDYKH MSREDAEKDFRKRIAQYEAVYQTITEPDISFCRILNVGQRCSINRMEGYLQSRIAFYL MNLHLKPRSIYLSRHGESMYNVDGQIGGDSDLSPRGWDYARALPALIKDNIGENAQLE VWTSTLQRTQQTASFLPFEKKTWKSLDELDAGVCDGMTYEEIEEKYPEDYESRDEDKF NYRYRGGESYRDVVVRLEPVIMELERQDSILIVAHQAILRCLYAYFQSKSQQELPYIN IPLHTLIKITPMAYGCHEERYPLPIQAVDTHRPRPTKGGKTPGGHVVPDFNPGAGVAR DYYGDKKQGVGMGLKAEALSQALENEVEAGSLTPTAAGGADIQHE L198_04881 MSSYVGKGTVPSWDALTKETPDEEADYGDYTYASRDHVLFCIDA SATMHKPFPDYKDEQGITVKGKSALHQALEVVMRIQRSKVISGPNDSVALLLYNVDPS VVTESPGNHETGTFAYQSLRTINAEEMKKLVQLVAAAKEEYENQNPKEDSQEPEILGE TFRPIAKSEEMNIANVLRACMFLFRDGGVALAGKKRIFLITDQDTPVGADGNRAPART AIIDLGGYDVSIDTFFIDHPGHKFNPNVFWNDILQRDYGQIDEDEDPDADGLAKLEEV MNNLVIKYAPKRAQFHVPLKFGGKDGDIVIGITGFSLISEQTKGQPKKVIMSGPVVQE VEQKTGYTSSKTGTLLSANEVASAFEVGDEGKIRNVLEPNWFEGSDQEDERQEMLDDV LQEEKERRMREDDEGGGGSDDDKERYKDQAGQYMSQKGKSRVVARTRLQFSPEEVAQL RAVGVDPQIKILGFQSPDNARLEHSIKHATFIYPDEDKYTGSTRAFAALLKSCLKLNR HAIALCRLRTNWTPFFGLLIPQEEVLGSDGAQEYPPGFHVIPMPFKDDIRGKWPKATD NIPANETQKESMVNIVRRLRFKSGIYNPDAYPNPSLAYHYAQLQAFAFEEDLDPEDPD FVEELDRTRPKVKGQHKTAGAFMEEFNKAVELDERADRAEALAGGKKRGGKKKEDKVI NEEDLPNVRGMYLQGQMGKLKVAELNDFAQYYNVPLEGKLKANLIDSISAFLKAEIDG GGAKEGDGKKKARKV L198_04882 MPPKPDPSPPLPSLSSPDSRPPSAPLSPPRHRPRFASPSSALLK RDPSSLSSPAISRLSSPDLDSLQGPSTSALTFSEVNPLFIHAHLDRHGSLADWLKQRM YKARERPPCVPDSELRDAFSAEEDGIGTLSRQLAETAQGVRDMSKELGETKVRSRIQN VLIVTKNNDEGLINLTRDLALYLMQRLPPPSPEGASDRYGGPSQDRGMVVYVDIALKE SERFDAAGLERHHPELFQPMEPRRTPSSTSIGNLSRLSSAFTSASSANDGSKGQKGED GQLRYWTSDLCANKADQFDLVITLGGDGTVLLTSWLFQSVVPPVLPFALGSLGFLTNF DFNKYKAIVDKSIDEGIRVNLRMRFRCTIYRRKGESTKAEETFEVLNDVEIDRGFAPY ISSLELYGDDHHLTTVQADGLIVSTPTGSTAYSLSAGGSLVHPQIPATLITPICPHTL SFRPMLLPDSMELRVCVPRDARSTAWASFDGKGRTELHQGDHIKITASRYPFPTICAD KANTDWFSAIARTLLWNERAKQKTFTIKEEDGEDGQAS L198_04883 MSTASNPATYKFNHTMFRIKASLALSTDPKESLAFYENVLGMKK FYESPGGDFTNYFLAFPNGFGDIELTDENVKAQIFNREGVLELCHNWGTESDPEFKGY ANGNSEPGRGFGHICVTVDDLEAACKRFDDLGVKFKKRPEEGKMRVNHFPFGAVERDD AVVCEALLHDGVDLWG L198_04884 MSLFKIAPGVQSYDWGKKGSSSLAAQLGTTSIPDFKIDESKTYA ELWMGTHPTLPSKLSDKTLLADHIKAHPELIGTQVSSKFEDCKDGSLPFLFKVLSIGT ALSIQAHPDKPLARKLFDERPDVYKDPNHKPEMAIALTPFLSFLNFLPLPVLLLHLLT VRELHPLIPGSLTDALAGSLNLPTTLPPDAALFKPLDSSPTPEQKNILKDIFGALMSA DKGTVKEAISRLVARYEKKEDIAENEKDLVDLTLMLNEQYPEDVGVLCVFMLNVVELK RGDAAFLGANEPHAYIQDIIECMATSDNVVRAGLTPKLRDVDTLISMLTYEAAPGDKQ LLQPTPFQEGDKSTLLYDPPIAEFSVLRVDLGKEGGEAKQRAVEGPSLAVVTEGKGVV SDGGEKIEFERGNVIFIGAGKEVVWESKVKVEVFRAFVEA L198_04885 MSYAQRGGQFGSDSKSIYQARAELAMNWWKHHHEYPVLARMARD FLAIPASSIPIEQLYSMAEKFDADIGAGISEETLENFVMVGDLDRQLDRGVDPGVRFT GKRQADGSWEKAGSKQRAR L198_04886 MSATQSPQLFTPIQVGDYELKHKIVMAPLTRLRAGAKDAIPSDW AIKYYTQRASEGGLIVSEGTFIAAEHKGYDYVPGIYTPEHIAAWKKITDGVHSKGGRI FCQLWVLGRAADPAVIPVVYSAGSVEDPEPSPFKSDEVKKPLTPLQEEDMDRLVGYYE QAAKNSIEAGFDGVEIHGANGYILDQFLQTNSNDRTDQYGGSVENRTRFPLRVLNAVC AAIGPKRVGIRMSPFSEFQAMRMKEPLDTFVPWAETIAKAQPELAYVHAIEGRGFTVA EDQWYQNDTLAPIREAVAKHGKSIKFISAGGYTPEKALKHAEEFPGDLVCFGRSFISN PDLPNRVLKGYPIRKYERPTFYSQTAEGYIDYEDYAPEQQALAQDEAAPSA L198_04887 MLHLVLICGGTDWATNGRKDRVSNKMSSDLTTPHILRSLCNVKV SKVITGPSANYAIVLDIYGAAYLFGRLPALAKDPSGVVSENEPIKISPSSVGLPTDAK WVGGAAGRSHLLLVDSEGGAWGCGNNVLGQVGVAQCNVVEKLTKIGGAWTKDKDNKVV QVTAGHTFSLFLTSNGQVYASGSSENGQLGNGKTGERLVKAGKIAYDVEVPPRLVSGL DKHKVVEIASGNQHSLALDEEGYVYAWGYAGYSRLGLSDQKDRLSPTLVPQFAGNNIA TRAKSVACGPTSSTVVDKQEMLLMAGKWRLTGDGSTGQPYTRFNRPLLIIALVMSCKV IRVSSGGVTHFMTTADPEGGVMTVGFGQGVLYGELGLGSESGKSASKPVKIEPLGGIN VIDVAGGAFFSLFLAVPSQEVSELDRYPEHIPSATLCLVCQTDRKGDPPLECERCDQP YHIDCLTPALPAIPEGEWFCPDCEAETDAGPEEPFVPALGTKKGAKKAAGKGGGKVAE VGTPAAKGGKKRGADSAPSTGKLHLKCSMA L198_04888 MMVALPASPIDDNADARPATPRPLPPPPSPPLPRTTTHARSDSF PQTAGLTSPEWAEVDALPQRPSAKRNSSAYLRKLELGAAREKERFGLDDIRISTSPSY EEEIYIQLSPRSAHARLDDEAKNDQSPAMPSTSLPNSPPQGLHRQPSPSTPHVNVPTT ARMRHPAPPSPLSQVLPTERGTSGAAAPTPKRDQLGTPSLAGLNIPEASPCFIHSHLD RHGSLQDWLKNKTGKDSGQAVAGTSAAHRHASNATHPVPQSSQAPPVPHSHAPPSPSP SGSHSHDTKGKAAVLPASRKEVTSSEYESESDTTRDHRKTDIGLANGLPGYDEDQEID EEGNASLTKQLAETARGVREMSKELGRTKVRSRIQHVLIVTKARDNRLIKLTRELALY LMHKRPATSPDRNGASTSGSSRGERRDRGMVVYVDAQLRNSKRFDADGLQRDYPQLFE PMARRRSSSASLSTFSALSSAHPSTTSMRDAARQASNEEGQLRYWTSDLCSESPHLFD FVITLGGDGTVLFTSWLFQKIVPPVLPFALGSLGFLTNFDFYNYKDIMDRVVDEGIRV NLRMRFTCTVYRAIAPEENATAKGKKRKAIKKPGGEILMSRVDKGGWESLEGPTPSGP PLDMEEEGEDKEIMCYSTRPVEQFEVLNDLVVDRGPSPYVSLLELFGDEHHLTTVQAD GLTVSTPTGSTAYSLSAGGSLVHPQIPAILITPICPHTLSFRPMLLPDSMELRVCVPY NSRSTAWASFDGRGRVELKQGDHIKVTASKYPFPTVCADKASTDWFSSISRTLRWNER EKQKSFVVVEEDSEPPKYKTADGKEDSKKKVFDEKKAKTKFEGDAKGKGSEIVDAGNE EDDEDEDEDEDESFDIDDSVSGPSSPPHEHAQEPQVPPQQLHNLLNSHQNGLEIPNRF VTPYSAPPAISQRHLVEALHKAEIKAKDNEVGQRDNIRGDPSDMRVDGHHHLIPPGRS RLNSPTESTDASDTTQMDEKIREEKTPRPHNGHDHHHHHHHHHHHHRPGEHSAPNSLA ERVAECKAKAFAFFGQDDSASECSDDHSYE L198_04889 MAPTSRPSTGPGPPKKTRPSRFREELSESDTSGSGTDINTSSES SNSEEESGRGRKNTRKTQARQRQSSSGSSRQSPRRRTSTRPDTDGSSGDDSALTDDSD DNGPQSSRSRSNRSKSKKTRYPPSPPPNKKPKTKQGFSRDDFTKRLEQYERDNIDREP FLASCCGDCTGCWRSTRGWAGWRWMVRNAPFGLGLVMVFCGALCALTGPQLDWWAVEV NDYKFGGLGWCQGSGCQTGVFYTSVAVGSWPAITVPALLMCFGGLAIIQLLLLIFNIL FLRHNFQSCCTDLESQIKSRKSSQNRGAKSSVWFERTMTVCTLGNSVMAIILAAWASQ NNAQGKIGYKLAIFLMMTPLIWFFLGMSYRSRWAYYSDKLMSSASRSQKKVGGSWDMA YRDPDEDEVVASRTKVGTDRQQVVKPARSRGRY L198_04890 MDPTEALHDLRDFLRLPVQSIDDLSFRISSTLSALNIHRTSLAP SSITAKDLKAIERYLPAVQGALIQDVLPHFVGILDGDARSSIKALFSPPRQAEGLAIR RTIALSSYLTLPSYVKTPKSGETSLPKPMRSFLISLLSDLATDYSIDDIYYGIFSSDQ TKEGAEGMNRLRWEEALKAAVGIPAKVGNATGRWKIEESDDIEVPPRLLPKSYFDGFV RRFESLLYEVSQESSQDVAPLRQVLEKLASVGLLIAEPGSDLSRVPSLLTPLLPSLLN HLHPEPSVPLPPYPDTFLPSLILPLPSSTLASFTESLLAHLTHHLIDPALPLAPDQPD ERVKRAVSVLKSILGEPQVGGEAWEAVTRSVLSGKGKGGMSMNAWKEQARNRLVVGWV AEGGETAAKAFIEVVVDAWTDPKYVKFSMYSQQFRLTHMLLQAFSLLPRYWPWLVQLS YRGRFITSFQSYLSHPDPSIRRLGMVVAEILSELTIIEDDMQVDKSEADEIEELRKGL EVDEDVDEERLPKKPNGPKKKAESKRLRFHGMWEGTGDGKDECRWLRRGLGVRDADAT IGSEWEGWLLGWNDVPQIPLQPEEAPRPRGRIHSKPSRPPSPKTKKPRKPKIVMLDDS QVDDPLSGYASSSPSSSRAPSPTPEYLEEVANDPTLGLDEANRKKVQRPVYIGQLVAL LKEREKPECVEMALQWGEGLVRAKREFGTELSENAVAVTLMTLGLNDQFQIEDFDDKR QGILNALAACAPKQAAPFLIEQYFNPQYSLQNKSYILTALAMGARELAGLSVPQASRT TRAIDFPSKTLPPNLHKKYVSAADVPPSRRLEDNSQSQIDQAIDGVRDLILSKGVKKG EETVPEFARERRLRVGEPKRAKVADVNSLAASQMARATRPPPVVPFKAIAAEYFILPL VNRFWHHFQDASIREERAVQMGSRFRAAGAGLVLSPMAIEKFLMALALMLDAARHSTV FLSVICPEAMELAVTIGVRHPAPPKLSSDSELDDLSRAEAQVLSAALELALVCLDIAV DLDGGRTLAREKSALILAAGEWASEVFKTETEGGEVSAGQGGRNEGKIRAEAAGIIIK VGEIGDKWGNLGMGLGM L198_04891 MDHRSSNILPAASQKSTTPLAAVLDYHRASDTSVESTPAGGETL GVRARRSTESISSSISTQTTVPTSHRDTSRDPHSNRSSHSHRLSANDLPDELLKSLGL GDGQLDQSSGDSFSGISSAVDMTPSSAGIGSVSSTPAELSFGPQSVPFTLKRRELINE GTPSRPGTASSSYFQMRQDESYPVNICAVNSGSSTSTDQAAKTHPDKKSLSSWRRTQI LTAKRPELAPDTKPQAIPTLYGPHSLPYARNPSGVDATAADETAYLTHVFGLRPATGG ASETNTQQSARVASSGSDSSGTQSYRSTSTSSVYNNVIAARKKSRDARVFSGIETDET YLVKPSMARSSHQKTKSGPGMIGETRGGVVSFNFDTLPLGSPASQQDTDSVSDSANRA KNIVLPDQEGKRVVSESALLEPKLGTSILGPSKSFANLRDASRLSPIPGSPSGFNRTL NAASIRQAYRARIRSASMSRVQPSHLSTAAHPDDDTSSFATPIRIPAFVPIYFDPASG TYGVGISPEATTMPSSDDEPSRPTQAGLKIPHPQAPSAQISKADTSDNWRHKFRPTST VRPKKLYTPVSSQPQTPSTGGFRNEAGFSSSEVMTIDKLFEKYSPQVSSETSAEGPKL SHTAVAPAPASAAAHHVLTNITNVEISKSSRDQRSKSPQGVLRHRLAPAASIVPKGTP GAGRLLKKSVKVKDEDDENSLPPVPRDAVAAVSEESG L198_04892 MLNPKTFNVDVNGVYASLQGLAFPLSLAARAIESETLPSHTDLT ADQISQLKVTKWSPEDISEAIQRQSKFVGNMHRIGWLDPGRWLDHGTMELSLGIVLYH AWLDLAHSTSLKYFLVPRLDIDLAWHSHQLHGTGYKTDTERLLGQFLNHDDAAAEDTL GNGLKKTGELWKERFGYDYQPPGSD L198_04893 MSAQDQIRQNEASINSYGAKTGNVRQSDSTLESGVNENVTSEFP GATVQVGGTGRGNNPTIPDEEGGGLNPATGHQTKASDFEGTGGPEEKQEQTAYQRPGD QNVINFKEQGQ L198_04894 MPRASLLVFIAAAVFALFAILASYTTLFASITASPPLRTHFLRT MVNSSSLGDVYFLSHGGPPSVEQTESPPYKAWRKFGKTITANPPKGIVVVSAHWESDQ GLNTVNSNILNPLIYDFYGFPKHFYQLKFASKADPELQEKVVGALKEGGIPLSRADRG LDHGVWIPFKAAFGEDTTFPLVQVSLPASADPVESIKLGQALSKLRAQGYAIVGTGQV VHNLRDLCEFNLDLDKLSSTEMLLVSGKGLPYTGPFLKDTSKALSSSDYVSATLDLTK HPLYKKAHPTDEHFFPLLVAVGATSSSDQIEEIYKGVVDIGGRTVENDGLGWGMWRWT SAA L198_04895 MASDKHSERAPSLMDDHAVAHLALPNDEATLFASPLPIVGERKT TTRKEVWSWYIYYVGNSGLGPFNFAISAWQNLLYQAGWDPAFPKGTVACGDGSCNLVA YGQERSVNSIVLITNGLSFAFQAVIFLLVGSFADYGVWRPHITTGFTVLAWAVSFAWL GVEKPSKWEAGTALYIMGLIGYQGALTFWTAAFPGLARDLPEIKESEEKLASGDTDQK THDTLDMLARNRLANVSFLVCSLGELVVLAILAGILEGVINDDADSNTKALSIVCAYS AGVWIVCAIPWLIFEQYRPGNQLPPRTSYLTVGVKQIYHAFRLCLRLKQTFIYLAAYF FLGDCLNTLVTVIATLQNEVVSYDTKMLNYLLIDGIGAQAIGIGLFWLVQKKWTIPTK NMLLFNAFWILVLAAWGCIGITQTKFGFHNAWEFWAYQAFYGIAVCPWYAISQAMISE VVPRGKEFLFFALFSIIGKTSSFIGPFVSSAIIDDSGNTDMPFTFLLGLGVVSVGILA CVDVEKSRRECRKYLEDEAIRVYGMDAEDIGVVREAVEMDGLRKEDDKSKNA L198_04896 MPQQPEQTPPQTPPPRTDPSDKLAPQQATDHGGSATDPSAPLSE SRPDSVGTSVNSQAPSEQDITSFHPSSLSQSGDSRPESVAETGAAQYSRAVTQDVMEK KHVRPPSRKVHWGSRLGAELTSEDPNGRSAFPSPYASALPSEEDLVNVRRSLISIFHR PSVDAGSQRGPDMSRLGSHDVPSSAVSLDSYEGAAPPRRRQTSGRSSSTRMSATSSLF SNDSDWEDDDQEVTSAGVFSAMLGFTRNKVRHPKRRRRSVRSMIGLSDDEDENDRVTP RKRWTTDSLFAIPSRASNASWGHSRQGSEGGDSTFSTQEISARIREADARAARHKRQS RIPHRSEDLNQPYIPSSRYSNPIEPNVNTTMSQRFRAFMTGRSVPSTLLGAPVSGEVI ANGETSTEENHYRSLAALMITTNAFMGVGSPTLAHIAPSSGENAESAAGHRRVSWYEN IKEKDAAMEARDRQEDAALGLHHAPGDNIHKAMEEGRIGEKWAGRRTRGKRIQKEMAV TKNVSNILQRKKFIELLAKAVVNYGAPAHSVEAWLSSTADILGVEASFVYFPTILMVA FRDTDVRTTDLLFIRPSGGLELYRLSLVHEVYRRVTHDAISAGQGSRVLKRIENKTLP YSRLSLLFTAAVASGVAARVAFSGSFIDMLMSGVLGTIFTIVQFLVSRENKVFSNIFE IGMAGILSFVARGLGASKYFCYQSLASAAIVLILPGWHICLGALELGSRNIVAGAIRL VWAVVYTLFLSLGLGLGNQIWDAFGPAQPTYGNDSSSEAATSVTCNRDPEWLQWWYTE PNDWWLLLLVPVFAYSLAIWFRADWKSKDTLVMVCVACAGYVVNFFLSQKVEETNVVS AVSAFAIGVLGNLYSRIGRGSAFPSMVVGILLIVPNAIAAAGGLGSSSSGDSNTQEEI NTAVIVSIRMVQVGIGLAIGLFAATVAVYPFGKRRRYIFSY L198_04897 MSTTSSKTKVALRGGAILNNPRFNKGTAFSRQERAEFGLRGRLP YAADTLDEQVTRAYEQYQSRESDLLKNSFLQSMKAQNWTLFYALLNRHLEEMFPIVYT PTEADAIADYSHLFRRSEGLYLSPPEEGNMEEDFLDACEKRELQLIVVSDAEAILGIG DQGSGGIGISTAKAVIYSLVAGVDPAKCLAVTLDVGTNNKDLLDDDLYIGYREKRLRG EPYDKFVEKFVGLVKKHQPACLLHFEDFGVTNAERLLAKYREQHSIFNDDIQGTGAVT LAAVQAGVAVTKSKLQDQRIIIYGAGSAGLGIARQLRDAILLDSDSSSSDPRSSSSSD AKSKAAKQFYLVDKAGLVKKSLGRDKIRQEIEDDFIRDEEDWGGEETGLLEVVKTVKP TILIGTSTHAGSFNEEVVKEMAKHVDRPIIFPLSNPTRLCEAQPKDINNWTDGKALMA TGSPFPPVDIPGSDKKYIVAECNNALIYPGLGLGTILSKSSTMTDKMIVAGAQRLAHL APALKEKNPDLALLPAFGDAQAVNFEVALAVIEQAVEEGVSREEGLPKGKEEVRKWAL EKRWEPEYVEFEYDPEGLK L198_04898 MDSLDEQLHLQILTSLASSYAQSPQPGDESSIQTIVGGLPDSVA GTNSLDDQDEWTEFEINHAISYTHYVSSLSISSPYLATSLSKLKSILEGLLIQGSFTD APSSSYDTVGATLAGSHSQAQLCKSLVKALIWVAWARPEIRNEVGGILINLLEQVSES FAADGGQTFALVLLNSIHSVVTQCPLPPFETSVASSIISAILPLTTPVNLVKLVQKDS SAASSPQFRHSKKSPFIAGHPETPSGVVLFVSEILTALLAALIVPAPAPEQIQAAFQS EGPDSFKPVLVNQSDHLAAHPINSEGVRLSATVQGKAALEDADKMALRWWSDLMGSSH VDEERMLSARRGSLFAFGRNDQEEDAELLVAVLSLINTITLHQIEQDQSQLTRLKLLL SESSTVTDAKVLENAFTCTSILVRNDPSLGMAITHHIRRLLMTPLPAFEGEMAGFGKV PPAVIAAGKCLATCIEMAANDDLTSSILYSLLNPLSHGQGTTLPPGETSIRSLPLNQH LSRGDADNMTLKTTLTSGKWSEEHKRLVSVTAVQVVSRLALELGQNDVTHLTISMLLQ RIRGVDPATEATIVISLVPLALSSSNADLIEVYRAFSQISRSFHPEDPRRSRNAVLAA QTTLARGLDKRLDCADGYLVELLTLFADKGTQTQMIAMAAHGYDSRDKEQISQLHNES QLRVSDMKSWLSALLIPISTLLSHSCYHPNRSASPELVTHFRNLWFTLVVFGLSGHSG KKILSEHEWEALGLIAEKTPALVLESSNDFVASELEYNSVLRKDFAASIQQRQRQALS EYLPHQRHTYDIKTMTTPQTTLLMAIYDLEEARTLRHKPSTILQYFCNDSLNSSALVG CLAAIMQKASPVFLKSLHVEVLSHQLPPTVSQEVCVILVACTHRMSKVREKALVYARS LLETFSALLCDRDVVFTLLEILTLMRRACELQYTDEYSPVYELSSDKMDITLHLTDDY AVRNKIVTDLHAVARKWLTLAISRAPIEIQSILQNYLTESRDVLLIDSVEMGAGLALH FSKAISNLDRQESTMPSIGGWPSDSSNLVASQFASKNYFTGELSGARLILAKGLSDLQ EGSPTESSEEELVAFKSQMAQAVSNIKTKSKPIDIPQLRRLLLRAVSVLISSRRMDRD LLHYVVELPMTAFTPLAIAAGVDAWTWLLRHRPEAEVAIGGAITAGWTQTIILRKGFF NDSMNYQDPFEIPVEYSPSDKKILDLELAKARRLLRPHTLLIQILSSQFQAVKYRERG MTVSLIRLLMRSLKAHKEMSTHPLAREVRFSFLLFGFQVLASSKMEALLELKLRDRLY QAAFSWFAVRPQWSFGSNRVQVGAEIKLLQDFLAVIGDDTVRGDHVTSSMADRPPAFL VPGFASIQDYAVQNKDRVKLLALLIENEIMRLSVWGNPVNEAGRGSSVAAIGALERST AGPEWARLVQKAWKLNPAVAVHMGERFKNLPVQTEISRLVKSDPKAVIDVPEALHFLL GDKLENDAKPALKWLPVWAAAPPVTAIVYFQPRYGNHPLILQYAMRVLEQHPVELTFF FVPQVVQGLRADGLGYVERFIFETSKISQLFCHQIIWNMKANTYMDDNASQPDPMKPF LDKMIEMIVAGLSGQAKAFYDLEFTFFDAVTSISGKLKPYIKKSKPEKKAKIDEEMAL INLSVGVYLPSNPDGVVVDLDRKSGRPLQSHAKAPFMATFKVQKERIDLPSNSSIEIA DEAQMVKTKYDVWQAAIFKVGDDCRQDVLALQIIAMFKNVFNTIGLTLYLYPYRVTAT APGCGVIDVVPNATSRDEMGRAKINDLASYFVDKYGGVDTASFQKARLNFIQSMAAYS VACYILQIKDRHNGNIMIDGEGHIVHIGKSHHFGFLFDIGPGGVKFEPSSFKLNHEMV VLMGGRDSQGYKMFTELTVKAFLAIRPHADQLIDAVHLMLGTALPSFKGEGTITRLRN RFQLQLGERQAAEYMMGVIRNAHENMRSNVYDGFQKMQK L198_04899 MASIIRSTFSAPRAPLSFARARSAVPAASFSTSRARLNTPKTTP AEEAVLEPFPSSEAESPADRSMSSHQTPSSSTPKPDVVPNHQVLPKRTPLPSATASSS TPAASAAARKPSHIPQINSAATHRPGTQKSPYAGLRWTPEPVTFSYPSFSNNAEHAVP THTLHIRSTRNNVLMTLTDGLGPLFGTVSAGSDKTFKNAQRSTYEAAAQASIKMFDRV VEWSREASGGSRSKEPKIRLSYNGLFGSAKEAVTTTLSGPQGAELRKLLVRVEDRTKI KIGGVRARKPRRL L198_04900 MAALTHQQPKMEQFTFTLGKLDAGMAILLGPNAHLLEFPSLLLP TPSPGMPPLGPGSILTITVARDLQAELSAQQAFADLQSSILSSFTVPPTAPTLRLRNV TQTNVCVEWDNINVGSAEFRGLEMLRNGQRWGRVGGDSGLGGKKEKTEWKTGGLQSGE EYTFQLVLKTTAGTYSSNLIRTRTHTMDNLTGLLVCFGPVQPPHLLEQLRYTLRQINA RESPGVALDTTHFVCTSPIVGGDEHGRGGGPDVEYQEATRSNLPIVSPGWLLAVAEQR KLVPISQYHLPTLPSTAFQESNAPVFRRPSPLDLKRTSLSLQSPASSPTTDAEDVNRA PSPETVARMSMHASPSPTQGEFSPGIAEGKGRHDSLDSKKERQGSLDSNSRRTRSPKP EANGKLDRRVLSFKFPLATGSPVQSPTRTSPLSQSQTAFSVDQQIDTSTAPSSYSVHE TETQAEASSAISSAETNVEDVKRISTPPIVVDHAMPEAYEEPVSAATLTEPEPQDRAE KASTIDEAVKEFQQVVEGPKASPAVEPEHDAKADAVNSEPSAASVPEVKKDDLITAKE EQVTEETPGVPELFTPAPVKDAPTPVETPPLPETPELAGETPALVEETPAPVKETLDN AENENIPTPIETPALPETPELVGETPGLVEETPAPVGEDELSNTENENIPTPAERASE PVATTTSADAPDTVLETTAVSGISTPTESTATLTKSQKKKQKKKAKAAAAVPTPIGSG TGAVNGAEVDAEVEAESKGEGMDEIDLN L198_04901 MSNLAQARLHEERKQWRKDHPFGFYAKPLKNADGTLNIMSWEVG IPGRAGTDWEGGVYVVKMVFPDDFPTKPPKCKFDPPLYHPNVYPSGTICLSILDEEKS WKPSITIKQIVLGVQDLLNNANVNDPAQVEAYQMFKNDRPTYDKRIRAQAIERRPK L198_04902 MAFSAADLQKVRRPFSQASTVMERAGECEGLAVGLRDDERHLEL EGAPDPFPTLGAAPTPVAKKVKPATTAAVDTNSEDAFPSLGASSAPKAASKPATSLWA SKSSAVKTAAKPMAPGGLGRTGVPSAGSHPFAETFSIPSAELVQGKTTQETVKKVQEQ TGAIVESSTQMRTGLKTFHVRAADQKKLNQARRMIEGGLRKPVNISVEVPITVLGTII GPKGATLKSIIDATGVKIDVPRRDTLPAYSPKDNGSDDDDEENEEPQVPIAVSGSSIG CNDAKERILALFAHKMSQTSTSIKTIPSAFYILFSSKVSELEQGLGESKVSIKVPEPA VWKALEQQGQADIEGRKVSVDSEASIKVKGDREAVKAVVDAIIKEFETVSKGFSLKRV SIPKRQHRFLIGSAASDILAETESIVELPAVDDSSDRVVIRGPQSKLGAAQSLVFEKA NAVTVEPVDLAALFRRSTSEPVSHATNVLRYMRSAKIKTIAAAHPKVQIFPAFPSAIE NTGDVIIDVVGEDGEEVANVVDEIEALAKTIDPSSVTTVQVDHLAHSLLTGKRSSKIS NFEKAHNVTVVFPSASEESSNVLLVYNGPKNANPTGVFEAASNELSEIAKEVADIKTE VLEVDKKFHKYIIGQGGSVLNAIIGEDALVSVKVGVSGSKFPSTTLEDSVTIRGPRTE VDRISKQIAQVVEDGKNDAIVNGYTAQFDIDQKYVPHLVGQAGASINKLRETLDVKVN FDDAAEKEVKKGSKKTTVHCTIVGRKEPVEEAKKRLLAQIEKLEDETTESVTIKRAIQ PALIGAGGKYAIRLEEKYGVKLSFPRDAKDTGANPDQVTIRGGKKGVAAVKAELLEAA AFETESRQEASFKVPGKAVAQIVGKGGATINGIKNETGAQIDIEREAGEDGKTTITVR GDKAAISAAKEAVLNVVKELGDEITVELTIDSKYHRSLIGQGGSNLRELISTAGGPSE GYKQAGLVNFPRSGDEATDKVRLRGDSKLVKKIQEELEKQVATLKETVVYGVVVPQAQ HATKIGRGGSALQDLQRKTGAVIHFPGSRQYSSIGEIENKEELGDAAETDIVKVIGSK SAIAKATEVLQITSERPQRSDSRQAQGRGTPDLASQNVSIPAKFYHAIAEQANLIRQI RSVGAFLNIPTPAPAKSSPKRPSGDANGEALAAKTARIDLDGEEDVPDVQGEWALEEN YQDGGDEELEWAVRAREEDLERAVKILEGALEKAKQATHIGYLTGLPRSAFPRIIGSK GATISRIRLETGADVQVGKEDDLITITGDKESVLQAKDAIVSIVSRPSRESRERY L198_04903 MSELSKVPQEEKQRSLNIMVDYASSAIQNRARALIDVQRRKRHI DETTAALSQLASIKSADGTPLADPETDYKVLTLDDAESLLLMAGIRKPGEGRGDERIP HYHNWDANTASQWSKRIHQLSDNMEARRSMYAMGNDQAGDIREATSGLEKILNEDSVV NNNHEQDFKFKEALGFGRWRHLADGLRSQLIMETVLPKIANQIHSYTSQAHSANPETL PSARSKKQKLWDQSISEAESSIAYMGSTGWQVDPDDKAQFEQAVERSHTILSKKSEKA VQKIEAAFLLDDHSGYYDNTTFNETRIGDLQEHLEHKLPEVAHQKFVLDDGWKKLTGL PVDYVQIEQEMDAEAEALQGSQQSVATLSGYGIPQDILFQPSALEFTEQKLQRLQNTF EYSPDINAYRPSAAQSHVKNILALKSDAVSQLNTFLKAKVDQVDSKDIPRSQLLDLYE SKKRSLTGDRESRLKSARMTAALSRYTDIEKKWEKLTKSAAGRILQKVADARDGLEQI SQETQDIQDQSRYWRAQSDFATINALINESFQPAFDSKASKKAWLNEIQKDRTHAKSS LEKSWRLPRAASEPSLATVEEGVQTLLIDESVESIEPYLSLGKEAEQETATARPWRSR FNWADEDEGDADTTFDEDFKEWLAAEAKRNGRETAAVASTPASELHEAEPATSNVSAP VVTTNRWMRSDGKSAAQYLYEMSRASKR L198_04904 MCTFLVSALLHALLLSAATAHPHGLHQAAQHERRQDDASPSSAT SGSVPTGVALGVSLGLDDSSSTVSTSATSTTSSSDAVTTSYTPVSSLSFASVTAAPAI TASSYTSLTNKIPDVLSRSNEIAVHSWELGTLINTLLEVYNPGLMPFGWDSGAVDDEE VPWNALEIARDTISHYDWTGAPNATTGQDLSLYLDNSTTPQSHHSQALIGGDGALGDP VSLVPAVWLLAEYAQKDEVKSKLQLRDADDYAWAVGNQLNYLFNGPTSSNGTISQREA SFELWADMGYMVSPSLAYLGLTTNSSDFLTKSLEQFTLESSALLETIHDVYLHILNGD ASLWATGNGWMAYGLMRDLASVSSAGLDSAVGNYATNTKNTISGVFQGLFSQLDDDSL IPDYMHQSNATLAVGDTSGTALTVAAYYRFLKLAPELTNDNLTTLAEQAFDGVVAKID DNGWVTHAVDPMGTYGWVVYPDDLTLHSPEAQAFAALMWKARTDYGQ L198_04905 MSIHEKTSQEHLEHTKSADDIDRHLAVQVPASLQGLSVEEVEAI DKRATRKLDLLLMPVLLVLYVLNYLDRQNISSAKIAGIMDSLSLTTTQYNTCVAVLFA GYVSLQIFSNILASKIKYPGIYICLMCALWGVISGCTGAVQSYAGLAVCRVFLGFAES AFFPGAVYLNSVFYTKKQMALRTAILYSGSQIGNAFGGLFALAILQLDGAQGLEGWRW LFIVEGIITVGLALVFATFIPNTPLTLRWLTAQEKDQLHYRLEVDRASKDATDEVTTW DAFKMATADPKTWLLCTVLHFNYVAASVTNFFPVVVQGLGFDRTTTLAITCPPYVLCC FAILIIGWHSDKKNERTLHIICPFIITIIANIIAISTTKTAPRYVAMCLLPASFYGAT CCVLSWISASITGPAVKRAIAIAIINSICNIPNIWTSYLYFKSPQYVAAFGVDLAASV LTIIFAAWTYMYLKRQNSKMEEGAALPKSGPTALQVESGFRYQL L198_04906 MSANSPQPIGTTTQAAQDSTREAVYLPPSDLAVKYNKGIQRPYA IQQHPSASQPGSTKIKKIENFYVRPRWLFVRVETEGGVVGWGEGTLEGHTEAVQGSLR DISRRLVGWDAMNIEEIYTYLYRHRFYRGGEVLMSAISGVDIALWDIKGKVLGVPVFE LLGGKVRERCDVYGWVGGDRPSDVVAQAKVRKEQGFTRVKMNATESVNWLDSPHALDE TIQRLAEVKAIGIDAGLDFHGRVHKGMAKQLAAGLEPHRPLFIEEPLLPGLVNELKDL YNKINIPIALGERLFTRLDVRPYLEAGCIDIIQPDIAHAGGISETKKISIMAEAYDVG VAPHCPLGPLAFAASLHVGFSTPNFVVCEMSWKMHYNVGADLFTYMKNPEVFKVQNGS VGLLTAPGLGIELDEEMIRKEAKVAERLEPWINPLFRGPDGAIREW L198_04907 MARLSLVPNAVLAHVAVHYDLDRLITPSKSIANDSYTHRLGTVF EAWIGAAWIDAYHRGEEAEIWAWGAQFYDLSIWQGLSDKIELANRETVDPSALELGAR SQLAGSGGWLGRLLGPRTSSFFTRSKIASDAAAPKPTWSRWVKGLLDGSQAVDPSSPN IESPSIETLPLPTADAPLTGSATHSTASPNAKGSPKKQIKVVLREKTGWSSLKRPPEW PHPESYVNLSEFPALLPFMLGPDAELLRGEKMARQGVAVWMEQSKIRLGTHCNSSRTL SYIVSFMRRPAQMAHVSLYYNLDPAIWLPHRKEERDFRAYSDAFYAFLGWAKSQAKTG GHEKDFDMWLDKLVSPEAWPRMVDTARKYEKTMSRMEAKMVQRAAKSVAAKPSKPSIV KRSASGPSPSTAKPAKAPLVSSASSDVIQPETSPVSHNSPNDLQAPPPYWHPPWLPLQ TRKLPAIPSFPMFDQQPSLDGLWQRESDVYKEQKLKGHNAINSLLTTGGHLMEVRGGS SKQNIAAVTGIMLSRQSLAHIAWHYGIYSNHNMENTSPNKTQNAAGGMLKTYIGCVLQ EAEAAGKRQIVDQWLKEVFSPEVWTSLKSVLGLRSRDLMGLSRNPPRTKVKQAICQVK AAPCTSPTTDAADSSSLGVTLPGEILDDGSVIPPTSSSSTTTSPETLPDAKGKAVTTT SENLPEVSRKSPTAISGTTMSPASQPAATNTKSIGKTADASAASMSPNTPPAIASEED HMTATPLKTPRVPPSHWQAPQLPFDYDKLPFTLPLSKSSQSVDSYPFAESKGEFAAQI SAGRRVVQRLLIKAKYIRKAYGKDDVHPAVIVRHEVNLEAAALGLVSLQTMSHLALHY GFCEPKERSGMTSLSQQATSGKFLACIGFISEEAEAIGAREEVQTWLKSVFSPDVWPT MAVSLDKASSSRAMNNHNTPSDNVDSKSNHKSKWLDPTLVLNTSALPLLLPPSPPSLG AAKDDRTSLVLQELATSVNGLKTLLFNRFKASQSMSGIAGDCTQELTMPRNLSRLARF FKLYDLSANVSQANAARSFITYLRTFEPTQSSAKCVLPWLDVVFSQDVWPDLITVVEK KRHLAREEQKASRELLQEEGALQHEQSTIKLAPADVVEQTPLPEADVAASPSTADSDP QKPKEHGGPSQRPSLNLKDVANLLSPSAFDGVALSIPSPISTSAAPRPHIPEHKLVWK LIPKAAPLAADFSLEEDKKLASENAKQSMKRSTDKMMKKKEGKETAAVTPKKKDKGEK QEPKKEIKKTIKKEVKKEVKKQVTQVKQVKQVKQVKQGREQTNEQEEKKATGKKGQFG LKAV L198_04908 MSNLAQARLNEERKQWRKDHPFGFYAKPIKNPDGTLNIMKWEVG IPGKVGTDWEGGIYVVKMAFPDDFPTKPPKCQFDPPLYHPNVYPSGTICLSILDEEKS WKPSITVKQIVLGVQDLLENANVNDPAQDAAYQAFKYDRPTYDKKVKAQAIARRPA L198_04909 MTTSNGNSPTRLWAGGPSVPLITAMNDDESINYEALAKQTVRLA KAGMGIVLLGTNGEASHLSTEERKKCTVVVRKALDDAGFADAPLLVGTGAGSAQTTIQ VTKEAAEAGASHSIVITPGYFSFAMGRDRQAIKDFFIKVFDESPIPVMIYNFPGAASG IDLTSDEIIELSNHPNCFGVKLTCAMIGKGHRIAAYTQSEAYLAKQSASLKASTVTGQ FQVLPGFSESTLPALISRHTGCITGTGNAIPKTIRKLWESSVKGVKGDAKALAEALEL QDRVAEADWTIVKAGIQGTKYFLDHYVEKGLGGPARLPLGTISPETKKLIEVDLKGAW EFEQSL L198_04910 MDKWAPIAPSNPSTSTAHLSSRFASVLKPTKPGAHNAKSSGPLI GRWPEDVLLRIVELAPVCDLPNMARANRAFAKIVKDERGWEWRCKLLGLKPESKPPTL SPRRDQTLKTSPLRPKKTSFSAQHSSPLIDDDFGDFSGQNNDTFEDVDFGDFEVGKSA GSPDPGVSSGGPKEANLLDFDDLPLPSRPTGKQGGQARTTGFFALTPSAPRHVVAFPS SAPGAFYNAYKQHHLSLVPLCHHLRSSPSPSSTLALLFPPSPITSLPPSLPKQSEVLL SLLLFLTPQLQPLHDWGFLRQALLTAADRFDSTCLVAFEVADGRKDEEGMKVAAESSW AVWEAGGGKREQWECGRVWVEKREVFYDSAKWDSAENIIKAQMPAGGTTRQLDFTPMD AFISHVLEAFKIDAETAHRVFPVNARVILSFCDRLANEVIGDYIHPLLSQARAVSQAL FLRATAATFVQSWKLVDVVMEVLGSKQKVITREQVEDSVFKMFEEHLDEYLDDETEWV QQHLEDICRAWETQLGTSDSPTQTKSTPTFLTSSNPDQVKRNVLASFKDALLLPVTIV PRTVTFGVNAIVTGGTQAVNGLAMLNPQKWTGKNGAVKEGEEGGVVFEVSDVDEKAAG DMGAVDVGAGIEETEDAEEVKEALDTSLAVPETNGHSSSPSQLNPRSVTPDPSDDKSF DRLQLLVSLDTALELIQVDRDSLKRAETFARYPGKAGYKVRDAIEEVFILLLKAAGDR HIAPGFRIATNQMSTYKPAEHEETTSVAPLLQFFELVHIGDTIQSMVQVYFDQELSPY VDKTDFLNAVMREKKRFEGVLDDAVAAGLNAGIEVLMNQVEHIIQVKTAPREYYPEAD KPLDLGPTEGCKEAVRCLEMHCNLLRGSTSKDVLEVFYQEVGIRLETIIQRHLKRQII SLEGGFQIIADLNAYYAFVASLKQQRITEDFSNLKMLGNVFIVSDAKDLAQIVRDVTR YGGTFTPEDIYEFIQRRADWKKIEKTVDKAMYALSVREDCVIM L198_04911 MYDWHNRGWLAKSEAWEVNAELESPSTSLLLLPLSLIRPGNVDV DEPYDDNPAGEGRSDSALVLPVCDPAFDEFGAVGADLDKDKDGLGGGGKLPIRMYLLA AAVSGKD L198_04912 MRRDDKRRRIKKSDALEDSMLRDESERVHELDLNSQTANQSQPG QYQPQAAYQRYDPANASNNTSNGNQHPNELYPPAAFSYSGLHPTPQNNIDTTPGSIIS NATSASSAISPNGTRTKRRRIDPESRTIVNASLSNEMDALEILASAATDGNENRGQGS NGVKKVSWGVEEKEKTPMRELSAFPLIKAGIIDEAGLHEMVQLFFQHYHPTLPIFQTA RIPRTRAQLDALAANETFLLTCIIAVASRHPPSPTYAHVHDQTWSILRDALADYSFAG LQASVGFVEGVLLLAEYLPKEGVRPAGEVSMEMFGGGGRGQEAGGIHGSDNRRSWALI GVAIRAAYLLGLDQIALEIDELRRTPDVERARSVWTWCYLYDRTIDETGLAFWSRGPS LCFVGYSHVSQTGQAAARLNFPYQLSQSSGSSTDDQQHDDSASLMQSMVELTQVMTNA HGILYPSRLRTETLVRQGEYFLFLDSFKRALDSYKIVWQPKKWSNPVLEELSWMTFHF VRQVLYISSFGYSAHIKRAQWRAEEDAAAGRERQPVQLFPRGTAASPDALYIYDSISA ANEVLHIALRLGQMDALRYLPSRYLINISYAAVFALKSNYTETGEGKENTFKTRELVD EVCHELGVSCSDKDHLAVRLLRYGHMLRMLSKKLEQVHEAVSTAPSRMPSPEPPVTAR HAQINVNAIPQEQGRPWPEPQPQTSTSDIPAALTPASFQLPPFPDMSFIHPGANVYNP ENPQQQVSMFDYGDMGQFDIDLKGFWDEFNLGEGGGFPFN L198_04913 MLYLTADKFPVFVPFGVIGFYRYLWYCIRLLARFTYRPIPLPHT PTYVASEDVTIIVPTIDAGEEFKEAARSWLVGNPKEIIIVTENKMAPPLQDLANAVDP EKIRVLTVPAANKRLQMAHGIRNTSTDIIVFADDDAIWPPQLLPYVLACFEDQQVGGV GTSQRVQPCNERMTIWEVLAAFRLTIRNIEIASSTHIDGGIPCLSGRTAAYRTIILKD PEFLHGFTNDFWLGKYHLNSGDDKFLTRWMVSHGWNTYVQVCKEAELLSTMKPNWRFL LQVLRWTRNTWRSDIRSIFTERHVWTKHPYVAYTMIDKFINPFTLLVGPCLVIYLIVK STRSIDDGGYHLPAWDIVVSYLVWLMITRTLKLLPHLWYNPTHIIYVPAFILFGYYFA IMKLYAAFTLYQTGWGTRTGIGNVTEATEAAEKEQLEARQRQLDAQQQHPPHQHGAAQ VYGGQQGYSDQGQGYAQYNQQYPQEGQREPKA L198_04914 MHQPPNTNHTPNHSPSHHQTSNSLPHHRTTTTTATQANHVKTPS ADHLMVPERADTATERRRRESLDTIGRERRAAEVAHQVQHHHQGQAQAQPAGKGVKSF PSQAQIYAQQARPAQVRALPNVPPAPAPAQVQANPSYPQPRPQPSRHLSQSETIYPTP TAAQQAFLAERLATPSHRKVPEPHTSSASRRRRASSGSSGAAVPGMTTLSRVDSDRSI RSRVSFDSTRSRGSQRTLRSDLGYESDGDSQPPGPTIFFDHSKNGNGGLAGTFMKGPG GEPVEVIGLGTKRPKHRTYASDMLKPILRSSSRMTISRTNTAASMRPQNQALGQQVAE KPLPSRPMSVVGSVMGSFKGQKTLIRTRSRSKPGSGEREGYEQVERAPVRAENGPRGS IDRHDRSTTHLPAGDDQGLGLRNIFSGLSLADGQPPMSAPPMSFGSNRALIKPADNLF EYLRSVRVPLWDDWPHPTGARPIAGSGSGMGLGMGMWPGGGGRGRRGKWEDMGWEWGR RLEEAEKAKPHRVLRGWEGSSRGWERDIIEFQKENVPAYPIDLNNRWGIQIFALQAKG YDTLEFYDDSLDGAEDKGLLSWLSGSILQTAVSTLHMLRYSSQNFTFHLIPSIPPPSH HPPHNGKHFLWDGFGSMVLVNKADDTDRSMVIEIRPPSVVDAGVIKEFAKGRGGGEWW AMDREGVLGEVGQANLLQAQVYDACVQNQVYFFAVTNLKLWVFGQFSPDYTCCTVSPV LDRKAREPSLMQCLTTWVIRSVDERPRVTREQRPGSVRRTASRLSRRNSQPHPRPAPA PPDQAPPHYTEEQPRRHRQHMSLPAQNVFDEYNRSFVQPHVPPPHSMTPQSSMGTMGM GPGYFDLPPGPGPGLNGMTPGGGYNQPNGMGFGVQNGWGQRPFSPNGAGNMPYNNGVS WYSGGMFPAWPHMG L198_04915 MFGALRPTSLVSSGLLWKNPWRLSATRKANQRKRLRRVDDVISM VSESGVVTKSLVKALELPTEAEMPPKGESVFESQVGKGDLSRGEHETAVKSTGNVFGG WC L198_04916 MSSLLEESHPAQSVSNISATSKPPAPPPSPIRTYTLRRDICAVP TDLLIQTFDDRVLVIVTQSGKVGCLTQATLPQFTPLPTPPPPSQDLQQIPPHLQILSI LPPPPPQTTLTPLLGSPPQQALHELYVSQIATLVWWALQTSGEARKGVVVGLALKREK GEGRADEDGEEEGLVEKERERYAGILDLVSQWPGSGE L198_04917 MGVHAVVANTRNEQQPLLQPYTPPHPSATAADIYQSYPSYAQAL KDGDKQNGESNGAGPSRVTLDTIRRDSYGTASEGSTTGGSWKGKEPEDVERGREMDIY DPDEPFEPVIDVTWKYMSRLYLLVPVFSLIWFGLLVLLVTFAWSVLKPYQQHILIRSR PPSEKERRAGQHYPLPFLFNPFLVGIFASCTVQTLRVPIYVIVSWFGMSITSVEYLSR VVHTVLHELLRLSSLPLSPASPTSGFHSSYYLGLGWGIAEVAWGTVQGWEQLALYQEV MLPSASSVNDRIWLESSQEEEEAAKRDGMLSSVEERDEDENSLLEDEESDISSEDDVL DETELGKKVEILERMRERRELEEVMGVAFPAIPFALHLLWRLDTLLLNLGLTLILSSF YFNSTPIYHHSLSPSPNTLSALGDHPMVPEREPSQWLWLAWAAVVLVHVVVNLVWRGV AKFGIGAVTWGALIVALGSVFAGLGFWGGLV L198_04918 MSYASYNAPYGTSNSYYSAPAAPAEEPHRILFAGLPNDITATEL RDLIISKPLSLPTLTTTVTSLYGEDGVFFNAALVHVNTWEEAEKIREEYNGRDIDGVY ILQVHHILPSYISLPLSSNVSIPSGPKAMQAPAARSQPSARPAVQQKAPRKAGQQQQN GKAKAGQSNQPPGAALLSRISGKNGKPAPTPVAGKKAKAAAQNKSSGDNLLARLGSTP GQASKSKKNKNGNGKAANNGAAKVNRAKGKASGMDVD L198_04919 MQLHALLAILPYLVYVSAAPAPAIAKRDCPAKGSASAIAAIDTL ALGSATSSISSEATASTASASGIDLAVIGGGQSRSGGRPSWGVSANAALVETSAANAT LIGTSVVEVAVTTTSEAAVETSPTIDISSIASPINTAISSALDASSSILSSEAVQTII ASTTPITTTSLEPATISSTQAAATTSAAATVGDSSIAVGFGVDSTGWQGLSGVAGLEW YWNWGLVSFDLPDSQFVPCVWGSEMADAFDQATIDAFPSGTSHIMSFNEPDQTIAVGG SDITEDEAATLHQAWTAQLGASSLKVGAPAVARGGTTWCNNWLTACGGHCEYDFVPIH FYGIDPQELIDYVTSFPADGKPIWVTEMACRDFSTGYICTADEVSIFINTAVTWFRGE GAQYVERWAWFGAMPKYADDPNGMENADGTTNELGTTYLAL L198_04920 MLSTIVLLSLVQALALVSAAPAPSPATKRANINPIGLGSDDTGY SSYSGAANLQWYYSWALDPWDVSGKEFVPMVWGRDSVSQVSGRTREEASYILGFNKHV GGSDISASDASSIHQQWTGALSQSLKIGSPAVARGSTDWFNNWISACSGNCDFDFVPI HFYGTSAADLISYIQSFPRQGKPIWVTEFACVGYGTNYYCNADEVKSFMDTAIAWFRG DGADIVERWAWFGAMPRFASAGNANGLENADSSFNDLGNHYLGL L198_04921 MSATATVTHSGQQEDLELATIPAVVAREAGERDPYSLRNRIVED DVIDNLRKRKAGGKKIANFYEGQNERINRMLKPLSAHTADAEQSAADNALKVKIAINV SFAMNICLAIIQLYAAVSSLSLAMFATCIDAVDPFANLILWVAHRKADKADENKWPVR GSRFETSDGNIVYGSIMGGVNVILIVQAIQEFVTHKGSDLKTFHLASIIAVAVAFGVK FALFLYCMAIRKSSSQVQVLWEDHRNDLLANGFGILTASAGAKLAWWIDPMGATIIAI CIIAVWTHTVYEQFTYLAGITAPTDFINLVTYKSMTFSPSILSVDTVRAYHSGPNYFV EVDLVLDPHMPLWQAHDIAQDLQDQIEKLGNVDRCFVHVDHEISHAPEHRKRE L198_04922 MQSQPAASSSSSTVPSHADRLYVGNLAPTVDEYTLIQVFSKYGK ITKMDFMFHKTGPLKGKPRGFAFIQFSDKGDALKAMVKLHDRLLRGRKLVVTFASSAP PDNTMLQTKGRRAEPPKTTTLSLLKSRRKPQSAEAQIAAMEAKLATMAQQRPAGDWTP GSDLNSPALGSSASGSPAPLDVPMGEEEGKRAALELEREIQGELGQRKESVNGAEGDS GSSTSNHSVEHAEAKKDTKDPFRRGLASLPKKPLV L198_04923 MASLRRPSAAPPRGSNTGPIQSGPRPPLPNGNQKQKRKSKVGDK IKKRLSMRYTDNQSFSIAPPMPSQPDYLDNDPYGGMEELPPDDGAGGTMFNEYGSPEF NESAFTQPLRVTDRSSDRQEHLADEGIRRRGATDATANEEWDLTQLGDEKLDLQAYVK KVLTGADEEEKKRLKAALLRERQSNKKELQRSVFKHYAEFVTISKEISTLENDMLELK ELLGQWKDLPQLMGMEDTLAPTLDKNVERKRTQRNSVLDLQNLYRTQLTQLWSIVEGS QKYLPVVPGRHLVFESHNVIELNAATYKPKQSCSMFLLSDVLLIAGKRRMKGGGSAEV GTQDKEKERGRLVAERCWNLSELVIVDVKDGGDLVNVIKVQRGKEYCVYKTNKSDEKR AILSAFKQISRELNEKKRKDNEKEQERRKTMWLGGNDKGGDSLSVMGTPGRALSTIGL SMTNSKDLRWIDEFGDDLTMAIATRDWEKALALVEKGQALAKTIESDPSAHALITTRL DQLVPTFVSSLCHDLSSPSLRKTASARLISLLIQLDRSELARDTFLKARREIMMRRVR SIKCEGDISSYINELAVVCFTIVRHTSDWYMNAFKESRMASGFVTWAKEQIETFVDLF RRQVDVPDVDQSVVDECLHVTASQNRKLLRDVGLDFTFLLTSLLQPSAGAQTYFAITS PHPSSTPVSGRSRDQTFSAYSALSDSTSRPSIARDRSNSGYTTSPTKIRERSTSGASR VARERSGSSVQQSVPPLNLGRSLPPAGSGANGNGMGMDNGRQTPSAQGSPSLPPRSER RAKAVPKTNMEGFL L198_04924 MTHSACSLKWPASSSTSYKNHETDLSPRIILGLWHPLFIRPAVK DLPACRRFYIGFSIQIANKQHFWDTCEGFSISFPFLMGQEEQAFVKECREKGKEVTAW TVNDESEMKAALSMGLKVVLTDKVGVFANLKHEIAKNPESLQLQGLERWTFPWSNWKY YSAGQRWILRTRVQQLQNLCYQPGPLTLPDLSDLDTDTGEDRSSQKGGGTV L198_04925 MAEPSDALLHPKQGEGKDAISQEDISSAIHRMEKHLEDIKHRQS TIKDIVASLSNLAETDLLDPARDYRVLTREAAESTLNIAGRLVSANSKNAVLDNSHRG WNAAQASHWAHQLHQEFDLLEERGMAYHGNGTWAPSEGDYHSVIGSLDELHNGMITKQ QADEVGDLESFIDARRFADALRSQVVSEEVFPKLVTRIHKDLSVAHTSKLSGSPSTRK KTALKWDKALSDIEGAKARFDSYPGWVLEEGQDLKVFEDRVEKLHAVLSEPLEKESQL EQCNSLFNDPRPNVSNAIFKGARLGDLGELLDNRSRRVENALLLNRLDSSDAAKNVAE QTSEGVVRTTLGEEDESAAQRDIFSFGAPSNLLTNAQAYDKLSRALGMMVDGFTYEPE TTHFRPSIAQSYMRSLVSLRDQVHGKFDSFLIENSEGEFKENTPREELVKAFDHKRNS MTGSADARKAAPKVDTMVENLVHDLHSKIQTAQIGYEAIHTQQGTKQSLVNHYRALSD LSAANALVKEYYPTEVATTKKQKSRQLHERQLDMEKSKATLDLAWEEATRTHDEAQTE GALEHAVSRVTLEDVTEEVEDVPKEVRSKIPDNATAAPWKSSFNWAEDEDEADLTWWL VGESQFRQKTRRLRGETTTPTGSSFAGDDQEGSDPSVTDLSSATGTGGNAWFRPGGLP ASKRLFQGLGRSR L198_04926 MRTVCFQPGPLTMPDLGEFSMAASGEQVEAEGPTQGKKVGKDGK EEEQDQVERLPLSALPENAL L198_04927 MSTTNTSATSIRQPKDIECWGHRGASAHLPENTLASFRAAISEG CDGIESDVHATSDGVVLMFHDPTLDRTTTGKGLIKNQPYKDVIEHVRTVKEPVQPIPL FEELVALIMEPENRHVSLNIDCKMQNDPQRLFPEMARIVTSYPNYEKDLSPRIILGLW HPLFIHPAIKYLPHCRRFHIGFSIPIVKQFFWDTCEGFSMAFPLLMGKEGQVFIKECR EQGKEVTVWTVNDEAEMRVAMSMGVKAILTDKVGVFVNLKKEMVEHPEKLPLQGLEAW TFPWSHWKYYSVAHVTARLASKMFLKAHGSLSTPPSPSDDPEPPTSIPNLPFPAPAPD SSFGDAASTPTAPSPSPSTTTNDPTLSPTVSIARALLPRDPS L198_04928 MTIQGLRRPLLTLFALLGAAQALPAVQLPLTLSPHFLMEEYELH LLAINVQYTIPTVLHGPTDPRAVYTFLDDHTLATLSPSSPASPSSSRLREASRDKKPE VTRWELVVQKLNYTSLPSAYPPSLGREKLVRRVELERPPEKLLYSPQNGALVIVLSAP QALEGEERKEETEGNGPVVQVHLALGDNGQWTTKSKLENPLKNTGLIVLEATIRGPIL AFSALNSSLSIPSSSSILYTLDMSSHKLTQISSAHQGSANSPVISSEGKIAWLNQPPL HAGNKRVGEVRRAREVRRALWLSDDVDGKSRAVGLQDFELSPTGVVFSKDGKAINLLT PHDNSASLFHIWTPSSRSSPPAKPVRIPSNGTIYDAVHVGITPLDHSHLIGSMSEKTD GKGKELWVISHSPHEDPADNYENIRLTWFTEG L198_04929 MAETKNFTLEGLFSVRGLDVLITGAGTGIGKYTHTPEGLYMAKG FASNGAVTHIAGRRKEKLEEAKASILELAPDAQVVIHTIDISERAAVTSLVADLTKLD VLINCAGIVLPDPPSTHHSPLPELQAALLSSSADTWSRTFSTNVEAPYFLSSSVLHLL AAAPNGGRIINISSIGSIMSDPNTHQPAYQASKAAVNHLTRLLASKFREHGVRVNAIS PGYFPSQMQNASNPKSMLARAKDLVPLKRGGEEEDAAGTAIYLASRAGSYVDGHTIVL GGGREWA L198_04930 MSATPTPEHLIRNSTDPSVPWVVQKYGGTSVGKSLESITKIVDS YIASGSRVAIVCSARSSQTKALGTTNLLLQASREALQPAAGSAPSDSASGTTTPFYPK RVGSGIFGQQSMASSISSLSQLDPTGRSASPSPFQSSSSRSPPRSPSTAGLEPRGQQE AAFHATVDTIKKGHLEAARASLKEGPLRDELEEEIERDCESLRGFLYAAQIIDEISPR SQDSIVGTGERLACKIVAAALRDRGVDSELVVLDSIVDASVTAASESLLAGAGDQGVA QLGQEFYTELAVRLGERLKDCGQRVPVVTGYFGPVPGSLLAQIGRGYTDLCAALCAVG LQAAELQIWKEVDGIFTADPRKVPTARLVPIITPDEAAELTYYGSEVIHPFTMEQVIR ARIPIRIKNVDNPSGSGTVIYPDESFPRGLNSTPPKAESVVDVDERMPTAVTIKDNII VLNIHSNRKTLSHGFLARIFGTLDRAGVVVDLISTSEVHVSMAMQNFDHRKRLDRLVK DLEKIGDITVSKDMAILSLVGRNMRNAIGSAGLMFASLARAMINIEMISQGASEINIS CVIENKDSVKALNVIHDSCLSYPRSPATDLNGLQLH L198_04931 MATQPSKELDAAVLAYLVKRGYTKSVKSLEKEAAVKLAPGQEAA LENAWAAAAVAAVASTLAEADPASVPLPDSSSDSDSDSSSSSSSSSSSSSSSGSDSDS DSDSDSDSESSSSSSTVGKKPAAAFLDVEAQESDDESSSSDESGNESDSSSSSSSSSS SSSSSSSSGSSSSSSSDSSKSSKASKKSSKKSSKSSSSSSGSSSDSSSSSSSSSSSSS SSDSESEEEEVALPVIGKRKARSPSTSSSSSSSSSSSTMAEDPAPKSPVVTVVTTKKR KLEDGVETVTSTATISTPAPVASSRDSSTPFSGAGTPLSAKGKGKQPRVQGQRFERIK PENVTFHDQGLMDNSFDARERAGASMNDYGAKASADLIVTRGAGFRKEKNKKKRGSYV GGEITLQTHSIKFDD L198_04932 MPFGFTHKPLVALADSAICSFFREIEVYGAENVPTEGPIIFACT HANMAVDPAVLSNTIPHGHLLHYWVKDSLFKNPAVGWLLRNAGNIAVDRKNKNNQMLF RGTFEALARGESIGVFPEGTSHTEPHLIPLKDGTAWSALEYVRYLQGTEENKGAKSGK KAVVVPVGIAYVDKSKYRSSVVVHYGPPIKMEDYEASFLSNEQGADKLAVKALTKAIT FEFRTMTVNAPDWNTSLAAQMARQLLWPKDNLPLGEFVQVSQTLVDIFCTPNEKIEAL KNLLATYHRLLTSSRLSNSALAGLSLPNQLNPKFAVTLPNRFSTLWLLVKDSLNCLVR LPFFIVPMLIHLPVYVVGILGARLAEDEMETQAQTKITFGLLLSFLTYPVLFFSLYAV LKQWTFGAVIAAVSVWMLGRYHSTLIDENYDAMKKLAAAWRLVVGVWLPRDFEMPLPA FVAQYSSFAPDPPKVAGLAPDTPKEVWKKPKKLSSRVLVRHVLRVRSEAFRELVQVLR ELEQGEEVVAQSWLAEEYGGRVLERERERDEVLSGWVQPGAVRDGREVVSFLRARGAD LGVQLVDTGMKTRTE L198_04933 MPALEWKSVNVADIPFEEVELINHLVKTNIRAPLPTEWVDSLSA EQLLLVVASYYSYSHIANAYTSWRTFNPEGFPHRDIDPFDMMDRMLIIFEDHKECWPT VRRERLPAERLKMLEEEMEEAFVGPKFFEIELEELERAKKSSELSEVGPDPSDKEEKS WSCSVQ L198_04934 MPIFTDVITGDELFSDAFPVKEHRSIAYEVDCEMMDQEGIDVDA DLNGEGDSSPEEDTKVRVNNVVQAFRLQPTVFDKKVRNCLMISRSYFSCLKSYLTLLK AHVESTSPERVPTFEKDFKELVKTISAYFKDFEFFVRESDDPDGMVALLSSTHDPYND YRANGVTPFFTFWRDDLAQVNN L198_04935 MLPLLRRPLPLRTLASVAFARPSSSLSPLALKAAEDVNKWKGTT ANGGKTKNYIGGEFVDSKADKWIEVRDPSSQTLINTVPETTADEFKQAVDAASQAFKT WSQTSIMRRQRAMFELQHLIRQHAPEIANSIVLEQGKTYADALGDVGRGLQVVETATA ITSTLLGDKLEVAKDMDTYSRRLPLGVTAAITPFNFPAMIVLWSAALATVTGNTLIVK PSERDPGATMIIAELCERAGLPPGVINVVHGSAPTVNRICDDPAIKAISFVGGDKAGE HIYNRAIPLGKRVQANLGAKNHAIIMPDANQNLALNSVAGAAFGAAGQRCMALSIAIF VGTARDMIPDLVERAKGMKVSGGFEENTDLQEHIESLISSVETEGGKILLDGRGYSVP EYPNGNFVGPTIVEAVTTMQAYKTEIFGPVLTIVAADTLDDAIAIINENKYGNGASIF TNSGATARKFEIEAQPGQIGINVAIPVPLPMFNWSGNKGSFKGDISFYGKSGLDFYTQ RKTTTSLWPEADAIGNRASGNMPQIH L198_04936 MSPSPSPAPPAALHPHLRDHLTESHTSSPAPSGDVDEDVRMEGE DDELEAEDKAAEELPPKAEPVAEVKAEDEKKEEKEKCLWGDCEDEFADKQVFYGHVKD HINASKEFACEWRTCARVGQKQGRSLLLTHIRGHTGEKPYTCNIADCAKSFARTDALN KHKRTVHADLLNPNGAPPKPAKEPKEPKEPKAKGPGRGKGRKSLAAAGSASSSNALPD ATSANYRARTPPTLIPTQSIPPGFAAPDSDLCYDSELYDVIPRLRARRRFLPESEEEL YALMVAEKKFPKHRMFPDYSPEGDGGRDRGEGSGQEVIGKRRKTVLDDLVPNPLDEPV IPPRPDANPHASAELDDIFPLTSTLVQQIDDAEDPESGPIQVLSRCRWQARYVLAKAR LMLLDEENGMRQGYLRDIMEIQMRAGVAGQIIEEKPAI L198_04938 MVESLARALHTKVKSCRDHFEQIHNEQDTVESRVNSYRASADLY AAEGFLKDHYPVVFDSSNSKTLQQEKHMDAIAAKQYLDMAWEASRGLEESPPVEELID KLSLAPEQSRSVQLSLETRETATAGPWKSSFNWAEDEDDEEWWKDEISKLAAVNKSQE DDSMASDSRSAGGDDGRSRLSAPAAENENAWFGPVPASRRLFG L198_04939 MAKRVSKRGSKSTKLQNGLLNKKAADKEAAEAPEAPEAPEAPEV PKKEYNISDALRQAVLDLGGDEGDFDLIDGVDDDDEAPAQKKESKGPSDKDLKKELGD FMKGLDFGSIEVAPVESSEEASDESEEEEEGSEDEDEEEEEEEEDHDEEDEDEDEDED EDEEEDEEEEEEGSAEEAAPKPVDVAPVKSIKESLTPKAAPAASDPDSTSGVNVPAST SWPALIPPLEPVASVSKLGPHDLNNLRQRATNLLETLPALHRSSSSADKAFITQILQS GTHQDKLSALVLIVRESPVHGVKELDKLRAMAGWKEDGIGGGGNKDQRVAVVKALADW WVFGGGKQAGKLRYFADQPLLAHPKITDRHLLMFAFEDYLKKWFFSILQVLEVLSHDT LPFVRTQALHIIFRLLAGNAEQEQNLLRLGVNKLGDTDRPVASKASHHILQLLQAHPA MKTVVAREVSGLVLKPSGASGPAASSSHIKFDDDKKKAAQPPAKSDGVEHGRYYGLIT LNQMTLTRQDQDVAGKLVDLYFEVFREILGDPNGPEEEEKPVEEQEKVEKVAGKVEKW RGRRKGAKPKNGRKTALEEEEELIETTKAKLVAAVLTGINRALPFAKLDETMFTTYMD TLFKITHAGTFNTSIQALQLIFKVSTTESESQQTVSDRFYRTLYDSLFDPRLVTSSKQ AMYLNLLFKAMKADQSVARVMSFVKRLLQMLSLHQPPFICGALYLLGELFSVTPVLKR MLIEPEDDGEEHFVDADGDKPAVPTAQSSSVGKQYDGRKREPKYANAETSCLWELAPF LNHFHPSVSLQAHQLLTSQPVTGSPDISLNTLIAFLDRFVYRNPKKTIQPKGASIMQP AAASDKTGMIVKGEGEAGVMVNSEAFWRRKIDDVPVEMMFFHKYFAEKLKRKEGMGKG KKGVEESEADESEEGEDEDEEMKSSAAESSDEEEDEEQAVSDEDSDPEEAEIWRVMRA TMPRANDDLGISEDEDIDDDISISSGSHEESDDDEDEDEDEGEDDTDESEVDESEDEE SEEESASAPKTKTGKRAARSPSPAFSNSSFPNFDDEDDDVNDLSDVDMPDIVLNADSD DDEEEEDEDEIGKKRKQRNQERKDRKKKRRELPTFGSYEDYAALIEAGGEDQDQG L198_04940 MAINVKPVVGQGLASCCTVFSIIAIVILVALGSLFSRRVEVLTG STKDPEDPDLVASTCYAAAVIYALFVAFCGVQMAVHRRYPRGVQL L198_04941 MGKLKAALANQQFGAAKAAAKKRASAAEEAKKQSIKASVDGVKK GKKRAKAKAGPSAGPVNGSEGVLEGKGKGREKPPTIPIDPLDTVLLLGEANFSYTLAL LAPPHNLPPHQILATVYDSEAVTLEKYPDAAENVKTLREKGVRVEFGVDAGALEKCKA VGKGRRWSRVVFNFPHVGAGIKDQDRNVLTNQHMLLRFFRSVEPLLTDGPTHMPLPQR SNKKGKKQAPKAKRADSEDPESELSGVSDVEDLGFEDDSLSLPSAPQAREFTPPTRAG SVLITLLTCLPYTLWCLPQLAARPPSVCPGTNLPQPRYTLLRSFEFKPAAYQGYAHRR TIGWKEGLSKGENEEIMGRKGVPRTYEFVRSVKDE L198_04942 MSNKRSAPSSSSTQKRTRFAPAPSVSGPSASASRSASGQNTPLS QTEDDDDAFLQDDIVSNARTAKSKAKSRVDQSAYASDSSGDEDEDEDGEGAGGGEKKK EGEDDDVDMFAEDVQEEKKEKKKKEFMDLNDIKGQEFERPSAPGKGKGPAEDSDSEAE EPSKTGLDGDMGVDITPFNMKNEMNEGRFTADGETYVENDDDPEAKYDVWMNDVDKEG MKKARRAHKEQERLEQERQAQESGGGEEKERRERELLRAAWELMQRGETILETLQRLG KEVEDERRKREKEAGQKKKSWAEKQKERKALMAAEEEQKDSIHTSNPFTNLSNIVSGL TTIGHLDVYSLSRESIQRMLPAAPSNDVGEQRSAPPKPKVDNRQFQYRFSLAYVRNLP EGQRPVEREAFGMFSLLQLRGWKATGFFGGPNCENVELRVVGEDGVPGPWGSWAEIIG L198_04943 MVSTRSPPPSSPRSPKSSKSPFPKPADSFAPLTSVLADKAQPDA AHPIEPKAFLLQRVLSGGSTATTATSESGTASPAMKSVPLSPSSPGTRTPRAGQQQLA GDMDGLNVRSPISNNGQLPGEGILGMMNAVSETPEEMAVEPPSQEPNGNDGSNGKEIG VGRNGHGVSRSYSSSTESSSSQHPVPPSYLGSSYGPEGFPISQSPMDSPMAYPSYLAS GLGYFGNGNDPDVAAKQAAALARADEAVRQLNNTSTVIQGPTPGLPYPTDNFAIPRNY TNFVTPQQAGPPVTRQSSTSSSTDAASTSSEESDWCIPSIEWVPAHQWQQSNYLNSPG SQFARDKERTASFSCMPPPSSTKPSSPRRPSADRSIQHRNSIPVGASAGTPPPGMASR PSWSASTAASSEPPEDDDDAATVGHAARERSHSTSSQSAQSGLDLLWRAAHGLPHASH VGTPYDPAFEHKGKRKAGAEAVDKWRASGIPTGVPPGGDAEVEGSTPPVPGPPRKRRR SEMELEPMDEDLEEVDEDEPIKEELSDYHSPSTSEPVPSDHDSDYGGSSSAPKRGKVT GRGRGRPKGSTAAAGGPRGRTLASKVDLATAPGGVTKQGNIKKVRKVGDMPNGLAKGP SKEAVHVPPGGVQCDYINPLPPYNRCTDVFTRKYDLPRHMARHARREGELVVEGALDN EKAVLWRKFKDKPKVQCGTCGESFTRMDALKRHQAKQHH L198_04944 MASSDIEWALLRGGSIPVDTVASTLKTKELATSLAEADFKSILL SPEARAVFASTPDLFEGLPFSPASADSSAETSSAIRLIVAIALIHSFVQVNWTGPELS FNTLEVLDTTSSSEEDLNNAALPFLTLQGEPAYHLSQQPALFLLGRRLLLSLESDAQI SSVPAWTLRLHLIHLALLDEPVPPPTSVLDSIKALLEDPAVKADQDLTAQIHLEQGLL HFRLGTDKHANQEFLSAAKSSGLEFELTGALGKKTKYQVAAHSQLVLLAESRARDGEG KERISADSEEGGEKLPEALLLNDDTLLEETEFTKVTRDDKSTSRLAHLDPSDQPPLHP LDQALLISFCLSQSNDSPSLGLTSQQMMPFLSRVISHPRNWSVHTTALLLRSRLEAGR SRTVERSCLQLQALIEQMPTSDSEPKERLRYFHQLPLPSKWEMESELAKRFMTVGVIR SALEIFTRLEMWEDAIGCLQKMEREEEAEGIVRDLLAGKKVESELVPTLGRATVSEMR KQKLTAAREGKLWCLLGDLALNVHGAAHDPAAARATSIEHYNKAWEVSEHTSSRSQRS LGSLYMGTQEFEKAISCFQASLNINPLYSRAWFTVGVAYVRMEKWHDARDAFRKQVGV DEDDAEGWNNLAAVYLRLEEEGVAENEAPPVSYENKMLAFRALRQGLRFAYTNWRMWQ NYMIVAVDVGELSEAARAMTRVVETLANSNPEQAIDADVLDKLVDSVTRDDFSLSKDE ATKVVPKTSNEGFGLLPIVERLFDVVIFPRVSDNPRVWRTHARLLRWKEDWEGAMEDT LRAWRCGPVADEGVERDLAKWQEAVTELEDLVAVLSVLGPKAKAVQESSEGEKKRKSD WKFQARGLVRTFMGRTKDSFEGEKDWERLQELLDELKRSE L198_04945 MTTDVIQKLDQVSLDTGAEGELNVESEVEDEQAVGESHLGSGDS KKKKKKKKKSNKSKASVTKAVWLGNIPEEPPAPVPESPEDTRKWEKDLKKGAKQFVLS PWYILDDRTRPILNIFRTPSCKNIELKTPRLRLRQVEVGDTTGIRRIKMEPSVQKTQL YGSPSMSDIKESFQNRYVRSREEYVFAITAVDPSLIEIKPPGNIKITNRITSAEGYLG NIALSLSFKNPSSSSFLPKKGEVFTQPTFAHLHQAGLTGKMFYENHPQLWGQGIMGEA FVEVLRFAMEEVGCAVVQASPDIAAEPPSDGCL L198_04946 MTIPPPGLGGGTIKSAPASWSGALPQSPTSSDSASMTWNSTMGF GTGVNIGGSSGSGGKRDPVQAISVVKGQVGFMVRTLTEDNFDRASNEIRNLVSVHGPE LYHDFLRRAANMANPIIQALIHYSKDETSTSSYPNLPSSGVAMLNWKMIIQEAVRASR DVTLAPHFSLVMLSSSLSPPNPFPLPSLRLLRLSTSLLFSLTAFTLASPQVFPKSHAS YPVFLAFLAQTFPQAMDILQSPQVPFWHMGAIPGREEPLEEDLTAEEAMALVLALYPK ADIPEAEGLSTVSVNPTPPHTSPLTSHQRATLLGSLVVKFSTPSIILRTIPMMSPGGP PRSWSPKVSEE L198_04948 MPARPPDSFLRFYLGTRTNEELRTVLNDAGCGPTDQNDKAGLVS LIMDNPYVPLPESWDVDFAGIVADIRRTEAPATTTETPATTPSPYPPPPPPLTSLDHS MAQLQQMMRDLTTKVDQQREYIDQQLREPLPTMATSSVPEPVPEPVSGSSTFGSSLPP AVHVSPPSAVPSSAPPALTNRSPSTPLRGAVPSPKVDARSEWAYIPPSVFDSVVDGSF EIRDLWKLDPTRRLEIDKMGKAVTSDRALNGLAELLQSTSAFAEQSRSATKYRSMDDI ILPWSVFCRIRDVAQPGSGHYLSAHVTQLYLASFALRKNFHAVLRYHLDVCQKRLSLG DAVVWDDWCSLDNVVLNTSLVQSFASSSSTSGVPRLPSVSSPSASRPRSFCRNWNQGT KCRFDPCSWPHICSSCLAPGHRGPACPSALRAAGGPAKDIAFYSFSFACSP L198_04950 MYRKLKIAGLILAMFLFVSYHRLRCSISEPSEPPQRRDVKLDFK TAEVWVFEESVHDEVNGAIAVGLQAAKVMPTFACHFRHEFSNILSSIVKEEPTVVRPN GPDFHKALAEDSIDNVILTTCLSSLQRHKTAIYASTAHVVCLIHHSSPHVYHELKPLM EPLAEQGRLSIVVLGEHVRERIQGDLNIWTENMESTVWESVPVEVMLPIFDYPSSSDR PEPAIFPSRAIVQGNIEPGRRNYEKLLSDLRNSFLKSPAMWGWYGLEGSPLRPLLDSS PFTLHLVGQINQQHPVVIPVELRDVVQIHYDLPYPEFYQLISEAASLTTLLTTGKH L198_04951 MTGSYKAAVYLKFSVILNILIILVTFFPLHHTLTPDLSLATTSS CGPTPTPPSTWSIRDIERDRWSRHPKLWVTTGKVNHDKGLGQTGAVGQVKELQDCSMC AVAPELCKEIGENNMRKAQAYLGVYSQLAVGWSSDGGYLGTGERIQRFIAKAKSGEGF TVGVIGGSVSNGQGLGTPLNKIAPMNLHRRIFDHLNELFPAKGGVSIGRYEEGKNSFV SGALGGTGSDYFSYCFHEHIPQETDLVFLELSINDELLLKNFESYENLIRGVLDMPNH PAILNLQVFALVFKQLALGGDIHEGVSQFYDIPIISLRGAILHDILANASLVPEYFIH HKDGSVDVRHISQKGHRVQGELGIAYLQTQVCEMGKREDLRIKPYQRFHVAPLPRMRL MQPYDDSSVTPRLSPNCLSAHSEGSNRLVPSMNDGWREWAWEDKNYWVSDKPMSKADF NFTTVLGTVELFYLRSKTFKLGNIACWVDDDDHKAVTLIGHWEMTYNIGQ L198_04952 MPKQVKKPDDLYTTEVLTADAATGPSSPDIDEKGEKCDGYVEPS EPVDVDEAKLDDFINRDERYDWDSEEFRDIPEVVRNTVSFEDDPTLPVITFRAILLSA IFCTIGSVISQISYFRTTTAAFPVFFVILASHPLGKFLAKVLPAWTLPLGRFSFNLNP GPFSVKEHVIIGIAANAGSQGQWATFLPTNAALYYNITMNPAIALFFGWGSALLGFSF AAMVRPILIDDPKFVFPLSMQQVTVYRSIQGTSELHLGRSQKQMRVFWIIFAVMFTWQ FLPEFVFPFVAALAPLCWFAPHNHKVNFLGAGRGGVGLLNITLDWTNITSTVITYPYS VQITIFASFVIMVSSFHSLRNNR L198_04953 MSNGVFLANGTTYPFNSLHSSGYQVFNETRYEEIGLAYSGAQYL WNVFMWYASYISSFVWCGLFLAPTLKHVYKSFRNKRPAHTDRLSRLIAKYPKVTWWEW GLLSLIPFFMLLGVILTKKLYMSTWTYFVGLGFGAAAMLPMSLVYAQSGYSMKVGIFN ELIYGYMIEAPGSSRHPLGQLAYRIISGNVWYDARTVLEDQKIGHYFHIPPRQVIGIQ VLANMLAIPVNYGVMRWVIASKFDYVSGKVTDPAGQWTGQEFMSYNSAGVQYSLVGPT RLFKSTDVYERVGAIAPVIVWLLHKRFPKVRFDLFNTTIFFSGAATFYGNLSTGPFTT FIVGTVWNFYLYRYRRKFWNTWAYISGAALDTGFNFNLLFIFIFLGTTGVAMKNWWGN NEDNIERCFALSTS L198_04954 MDVLRPGHAHRSSSDRLLNNYLQKSLSTSLLTLLSHSHSSTSSL LAYVTSSPGVIPTIRRSVRHAAFEGPLSSGMTEGSQLGSEGEGGWAAYISSLDQFRKD LKQIHLLEEEISRVKRDREILVGRLIKATKGRPTKSDLSALATTYNAQFDDPRSSRAS VMSDSSSNTKAGKREGKLADAQAELLGCEEHLRGLEVRIEAERNKVMARGLEQRFRAM EVVGRMWVQQAKRGLSDLDRMQDLPPDAFELDSNGSLAPSQSASQVAYDDVPRPHPLG GAPYPRHLQPEFAGSIDGSIAEENEDSSDEDMDGLQVHENHPGQQLSPRVPPKGREQS HPSGVPSIIDYSPKLGNRRAASDIGTGAYRPTPPRQSLLRTVSHDRGTSRQSRRAGSD TSSIREHKPKKKGFFTSIRTWFKGGKHHGERSSSPPYGSGGSKAGWQTRTDGNIKRGS TLRGSRPDESSSDESEGGNFVSVSNNQNSTWAVDHNRGAGSKVSRTTSMPLATGLIPS KPAKPTRSASQSTITAKPRPKSSLSRSGTVKSAMSDATVKSSGGTKRKTRPNGSIARS TVSSNGHHSQGAGTAGRNIMSLVDSSGPVMPEVPKAPRSGSNPNMHLPSAPGTSIVTA DARVPTPKKATPSAATSAGPPTLGRSVSQKSTASKKKKVEREGESEKDDKTWRPTTPL PPSRLLSPPLKSALRPTSPSPSPLPLALQEPVKPMYSISAPAPIPYEEVKQEQEREQL EIEEKEREKRAQLLKRNSYVSVQSDGASVYESAYEDEDQGTRGYGPEDTDSSDDEDGD YQVFKNEKHIRRGEMASGDPDYGKQYDDVGSDLTVGARSEHTTVAPTAPSLAPALEPP TDTPRRRKSVRMAVPDSPVTDIIPSISPPPTAPGPVFGSPSPNSNQNPHPLSLSESQP AQAPRSRTPSPEPERLEQQWSTRIGRMREDTSDEEDDVPDYVKARKKLQRNSGKWEVD GKEEKKGKGLKKKGSVKSKGIKKLVKA L198_04955 MVTKSKASKKAPTPVDTPSRVASADVLPKAVVEVDTPTTPASPV PVVAAATSAPVSNRKLAYPLPNRISPETINNTESLLRFVILALICGAAIGSRLFAVIR FESVIHEFDPWFNFRASKVLVNEGFYEFWNWFDPSAWYPLGRTVGTTLYPGLMVTSGL IWHALRAINMPVDIRNVCVLLAPGFAGLTAWATYLFTAEMSTPSAGLLAAAFIGIVPG YISRSVAGSYDNEAIAIFLLMGCFYSWIKAMKTGSAFYGMITALFYGWMVAAWGGYVF ITNMIPLHAFVLILMGRFNNRLYIAYSSWYAIGTISSMQVPFVEFLPIRTSEHMAALG VFGLLQLIGFVEIVRRLVPGKQFQMLLKAFVVGVFCISFAALVTLTFSGWIAPFAGRF YSLWDTGYAKVHMPIIASVSEHQPTAWPAFYFDLEMLIFFFPAGVFWCFRELKDEQIF LIVYSVLSAYFAGVMVRLMLVITPVVCVCAAIAFSKLLEAYIDPVIPPEDDEEPEKPA SISKAKAKKIAAAQANTQKSEFAFTGILGGKSTSGIFGLDTRFAVVSVLSLFLFIFVL HCTYVTSSAYSSPSVVLASRNQDGSQNIIDDFREAYYWIRQNTAEDSVIMSWWDYGYQ IAGMADRPTLVDNNTWNNTHIATVGKAMSSSEEIAYPILRQHDVDYVLVIFGGLLGYS GDDINKFLWMVRIAQGVWPDEVQEANYFTQRGEYAVDDRATPTMKNSLMYKMSYYRFP ELFGGHPAQDRVRGQQIPANSVQLDTLDEAFTSENWIVRIYKVKSEDSIGRDHKAVTA WEAGKKLRKSASSLVGDGAKRGSGRPTM L198_04956 MSQPPPSSWADDYEDPKPPPVQMSTQNDGWGEADATSASPTDPA PAPEAPQDAWFDASVPQSAAPPQADHDPREIQLKDDTQNLITNDFQVEVKLADLQGDP NSPLYSVQSFKQLNLHDDLMKGIIAADFQKPSKIQEKALPLLLSNPARNLIGQSQSGT GKTAAFTLNMLSRVDPTIPTPQAICIVPSRELARQTQEVVDKMGQFTQIGTFLAVPGS WSRNQRIDKQILIGTPGTLVDMLMRGNRILDPSMVRVLVLDEADELIAQQGLGEQTFR IKQLLPPTIQNVLFSATFNDDVTEFAQRFAPEANQIFLRKEEITVEAIRQLYLECDSE EQKYEALSALYDCLVIGQSIVFCKRKVTADLIANRLIAEGHAVASLHGDKMVQERDAI LDGFRNGQTKVLITTNVIARGIDIPQVNMVVNYDVPDLGPGGSGPDIETYIHRIGRTG RFGRKGCSVIFTHDYRSKSDVEQIMNILGKPMKRIDARSTTDIEQLEKALKMAMKGPN L198_04957 MRWPRQYKTLPLVAAFALLLLSVLGYLTQSMRLCLPFSLSKLST TAHNNLSCQAIKPFANMSDTRIQTEDVQEGRLAGFLISQRDLFLQDLREGKGKGWTVV MGNEAGDLDSLASSIAFSQLSSTLLASRVIPLILTPPKFMPLRPENLLALRNASLPLY SLLHTNQLPIATSELAGQGVKFALVDHNRLLPQFGDGQVESVIDHHEDEGVHTDASIR EITFPTGSCTSLVTKHFREQWEASLSRGSPIPSELATLLLSGILIDTGGLKPGRKATP IDYEAAAFLYPISTLPQIGSNFSVSAVSAEAVPAPLSGLAETLSETKNDVSTLSTYEL LMRDYKEYTWPTQAAAYPSLKVGLSTVPLGLKPWIAKAPEGWESLMEGVRVWMQERTL DLEGILTTYSSEKKGKHKRQLALIARAGGGFHTNEHAEQVFASLVKGLEASEELVLQE WNQEKAVKREVVGGEEVWVKVWVQGNAKATRKQVAPLLVSR L198_04958 MSQPIELEAPIQPKEGEPGFVGLLVKSVFEPGANAAVVLAMNVC FFFLILTLFGLAVLTQWNKHVLLLLGVTTLLWAAMAWFVLELTRVQNHPSNMPLSVEI PEDEASLSDELKKEK L198_04959 MSSLARQLQGIASLDADRITSAYGAPSGKSYLFPPDVASGHDID SIFALAQSGFDELLEIDPEMEQYERDLFSEGAKRTDRLMLSKEENDVLDEALEGCLRR LGRWIGVMAAGKCLEWLVRRFRVHEMNAETVLRVFLPYHESQNFPRILAILTFPTTSP YHVPFAPLIKNAQAIPRSYIVTAISPAKDKSLHLLGDLISSVQQAVKEGVVHRALLTF WSATLVDLLEGAKRAQGVNEAVVRLLVEAFVTLLETPKAGEDAAIYPPLVLLTRAEHL ADEPFAAILSSLLTPATGANASQRMLTLLLILNDRSNWTLGLGPQASENLVKVRHLDQ ILVAAMDKYGFENALKVLLASLLEKPDLHLKTLAAVLEHKVLPDSLAELASNELLQLG SNTIDDIVKATCKSLLSNLRQRHPTIVDSAFLSASSSLAISSQPIDHDLVQKPSDEVA FLDVYAADVSNRIEGVKAVFEMAKKGEDVESIISALEARLSDGEEEVIKTLYEDAEGL LSIMPIERYIAGVKVAFWAAQPSPKLIGLHLDFVSQHLIKAHPESGKIVYESLLFPVF LSTESRQPLTKTEALKLFDGGFKGLEKLGKISSEIVNAREEGVKGAQKSNLVIAKALA EATYASATFAHDVAFLVSQLASPLSAARLLAYMILQTLIVTFRGPRQLSTASAVLVNL APRLAGHSLRDIKHASEHVGTEYLEGVYKRPEEGRTTLRAVVSILASASKIVKPEEQI IWLASESKDKEPIYKSLAYQIYLWANTAVLPAPIAQSLLRSLLTQLGEDALLFFASVW TSPSALFPSALRTSALRHALAFIRAYAALPATPSNPPVDFQVILPQVLIALQDSERQV RQAAVDVLKGISGGEVMGDVYALDVVYGERSESAQLLKPVDRKKYIETLLEVADEFII DPLRIRAFHTEVLNMQQHKNRKESAHRRAVLGFLMSHIAAYRSIHPRLALLALLADVH DTSILRSAVPLLEELANDKTEEAAWLSSVPESSRNEFLQVLSGSLRVQSVAVLAENNS EGWAFFLGLLDTAQTDRFRKQLRELSFQAMVHGVFTALTTSQKIDYITALIQCIHSLP TEESHETIKVLEQLELTPDALIELIGHLSDALETSQNRKRQRQDGSEDDRPTQAVLEL TTLIDSRTWPSVPASAPLIASLMSILSALLAKRLVIKEGIDYLEQEVLFAILSLVERV DDAQEIHRAHVGIEVIIKVVRASTNPRTSQRALLVASELARLIPEAVLHNVMPIFTFM GASDFQRDDAYTFGVVEKTVSRIVPVMTASLKEKAQTSLELYTESLTFLSIFTDMAGR LPRHRTLPFFVHLVKSLGASDYLAPVSMLLVDRATTKAGRNKEPVSVVLDLPSSVASA FGVTEKVVVLQEVVQELGRLVGDLSRTDKEAFLSETLTETDASDRSLRQITHLLTFLS SLIAQLRGKACDQALIQASVRQLIVLAASMAQPVMASTDIPSSMHSALAGTMLLLSVD NFLAVTAGLLAEGTEQDIVMSLGLFTERLPLMKSEVRLRSTQSIAAIVKKSAAMLATP DLTVGPALDAIKAVVKTAVPQEDGALAGAVLPVVGCANKAKDNWTVVSALSLVELLVR HLASRAIPYVQSILDTTLALIKSPKSAASSVAQAFTTLASTIETIPTFISSKQLNATL ITTFFYRSTNEATAYSMLTTLAKKIRTKAIFPVLMEVWKTTQETGGDSEMKGFFEMLR LTLKNASREDLPSMLKPVFAFFLDVFDLRHRLQQKNVDLSMVNDVEESAIGSFLELVT KLNEATFKPLFVRLYDWAVIDLAEGKTVDLDRLNERKIVLFHVMTGLLNKFKNLLSPY MATLLPHIHELLPAFSSGANTSLPLWNLLLGTLAKSFEVDEGAFWTDVSQIELLPLLV SQVPLFLQSTGSPEEPRAISNCLASLAGSTTSETVLRRLNTSTCLVTRSDDPKIRLAA LDALSGIWEAQAEEMVGLVPETVSEFLAELLEDESKDVEIAARNVLAKIEKITGSLKE YLE L198_04960 MSMREDVELEANMGERFVVGWVEVDVPVVAEESVGVRFGETTKE RDREREERQRRAIPNPAMGTMGSGIPMGSRGERLSFGSDNTSRTATPSHRAATPTQAN IKTRGRSVAPQIRLRGMSNHRTSASPHSTEKRKLKPGAGEGKMETKKEMQLVAITFSG DWYRLRIPDPAPSLSGPGSGGGRGDQEKAREEEKEKKESRKCELIEYRRLGVGGGGW L198_04961 MQLGRYSVSTLLPPPVHSITFSPDGRFFVVAAEKGYEVWKTWPL GLVRRRVLPGTLALALILPHSPLLILQGGGASPLYAPNKVVVYDDKVGEAVAELEFGE RIRGVSARRGLFCVALSRKVVVFEYGLNGFWIRKFGEWETAKNEQGLMALSTAPGSTL LALPGRQAGHVQLIPLPSCPSSSPDPPSPSRTTPNSTFRSPIILAHTHSLASLSTTPS GSHILTTSERGTLLRVWDTQRGRLERELRRGVDPAEMWGVSFEGDVGGKGRVVGWSDK GTIHVWGRGENKEKLQVDHPFIHMLADIN L198_04962 MSDDGQMEFEGGDYQEYDPDTMIHEDHAEGEEEEANGDADENMI VESGVPEGDRPRTGKAAKANEVRVTTPYMTKYERARVLGTRALQISMNAPVLVPVEGE TDPLEIALKELAAKKIPLVIRRYLPDNSFEDWKVEELIVQE L198_04963 MFTSLIALLPLLALPLSHAAGASDPRELDSRAIYDADAALAPRG TDAPVNAHGHGANGFRSRAHTSGVRRRRNHGEAGQKLVRRKVNGGKENKEKRTSEKKK RSCKAKTSEAASSSTASSSASLASAASSAVSSGSSSATAASSAASLTNYASSAASSIA TGTASASTNDTGDNWTASVSFQTTYTVGNNATATATATATSSQVANATASAYESELFP WGTGLASWTTSDGLLSYDSALKPLTSGKLADTTNAPDGTSALYASYPSGTYGLSSDTG SGFSFYSPGYSGVEIDNATEVMLSYSIWFDSGFQFNKGGKLPGLYGGTSLSAAKSCSG GRQNDRGDCFSARLMWRTNGAGEIYDYLPVSYTDSDSGYGESIDRGAFTWATGEWVTV ANRVKLNDVGSANGEQEITINGESKISITGVTFATKDNTKIYGIMAQTFFGGHDSDWA SPQDQKAYFKDWSIAVLA L198_04964 MSSPPSLNTLKLSGTSSISPPKQKGMTKLDRSAFDISIDILGVI VEPRDVTKIRALRPVRELLLELPKIRPITDCPPDQIPASCKDSQNLKVLWLNVASFDD IAEETRALLEQYTKGTARDTVAMGYDNFGPTEILEACLPTDKSDDFPSSFTTTGHIGH MNLREEWLPYRFLIGQVILDKNPALRTVVNKLDTIHAQFRYFDMEVIAGETDYLTTAN ESGCSFTFDFSKVYWNSRLHHEHERLISLFPPQSLVADVMAGVGPFAVPAAKKGCYVM GNDLNPESVKWMRENRLRNKVEPTLRVTEVDGHEFIRIAPLQAWTDPFEPALPPRAPN RKEAREARRKRDEAKAQGLPAPVEQETEPKLPPAPKLISHFVMNLPDSALTFLGNYIG SYSPLLSEPTFVEQYGEAGEEKVELGMVHCYCFTKEIEPEKAEVDILQRASHYLEYDL TPQAQDYNLHHVRSVAPNKDMYCLSFRLPRQVAFA L198_04965 MKRTWEQPPQGDAPWVHLPAHPYAQAYFTPSPLHTSTTYHPAAP QPAHNKRQKTDTKKHSPTKDPDSEGDPDDPDDDDEDDPEDDGKPDKGKGANGKGAKGA DGKPKQKLTRGSRACIACRKIKMRCIPDDSSAGQGNGICKRCKAGGHECIFEESNRGK RSTRKNEALAAKVSKMEAALKNIGLVLSNVDPSTLNSFNSALRSATDDQDVISMITAH ASSRAAQRMTAGQRGAFSGHDHEGGHQPPLSPRLHSLPDNVLSPLGLLAEASLQNDTS KRKLIDSTGAGRSISRPHSAEHLQPPRNGSINLNGASTFRMATSDVRGGGEGHDEDDQ LGQHGLGVSSHNYFKPGTGNVSFLPNLPAENRLPELLAIATREEIGELFDIFFDNMGS HVPLVYKEFHTPDLVLQRSQFLCTVICAIAARFYHKKPELHHSLSAYAKRLAFEVPSR GYKSVEVVQAYLLLSLWTLGPEKTFEQDRTWLMLGMAIRMATDLNLHRKSIMSGLDTE EGRARDLEIINRERCWLHCFVLDRSLSAQMGKPYSLKEDYIIRSSCEATWAQQAYSLP SDAALSAYVMLQQIMSRAIDSIYSSTTTVSGLRDDCDYMMIVRSAHEELRRWLSDFSR SMDNGGGTSEWSSRANFYFAYSSLVLYSFGLENALERAKMDISFFLTNVYEAATKVCT VVKEEFMPRGWLPYLPDTNFVMCSYALLSLLKLLKPELRPYHDSEDAIFKLVSELADV LEECAVDPSHQPAIYAAFIREIVRKTKELRHGGAGTVPSSPGHHGQGHGQGQHAIAAH MTHLAASQAASMAVLNEAGSAAAAGDNAHGHQDGNVDGQHHFDPSLMGQGANWHHDVL SGQETQFTFIPQGGDMLILPSQAGPSIAPSPTSAFLANPGSSQSNANPGSAPSSGGNM PAAGQSQGGTGTTPHFGTPSANGWAEYMPTFMSSDGFDGWDGSMLLPGFGRGQITLSG GLLHSQYGSGIMTPLHQTPLQSRIGSRAGSRAQSPHHDRH L198_04966 MSFGSCSRHVRLYSRVPLPPRTSLAPIPRPPRIASVYPYRLPPI ALFSSSARRSTPPPKTTSPPATTPSPNTAKPPAPESQDKTDWSIIVKLAGNIWPKNNP GVKIRVIAALSLLIAGKVLNVQVPFFFKDIVDALNVPITDNTTVWVLAGASIAGYGAA RVLTTLFGELRNAVFASVSQNAIRKVARETFEHLLNMDMQFHLSRQTGGLTRAIDRGT KGISFILSSIVFHVIPTALEISMVCGILSWKFGWDFAAVTVITMGLYTWFTVKTTAWR TQFRKQANAADNKGATVAVDSLINYEAVKAFNNEKYEVAQYDTTLKGYEKASIKIATS LAALNSGQNFIFSSALTMMMLLGAQGIVKGTMTVGDLVLVNQLVFQLSLPLNFLGTVY RELRQSLIDMDVMFNLQSLNSAIADKPTSKELRLKGGEIEFKNVAFGYHPERPIFKDI SFKIPAGKKVAIVGPSGCGKSTVFRLLFRFYDSQSGQILIDGQDIKSVTLSSLRRSIG VVPQDTPLFHADILHNIRYGNLDASDEEVYEAAKKAHVEGTIERLPDKYHTKVGERGL MISGGEKQRLAVARVLLKDPPVLFFDEATSALDVYTETELMRNINSILTGQEKTSVFI AHRLRTISDADLIIVLQDGTVAEQGTHEQLLAHTGGVYQKLWQAQLSESTQPTEEEKE KMDELEVVEEKKP L198_04967 MRSFVALALAAAAAVQAIQITAPSNSSGWSTDGPQEIQWQSVGT DPANFTITISLPQSSDRSDITTENIQTSEGSWQYTPDSALETGDGYRVSFVYDGAILA QSEMFSVTDGTSSLSATSSSSSSSTSASGTTTVSETGSTTTGSSASATASDSAADRVT VGGGLLMLAGAFAMLV L198_04968 MAPPSDTSRWSFKSRFSIQSFLPARHPPLITGPPRMPPTLPGPR HETIVSDTVSPVHSEYPDLALSTPLPPTKKRSYDGSPLRWVQEQEQVLQESRERKRAP PPPPLDLDRTHRMYPPNHVDVVIDPGTPPPPPKAKKERAIIEDPFEVAEVEAGHRYPF WKGGRVDIRPGQVIPRGMNPSLSDSDKSSRKSKAPLFTTLATPDNYDSVLHNVLLTPT YLPSPSVQPTPTSPWSYMDEKKNRRQTLLDTISNAGRRASRWAPGKSILRNNHGPYGD VDRSLKAMREREIREMDKFRKGGVRLDVPNSAYSTGSSPAREMSNVYSRKSPGWLGSR EAAIGFSGDGKHASRHGDKSWRVSKKEDDKKKRNKKLLKIGTIIAVLILVALIIGLCT TLLRKKSSSSTPSSSDSSNSTATASSSAAEASATSSRTLSACLDQFTNSASSSPSSYP CSDCVSVLTSTTNDFSQSLVNGNSTGVGSALQFCAMMDIFNKVEDTSDMTKWGEDASP CGWDGVNCDSRGRITTLTLKYPKVPDELPDTLGNVYGLKALHLTGNSSIPTGEFPSSL LKLPDFTTLDLEYTALTGSIDSAPFSDAPGLVTLALISNANLGTKMPDLSSNTKLVTA VVTGQSLTDPNADKLPSSLTYLDLSYNSLTGQIPSFSQLTSLNTLYLQNNKYTSAPSS LPSSLQSISFTSNTDLSGSMPSAVCSSTTLTSCDLRSTSLTAGSGTSSSSSSSSQAVS PSALSSSGAASSSAASSSVGSTSVAGSTSSSAVASTSAVSSSVVSSRAASSTVASGSS SAVASSSSALVARAETSGVCGVCQFS L198_04969 MPPPPPTVTPSHAQEALNSAAKAVDRLTNITTVLGVVAQGLGAG GGWMPGVGLACNLVCQILDSAAQVGVGKISALKLVERCALVVEAVGNAVQSCKGKVSN AMQRNINALVEHLTGNAHLLSQVAELSWFQLYMHRNKTEGNIAKATTDLADCLQAHIS TAAWQSEAKHDWKVDIDHLLDIVVQDSEERRKQNDQLQKSGKSAFGDLQATINSMCAM LKDIQQAVNPTRPSAPVAIAYSDTIEINRSGSYSMPPSASTEVAQPLIRIDNEWEREA LEEMLRETLEMGYGSL L198_04970 MTSTHSSPLMKERKRSAHWSGQDTQILINVLLREKDTRTADNGF PPEVWQEVSGLLMGSNPNLAQDGSELLLGGAKTPEACKSRWQRLQRDYKAAKELAQLP DITWNNATHRLEATEHAWQNAAKVLTTSRHRKIHLPWWDSLVILCSKEATRSRGRRRR KKSIEADQEEYQAELLAESSRHAAQVHAQMTNGHGHHGYDPMSNGHHPHHHHPQEHHQ QHLDGMHDPNQGGSGLVDLSNGALQAVEHGAFSWEAGSSGDGDFDQSFLPNQAFISQK RNPMFDPSLLTPETSSATTVSAPLTLQDTPNKRQRTSSRTSQTHVLPHQHLGNMPGAN YSYAPMASGNQTATGPGAQSPEYGSGEGLLDPVLLQATASPGITLKTYTPPEKGGPAT TMQGSLGNPQVGRRAPSQSQPQPRHPLTSHSSSDSSSTHNNLQIQAQAHNHPQSRPHS QAQSQPQSVHQPHLTQIQPPFQVSPSDPMFLSSLTESQRRTEALLQLQTIDADMRDED MVEVMEEFEMNVAAADTYLAIKKEALRKMWLANLLKRRQR L198_04971 MPKDLNYSPASDEEEDRDEEEIDEDDLPGPSSRAGNGAKGKGKT KDPGRAAWEGEYQKSWDIVQEDEKGSLESAVESLLARGRRKRALMSEAPVRRSIIRHM FILVDLSESMLDKDYRPTRFEVVLNYLRSYIPEWFDQNPLGQIGLITLRDRLSEVLVP MGGSPEELVQALSDKRRLEPSGEPSLQNGLLMAKSGMEHLPGTSSLEMLIVFSSISTA DPDGPKTIHTTLSEIAQTHIRTSILSLSGEIKICKQIAEQTSGKFGVALDQEHLRDLL WDTIPPPATTIAPATLGVRNALAAGGRNGAAGKAPAGDLMVMGFPIRLPLGGETMCAC HGLLKKGGYLCPRCGSKLCDVPTDCEVCGLMVVSSPHLARSFWFLFPVANYKTLSFEE VDVSQPETCFGCDSPFPDVSLLLYSLAPH L198_04972 MVHATHFLTILSLLPLSLAVSVSNTTTQPAPNPPFANLALPPAH AARDVLGVAHPSPSTTHSHGIKRNVEKSRGVRAHSPRDLPAIVGDIPAITIGGIDLPL IARSHSGSGLSKRSTDNATLLPLATTENTFIVPVSIGSPPTTYPLQLDLASSDLLLAS SLCTSSSCPSSSSTNPYYDVAKSQAGWEEVNGNGTLWNTSYADGTVASGFIGREVITL GESVVQGQVFGVINSTNLTLSDQSISGILGLGFPRLSVMGHVLLRESEAATTLNATPT ATDNTTASVTGTSNSSSTSTTSSANATSTDIAYLPTLLENLVRTPHLPYPVFALALAP PINDPSSSASSSAASASSTARYDPSHGSLTLGGVSAFYVDEKEGSGRTVGDIEWFDVV PFGQPLTNNDTTTNDTSAESVTTSDATATATASSSASSMRKRSDESQIDSLPASTTAL SQEEYLQWALTLQNISLNGTVITPNSSYASLGLGSVALLDAGFNGIAGPQQDVTRIFS TITDAREVQTGQWVVPCNTKMTIGFSFGGRYIQLQPSDWIYAGVENSELCMAWPIAQE GTGDGIDWQLGTPFLKNVYSIFSYGINGKQAPLVGFLPMEYSSDTSDNSTTTSETSTA DPDSPTPTTIEGLSLTTTLRTRLPNAVLASPTFPTPSYAYSSSPYVLQTGALQFMGLG NDTEYEVGDVAVVSVDSSAVSSIAVGGGSSNSDGAVSGAERRVLKSGTGLGVGMIAGG VLLGTMLI L198_04973 MSRLHSYHQPAFNKNTAVILLSFVLAAGFLLVILSCALWANWLP LLVALTFILAPFPNWIGSRCASADEISPEYNSAYVDFGRFLTGMLVTTGLSLPLLLAH SALIQPAACWMSIAGGGLVYGTILVYAGWFGGNNEDEF L198_04974 MSHGYRQDMPPSGGYETLKYKRNLPLRGPSGAVIFGSVFAICTL GFYRLGQANNERRELKREKAWSRINLVPLILAEQDRDAYRREQAALAREKEIMKDYAG WEAGKSSYNTKRYTPNSIVVL L198_04975 MNRITNAFSAAIPSANSETSSIHHKESPHISSPLEPPFPTHLSV RTPNERRLNAPSSVVYDDPRSIYYPPLAPPLPSYAPPSLTRPSRPTLLRHLTDEGLYS QPPSYRSASSSQTPTPRARSESRERSDRGVRVNLMAAAAGSTARKGWGMSSATGTTRM NRMAEGPSGRYAVGGGQYLRVFHIDDPSSGSSTPVVQEYPSSHSDRNVIARGPGGATI SEVVNLWKSSWPVGKGVNDLDWGVAAWDHKLVTATPSGNFMLYDVEKEKVDKEISSGS FRPLNCATFCHQPAYCHMVLIGGADGNIKLLDLRTGDPPSRRPLRHSSAITSLCFSPT DASAFVIGLDDGTIKRYDWRMAGKHMGTAYGAHGSKAVMDLKWKVGDEGGGESGGWLA SAGANKIVQIWDMNQSWEKAPSSTHSLYTPHPIRRIAWRPNHSTELVVVPVTQPLSTA QGVDPASSLPRPTVAPIESKDKSTRLNVPKLFKRSSSQASDSPTEDDDPLEDDDAAHL SIWHVRRHHVAKYNVPTQDGVAIDAAWRDEGSLVVTFQNGGLAQLDVERKIHPTSRNA SGQGRLPVPLPLDQVPRQVSSWSSRGDMAFAVDRFKAGEIPFDDLKPEYSRPVPHPYN SSFSNPYHVSRISDPPYHPIQSIGSIPLHSSLPSPPETTFMAKWYRMEGGGGAELCRW NAGVAAWVGREDDARLWVFMAGLFEEFKSAETHAKAVGFEDVWQKGSGQVKEKRPTTS RNVSPKGGHARLSESQDPAPQRQTPKYTSVALQRFTPPPSPLHSPERSTSSLPSSSSD EGSEDDSEEEIESWKPKSRFVTFMEPQTQVAEGGLELKLHGEAMRRDSSGSSQGSPVF ERKGAGESSPIAIHNASAAGQGNTVGGSLSGSLGAKKSLSRMFSRPNPHAHSHHHHHK PDAAFDFYPDPYGIIPDQPMSSTTLVMPGTGGTTTATGSSGSYSGPGTGMVTGAAGLV SRASTRSSPVLAALSLGLKPSPRMGLLSLPGGSITIPTAQLSQAAGLASHEYGSGITE APLAGRGSGEGATIIAPGANGVGVNSRVGSGSMLGKEMRGREEKFEKSEWEEYKRGRM KSLETWWDACVDNGEVQLAATIALIAQPLHQFPPFQLERIAHAYADALESLRLPLPAS YIRRFSGIPSLEVTAQDQGVTHTLGCDRCGKSTGSLEDIGVEGKVFWWCKKCKMGAKE CAVCRKAVKGMWMGCRKCSHGGHHACMRLYHSRATLVPFGKSPIDHTNHYQHQQGVQA SHISFSSAGAASGAQSINASTAGLTSGYNASAVSGYTQSGTDVSSTDVDGDGLTEPDD ESEPGLGNLENNGGFALCPAGCGCRCRKVCPLKPAVNT L198_04976 MKAESTKLKEIAPSSEEDYSSDDSSSSEDVTEDEDGAELTPAMD AAILRTLRKIKGKEGDIYGRENIIQAELKKAQELAQSRGLNSNVIKKVVEKPYLLQDY HRKKLLEGNFGEDEEDDEPLTHVESQYRLRQEARSAFAGLVEESDDNDSDEEGFLKKR DKEGDEVDADDEEYRKFLLEMGGGEEEVKQLLGMGDQPAILQTVDDGDEEEPGQAVAV SKVEKEEMKNERKKKKEMKIKEDDDFLMNYILNRGWIDRTDDHVPTYDEVVGKTTSEE PEQVKAPKASTSSHPWGSLDDDEDFEDRAEQFETEYNFRFEEPGASTIMTHPRDIASL VRRPDDARKSKRARKAERKAAEKAAMEEGLKKEKGKKRREMEALKKDLEREGVKGVEL DKLGKVLDGEWDENIWDKVVGGIIGDDAEDDDNVKPSWDDDLGDAPYDDDAEEGDFHY EATGEDADDMDVDYDNDGPINMDADFMNEQPTSKKSKKKSRKDKQSKHRNPSPSPESE EERDKDMSIAEKAQALKEAAESYNALAYEDFIGDMPTRFKYTQAPSASFGLTPAEILL ATDDELNQIVGMKSIAPYKKGGIGMAGKGLGQRVRQLKDQLKERRWGEEPGMPSSGKR EKKRKREHHDTPVNGEASGETAVEHNQADREKKPSDKVKNGKRMGKKERQRLQAAEAS SGEIVSADVPAMPVAVSSASEVPANEGVPEGGEKKKRRKKNKSKSEVAA L198_04977 MFPKRAAVISSRRNLLSSSALRATTLPSRCRQSTWAAATESAHQ VLTPPPSTTASNATSLDDPLSAINAEIGNLKSSLWRMLGSDNAALDTVAKYYFQAEGK HLRPLLVLLISQATNGLGGKGWKGAQMEAIKRNVDDSLTAEGGVLNDWNPEVSGPKPA AGIASMIFASPFRIPSNPEQRLPPPAPLPSQFDDPIGANGEPSILPTQRRLASITEMI HVASLLHDDVIDASDLRRGAPSAPSTFGNKLSVLSGDFLLGRASVALARLGSPEVVEL MATVIANLIEGEVMQLRATSEPEKTPTPKGFEDYMRKTYLKTASLMAKSARSAVVLGG CGVDGVEGAWVKDVAYGYGRNLGIAFQLIDDALDFLPPDPSLGKPSNGADLRLGLATA PALFAWETNPSLGPLILRKFTEPGDVKTARDIVGKSDGLQRTVELAKAFAGEARRLVE MLPESGARDALVQLTVKVVERVK L198_04979 MATFTTTGTVSSPLDLSKVQGEHANVSLSSNKKNVQPAVKVTLT SLTPHNSGTLRKINSVVIPIVYSDSFYKDMLDPNLDDANKLIYYADIPVGAICSKFDN LKKGSKEPPTLVILTLAILAPYRSLSLGTSLLRHALHAALHPPEPSAPAPSDKKTNTR AQLAKPETRPPVNRALVHVQVGNEGAKKFYERLGFKETGIEDNYYSKMEPRGAILMVC EDIAAAIGDASNNQV L198_04980 MSSAKMEVGLVPSIVVSIITFALGYHAHNYFSAPTPLEAPKSKP RSPRKFQASESQNSDDDDSLSDAESDSSDASSAVSNTDLTAPKWSSFDEMKLVLVVND ELKMTKGKIAAQAGHATLACALTLKEANPKLFKAWQMQGQPKIALRTANTEELEILAA QARSVNLCARTIRDAQVCFTGRTQVAPGSKTIVGIGPGPAKLINSITGKLKLL L198_04981 MLREHSHHLTHTAPSAAPLSHPTAVDPPRPYTPSTLEFGLNPQP TRQTSVNPYSGLGIQPRTPGSDGNAEGQGERKRRKSVFSSLKLKKRITRLVWPFIPSH KGPQPHLKATKSDTALPRRPIISSPTLAKTDTFTNPRPHLPRINTHQPTRSRDHNALL SPEDTVPTGHARDRRGEEADKKKMVEDRRRRMERRASWPLMGPRHRELPLGHDHSEDH PGIQRLVASIYEDPISAQDPSADLKRLKQALDRDIGFTFNVPSLSVASRNRAPPAPKS PAGKHRSHTLRLKHRTSRTQIKSGRSSLVLSPEAQEALQRQLARLEIRDEALTKSARR LSAMDKMVLQGRGPARHPQARPGLGRQYSYVSFQDEDGECRVTRVPAAGSLSPASGDM SPSPISPSTAYSAKASNQSSGIGMAAGLPMTEGGRKNRMSMPVMRRASKAGVRGASVK VFAKPTSSTPSGSVTDMWDGNPPSPPPFIQAPTPRVAPMPRPRQNGAPLYNPTNLSPN SKRVNQGRAMAPKGYSSQARVEYSRPGTATLAVDQQAPMYSLYAKF L198_04982 MASVFSAIWPLLSSYRPNAARDRQQREDKPQRFGAFEATYRPPG FAVRRPRNDREAQAMYNHIRTVAYWLDAAPVLADLGLPFRAGLDDIISLVPIYGDIVS GILQLYQVWLAFIFGVPTNILGYMLFNVIIDVLVGIVPLIGDFIDNLFKSNLRNLALL ETWLLTSPAANRQYHILLMPESGEFLPVPKAAGAGWFGRSGKGRTEEDVERERERTTG KVRKTRRMGKEEGVPVQEVPVEEVPLGTL L198_04983 MSYFMTHLHSGWHVDQAILVEEDRVVCIRFGHDHDEDCMAMDET LYVDITEVPDFNKMYELYDNCTLMFFYRNKHIMIDLGTGNNNKINWPISEKQEMVDVI ETVYRGASKGRGLVVAPKDYSTRHKY L198_04984 MLATVSSLTCTAPRATPALRSAAMASLRTKHTLPDLPYAYDALE PSVSAEIMNLHHTKHHQTYVNGLNAAEESLSKLTSEGDVKGAIALQPALKFNGGGHIN HSLFWKNLAPHGSAEAKFPSSGALYDQVQADFGSFDNLKKELSTKSAGVQGSGWGWLG FNKGTKKLEVVTTANQDPLLTHVPIIGIDVWEHAFYLQYKNVKADYLSAIWDVINYKE AEARLKAAL L198_04985 MANLSTDFVRAAEQGADPSPVFVDAARHLTDLHERGYIEDLWEL WRSLREMGQYDIPPILFFPFQDPRKDIDITLKIRLRTGGFELMEPRNKGWEEEGSVWK QVNDNHRLVEAAADTSGRREWVYVINEADVPSLQYTKNVRHVRNSQNLETV L198_04986 MLLPPRPPPSLSRPALYRLFLHHLRLLPDPQVWATAVPLVRKLL STPGPPPTAPPEDAEARAKWESIRAWRAERAMKKANKELSQLRAAVACHPHALSRLIE SSYYQRGASRWDRLRSILIQSNPSSPQLDTLSTPIPSPLRPLMPRQPGPSDPIVRARS AIPERRRENRKTKLHERNWNLMKAPIYLPDPRPGSGQGREKERAKVENMSILAGISEG SITTLNLPPHIARIIPNLGSRRKLPLKPYPPPRPSHTKQNPSTWKLPREFTGRMRERV YRRVWDSLPWVRPVSEGGAWKSCTWVDVQAWEQGVMGRDSTSSETRGKSAGKKGRKAA KVNQAYEDRDLDPRKWPHATDRDYQWLARDFSEAVGSTADVIHKASI L198_04987 MTDQYTAAAAAAPSITQKLADLKGLLSSQRTVLLVTHAPNGQLH SRVMAPAQISPDWKFYFIYDRDSYKNIEVQNDVHVNLSVDGMVTNKGWASIAGKARRV LAFFQNVDPELFQKLYNPTIKAWFADKGDGVHDGSITDPRIAVLEVRVEEIRHYHQQR TAIGTVIDVVTSAIQGATATPGEIREITGAEIAEVWEKGELKEE L198_04988 MTWWKRNDGFWTVWDHLQHSPILDETSEEERDFEDQVNQEVEER RRNRSRRRPQECITPTAPSLEPAAYEVALSSAKQRQAELHPAMAEASRSEEQPLGQLT SAPSPALLPPSIAHGTTTDATQTFTSKDAANHVSGRSTSNRSSPVVGGWSTARDECMS HAVDDPVYSEASAQCSRPARAQPAARPSAPLPSFRGSHILQTSTPQALRATDSTAQEI PTPKDTPQAPTAALLVPAETPVRKISVPQNRRLQVIDTPEQVSSPINTPIDTLTPERP GAIGKRKRLNAGTPVLRGKQQSTSSRSLQQSDGGAAEGEVKKGQMKKGQNKKGKGKQA IEKPETSQIFKDFVFFYADARKKLRQERCGIIHDHGGSHVDQTDDTITHVIFEGKARG TLKGFLKISSLDDLPEGTEVVNWNWLALCIETVGLHTWQNTIRISQTLSGRSIHIKGG HILTGLSPSSRSDTESEPEESLPKKKARDSLGFTARIRHAEQVAKGTFGSSKPRPVEL QSAGPSGRGVHDVNTVHGMTSDAGVGWKKGKQGGTDGLDEVIEGVKEGGLLDAEVQSA VQIASNDHDADEDDGNPNPLADRFMFGRKNDKVGKDGPNEFLAKKFEELHELYEGQPG KNAFSIRMYQQAAATMRRITIPITNGKQAKSIKGIGDSLAERIDEFLSGNTGRADYED NEQARCIRLFKDVYGVGRQMAYSLYQQGARTIADLRTGKYPLTPGQLIGLELYDDLNT RIPRSECKQLYDLIREEAMGIDDKLWVEIMGSYRRGQEDSGDVDILITRDDRDGVTHA GVLGRLVGRLKKKGIITHDLSEPGDWHALESKWMGVGRVHSEGKFRRIDILCIPFKSW GASLIYFTGNELFNRSLRLYARKLGFSLNQRGLYKGVVRGRDGTKTVAGDLIASKTEE EIFDILGVRWRHPHHRKP L198_04989 MLFLREQLCIPLCYGPWSAPPEEQYDMANLSTTFVEQVIREKSQ SPVFIDAARHLIDLHERGYSEEIWELWRHLRLLDRYDIPPILFFPYQNPTNDFDITLK IKLRNGGFEAEQPRHRGWQNEEESPCKKQSNKTARPPNPQETGACQDKIENSFEVAQA ERQGTDIPHVISRGKIPSLSDSDKSSRKSKAPIVTTLATPDNHGFAPHGQLFCPNWSV LRCFSNSLSLAPSTSSASDSIDTAPCRDATGLVILVLVCNADLGTAIPHLSSNTKLVP AVVAGQILMNANTDNLPSSLINFAGKTASSSMILS L198_04990 MSVSVASLPSTWDACDQWATHLRDVVAPAPPLTGASNYLPWSKK LKIVLSGVRGCLGLLAEPPASHPSATTLPSTSLSVEIWSHLDATLALALVGLLSTDLA SLFESIVLDHPSRAARTLWLKLEADYGTRSSYDMWKSVEALTSQPQGSTPVTEFMTPR RQKFEAIKAAGYDFDRWLLESGFQQQGML L198_04991 MSHLKEPGFKTSDVLASLSEVFEKMPEAEKKSQIKKTNGVFQLN VKNTQGKEAIWVIDLKNEGKVSKGSAKKPDVTITLSDDTFMGLADGKVNAQKAFMTGS LKVKGNVMLATKLDGVLKSAKAKL L198_04992 MSVQHYLASLYQAPAPSRQDSWDTVVSKWDASHPISDSIEEPAF GTSPWPSILDYLPDVGSLSLDAPEIQPESFSSSTLKDGLVDRLRDSLINLLSSPRSND DIQSELLELMGFEGDALVLIEELLKPGTREQVVASCLRTQGETKKSVVRQEAIIPASD KPKYIPNTRIVVKGKFKDKKKVLDISDLVGSAEDISKRLQQQVEGPKAMFSEDGPRMV EQEVLPHVYTATGSKSIPLSHGGKFALPEGTRRSYTDIYEEVVIPPANAVPPKSWERP VKVADLPRLARGCFPSYVQLNRMQSIVQPTAMTTNENMLICAPTGAGKTDVAIMAILR VLSQHIVEGSTSHPSGFNIDRNAFKIIYVAPMKALAAEIVAKFSKRLAWLNIRVRELT GDMQLTKQEIEETQIIVTTPEKWDVVTRKPTGEGELASKVKLLIIDEVHLLAEDRGAV IETIVARTLRQVESSQSLIRIVGLSATLPNYVDVGDFLRVNRYQGLFYFDASFRPVPL EQHFIGIAGKPRSTVSTRNMDKAVFERVAELVEEGHQVMVFVHARRETVKTATTLKEM AAEEGISTFFQADTNPKFGNHRQEMSRSKNKELKELFDAGFGIHHAGMLRSDRNMVEK MFGDGCINVLCCTSTLAWGVNLPAHAVIIKGTQVYDSSKGAFQDLSVLDVLQIFGRAG RPGYATSGVGYICTTHDKVDHYVTAVMSQTPIESKFIPGMTDSLNAEIALGTIANVQE AMQWLSYTYLFVRMKKNPWVYGMAYDVTKDDPQLGNKRNELIIQAARLLQKARMVRYD DLQNTFGITDLGRIAAKYYLHFTTIEIFNEKFNPKMSNADLFQMLCEADEFEQIQLRE SEVEELESIISSGVIPLEVAGGAINKRNKVNILLQAHISNVYLNDFALVSDAAFVSQA TYVLQNAGRIIRALLEIALSRHWANCAYLLVELSKCIERRQWVYDHGLAQLKVLQREV IHKLSQYTPDDMTIADFRHMSAQENGEFIHMNERHGKAVLDAAMMFPTVNLTHTLRPI THDLLQISVKVAPQFKWNAKISGSSEPFYVWVQDEQGLNIYQWRSVRLTPATSVIDID FFLPFDDVPPDSISLVGVSDKWLWSYELAFIQLSGLTMPPPPTPPTEMLGLPFLKLSC FMDPALEQRYSRTIDTLNSIQSHAFWVLYNTCVNALVSAPVGSGKSLLGDAAIWNVFR HNRDAVAVVVVPERYMAHETVARLRDLCPPKRKVNIKSLIDPADFKEVLSGGAAIGVT TPYAILNNGNIDSFLSNSRLALFVLEDLHLLDELYELAISKILSFAKPARTRIVGLTS SLNDPSDLAEWLGLDPGPLDQWDKPVTSQPPALFSFRPSDRGNHISVSIKPFTIPHGP TFIRSMVKPTYDILKTSPGGAIIFAPSIPACASVASDLVTQSGTEMDLNGFLSRPREE VEPFAERLRDDALFEPILHGIGFITRNMAPTDLAIVLELFASGIIKAIIVPRQSCWTL PVRGQTVILMGAQYVRITGDKISSKDAKFRNEKHIVNYSQQELVKMQGFAVGSAAPAA PGGQMFVMCQGEQQIMISRILKEGLPLESKIIDLLNRHSAPSYTVDPRAVQILTNMFR GRRPPPRATVDRPGRPDGRKADLMDIVGYTFLSVRGKSNPSYYQLSKGEEAETISRAV DKWFEAMDGLKVYTVEETRKQDLEAAQTESGGQANDENGEEASVKGSEDAFEVNGDAA EAGKV L198_04993 MSGLSLAQQLKQLQAGNTSVPDPESAYSNLDTNKAEREGDEGRE HYLDVGPSRMRMELGGEGGGTLTGPKYEGVKAGRQKIFDDDDEESEPEGEEKSEEDEE VDDLDEDAEEEGSEEESEEDEDEEEEEDEEEEEEEPRPKAKSSKLDPMAALKESRSKD ALFESLITLRITFQKALTASQSVPVPLPTDPEDELSNKKATILRSLGDLNERLFMLRT NMKLVVGEDEEEEGAKLGKRKRSAGDEQDEQYWLDSARQSLALVDDSHLDLIPVLNKW SGKIQAASLQLGSKQAGGSKFLQQMKTGAGGVIDAIETGIDNKRDSEKSLVENEETGY RALLREVIESRTGSGPAADLTHLRREKKKKREAERGGSKGRKLRYTVHDKVQNFVVPI PLSQGWHEEQVDELFSSLFGGVGMRGAVAETAVGPDVGAADEGLAELGGLRVF L198_04994 MLFHLSALSIILAVAFLVIYYRARLMPLAARVLPAAWVDNIVHY TPLETSSFADQAEAGMTSGSFDVESNNMGEGSSEGRLGLDAAGVEEVRRIMAIERCTF DRARLIRHNRHLAKNGIAPDGTPLDSKAITHL L198_04995 MAQQSEPVSLRLPAILQNPTNRAARQVEAHNAQQRQKAAARKDR EYNVNWTPENNGKGKRVIRRLDNAAFAENPHIVRPDRSDYAPTVPLHPRPSRPLFPPG AISRSTHIPPAALPEREPFSADARQGVFSTSLKGTRAMLRKRGKRAEGLVMKSESEIR AWLGGQWGDIEQSADQWTVVDPRFVDYTPGETSTLSRSRRQLPPQHQIVTLPDLPITD GQIPAILEVSRSPAHMSWYVPESFDRLVLHLISRYYELVSWSEDLPTRSGDHLRITHI AVPFVIRPNRQPVKGHTLATPDTSEVSGQSSSDHNSSSSSGTESSDDDTATERGDDDD DYNPEGDITATPIPEMEDLSLADNASENGLTRTLSATSSQYASSEADSDIGALGDSLM LPRPASGQESDGSLAGGWVDLDSDSEFGDLPALPSLNGVREAPSFRRSGVSSQTVPED WAHKPSFFEYLYGAQRLVVV L198_04996 MVMVIQASTLHLARNLHVNPPLHASDPSNIVVADMRNESDALQI LALASGQAANEEERRETSMRQNGQRPSITTVPGGSSPSNGPGQPSSENVQGDTSGLKD FALVKMNIVTEQQAFALSEAYFRCHHHFFPIIPSAIIPRTYDQLSVFSKAEKYLLAAF IIIASRVDNTPEMRDIHDRSWTVMRKWISRIQSLGEPPTIGLVESLLLLAEFLPRTPR DSSPTATPESRDEDPFDSGVVEEPHGVENRQAWQIIGLAVRSAYEMGLDKLGLQLIAE TERTLELERAKLAWVYCYLFDRHISIRLGKGFWTRGGSVCFQGYSSSAQTGPAAAIVN FPFLREIKSPDPAGNTPQEDLGSLVQAYLELTMMMSNAHDVLHPNAARTRSLVVYGEY FKYIDEMARSLDGFKILWRRKKWTLFPLTDTVWVMYYYIQLYICAFSFQAHVERATMR GEEEYKILEQRHKEQGHPSKLARPALSLFPRGAAQSPDARYIFQMCDAAREMLHICVD NMYPGGALPYLPSRFLLWFTYGAIVLLKAIYSGAMLMADHKRTLDLIDRLCACFAHCS TDEEYPAVRYGKQLEALRKKLAGLSSGNNTVPQSPNGSQIVQLPAQSRPNDVSGPRTS PCPPTRDQKLSSHPETAEDQDHQNRSNTQASWPQNQSQQSTASWQMPIAQQYAQPVTF PYPSAPSQYAPVPQTAPYVAPPHQQPFMEFGVAQSSDGYNLHMSGVDQVGGQIQGSVQ GQGQNVNGLSNNLGFATLEDWFGFGAAETAPHPGQSQGQDGQRGAVEEGMGMAGMGLD LQDFWMNVGPGEAQGGFPFR L198_04997 MVTPISLEYTLDRASDLFENISGVQQDVDIAAGDGPKPRLVAVS KLKPASDIQALYDVGFRHFGENYIQEMVDKSQVLPSDIKWHFIGALQSNKSKLAASVE NLYILETLSSHKVADLLQKSIPPSRKMPLNVYLQVNTSGEDAKSGLAPLPSSNHETVD LAVHVITKCPSLKVLGLMTIGSWEASHDPSKPNPDFECLKATRKELAKILAEKGVEGA PREDELELSMGMSADFAVAVKEGSSSVRVGTRIFGDRPKKN L198_04998 MSDRRRQEIEEKRAKLAELRRARDERKQLLANTDQASEPMATNR RDVNDLVDSLLTRPASPFTPAPSSRPSAFGTPGRPSGSTPPSSIPGTPGGRASRLSNE GSSGRATGPTAGMGMTGLPSGADRYHLSVLTGGCTDSGLRDSMMSPFSRPATDFVDHQ EELFELPTKPAPVTYSKGIQTMVSSFAADVNFDDDYISDTEEERQRKRNLRDGETGRE TEDEMRKRILEELEEERKALENELKEQGAELSDEQRQAVFAAPDFSSFIEQSTRITQR ALSDGYDYIKDYTIGGDGAFDESEGVKVKLFCAFSDERWTNGRSVTDVDWSPKAKLSA ASYNRNPSAVNDPDGIVAVWNLHLLERPEFIFHSPSDVLSVTFSPYHPTLIFGGSYSG QVLLWDTRAKHLPVLKTPLSATGHTYPIYDMKMVGTQNANNLISSSTDGLVCSWLADM LAQPQETLPLTMPSHNKTDEVSITTFDFPDNETSTFWIGTEEGSVYQANRYDRASAKA GSNTEDIYKGHAAPVTGLHFHPGTGSIDFSDLFLTSSIDWTVKLWRTKAAATAATAKG TTGSGVQGGKGEDRVVPPMHSFEEAGDYVFDVKWHPHHPAVFGSVDGTGKFDLWNINQ DVEVPITSTQVSPRAINKLAWDRTPTSRKAALGSSDGKVYVYDVAEKLVLPRDGEWVE MQKTVQGMAAAREVGGAGVFGGEAGMGAGKYDRRA L198_04999 MRPLLPLLASLSLAAAFDCALSPGSIPFDLHPLAGIRTASKQSD TPPTTSEAKVSLDLCNPEGLQREEGLSDEDQCPPKTKVCVKLLNHKPSSSDPDRVTAV IPFWSEDMPAEDVTTTPLGRRGDQGLKIDVNGPEYAGVRQYLNLTLICDTSSSDPNPT LISYTSGNLNLEWATPDACPKTADSPSASEPGEGGGGFLSFLATLFWLVLLGLVLYFA IGIFYNHQQYSARGWDLVPHRDFWRDVPTLFSDLFSHVASGLRGSSSGGGRGGYSSLG L198_05000 MAATTDPGSASSSSPLVPGESAYHESSQFRHWRFSSTGLHHLRQ DLNRRSIEVAKKNNELEKHAQDELKGDWAQASTPAAYLTVADELCLVRFYCQQISQIC RRGFALPEQVEATAISYLKRFYLKNSVMEWHPKAIMPTALFLAAKTTNYPVAIEDFLP KMPGRTQDSILGKEFLVAQSLGFEFWDQPRPPIDDIQKAVTKALLKLTESYSTDAEFI YTPSQIGLACLRMADRNLVDAFLELRYSTAAASTLQTGSDEEQPAPLYGIEKTRLIEI LEKIAKMIESDGRELGKDDVKPVDKRLKGYTNPEKVPGTALYEKRKREKEQSEAAAKA AKNLKSKASDADSDMFFGGALPSSVTKENKSRIPLSPKLDINGNQQPRETNAE L198_05001 MFFSDDLLTTKKGSFGIVWLMATLGPRNKKITKKQLAAVDLAKT CELIAEPPEPMALRLSGALLVGVARVYNQSFDMFYADVTTFHANLRRSIAIDLSHPSN TMVGGGALELPNDGQIRSELITIGNTDFDWDMSSWDAEFAHVDWNDPLAPGRKRRASS VLSSSQISPASERDGVDTVEDGYVRDLEEEEDYEFEVGRMAKRSRFSASPAYTTLHRT PIHQRPPSNPLFSRNDLDFQQEVDLGLNLDLDFDGPAGVDDSFSGPSGGRGFEMPLAP MDDYDIGPDMPALMDNMEGPVIFYDEEGGPLGDEQQLPLSLRQPSPNANDLAHNDDYP GPSYQKRKAKQIKKVVFDSSLEINEDEERQARSSYRERMAKDRKDAESKEAERRLGLE VISMVDGAGGFQFYDAEMADMFSSLTKVARFKWEADLARSKSRRKGLISTSDQDIDID ERKERHAVNFPHDFDMPMAPMDDYDVQAGYMQDARQLPDGEVDFGQNDAYYPPIYDDY EVPVRDRDASMARLSDVEYGRRDSVRSGGNLPWEITAGSTPGGAYPDFADTSFSPGSL KFSLMTPQEARIRQNSRSGNNTGSQFARRHRIRSSSLMSDRPDDDPLMLARARSAELD LPSDVDLEDILPSETQQARLADLPDAFRPEMLATLEKQCRDFLTYMEKKMIGLKKENI MFDELVPRTSKKNWAAVAFYDCLTLSTKNIISVDQPEPWDTIHIRFADKPSV L198_05002 MAPTELDRKKLQLQQEIARLSGTHPPPPPPLTPTGAITRHSHSA AHPYHNAPSYAARGGRGRGAARGRGRGRGRGRGASNSYSLDLRATNQGPTATSTATVT GAREEGEILSEPAQSFSSNKWVATNRAESRSLMTAGERAQLHPKSTKPMHNSSKKTRG RLHIQALPSLQAGGTPRVFVDKVSYEFNVGGKGLRRTSEYQIPNTLEWYIENGKTIKV LDVKYKFQPNGDLVPLRPALCPTFTKTGRCRKAHICRSIHDPNRVTACFNFLRGRCEL GDVLCPLSHTPTAHNTPSCVRFQALSYCTRPNCPFPHVKVAADAPICEDFAHVGWCDK EEGTCQDLHSWDCPEFWSTGKCSKGKKCRLRHTVRAELGRAQQAAAAAAVENVEKKKA NGAKAEPGGFEEQTEFIEFDEGAPAMPQSDDDDSDHDSDDDESDGKQEVSADDSESDS DDDDVKIIF L198_05003 MASFSGNLFGTEQDRVNCSFYLKIGACRHGDRCSRKHIKPQFSQ TICLPNVYNNPAHTPEGQNMSNAELQADFDRFYEDFFIELAKYGNVLEMIVCDNVGDH LLGNVYAKYEYEAEAARAVRELNDRWYAMRPLLCELSPVTDFRESCCRQNEMGECKRE GFCNFMHLCHPTKSLVGAIQASQRVSRRHNRNKSHEEGENGGDMGWTPNAAGGQGWMP PAEDLGWMPGSRR L198_05004 MPSRAEEQIAKAEKKASSSVGWFGNSNTKWEEAGDLFGQAAVSF KIDNKWQESGQAYEREAACRLKLNENNDAMNAFHNAAKSYKKSNPEAAVSALHQAIKL LIESGLFRQAADREKEIANIYAQDGINPAKARDSFIRAGDWYKQEDANATANQCYQQA AEISADLQDYQKSMELYQTVANWSLTSALTKYSVKEYWLRAALCSLAMGDLVTTHKLL TDFAQKDVTFPSTREAKFAKELMDACEEADVERFTGAIYQFDQVTKLDNWKTGVLLRI KKALEEDEGGLT L198_05005 MALPATRSFAPRALARPALAHIPLPSSSSRCPLRLSPPTRGIST VPSAKENPRSALAVPLKDIKFLSFPPVTPHPPTNHIHVATLHLQSHHPYNLDLLSQFA VHSAHSLQIPTSLPASLPKKKELHTVLKSPFVKKKAQENFERWTHKRAIKVFDAEKEA VDLWLRYLRQNGLPGVGMKAYIHEYVDYGFGEQLEEVNEVEMEVQDKRIAEAADELVK SLTEGEEVKGVDQGSEGVRTIVDATKGENPAEKLKGEAKESS L198_05006 MPSENRAPKSSNSSKPTKSKNDIAASAHKAKDAMSQNTTQAYGA LMGCFGGEKKFNEVIQKYNPAFFDYAENVVLKSAPIEYKASKLFVTLGVIGMFQFAIP IVHWPLEVIAKFLQFSFLYTCGAKELRKGFSTSSSVNLVKSLLITFVVVVSLQTIPSL LFDIHYHFGALWHFCLPVLLLSLPSPQENDRTVAIFLCDVFLSSFLNTMTATMTGMLP EIDLQDDAQRVPALFAFSAFAISL L198_05007 MSHRNDVGCLGQDSAPHTPLPYDLSSYFAPRIEGTLQSAVSEGE SDKSSPNHSKRPRDGDESDQEWTAHELGLVENMLLQPSSQFAPGSLPPSTVLDDFTTR LLHSQTTGSEKSRSPGEDSITTIWLHSWDSTRQKMNEIALENSRFGIEAERRKLPREE RSERPGLRRMDSMDFLDEGTVADRSTSAVGRALRFANAFYQISPICGPETPFAPTAKG VGPSAVSRGSATIKSSPKGTQFYR L198_05008 MSRPSNYLQTLVAHYLASQYPTVLHPFLEASRIPHPVLEHPPSP DLRTVVEDWMALQISDQLEKLRISECVDERENLERLLRIELPRGVELSPTERSLGSIS ASSLLTVTVSHVPKRVFDTSTASYKASYDLSIITSSVDKTLKVVDFDTGDVAMILQPH RAAILTFAVYPLNPRYILTGSMDGTVVLMDVISREVLQTFVSAKYVVRVAFSPNGRFM ATASYDRHIVVYEAISSALPPPLDDHSIPLDATDDASLACEPLLRFAEAHRCKLDSNP EALLFHPNSTWLLYTTRSSHVLHYLSLPSDVTHPAPWVYTNKSFNPHPLDTHVSFAVL NMTLHPSGKIVACQTGDHSGGGGERLLLYGIEPEETERLACLWTGEEGDDYVLPRMEF LPDGSGIITTTSRGYLNLFTLKGEKRSSVKIHGVVNAGSASSEVIRDCAIISARGEGC HVVSVGYDCRVRFSKVD L198_05009 MLRPWTTASEKTWGDMTPEAIQALCLSSAPRNPIYRHPLFRRIY LLFFVLSTLVCLLPIWALIYLPKGNRPRDGWSLQRCLRARWGRRLCGLVARCEIDYLG RDLALDMDTTSLRHSHPVTIPPAPDDLVRGHIKETLQQLHDSRGAWDPHFVYRKARKA AGAWGAWNLDDGHHRDFGFEPVKGFWFTGEKTSPVQPPTPRLEDDPVMLHFHGGGYLC GTAAETDLTSSICKSLVKYSPIHHILSVDYRLAPKGPWPLPLMDAISAYRWLVRDRGI GEADIVLGGDSAGGHLALALARWLRDEGAAVGLNMPRGLVLFSPWSDLGFTNAWGKDE YRFNADSDVIDDTFGPFACSLLMRAQPISLCYASPYVSPASSTIPTEDLFTNFPPTYI AYGSAERLARSIQTLFRRLQSGRVIGKQVVQDKIFVGPDAVHDFAIFPWMEAETAMMY EDLDGWLRELLSTEVDIEDEATEEADAVSPQVFASVFNSPMDDSASYRRLSRHRTNES LKSCKSPVMGATPDSGMMRIVEDMKGEGMSMIDIPKLDLDAPASRKDV L198_05010 MPPLSTPQAAHPASRKRRASSPHAADKRRRPNLANGFSSLSLHT AAQSTPPPIDDTDDDGLGPSNYPRSNDLKVEVLPESSATQETYAPTPHHRHARPHHWS HSSYGRPPPSSSSNSPPSSSDETYDSEATTTRFSRPRRYAGAAQQADEIVQPDANDPV AGYELGIEDVTGVPPPLRGRRPREDDSAEGPIEKRRRRDDMDIDMDAEPEPHLGEPNS IKDRMRKRKTVWHEPEKDRIVITSLSDSDSRSSRSPSPEVELAQGHLSQPGEHGFTLS PSLLTHLMRARRDYDFQVPLSELLRNNERGLTVYRPLGISPGKWKDDIVKTWEEAQAD ADAGRFEEIDEDEGRSFTQFSNLSEGMDVEPEVAQPWANPWIGQGEATSVDSVDQDMA MDVED L198_05011 MVLTPHGSLDLQPPPPPPAALSPLLLPDHPATADSYFTRPVPKH SRTSASSFSKLSEFSLDIPLLDDKDGIVASPEPVDENGVSGVRHTALSVDASEWRHPV FKQKVLAVLRRLRIPRWSSPVLTPTNVHLQKVSGALTNAVFFVSFNPAPNPTSPSESP LLTPTILPSDPTHPPPLTPEQYPQTLLFRVYGPSSDALISRSEELRILHVLNSQYGIG PKVYGTFTNGRVEQFFPSRALTAEELREPAISRGIARRLRELHSVDLRLLGYEQGKHT EPAVWKCLREWSEAAEEVLTQLEGLGSKWENWVQRFSLHKIRDEVRIYRQYVEANAGK GKEAVFAHNDTQYGNLLRLDNDLPPNTPDHRRYVVIDFEYASPNPRGFDIANHFHEWR ANYHHPTLSHSLHPHFPYPSISQRSDFYRAYLSITVDGSNGKEIVGKRQDVPEERVQA LEREVRLWSPGCSVFWSLWGIVQAQEHVDALADDNKDYKLEFDYLSYAVERLEMFRDE AKKMGVPL L198_05012 MAQQRKTVGNSSRVACKQYAAQMKKDVLGADEEQKAMRKKEGVM RIQALPTAVVHGSSKSTAKAFQEKLWELPDNMTVYPSDQNLEQVLDEVLSQLKSSWTA LDEENGQQAGFAKHKFDWPKEMKTGDSSSVKFSSLPTKDLGQRHQHCG L198_05013 MDDASLDGPASLERALDIAAWAEDDEQLQDTLMRSSPIQPVVEE DEDEEDEGTPSPADFRKFQGNGTEEELSSELRSGMEFDNADSAKVAIIAHSLAQSGAS AKGESNAERIRYECGSKVDNGGASAACGYHVTITYRKNVNKWVVANKKRSKQNLVHTC TPLAGERLSHSCQIFVEAMLRQHCTVTRTSPAKDLQKILKDKLNCEVPLQAIYSAKLA ITDGDVDRQAAAFRQLSAWVERFVALQEGNVAECDTRMGASFFAPRLSQLAWPHLRPL VSVDGAHSKSCHDYVLFLAVASDANKQSVTLAWGHAKTEKRESWEWFLSRLSDCYPGL DSKGTVIMSDRGKGLVPAISNVLPLAIPSHCAVHLIRNVRNRKHIGSTKEAVNIFKKM IWAETPEEFQRHRAELSGPARNYVDTFDTAQYACHAFPTTRFGLVSSNTVEQLNGWLL VARGGDIISLFSTIYDHLRASFRDRHNLLVVKPRLVERDIIQDVYRKVRGEKSKAKDY RALVTAHAGRGGADEEEDGADEEEDDEHMFLFSHVHRNGMDGIAKQRIVRLELPVGTG EFRQWALPCRHVWAVLLNDYVLKRFEKRLNPLRYIPHCHSSLAFRKTYSFQFDPLPTD NFEETQFNLPDKIAGLGRRSKKRGQSGVAPTQNSQLRVEGGMSQPGVVKKRGRPKTSK KRKQPDGTLAPLGKDELLPAGKRCYQCGLCEGGARHKARSKKCKYFGRAVGEELEVPR DVTNWRDWE L198_05014 MSQPLPADGTGVVTLDPWLEPFSSSLKERYSAYQKQRSVIDKHE GGLAKFSEGYKTMGFQVDAEGGVRYREWAPNALEARLIGDFNQWSHTANPMTKDTFGV FECYVPPISPRVCAIPHDSMVKISMTVPGGTSIDRIPTWLTRVTQDLNISPIYDGRFW NPPQNETYQFKYGHSQQSVDGLKIYEAHVGISSPNMRVTTYKEFETDVLPRIKELGYN CIQMMAIMEHAYYASFGYQVSNFFAASSRYGTPEELKSLIDKAHELGFTVLLDVVHSH ACKNILDGINIFDGSDHLYFHEGAKGRHELWDSRLFNYGSHEVLRFLLSNLRFWMDTF MFDGFRFDGVTSMMYKHHGIGTGFSGGYHEYFGEGVDTEAMVYLMLANAMLHEVYPHV ITIAEDVSGMPTLCRPVAEGGVGFDYRLSMAIPDMWIKLLKEKSDDEWDIGNICHTLT NRRHQEKSVAYAESHDQALVGDKTLAFWLMDKEMYENMSDFSPLTPVVDRGLALHKMI RFLVHTLGGEAYLNFEGNEFGHPEWMDFPREGNNNSFSHARRQFNLVDDKNLRYKYLY DFDSVMNNLESHFKWLSAPQAYVSLKHEGDKVIVFERAGLLFIFNFHPTQSFVDYRVG VEASGEYKVVLTSDDTRFGGHARVDVNSKYHTTASEWNGRKNWLQVYAPSRTVLVLGL L198_05015 MPNYYDELEIEDFAWDPVANLFHYPCPCGDRFEISKGQLRDGEE IAICPSCSLIVRVIYDYLDWEDYVTSDEDGELEEEEEEEEEDEEEEEGKDQGKDEDIT PETSVSPATEAAETKPHTPASPSQSHGDLASQLGDRLNISGGDRRDTEGEAERDSGVA TSNR L198_05016 MSTDEETPPSSSLAKKTTSTLLDGNHTFPALYVCYLLRSKASPQ SNRTYVGSTPDPPRRIRQHNGELKQGAWATSKFRPWEMQMIVYGQFEWAWQKPELSRH LRLQSAESRKETVPIFSKDTKRNWVERKICVAYALLALPPFSRLPLHIRFFVTEAKDM YESIHHTVLAHEPLPKTRKKPVNPLHLIPQAVNPSVTTMLDLGGVSGATGKRRESTRG VQSRDGPIDVKDTEFRQGRRVWGKWEEVRERIALDEDVARCCRCEKMVDCHDQLSFAL CPSDRLEPCLCITHLQCLAEHLLQDSPSRSSLLPHRGSCPCCLEEIEWGEVIRACYGR RDGIEREMQKQKPKAHNERNNKPKADSESETDTTNSLGTGSGLDSTPSLNRRQGQRVQ RKKVVRKAPPPRGKSAKSAISQVTCSSEESSGEAEWEGFEREMMALN L198_05017 MTPGPLNTTDNQTGTTSAWARGPPNKAPSAPNNAPSGTSTPSHP APVLPSHGPSAIPIGGGGHSRKNSLLVAGNGEFKKGNLAFGTVDSPTAALSSSPAAPS MTGGHLSDAVRSFGSIDAADKQDGPDAISSRRSSVLGNAAAGPSQGKKLGIHALFSSK APQPHTAPQPQPVMSPPQHHHPPAPIHHNRRQSFGHNGGAFQQPMAGSPNQHFVGSPH MRPPGAHPRSPVPNHNMPFNPSAPQQIQHGFRPPQQPQIRPNNMPRGGPGQYGMHSGP QGLPYPIMGFPQGNFYPGAYNPYEQHQQQQNFGGPPSQWVPQQSQSPQQHFGSGYPPS APGPVSPRAQSQMLSPPTQSPLPPPPAVANEGTSPVPTPPMRPPSLMSSHHHSLSNAS VASIPHQSTGSTVGGPSTYLSGNATNFAPRKSAAVKISRPDGTALDLENIKEAAKPAE AASSTNPVSASTTPGSEVLKKRALPTVVRIESEEQRQKRMAEEARAKELKNADDIAEK ERKERMERKAKDEQEKKDKEAKDKSDKDASEKAAADAKKAEDEKKRFEAALAAQAAAA KKVEEEKAAAVKAALEKSEKAEEEKAKAHAATLEARSKAEEQLRAIVETPSTSVTPSP MGSPALGAGLPAKPVAALGAAKRTPPSALNLATASESPAPLTASASALTSARPIDDIT SVNYPHALLSPSIDLNKNAEPGKFRYDRGFLMQFMNVCREKPESLPPLEDLGLDVDGA SGFGGRGGSSRGSRSSMGPSSRVPGGAGATGLGVTGARSSYPNSFGTGQFGSGPGSLR GSTSESRYHASLGPRAPSGRGAPGGIGSFSGLPSMGITNSRNGASRGSQRGSKRVPSQ AMAPPAPAIPISENAWTRTRLGTNDESSPAFIERKVKALLNKLTEEKFDPISKQILEW ANKSANEEDHMTLKLVIKLIFEKATDEAHWSAMYAKLCRLIHDQLDQAVTETTADNKV YSGRALFRRYLLGRCQQDFQSGWKAREDTAVAAAAKEKEDGAKVAEKEMEKKPEGGEE KEAVLLSDEYYAAQKAKRRGLGLVQLIGEMYKLDILTYRVITECLTKLLANFEKPDEE DVESACKLLTTVGDKLVKANPDLLDGSFTALEKILKNDDLPSRIRFMIMDVQDLRRDG FRSQKNQSSVMTIAEIHKQAAKEKNAASARESMSRGGSRAGGRRDPPQQPGDWQAVPG GPRVAGRPVDFAGIGRGLSSSARTSSPSFAGPTSVFSRKGGNTASPAPPVSRSTSSNM FSALTDGQDNTGSSASRRDSADAGEPTPQRKRLSLAPRTKPVPTEGDEEEDPQDGEGG ESGESEEDLSEEQAKEKINLDMKELWGEKDQGGSRNPGDVVEYFTSLPQNLRHLLAAR LLEDVFRLSKPRDAEVVAKGWKAALEAQAVPVAVFKETLEERVVSLDDDAVDFPGAYK AVGELIRSLSLADEEIQNLISKIEVYGQPRVTPQQKLEKALKEIDGEA L198_05018 MPPAVLAAAALLRLAAFALPSLPALLQPRPEISTPLVSFRSLKE GVFLYSHGTNPYIGGTLYHASIFTHVVPIASPLATAALWTLVDLWSSGRLLRIAGARR GSSASRNALVAALYLFNPYTLATCLARSTASIDSAILLTAISAAIDGNISVALFILTI ASAACVYPVLLFPPIIMLLWKHNTVSGTRLAIQSVATVATSASAVSILGHSMVRWSWV PHTLGLVVSVADLTPNVGMWWYFFTEMFDHFHTFFLGVFQASLIYVAPICLRLSDDPL FALLLLVGIISTWKSYPSLGDMALWAGLLGCFPDIVSNLRHPLFTLTVHLYTAILLPL LHSLWLLTGTGNANFFYAATMVYGLNASLAIVDVLGAGMRHRVKTSVDKWAAEQQSID GGAADTKTDWDSRGWHAVQFSA L198_05019 MDADYHSLPWEHTDEGPDDSVSHLKTSLIGNSVTIPISKGKFVL GTWQGIYLAEFRHSGAGWGGRGSGRKVVATILP L198_05020 MVRLSSLPRPAHIPSQAFSAAYVPSSPGPWENRLPYPGYIAYPS SAPSRSSPGSYDNERDELQAEIDRLMAYTANVRSPSPSDSLVEDDTYTPPKPSNAPDA NPTNILGVFGLSVRTTEKDLREEFSLYGQVEKVVIVYDQRTGRSRGFGFITMRSVDDA SICITKLNGNNIHGRHIRVDFSATRKPHDPTPGQYLGLQRAIRKSNPSFQVANPALLT S L198_05021 MAAQPAQAFASHLINQTLSSIALLESLALLNPSDASLIRQKLPS PTGPFPSLAPPSPSASFANLTVAQSPSSWAVQHRDDPSQQQPHSVASTPGPPTLPPRQ RPPAEQRAKALWDFSGTQADDLQFRSGDILIIDEEVNDQWSRGRVIPNGHHAPLPRSG LFPSNYVEKIEPQLQQSHQPPQQIPYYQSSPAPGGMVPYQPPQQPYYDHKQPMHMMPP QQQMQGGVVVQQPEQNKGKFGKIGGPLGTAAVTGVGFGAGSAVGSGIINSIF L198_05022 MAISSASRLALAPPVLNAAHRGGLLLTGKAATRALRHSSSVSPE SAGVKRFPPSRTAPKIIHSKATLEEPSLGESALFLKDTESATHFMQALRTPGLSFTDG HGLKGLTGKGRQTRQMNLYQAIRDALGTALAQDSKAFVFGEDVETGVFRCTTGLVEEF GKKRVFNTPLTEQGIAGFGIGLASLGARAIAEIQFGDYIFPAFDQLVNEAAKQRYASG GAYPLPGGSLTVRAPIGSVGHGGLYHSQSPEGFFLGAAGLKVFIPRSPIQAKGLLLAA IRDPSPTLVLEPKILYRAAVEEVPVDDYTLPIGQSEMVRPGTDLTIVSYGTPLHIARR AISLIQSPPPALHALLPPKLRAPNSASSIQLIDLRTINPLPVADIVKAVRSTGRMIIV HEAGRSGGVGNDLIGEVDRRAFEFLEAPVGLVTGWDTPVPLSFERFYQPDVIRVFDKI VETLSY L198_05023 MFQKVSDKFHRKQQSSSSSGKTQQSSPTGALSKVSSQGQPAPAM PQNHSPMEGIESTTPVMPQDETSHKPSAAGSSASSSLSNIPPQARTLSAALGVHGSSG EAHDPNQQITSSVSRSSSADEERMREKAREAQEQAAQAQANLHNATQQARVAAINAAA TQAALETGSQLPATAKVPVPSTGSQSGQPRRKTAGRYALSDFMIERTLGTGSFGRVHL VRSRHNGRFYAVKVLNKEKVIRMKQVEHTNSEREMLVRVRHPFLVNLWGTFQDVNNLY MVMDFVAGGELFSLLRKSQRFPNSVAKFYAAEVALALDYLHSLDIIYRDLKPENLLLG ADGHVKVTDFGFAKYVPDITWTLCGTPDYLAPEVVQSKGYNKSVDWYALGAGYPPFFT EDGNPMKLYEKIIAGKVRYPTYFDMMAKELLQNLLVGDLTKRYGNLRAGSSDIFAHSW FAEVDWDKLYRREIPAPYVPKIEGEGDASQFDRYQEADVSAYGKAGIGQYDHFFMDF L198_05024 MAPMTPARPAPAAGQAPRAPFPPQPFPPVPYQQGFFPAYPYDQA YPMPPQQWAPQHHPQAQYPQYNPRPVPYAATAPGTPVQAVRPTGHQPASSTSSQSSIP STPIRSPPSFTPLSGGSSSFTPGGSFNTPRRSAAIKISRPDGSALNLMEEALKSSSVK GPSASNSNASTPASPSTPAPEVKEAPKKPAFSLPVVVKIEKPEDQKERLKEEALKAQE AKEEQERKERREKQAKEDADKKANDEKEQKAKEEAEKKAKEDEEKNAQDAEASTPAPG SGLPPKPVAAVNELKNADSAPESPTPSEPSTSSYPLNSAQPIDDIHSINYPGSLKSPN AELNVSAEPGKFRYDREFLIQFMGVCKEKPESLPNLKEIGLEADGSSGFGSRYGGRSS GSGFSRGSGSTGLGINGINKPSMGSGGFGNFSSGPSSIRNTTSEQRYRASMQGRSPSQ GGPGGIGGLPAMGMSSSRGGVSRGSQRGSKRAPQQPAAPPAPAMPISENAWTRTRLGG NDESSPVYIERKVKALLNKLTEEKFDPISKQILEWANKSANEENGMTVKLVIKLIFEK ATDEAHWSQMYAKLCVLLHDQLDPAVAETIDNKPYSGRSLFRRYLLGRCQQDFESGWK AREDTANAAAAKEREDGEKMAEKEKAESSEDKEAVLMSDEYYAAQKAKRRGLGLVQLI GELFKREILSSRVINGCLSKLLSNLTSPDEEDLESACKLLTTVGEKYSLVAPDQLNGA LGVLSEIQKIEGLPSRIQFMILDIHDLRKDGWKSNKNQTSVMTIAEIHKKAAQEKSAA ASKESISRGGSRSGGRRDQQQPGEWQSVSAPRMVSRPADFHNLGRNMTTAGTSPSFGG PSSVFSKKGGRSGVATPPTPTLSRPSSSANMFSALTDENHEAAAERRESADAGEYTQR KKLSLAPRTKPLPGDGEEDAQDDEGSADEGEAETEAEGLDEAGAKAKIDLDMKELWGE KDMGGSRNPQDVVEYFKSLPEAHRPLLAKRLLDDVFRLAKPKDAEVVAKSWKAAVEAQ AVGVQTLTSTLEERMSGLDDESVDFPGAYTAVAQLVRSVALSAEEIDVLVGKIDVWGE PRVTPKQKMEKALVKVDEEA L198_05025 MELNGGSVVAMIGKDCVAIASDLRLGNQQVGIASNFDKVSPVND KLYYGIPGLASDVYTLREHMRFRVNMYRMKEEREITPKTFTHLLSSTLYEKRFGPFFI EPVVAGLPSPTPLEPNPKPYISTMDTIGCITTPKDFAVAGTAADKLYGIAEGLWEPDL EPEDLFETISQALLSSVDRDALSGWGAVVNIM L198_05026 MSTQPQYSPPEYSLSALPISRSVKVALEEAGYRTTRDIELMSAE DLSVELAISTSEARFTLQQAEEWQAQIHSATAADLLSTATHPHFSTFSASLDGLIGKF SLSISSEAGSSRKGKERLHTGSIVPGMVVEISGPPGGGKSAIALAVSLSARESGQKER ELNGHPEGKAHSEFGEVLIIDTEGAMTTERLYEAARAVVEGDKRLPHDVLQGIHLLRV STQHEMLALLHTLDEWLESHPKVNLVIIDTLSFHFRQLSLDIAAKRRAMELQVLIALS DSLSICNAADRNLQVIVCNQLATKLLNAENKPANFDTGDRAILMPQLSDSWATSKALR LVVFRGGVSDEIRYVYASMSGLSKTVPYAAFDIDSNGLPCDVPEMLYPTA L198_05027 MSRQPDPFDDLLGSPPLPTSAAHHDPFEDDHTPDLFSPNTAASH RKVPTSSTSAQPYALDPFFDDDDEYPPHPQPGYASSGYLGPSTSTVNVPKSSYGHSDA SMVPFADSGVAPAGFAGPASARSYGDDPFGDDEGPSAYAFTAPGAAAVSNWARPRRSR WQKFRDDYLTDVDWTMGLNQLLRRKSKFDGMPREIALNDPEGNRVKGFENNSVTTGKY GPITFLPKFLFSEFSRSANLFFLFTACIQQVPDVSPTGHYTTIVPLAVVIIASAFKEI KEDFKRHASDRSLNYSTTQVLVDGQFQNRPWRKIRVGDIVRLEANGFIPADVLLISSS EPEGLCYIETANLDGETNLKIKQAQPSTASLTNPQSVSLLRGHLLSEAPNSSLYTYDG TFHLSSSHPGAAPTKIPVGPNQLLLRGAQLRNTEWVYGVVVNAGHETKLMRNATDAPI KRTSVERQVNRQILLLFVLLLILSLVSTIGSSLRTWIWDTNSWYLRLGDENKNKARQF IEDFLTFIILYNNLIPISHVLIMTMEVVKFQQASLINSDLDMYHAPTDTPALCRTSSL VEELGQIAYIFSDKTGTLTRNEMEFRECSVFGTMYAQVVDDAKRDQGQKNFDVLRERA EEDSEEGETLRQLQTHSLLLLSICHTVIPEERHGAMIYQASSPDEAALVSGAEMLGYR FHTRKPKSVFVDVNGSSEEWEILNICEFNSSRKRMSTVVRGPDGRIKLYTKGADTVVY ERLAPKQEFSEPTLVHLEDYATEGLRTLCLAYRDISDEEYAQWVQMYDTAASQLSGRA DALDRVAEVIEQNLQLLGATAIEDKLQEGVPDTIHTLQQAGIKVWVLTGDRQETAINI GLSCRLISESMNLVIINTDTAAETSELLNKRLFAIKNQRMGGDTEELALIIDGKSLTY ALEKECSEVFLELAIMCKAVVCCRVSPLQKALVVKLVKRSTDAPLLAIGDGANDVSMI QAAHVGVGISGVEGLQAARSADVAISQFRFLRKLLLVHGSWSYQRLSKLILFSFYKNI TFALTLFWYSWFNDFSGQIAFEGWSMSYYNVIFTILPPLVIGIFDQFVSARMLDRYPQ LYQLGQQNYFFAPITFFYWVGNAFYHSVVLFAFSVLVFRNDLLATDGRNSGLWVWGTT LYLAVLLTVLGKAALISDVWTKYTLAAIPGSFIFTMVALPIYAIVAPLLNFSLEFTGI VPRLWADPIFYFVLFLFPIICLLRDYVWKYYKRTYHPESYHIVQEIQKFSLADYRPRQ EQFQKAIKKVRATQRMRRQRGFAFSQTETSNQDQTRLIRAYDTSVARPSGY L198_05028 MSDSDSDNFALSGDDDASGSDFGASSSKGKKSPAAKKAAPAKKA PVKKAAAAKVRHPSDFIVECLPSSASIKKAAPAKKPAKAPLASKKHSNDSLSDNGSDI DESPPKKKPKGNKVSDDEDFGGSSSKAPANAKNASEIYQKLSQRDHVLKRPDTYIGSV EAITQPMWVLEPASKTMVHRNITFVPGFLKIFDEILVNAADNKINDPSMDTIKVEIDR EKNIISVYNNGRGIPVEMHTKENVMIPELIFGHLLAGSNFDDDQKKLTGGRNGYGAKL TNIYSHEFIVETADKSNSKKYKQIFTNNMGTKKPPKIAENKKDEEWTKITFKPDLERF NMANIDDDTTALLMKRVYDMAGTIKDLKVTLNGERVKVKNFKQYVEMYLNASTNAASD AAGGAAISKPPLIYEVAHKRWEVAFALSEGEFKQVSFVNSIATTKGGTHVDMIATQLA NKLMDQIKKKNTAAPVKPFQIKNHMWIFVNAAVENPAFDSQTKENLTLKSSAFGSRCE LSEDFVKKVAKTGIIDNVLNWAKFKQDQIMKKTDGSKRSRISGIVKLEDANNAGGKNS KLCTLILTEGDSAKALAVSGLAVVGRDHYGVFPLRGKLLNVREAGHDQIVKNTEIQHI RQILGLKHNQEYKSVDSLRYGHLMIMTDQDHDGSHIKGLIINFLDHFYPSLLRIPEFL VEFITPIVKVWKGKQEISFYTMPQYEEWKEENNDGRGWDSKYYKGLGTSTDADARKYF SALDKHRLPFEAMEPNDRLLIDMAFNKKKADDRKEWLRQFKPGTYLDHAVKSLPISDF VNRELILFSMADNIRSIPSVADGLKPGQRKVLFGCFKRNLVKEIKVAQLAGYVSEKTA YHHGEQSLTSTIVGLAQNYVGSNNVNLLAPNGQFGTRLSGGKDSASARYIFTNLPRMT RAVFHPADDGLLNYLVEDGMGIEPDYFLPTVPMVLINGADGIGTGWSTAIPNYNPVDI VNNIRRLMKNEELENMTPWFRGYKGTIERVDQDKYKVSGTVEKIDDITLEITELPIRK WTQDFKEMLEEMTVGSEKVPKSVQDYEEHHTVNTVHFKIHMTEKNLAEAEKEGLDKRF KLTTTLSTGNMVCFDLNGKIKKYSSPEQILEEFYFKRLEFYGLRKQYLADELTKQLDK LANQARFISMVVEDKFVVSKKRKAQLVAELREENFKPFPKKDKVKGADDPVGQDDEDE QEGLASDYDYLLGMKLWSLSEEDIEKLNRQRDLKEHELHELLKLTPQDIWNVDLDNFM GEWEIALQEDIAAVKSGKPKNKVAIAAALKRKMKATGDDSDSEEDFKPTKKVTKPRAA PKPKAASAKPTIVKAGTPLRDIDEESEAEVLPKPKAKPKAAAKPALKQTTLSKLVDGD DGSDADVIPPPKANTKPAANKTKAAAVDESADSIVEPDSPKPKSKPAAKKLKPAVKKI LLSDDEATPAPKARVKPTAKKSVIAESDDDDDFDMSSPVKPKPKAKTVAKKAAAPKTK GKKKLIDSDEEDPFVADSDAEDAPPAPKTTARPGRGAAKKPAYIDMVSDDDE L198_05029 MPTSPSDVSQNKTAKRTYGRRSLAHKQKRKSELLTGGESDASDD GTDHSRRRPEGMSDAGSSVYTAKRRRAASREQSGTGDTPTSSSRRHSSSPSPERRPQP KADIPARTSGLVRPTLVTKRAPKAQDACADTQPGSSNDLPSPQLPTSRPPPPLMRELQ TKRPITRTLSGNRIEHPDLSAIFAGVSPGRRASHSPARSLDGHSDSEADSSGSRLALP KPSGLRRMLTKTQSLGEPSPTSGIDDGDAAGFQASPTKPSVPAPRTPPGALRRLHSMP ESPHMPNPKESQGEGVPIPQIQAVQPGSGGRAKRTYGKVRTMLVEQPKEGPGNQSTFA NIDSEEAQESYTELRHKYEVDNTVLPNSRSASLLQEMMQARAPETVSDMRSRGENRRF IDELGYLLEGISDPTASSAFRKSSAVDILQNMQDESWLTKMKICGQVDKAWDCFYGPS FEDEIMRAACLLFLVVLMLSGSGLEQVLSSNSEQCVALVAQNLDLRDGPLDTTYKTKV VGSVTRLRQLGNQLDLGWKSTLTTRRLASVLLRYICSGPLWTTAKEALESKDLSALIS SNLQREIFPVINRLDLYEKGMELIMEGGSVEFQYLAECLGILLSLVEKSKSQRENFFQ TYTSNTQNIIYVAVISCNVALTSPNPDLEASTCVVRAIQLLAHLATAVPAWATTVIQS SGGPSLLARIILHRKVILGTADEMRRRRCSSTATEMDEDARQGETASVQPEDPEMSEE EYLCFGLALLTTSAITDGNCASVIARTNVQGDCFGNHGCLLRCRCISPVPLGRQLAYL YERYQCLQEDAFAPILAGNLALLFSKIFIASPETSGSIIGALPGASRKEKLQGLARSL RELNDLQLAMQNTMGKLLPRGFVLEDDVDKEVITEGEVVTQAIRDIEAFMN L198_05030 MSNQFKAPPLQVSSILSGGIHHPTLRAWQANGRNLTKDMLIYPI FISDDPEAEQVVETLPGQKRWGINKLEGFLRPLVEKGLKSVILFGVPTKMEKDPRGSA ADDESTPVIQSLKLLSKLFPQLMLVVDVCLCEYTSHGHCGILSSLPNPNHSNAPTLDA ESSAQRIAEVAVAYAKAGAHCVAPSDMMDGRIRAIKLGLMHAGLANRCALMSYSAKFA SGLYGPFRDAAGSAPSFGNRKCYQLPPNARSLARRAIQRDAAEGADILMVKPSLPYLD IISDCAQYAPDHPTACYQVSGEYAMVCAGAEKGIYELKEMAFETTESMVRAGASIILS YFTPQFLDWLDQ L198_05031 MSTRPRSPSSQGTTATVVEAGPSNSAQQPTQPPVGTLRLRGGPL QEKRVVWSKETVDNEGMGKKKSKICCIYHKPKAYDESSSESSSDDSSNEDERARNTGQ QSQSRRIGDSGRVQDELSSESDGGAGDGRARPIRKARPRRHHKSHNHDCDHAHGTPEP NRYDIQPSGAKGRAKQ L198_05032 MAKKKLSLKPVQRGFATTSAAKKPQPQQPPSPPSQHEATPEQPL DDQPREKVEAESTDAKKEDWEDDDATERNALQGFVDKLHEKGEKEVVRVIKAIEFDQR LGASFPKVEINQSLRDQALETALEEERANLTDSSDPPTVTHPAANAPETEKGLLRFFI AYHVLQRLGFRTARIEQCFLQGLKEGEGWEEALEWLWLHCTEDECLQKGEFAKQEERP LEEPEEALLPALESVIEEKVIQDAPPLQTASVVTGPDPSTTTSLFQAADSESDSGSDL DDVNSVNEQWARLQLSLDTLRGPGSGKKGKKGKGSGVVLDTPEIRGLKEKIAAAEKEY MFSRKHADSILKTLKSQRNAESIAAKFKGLSTRPEEKAEEQVDKDVQPEDERTGLPVQ EEEEKPKATDSSSILDASASDDDDGGGLFGAMLDEPTQPKSGIQPDTEPSSSTSISVR SMPIPKQLSFAGTIPKNVLKAAFSKATKQVVLSYVNLSGHSKAARAGLECRWQSGSDK GRRIWRMDDLACDDMAQAENYVSTLALSDLEAEKLISGVNWRTMPAAYRELWEELGIE RREKEDASRREVWKTIKNLWDAKATEAIVEKGIVDKSSGGKEKDGGETKGVEMDKEDV FVKKIQDDYARRRESAPYQTMLKQRGTLPIASFRDEILATLEQNQVMVFSGETGCGKS TQLPSYILENHLSQGKPCKIVVTEPRRISAISLAQRVSQELGDATGAVGSLSSLVGYS IRLESKTSANTRLSFVTNGIALRMLESGSSGDSKGTAFDEITHIIVDEVHERSIESDF LLIVLKNLCQTRPDLKVVLMSATVDAEKISNFFGGAPYMSVPGRTFPVTVNYLEDAVE LAGWSIDERSPYAVRGRRQKPQSQMVEWNEEGAKSDSDPSDDEDESTSDNPAKLSSAR YSSQTASTLNLLDSRQIPYDLIVALLEKVCYDSLEHVTFSQATLVFMPGLAEIRKLND MLLAHPHFGSQDFVVWPLHSSISSEGQGAVFQKPPKGVRKIVISTNIAETGVTIPDIT CVIDTGKQREMRYDEKRQLSRFVESYVARSNAKQRRGRAGRVQEGLAFHLFTKARHDT QLAEHPIPEMLRLSLQDLALRIKILKVPLGNTISSVLLQALDPPSAINIQRAISSLVE VKALTVNEDITPLGRLLSKLPMDVHLGKFLLVAAMYGCLDPALTIAATLNSKSPFVTP FGFEPQARAAKAGFAIGNSDFLTIANVFASWRRASDNHNFVRTFCKRNYVSQQNLQQI EELRQQLLAYLVDTAFPVRVSQCPSSALTLSRSRFSKGVRTRFVPVPAAVNVNGEDFK VLGAALVSGLYPKLLALDPSGGLKTITNQQHVVIHPSSVNFKVPYKGFGTNYLAYFTI MHSKRLYAWETGPVEDTALALVCGDIADFKISASSLIIDRRIKYNVAPKTALAIKLIR EQFASVMSMRLRGKTLSNSQRTWFDLGLKCLVAGLDEEEGAKIGVV L198_05033 MPKRKSIDPAPPQPPPLSSLDAALDLLVCDTFTRLDSIAAKFEA CAAVKLNDGVVHARWEEAVKRYWVMKSMDELTCSAEKNRAAGGNRNGYIGSLPDGEDG VMEDAENTERDGKSRPMPTAYPGAVSVHNLAADEEDEWRKWNPRLGNVVLVDTAHDGI WPGKIIDKKAFFQGRTTPRGNHFFPVRIYNEFMPPIISIKARLIPFHLRPEPPLLASA SLQSAYHHAKNPGGFDVLATAREAHSARTRLHPGVTGEDNQAKYKGEREEWNTQVNWV MSERRMEKLRILAEERGRVLREVGRSASTPVFEANGESSATDERLDSIFGVPKKRRTS LPPPKPKLDPTIPALSIVPSAPSTPPPRTAGSPSMASYIRPSLSNQRTNSPRRTPTRR DRDKRMYALSGVGELSPAASVRRTYTPPRILPSGDETAPSGFGMPEEVKSGRFDFTSP LGPVKMGKLVPVNSKSNAGGADGTPPGKTGRSGSLEIVREEDEEEGWSIVSRKCRRAG SEPAGARVGLPLGEQGQGESTGGAEDMEL L198_05034 MLPPGNFFPTLIVFHLFALHMPITLSGLIDAPFGKFASHGSRLN INGNLGWAAMEIVSPVTFMVALYTHDHPPLNLSARLLQVSTPFRKREGYS L198_05035 MESLSNDQAQTLWEVGGFVIITDLPEGSEFGIDGTYHTTRKFSG IKFVPPGIHMIAWCPPPSTAPSSGPSAIPIRQAIIKYFKPKERYVLKYDKGTESVILP EGEVLMSDDNLKNLDAELAPYPFDEITGWKALTSEIAPSVVSAVVPVGIIDGLTPVMD QDDETLSKEMKDKLEEMKMRSHMEEGEMEGASLAFTRFNLKKSWREGAVGEEVTRYSR DKSWLFGNVLEGQLKRDPKLLLGHLQLSFILLLHLSSFACLQAYRSIIALFTASPSLL QTPSKYLSPPKSDPNVHVGAGIKQAFQTLVDTLVSQLGALPEQVFDNGLPEMDVFFLD QIEALRQNLGTALWATSISGLQVGVEEGAQWSEEERQDMNARWNRLRDVAWKRWRWDV DELGHRVEEEDEDEDGEYAPMVVEM L198_05036 MSNSQKREKLDIESPEEREARLGQLLSSISTGAKPSDIEPSTPS NPLPSRPHAMPESDVLARVRAFLPQFQAANEDLLKRAEEDPDSVDIEKGQGQHIAMDL GLGVFDAPENPSNDMGPIIESTVPEGFQQRQDEESEDESSSDSDSEGTSSSSEAGESV SAAK L198_05037 MTISHIAIPTACSVSAFTPASLQKHLSTTPILPLHLPNIADAWP ALASWSLKDNLRILRDRIGEERVAEIELGKSGRGYLDQEWQKINMPFGRKIFHVVPPA ASPHLNPSPKPLHANTSQIPIPVSRIVSPFSESADLDDLPPNTLAECRDRLRRAYELE GGCQVVVGPGESVLIPEGWWHAAEGINGPGVGVGAWFR L198_05038 MSLLRQSIARVALKPSILRSTPGLMLAQRRFASTEPMSASENIA LLNSQRQYRPTSPHLDIYQPQLTWILSSLHRITGVAFSGALYLSAIAYLFNPMFPVID SAHIVDLVHTFPGWVKGGLKFAVSLPFTFHCFNGLRHLNWDIGKGLTVKGVYQTGYAV LGATLASSLYLAFLL L198_05039 MGNAVSSCCRPRRKNSYEPLLLETEREAVADLLQYLENRSTTNF FAGAPLAALTTLSFSENVDLQRSAALAFAEITEKEVREVGRDTLDPVLYLLSSHDPEV QRAASAALGNLAVNAENKLLVVSLGGLEPLIRQMLSPNVEVQCNAVGCITNLATHDEN KTQIAKSGALVPLTRLAKSKDMRVQRNATGALLNMTHSDENRQQLVSAGAIPVLVSLL NSPDTDVQYYCTTALSNIAVDVNNRKKLATSEPKLVQSLVQLMDSQSLKVQCQAALAL RNLASDSKYQLEIVKFNGLQPLLRLLHSSYLPLILSAAACVRNVSIHPANESPIIESG FLQPLIELLSFDENEEVQCHAISTLRNLAASSEKNKGAIVEAGAVEKIKSLVLTVPLA VQSEMTACVAVLALSDDLKPQLLEMGICEVLIPLTNSPSVEVQGNSAAALGNLSSKAA EDYAPFNAVWNKPDGGLHAYLVRFLSSADITFQHIAVWTIVQLLEAEDEQLTNNIRSS PILISSIRQLSKSPPPSHSGGRRDPTDPSGGSSDEEFDDGMTDQEGEGEIVSLARRIL DLTEVGEEEGDAFESLPRPVVAASQGVQQYSSGGQGSQVGSVGSEHAALRASIHRALS GGGR L198_05040 MYDGPVRGGTRGGQGDFKWSAVADDKHRENYLGHSVNAPVGRWA KNKDIHWYNRDVDDGDTERAARERAEEIARVKQQEEDALAEALGLPVTKRDPDAIGTG ANDVPVKKSEKDEEIERLEKEERKREKA L198_05041 MSWFSSKPDVAAAVNNFWPATSSQTGFGELNSDDTAWLNTNDAG FQTETQTWYTVLADGSLVMVQIIWSYLGVFLIPATTQITFKHYNPATKKSIWKSVNAS KSKFDRQNCKADEFEIKHTGTPATDETYSITAHLEKDVQISVQFTKPSSAPGFKLGSG PEGGVSVFGKDKLKRDGYVVHRFHPLVKSSGTLILSGAIVDMAGEGMFVHAIQGMRPN LVASTWNFAFFTTAPGQEDVKLGVVRAIQMEFETTEDYGPKGPKSGQTKVNIGCVYSS KTDPVPFLVTGQTHTPAGVEGYPAPSSDVSTASHLNAVVDGETGYSVPGGLEFNWAGD RRDGTGRASARAVIEKTGMVVGEGGLIEKVDVLHEIPYVIRKGLAAATGTKPFIYQYH NATTLEVTRGEETVPVEGWIFNEASFVNV L198_05042 MLISALTVFALLPLGLALPVAPVVDRAEPAIALAVDESTPAIAS LAAPGVISVNAFGRAIASVASSDESLAFGALPRAAVQAAANDAASIDAFGRRDALPTA VITDTVPLDAFGK L198_05043 MSYSSLSYELPLLEFNEREGGEITLGSLNKPRKAGVYAVAIGFK PGLYETWDEANAQVRGYPGAKHQKFGTRQEATGWLAESGVDLDTSSKAAQRRSCSPES RPRKRNHGQERVPTTRAGKLTVPNTNTSTQPSLPESQVRDLETDRAASPFNDVTPSTL SPILADIAKKGFLFSKSSPHHLIVYTDGSARGNGQVGSKAGAGVWWGSQGEASKSNWA ERVPGHPQTNNRGELLAVIRAIERCPYPDLPLEVRCDSRYSISCMTIWLPKWVEKGFK GSRGEPVMNVDLIKHLMTIIRRRGPNAPVRFKHVSAHSGIIGNEEADRLAKTGGALPD VKDRGEWLDLDPPEEPQDNKLISVDSEIDERWLMSAEEIDLLERDLAEGDRGAGRDS L198_05044 MPRRTSADHRNVVAVIGTTGVGKSQLAVSLAQSHQRFSKGHSAA VVLSADSMQLYKGLDVITNKVTKEEMGGVEHWGLDVVSPAAGRSWEVGKWCNEADQEI ANMPLETLPIVCGGTHYFIQHFLFPPPELSFSREDSDGQGRPLEIRWFPPGPTPPTPE NLTPALKRLLESFWLPDPVWPSAELETPSSPSTKAESSKSSRPTVQDEYNLLSLFRLL EAVDPTEAGRWHWKDGRKVRRGLERWWERGGRVNQKNTDVLESEARPPGKDGRHAKFR TLIFWVYEPLVSLRPRLDKRVDKMLENGLLQEIEELRGIAAELFGSDNPVDHTEGIFQ SIGKSRSRLQTTIYSQKSCQDTKNPQSHPLFPAMLERTKISTHQYAKSQISWIKKQLL PAVREARSLGGQVEVYVVPGGEKGEKPAVDVLSRFLKEEHLPDASAIGHPDAGELRQS LNARKFCDICSTPNQPYSVIVRDWDAHLKSKIHNRNSRSYDKSDREAWIAEQRAKAEQ RRAEKERSREESVQQQEEV L198_05045 MDDPLQSLSKGVFVLPFSPQDEATEVPPDQSEPVVQELFDEIYA STLNSIEEAYSVYEEQRTQQQISNVLSWLERCNEPQTIQLIRPIHRLPVAVLQNASES LPSHFSQLDIYHHTVQGARSFTDLASAIRSIAIGFIGDATVSGKRTGRSGIDELELWW KSKTERPLLIHVRDAQMIPSSVLSELIFTLTLHPAIPLRVLLSVPSSAVFLSTWGHIE PSAVDMCILQAGRIKKKAGGVDAIIRAFERAPLKISDNLADELLTQEDQLDGGPAAAL KTLKWALLDFYVDGPLAVIIQNADTAEKQRKILQLFKIIRANPAKPDVPESVKYLLNL TLHDNLLSVHDPSPRLSFLHALSNPEQFVSLFDAYNGQSLSTDARDIAPGPSERAEKQ SAERSNKRPRLEAPRKDESVRQVDLAEELKRLKVLFGIWKEAGKSVNLWDWLEEFRDV MDESNAEGKENAGGRGEGEGKESELEISETHAEHGVGGANGNSNGDRIGRKQEAEEEE RLHAIFVRFVEEARMLGLIRAKGKKADEVLKSVGLI L198_05046 MDSALSTSQSATSSRPTRRPSTSARRPSAATHRPPPPPRPLTHD EALDSLRAFLKERSSYDVFPVSFRLIVLDTHLKVKKALDVMLLYGVVSAPLWNTAAAQ FAGMFTVQDVIHLIQYYYQSSSWEGATADVEQFRLQSIRDIEKILHVPPPPLLYVHPL RPLYDACRYLIRTHARRLPLIDKDPQTGGEVVISVLTQYRVLKFIAMNCRDITQYLTA SVHQLGIGTYVSPNPDPESTNKFYPLATATMKTTVFDVVHLFSEQGISAVPIVDDQGK VLNLYETVDVITLVRNGAYTSLDLTIAQALKQRAVDFPGVVTCSPNDSLSAIFSLIKI RRVHRLVVVAGQDDPKPGRLVGVISLSDIMRALIGSDVPLGSAGVGAKVVDKVLREEG EDEVFATSEGTRSGGTSMEG L198_05047 MEWQSFSPKTNEFAGQPHLTGKTITLEVESSDTIDNVKSKIQDK EGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALANKYNC DKQICRKCYARLPPRATNCRKRKCGHSSQLRPKKTLKK L198_05048 MRIHALAALIVLASGPVFGHISGLKGRDHHHHDRLVLDLLAHEP LALEAPDERRPVAEVILLAEHVIDQVLVARADSTNATATVSTLNSTLPLPRSPVPTSA PSPVPTPLDLSISNVMSSSCMTYLTSLVSSSTFMACLPFSLLLTTSSAYATLVSTALS SGNYTHLNELVGYTSSPQPSSDTCESYMEGVMTALTSKSNCASDISSNLAVVKKTKLG VGNYKVMKEAEALVDTDTGSYCYLEAIASEKPDDLYLWGLPGGISLPSSSTPSCSKCS SSLLTTYGSYISSTSTLNSTVINAAVKRLNDACGASFVTFTATNQTSSTERGMGTGQR TGDAGFWLRLLLGAAVAGGLSWI L198_05049 MTALYEYGSLVIVVPIHHTANMKGFTKVLQRTPHNLTSRMGMSK KSSDAEFDELDRKFTAVETACAKMHKDSVTFRDAVSGLLTSGSSFSGSLATLFSPLGA EYNLAGKHPQAETTVENISTYQGLMEEVRETLVPELELIESRIVNPCKELVEICKKIR KSIVKREHKLVDYDRHNNSLNKLREKKEKSLSDEKNLFKVEQDYEIASGEYEHYNNLL KTELPQFLAMATRFIDPLFHSFYYMQLNVYYIMMEKLQSFADGKYDLTRKDIENIYLE QRGDAADRIEELQITKRIISTAKLVQQHRSASGSSSTIGRTSSIASRTPTTHALDRKD SPDTYASEKRLPPPSASAAVAAPPPYTAGGAAVGKKAPPPPPPLKPKPSYNAVKYATA IFDYEAQADGDLSFNAGDRIEIVERTDSSEDWWTGRLDGRQGVFPGNYTQVD L198_05050 MSVAEVEQDLSHIHWSWPEAVAANPARSLATADLAMDYFAYSPF WDSRSNNNVLRTQRRIDNPTYGHAEEKIELNAFMSGFEYIVAHSQPPNMFVIHRRDVE SSGKRDHVTGAWFILQEKIYQCPSLYDVMSARLKNATSLISKTMRTLSDNRPPANPRT TTLWRSLPPSTSKLGPSSNQSPVVENDHAEAPADSPRELDLGEKPAGKTKEQVQEPDW HLFYALQSTRASLASLTAMSRAPGKKPDPKEELKSIEAAITQQLGGGGGQESVTGRSV VPRIAGASGAGSVKGLGVPKAGSHLGAPAPQGGWLGATPGQGTGSPATLPHGVTPDMT GSPARFLNEKLVNQHGVNGQPPHAGASYIGTQ L198_05051 MASSSSPPLPPVPKASAALATDASTSSRAMARLANEFPGAASLA DHKVTHAKLGLEIMDIREEIARLKAELRRDQDPGRMSNIQSQIGQLMLQINVIQEKAA EAEAIVKGITSDIQRLDVAKSNLTTAIQMLERWGMLRQSHEQLRHLLPTRQYKNMSQA FAAVTQLLASLKPLSSVPAVAEIFKAAEADRKTVQEKAAVEMDTFFQQDPNKIVDRRS IAEICLLIDVLGGDFRNHIVERYLQIQLAEYRRIFRSTDEAGQLDNVPRRFAWFRRVL KHHDEEDSMLFPASWQVTRLLVSGFAECTRSDLSNLLGKQSPAVNVLLDALQATLDFE QAMSRKLDMTASHILYGEITSLTLRGGSLKWTIASIFDQYLNVYVEAQDRAIYDMLSA YRGSNARPSLESVIAEEPETPVPTVLPSSTELFYFYGQQLDQCEKYSRGETMRKLSDV FVKWLNVYAGEVLLAGMKSAPSRKSFEGRDSLQEVKTACMVLNTAEYCQNTSIQLEER LRSKISPDLSESISFESARETFSSVISQSIAVLLRELEYSCEPAFSAILKTPWIHLEN VSGRSAYVVDLVGSIKSVAEGVRARIEGKKYIRNFADKAVGVIITRFTQAVIKSAPLK KIGAEQILLDVQAVKACLLDLPEPHPENSSTIYTKYVTKNTGQLETMLKVILAPDEVP EGFVQNYCLLIGDRSFTNFQKILDLKGTARTDQQRLLDIFLSVTSTDSDLTDTSFLTH IDMDPPASSEAARGFASPSASSTGLFSPTTGPGGIGGLPGLLRSGSAEGGERTETPKA FGDFRRLVNFATRRDNSAMNVPH L198_05052 MGAFDPIITYDKATYIETDTGNKVSRRALISGATNIVLGGKSII QTSAILRGDLRRSTAGQHVVITVGRYCLIGEGAVIRPPGKIYKGAFTFYPVRISDFTH IGTNCIVEAAQIGSGVDIGEGSVIGKFVVIKDLAVILPGTVLPEGTVVASMSVWGGNP GK L198_05053 MSLDPSQANHSRPVAPRHRKRLSSSISPRTFPTTAREARETTPS PMFGDATGSLAPNGDGGNALVLSELRQRSESVLNRAGREDVEWALREEWETREKLEKR LGSLEGEMGALEATNAELERALAVVQARTDEAFNEQARMEADLEERDRLLDRLRKRVS DTERQLKDSQGRNADQEKAFETERHALQAQEEHLQKRLNTALSAARKTRPPSPTPAHS DTAFSTSNLKEELATLRLSKSTLEAKFSTVLREVSELREENRELKEENEGWEFMVRQK TLNGHIMNDGGFLGMDADGADAGRAQDDDALVRDTLDPHSPIKSRKSVREASTGQAGG GSHLAAEIGRAQETRELGVVEEGDEDEALRNEVKHLREAHKALSLYCSKIIDRIIAQE GFEQILSVDYKTRRVGSRNVSASQTPLKEIAISLADSSVNTNLPPSVPLSEERVREAA ERKTRPMSMFRAFSSSTDRIVEEPKPLPPPVEVPVPAPEAKAEKRARRGFSLDFRSLG FGGAATPDPPKPALRPLTLSSRATSATPPSEKDKGKKDTMLPPPLSATARKLEPQEED EDDKRERHRMEASLKLMGIQPGNVEATSPGPMTAVERRSPWSRLYSVIGTPDPEPLSH RPGADAKDAEVALKEFHQLEAERIRALQQGKAEPRYTTPPKVGLHRRQSSSEAGARDR AMSGGSMRTLWSLGSSRPASQEIAKE L198_05055 MAPRNRRGRAKVATPTSAADTPITTAQSSPARSRGRSSSLSPIK SGHSDSESEPEDKENGRNADDDNDTEESKTAEQDGTELTERTAEDEAEKDHSCPKGIR FRYPPSAPDFSTLSDREKAFKVGRFLPCTVAECACTGLEPPVDRVVKLGKRDEDEDED EDDIEDEDEDVSMEDAESVESMERRKKEWRTEEGWWRICGRCGHGWEGQGHIWASDIP PKEKTRRGKVVGRMEEILQDEDNLLTFPTPSTESISSLLKQLDHFVRPAGKTTAPSLP VPIDMSTPGSETPNEVTDDEPPRKRRRQESGSDAPSDEDNESPVKDDHPKHGKKKSGK SAVKGTKPRTVVRGAQGYIPMATDPDGNQHVAGHLPISAGGQDQEMEDEEEEDEEEED IPLARRPELDESERKRRTEIKVKEKEREEELVSRLATGKADNAEEAVEIWEGIELQKL PLRPAAIEQANQEIMLPVVSSRNPTPVATILLVGLKNVFQRQLPKMPREYITRLVLDK NHISMAIVKRGWRVVGGICYRPFEARGFAEIVFCAVDSSEQIKGYGSHLMNSLKDHVR KAHPTINVFLTYADNYAVGYFKKQGFTKEISYPRERWVGYIKDYEGGTIMQGRMLPKV KYLEVHQMLADQKAAIIGKIKTLTKSHIVHPGLDVFKDRKPEGDNRKLAKEEVPGLAE SGWNPDLDDIIRQPKRNPHHVLLQLVLNDLQNEGSAWPFVKPVDANVVADYYDVIAEP MDLSTMEYKLENNHYESIEDFVSDVKLMCANCRQYNGEKSTYTKQANAMEKALDKILK KRQSVLTD L198_05056 MVAAYGRGGHPGIIGLDDFGSWMEDNFNIFATLHRDNVLEPATI DCALDACRDMLAVHTLPPDSWIQWVALIRHHINPFTRYFDYPYDVQHLIEDGNSNAQA STGIYEDEYGRYGGGRSPSPDSDMPSIIRAYYPP L198_05057 MASLKDTHPENVEAHDLLSIDHAVCFDNEEGTLAKGQFRLQCVS LPIPKEIGRQTANPFAPSPSDPPIPTHDYWLIIQVGASFELLATPGQLFTPQVTKDSI TYTITSRDIPGASIAFILPYPQTNAQLEDLESLELLLHHYKSMGAEATALAGIEPQNL TGSALAPEELRGKLVLVNNDNGEVLGEMDENLDIEVDKRIAKENENLPVVLDFEQVME GHQALRVKVKTVPEGDMDDWLLRGANSISRGILTFGAWSSRQMMSGADQFVKGSTPRE KPVVFSPQTKEGFMKVHKASVTTATVTKSTLNKIHGAIQTVAGKTYTHGVQPVMSTYQ ENYRELAGPPLPPRKQPQQVAASSASQKGAPSIPDKPLELGGAQVPYAARQSPSPTKG NQLAYPASTQAATGQLEKPPNYSVDPSASRLPSDVVPDQPKKKRGLLSRVVLAGEVVF TSLEATAHDIINNGTIAASTAAGHKYGRDAGEATALVGGSIKNVAVVYVDIAGVGRRA LLKSTAKGVIKTRTNDGEIVELRAEGNGNQIRAGEAERVDEGEIVVGMTEVKEISPIV QVP L198_05058 MRTVFEELDPFRDLAYDECLDEEKTEDPELVDESNSGVKYQQEL LQISSDDLRDPFCNPNGGDVIVQRAMRQFVLTKPSWKQIQLANTLLPRVSSGVPLPDP TRPIFINAALFRLRRHLLEGSADEFSRLCLLKSALQAQEKVYACRGLHAKVGLGKRGG QISEEPRLRPGRGRQGTCQAQLDGHTSTNNGDDFAVDDEEDGEDVYLTMKHRRRTSTY PSVAGVREQLKTEKGVKRLQRFVNIVHPVC L198_05059 MRSFSQALTRVLSLSLLAPTAFALSSWYTEPGGTPAGSDNGDKV RGVNLGGWFLLENWMMPSFLKTDIVKDTYINDEWSYCLVLGQDECMTRLEAHWDSYIS EDDFKRFANYSLNTVRIPMGYWAWTAADRCAQLPYLERALNWSSRYGLDVMLNLHGLA GGQNGQDNQGYKGPIEFQLNTTNMDRSLEALANMPPFVMQEKWNGVVKAIELTNEPYI LEYSSEGMSFDDLADFYVQGYKTVRANEKII L198_05060 MSSRSRPKRASAADTPTEGTPRTRRRRAQLEYDEPAIEEDESLD EDAEGEIEEEEDDDESEDVAPQTKKRGRPKGSLNKKTLAKDGEEDDEEEDKASWRRGR KSVSYKEILPGEAFQEDDEDEDDDDDESHGGKRRGRPPKRAAGEDDGDDERDGSYKKE KIPGGSGRGGFSVKGAAAAAARARWEKVRREKAERGEEDTPKPSRKSNNVVRKHAIGP PADYQMGTTVTIKGEEYTVGDDELVVASDPKGDTKIDECGRLLGGREYKPVTFTSTER RNSDRLYALTIDAARACGYGDSLAFLRRYPTILKLSCTAGERLKLIDLNRISGNLKHR QVTMVALRNVYKAMGAKVVKNGRHVVDDYYEDRAAAECAAEGIEPGSLVPEEEFIQIA QNTGDRGARAAANESRTLASLSAFYTAGGLTTQFASNGIDPWTDGGWGNKRSKLKTAG VTEEDWMYKTAEESRLVDEQLKGFRAERLQVLEGVDGARGWVFAAEKEAEGDKHRFIG VESSSEIKKSGLSNEVVMGDEGTSQTGGDVMMDEPPKEAGAIIVEKEGHVSSTHNWGL GRKWQAGVIRAAYEPHTNTPHVPQSTQPSGNAVQQLSSKPILSASSNLSNLHHVQPTF AGSATRGLASVEYVLEKVEETEVQKRSRLVQEAEEWEKASRAKQAAV L198_05061 MSPLAVSNFLDSLRGLLGELEDVQVPTVAIVDGYALGGGAELAL ASDLRVGGENSKMALPEAKLGIIPGAGGTQRLTRLVGPSKAKELIFTGRHVNGPEAER IGELYDHVRDDTADVCVGLLNIYAQSPSSAREASLILARQILSSAPLALAAAKSAVDG ATDSSLDAGLTLERGMYNGLLDTYDRQEGLNAFAEKRRAVFTGR L198_05064 MLTGYQALMGIRYNTSPPGPTFVPSLDAALLHLRPHPGSSSLRR GDLVEIVGTSGSGKSSLITFLILITILSATLPAPLSTNLGGKEAQAMLFQPNTHRPIL PLIRRAMEAHIKACAPGVEDDMVNSVIKDSMSRLRIWTGKPRWKDLALGIQSVLDETS PYTFPLSNSGHALDLLAIDGLSDAYYLKRWSEEERGRRQQPHLTGKAVEMEDIGLRQV MEAIGAVRKELGSVVVMSAQSLRVSRDAQPFHLPHLPPPYPSPFASSNNLPLSASNPM YWPLNIQLSLMGRSRGLQLPGDTMIADALRVKARERNDKAEDTRGDTYNGLVRMVRSD GALLGKEGIGFHFRVGNEGLQAWGDE L198_05065 MPAPLPFTTFVDETQVGILSVLRACYLTKNVQDTLVTKDTLLKS DKSPVTVADLSAQSLISLHLLEHFPKDPIIGEEDTSELRVNEPLRERVLGLVNDGLKR EEGWGKDKTFSEEEILSAIDAGSSEGGPKGRFWTIDPVDGTSGFIRHQQFAVCLALIE DGVVQVGVIGCPNLGPEPAKIGEEIIPNGKGVLMVAVRGEGSWSRPLDSEVYTKLNLP PSPPASNPLTFLESVESGHSAHSVQARIGALLDVQRPSLRMDSQAKYTCLSRGEGGVY LRIPTKYAGGKIYEERIWDHAPGALLIEESGGICTDMWGKALNFGVGRTLKENDGIVA AGKEVHPKAVEAVKTAIEEAQSKK L198_05066 MDLVFPPPEEPSILALPLPHPEAYEGEPREYALALMQRRDAIQK EIDVLTGVLTSHGTTQSTSLVDNEGYPRGDIDIYAIRHARSSLVRLQTDRQTVNELLG NALNEAFASGSSDSTQPNGNTLAINGHPPQPRAQSAEAWPEKAVVKVNSVAEGSPAAE AGLKAQDLVYSFAGITHASDAALQAIAAVVSRSENAGLNPVCDRADLFQTALPLLILR EQEQIRISITPRNGWGGRGSLGCHILPV L198_05067 MPPFAARLLRSPLLPTSVVASTLYMSSPSLAADEGKAPAAPSVF PGRSSAGEKLPIYPTPKHTPTVTLVETHNPIVPYITQSREAVSGVLGDAKGAVQGGVS KWISFERGVEREVKSVLPSDEPLNPGLIYVLISGLSGSVLTRTRSLPIRFLAPPIFTL LAFPYFLPKTSHNIRSYFSNLENKHFPELAAKHDHIVQTGIAHTSGLFYRIGDAAEDA REWGHKAVEGVEKTTGLRLGEAVSRVEKEQGRLAEQKAVAVPVQKYETVGYVVEQKPV AEVVAPVEPKVEKKLV L198_05068 MPCTCEDADRIPLYPSITTLHPLPAEVCNRIFQLLFRNDDLCLA HIARLISFSAEFYLDNILRLYRTVSLNDTNGDRFFEGLLSCTRLAKASPPFPGDIATH LSYGFLYPGEAHYGSRKVALVRYCETLRFETPDAFSSFLKARHAWRKAVSLRAGQETG EPRDLFTSVQRLSLGPLLGLVLYEEPELVLSQQAQFIAPSLEPFAPQKICIHMPDPDP LDVGRGWLIDRPFDTFLGLLARRKHALTLHNARPALHEYWLGKADRLHFDLAPQETER EHMEVIVDWVQRYLRYCRAPSASDDGDSQEERPIEDVIISNTAISADLTVEELDEAIQ SICQQYAGTHDSPGVTVCGPQRSFALFIVPRLAYGFFPSETPSVSSLLPFVQIETGL L198_05069 MDAVWDRFCPHLHPRPPATPHAAHPEWKTSLDSHVEVDGVGTLP VIRVLQEVTALCLWPSVIVALGMPSDPASQVRVPPPSAQSAMSLQQLYNLTIRHWEPS IFSGLLGAYASGTMPPPVAQPMDRAFQSHHYGPSTPMREADLTQWINLTPGAWSAQHD PFIGNGGQNTLFPRKSSLASTAFPFPFQLDDEKKPAEQDEQASTEDDNHVAGLDELLA GMEDQRDDVQQDVKPVENTTTQTFNLPTPETTSPDSPNTTSNKMVLSPPTTMSSPFVT AGSSVSAAPVPVPAPVPAKPHTKARPPQLSMPPVDFVPPPPMCMFFKSAFDNLTDGKV GIWKGDLEVRGRGGGKFSVLVVGEQGFDHLWQSTAWPQQLSYPMRSVSDNDCYTSSMI PVSHLAREGLVPITMGMVLCNEPADRIDPYVKMVHGLHAEGVAFHLPCDDPRLPVVFL PAKFHANDPLLRLGVAFMGKAGLPYPAAGLPQPTNQDAEESGVDEPARKKRRQSAPVP SKSKSRKGSKD L198_05070 MGGIGERLRIELTGRRLIWNIIWYGVHFFLFAYGWYSQATNTKL AALNGLKFSVWISRGAGLVLAFDGAVILVPMLRNVIKLVRPRLMWLFPADENIWFHRQ VAYQMVFWTMVHTTAHYVNFINVERTQVRKQTAWEIHYTQPGGLTGHVMLLIMFVLYT TAHASIRQQCFEAFWYTHHLAFFFMIGLYTHATGCFVRDSVDPAYTSVFPFYDTEHCL GYESWRFTIWAGILYFGERIYREIRARRKTEIVKVLGHPSGAMEVRFSKPSFKYKAGQ WLFLNVPEVSRFQWHPFTISSAPEDPFVSVHIRQVGDFTKALGARLGASPDQGLIPGQ VAQDDEKGKGGRRGDFIQIDPKSLGLEMPKLRIDGPFGAPAEDVFGSEVAVLIGAGIG VTPFASILKHIWYAQRSGQCGALRRVEFIWSCRDTGTFGWFQSLLEEIEAAQTDPNFL RISIYLTQKMDSDQVQNIALNDIGQDYDPLTLLQSRTLFGRPNFKQIFQSLGTSLSHG TYLPGLEATLKTRVGVYFCGPSALARVIRSEALGVKSKAVDVKFYKEHF L198_05071 MSAEDVYEGAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPS YVAFTEGERLIGDAAKNQSAMNPRNTVFDAKRLIGRRFDDADVKKDMKHWPFAVVDKD GSPYVEVDYLGERKTFSPQEVSAMVLTKMKEIAEAKLGKEVKKAVVTVPAYFNDSQRL ATKDAGAIAGLEVLRIINEPTAAAIAYGLDEKTKEERNVLIFDLGGGTFDVSLLTIQG KVFSVKATAGDTHLGGEDFDNNLLEHFKAEFKRKSKHDISEDPRAMRRLRSACERAKR TLSSVTQTTVEVDSLYSGVDFNSSITRARFEEINAVAFKSTVDPVEKVLKDSKIPAAK VDDIVLVGGSTRIPKIQSLVSEYFGGRQLNKSINPDEAVAYGAAVQAAVLTGQTSDKT ADLLLLDVAPLSLGVAMQGDIFGVVLPRNTPIPANKSRVFTTVEDNQTTVMFPVYEGE RTQCKDNRLLGEFELSGIPPMPRGQAELQCTFEVDANGLLKVSAQDRASGRKAQITIQ NSVGRLSSEEIQAMIKDAEQFKNADKDFSAKHEAKSDLEAYLHTCEQSISAPELSMKI KRGARAAVESEIAKALEVLEQEDATADQLKKAQLGVKRAMQKAMASAR L198_05072 MRLPLDIYQEDQSAGTPSAYSPSDPESEPESPPPRRGSKRKRTA GSSTHRRRRSPSQSSDDSVYAVAQILARSLNKWRNPDTKQFELRYLVRWEGYGPADDT WEPISSLMEGSQSLIDEFEDFGKSPASIPTGANRCSEYHPFAILSSRTIPSKAASYLV RYGLASLDTPPSPLYDKVWHTASQIHRIAGIPKNIVETAIRDFEDEQVAEDSIAVRGA SPRRLQLLKKQCILDILTREEQGDQKGSSLLYHVRWRDKMKLKEEWMDYEQIVFTFEE DGVLFVKKWETEKGFKRRRSQEGTSIKKESSRNTKPVKREALSEYEMERLENIRANRE LMKELGL L198_05073 MEHSNSSQASPRGSSSTPETSVDLSVRTTVAPESRQADPCRIRE IKCSAEKPMCMNCKKKSNACTYPTSIRRRRPDKLPGTRATKRNRKKKNQLDTPLEATL SKKVEKPLSTAPSGPTQSSETISSDTSSFSMPHVPQLPQSTGGARDDSAPRLLGSTAV KSDIAAAKSEPSPGSSRKSSVSSRQPASHRQAPSRPHPQPLPELGYPRSSGIDQLQSG LASGIPHDPSSLGTPSYQAYPHPSLEQELPAQQPPLS L198_05074 MSPPYIQHLWSTPRSRRQVRYYWPRSNPDFYPGLDGGMGAATQE SNPAMGQSTAYSSLTSSKISVSSSMGPPPAGMDPAIQILTAGVQGLVWQPMAKSQPAM QGCEGISTHLWPKVAHQTYAWPVGTVEPVLEHPYMEQEYAETGEQRLSRLSRKRKAWM EGIASFKMYDAPGNIGAASEGWWLWILSQYDTDRRLAISTVVRSCNAFFTDSQMWSTF LNKPMFFSSLLTNTSSDNGTPSLRAAPHILLSILALVTLLQQGHTPEGHTLALLFNRE AQSILSYCVQTGSQDPSLMAAAIVIAIFETIPHKGHNDDRLGDALVMLDGIGCIVFSS RLDADDDRVTSDMLGLPRLTWDAATSVNSQKSQGKLEIDATVAIWAQVPGWGENWSPG EMWKEEMRRMCWTASSMAASHSFWKFMVGKKTLDLQISHPERFRLFFPGEMVFLEAGD DKQGKTVSYACEQWLKPCVRHFVTNNRPLEPRYREQIVKELTVIEKDILILNQVGEMK VYLWQSLDWAMVLRRTLGAVDPKTLEKWFQVELKYFLSLLGDRAPVPGVKRRPMVTWW MIIEAYSALEMSRIDKAFWADADKIYHMALDNAQVIIDYWDCERKYLIPEPSGV L198_05075 MDLSPLTPRPLTSPTHHLNVATICSLILILYAAYYILVRRYASI TIIGPLCRAEVGENRETTEELVRSWCGSLKQGFRASWWLPIGHAQTIYSALADFSKDD QLVYQRQLLRLPDGGTIGVDVYPKLCVKLPNSAPVVIVNHGLTGGAHESYIRNLVLCL AKPMKDGGLGARCAVVNFRGCANTPLTSPVLYSSGNTIDNHTATLYLASLFPDAPLVG IGFSLGAAVMTRYMGEQGAKSRLRAAVVLCCPLEFRSVTAKLDSAHIFPRLYSLSMAR KILSSIYPHLLPHSALSGPSSELHSSIPEILSLTASFKYKWTLRASKVMELVVTKVGG SGPCFPFEGMDSFMEWACPSGWLGKIKRPTLAISALDDPIVSGDCLPFSAIRSSSHMV LAGVPQGGHLGWFDGPLFGPERHRRWHVRPIIEFLRGVIQTLPKSASEKALPVIKVVE KENGWCWVRDVGWRVLDEDEDVGWAGSRGYDEGTVHVAL L198_05076 MSLRLPSQNKFKPVARPGIRKPGAPGARPGVIAQKSAPNVGAST SITTPRAGPQKPVPVSPSNSATQKPPTPKSAIPLTPSAETQESPAVPPGVADAPAPPV TASALPAASTGRSEVPKLSLLEAAASTPSGSRPSPSSATRRTPNTPRFPRAPSVAPRA RAPSVVRSRVSATPQPPSDTREPSLPPTPRTSGFPPTLSAAMQSSAPGGFPPTLASAT EEPVTQQQIDHAQLAAAAVASIQSDMPPPSTEIRRRPPRRRKAPTGQAARGKKANQSL IVDDEGPDDDAASLAGSKRGASVMDEDDDGASVADSQISSRAGSVAPRSKRAKKARGP RVATISLQAIQPDEMVGSEVTNLTTMGDLATILAGEGRVTERAIKIDEFRRGQEAQKR EDHHKRIEEMYQRNQIKRRKVRSANNKDRTRRREEIRDQGGNELDVSPDEMDSEEEFD VVPERLTPPASSPEPDRPEEGGQEEGEEGSDAEEVAFDNHAIPKEREPDMFDGDEGLV GTPEPEAEPVTEDADDDDALAALRAAGFTVNDEEPGSPRSDADEWDHDAVDLDEYRMR TQEQRRRDIERREDEDEVVEVDDETRFVNSATFGKNKRTQRWTKMETELFYQVLGETG ENYTLMKAYFPGRDVRSLKLKGSRENKVNFDKMTQAIMSRKPIDKEYLAKAVGYDPHR AFDKEMAFFEEIEADKARLKKLDSEQPIEGDIVGEGEEQEDDDQAGLDGISEGDEGEK LDENEDEQVEEGNEEDYQQYNFV L198_05077 MIAPTALRAARTAAPSISTALPAASRFASASSKLSIANETYPLP PGQEVDPQLNGYPQLPNETLQKRQPFGWWDVQERKNFGEVVHESEDVIGMWGPDVHKT SWQSALLQLSGAFGLVGIAAFFLIQTRPERPAVAREYPYNGLEQELGGINVARKEQVD EED L198_05078 MGVKGLWSLLNPVARPVQIESMEGKRLAIDSSIWLYQFQATMRD KDGRVLVNAHVLGFLRRINKLLFHGIKPVFVFDGGAPALKRSTIAERKRKKSGAAANH AKVAEKLFAAQMRREAVKAAQVAHQEKQDREAAEAAAEYARRYPNEAGEQIAEDAIYL DELEGRAGPSRPRSPKESESRAPTDVVPTDPEKRRKYFKKHDPYRLPETNMPKVSTAD KPDARLATEEELNQFIDDVNPEDIDVESDEFRALPTEVQYEIIGDLRVRSRQQSHRRL ANMLRAAPTALDFSMAQIKQLSQRNALTQQLLTVTDMVGTAHLTIPVRIAAERNREYV LVKKDETEGGGWALGIREGTKEKPIEVEPAPSPKAESAEEESDIESLSPVAQVPMDSD LRQHRRQQVLEALTARYAPQKPARASLDVAVKPFGAARTAFSKPLFDVDEDVDEIVPT ANDEALALALQQEELGDDETEVDSDLARALAMSRAQAERESKSESEDAALTFNQSEDE EVDMEEVELVPSRTATPIAGSAAEPIAFEEQDTEEEDEFEEIDTASATHSRSLSAVPL QGEMSDSRPNRDEPIVIDDDEDTEEPLFPEVIPSPSPPKEQELITSSCQITDQTPRII STEPPRPKHLQRLSSASINPSPLRTVTRPESSPAPLPEAPEEEDASPTAEVRGAPSPE LPPPAIIPPDSDDDVHYAPEPLRLPSAAPVTSQRYDPVEDESEHAENFDDSRSNLSWS RSPTPPPKAYGLRAVDSTTALVSTETVAPRDDDADDGDLAPADVAAESDDYARFIASI KGRNLEEVRGELDDEIRVLNSENKVAMRDSDEITASMVAQIQTMLRHFGIPYITAPME AEAQCAKLAQLGLVDGIITDDSDIFLFGGIQCYKNIFNDAKYAECFLVADLEREMMLT RERLITLAYFLGSDYTLGLAGVGPVMALELLANFPGPRGIYAFKDWWMKVQRGQDTEE ETHSKWQKSFKKRFSKVLYLTADWPDPLVREAYLYPTVDESDEPFHWGFPRLPSLRIF LHEELSWSISKVDDELTPIVQRIALRGKEGGLKKQATLDPFFDLSAGTGHYAPKRRGT NVSKRLMGVIKQFKEAEAGASEGVLQDVVDDIIAEEQQAHEEEERGKGKGKRKAGDNE DEEGVVRGRKKAARGRKRAATVSSTGESVSSEGGSTSGRSSSRGTSRGRGRGRGRGKK TNK L198_05079 MSNRSSELNLPPSLQNLYQKQQEYAGLQALREASGDLVTRAEKL SEMSNIMADGGEAIGGVLRNWPHVFSILNLFATQMDQKPDSSRTEEDEQDPIPCLVRL PYGGETTSAGATSSEGEDKAKQ L198_05080 MPTSLLPTSTLGSILLSLFVQCPGAASAQPIGNNHGSHPQSKSR LYERDDDDGYTEQAQNWCNDNPSECKAVVSTLSMAGLFLILFLAFKWYRRRRSRQSKA KEALAESQAKEQKDQFEKQVEQKFFHRQREIKLRHEMEMLGLSEGTGTSK L198_05081 MVKETQLYDLLEVQPDATDIQLKKAYRKLAIKYHPDKNPSPEAG ERFKEIGEAYQILSDPDTRAFYDKVGKDGMSRPEEGNFDPQEVFSQIFGGAAFFDYIG EIALVKDFTTTMDVVMTEEEKEQMEAAAKADAEAHSEADAPTEKTSAAAAATAATTAD PLGQPAAGATKDAAVDEVGEGVQSIALHSGASNAPAPSDKAEIESAQKTESAKKAKAK ISPEQKAQLEALEKKQEEEKEKRIKTLQDKLLLRIRPFVDAKHPGDINDQETKVFESR IRIEAEDLKLESFGVEMLHTISQVYITKAGNFLKSKKFFGGGFIGRLKEKGGMMKEGW NLLGSAVGVQTAMAEMERLEAKGDATQEELEALAQEVSGKMLLTTWRATRWEVINVLG VVVDNVLYEQGISKDVAAKRAKAIMCIGGIFKDVQPDESDDERRELERLVMNAGKKKK DEKKDEKEKKGWFGRSSHKHESVVTAEGTEAQETPKVSA L198_05082 MAKKSGNPADAYRKQLKAKEQKKNKEARQKARDTQTVKKDTREL ETEIRALKSQNDTDSKKRVQELEKELKYISGVKEKYVEEHPDEHDKVFRVRRRPEGEG QGESSGAGSDKFDQMGRLRDPKRSVYYDAVYNPYGAPPPGMPYRERTPEEESEEEDSD DDIVMPEGPRPESGEDSDGDSDDSDYIPLPEGPPPPKPQSAPSLPLPNLGPPRPPHGP GFFHGPLSSIPPRPHHGMPQNVQPWGVPPPGFRPPPPGQMRGQFSGPPSSANLPPRPP PGGQPGAISAPPKPAAPVPAVESRPSTEAPASAEISAAPVLRDLRKEATTFVPRNIKK RKPGTAAPNRINAAPGAGEIDEDGDERKRARVEEEGGGLMGKLGSVLGAQTQASKSTK QNVDDDYQKFLEGLGDLG L198_05083 MSEYAFRKINIDALEEDVLLPSDLYDPDPRGPDGVLADAQSRSG EVRSLVSRGDIAGALGTILTDPPYGEGVDEAKALTTNSLLLIFNSTRSTDIPALVKGL DHVQQDRLMAYLYKGMAALGQGSGDTSGSVLLTWHEKLTEAAGVGCIVRVMTDRKTL L198_05084 MAIRDIGPYGWTVPSIKDPIGSMVTIMKQYTKQMKDLSKHSDLN CRTAPDPSKIVIQHHLTKARMAAGLNSYVAVIYNGHGIQEPPTEAGELWCYDKDFGEC LQSGGPSEYIPILLFDMLTWAGASTCFVWDCPSAGRFIRAAHTEANEIDSQLRAAAAQ NPSVAEVHPAIYTRRQIHFAACGAGGAVPRVKGMPDDLFTACLTTPLRIALLFHNLQT FPLTKSDSERSIRKSASYMEALWDNMSQKLKGRLWSELSAILHTIAWQTLEGVDYQKL FGKSGDVVNNLSSGFLLSQRVFAAYNATPESIPAIPSANSHALWTTWDLILDNFFEQL PRYFEDTRHAKDTNWEKEVKLVSFMADQLESITAADQDLLFTRAPKSGMIPGLTRLPI ICEAAMTSEFRITACAALDACLRVLDVRDLAHAVQGGALDVAAKLLASDDVNIKPHVI SIWSSLVRHDVCVLSLAQEGLESERLTTVPAVQFFLNALQEQLLMHEENEQSSTLDVA PIIQISAVLAAIANFVAGRSAPRFVLRTLNMSTVMLRTSIDIVRQWGALLVSEVLGCL DRPDDEELVANLKSDLLEMIDDGNAETRAAGVYALSRWLPVGESWSEDELEKALEFSV KLVAQNKVEGSPLVRRELAKVFVKILQSAKGYAAVALWTHILQEALRDRPADRTKVED SILALGKKLKIDREDKQRLFRVTGIIKAICSQMFDPDPVVAKLVHQSLRGIILSLKAQ SQQHKGAQKSEIWEDIYLAAFPSSGVDNGASWSGDMMNVILLSGDTVAELWEEQRQPS EDLSRPLHDSGERKKNGAKRSKVNHELFLRSKLALQAHVAQANRKAPGSSLDNARVKS SSQEDLSSMRHRELEDSLVIGEQQVGLPWKWTMRDITCPDPWSTVIFHSFNSTVMSCN KGHDLLFWDWSSSKKTGHVTLKLPDNEMITSARFVNELHEQNVILAEITNGDIHIFAG SQDSTRIKPIANFRALDMYSPRYMITADQNRRKIVTTWYRSSGKLCVGGASNVINVWD CPAERCSQVLNTESEVPVTSLITEPVSGRLLFSGQDDGMVKLYDLRQSSGKAVLYWKA DATSHLTSDETTGFKSGAARGIAKMGVILGESKHVSSACYNGVLSVHDIRNLSQPAAS VLAHPEGISSASFQPQSGLMSTISILGVSQEHGSQVKHDPEIVKQKPLSQKFQLATAL SHLSVRPRSSSSTRTLPSVQPNSSASPTANWSLHRMALGSCEPVTSETMAFPVQSPDV QAQNYRPYTVMHPLRPFLGIGYGRNCHLRGCGVGEGDCTDSGSYTFLKSQAKFLI L198_05085 MSAHLHRRLAALAASCPPPSALFYARLFLALAPDHHDAAHALAL AFLANHQPYNAIHLLRDTTGLDTLDQHLHLTAEPTPNACFACALVVANACDRLGRYTE GQAVLARALKRCPVTNITFPSPVSSPATAHLLLATLSQKSKATETAVDSYKKALEEDP WLWEAFTGLCDIGAHPPVEQLFPIPTSHPLSRSNTSRSSRPPLSPNLHRQKSPADLAP GILRAQGSGSNGNSNVPSNGGGLFTPDVGGAERKLGMLGNTSAWDTSSVMGDSTFALT SEPPSKRPFPTFMSQATSFLPSSLRGGTSTPANPNVSDSPPKLQIKRARGKDVKKAAE TPQNQMGPLARELRPNGAMRGLDDDGIVRRSSRLKTGTAKPIATKPTARSVRSRSAAS SASTDIPSPPASAQSQSSQAQAKMQAQAQAQAQEALLQSAADDYLRDIVRKCAQTYRS LSMYQCEQALKDIDLLPNELKTSPWALDILGRTFYEMANYPMARQAFAFLQQLEPYRL QSMEQYSTLLWHLDDLPMLSHLSQTLISISRTSPQAWIAIGNSFSLKGEHDEAMRCFR RATQVDPACAYAWTLCGYEAVEMEEFERALVFYRTAIRTDTRHYNAWYGMGLVYLKTD KPAYAEHHFKRAVEINPTNAVLLCCVGMALEKSDDIVQAIHYYELASRYAPHSPMVQF KRIRALVSLQRYDEAILALEPLTHTAPDEANVFFLLGKCYLKRDRRAEATKALTMARE LAPKLEGAINSVFLANGDELEEED L198_05086 MSYPAVSPYPPAPNNPATGPGSGFPPTSGLAGVQPHSMSATPAP NNAYGASSIGPGSGAAYRGGISEEKSVAHKFKESTNLFARRFQAALDRSTPMVLERWL LTLGFFIIFLLNVIIRQGASRWYIVCYALAIYILNLFLAFLQPRFDPSLAEDLAADDV EEGAPGLPGGGAGPKPAGGIKGLLNGFSTGEEDEEFRPFIRRLPEFKFWYSATKATSI ALLCTITRATDVPVYWPILVIYFFTLFGLTMRRQIQHMIKYKYVPFDLGKKVRYGRK L198_05087 MVPARPPLTSLHDVVLEYITVNAYASTARALFNSNQGSLTGSSE FARLPVDGLGQPGPSNGITKNGAGNGNVQGDHGAEEGMDVDDGNVDDEEAEDEFAHKG EIPASRIVIDKDMLQSIERRREILDHILNGFIERAVETLQNHFPDVLRDVPEEPSWST YHSDSTANCNGGTRLHVSRSLQWDNQASHDTPVFNTSSDPSHVRLNLQIQQFIESFRQ LNPGSSTSSPASSISSLANSQMMNGGGGVTLTHALTSAQGLHAEAKKLKPEVRAVYMQ EIKDVGALFAYENVEQSPLGGFVKQERRIRLAEQVNRAILESKGTPVESQLEEYTKRT QAIYALLGEHRVDVQPPWTSADGAAKEHVAEFWKYYGERPFSLQDFLDFSW L198_05088 MRYAFLLLSSLASCALATLSITEPSAAHWWVGNSANTLAWDGKD PAEFSVFLANPDVNILTSMLALASIVPTYQTSLTMNPGDATPATGYTILVTNPLNSSD VYATSETFEIKKEGSSYPPQGVAVNASATAEGTGMQSGSGLASIASATSSSSSSSSQV GKGVVSYGALGLVAVSGLIAFTQSL L198_05089 MQDTILAGPSVPRRRDEPVEPRQSGLLVNRNRDERKPKIAPASP SPARPRTRPSSSRTLRSDEYSRGSTGPLAPRVLFDPSKPIPPTMPAERVRTSERERSL HHASSSLPRERTRSGLEESSDKDRDRERSRRRTDSRRAESSSKTLFDPALHNPQTFTA RPAHSNLLEPEIPKPVSRRLQPGSSQRASEMDADRERERRRRKEGSERGSAMSGKKKE SDSKSKGSRSSEGSESFKDRERGKGRGDTGVKTMLKKIHDDIKDLEQELNDLHRRLSQ DPEAAISSLSDKKMDAFSRAPQSTEDDIAVWVQLIAKHKQLAELHDYFLTTLYDPLVP SSYHQLSVRYNIPSRLWQTAFYYLLEQLRHAWLSNHPTALDLLTDVVYDAYRFYSELL ENQTLVALRGAWIEALGDLARYRMTIASHVAEAEALRTARSKGKARQIEQEEPLEGEP ISSGASIGAEVAQSWDVEDKETWRMTARDWYTMGLTEKPGEGRLHHHLALLCRDVKGQ EGRALHHFVKSMIVTHAYTQTRENILPLFDSALQSQRPLPEATAIDLYLHLHGMIFTR ISLDLFDDVMSRFMERLDEDASLDGVSRKATITQVDWMIMGVVNIACVLQYGASSGLI RKALAQEGAERRKAQVSTVDEEEEEGDELAGNADVTPPASDDDSPPSTLTNALSLSFS VLSFVLAHPNRIQGFHQVLNPYITILLTFLATIFRQPHVGASILLDVPWHLLAEFINA TGLKVVEEKRLASGAPLPEDWLIRGSEWVGRRVYERGFWKGKTPSGRCSSGLAQPQPH AGGERFQSEMDVLLSSFDATVDIQEGVVDEAEGTDMTDGPQAVNQRRWKRVAWAVGVL GKHVDGFKIRDGKVYVEGVLEEKIEEKNRRKEEHDRKEHEMLEIKRQDREKEEELLRL EMDEKSSDDQELAGLRKKLQDLKAQASGVTSISRNAKKAGTAALQALAGYTMLVFDTN ILLDSFHLVKSIVESGQWSVVIPLPVVTELDGLSKETGALGASARAAVALLEQLIRTH TLCLKVQTTKGNYLFDLLIRTEQIDTSTYASDTRTMDDRIVHIASFAQDHFVDRSILL GMPKPETEAAKVLLVSNDRNLRLKAKARGVEVASEKELRGVLARV L198_05090 MSAPGDPPTGGISLPMRQASVTVLGHLDEADQHAPHGHQRRDSL ETTKSFYAHKPSYNSNGSLYRPPSTTSRATGTVRARSDSHISATTSRAAMPSGNPLSP ISPPRPTAPLPTPKGFLSPKKSAAAQRLERGKDGRTTAPATSKSHYKDTSNASDVLSD TTTQNGQRYEPSVASNFLSRQETMSPTFSTMLSPEVPPISLPGESLPPDAPTVEIPHI PGPPMGTAFNFQDMWDRSLRHSITTVSSPSRAQAPELSSDNLVHRLDQEKASNMVRPA RRQSRGSRATFERIRNRNSPVRLEERRRKYETIDNPLTTFLCGGRVLVGGDVWYSMGA ALLILFAISGVWIGTTGAWMWSYGSEYGLAKGGGVAITIIFVYLFCLVTSSFAVTALR DPGIIPRKLDPDPPKTFIEQWWEAYPRDLTVKEGRVTVKYCETCESYRAPRCSHCRLC GNCVDGIDHHCSYLHTCVGKRNYFSFIVLLVTATISDIYIVVFSAVHFSLICHHDNVS FGQALKDSPGAAVSFLLGVLTLFPVIFLLQYHIRLLLLNITTLEQIRANTSNSLFALP VRPDNPFAQHSTWANVVQASIGRPQFPSWIDPSGWEVKDVREVNPALSVSNRFGGREW V L198_05091 MSTPAPLFSRPDSSICDHLSALLKSPAGPNSLDTNGAVPGPSSG NRRSEVEGKFVRAVNWGAVAQGAKRRKTAAPECGSCRSSMTRPWACLTCSYVGCLQMT GRHGKAKDCMKSHLKGSSGRCCFAVDPPTGSIFCFACNDTIYPDSFDSLFLTARVRVE EGHDRSSEPGISGGKGRSRGPWKPWNSSNMVGVDEKGISKTSCRGLRPLLNLSQTCFL SAVLQALIHNPLLKAYFLSDKHNRHVCGNGKKGCMCCEMDKAFEEFYKEDKSPFGPIT MLYSMWHASTELEGHGQQDAHSFFLAALDQMHAHAKGQLSSCNCIAHQTFAGSLQSSV TCSKCSKTSTTVDPILDIQLDFPPTLSPSPSSSSLSSSSDAAAFGPSANGKENQLTLA GMLRKYCAPERIGDAGETGGKGYDCGSCGGGRGVFAMRRLGVKKLAPVLAFQFKRFAH STSSTAKIESHVRFPSTLDMRSYVDHSSDDGLPDSLYLYDLFAVVTHEGKLDNGHYWA DVRDGDEWWHCDDDKVTPTTLSMVLQQRAYMLFYVKRSLAYAQPMSRLLIPGGISTGS NGA L198_05092 MAARLGYVGLGNMGVPIARNLARYAASSGLPPLSFWNRSQAKYD LVRQDAPDAFYATDVQDVVKRSDIVFTSLLNDQAAEDIYGKMFKATEGRNVIFVDQSS VKALTTGKLSAEAQTIGATYIASPIFGRPPAAEAAKLVIVLSGPAQVKDKIRQYLVPA VGDRVVDVGEDVKLASALKSIGNSVILGWVELMAESYALGDAVGLDPSVFNDLLHKLI PAPPLLAYADSVAKGHFPAGGFSVDAGLKGKSSDSAYL L198_05093 MFSSPRALFTPRNQEPPRHPDIPPLLPAELAQEYASLGAPRNCP RGMYLSPSEETILKWHGVFFVHKGPYSGAVLRFSIVFPLNYPSDRPIIRFTNDVFHPM VDPKSRIWYPSGHLRQWKPRLDHVPQLLHNLKASFKTKALEQVHEDDAVNKHVWSLYH HSHQTFLSMTAQRAAYTASQTTLYPDAQTLPPSPTSKTSTPTRQRQTSMNSLASDDGL GPTREIIKFKEFNEGEKEALWAILKGNFGDELSR L198_05095 MPSSLPLAHLLASTGPADFSARRQLSDVSTAIAKSKKVIVVSGA GISCSSGIPDFRSEGGLYSLVKEKYPDAFFTGKDLFSAGTFANPLTTAIFYTFIAELS RSCNQAKPTRTHRFIETLHDKKKLLRSYTQNVDGLERRMGLESGGRGRGLKKLGTKNV ELHGDLGRVRCVLCFSDYEATSEYMEMFREGEAPECPSCSERCESRINRSARATAVGT LRPSIVLYDEPHPLGDDIGSLAAYDAARSPDVLLIMGTSLKVHGLKRLVKEFAKAVHG GKKPGLVVFVNATAPSKEWEGIIDVHIEGETDMWVERVEEEWRSIKPGDWLSQTLLDK ENFSAKKPQPKGKKELSNRDINRLPTPPSSPTQSSFPTSSQDSCSAVSDIFGSQSSEA LPSSEALPSSQASQATEVEDAVEAKSAPPTPLSPSKRRPKSQASPNKKSKGGIDDLAD VLGTPNRKKLFQDDKAAPPPYTSADEDVFGTSPEKKTAVEGVQKSKATRTRGKGEGEK ENVPVNTVKVRKTRAQVAASAALDRGVPSPRVTRRRAAVKG L198_05096 MHHGHSPTQATPKPHPSVLRYAAPPQIPLTPTRRIDPAPAPDSA DPQSHSLSPDPPSPNPTTISLTSTTASSSQPVPPSFAASVTHPAEIGLGLLHGEEQLK NALQSKDRMFVLVLAKELEAFIARVANGALTASSASGGLAATTSTLAALGLSAPLTVT PSSKFQRMLVYKSAEWYGIKAVPGPDGSMIIGVLGTFNDKSTSLRLSDLVPAAPSPAQ KFRIMQRTPASTQNASAEGSSSSSLSDTAASNKLKTLEEREAAYAVAREKIYGKAGET EESSAPQADEPEVSANRAAAALEDDIDPVPRRRYGPSSAPYEVIYASLYHPSSGNHTP SPAPNMLDQYGQQQPRVDPMYGYQPANMDYAQFSQMDTNGHSAGAGYHQGTQTAYGYG GYSDGQYPAPQQPYMVPGWQPSAGYPQTVNDQQQQQQQYGMVQSQGGQWYQPTVNQPM PAIQQGIPYAGPTYGYSQPPQQPSQTYSNQQQRPAAMPPQGQSPYSHLVQPTPQRPHP HPHSSASSSISSRSYQSQSYPESSRPHSRGSNTSNRSAASSVRLGAMYPAGQAPGGGM GGGYRQKGMKQQGGLNGMTSLGLNEGKRGRGHSPVSLSSTTTASSSHSSRRTSSINVP PPSQHQLPQRPDWAANNVPYHPSPMVTPPNLGVSVAAPGMHGGMGLVSGQGPSAAEFP PLLRSGTAAEPMQVERAKMRPMTTPTVWGAGATAGGIGSPQMAPHVPSHVAILSAPRA QSQPPLHHHAPPAAKEEDPDFPRRVPAKAAPTLYDPSAPSGSLISGGSRPPSVNANPR TPTSSRSMASGGGLSPEEVIEAKLAAVSISAGVSIGPPPSRQNQAASYAKIARRE L198_05097 MFWDPAFNNASAIVGAPPDQLKLIFSLLVSYPLGSLYIRLPSSR PYVAHLFSIVLSTVFLVPLLGLGGGMLHLLFSSLGTYAIVKYMKGTNMPWVAFCFVMG HLLFNHLKRSYLGLSSSSIEISGSQMVLVMKLSTFAWNVHDGRSKDEDLDTSQRETKL TDLPGLIPFLGYCFFFPSILVGPSFDYATYDALVHHRLYKIAPPGTSPEQAAAVKTRI PYGRKRVAYLHLVIGLSFLGLYATYGGKFSYEQILRKEFLGYKVLQKFGFVQLCGLFA RTKYYAVWSLSEGACVLTGLGFNGYDPKTGRTLWNRVRNINIKGIETAESWKVLFDSW NCRTNVWLRDSVYKRLTKKGQKGGTKESMATFLTSAFWHGIDPGYYLAFFMGGVFQSL GRQSRRFIRPYFMPVEGSTIAPAKRLYDVAGWLFVQANLNYLVAPFLLLDFKDSVGAW GRMYWYGHIAAFSTLAFMSLGGRRALKNGLRSRKPAVPPPSLRVSPPSPPLLAPEIPP PAPEDEKDSRDLRWVKHALDNPEYQDDGEGVGMGVAIPDHGFIDRWIEGAETPATGMS RVSTPKNEAGDPLVERK L198_05098 MALTKTPKKKISPLPKPTRRLLCLGIEGSANKLGCGIISHQPSP ADTGATTVTVLSNVRHTYITPPGEGFLPSDTARHHREWVVKVVEEAVRKAGVRMSDLD CIAFTKGPGMGTPLQVGALTARTLSLLHNIPLVGVNHCVGHIEMGRQITSSHNPIVLY VSGGNTQVIAYSQQRYRIFGETLDIAIGNCLDRFARVIGLRNDPSPGYNIEKEAKKGH RLAPLPYGTKGMDISLAGILQSVEAYTKDKRYRTWDQVPVRDSPDTPSNEDIITPYDL CFSLQETTFAMLVEITERAMAHVGAKDVLIVGGVGCNMRLQEMMGIMASERNGRVFAT DESFCIDNGIMIAQAGLLAHRMGISMPLEKTGVTQRFRTDAVHVGWRA L198_05099 MSQPPSPRSTQSRVPPSSAAFLQQLHSDPSSNHITLSNHRVTAP HSVVAPLEPTVSDTPHGFAPQRAHTSPVSPMAGPSVFSSLGRKPSKRGRPKTAPSRPE DGAAQTGYESPELPESIPPQPEPVGTPAAVADTCLNMLAAEEGLAPAMDALALSNEPK TCILGRAGSLTGTPESQTGHSRPTVPTSMNWAMFSQAYSHGLFDPNRVPNPPSASTTP NTADLHSTRSSPGQKYAMPPSVPRRLVEPSSSSDDYSSKSSKGSKGSTTTSVSSAPST SSAGVSSNGRPMSMAASLAARKKAFELEHLPLRPEAPVIGTDKLAFPSYSLAAATVRM ASTSFLDDFSPLAIPSPERELVDPMASVTSGDTNSMRQDSASSDPGSSRFPLHHSMSS AANPYTSGLRLPTIQASPVNTPLEGPHRPRLKERSPPRVRHGLVDKSKLPVASAPLEK TVVTENATDYFGAAATATSPPETNSTSSTVTDNTPKPPLNSTATSTQQVPSLTPSSQK VPIPAVPVPSDMATIYEQYGWLPAPVPPDEIARRRALYRFNILNTAADINFNRIAHMA KLVFNPKIVLLTLIDSDQQWYKTGSGVGMGSDRGPRVSSICSHAILPRSDEPFVVLDT SQDWRFANNPNVVGPPHVRFYAGAPLRTSDGYNIGSLCIIDDKPRTEFTPRSRLILKE FAAVAMREMELWRDKLQLRVRDKIQTSMEKFTRECLEMDTASASSNADAAAKMDQVYS RAAQLVCSTIGLDGCFILDTGQIETIITETPEGKKVTYRANPYSAEHTSPVLERRESY GPKLSEFLENHRDGRIFEGTAPLWIRYMFPSKFRFGMAVPIFGVDQHPFAMICAYTAN PEKQFLEGYELQFLRAIGVIILSAVLRRRMLMADKTKSILISSVSHELRTPLHGILAS AELLSDTPLDSNQLSFLKTVQTCGNSLIETVNHVLDFTKLSGGHSHKTTATDLDTVNL ASLVEQTVEGCWIGQRARSFLGDNDIGSFYAPPSSAGYVPKSQRAATEESLSYVETVI DIDLRQKGWNVRCEKGGLRRVLMNLVGNSFKFTKDGYVQISLREMPHPPNASKVPIEM TVIDTGKGIGKDFLKDQLFHPFSQENPLQTGTGLGLAIVNSIVRSESVNGKVDVWSAE GVGTEIKVTFEAEVIDEDDGDVAVSSSSSVASNNTTISTFGRGISTAFVSFLPGHQGH MLLCEVLIRYVNYWQFEVKDASSADIIIINDDDEDLESFSKSQKPLICLAVGRKFGTS RVVESINRSGGFCRVVFKPVGPTALQKALLEASEWIEERDQSGERDPVGDHLHLSIGR ENVVNRPSFSRGSSGASQDSASTISGISSMISMPRPHIPQSNSRLPLQRRRSEENEHA PRRPSMAPRGMTFHSSRRIVTPGLGDTPLSSPTLSQDSPTSTLSTISLADGGLMLKAA AVSSGTPRKERKGRVMVVEDNAINRRVLAAFLRKKGFEYAEAVDGQEGVDLFEASPPN TWDVILMDITMPNLNGHQATRAIRRIEALRRNNLSDIPMARTKIFALTGLATPDDKRE AFGSGVDGYLVKPVSLASLDIILRKIGF L198_05101 MASDIAIHPPGSTHPTLTEGGPEGFDRSWFMGKWGIAWSTLPMW KDKKDVTLTYTPLPDDTGAIKFQDLLEYSKLNSEKVNTVKGVDTLTSSGPNAATFDWK GSGWLFFVHSHWEVLGHGSDEASGLEWAVTFFSKTLFSAAGIDVYVRKAASSTTSEVA DEAACRALLDRVVHAVQSAGDERVTTLAQGGFPVQGAM L198_05102 MYSSILVLFPLLPTLLATPLRIRQDVVGLSTPGADTAAAVSSTD SAASVSASSTESSSDSASATASSTDGAAASATASSTDSGSASASTADTSNDLTVVKFA ALAENLENNFYNTALAKFNAQAFADAGFADGQSISDQLSVIGKDESTHLSTLQAVAKA MSADTADVDSCQFNFDSALTDVSTFLATARTLEFVGISAYLGGTTLIGDKSLLVNAAQ ITTVESRHNTVLNLFNSGSSVANAFDMALSPQQVLSIAGPFVSGGCDPVAALGLTPTP QLTVTNNGTVSPGDLVTFGGDGIKDVDQSGLFCNMIVGGSTEAINLAVGDCKVPDGLD GAVYLFLTNSSTPLSSNILNQDASILVAGPALAFIDSVENKASELLLSTSNNTAAASA SSGKNMSLSTTSGKRVITITVDVTREDDEGGEDGEDGGEEDGLVSWFQGI L198_05103 MSDLCPPWAYFFGFAGVTSAMVFSTVGAAYGTSKAGIGIAGLGT FRPDLIMKSLIPVVMSGIIAVYGLVVSVLIAGNISPSEPYSLFAGFIHLAAGLACGFT GLAAGYAIGIVGDACVRAYLYESKVFVSMVLILIFAEVIGLYGLIVALILNTAVPGEA VCGAT L198_05104 MVAEGTDTSQGVGQLLSNISACTAVAQTIATTLGPRGMDKLIVD DRGLATISNDGATILRLLDVVHPAARTLVDIARAQDAEVGDGTTSVTLLAAEILKEVR PFIEEGVSPHVIIKGLREAKSLALNKIEEIAVTIDKSNPEKFRDLLMQCAATSMSSKL IQTQTPFFANMVVDAVLSLDQNDLNESLIGIKKVPGGGMQDSKLIKGVAFKKTFAYAG FEQQPKSFKNPKVLCLNVELELKAEKDNAEIRVNEVSEYQAIVDAEWSIIYRKLEAIV ATGAKVVLSKLPIGDLATQYFADRDIFCAGRVADGDLKRVVSAVGGSIQSTCSDIESH HLGQCGAFEEKQIGGERFNLFEDCPEAKTCTLILRGGAEQFIAEVERSLHDSIMIVKR AIKNNSVVAGGGACEMEVSKYLRGHSRTIMGKAQLIVGAVAKSLEIIPRQICDNAGLD ATDILNKLRMRHAQGDVWAGVDVDGEGVQDNMKSFVWEPALVKTNALGSAVDAACLIL SVDETVRNPQSEQPQAGPPMPRGAAQQALRGGGGRGRGR L198_05105 MAAPAPTYARVASITHWNTFFSHDDGLFRLPSTQGATIEHLDID LRYVGDDELAKSGHRFLKSDQPVHIPKVCVLTLRGGEYVHDDDTRIDALAEVLLAINP VEVRWLNAMVDPTEQLSFATHLVHPAIIAAGDNWSAAGSLRKLVIQGGFPCPNLTAPT PFSLTPAATPCPSPGPHRTSFGLDSFSSIKGPAHLSSGLPALTTTKPKRVDEERLKAR AERRRLADYTLKPRSEYAFGTWSVQELKWRLDGRYTPACIVSIITQFLKAVNTTFPSA TRRFDVDLPAVVAFTSLPRDIISELQSLPEKLELAEEVHEWLADVGFGSLGDGRHARL WSVGESAQEERNTARLKADIVLAQTQNGVSIVSLDELLANKSGSDGGLDVPSALTDAT GTDFVSPANSIASLLPPQSEGASTEDESEAVTPELQGSPVVEPTVSEVSKKVSSMGIS DGERSLENSAVI L198_05106 MSNATPQQMELTAMPSAGPSEKHQQEPFSGRRHPLHPPPQPAHE YPQARVARGLLSLLLHRCHIRHRHPGGLGNVFGLVIAGLCMEASYKWFFRVIAILCFA STAVTLIFLPHTPAAPSKDGVPKWRKMDVPVLPKAPLMDGDLPLSSRPSSSADLLPSA SSTGSPSFPQPLPSFPAPSEALPTPSSPPLSCSSPWPSGVPPSSSRLWLEASARLGCD APASNYDPHIVGALSQVVPAILNKPRWTIPIGAVLIIIAEILQIKSNGGPGKDYWRYV AVPRHGPASWFQEGKADFRHVMVIGLHSDRQGAETGQGLVARQGKLENGVGKDCIKPI NQRRS L198_05107 MSLRTALRPALRASALSTARRAAIAPVLRPLARADGCGDCGCAN PRAFSSTPFRLGSGETDSTLSSALAAEHKYELEAANGEAEVPVFIEAFKAQGCWEIDD IAGSDDVVIIRKFGNETIKLTFQVSDLDAIAFDPEVPVNDQEEPAPGPASITCTLQVT KHAAPSSLVVDLETCDEGFEITNVAVFEKALADAKGAEGDWERRSRYMGPQFDHLDET VQEAFGAYLAERGVDESLADFVLSYCEHKEQKGYLNWLKEVRGFVEQ L198_05108 MGIFSNSVEDDLLVSAAFPETNAFGNVVNGEKNPLLIQLVNAGS KNYTLLGATSSFHDPNNHWSLIRNGTSLKYNVPLITGSNFSAPYHTYSEFRPQELGLT VSVKVSEAGSKDVQWITALNRTVGIVEAPGSWLDFQLIFLYLVIGAALALGGWWAYDA FLAPPVSKKKSGLGPKKVQAVVPGKDAAYPEVKPYEEEWIPEHVLKSKGSKLKQRNVE GTVSGGDVTSGGETSGGEVKGKKRKGKK L198_05109 MTSFVFVTGNANKLREVQAILATGDSGIKCTSQSLDVPEVQGTT QEVAIAKVKSAADQLGAACVTEDTALCFEALNGLPGPYIKDFMANLGHEGLNTLLQGF PTTKATALCTFAYSAGPGSEPILFEGRTDGNIVPARGPTNFGWDPVFQPEEGEGKTYA EMKSEDKNKISHRYRALEKLRVYLSEQAKE L198_05110 MPTSPPGASSAEDRDDSTAIARSLAARRRRSLASLLRDFRTQRQ EQQKQISGSRSTRVDEESGEGNLSEEEGEEQPAKFDTIDFEAFQRAVGDPVKIEGQDG HVIDPEAIKDDMELEYVWDVLFENQRGAYLLGTAYYSSKVLLPLDPSPFTRASGSIPS ASSFSVKSNPNGNGSTRAISQEPQAESRKDREPPPQSNKTSYTLDTFQPPLPDWEYLT PWLVNMREGTDELGWRYNAWFKKQGWKSDAGNMGWLGWVRRREWVRLRRVRERTKVGP DMPDSALQAERREKKLGEVLSGSKAQNVCNILKAFGSLTLDRERLELWQKWLDRSKKD SETWARMEAICQDEEAVSLSLFSDFKY L198_05111 MIAQYDIVPGDRVGIFQLGDSLWYVLDLLRTHKNEYPKIDLSWD FENPHKSAIIIHLPSLTLYFPAPLQRLTLISFPSLSASTLTLTYETQVLASPGQPLTR AKVGRILGPTLVNEGKDLVFPGVKFEMSATSTSGSGRDDVADKLEVSAKDVESTLPGQ LVSCVIEPKKGIVLHFSGIDPLEIWIGKTTAQDLLLDLGPPLRKFWKEDDRLEKMWGG ESEQGGCAKGFWNYFQHGLDFLISPDYVVTKILCYSNIPGTPLFQRYSHCPWVLSAPS GDLDQTSPLRSFTSQLSPKRSQYDDVQSEEEGVKDEESVSGDSGRVAGKAHADHSPKR AGKPPAMALDRAVEGGLEGVINVGESRLVGFEGLIIEEDQASGGICSVLVWKDE L198_05112 MSQPLDTANPPGSPLDNAPPSTAASHRSIQSALSSPESQTHSDE DESDTEDALAKADAQSGTDLEECQSEQALDGEETDKAAGDALDSASDTQSPISINSDN SAAERTTASDDDRQGLDSADQTVEESPYRPEEIPENLGERSLDVRKSRRHWTFRQKMI RLISLYAIPVVLTIPLALSVHLNSLILTPLYNSLPLRIHQLSLHILFAIPPSLLYWAI TLYRSPRDVLSGLACFSLAAMSEDVVSVFGRRIGSLTGRLAGAEMGALMAQMIMGAGS VAGCLGFALLCFDHILPISAARTKPDRVKNLLNVSLRSALYMTHLWLFKNVLGRLLGS RMSSLTSNPEKSLLFISLLFTVIALIVRSSTGNTPFPKQIQDLVQTLPISPAARKTLT KAARKLPRRALPLIFFLRLPFLILVLRQQLFLRPSGSLSEPYVTARGELRILSSEMSL TGRIVVADNLKDNYRFLRCDNSILGGRWIRERNGKTEMGDTIFAVFNLQEVATLAHRS DSKESLIHTLSLTTDLQVEAEGEDEAVDARPIDRALVIGLGVGISAQGFLRRGMDVDV VEIDPEVYRAATTYFDLSESRLSSSIMMDGATFISEAAALARVNVSDPDIDAETLAAM ELVPRWNYAVQDCFTGGAVPGEMFTVEFWEELTELLVEDGIVAMNFVGLRRSKASKAV LVTLLSVFPQCRAFSEGFEANLGPDAIVNMVVFCTKTYSPILTFRPPKPSDVHRSPLK SHVFSTFLLHEIYLDEIVTDDDFSDPELTLRRGKTEKLDIWQTETGLATWSAMQKSGF FL L198_05113 MQSLNALGNRQIASLNKDLVRMESGESGAAVQGQITTTLSALSR LINDYDSMAQKEMVTAAREKANTRVAKLKNEHKELKSRFERAKNESHAKVWLSIRTFC SSVNTCLQARSDLLGTASGSAPPFSPMGAQMSQRRAQPQTFAESPFASDPLFRPNNPP TDRENFALREHSFLQESENSIDQYISQGRAVLENLVEQRGMLKGTRTRLLNAANTLGM SRETIGWVERRTKQDAWIFGIGATITLFSFWVIWHYLG L198_05114 MADSIETLLSTPSAPPFVYLHHPHHSATDLPPSLESAREHSLFA RLDTIEHYNTKLFYSGILHRILGEDASVEEVSSWDAFSLKLKSWWCERHQIGNSRKKV RGWSYKEEVDNENIVLLVTHAERLKNVLGSNWAVMTRLAELSNVNITVILASASPWDL VRPHRVDAPEPIHIYLQAPTRADILAELLPVCSHPLWPKFVELLLATTLSLITPPVAE LAHIAASLWPLYTSDLPPHAEMEVLGLEYPDPSNPPPKLEVSLRLLTDLTRSIKLPLA AAVETLIPRQIGTYEFTSALSRRSATTSAQDALPKLPPLELSRTEKFLLVAGYCASYN PATSDVRLFGRGVGENGRKKRGGGMRRAGYGKVRSGKVAQRLLGPKAFPLDRLLALFF SLYAEHATRPDFQTEYSESSEELEEEESAQIWPPTLRTDSKRAEKKAAKARKREQEQE QRWDVEVQQLMMSVKFWGMIPELEAQGLLKRWSPPDRLDNVMLRCELDYETSKSLAKD LGVKMDEYLYEANIY L198_05115 MSSFARLPLRSRMGASPATRLAAVQRHLTTSAPKMASAEELVQF ESHQDTRVYKLNRSAKLNSLNEEMITLLSSKLKNWRELDSVKVIIGRGDERAFCAGGD VKQLVLDLEAGKDTAVKFFKGEFQMNWVLGRLGKPYIAIIDGVTMGGGGGLSLPAPIR IATPRTIFAMPETKIGYSPDVGANYYLSQLDGSIGAWLAVTGQEVYGRAAYELGIATH FVTENNIPEIISQITQHTSPSLQSLSALIASFSASSSATTTPSSKSSPDGPSPIKGEV RKFLDKAFKKKSIQEIYQTLDQAEGDASLSAEVKEWAKVQKGHMDARSPTGMAVALQN HQKAKSSKRLDRTLLNDFSMATAFCGKDRATDDFITGVTAVLIERSKTPTAWTPSSIS DPSLSPSEINSKFFSSSSPHLKNIEEIGFSPASASSLDSGRDSTWGQFRKFGLPSEAA VKAAVEGYAPGSGAFALTEAELVEQFVDAQGDIKGSRRSEVEQRVRSVVASCCKTGKD GYLEWTA L198_05116 MAPLTKEELWSTGQDEDVEVNQRALIDKILARYSGEHTIFRELL QNSDDAGAQHVQVKFYSQEGSDALKNGETSSRLPNAKSDLMSSYVVSNDGIPFRDEDW KRLKKIAEGNPDEEKIGAFGVGFYSLFSVCDGPFVESGDKWMAFYWKDGKDQLLARSG KLPTPPSAEPSLSGNSWTNFTMTLREPSLLEGPLDLARFFVTSITFMRTVKKIDILVD GVKVFEVQKNIKSANRVDKKGLNTTSSGGMMTVQNVDSTGMTISVKIMKWLADTGFTP PPMSNPFTQIAKPARGLASMISTSFFGRYTAASPTPPSPAPPPPPAEEPTKSTTLLRD IQIFQANIKVSVSPAFGRELERATKKPAPGKMTASIVYSRDEDNDTKVEDGRDPSADE AGVFSGLCPKLGSSSSARVFIGQPTGQTTGIGGHLAARFIPTVERESIDLVDRHVSHW NRQLLWVGGYLCRLIYELEMHALATAWAKTTDTDGPSRAKLSARALHTIKFFTFKATT PAAAVGQEMEAAFYNCAQNNHTLPILSSDGIFPVKEVRMPNDPIAKFLSELPVITQSM LEQASSFIRRLQAQKLLVDITYDDVVNQLGKRPLTEKELMECLKWWQDLASNDNFNVS LRSRLLDAAIVMLDNGKVLPLSLVETFIRPHSGTIPPDMPIPQHTIPYGITKDLRANS IHKVFGWTELNLLQYVTFLLNTPMSAHQGSDPATDLRISPEFAERVLGLISRAWTNLP SNIQSGIALELKDVPCIPTKAGFKPPREAYFEKNLLFEDLPIISLPKNTAIKGNMEKM LLAIGVRKTVDLQLVFSRLIGGGTWGCLDLMKYLVSVKDTLSDDEIKRLKQTAAFPLQ RERLPSKEGEGSAPPALVRQKPWQLYEPIDAFKEMGLPLLDWGDAKWRSNSEEAKMLF MLGLNRYPSKEVLLKIMGGPAPANEKALDYLLANFSNHYSDFRSDAFPDVAFLPATKG GSRVFVKPGEAFSNPDCAILGFAVAIPKIASPENASKLGVRRDPPMGQLVIALVNNVP MDIPTATRTFEYMSAYVNQTAPSFLADTHLIPVKTASDIRLHKPSQVYFHSKERSDNV YASAFTFVDFGDKANVFLQYCGVRREPSVQDIARLFMANSDDMLRQAGSPEKYLEQIR LLATNWSRLDSQTKSSMRSAKFLLASQLVDVKKKSQSLFGQTTEQGDEYEREWVLARA GEIVINDNIVFSQYFGPFILAAPEEALLENFYSVLGSQQLSSFVRTKHVSTPVSSQAA SAQSIPLRRHVLERLTIFLTDRRKLASYSIDSLTKDGNFQVEECQSLKVQRTYKNGKV EKTHTESLYAIAAPGRTRGSIVLTVSLSAQKDDYDIASALCEILFKTQKADDALLLYS ILTTPLMALRKRGINVDRILNQQKEEKIRLQAELRERDIMAAEKAAENTKTLGNRQSL ESTIGSETSEKKGLFGRFGRGSASKDKEELRMPGGMPGIGTGSGLESLPQSKVLDELR HGLGGRHASGAEGYSRPGTYAPDQKQTARPTDLSDIRATVKKAMDASRPETATRIQDS RKAVNNVSEAQGDYCDTTAEVSLKLGE L198_05117 MDNNTSPGPSQPSQGATSEDTVRVPSSGLEFFEYRRRLFLAGLP APSTPTGEPLPDFYEVPRGLPDPLPIPIRKQPSNASMARVEAALSQEGVEYSKEMWES GVRQLAEKLHEGRKFTKGMRLSLVIKILIASWINDGLWPTNTRTGLPERPPESPLIEG IELFPDGVPVKVIDTPEDQLPPKNEYRAFTQ L198_05118 MRPSLIARQWAYHAGPSRFGKYPILLASSAMTSYPDPLPVPAHI PRPDYVPANFFTAPWGEHDMPDLDQDQAANGEKIEFGSEGEQAVRKVCKDAASILKKV SKIVKPGVTTSQLDEAIHKMIIERGAYPAPLGYSFFPRSCTTSVNNVIVHGIPDDRPL HPQDIINLDLTLFKDGYYGDTSATFVLPDTDKPGRDLVSATEEALDIGIRLCKPGVRY NEIGKAIEDFASRHGFSVNTQVSGHGIGKRFHQPPWIFHGRNTEPGKMETGDCFTIEP CLVQGSDSKGDMWDDGWTLASNTGARTAQFEHQVLVTPDGFEILT L198_05119 MSVQIPQEHSARLQADVQAELERREWAEPNDTVMAEYVTVLLVN GSARERVQAEMEDLVGGDFDSDFLDWLYARASAISGPTAVSSVPQLTAPETPAQPQES NRLLSSALAPVTSQASEKRKLPDSAQEGQNKRAAPAVPSGPRSGPAAGNGGPVRGQGM GIRGMAGSGRGGIASGNGSNGFHQQQPGFRPRPPMQQGRFNGPAPGMFGMPGQQEMMA QMMMMQANMAQMGQMMSMITDQRQNQPQAPQQGGFRPRPPPPVKLPPGTKFGSHAVSS IAPGKATTGPIPTKPTSTALCKFGVGCSNSRCIYSHPSPVADEKNGMVLSEEACEKGK GCKDAECVKSHISPAASGDISGPSKLLCKYQNCTNPSCLFRHEDANGNPIALPALASK AATNGNDATVPAPSSDDGSVEVITSHKTLMNSSLDDGPKVVQCRYAERCTRADCKFSH PPSRPVPKGHNTKSFKPSSFSSTPSTSTDIASAGMHKSKKFGTETKLNPSAGDFKPAS TA L198_05120 MSAAANERHHQIDPSLSAFTSQQPPTRPHHLSPPPSSSFANIEG TATQDDIQIDPSLFELEKVVNDFRKGRIRLDEESEMEQREEEAIPHDEEQGSHPHDHE RPDEVDQEDIVGHSLDVSDSLQGDVEIDPTLREIVNSLTNAQQSSHLNNSGMSSAQAA AAIGAHLTDTDAEERDRLHQSLQNTLDDLTHASFNSLFPSNFSHSPSHEFLSLNDHHN LHDEDVDMSHSSLDVNSEAGPSSAPLDNQSPLPGSDPSQPPLKRGRGRPKGSKNKPKP GPPPPRIPKPPKPAARPKGRPPKPRTPAEQAEYDLRKQEKEMGVKRQKGRPRKFPGYL VREMRLKKNRDEFSELMRQFDDKKGDAKGLKPEAVAEQMRLREEEAMARGCGDDDGDL RDRGLSHEEHDFANWSVQDNQTLLDVVGVAQHGMDMGLSPGGDGPSHEEMDRVFGLDS L198_05121 MPSIPHPFTSRDQKSTSDTARPFPSPGSPRLGASSAAQHGQPVK HNPAESYSAGDSSVSASRPGTSEGLRMTSGGGAPSRKASDEPMLSWDEASQVPSRPTS SQGKAIRRGVLKSNTSSFPTEATKGESSNDPMDNDELDVDRKLDHRKRKRNKVIRSCV QCHSHKRKCDRKRPCGRCIALGLTGNCVYEIDEQRDPNDPEVAESDRLRRRVAELEQV VRDLRQKPSARAPAPSKAASILDHGSDGENRRVIIDRYARFKLDEAQAADNVARLGQS SAPVTVKAERSSQEASGGSADYRAEPYTANLLPGDEIMHDTSGRTVYLGASAGQSMLR SLKELASAKGDSGLLAVPEDGVYSGAFPNLRKTFPFTTIWSHENFCGEIIGLLPNQAQ SELLWEDWANTYGSYVSPFHIPSLKQEYSSLFAKLPDEKMSVPLSALAVILTICALGC LSRATAAEMFGHPNRAQRTPDDLGGPDVKEPKDLTTSRLQSELYCGLVSERAADAWAI GGNMIKQATALGLHRDPLSLDPRVSAREAEVKRRVWWSVASLDAMFSIFFGRPSSINF YTTNLPQDRADEELSESPGSARELSTSNDLDSRITDQTFHTAYYQLTIPSFEFLDGIF FVDRQASREALYKWFSPPPDAHMASEESPKPKHTYRDALRLAEDLRRWYSHVPDGLRL DVNDSVEDLHKSRSRKRLGQTLALCIKTWTLTLVIHRPYLRAEADPAAYPESTAYCSE AAHMVLKAYRAMAGADISLVWAVWSMSYRAFQAAAVCAFLALRQPGTELAAKCTEDLR GAKEIFAGRLSTWFSSHPVQSDLCQGIIQLDKLVSLANDQHRRSPAPITSYNGMSPAM FGFFDGPPTNAGIGGSQVEQKAPPTPLTEVRAFQRPASSARPLNAAGAFQTNPAQFPS GNDYGLPRSSQYNGGLYNMDQADSLATSLSGDFNGPSPLALPGFWASMFGIKVDKDGD EAWQSEMVW L198_05122 MAEAKKKQLVYNIIDFLRTSAADGTVKEDDQESLEVAMQCIGEA FGVDPDSTEDANAYSIKPASLLSILDVFLKTKAKAASQPPSTPLASSPAPTPSSSSGP SEADKAKAETLKAKGNQLMGQKLYDSAIEQYTEAIKISPNPVYYSNRAAAWGGAGQHE KAVEDAEKALELDPKFTKAYSRLGHAQFSQGDFAAAVQAYENGLELDPTNANMKTALA TAKTKLAETSSNSVADREPARNAGAGGAGGAGGMPDLSSLASMLGGGGGGGGGGMPDL ASLMNNPQMMAMAQQMMGNGGLERLMENPALRNMAESFGNGGGNGGGMPDLSSLANDP NMRNL L198_05123 MSTTDALTYDDGPLVDCEMTGLDFLNDKIIEIAVIITDGRLKPV DEGVSYIINTSKEVLDGMGEWCTEQHGKTGLTRACLDSPHSYEDVVQKVLAYIQKWIP EKGAGLLAGSSVHADMRFMLIGMPEIMQHLSYRILDVSTVKEVCRRWYPTVRAKEQKG RAGEIAHRALDDIQASIKELEYYREHIFIPVESPVDAPKDEPVEVRKTAL L198_05124 MSAYGAIAAPKQLGELPILAFPLSTPELALVTYPVTGAEAPEEL LKYLYSIFSDELDEGITYPQEGPLTYEQFVAYFFAATTIVGVIQPVDSEGRAETSGGL EGARAGRTWEESAGGCYYIKPNYPGRSSHVSLDTGNNGGFIVPRNHRGKKLGQALAKS FLEYAPRLGYRGSVFNLVYTTNGASLALWSKLGFTKIGVIPQAGRLKTGPNGTEQYVD AAVIHKSFVS L198_05125 MLALLPVLAASASAAIVPNTPSLFIANGAHDTVPDCLKHSYHGS YGGSAAAQEHIYLPSVECLSSSNLDSFTAGSIVPLEDLGSLEKGRMVWIGKAGVQGDG ELPMDISAHSWPSMSEDGKEQGSPFVIQTDDPRDTRKEPITLLHQTANSFLLHVGASA LPYIDTLLPSYLVPVAVPTKPYPVATLGWESVPTKYAKYLAGIIENLQFSPAIDRILS DGLDLNQVRRDVRWLTGESPSGIQSRHSFTPGAVKAAHWIQGKVEATGATCTLQPFLE GFSPNVICQYPSLHNSTENVIFSAHYDSRGSFGSTRAPGGDDDGSGTGHLLGLAHAIG SQGVQFEKQVTLAFFAGEEQGLLGSHAYAEYLHSQNVSILLQVQADMLGYHAVGESLQ LGLPETIHLPEASYLVGNISHLYAPELVVGTTAACCSDHQSFVSYGFPATQVFERNGD IVDPMYHNSGDLSQREGYDFEQIVAIAKVTLATLLTVAGYQIAE L198_05126 MAENLTDKSSEVLKAALDKAEELANAQVHPLHLISVLWEEPSQS STAPDQPTLLKAAVEHVGGNPTLFNRALMGKINRLPVVDPAPSPPLPLANSFHAVLRE AQKLQKDMNDGFVAIDHLLLALLHVDGSEMKELLKGTGAEIKALEAEIKRKRGGRKVD SKSAESQFDALNKYCVDLTALAEQGKLDPVIGRDNEIRRTIRILSRRTKGNPVLIGEP GVGKTAIAEGLAQRIVDRDVPASLISRLMALDMGALMAGAKYKGEYEERVKAVLSEVE KSGDEGTQIILFIDEIHLIMAGKDSSGGMDAANLLKPMLARGKLKVIGATTLNEYRQY IEKDSAFERRFAQVIVDEPSVPDTVAIMRGIREKYESHHGVRIMDSAIVLAAQLAKQY LTARRLPDSAIDLLDEAASAVKVARETRPEALDELERKKLGLEVEVHALEREKDEASK ERLEAAKKAIADVEDQLGPLKREYENEKHIGDQIHELRRRIDELRAKADEAERRYDLA TAADIRYHSIPQREEKLKELEQKEAEKGSGQGVTPDGIAEVVARWTGVPVSRLVETEK AKLLRLEKLISKQVIGQPEAVKSVANAIRLSRSGLGNQNRPIASFLLVGPSGTGKTLL AKTVASVMFNSEDAMVRIDASEYSEKHSISRLVGAPPGYVGHESGGALSEAVRRKPYS LILIDEIEKAAREFHQIFLQVLDDGRLTDGQGRTVDFRNTIIMMTSNVGSNFLNENPS EGPVQPEVRTQVNGAIAKTFPPEFINRIDDIILYRSLSRADIKKVVGVRLQEIQKRIL DNNKKIKLDVDDSAREWLAQAGYSPSYGARPMARLIQTEILNPLSRLLLQARVRENET AHITADLRKNRLVVIPNHEPDVTMPDDSDEEDDSMDIEVEDMD L198_05127 MPVPVPPRIPHKPSRPFVSASYRPPEEAHDGLFRLVLITSGSVA SIKVPDIVAALAKNRDIDVQIVATKASTYFYSQNDIDSSVRKSLQLSDSTTSDVGYKV WTDTDEWSDWRKVGDPILHIELRRWADLVVVAPCSADLLAKVAGGLCDNLATSLMRAL SPSTPVVLCPAMNTHMYQHRLTAKHLTIVQDELGYLVSGPQGGGRLACGDDGPGKMTD WRDIVSVIEGFAHMFHNKQAPYQPTSVEGVSPALSSNPSNPLPRQNPPTPNRSPSALR STNPLPDTEREKDTGCKPGESAGVKDWRALCGPEGGDGRAWQKKYWMG L198_05128 MSSKRVFPLKQPYKNTFPTTLVTSTTHMIDRVPTPVPASYQQRR HSDDDRLHLDSLCFSSCHLSPPHVQSLHFKMTPLPTPTPPSLLKRAKSLEQARPRRHV HSQPQSPPAHSHSLPPSHHHHVSGLHHTSQPLHHTTATTAAAPPSALASATRRHTTTT CDIPPLPHQRQRSSSLISVSSIPSPPLPLTPGLDILPELADESFELFPPAARSAVERA SVMADGYPFPHDPYPGGISLGEGATEMEAVDKKRSAAGKRRTTGGWDVEKPKVC L198_05129 MATEQKENLFPTLGEVADRTGAGEGEEDDGQMQDVESLCMRCHE NGATRLLLTSIPYFKEIIVSSFRCDHCGFRDTEIQSAGEIQPKGVSYTVHLLSRADLD RQIVKSNWATITIPDIQLTIPPGRGQINTVEGVIRDTVRDLNISQPVRRVMDPETAGK IDTLLEKLRVAIDMEDDEDEDGGVGRDDEDEKRAYQESKPHEEKPFVPFSMIVDDPSG NSYFQFKGSQSDAQWNMRAYPRTFEQNVSLGLVAANEEGEKQEEPVVAEDHKLGSVEE FEEKRKQLMAREDGTVVPDEVFTFPATCSSCGHPLETRMQQVNIPYFQDIIIMASNCS ACGYRDNEVKSGGSIAPRGKRITLKVEDEEDLSRDMLKSDTAGLEIPEIDLVLQPGTL GGRFTTLEGLLNEIYTELSTKVFRTGDSLTSGLGQISKEAGSEERNFEDFLKGLKDCM GAQRPFTLIIDDPVSNSYLQNLFAPDADPNMTIEEYDRTFEQNDDLGLNDMVVEGYNE DVKDSSA L198_05130 MSTILQDWIDLLNAEQYVQGDKLRENSRHGVAAPIRGEVWLYLL NVLSEDKTSEITSLMSLNTSYQSLPSSIPPHLTSLLTQAALAHHTKRFRNETYADLIT AITAEPPVAKDPRIMSRAGILGTVKPAADGRSSRASPKIGSEHHTMPPPPVSLLPHPS AEEPEALSTIRSQLSRILPKPPSAPPSRHGFLSLLEEVLGKFWNAENMNGRDDSYRRD EAGEYEGSEKDWVYLATPFACCLSKPVGVFLGFRALMDRLRNFPPLPIRLASFLTLFR QALPEMHAYCEDEQVPYVQVALSWMTTLLAKEMWLGDVLRLWDTYLAADDMFELHCYV CVSILSTCKETLEELDGSEARLVLLDLPPLDVDRLLQDAANLRVAFPLPRPVQEDW L198_05131 MLETRISNTHLLCRAQTRLSILSIPTSSLSVADSTPTCSNDTLT SAQSSIDSGCSSDISDGGSSGTEVSALKGLLAHYTEFQAAACSTNTSTSDYCVTETLT TIQNAVGGNLTTSDVTSLLSGSNSSNSSSNSSIASVFTTGQLCTDCVNGMCYEALQAN SSIAGNIIDKGLTSKCCSDFGTKAPDTASSASSSSASSANTSSSSSSAGSVATPFVGL LATAGIAGSVLGALVFGAASVL L198_05132 MSIEIGPLQIAAIVSASIAVILVILVVFYRLYRRRQRGTRTSAH HSPTSSTSSLSPSQHSRSASVRGTSMTTSGLGSATNILTQNARTPLAPIPSTGQTVSV TPSTGGGYHGSSKLRKMMIGAGGGKSLPADKHASAELVVITYEDGLRKLGIDPSCGQP HPHHILYSEYDESEMDNGSQVRAVDSPRAKPGRDRGKAPPPALESTWLPSYYHEPRTV HG L198_05133 MATTIVRAEDMQEDRVSQLAQSEKDFYASDIDKDVVTDIEVAAA DIDRVAEKRLLTKQDLRLLPVLAIVYLFNALDKGNLANARTDLGLVGNQYYLMITIFY IPLCLCGTPLSIAAKRFSAARVIPLMMIGFGTCSLLSAAVTNFGGLFALRFLLGIFES PMLPSVVFYLSQFYTRGELARRVGVFYAASSISGAFSGLLAFGIFQIKSEKLHGWQYL FLIEGAGTVTFAIFAFFWLPRSPATCWFFTPEEKEMSQVRMLRDGTDKVGEEDAFMPF VQDWRYIVWAILALGLGVPLASVGNFLPQIVARLGYSTVKTNLYTVAPNVVGTCFLVL FTQSSDYFRERSLHVVIPLLITMIGFIVLGTIDVVANKGVAYFATFLLCIGSNTPSVL LSTWYSNNSISENKRVVLTGVMVGIANASGLISANIFRAEDEPKYIPALACSAAFGGL TALVAFSFGFYMRIENRKRNNTQGLPRSYGSKDVPTEHLGRGPKDVSFRYMY L198_05134 MASVLRSLRSGSSSAASFARANRSNPQVLRSSRRQYSSPKQSRP KVEEPVLPPLSEMMSRKSIMAAWSGGVGEFQLMKKFVLEPAKERKRLKEEEEALKAKL NVAGGEDKAVLLGSS L198_05135 MCVLIPYPRIQAYPCRPPKHTKGGPRKSNTGTPVPGDDASSNII VERLSYADSPRPFKSTTFTSKLSSRTATTSSTGVRKNAKQILGLERERLSGGDGFMSA QHVEMRKKGVKIELGNKKKVGVKKGSLQNLMKGKRKREGLTPAESEAASESATPGLED DEGEAMDVDRSSPVKGSESSKTEALADESNGTPKKEIITYHTPTAPPSLLPAKKYCDI TGLHANYTDPRTKLRYRGLDVWHAVRGLGPGGDQAYLSLRNAQTSLK L198_05136 MATFVNWIKSPAGRQYFFSTHFWGPVANWGLPLAALADIANKDE ETISGVMSPTLAAYSMIFMRFAWRVQPRNYLLFACHATNASAQLVQEGRFLNYWYFGG REKKHPVAAKVDEVKDVVQEGIDKVKA L198_05137 MPTALPLESHNPPNPKAFKPKDNHGFILHGALDTSFEQQPVPEI GPNDVLVEIKKTGICGSDVHFYNTGSMGACKLDGSMCLGHESSGIVVQLGANIAEQAS RSSDIAASRGIAEESNKGPIAGRPLRLGDKVALEPGVTCRMCVDCKSGQYQICEHMLF AAYPPSKGGTLQRYYALPADLVYPLPESVALGYGAMMEPLSVATHAVANVGGVRSGYN VLITGAGPVGLLAMAVAKGMGANTIVAVDINEERLQFAKEYAATHTYIPVKPNAEEKP LAYSERAAAHLLKTCGIPNRGPGSIDLVVDATGAPSCVALGLQTVRPGGTYVQVGFGP PDVPVPMFRITTNEINIKGAWRYGSGDYPLAIDLVARGLVDLKPLLTHTFKFEEALEA FEITKNGKDKNGKGVIKCVIDGPE L198_05138 MLSRQTRLLRRIPHPNNVLSAAHTQSRNKSSSIHTSSAQNAPAP VAERGMSLEAKERVRAHVRRIQSSAVSGSAASPAVRPQPAQHFQAAPQPMPTNTPQFD VADSQIKNGLDYSFVGMSGGQIFHEMMLRHSVKKVFGYPGGAILPVFDAIYNSPNFEF VLPRHEQGAGHMAEGYARVSGKPGVVLVTSGPGATNVITPMQDALSDGVPMVVFCGQV ATNLIGSDSFQEADMVGISRSCTKWNVMVKDIAELPRRINEAFKIATTGRPGPVLVDL PKDVTAAILRTPIPAKSAQPGHSPYLPSNPLNPSSQPTKPLPGDPELIAQAARLINMA KRPVIYAGNGVLSSPDGPRLLRELSDKGRIPVTTTLQGLGAFDERDEKSLHMLGMHGS AYANFAMQEADVLIALGARFDDRVTGKVDTFAPAAKAAALEGRGGIIHFEIQPKNINK IIEANVPVLGDVVASLDALVPQINNTVDRSAWIARCKANKERYPFTFHPSGSGEKLKP QEVVQELDRQAEAIGKEKFVISTGVGQHQMWACQYYRWTEPRSWVSSGGLGTMGFGLP SAIGAKVAAPEKYVIDIDGDASFSMTAMELQTANQFNIGVKVLLFNNEFQGMVEQWQD LFYENRYSHTRMTNPDFVKLSESMGTKGIRCATIEELPAKMKEFLEYDGTRPIVLEVL VSSEHVYPMVPAGKALHEQILHPLLRKSE L198_05139 MNTPPSSFHHGHSPSQQEMSIQHNDDEEMIWEPIISSRAQICYL GLDTNILIKHLNILRTLHAILAALEPQEVLILVPSKVIQEIDGLKHSTALPDIHSPVD VGTLARTANNWLLETHRARREGQRSALRCQSLKERRSRGVVGQNADDEILDCCLYFEE MGGKVALWTNDKNLGVKAEINHVPTIGGQHISLSTILSATGVNFPKELWEQVHELEGH PQSANSVVDGDVHMGAESIQYVCVHTPPPPFSLPISTAPKYFQDLPPIFLPGSSEVQH VHTSPQPAGGVHNYPLLHGGVQEYIAGGNEEGMAIDEMLTTDPIYSTASLGSTSSSTS PPPSTVPNRYTNPPPPAGPRPSKLMLTSLRLALLPSTLALLSNPTYAPHLKVSSPPPT TLPTDKILTTLFDAFSTLDKYCLSKGFEMDDPTRLQLIQATSSVKVVQNYVACHEMGL GGVRRVKTGDMVYALGELKRIMKGLGVGWKGDLEDVLDDFEGYE L198_05140 MEVTPQVLVRHIVDQYKELPENKRLLIAVAGPPGSGKSTLAYPL TDALNALILHHPPPNPSHLEEPASNLLAESSDQHGQGDEVAIAVGLDGWHHTRAELDA FPDPVEAHWRRGAAFTFNRPSYQAFLSQLRVPLTPEPPAAIPFPTFDHALKDPAISPQ PILPRHRIIVIEGLYTMLDEPGWSETAEMMDVRIWVDVDEEVARKRLVDRNLAAGIVD TREKCEARVDASDMKNGEHVRSRLVQPSYTFTSIEGQPFTSETFRLTA L198_05141 MSTAVQTETATVPASLQLADVSLDTYDEEQVRLMEERCILVTPD DVVYGDSSKKVCHLMTNINKGLLHRAFSVFLFRPSDGRLLLQKRADEKITFPSMWTNT CCSHPLSIKSELIEEDQAGAKNAAIRKLPQELGIPESQLKYEDFVFLTRIHYLAPSDG IWGEHEIDYILFSTINVDHEANPNEVSDARYVSKSELEDMFADPSNSFTPWFRLIARD LLFPWWDEMFAKSKAAGWDAEKGVGEVRADHLANGPQVNELIRML L198_05142 MANTATNLNDTSMSPQGVQGAYPGVQNVIEPSEPAETYVKSTGP PRDVIEGKEGVIEGSELAPLGEDKIASDPGLVTAATAMAKKAYTAVAGEQ L198_05143 MLPDYEKQEPLHEQQRTPDVPSNHQRAATRRGYVLLMGGFVYLL WRAFGSASGDFNESALLEVNEDQYLRGLMNKGAEWAAADNREQTGLTGGSWLSPEAAE KLYLEVPNNSSVAAASRRYTSYAHPAGSGWDLVSALNLKNEWEKELGLKSSGPEEFIY DAGSTESQARVKGGMDKLGVWVDTYYPVMNTPVHVSATLLTDPPVSAKLQEDIIEGDT DSQLRDEVPVFHGLSVSGDVTGKYVYVGYGRKQDFDLLQEKGIDFKDKIVLTKYGGCF RGLKIKAAQEAGAVGVIIFTDPGDDGEITEENGYKTYPDGPARQPSSIQRGSVQFISK YPGDPTTPGEPAYKNASRLEGGNQPSIPSIPMSYQDVIPFLKALQGKGIHAEDLGPDW VGGLGFYGVDYFVGPSDVDLHLVNEVNTRVMPIWNTMAVIPGHITDEVVIFGNHRDAW VLGGSDPNSGTASQYETVRGLGALLKKGWKPLRTIVLASWDAEEYGLIGSTEWAEDFG EWLQENVAVYVNMDSSASGGNFDAAASPSLAHVIRTAAEEVESSLDPTRSVFSTRNDA GSWEAYNREKWALEVEGDVSIAKDDDYEIGPLGSGSDFTPFLQRYGIASGNLGYTGGP KDPVYHYHSIYDSHYWQAKFGDVGFHRHTDAAKVVGLVLLRLADSLILPLNTTKYTED LSLYLHKVENVAKSSSLSSEIDFAPLASAIKSAQHASTGLDKDRHDALKRLQKLLGEG KHASSSMLSRAAHKCGWQATPGTELQHMPSWDDENESGFPHPRLPFPLPTPGRIHKIK EVLEEIRAINKKLQYFELDFISENGIKEREWYRHKGTAPGLWLGYGATTFPALTEAIT IDHSAKLAQKEADELTQIITKIAKHLSA L198_05144 MSNEKAALPTTQPPAQPQPAPRSRAYKALLPLVGVLAFLLIQNS HLLTLPGCSHANHDLVAAAKCPAQPAPLNVGEDWNPLTDTVYGELAAKRLSKAVQIDT VSFDDLPLNASDPKFDKHFAFAHFVETEYPKLYQSLKHESVNVHGHLFTWEGSNPDLK PILLMAHIDTVPVLPETLDQWRYPPFEGSITTDGTVDSPGTWIWGRGSSDCKNSLLGI YGAVERLVSEGYKPERTIIISNGFDEEIGGLRGSGASSELLQERYGHHGIAFLVDEGF TGVTEEYGATVASLGMAEKGSVNVNIKVETLGGHSSVPPPHTGIGFMALILAELERNP FEPSLDPAAPYLKYLSCITDFAPDVPKSLKSQVKNPKKWDKLAYELAAGDRTINSFLS TTLAIDLINGGVKVNALPEFVQATVNHRIAFTSTVNETLQHYLDIVVPLAESLNFTIS AFGDSSQKASSSHITLDAPGGFESAPITSADSKSFELMAGTCKHVFGNDTIVAPSGMF ANTDTKHMWPVTKNIYRFSPALNSENLHQHTVDERISLNAHLNTTRFFYKLLRNSDGW TDDA L198_05145 MKNPRIQEEALLDNLKNDLKVLKIDIEALSTFLLHSPVKSMQPP ISSASSVVTIVQKPQPSRLGRLAFALAQMSNKKSAKGATITNRWNRGGYKTEAMDEVH RRGSNGSNTSADSDMTLVGSEGQGGPGGNAGGFQEDSAGELLATLAPVIAALQHLGIK LRTHAEMLRGNEDYTSVKDSFLAACESVVALLLELESPNRHVEGQPDFLADVGQDNLE MRSLMLEALKKKVKTEQLRLSTASFASPQRSPTHDPFAVHSASSPYGRSRKGGVSVTK SVPIKISAVGGGQAGEVAYRSFMANSQQSASAINVVRLGSFQASALFTPSPVAVRRLV GDSGKG L198_05146 MSLYGGIKFTAPTAPQQQAEEQPSASSTPASTSATSDEPAKTTA PAQQAKTKSAAETSTALKFAPRINKKPSRPALPASAPVVIPHIGISSKPDIVRAAEPV LTRSESSGKVNNDNEDEVVLGQDGIPLAQAPSMTLDPKGRTLAGGGDRKRKNKKRKSQ QQPQMPTFNPDEEYDPARPNDLGEYQDYRVRAKEERRRQFMEAKKRKADGLDSDESSC YSDSDEDYGPRRDAPKMFAPPKMYSPPSSKAPLVPPETSAPVAPPSAIPQSADEAYAR RVAMSQPRVSSGGDDGYDQRPSFNQQRPTGSATSGDDAFARRVAMSQGLPQSNMPSFV SSSSSLPNNAPPSNPPNVFVPPAPAPAPPKPLDSQAVTSANDEPKDQKEFEATLAERK KAAEAIAAKFKNPKAYSWANAPPDASFSANVSLQDVEGGTFAEKMMRKMGHVEGSGLG ARGEGIVHALTAEHVAPPTNINQPLSKRALAKQKAAAANTKNRKWVQSASSRGKIVNA NEAKRATEERGQKGEEGRVICLRGMVGSEEEIDEDLADEIGEECSKHGIVERVLLHMV EPPPPEPEECLRVFVVFSGMAGAWKAQKELDGRFFAGRTIKATYFDEERFNRGERDGP VL L198_05147 MSKAQFLREYKLVVVGGGGVGKSALTIQFIQSHFVDEYDPTIED SYRKQCIIDEEVALLDVLDTAGQEEYGAMREQYMRTGEGFLLVYSITSRSSFEEVSTF HQQILRVKDKDYFPVVVVANKCDLEYERQVQPHEGRDLAKRFNAQCIETSAKQRVNVD EAFIAVVRAIRRYQKESGPPQASGAPSKAQSGGVGGRANEKDDHVDKGCCGGCVVL L198_05148 MPEPRPSSAASTVPFTPKPRKRTSSSRLAFTDRELREFAGTMAS VRKVRPGSILEELEHSGEGSGILSRPSSSKTHTNHSERHPRPSSARETEYDRPQLQAR PSSSASTRDVRRAHGREREYNPSSLGRAQSTRMSQSTLPTPNEAQERERQRESGKGKE RQRVADPVPESETQMSNLAIAEEERLLDGVPLEVQEAWICEDLLFVLQGIEGTLIRFD ETYDPLDAEQRLQGAKWTVHPDLDPSLVSLVNRLLPLASFFTAVDTSMESRATQEFGM VSHALCSGIRAMLKEYHVLTAQLESLFLSSPTFTLQTLYFHLHPTLRTMSLLASLCQS FETEDTGQESVDLSDDDDGLGGMADELGLGGAGLKGLMKNLKAQEGLIGGGGEVIGGE VLGIICERESTMSGDPTASTLYSTLLLHASQPYCRLLVQWISTGHLSDPFDEFMVKES GYITKGVLESDYTDEYWERRYTLRDGSSIGSGKISTGKATPSFSAAAPPPRQGTGRLP GGACIPAFLQPWKHKILLAGKYLNVIRECGIEVKKPGEVRHHPTTRDLVLTHYRFYKQ IEDAYIYANKTLLKLMVDEQELIPHLRSMKHFFFLNQSDFLTNFLDLAGSELRKPAKS ASIVKLQSLLDLAVRNPASSSSNDPYKDDLKVVMQSQGLYDWLLKIVSKTGSLGDGGE LDFAMGDDDEAGSKRDEKRPLIGESYTVPSIDALAFDYSVKFPLSLVISRKTIARYQL IFRFLLHLHHLESSLSAMWLEHKAPAWRNNSGNDDIERWKMRIFSLRTRMAAFVRQVL AFATGEVLDPNWKALEEKLAKVQTVDQLLRDHVDFLDTCLKQCMLTTSKLLGIYAKLM TTISVFVSYQTSLNSALTKFLADPVAESGSVKSDSRWSALTRFEINFNHHAKLHLDAV TYNAGSENVALLALVTRLHQITLRV L198_05149 MREEPSPEAPPKTSSPGLFFPPSDSEGEDSSYTPGRATVAPKAA RSSKKSTNTRPKPIKRGSSSQNQSDVVEISDDDDDIAIVKAPSSSSGAASRKRSRRGS QSRDQNHMPTAVIPSGFKSGFLGEFVCEGWSLSKGRGYCSSGTKIIIERNKPKDSNAA ESSKKPKGGETDTGRVRLVGGKVVGGKAKPKQTTLASTMNKKAGLPIRAKAATDQIIR FRNERGFEVGRLSVTEANFLTHLLDTGIINLGGHVIDCPEVLTTGCTILLDIKVHLTR KAFQNSNRYERTEQGTFWKDRQETVVEEAMRFRKDSLAKLFERIGVKPIQTNALSRVP QINSGGVSDEPKAKKVKAISDAKDSGKGRERDTGEDSGDEAEVLDDKQLNEIDNIYRK AQQGDTRLDEMDPPETFLYTLRPYQKQALTWMNARERGDQSVREESLHPLWEELPIEI SDDEDGPDPSRKFYWNPYSGELALQFPTSKNLSRGGILADAMGMGKTCMMASLLHTNR ETEDLAKEQGAEKQEEEEVEPASKRTKFKQVTLSNQWRAIPSVPKPSPRARATLVVCP VSLAAQWHEELGKMSKEGSINSFMWYGNDRTDIETLLSGEGKDQVDVIVTSYGTVSSE YQRWVKMKERTNYEGGSLYDHEFLRIVLDEAHNIKNRTAMVSRACYELQGQRRWALTG TPIVNRLEDLYSLLHFLRITPWGEYSFFRSFVTVPFLNQDPKALNVVQYILETCLLRR EKTMRDKDGRLIVDLPPKHVAVKVLEFSRPERQIYKFLEERAKKKIIELDADGRALAN YTSILAMLMKLRQCVDHPLLVLGKSGEDSELAEQILDSSSADLTDSLRNMIAAYAGGA RAETPEDVDQAYAAQVLKELGEQEETPICELCSNEMFDEVLLPCYHRSCQDCIVEWIG TCEDQDKPANCPTCDKGPIKLADLRSVQRRHKRVNPITGAHPDEDEKASTSGATAISL GKVDLVASTKLKALARRLAEVRQEDPTYKALVFSQFTSFLDLIETTLTKQGVKWLRFD GSMSQAQRAGTVMEFGNKSAEPVVMLISLKAGGVGLNLTMANHVFMMDTWWNEATEQQ AVDRCHRLGQTKPVYVTRYIIKGTVEKRIMKIQRSKTALVNASLRGDAAKSKETSLAD IKKIFGLLDAEEDSEEEIY L198_05150 MDLRYWPAKVGGFEPPKKIIIFLPGNPGLLDYYPPFLNHLHSLL PPNHAILATSHIGHSISVPGPTVPLTLKQQIDSKVELVQSVKAYLAGWARERECSKSE ISLVGHSVGAYMACEVMKRVNSRHERVIDSGIILFPTLGWIADTWNGWMLWPIFHRPI KPLLPLVSPLLRPLISFMSLPPTSRSFLLSPPVIQDVLALASDEMKEIKELDLIWFKS QGLDLGRSEKGLFGVWAAGKGDSWVGRDGPLVQDCLGGTEGGRVKVLEQVPHAFCLTQ EHSELMAQLVAAAVSDGGWTSDDATLAGPADKAPIGSNIVPM L198_05151 MPRWLKSLSSLVRREPPENPLSPFIKRTVPGKPPFGLPEGHPNV EAFYDDHKGYYFRTFRTGKDSDPRVSTTRIDMVKAWGYATYVVTFFCGLIDAMENAEE KIYEAELKEAWKIVDLYRSYQSELSYTMDAFLDLELGHALPERTWAPIPGPVDYDRIL QVEESILRPTQHIKGRDVPLITPLFIFLTLHILGLQEEGFPPKWLSLWDRFKPDKKFC YSFPNDKPLKQKEIAIKDKGGAVWVRR L198_05152 MNDIITNVEPKELSAYQQSYHWRNKNCAPFAYDWIKNALPGLKI EDGQQTAEIEKVSSVTGDCDLGQRKGKLLTIYDLEVEASWNGVAKDGSEIAGTLRVPE VSHEAIDGVSDYVYEFKITGNTNASSRELLAFVRKTFPAILSEKFNSFRPALVAAHGN SSDSASPAPSKSFTPVPTSAPAPVASGSKQSSAPSVKEETAAKKDKSSVGKTVTVEVK ADLQASADDLWGLLTDENKIPMWSRSAAKLPLKPEGEYELFNGNVRGKIISIDAPKKL VQSWQVRHPAWPTDHFGTMTLSLNQGSSTTSATFTLDGVPAGTESDVEKALNTFYIQA LKQMGLVSSSSSSSFPTPAMPSSTSKPKKYRRKQRRTTDEGSWSTSSLVGGATVATLS AVLIGLVYTSFPSSTLSGTK L198_05153 MSCGCSSQQTPNCCGTAETQSKCSCPPGNCQCESCPKSSKTEAS GACNCGEEKKEKAQTCGCNGSGAACTCPPGQCACANCGEKEVKTQTCGCGGEGAACTC APGQCGCASCPVQAKEAPVSKPTTCGVGGS L198_05154 MPLSDETKDRYNAVLGIAKAVFSVGWIPFIIYVGYKNSTPQPSL IKLVKINVPCQTQTDHLSLRLITPLA L198_05155 MKLLSLALAAATAIPTALSWGAAALWHVSGHEMVATIAQIHLHP STKEKLCSILPLEANCHLAPIAAWADQIRNRYRGTAPMHYINGKGDHPQDHCEFGEHG WVNEDVNVVTAIQNFTRAITDGKGGRDVDIPLRFLVHFIGDAHQPLHLAGRLKGGNGA MFLFEGRHRNLHSVWDGGIITKNIRELSNYTSPLPSKQIESALPGAIFDPYVRWIVWE GIRQWWRDDLEEWLSCPSDGDPFPHSSQAAVPPSASTFLKDNFRSVSSLALSLIPGRL AALADLYYALPLKETQGFEDQALALTPEVLKAKGVNMSFPACPFHWTSGIHQLNCDIV WPAAFTDEPGQALIELDTDEYLGEIGRQKIVERLLAMAGLRLAKVLNEALADEGSGVG GVYFGYK L198_05156 MVAINLLPLFLVLPTLALASHNSPLDARSPHRRHNHARAASFAV GHASDSVMKRAASGSIEEKMARKRDGKDMANVAKRGADGAKCRKRGESYTPTGVSSTL SASSTVVSSTEVISSAASTTDVSSTSASSETVETSASTQASSTDAESSSIVNQQVWVD DATSTSSSVAAAPSTTSAAAASTSSASSSTGSSVVSYANLTPNGNKAGLSAGDALPWV KDHIGWWYDWSANPSGDSGSAVAIPCIWGSGSADSSDASRLSVFSAITSTPSYIIGFE EPDCDAGSGSSGFDIATGIALWDSLVAPHGEAGSVLVGPSMCKQAAESGWLSPFLSAV TRKPDIMNIHVNKNSAAGINTDIDHYWNTYGLPIWVTEFACVDDSSSFIPCTDQSEIN TFIQTAVDIFENDYRIAGYAYSNGDGLSDAWAMVKNGALSESGQTYLAAISKYH L198_05157 MATQKTINALRELSTCEISDALIKLGLTTGGFIPDLHIFSPRHT ESLKVVGPAFTVQMVAENVKRDENPPKTEEHFVFANYHTTLGQKSFVRPSALSVPVDM SPLSYSSPEVTQLYDPAFDYKISVNPGDIIVGDEDGCVAIPPELVEQVLKKAVTGREV DDNVKKDLEAGKGVKESMAKWRGGGGKGESGKP L198_05158 MSSGKKPQGAGDDYVLLESSDGYTFVVSRKIACASSMLKNMLDE DAAFSEATNKACKIQQRGCILLKVIEYLAYKVQYQEFNAEDVQEDFQDRIDPYIALEL LTAADYLDC L198_05159 MIRKQRPTFTNAELEMQLQQVCPPLRLSHAHPPQINLDPTSTTE NLEALAPLIKSIQDTDSEQLYLKSLDAFVDEKEKEIEAICAGNYEDFVSSVSNLLTIR QGTGHLRRRIGELDGQMGDVGRALGEKATQKRALLEQKKVARNMDDAIETLQACLRLL DLVHRVSDMVREGKYWGALRSLEDLLHLPPASISQTPFYAHVMSSLPSLRLSIKDAVT ASTKSWLFDVRESSAKVGKVALDQMSVRIKKWRARREKEGGDRLARVGGSLELVHNER VEFDALDNDEINVDFRPLYQCIHIYEALGAKTELQRTFQDDRKTQAALILSSRMATTP ATLVTTLPLLLQELVGFFVIESHVLDTMPEFRTQRDVDELWDEMCKNIVDVMGQGLKG CSDPEVFLSTKSEVLLFVQTLDVYGYNISELNGLLIALFERYSELLLNKFSSDFEQAS IVTDDDNQPMMVNDQEEFDQVAGVCWLATGEAESLAMQGFPQAMPFSQTYPMCCINTR NFVDQFYQFTDGVAQQHLDIDEVLRKSLDGLLSTHVSKQIAKRLLSMANLSQIAQIVI NLEHFLTACDELESVLMNLRASQRGGPIKLSAGASFTSTLAIAQSRIDSVVNSKLESF FELAEYDWTPARPQSTVEEPSTYVFEMITFLTAYVDSVLIGLSEEVKTRAYSNALGRI NKWLMDTLTGSSVPRLNESALASVLADVTFIETEIKRLEKRELDRVFDETINIILSDA VQAYMEPSVRSVSYPSVKPLRLAMILAKLGKAAAAQGGQANLFKAERRRGEADEVARL ARK L198_05160 MLPRRLASTVSVPPTHKSPRKIVLVGAGFLGSYVAKALIADPRN RIQIVSRHPEKRNRANFCPVHNQLKSLGNQILAPSPIDITSPSSVSALRETMSGAAAV VSMGGLLTGTEAQMKALQEDGVRRVAEAAKEEGVGRVVGVSAIGAHSKGATAYWRTKG KGEEAIWENHPSATIIRPSILFGPVFTYREIMELVLRFSGLQRPILSIPYALGALQGF FLEKLPENIFTLTRDQVTQLRDDNIVSPVPPMFSQSFEAVLKAFPSSAPSSAPPGDAG LRSVEEILPQYLGPKQEREEGKRTHGRQSASFEEVKSMGQK L198_05161 MDELYRGHLAPQPPSATNITRSIHPDAARLPEEVVDLNAPNHNY RVIASDNARSPSPAPGPSFVADMAPPPPPPPPEVTVNVSTDAHRPKRGRSFWMRWPTP HPLLWITLAISVLALVLEVPKGSLPTFSGRHKALRAQEKVVQEKLQLLTKLSTFLPPP LAALVAPFDPANPTATSLLALPDNHQLELLRLAPTLRFWNTGLAQPFGVGVDTDGQQW WSVEQLGEGASVVRSKGEGQDREVWVLRIPGEVNEETPQTAALTQALTHSLLLRDHLS TEIHQLKSNPCPVCPAPPPPQLNHQYSQSGRRSAEHEYLVVIEEEEFEAARQRDEWEK YEEKRRRDKEREREVEEREREVARREKWVVEEMRKMSEKIQQAYQRQLREHNSANGEF L198_05162 MVVAAPVFDKSEPKADKLFPYHLPNSPEWDEYNDWYSQTAMTSA AAGMFIKQPLIVWGAFALAVFGYVNQQPLRQAKDATSPILVLGMALAGVFGSVLPKMM LAPAPAQTAL L198_05163 MPAHPQRSINVAILGATGTVGQRFIELLATHPYFKIHALGASAR SAGQPYAKVTKWKLASPIPEAVKNMTVEECKPDAPGFAECGAVFSGLDQDVAGDIEQA FRAAELAVYSNAKNYRRDPLCPLVVPLVNPSHFDIIPHQRASLGLQKGYIITNANCST TGLVVPLAALEQAFGPLETVLVTTLQAISGSGYPGVSSMDILDNVVPHIGGEEEKIEW ETNKILGGLSDDKTSFDLHAPKQINVSAHCTRVPVIDGHTACVSVKFAKSPAPSVAEI EAAFRDFRCEAQELGAPSAPAQAIVLHDAPDRPQPRLDKNLHNGACVSVGRVRECNVF DVKFVCLIDNVRLGAATSSVINAEIAVEKGWIQ L198_05164 MLAARRQSMASPGPLSPSSLPRPHSSTGHHNYGQLRTRLSSDAA SVAAAALNDVPETRNVKVVLRVRPSNPDDASIPARYRSVLVHPTSETEIRVDVDPATL AGHAGTVGSSRKHPTFTFDNVLGEDSVQTDLYDATAGENVDEFMKGHNVTFLAYGQTS SGKSYSMGTTGEDTDYSGTNFTPRTGLIPRTVQTILERAEESKQQAGPGASWEARISF LELYNEEIIDLLSGTGISISIREERDGRIVWSGVREAKVKSLAEVMQLLQEGSARRKT SETNMNSSSSRSHAIFSLTLVQKRIAGPMSSAVASSRSETPTRQLRRPSSMMGISSAG SARSPTPTSGRGGGPPSSFGRMTPSRPTSVIGTPTGADDYIIVTSKFNMVDLAGSERL KRTAAQGDRMKEGISINSGLLALGNVISTLCDPVKARGHIPYRDSKLTRMLQDSIGGN ALTTMIACVSPIEANIGETLNTIKYASRARNIRNQTKVNAVEAGWDDVEHLQTTVLKL RKQISMLESDSKGSASEGNSKHSEKLIQRLAELQREHTELYDRYLAKCSDNMRLGSEL RNRGPGDGDALSKFNETVEPVILEYEKVVSALNQQLDELRGEIVIINDLSEEHNRQLE EARDRQLQSESYVTELRSRLTKLTERNSSSEAYIQDLEAKLKMYADKEDSHADAVAEL KKDISKLRENNASSVSNAQELEAKLSRSEAASSKLVAQVEKQEEDAQVRESAYRELEA HIVRLEAQSLEDSKRLLGELSDRDDKILELEEELEKQRLDGSRENKLLEAVNAEKAAQ QELRIRLASMQKSASGVVTPPSEGSTPAGSVIKKSAASSNGGEGEPIGELEQLRAAFE KLAAEHAKSESHIADLTSQLSEAKLVQGEMEDTMPLSPSSPIIDHDSHSDDELEEVAT PVDDLPTPARSAPGSSPTKRRTSSASMRRSSLPLLNNGVAVKMGFRGGRGFSDSTRIR PQSLSQELSSAQSSVSSPRATWRDPNTNKLLLSSPSMVPKPSSKSVQSLEAELRFVHG IVDERDEELRDREAYIRQLEEQLELSKTHDILSRKNRANPIDVTTSEIESIDTEVVEL PRTPETPGIQLQPSDFPLPPSPAPMTPFKSLKVEAGDQDGDDEGLKPPPDDGENGALS PRSVKRFSQLAESLSRLESKEGCNEQDMIQELMREMAEKEASQRRIIEQQFIQIADLQ KSNNKLKEELIEVEPQLSSIQKLRSERDLLTAEKAAATSPSPSPVPSPSRSIHSLASS AALDRLQEEHSEDLQSIITGHSQTIKVIQREHQDEIAKLQAIIEKNEAVIEEWAAKVE GLKLEHHGAQTAQRLDQEAKEAAHQKKLDWLKADHAEILASLKDAHARALEDLQSEQD LIAQEMESSLSSSEEQRRQLKMKADQALFELSRVRDEHSLQQNGDAKQISELTKANAQ LEKVKTELEHANAELKGQCADLKHQTGDKRATELEQRFSRKLVPPPQVPPPTTPLPPL PRSSSGHGTRLGSDGASITPSMPQIGETVGQSRRQSESSAGHSDGSGEGGSQAALYGA LAERDGLRDALVKDKERIKEIERELQGEKVKIKNLTVDLREAQKQANTIRSHLDEARQ ENKRSALSCREHTSELEARREQMAKLAEQERNHRDSLQASQAQVASLKLQLERAVETK VQKRGFMCF L198_05165 MAAPASMFQLAQLSLEEQHLPLSLPVIDPQFHLPELDEFALGTE RLVGSLRGSSGYALQDRTKIPPWNPSETGDYLPEGLPSVGTEKDGEEELWQKLAEEPL AESQAFHPLRTWDPSETNFQTAFLSEGSTATFDAVLTSLEPPIQLPSLKSPLPPPAHD SVHLLDLMMRATLGTVTTEHLLWDAKRSKYGWKESGGRPIGMERITFSRVVEEFLSIA TAIRRLELIINSQSLLPLTPTHHALLHAMSTYLTYIRERLMFAISQCEHEGKADWNKW ILATRDVNILAQTLCEVMKWPLTSSRASALPTRASSLLSHLYSHLFSALSVCPTPTHS IPIALAFLFSESSGPWMSTLHAWLGLVPSDEEDDTDAKSQPWGDLGITRAKVNDRWQY DFSSKHMPVFVPREARRVLFEAGKSLRILREASNGQHPLCSTEKWDINVQWSWGDDNE SGVSDIRGHLKRVRKEVECWKRSQRGSLSGSLSGRKTSRRERKGIPLEFRAPPSTSPP AGFQGEADPESEMERFFALLGQAPGSHLSAPPKKEEPDLNLWAQTPLETLHRFISRHS SPDDPLLPQSCPTLPIFVSDYILAPLTQHAGIVSASLVSLYLDDLRFLDHLDVLRAFW LGGDVDFMERAGGALFGKDSAGAGEAAGLGKRARTRARLGLAPGNDAGERSAEWGIGL GIGLSERSRWPPGGAELAYALRTTLMHDDIGGMLVKENSSVWQEVEDRVSFAIKELPE EGDTGRRARWLNPQAIEALDFLYLAYSPDPIISTLLPPLIMVKYQSVHNLLLRLSRVD LVLRTMYWDVVHQSESFDEPLKMGVDSGINRPPRAARFARRERIVHSLFPKGSQTERH LQSLRFKMSHFVNTFGRYVMDSAISIKWNAMRKRLGKLQQKIPGKDESRPGSPVDDDD GLGSVSEDEGQTDDDESEDNPASLRQLQSIHSLVAYHNLSLDRILRACLLGPQAGQQV TYKVLTTLFGLILDLGKTLKEVEKGFMGWEDGAEKVEEIMGEWAEKEAVFMHALERLS LRTTSSKRYHTEGGDQTEQDMLLLEGEGEGAGVESEADYWAKLGMGGNDLQELVLRLR LRGSTEGKGGRWKKENLL L198_05166 MSRPEEISPPELFYGDTEAAKYTANTRIKNVQSQMTDRALQLLA LPEGESSYVLDIGCGSGLSGELLDEDGHVWVGVDIAPSMLEVALEREVEGDLFLHDIG QGFGFRPGTFDGAISISVIQWLLNADSSSHSPPQRLNRFFTTLHACLRNPSRAVFQFY PNSDDQVTMITTAAQRAGFGGGLVVDYPNSRKVRKMYLCLMVGQQEIPKALDGDDMNV DEETMEQRRAQVKNQAKRRRESRRKSKKGKKDLKAKEWVMKKKDLYRTRGKEGVPTDS KYTARKRKTYF L198_05167 MGDPSTPSFDSIFAQAPRRNDSTNSTNSFSHYTADAPFFPSRQQ VSDEPQDLPENFHEPSASTSRDHSREDTESGHPEMRSGGYNVNGKRRESLRKESLPFN PETDSTLIATASKRDEGAAFSNGYSSPPIGDIMFGPQQSLHNPHQQAEQQQPQSWAMG GGLSRQSLSYGAIQQQHFSPFQSPQPTPSTAGIDFMRPPSTASSMGDSVMSDQLGAYL NHRASMEDIHGTQRQQVQGEWGQDFLGDEQGLYGQQQVDDVKQGHADGHNEENGAGLQ RIISNHSHHSSYPSRTSSPYPQQQFAPPETAPTPLNSHSRTGSLSASRSPSPLAPSQT PDVPMNVMSPPLANQHPSYPRASSSPHSNPNSPFFNKPQSPPALIIPNATASPALPPL VTGPQVTGTQTYTGHGLGHPQIRQGVSSGSGGLFPPVNPALEHLGGMAGISPIAPNAD GPMIRIQPSTPISGLKEGRGLFDAALRIAKANQQAQAQKGQYSGDGKDTQSQDFHASS SQTQTLSNSSSAEQVAGREGEGAGSQRIDFAATMGQYGQHGWLSAAGGRDNLRVAGQT RPRAKSDSIVPSPTADSFDRQAFLSFIGANDVAAPALQQNVEIQQDQGMSSDAAEQWR NSVTAWKMGVAGNEGGQSGATLDPRLLPGQDDTDAIYQQLLIQQQTGQPPQLDQSQQH QLRELQAQRERLYPLNTNPPPVKPSSGEISPTSLVFYQSLGLYPHNAPHLSGTVSAPW TQTTFGNVTSGYTHPATAGPSQQYFLAPGLPKGRRSSFGGGEHPAVGAGTPGYGVEFS PQLNARPGPVRGSSMHKRWAKSEDFGRGGTGWGVGTGGSTAEFLQSITGDDGSLLPPT SRGRSMSHSRHSSASSVRSASPALSISSQGSSFSHHSPRMEMMDGMQLPGYAGYQPSL QVPQLYEDNHPKKMKVTSAATKVASETRRTQDGLFMCPVPGCGSTFTRHFNLKGHLRS HNDERPYKCLYEGCPKATIGFARQHDCKRHMLLHEGLRLFECDACGKKFARLDALTRH HKSEQGQECAISHPLPCNPDGSAMSESQYKSYKGIQTTPEENERRPSASGTRRRRSGT GRSHVSAEEETPATE L198_05168 MPSTPPLPGPSSRQPPPPPLSLATSTPHQHEATTAASFDPELEE RNYHPPKSAHSQTQTSSSAPSPLRSSIVAKQSSRALQMTAVYRSPSPTSPSKTDRRVP SGRRKSHSDLVQMIDKAQPGGRKPSPTSHLGARGRRISLSSNPSLRRPRPDDLLNPMS PSILDAKAEKLVEGYPFPDVGGQQSTPEPDAEERARVVEELQKEVQELKQSCARFQTA YTTLEAWILNLLPPPPGAIPERLPFKLALFAATYFTLSTVEGSRTREYYRGFLASVGI TPQFPVSIEALPPILVDAFYRALVVILNDIPPRPSNVSGRDHSLANLSYLDAFWFLIH SADHELLTILSESVEAAVVACHDEAQRFSLELLRDVLMRQMIIDPASREDSDIWKQTN QSNRVKFFHAGLKLSEYNDPQRDQLGICRATSLVVEQAEKNLEEERERKAKSQRREEA TREKEEARKRDEAAQEEEARRREEELSREGYEEFEPEDGEDAGAP L198_05169 MSAGSSNVFPFPPRKVEGYPTFLPEQPVPIGSLLDADEYKQNQN PPKLFEPITIRGVTFHNRAWVAPMCQYSSDDGHATDHHLVHLGSMALRGWGSIMVEAT AVVPEGRISPEDMGIWDDSHITELQRIVKYVHANKGLIGIQIAHAGRKASTPAAWNER FANEAGFHGGSTVPEEQGGWPSKVVAPSAISFHDGDYPDPLEASTSYLENLKKAYGDT ADRCNQIGFDFIEIHGAHGESSQFVDPISNARTDKYGGSLENRLRLPLEITEIVRQKW DKPLFYRLSATDWLEEALGKEKDANGDWAWWGIEQTTLFVSKLAEAGVDLVDVSSGGN DLRQKINAKPSYQLPFAEHLKKTYPDLLIGTVGAITEAKQANDILEEGKADIIFIGRQ LLRDLDWPLEAALELGAAVAPAIQYERAWTRLLVKKDAHDSTSKRHGVTETQGQEAQE SK L198_05170 MDVPHALLPPSPSAPGPSHPLPSPAYIIGPLPPTTPLHLALNYL AFEDSQVCASSPPAPSRKGKEKATAPLPSEPIVAPSRVLILTGTKGDFQDCIERENER WIRDHGGIFDVMHRLKRVDMRYCPTPEHLQLYLTMVSSSFGRSRDQQECHQLEKAPSL IIVYDAAAMFLEERLVDEEPDDSESAMEQDRRQGKWQFKPGVCIHDYLNLLAAAKATA NHFSCSDPSGKAARLVMLEPRLDLTATIPIHDLTGKGIDEEATARKTWEEKKVPILDG VRRVFGWSSVGTVKESAMSQDQNDYVVSGQGKI L198_05171 MPPAKGNDTAPPPHWPSDVIYLTKPRLSPRFPPALLPLLAPSSS FAPRPAKHPASVQIKVIMQTGHPANGQFGLFAKQKFKENELVLPYLGVIHVTYTPEDG SESLVTDDHSDSDYDLSLLRISSSDPRNPFPGQHISIGVDAAHAGNGGRFVNDFRGVK PSGPNAEFRVGKGEAGELRMEIWSLKGGIGKGEELLVSYGKGWWGARQG L198_05172 MNTAGSVAYGWGVLVVAAGVSFYWAKKEIDGRRRDAQLKGTRSL EKLTWEERIAKDEAAKSKVVDALKQTNDKTVGGAPP L198_05173 MLALLSWRYGRFLPLAVLIFLGSIFVLIDSSASSESTLPRASIY DYTSPATHCFWPADNSSRAGAASAQLKGAAGKGTVGKVKQWMGWQDGDEVDEDVAEME DTPEGHGFAWDGQLPDVVPVSGIERYMIAHIEELQSGYDAEHDFKEYGLKPGNISLSA YTSELLQTYREFLVPPGTPPPVPPPAFLPAALSRLSLRPPIAPLPPRPNHVMTTEKSV DDLPWQFKRWKEIMPDWEIKYFDDKALKDWVNGMFGNTKASKIWETLPRQVLKTDVFR YMAMLVEGGIYTDSDSMSILLMSTSQILTSLLAAPIIHADQWGHPYNHKTSPLLTHLA RILSISTSPHLPSSHPLSSFSPEHASDNVDEELGANLPSGKSAIYDGPLVDDGAELGP PSLVVSVESDAVEFGWKNWREVGLSRAVQITQWTFMARPGHPVFLDALGRTLRKSEEM AAKVAEAKKTGEEFIPETALEWTGPGVFSDCVYRYLISRYGFKPDDLIHTKDPIRVGD VLILPAGSYSSVSPFGDEKQRNWAASWHGFFGRWRGADPAVQEFERLKKLKKEAEEAE KKAKEEVAEAEKKAQEATDRAKAVEAEEQTVKEVASEGDGQEDQESSEMKKEEEMLAK ETSRSVRDD L198_05174 MPNPNLGSGPRIPSRKGPNPLFFLGMVVASSLAFFGLAEKRQQD NEAKGIRPVNTSSSLFMRSGKEGEKIDMPNPRRYHHPFAGITLYNHRPMHLFKWTIAA DTRAPPIQTQPDTGSATPSNLITSDRKDTGSDISAQDQDSSANRHRQFQDQVGQLPTK GASEAIFSPLGTSFGVDTPDLLSPTGSMVAMHGSVVSTPFPKNSDQQHQADWERFAHL TAFQRKKDRDATADSVKGAASSACLDTEDDSVSPEPVHNGRIIAIDFDDVCTQNMLAI ITEHNVQYGTDLTLDDLESYVFWQNRGWGSPADCTRKVKSLNQLLPKTAPIPGFDDAL PAWFCGSYSSAYPDLQAKGDTASAVEREKEMNEKLRQIWKEGVTSGKSGLGKLRILRQ INASLFIDDHHGNLEPIIQADPPIPCLLFGTYGWNRSRSSLSSPVELMDYEERIAQGF PLPFNEILTGKDHGLHRVKDWGDVVQWVKEWDKEAKDADY L198_05175 MSLVKTVTLAGTWAWGDSTWQYTPKDLENIKDAWRACLKAGLVF FDTAEIYGAGESERIIGRLIKEETSEEDKSKIFIATKFLPVPHPKTGLFFFSPPIVER LKSSLQRLGLDSVPLYQLHSSISLHSHETLAAGLAACVKLGLAKAVGVSNFSKDELIK MDELLKKHGVTLASNQIEFNLLRQLPDQNGLLEEMKKRDIACLAYSPLAMGRLTGKYN ASNPVPSGRRFSAQHSWEQLEPLITELGRIADKYGVKHSAVALNWVMSKGAIPLGGAR NGSQAEQNAQATTFELTPEEVDSLSKLGFSGKK L198_05177 MSGSLQLYEFSGSVWSNAPKIAIEEGGFKKGQDYDYITINLAEG ANFDPYYLKINPAGTIPTLIVGKDTFTDSISSVAEINKLAPNKPKGKVSGGAGFIEEI HGAAIDPNATLLLATDDKDREVKSNGLQKAFLAGRQKALNQFSQSPPAEFKDFLNKKR EDNLQLLDFYTGAPDEQTRKAHYAQGQQLWSSVGAALQGFVADALTKNHQGPYVGGSE PSEADFHLITWLARTITNAGVEPGTNADDAIKQLQAKTGASSFDDSIKLYWETWAARD SFKNAGVH L198_05178 MSHLLPPNLLRLFAPRPHPPFLKPLTRDERSRGPNKLSGVAHLA QRIKEEAEDAEVKLGMEVAEKEKAAQASEDVKPDVADAVKEEGEDGEVKEVVEESGKK RKKAKDKIAEMGVIGEEAVKMRKELRKKRQEEYKKNAEKTYKPQDDPSAIGDPYKTLF IARLSKKATEIDLQREFEMYGPIERIRIVCDRKGKSTGYAFIVYERERDMKAAYKDAE GIPVHHKKILVDVERGRTVKGWKPQRLGGGLGGRPKPIPVEAAPVLPPSGGFRGGRGG FRGGAPRGGGFRGGFQGGNRGGFGGGDRGYNGGGDRGGFGGRGGFRGGFQGNRGGFGG DRGGFGGGDRGEFQGGRGGYGGSQGGPGGAPGGFGGDNGYGGGGGFQPQNNGYQGGGN FGGQGGGFKRDFDNAGGPGGYQGGGGGFGGGAGGGGYQDRDPKRMRY L198_05179 MPFSSTAPSTGISSTSPLATSTSSTLPLLLTRPLRTEHRWQPKK NNMSVSLHSLADAALADASERPSSHSRSRSSFALPPIADLLNPVTDSQSSAARSRPQT PTRQPLVKGWSPTTPSSQPRPSQVSAEQPAQAFTSQVPGEQAQVSFEYNVRRYKRGGS SRPSQPPAQTTRRHTAPVIPTTATCRTDLSCNDPAQNHGPSSAKGKEYPLQGVQLDKH YPELSIHGWHEDARRLLQPPLAFSPSYVQRDPSENAVRRSVSQGSYPFTPVESGVQVR VQHPRPCWPEPLPQLPCTYVPYHPYQQMPHHVPIIAEPQPRPRQRSYRFISQNEELAN AARAGPLQASASQSCFGIERPAKRQEERKKVSASAPVSPRSKERPPLATAASSNPMTP VSSHEQRSNRWTRHASPRSSYPVRPVSPAQSTLSNASTILPIDGEPGLDMSSTTSSSG SEPFDGTDMDHDESDSDDDSDARDMGGKRKRTLQKMTSPTNSTLSTPAKRLLDSSQQG STPTSSTYQDCSPESLAKRQRTSRTGRLYDGAIGPDGKPVKRSQGRNKEKRREQNAVA QKKFRWKKKQLTEKMATDLESANATIKDLEQRLEDSQGLVNKLQSELYVSQKTAEGCA L198_05180 MLASILLLALPLALANPVPTSSPAASPSSTSGGFSARLSHGQGA IARSNSYANAKRGLVARVDDEGLPPSWLLWAGARLDAKYDGANGFKEAYAKEITKRAD NGEISLTDHNLDTSYSASLSVGTPAQTLDIVLDTGSSDLWLASDKCSTTACSSMDEYS LSNSSTAVNLSTSFSIEYGSGKASGALVQDLVTLGGYSVASQTFAACDSVSTGLLSTG VSGIMGLSWQALAYSKATPWWITLAKSSTWSQPLFAFYLARYRNVAGATAKETDGGTA TFGYLDSSLYTGDVTYVDVDDDAQYWQIEMASATIQGSSISLNSSYNMVAIDTGTTLI GGPQSIVAEIYSKIDGARRMTGSYASYYEYPCNTSVELDLTFGGYTVEITDQDFNLGR YSSDTSMCTGAVYVQSLSSSSPVQWIVGDAAIKNTYTVFRYNPAAVGFAALAGSVTSS EAAQSTTIADISSVLAAASNSATASSSATASTTSASSSSAATSSTATSSEAASATSKA ASVSSEAPHVVSVGSSSTETIGSATASESDSAAAASATSGALPLFSSSRWVVALLSAA VGVLLL L198_05181 MPLRARTLLKKLESDHAEGLSRKEMFLATEDLLPVTEDKKTWDS WNFVMFWVADSFNLNTFTIASSMMSSGLNWWQSLVCVIVGYSLVGPLLVLNARPGAMH GIVFPAACRTTFGVFGSLWPVFNRAAMACIWWGVQSWLGGECMYVLVRAIWPSFARIH NSMPESTETTTAYIIAFVLYWILSLPTIWVPIHKLRWLFLGKAIVGPIVGFTLFGWSI TRAGGIGPVFSQRATLSGSALGWQMIISISSCFNNMFTLVTNSCDFASRAKKPSAAVW PQIIAMPIGFSITSFLGIVIASASAPQFGEQIWDVVQIMDAMLDDGSSSTRAGLVFIA AGFVYVQLLLNVAANSISAGCDLTALFPRYLSIRRGGYVAAIVGICMNPWLLYKSSAT FSNYLGSYGVLLSCIAGPMVTDYWLVRRGHIRVNDLYSGEEDGWYWYSMGVNWRAYLA YFVGFAFNAPGFINALRPDIIVPVGFQRVYYLSWLTGTGISGVVYFLACYFFPPPGMN KSFEEVDESAGELRVDQKLGGKMRGEGEWQEGGVENFEGAEKNKSQDENVTVLEA L198_05182 MEYFIGFDVGTGSGRAGLVDSKGKLIAEHAEATQTHRSPTDARI FEQSSTNIWRSLATCSKKVIAESGIDPKQVKGIGFDATCSLTVVNKQGQPVSVSRTGA TEDEESDENLGKEGEWNVILWADHRAEEEAEKINSTGEDVLEFVGKTMSLEMEIPKTL WLNKHMNKEKFKDCMLFDLPDFLTYQATSDIARSACSLTCKCSFVPIGATMKHKCDGG KEEVSKYGWQPRFFEKIVGLDNLVKEDFESLGGIPGKNGLVLTAGQPVGKGLSKKAAA DFGLTEGTAVGSGVIDAYAGWIGTVAAAAGEGHPQPSLEDAGSRLAAIAGTSTCHIAQ SKDGILVPGVWGPYRDAVFPGLWMNEGGQSSTGQLIDFMIQTHPAYSKLQDLSKKTGK NIFELLGERLEKLQQEKGAKTLTHLTKDLHFYPDLHGNRSPLADSRMRGMITGLVLDD GLNDLAAKFNVTLEAIALQTRHIVDEMNERGHKIDSIYMSGSQAKNGPLMRLLATVTQ MPVIIPPQPSAAVVLGAAMLGRYAYNLTTDRQSAPITNQAEADEAKEKGGNKLWDVMV EMTQEGKAVEPRNDEFGAAEKRLLDVKYKIFRESVEIQKKWRHMIAEETKEEE L198_05183 MSDNSGLFTTSLIPSPITYNTTNNTPSDDNALGLQVSTLTPSPS SSGDKPKPKARSSAHATNTNRIKASGKEKKKHAEGYKRNSMSCEYCRQRQRKCDRDDF KSCTLCDHKGIECKYVVAKGKRGSQKAFKQLAAMGLTPASDGIITATPSSSSLASSSG TSSMSPITPITPITPARSTVELSSGLFPASVSAGLTSGFATPHIFMPFTPPTPIRPTI FQRPSTVEDYQMLGMGTEVDERLARLCDIRPSPVAPAFGVPPFYTPPTPHTTPVKPSY EVSASISASPAPSFSLNNVISAPSTQSSLGVSQPQLPTLEPQGLSESDTAYIDEVSKG LGSGGVFFPSPLDASCSMASVSSLTPDTTPVEATVEMSPVIESAGSTAPEFSFDEYLV MPQSPPCLSAPLPSLENDVVPESQSVGWGMCGMDMGMDDTGSSGLIESPFNTMPFGFS VPLVDASVDAGMMGDWWNY L198_05184 MVSPHAKEETPIASRDNSIESLSALPSDEDYDVERKHTDQYPVN TLTSHQPAPDAKDHLPNSGSDPEKPQQRPEAAMGDAILRFLGVRKRLLEDHPDAIATQ YSELWDSDKVEEYKKIYIRDDWENIEAFDPSFRWTIKEEKQTRRAVDWKIMLWVCVMF SALNIDRGNLSNATSDNILDDLNISTDDYNLGVTISKVGFLIAELPSQLISKRLGPDV WIPIQIIIFSILSGAQFWLNGRASFLALRFLIAVFQGGFIPDTILYLSYFYPSRRLPI RLAFYWMSSSFVDIFVGFCAVGLLKMRGVLGYAGWRWLFLIEGIFTLLIGIASFFLMP TAPAKTKSWHHPKGYFTDKQVKIIVNSVIRDDPGKASMHNRQPLSIKSIWRCCLDYDL YPLYALGLMFGIPKYPVAQYLSLSLRQLGFNVIETNLLTIPSTVCGLLTQLGITVLSE LVNNRSFVASAEDLWLLPCFIALVTLAEPVKPWSYFAVATVLLSYPYTHAIQVAWCSR NSGSVEMRTVSASLYNMFVQVSAMIGANVYQAKDKPRYYKANKVLIGIIVFNCVVLYP GTYFYYKWRNGQKAKVWDTMSEESRKEYLATTEDKGNKRLDFRFAL L198_05185 MSATVLETATGLETPTATLSLRGGPDPGPAPAPAAEAKQEVEPA QRRSKFLPAPGPDYKYARFLPSYDHDLHLPPLEPFEHVDPGHAALKHENPREFLEGEG KREVRLTPKIGSEVHGVQLSQLDERAKSQLALFVAQRGVVVFRDQDFIDQDPEWQLHS WGSTFGRLHIHPTSGQPAEFPEFHLVYREASAKKTFISYYADRLSTTGWHSDVTYELQ PPGLTTLFLYDSPDTGGDTVYVSQTEAYNRLSPSFRAYLETLTVIHSGVEQAEFSRRG NRGGVVKREPVETEHPLVRRHPVTGEKALFVNKQFSTRIVGLKYEESEAILNLLYTHI AQGQDFQVRAKWEPRTVVLWDNRVTAHSATGDYDPNNDGFRHGTRITPQAERPFI L198_05186 MSFEKPRLHLDFNSPSYPPLTYSPNASSASSPPTTPPSTAPFSG FASKQYASPFSPTRRSLATSPLSPSSPTMSEKSEKSMRTPPRIVMGAMVSTSPKSDCE EECSLEVPGIVLTEPSHVDPRPRPPPKQTVLLAPTSLPLAPPGSSPYGHSSQSSISSK SKHQFSPALLSFLNGGAPSSSSVLYAPAPGMGQSGSVSGSQRKSRMKALVLLGVVVLG GWHLWSSMMEGDFGGLVEEAGELL L198_05187 MNLEWKVLLEEASEGDDGATSQHDSASINEFNETKQHLLSSPSF ASREGEIRNVLDVLSQGDQPSVKNFFTFCDGEYRIYGGRSGFGDGQLGDGDGDGEDVD DGPTPDGFGRAPMPRRLEAIRRDGVLSPRPQGTAPEGTMEGSSANDNIRMPMEGASTG LDVQSFFSKDYKHVKTRPASSRTSLWKIRSTRYRSSLGIDDDHAFQITKSYKASKGQP LNEQILGTQPEDGYVEALTAASQLVDAAPKTADGHCVFSFNTPSGYHGAVGKRHVFVG DADWLVSLGKEEY L198_05188 MHVSRADTDPKHSHYDVEINPLVKGKDAKKPRPLLQKIWDQAVN EAQGEVKAALAAGAYDQQKSLYTPKEVPLEGGKLEIVIGLIEDGIVPTDDKRRWKIVI KPADHTQIDLDAVDKYSGGDKQAAKYGDAMLRGIGAVNVLFRQDPAQKYHMAGAAGRK FFSEQNSSLLPSGGVLYQGFQQSYRYTSTGTGGLQLDTAYSAFFQPGLLVEVAPRILG LDGAPGGGRGGARGGRGGPRGGFGGAPGPARPLQELTPINVHKLNGLLRGAKFTVTHR KTERIFSIIKLTTQPSENIKFTLNGKDGKPDRTVSVAQYFAEQYNVKVTRPRLPCVQY GKNYIPMEFVKLLPYNSIPFARQTSDQTAEMIKVTAKPPQQRRDAIMAWRQKLDYDSL PKLKAWGVQVAKEMLTVQARVLNAPSVLYGGNKNLRAAFGSWNMKGVKFTKPGKPLES WAVVSLDQRCTIQDCQEFVRTFTGHLSSYGVPVKTTQPPISHANPHAGGNNFGVKNAL STAAKSAYMIAKKDPQLILVILPSRDTALYESIKTCATEGLHKPVVTQCLQSAKIKSP RGLDQYCGNVAMKVQAKVGGLTHQVDHKIDKTTMMIGADVTHPPAKGGSIPPSIAVSV AAVDGNNNKFKPALRLQGGRVEIIQDFENMITDHIKTFEKNSGAKPQGILFFRDGVSE GQYSHCLDYELRAIKTAASKFPNYKPKVTFVICGKRHAMRFFATSDQDRDRTGNLPPG TVVDKGVTSPALFDFYLQAHAGLQGTAKPTHYVVVADEIGYSADKLQNLVNVLCYSYA RATRSVSLVPVAYYADLIAEKARAWIYNDDSETATVPSTTSGSKVQLADFDAYRIAKR FASAPEFENVAWYM L198_05189 MHSYYFDPMADTDMAIPQGAPVDNFEELFNFDEFESAGESSTHG NSPSTASPNATPSPIFGHEPQLRNDGGNSYGFDQDLLDPALDPDYPVGLSLGETKVQP PAAALDLGLDQVKQEPLDFSILDNGPSPSTSAPLAPLTTPMAFPGFPADQQAALQQLM EGMIAYQKRFPGAAGGSSETLTVEPSMLNAPSPASDSQLASQPAQQVAVAGSSSAPAT VQTSPAPTSASSSAPLPTTQNPPHSPLRSLSPIPESRNARATSTQSASTSYESLSIDS RIDALPPLSAIFSAGKGKGGKKGGGLSSVVREDGEDMDDDDSWRPSPEEYKKLSSKEK RQLRNKLSARAFRTRRKDYIGTLEGHIKDRDMVIDDIRGELTTAKNENQDLRRELEAL KASTMAILHPETATPAPAPPPLVRRPTSNQINTYNPRKDLPPSLKKGGWNNDNMFGGG PTVCHTMFTPDLVLPPAETSTNVNNPKKLRSFADIPRTNMNPLLNSPPSSPKLRPLPA HQTQTPFPQPLSHPAPSLPSSTSTSLPFPDWADQTPYNARVTDLYRMQLWSRLTREAA MDKQGLDKGLRPKFWVEGPKKEVKKEDVEKVAMAAQNHITSKLATSFWSAFSSGPSGG LDSDKLSAVVTGEARLSVIPIASESPSSSSPLSPKSALSSLSSEDDNLASLMGGLKMQ SGPGLAGAGAGAAGARGDPLGTLCGFLSLRGGCCPTRV L198_05190 MSQITVQAWETNRADSAIPQSTNDDITQTVNGIVEYDLPKAETR HPSSTLPGQRYGSFTIWSHSRHDLEKSRILRGSFVSGNALPGAASSASKVDVDNVSVA NELLSKITATLHEDGGWLDDCCTQQSARPLKEKEESVYHDLVNEDPERYRNIGLRRTD RDTAQDVLICQYMSTKATHHLSQGGVDQRVVWLSPDDETKTYVYLPGSMVVPAGTTGH DGRGSGSVIFRETSTTAGEGA L198_05191 MSDNSGLFTTSLIPSPITYNTTNNTPSDDNALGLQVSTLTPSPS SSGDKPKPKARSSAHATNTNRIKASGKEKKKHAEGYKRNSMSCEYCRQRQRKCDRDDF KSCTLCDHKGIECKYVVAKGKRGSQKAFKQLAAMGLTPASDGIITATPSSSSLASSSG TSSMSPITPITPITPARSTVELSSGPFPASVSAGLTSGFATPHIFMPFTPPTPIRPTI FQRPSTVEDYQMLGMGTEVDERLARLCDIRPSPVAPAFGVPPFYTPPTPHTTPVKPSY EVSASISASPAPSFSLNNVISAPSTQSSLGVSQPQLPTLEPQGLSESDTAYIDEVSKG LGSGGVFFPSPLDASCSMASVSSLTPDTTPVEATVEMSPVIESAGSTAPEFSFDEYLV MPQSPPCLSAPLPSLENDVVPESQSVGWGMCGMDMGMDDTGSSGLIESPFNTMPFGFS VPLVDASVDAGMMGDWWNY L198_05192 MITPTPAHGSNSYRLVRGTKLADIPPQPPLPPVPPLSDLDGPFQ LAEYLSLKVRHDPHDVKGLVEVPGGDESVGGKGPDRNVWIYEHLRRIPIDLTPLITAL LPVCNRDTCGPEMRGQDFTYFCVAHGNGTRECSSLDYILHTLDWTVALLNNPTHFPSR MQIPSASLSHFPSMFRRLSRIFSHAYFYHREAFELAESENSLYARFAGLCEAYELVGK DLLQIPNPNEHIGKPSTDQEKEEEKEKDLRGDGKKERKLFDFGSEPEKEKDEEIEESE DFRHPSPFTPSTSPLPKKKLTTRGTLSRGKAPRTSMLWAHDAPEAEASSTSIPDTPIP ESKDGQEASRKRSDSLGSTTSVVTAVHDTESEHPSEEIVEAAETPADTIIETPAAAVD PSGPSEPVSLPDPADLNINETTPPALTEQEDPIDEEARKDEIDLLEETGELPRAESSV APLPPPTKSEEEEQAPTPVKQGHIEASDESGQLVDEESGEKVEDDEGMEDVVLDDEKK GMEDDVKAEVEDEEKSEEAEGEKVEPATVTPRPEPVGDLITGEVGPDDVPEPAPGSKN DSTEPEPTASPALGSSEELSPPLTPNSEGSEGSDRQDATSSGSGSGAEGDKKGSVSKK TKKKAAQKARQKKRAAEAAADGAVST L198_05193 MNGPTNFSDLVKNIRSVNDPPVMAPYASVFTPEPVPNKDLNPLA VSHPNRKLQAPKTGEKITRSSTPVRRAGALFAPVQYNPPNPHINFRIGKCPELGNVKG LFTDGRQLMAFHSSDFHSLMAE L198_05194 MRFTAALLLLPLAAIASPIAQPDLAPLQAAPEGNHLDDSYIVVF KKGVNPSQIALHLNDVEQIHGANPLDSFTADGDVEEGGLRHVYSPPGHKAESGFFGYA GKFSLDTLQAIRSAPEVAYVERDQIMTTLEVPRGVDDVEEVSGSSAGITTERGAPWGL ARISHREQLHLSTFTKYLYYSEGGEGVTAYVIDTGINVDHVEFEGRARWGKTIPKNDV DKDGNGHGTHCAGTIASKKYGVAKAAEVVAVKVLGSNGSGTMADVVAGVLWAAEQAAK DAEIAAHEVAATGKTKRKGSVANMSLGGGKAQSLDDAVNAAVEAGLHFAVAAGNDNKD ACSYSPAAAQKAVTVGASTLGDDRAYFSNHGKCVDIFAPGLNILSTWTGGNETTNTIS GTSMASPHICGLLAYLLSIHGTETFTLLESDLEAESTSGIYAKAYAQAWSLLPGLAQS ILPAPETELLRPVPKEDLTPAQLKKALIKLATPGVLSDLPSGTPNLLSFNNATLPKSK L198_05195 MPSSNLEDIQASSDKIKSQLAKDRTLPGQKRLLKWTKGRKLVDE SLQAGDLLPSEKTLELAEWIEDDLSSTTAAVSASLKLLWSHSIMGQVLSATDTVDCSK TKPQACLLVAEDARGPLGLLKRTERLLTGLTSFILTEDLLDARGQPAELANVTQLLCS VLELVAEGRFAGPLLHLYIGELLLQLSDNDECRQLLTDQKTLGFTKLGQLLFTSQSST LSSSLLRLIHRLLPEHIKGSGKASARTKCCKDVIVKQGWSIEVAKEGLVAIGRMEKDL VPRHLAKLINIFSKESSLVRPVAFTLTSMSIDGDDQAAEDDASQAFDKGNMLLYIDSW GWRFELGGKLGSDVCSSHSHQDVRSVNISTNDKGSATHELIATSLLGDTTTIKFTSPS AALNPHLDLGAPRRISTPDPAETPSAAVEDLVKPYPKSEKIIAPAKKVEEMKRKQRFS SMGGARGGTTITSDAEDVFSSVEDDPKPKRNSVTPAACFSGGEKDAHDVRVKVEEQVE PKKEKVPVPFKSEEQEDSESEDELFVNRRFISSTPKNAIAKTYGSKPSSRRPMLVKSP ILDDPSPKSFAITATPHKRAPKATKSTKKSSTLPTLTPGGKKESQQTKRLKTKSSTLA GEIKIGREATPGSLRDESVESHTDKPVGRTQKKSKAKSTVVSEKSKKAASSSVDTEHD DGDSSSEDEKSPSIPTARPETLQSAGTDEVSPSAVKAGMVKGKNAKTKVAAPEESESE AEAPLAKTRRSKSSVKLQTADPPASESEAAAPSVSPNPRRSRKRIPKDYPRADPDEGS SSDIGDVLPPTKRRIESSDEEAEAPPRKGKKVREEVVYDPTMEEGNKSVEDESSTLEP KTKQRAKITLDKKPRPRASTTSKADATVPSVAEKTRRSSRRKTKPMAALQSGIPKNHT DNSFEDLDAEEENHPATTIKASASDAETARAVAPRESIKSSAVTKRSSKSSPKRKRIP SSSPESSDSVPDSGFELDPIESSATSSDDGSEEPLPKVAKVKTGSVKKGIVKNGGTKE VEKKNGAKENLDKDRSATVNTTGKKKKGGEKQEFSGSKMAKEFSAALKKNAGNTRARA R L198_05196 MSSPPPSNTFSQEDVQSHVDQTVHQLKDTWGFTSRAHRLAFLAQ DTSLSKLPEDTPDEEYEEAERSELIKRAFFKGTEKRIGDRIRYTAEEPVTQDSLGNSR TPMQIETSSVFAEGQENPQKWLVDFTASVIEQGQQNVVSNALLGLNDPLSPAHVMNAI VGDKLNNILLQDYGSALVEFEDSCKDMSIEDLEKQLDRMSGFPTPKQDRSTWQKLAVW SENAELVGHLSGEVIGEYSVTGESLNSTSLEVAKEGLRKQLEAKESLFQGIYGEAAPE DLNDTDSLPEGAHAMNEYLLDAPSMKAYMEFQPLSQTIEGLSSIFMSNDRSHHPVAQD ILSALRNNTRKYPYKSSGILSKIDDARLSLFKGVDQLTAAISNNPDMQFFRQNGMAPD ERTVDWSQLTIRLVEEDSVDAPSKLEELRPRRMEDLTSGLDGEDEKGTADDEKSELPS AHEMSYLTY L198_05197 MTLNIPNKPSEIITPFPVSPNGPSKNALKVLGQILRKATEANEV YSVTFSEVLCPEGKNHLFSLKPYFWEVAPGKWERRDGKRNPYCDKPQGQKQLDTAAVQ IHSLALGIIHLPEYRDAAIKSIQKLLTVFFIDSETKMNPEVGYAQCNPASSPLKGENS FVIALRNIILISQALTLVQQYLDNGLVSKLKEWVAHQVKWMQESEQGKGVQRYEDNKI IWYHAIIASHLAFINPQESQKYALNFFQTYGASHTPQAYFEEDLKRTRPRHYTLFALE PLVVLAKLTASPKAQPESKAAAYARNLLEFAKTVQPGKLEKPLEEGGRYEGQWQWYEH IISGWTGQGKRGGDEPDGGAWEGGWTQRMRMLWGFF L198_05198 MAVRTQFENSTDIGVFSKLTNSYCLTALASSTNFYSVFESELSD VIPIIHTTIGGTRIIGRLTAGNRHGLLVPSTTTDQELQHLRNSLPPTVAIQRVEERLS ALGNVIACNDYVALVHPDIDRETEEIIADTLKVEVFRQTIASNVLVGSYCSLSNQGGL VHPKTSRSELDELSSLLQVPLVAGTVNRGSEVIGAGLVVNDWCAFTGLDTTATEVSVI EATFRLQGQTSAAVINEMRDSLIDHYA L198_05199 MKLSQYISAFSHPLQHQDATALNRLLSIHSRTSRGLSETVGELT ERQLKNPGITLEEPWSEIAARHCACVHAQYKLQNFAQAFEHQNTKLTLFYRWLPDQSS WVLPVLYTMLVDLRYLAERADAPIYKSTGKMPSLEICTRTVSRAFSMCATDRTFKGSE SRRQGIYHTAALALKCYFKVSKPNLCKNIIRAVVSDPKTPSVSTAPIGDQVTWKYYLG MLAFLHGDEKKAGEELEWALEHCPAGAVRNTELILSYLIPLRLLQGLLPSPQLLGLHP RLQTLFTPFITALRSGNLSAYDDALIWGQVRLVSMNIFLTMERAREVCLRVLFKKAWV AMGKESRVPIGTFQMALKLSGAVVEGEEVECMVANMIYRGYMKGYISHEKQIVVLGKT NPFPKTSTILR L198_05200 MPVPLFSAQSVTELIALRAQTQPDDPAVHTGAAEFGEQLMTLTY TDIAKAVDRLAAHYTALNIQPSVAENELPPERIIAVLTSTAIDETLLEIALSKMGLAS LLLSVNNSTAAVAHLCKATKSAFLIYGPKYAKTAKDVQELLEEEGIEIRIIPETRYPL WGPDGARVSKITPYQARLTPEQESKRTCVVLHSSGSTGFPKPVFISHYGLVANAAQSI PKTGFSALPLFHGFGHFSVFRCIYHGKTFTLMPPNIPLTSSNICRIIRASPTPPVQHF AVPYVLKLLGETEEGVKTLAGFEAVSFAGAAVPDDLGDRLVKAGVNLISFYGTTETGA LMTSRRSNPESDKAWNWLRASGPIAQYLELIPQGSDTFEVVVKDGWPAKIMSNRDDGA YCTKDLVLRHPQEKTWFKYIGRLDDTLTQTLGEKTNPVPIELAIRGNSPLVQECIVFG DSKPQVGALILPSEQGAELSKDKKAYIDAIWPVISDANANAPTHSRILPEMIDVLPYG TEIPVATKMSILRPACYKKFAPIIDAIYDRFERGTGEPKLHLTSKTELISFLTTTILT ALGEKGSSSTLTPSTDLFEYGVDSLQATRARNVITKSLDLGEGAKIGQNIVYEYPSIE RLSEYLLEVQEGGQGDDGPEKAHKMMWDMVDLYTSQLIKAPLSTSLAEPPATQGQVIV LTGATGSLGAHLLDRLTRLPSVSKVICLSRAKSHADSLQRIHASLTQRLRILSPDALD KIVSYAADVNRPDLGLTEEEYERLRNEVTGVIHNAWPVNFVLSLPSYAPHIAGATNLI NLTLRSPHPTKPAFFFSSSVGTRQGQQDGVVKEDFAAGPESATGMGYGRSKWVVEKIT ERAGKETVARCGVLRIGQLAGDTENGVWNETEAWPLMFKSIDTIHALPMLEEQPSWLP VDQAASTITQIVLSSISTSTPSSAQVYHILNAHLASWSSILKGLASAGLQFKSVSRHE WLNLLSSSNPDVTVNPTYKLLGFYQNRIGKEREKKGLTFEVEKTEEESETLREEVKAV EEKLIALWANRWRQSGFLN L198_05201 MPSADATDFVPSTLAPISQLKDLEANKGTTEHVENASIKDEARE VVQYDAQEDTTKPRTGLRKLLRRNPSVEFIQDVALANETELDPGEVKRVERKIWWLIV PALAIDYAFYYIDKTTLSKSEQSHAVLFDFKSDLNLSGTDYSNLSSIFYVGWIIWAIP GNLLLAKFPLAKYLSVNIFLWGVFLMVQGVSKDYGDMVAFRFVSGMFEAVADPCFVAI TGMWFTRKQQPTIIGLWYSFNGIGIAIGGLFGYGIGHIKGSLASWRYEFIIIGALCSG WAIVMAILIPDSPHSSRWLNRREAVVIMSRKRNDYHTVEKRQLKMGQIVETLKDPKSY LYFLLGFFANVPNGATSNFGTLVIKGLNFSTMQTTLLQIPYGTFIALMIYLAIYINHK THHLNIRTYLMATVTILTVVGFALMTFTTATAPRLIGYYLTGSSNAVFVLALSLVSGN VGGTTKKILSSAAIFLGVALGNIVGPYSFLSSEAPIYKTGTIVCMCSRAAEIIVILLL RLCFVVPNKKRNQKFEEGDEEYHPAVQIFEDLTDKENRHFRYVGKSRCLSGSRFCFGR G L198_05202 MSDISKPPIATQDEKYLGLDKGAFHDIDTHVAEVVYYSTGEGIH ITVGETPKEVRAVAVEVDDEDESCETIRAYVLGTAVAVVGTGLNVWFGARQPGIYISP FLAQFFSHPVGAALAKLLPKRVFHLRGKTWSFNPGPWTVKEHAIVTMMATVSFPTATA IDIVIAVRQPVFFNDSDLGNSQGFRWLVVLSTQFLGLSLAGLSREYLVYPSNMTWPLN LAKVSSYPKEADPPVNGWKISMFRFCLTATIASFVWFWFTSFIFPSLTYFNWPTWIAP NNKKLAIIMGSMTGLGLNPIPTLCVIKLHSLFNPADTLHWWATLQTLIGSIIGLLVII GTYWSNTWFSSYLLPNSNQAFDRFGAYYNVTAVLAPDKTLDVEAYQAYSPIYFGAGYN LVLIAYFASYSAVLTYAVLEHGSQLKHGIMTAFKKVISLVQRGRASEESHDRPQYDIH YAIMHKYKEVPQFWYLLILVFSVVTGIVMVEVYKTTMPVWGIFLCLLLAFVFLIPGGI IQALSNMQVSLVILAEIIPGVALPGRPYANMLFKLYGWVALIMALLYVQDQKLAHYLH IPPRSTFRAQIWGVLVSSIVSIGVLSWQFNAIPDLCEPGQKDLMTCPYYTTFYSSALM FGVVGPERMYGAHGLYKWTLFAFLAGAVATVAAFGIKKVWPNRYTKAINVPVIISGMM YFAPYNISYVWAGVPFAWFFMSHVYRRFPAWWAKYVYVMSIGATIGCAVSGVVIFFCV TYPGAVMPAWWGNTVYANGCDALGCPLLDLPDVGYFGPGPGEYV L198_05203 MSHRFKKPKFDPTLALEAHSANADESHDTTLPSADLRHSVLGNV PSNAIDGEESTRKRKRPRQPHVAQEQTGQSDVGSTSYLASSEDIVNGVSMPVEPDSYL TAHSMTATLNPSLLNSEALDFPQASTSSLALTSSNFESLHDEEVDVFWSNLLESAETS LWESTGLVQTELRTPSPAPFIYIPSPTTGPLPHDIGKMKYVHHYLNIVLPLQYRLLPI SVSMSELVAPLALRASEVFESVSSLAALHMVSRRNTNRTDVTAADYASRLLEVHDAHE RGPMDLGSISEIENEDALVAVSSHQKSMERLRFLSPQDLTAQETILCAFRHLIPSLLW GDVKAATRSRFNQPEMSVGCSVHVARVCRRCCLSCNITQKEPVVAIPSSDTAYDLDRY LCVCQLRKVFAYPTDLSQNTQVLADRHYIRSLEAVAGDGQEISALEEWKENNVRAGCL SYIELVDRAGRIRQLLDERTWREDLLQMPDQSRVKKGEDDRLGSVLRDIFFGAAKVLL AVAINGPFPRVPEVAQAVQDTSEALSRLNIEHSDPNMHRALVMPITIAGCHCQTAAQQ AFFRSSFECLSVEAMAFGNTGSALELMKEVWRLRMVSKPGEAVCWRRTMVKLGWENGI LLI L198_05204 MGHSSSQLPHTRNKTSSRSPVKSVSASGFTVKPEDWKRSPSSRI QYPHPPQTGGVTETIFGIEVNDPWRALEDLESDETKAFVKAQNKLSIPRLSEHPLRKP LEEAVAACYNHEQMSNPELQGDGYYYWKFNPGTAPRDVIVRSRDVSEHFGKAPGSEGP DLFYDLNKEEKVSLYADSFSPSGRLWCAVLQYSGSDWQRLRIIDTRTKEVLERDLGGS KFTFGVTWVGEKGFIYKRSVIYDPSNDSYDGIDGAFGMFYHAVGQPQSTDVLIWGPPD GVFQYIGKTKVISVNEQEGSGERAWLALDVYRNTSPETELLVIELPGGTANPVGSTIA ELVVKEGKWLSRGFTGETHYIGSLSSGRHLFTSFTDGHSTGRIIAFDSAEWETTPIGS NVPLHEIIPDDPSGYQLGSAHVIGDQVIALIYLKHACASVVFVDARTGKLLGAADAEG THGEVVAAPDTHIPVPEEEISRASEGTVVIPEHGAITSVSAGPYASDFYFTVDTWVAP SYVLKGAIIKNKAGSLEVDISNVRPVDDPAPHETLISSQIFYDSHDGTRIPMFICHPQ DLDLSKPHPLLLHAYGGFCVPVLSHYDPMFATFMRNMRGIVAIAGIRGGGEYGTAWHQ AAIGVKRSVSWDDFASAAIHLQSIGLTTPSLTAIYGSSNGGLLMSASTIRHPELSEVV FVDVALTDLVRYHKFGLGRMWMDEYGSPDDSNDFSILLATSPLHNVNPDPSVKYPAML ITTGDHDNRVMPGHSLKFIAELQVGTKSTAKKVEEAVDRLVFALDNLRSGDISGSS L198_05205 MTKGKTISLATSVNLSDPNDHTYLYTLSRPYPEEFSKLPASTRT ENQLRYVLLDSVLRSGRVKRCTMEDFPVSMLKEEPEFAEAEKKYWGMFARSCVGGVSQ GATGSIKILHHGEEANDQPPTPVPTTDSAPADDIEITNLGAPSSGTFQERYQGLSPSE LSNLVWGETKMKVEEEEKNLPEAKRRARAQLVTLELFDEGFLSLRPTAVGRLAPHATG INSRYSSEPAALTLVKSLADFSPRRALSSAASFWDLMTGKKDEPDEGSQV L198_05206 MAKIASDIRRTFPRTDEVVVSYIAGLVDDEEEEVEDIVDMTKGM LSGGPSSEDTTKNLDDFMSRLLEYLDSQSTKRVRKSTTATKLDKTIHMRSQAMSATIA MSGKVDLESNTKGQASRVDLGKLAKAEAKLQAKIQKRAKKDNLYQGSKLIEMQNKQES YEEMFMKVNPLDVSGAAKGKSKDINLPSIDVSFGSNRILSGAQLTMAHGRRYGLIGRN GIGKSTLLRHLALREVPIPTHISVLYVEQEIAGDSTSALESVLQADVWRHKYVTEERE LNVKVEALEKEGLQGGLLGEDKVRIETEKEEALTRLGEVQKTLVDMDAETGPARAGQL LNGLGFSDEDQKKMTSAFSGGWRMRLALARALFVKPDLLMLDEPSNMLDLNAIAWLEE YLQTWPSTILVVSHDRAFLDHVATDIVHQHNQRLDYYKGNFSQFYATKTDRAKNQKKE YETQLTYRQHLQAYIDRWRYNANRAAQAQSKIKILEKLPELEPPEEDDSETFKFPDPE KISPPLLQLDEATFGYTPAKLILQNVNIDVQLDSRIAVIGPNGAGKSTMIKLLTGDLQ PITGRATHNSRCRIAYFTQHFVNQLDMTVSAVAFLQAKFPGKTEQEYRSHLGSFGITG LTGMQKIDTLSGGQKARVAFAVLSMTKPHILLLDEPSNHLDIEGIDALIEAIKVFKGG VISISHDERFITNTSNQLWVCADGKVTKFLGDVESYKKIVTEELQAKLRP L198_05208 MSDPVLSPASVPAPTPLTSTGFITYRRQLLSYLATHAPDLFYHL YPSPAIEPYRKTPSSLVHLVEMALFRPWGQFAGDHPPCAMVSVTGLRLSEEQFLEWAD WARCERSLRKVFRKTFSPGMWEGMGNMWSTKDIWETLEAEYTPSPVERQSHIIYCLRS TRLPPQASLRDIFKLWDTFNLLVIESRDAGLFILEEEVVDRYMGAIGSGEAGKLVRAT YGSMSKDEPLKGTWRGARRAVRMCIKKQFDDHQLAISGHPVPIAKSSTLMPPTPEYDE PLSNTEPNLPPTPEPTPRPATIPIAPDAYTIVDRVKDRRRGSTRTALSEKDSNALASP VPPKKMRREIEEVKKDGGDARHRRGESLARGEMREVATSR L198_05209 MSLPVPFQPSVILTPQPSSTPTPAALRALLPLAKDILRNDEVYS VTFSKMLCPEGKNNLFTLKPYYWEVAPGVWEHRDGQRNPYCDLPGGQIQLQDMATSVH TLALAALHLGDEVDDAGNPLKVQCLGHIERLLRVFFLDEATRMVPEVWYSQCNPGWKP LKGDYAFAIAIRYLILVSQALVMVSPMISEDVVDGMRSWLGVQVEWMKTSEQGEWVTK YGDNKTLWYHAIIASHLSVTEAEEGAKRYADELFDQWRAQYPTAETFFARDLRGTRPR HYALFALQPLFILARLTGQPSSPTYSPTLLQYLTELVDLLPHIEPGAIERPLEDEGGR YEAVSAWYQKMLSSMKGDGEGWEDAPDGTGWEGGWQERAKVSWGFV L198_05211 MAPKLAPKGDIASDFANLSIGELPRGVQETLDGYGSAGKEIKVN ANMYMARFKNQGITVNHYDIEINHVIRNTKDTKKPRPLLQKIWNQMVEEATGAVKIAL EGAAYDQQKSFYTPYEIPLEAGGKLEIIIGLKEDGVVPTDDKRRFKAVIQAADHMKID LDRIMDYCKGDTQTEQARDTMLRAIMAMNVLMRQDPAQRFAMSGSAGRKFFTSENPTP LSNGAVLYKGFQQSFRWTSAGYPAVQIDTAYSAFVEPGMLTDVAPKLLGLAGGGGRGG RGGRGGFQGGPPPGAARSLQELNPPQTRRLNDILRGAKFTVTHRNTERVFAIIKLTAQ PADSIKFTLNGRDGQPDRTVSVAQYFQEQYNVSVTRPRLPCVQYGKNFIPMEFVKLQP FNSIPMMRITADQTAEIIKDAAKPPPMRQGAIGNWRAKLNYSNLPKLKAWNVEINQNM MTVPARVLPGPSVFYHGNKAIRANFGGWNMKGVRFTQPGVPLKSWAVVSFDERCTVPD LQKFVTYFCQVLSQYGCPVVNQRPSCFQYNPNAGGPNMGVKAALQQAAKNAYTDSKVN PQIIFCILPKKDPSIYQTIKAVSCEQLFKPVPTQCLQSAKIKSDRGIDQYCGNVAMKV HSKLGGVTHQVQHQIPKTTMMVGADTGHTPARGGSVPPSVAVTVAAINNENTKFVPGI RLQGGRVEIIQELEAMMYTHIQQFEKGTGAKPTSILFFRDGVSEGQYAHCVYQELASI KKAAQRFGGGYNPKVTFVICAKRHAMRFFATSDQDKDRTGNLPPGTIVDSMVTSPIIH DFYLQAHAGLQGTARPTHYVVVADENKYTADKLQGLVNTLCYSFARATRSVSMVPVAY YADIVAEKIRYIISDDDSDTATIPSTTSGSKVEQMTFDATRVSKRFEANPEFNKVAWY M L198_05212 MPTQMFIPDTAPIYAYSPSSSSSSSSSVSSNSSASWVAAYSPRG DGYDQTFHLASGDASVGINITASALSFSLSSSNKSSTACPAEYRINASSWSSACPSPN QDFVANDLAAGLHQVELRAVEGEGQLEFMGLGGELDLADGLGNLTIDDTSPSFVYSPS SSWTFSSSSSSTTSTSNATLSADDLQNSFNSTAHSTLSIGAKVELSFQGETLYVYGMG LEDGGRGEVRVDGVLQGVIDTSGVRKTYSSLLYMGSGFSAGAHTLSITSTSSNALIID YALLTTQSSSSSSSTNIGLIVGVIIGVSAFLIILGASAYVWMVQRQSSKKGGARYPFA GYGKHAAATYSNFGSLASKDSDWDRPDKHPLQRSQSTLALGTSGVRLGTTSVYSDYLP YRGPIEPPPSHLPEGHHIVQTGSREELLAYGGMKSSHGSASSSGMSTPPLISGANPSA IGWLRGAREWVDSPTSSTSFGSASFSAGTGYAGSRSGSQRSAGSGVGGRRSPLDRITP GSREQIPLERYQPALSPPPFSSIPSSRSSPPRTASTPLSPSSSLSTPSTLGLGMGEQV QQAVRMPAPARSVARLWPTRDAVPSSYRTESVMQGAGVGIDHRRAGYSSSSLALNAST SVDALQSQIPAAPTENSATSLRRGVSIKSIKTMRSFFSGMIFVPPTAASLPHLTRSGG EREGEPQTPAVPWTAARPDSGIFPGLERGLSQRFGSWRGREREKEKEKVVASVPAKSG MVTRSPLSLARDLPSHSRSPSADQMGDVEEGILVPNRSASHVARDARGREEEEEEEEG ASPNFFIELDPSSPIESSRPASQWTRYTRGSEGTHGRWEV L198_05213 MSDYEVTLVNNKMSEFYVLFHGPTETPFQGGVWKIHVELPEQFP YKSPSIGFMNKIFHPNIDEMSGSVCLDVINQTWSPMFELINIFEIFLPQLLRYPNAAD PLNGEAASLLMRDPKGYAQKVESYVERYASAQDADEAGEEDSEDEDEGLPRPKAKANG TDGTAGSATGTGANGHANGNGGGNAEDEEEEEDDDDKMSDMGEFSEDEDDIMGKMDSD L198_05214 MSSPAHPLLPPLPARSIATSYFVPSVHEQHTFHPHPALASATKV TLQSAGVGLLVSAVQNALQKHNAGALGVFTRTGSTITLFATMGFSYSYFTSFTANQRE TDDALNAAAGGCAAGFIAGVQHKSLPVAVGACAGMAALLGTFSAAGGSFTGVDRKWLP RPQREEIRQSFFKKQQPAGAGADAEEDEE L198_05215 MSGNCGFVLLIPVSQALADSLFLSSALPGFPSPSPNTYTTTYTT TNNTTSSDGNAPEAQLYDSSGIFPVSYDLSTVPCAVIGRDLNALGIQLSGHSGAFPAS YTPSTINYTSSTQLSPVTPSPASSGDKAKPKARSSVHATNTRSIKASGKEKKKHAEGY KRKSMSCEHCKQRRKECDGTDFNACTRCTCDGIECKYVLAKGKRGSQKALKKLAAMGR APSADGVYIATPSTSSLASSSGTSSMSPITPITPITPARSAVELSSGPSPASVSAGLT SGFATPHIFMPFVPPAQLPVAVGANGMLKDHPVVEMQQALFDNEFDSCCSVLAAAPAS KAAARYHPPTPRTTPVKPTYVRPTDVSPVQAPTFSLLNASVLSTLPAMDVTQPQPQLP AFDPQTLSESERLEWEKLAMDSVFGNGSFSAPPEAPCEAAPVALLPTPDTTPVKPTAE MSAISPPADPTTINISYADFSEPQPTLWVSAPQTQAGDEAVPEDEEDVDWGMYVNMEE MEEVDDGGSLGAVIESSFSTRSFEFNTRPFEATDRDMRGLWG L198_05216 MPLLKRKPVYLPPLPSLSAVLQPIPNPAYPVPDPLALPDPTHPP PPPMPNLTQDLLQAYIPGDASEEREQLEKLTGVFRGEFTGGTINIGNKAKKGKAAAEK AQAVYAGMPGVPRQDVNGQVPEQDRMSFVAWKIHDRDTFYIEETGEIFTDYESYAARR AFYDQTIFVDEVTGKSSMGYLDALRSEQKEIRQLHSRFPKQLKRAILQAVQFRVEGKL EVLADKIFERFQNRFFLNERLFVDLENEKYLARIIQVHPPPTDPESESTDPHAQATNL STEQDELIQQDDPARYLYTVKLVGMDEFEGRPGTAEEIVLRVGADRISRDRVNYSRAI LKRFIRDCVERDPAVYSPWTLKPTMAQRYAISTEMPESTRLAIQAYREQQMGKRKRER EERLGLSNGEGILMDGEEEDERPKTKKEKKEEEKKMKDDKKKDDDKKSREEEEERKKK KNFKFPNEDYLVDLWEEKEPRLRPVPNKDLPFADQFETFLTSWSFLNVMGTPLNLSPF TIDEFENALNQTDGPTPTLLAEIHACLLNLLVKDRLAGNFTKPLSATGRVMEDDRDYW EGFKGATTELLAPVCKDFVDQWRLSEIPLTKEGRKGWETALVGALWERASLERLPHFL DDILQLTFEPKPAPTRPTWSTAPSSSTGPSSSAALIAIPIPKLTAPSTSRYPSLDPRH KLNIIHFFIELAGQTDRVRSFMEDSIAELTEVRKEQVDVKREWKGVRAEKDGLEPKGE AGAEVGTEVKAEGGEAMEVDEKPNGTNGHLPSVNGEEDRDELEDDVSPDADVDPSRSS SVSPSDPGHHATTSSRRLAMAAAKQSRDVEEAAKKEKVKKEKEEIRLSKEKAIEKKRL ETEEEDLALKLKKLDYEFRRHIWSLRAKPLGMDRFGNRVWWLDAQGSAPMLGPDDKVQ YGTGRLYLQGVEEVDEEYFLRNANAVLETAGLGTKEGVTKVAVERRRKDEEGEGRLNK GEWGCFDTVEQLKELMGWLNPKGTREKDLLKALTFWRPELEAGITKRRVVLGLEAPAQ EEDSTPSEEITVASATARHKRSTRRTAGSVVGDEDGSVEGQGHGKDAYLGWKNRRVGA L198_05217 MSTNPSRDPSPAPQIPPEASPTAAATAHPKRPASPAHQDQHTDS KRVKTDQGTERLRETRENGKMSDVDMESTQPASESSLPAPSSSKADPGPQQISMRSLI VTQDASIIIGRGGAHVNEIRDKSSARVTVSESIPGNPERILNVSGPLDAVAKAFGLIV RRINDEPFDVPSVPGSRAVTIKFIIPNSRMGSVIGKGGSKIKEIQEASGARLNASEAM LPGSTERVLSVSGVADAVHIAVYYIGTILLEYQEKFPAQGGGSYRQSSGGERERGGER RGPPPAFSPSAPPPPGMQQQQIFIPNAFVGAIIGRAGAKINEIRTQSACQIRVTDPGS TLPDGPPANPEERLVVITGYPDNIQSAITLLYSVSLSCFD L198_05218 MSLGSFDDLVKNIRRGDDPPIKHIALAMRFYYTGNDLEETALDS YLKDALTPSAYGIAVPLGLSEARRTRSRGIRDKRRHRETGRKDPTPCYSRRNDSSCSP VWSSVLSNAAQSS L198_05219 MEPAFYRGDILFLTNPEDVPYEVGDITVYKIPGADIPIVHRVIE SHSTNTTQRLLTKGDNNPSDDIVLYNGAEWIEREQIVGKVRGFLPYVGYVTIAMNDFP QLKYAVLAIVGGFVLVQGE L198_05220 MASSATNNGYPVLASSLEPYLPNTHGGHGTDDQAHSIDGIEESD SGRTLHHNSRGGLSDENKLGVFQSSRAGDFQSPEGKAANNLGPAEGTAAAAAPQGQKP MKKFAYSCATVIIATIVIWLCLPVYWGSLWKANKYTDKLTVLIVNCDSGTVGNTVTST LLNLTNLNYFTTPASEFATNEDVANDVIEEGVWAAIVINEGVSDRLVSARQNGLSTWC GSGTIEVYYAQRRQETAINSYMLPHI L198_05221 MSTPPSTTPTANNSAPISRTPSLASPMATNTYPTGPSAPEPYAP NSEEYGEEERIRSRAGTGQSASGRTLNSSSAEGSSGEKNAYESSKAGEYQSSEAANDS GLVNGAGAPGGKGPRKFAHNFWDPEMAKFRGIAFKILGMTVVITTLVVWLCLPVYWGS LWKANRYTDKLTVRVINRDSGTVGSTITDTLLTHRNLRYFTTPASEFPTNDLVADDVV EEGVWAAIVIGEGVSDGLVSARENGLASWNGSNAIEVFYAQGRQETAINTYMLPYIQA SLAQICSQMNAQDTAAYLQANANNATAIGLLAQAPTTLTNGVWYTLNNLRPFNQPVAT AITLVGLIYMLILSFIMTMTNNAVREIIAPFLKTRSYIMYRLFSPICLYLFISFIFVM VNLPFKVHFDAHYTYAGGFFLLWFSFFLGMCSVGLATEAAITVLGPKFMAFFLIPIII VNVSVCSLPHELQPWIYKYGVAMPFYNCNRIVRTIIFNTKNDIGMNLGILIAWIVVSF ITISLGTWLFRRQSVNQHNKEVGENDSA L198_05222 MATAPHASLANSKNRANSHLRPRPSRSTLIGGHLRIASYKQTGR EQIALCGSLSHILVALLALGPRPPFLLSSPPTNHVGLDPLHCPFPNSSPSLAVFQRLP TLSISPFCGLPSPWASLSDESGSTLGPRTTTLGHFWPPVLWRDLPPPRAPTSSEPAVS VILDGTGHRRRPWPRVVVRGPSVDPLSSDNDAHYEAGFHSLHYLPDDVVLSVAFNIEG CLMDRGGKRAGLEWTPSTIIVHGRVADDDSSTDSSPAKQPDSSPAKRPRQEALSADVL LGKTPVKKAKTGSTDSLAAGSPSASSSDDVFGGPEPLRKSKRSRKGKEKEDRDFVR L198_05223 MMCIKHMLLYVLVFPLASSPSLILALLALGSFLKIRPCGYCMTL ASILFFSTSPSSPFLARPSPSLLTSPSSGPAYLTNTTLPLSLPLPSRSWLAPPTNVWD PLLIGYSALSAALSQERKYLAEHADEAFKTGREVRLGGMWEEGVESLKRWKNVEWAFR RGEGYEGLVEWRESASDWRAPFEWVDFRSKTVEKRKRIPSTPVAPLPKGYIDLRYKGL GWVIDMNWRRSEEGLKWEVEQVLGREWDREELEEMKVEEGVEEVEEVEVVEEPEVKVE EVEEEKKGWRSIPFVGSW L198_05224 MPPASQPVARSVHNPLLFECAWEVANKVGGIYTVIKTKVPVTVR EYGDRLCLIGPLSYKSAPVEVEAEEPYPGPFGDTLKSMQERGVKLLYGRWLIEGAPRV LLFDTGSCYNRLDEWKTDLWNLAGIPSPPNDHETNETIVFGYMVAWFLGEFAARETST ALIAHFHEWQAGLAIPLCRKRHIDVTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDH EAGKRGIYHRYCIERSSAHCADVFTTVSHITAFESEHLLKRKPADGVLPNGLNVVKFA AMHEFQNLHVQSKEKINEFIRGHFYGHYDFDLENTIYLFTAGRYEFRNKGVDMFIERL NARLKAMGSKTTVVAFIIMPAATNSYTIEALKGQAVTQQLKDCVDQVTSRIGKRIFEH AARYSGEHGTEVPNAEDLLSNEDKVLLKRRVFALKRTTLPPIVTHNMADDAADPILNQ LRRVEMFNRPEDRVKVIFHPEFLNSNNPILGLDYEEFVRGCHLGVFPSYYEPFGYTPA ECTVMGIPNITTNLSGFGCFMEDLLESPEDYGCYIVDRRSQGIEESVNQLTDQLLAFS TKSRRQRINQRNRTERLSELLDWKSLGLEYVKARQLALRRAYPDAFADDEPDFTGVQR VPLSAPASPRMRGAGVSGLMTPGDYATLTEEMEHLTTQDYMGAKSWRGINDDDDENHY PFPLVMKPRNRSDSLASAISGTATPAGGRRLSEKDLERADQVLSQVNGH L198_05225 MVFKSASFTDIADALKFGKKHSGAVTPPALTLSLPRAKSSVSLY PETSLELHHTANIRSRKNASGHFPTPILKRILSYCDTPTLRACLTVSRLIFHLAGHLL YSEIELHPKSMQDVLRGSVIVHEAATGMVTGGRKRFKDRLLKRVSEVTVWSHGEDDDD DEPSPPCCPPVTLNYLLPKLDSLRIVLGEAFDYHMTFCPRYPPTCPMFRDLVVEKLVI LGARSPMPVLPLAFPHKYYNTPTTPTTPGSVGTGSSVGTTSPNTPLIAVTEGEGEDDH LANDPLLLVPNANPSNVSALYRASANSFLPANSFFPPTGKHHTRTASLAPPAQVLPKI KNGHTRNTSLPKSLSSLPLPSPPATNTTHSPRLPPCQELTIVMPTGLSYDAKDYQPYS HCFRHRKTLLTLERFVLVFYSPPKLGRPWQIAFYNARKDGSSTSYVSLVDDVAGTCLA VPFRTEIEIVGTETMDGELLNMGLNTMRTGKVGKVMQDRLRSRIETKWYAEGMKGDVK DRLRRVRFRTLGEWLEGEGEGVVEGWDLEKWGELGGADYGEGSSDDSEEY L198_05226 MSLSPPTHESYLVVGGCGFLGRHIVEQLLARGESQVSVFDIVQR HFDSNVTFFIGDLSKPEDVENALIKSQATVIIDTASPTHGLGRAIYELVNITGTRTLL DAALSPSSLVTKIVYTSSGGVIYSGKEDICNADERISYPVKPLDAYNETKVAAEKMVL QANGQEKAGGGLLSTCAIRPAGIFGPGDRQMISGFYGVVKNGQTKWQIGDNTNLGDFT YVGNVAHAHLLAADKLTTVYPYSALREPLAPINLSLGSHRVPTSAAKPIGPNTNPSKA DLLAAERFEQGLIEESDLRPVLRNKMDVYYDAASLEDIEHPEAGLPIAGQAYFITNGE PIFFWDFARTIWRQLGHVPPYTIVLATALGLILATLAEAFSKLSGKEPGFTRFRVSQA TQQRFYDIEKARRLLGYSPVVGLDEGMKTWTTWYRGELDKQALAGETDKTK L198_05227 MNKACHQILSAVFPETLPNLRGIKNHTPASRHASTSQLISRAIR DISDVRFINRLIAEDEEHYSDIVEALTMQYSTNAAALKFHDVLLPRRNIKEEFERSPW KLSFPSSSLRKPTLTLDLNQYTSTRGLALLSHFCVTESMEKELPVRYEVISYKGKTEI WPDFYDDAIDSMWAIGEGIYEKYIPRCRE L198_05228 MLPRLALARAPVSLLSRSAVLSRSLIRAPILSRSVPQVQSLSSI LSARGYSVAAQGKPEDDFFASEGDAPAPTSLRQDSKPIEAEEVDNTASFDSLKGRMNH DTLKSLTFKPFKFVTMSEVQKRVLGLLPELAGGKLRGPAKEAAEEQGEPLIDETRLRE DLLVKAKTGTGKTVAFLVPAIDSRINKLEELSKAAQADGTIPDKHAQGRIYREVSRSH AGALIISPTRELATQIAAEAQKLMTWHKEMEVHTFVGGESRVKQLRQFSRNRKDIVVA TPGRLRDLLSESNVKEALSKVDTLVLDEADTLLDMGFSADLEYIIDHLPKERQTLFFS ATVSKEIKNIARKSLRPGHKVIDCVPANESNTHLHIPQYCTVLPSAADQLPHVLRLIS HDQLIHPKDSKVVIFLNTTKQTMLTSTLVRQLKGSLPQNTWTYEIHSKLSQNQRTRAS DRFRAEKGPSVLITSDVSARGVDYPGVTRVIQVGIPSNAEQYIHRVGRTGRAGKQGRG DIVLLPFEAGFLNQLNEVPIKAIESRDLAAEVHELATSQKANAAKLAAIPERVSELLP TLDADAVEEVFMSMLGYYVGKGSQIGMPSQGILEGLKEWTTEGAGLVEPPYVSEMFLK KLGMGPRKEKRSFGGAGRGGAGGGRGGRSFGDRGFKDRGEGGGGGFGSRGGSGGFGGR GGDRGDRGGSRGGFGGGFGGRGGDRGDRRSRDF L198_05229 MTYPLPDTQGIIDDDDWLEPPTQGGAKDPLVDKEYTRISTVYSD AGYREGITDGKLVTLQEGFDQAFAQAVPLSRGVGSLRGRAAALLAFATSLSPSAPENL VEDLRVLIRDLSSVRRDAVLPEDEERKQHEEEEHGEGQEAFELDVNDQRDMEGLEKSL EMMGGGGGVRKDEKVGEEGVERLEARLRELEGRFRG L198_05230 MSSSTSSSPDSLFSELSEAPNSPEQVSCPAASRRCPPIPGLYVF PSLIPESISQRALQAIGDDDLFHGGVRDQVMLFEPPPSQTARKPLPSYMTSLLSSIRN LISDSIPPKVGSVVFKQDLARQVIVNLYPPGQGISSHIDLPNRYADGIIGCSLIGGCV MSFSRPVPDSASELGVYRVYLPPRSIYILSGAARWEWAHGIAGTLEDVVEREDGEGED TLLRDLRVSVTFRWMKDGADVLS L198_05231 MFANSLRQGLRTASRSSGKYPQRPNRSSLTPPKARAFSTLPARA APRANIGAGLAAGAAVAGYAFYESTRSPVLLEGPKTIAGEKGTVSERSFVMIKPDGVS RQLVGKIVSRFEERGYKLVAIKSLTPSPALAKEHYADLSARPFYGSLVKYITSGTPVV AMVWEGKDVIKQGRRIVGATNPQDADPGSVRGQYAVSVGRNLIHASDAFDSATKEIGL WFAQEELSDYEPWVMADN L198_05232 MSASVGFCGDTPYEDAPMVSSRLLNNRIRKTFGAIRPRSGTTTP ITRPSTPESAPVFPQEILEQILADSSHDHDTLRALALTCKSLSPLALAPLWHRVSVGL ESRSPMRTHYFGPTTREIISLGLLKPPTLSSFSAEVRSLTRIIDFYFRHFAWALALYR REHRLLELFAQVKVIRIHLDSLCGYISSGGAPFKYKNEDAAYENYVGRLPACEKAVFI GPCRMSYYERWYAHWKERTEYESKAPKKLVLLLKANEHPISFKFGSFPYSILSNANIP TSRLSDITIIFYQGPLRSKSLKSLTDDSWRKVREDLVDVLKLLRRKYPRTRVTLVNTG CFKLTWLGFDRGVKEGEVQERVKQGLKKVGVAKGVRLFGTFGGEVERKSREDEASSTP SSVASGSEGSMSDDGLPLKEPMNAGKETERLITLDEYLQTEDWEGEFDEEEVQPWLHC L198_05233 MSDNCGFVRLIPVSQALADSLFLSSALPGFPSPSPNTYTTTNNT TNNTTNNTTSSDGNAPEVQLYDSSGIFPVSYNLSTVPCAVIGRDLNALGIQLSGHSCA LPASYTPSTINYTSSTNDDGNALGPQLSPVTPSPASSGDKAKPKARSSVHATNTRSIK ASGKEKKKHAEGYKRKSMSCEHCKQRRKECDGTDFNACTRCTCDGIECKYVLAKGKRG SQKALKKLAAMGRAPSADGVYIATPSTSSLASSSGTSSMSPITPITPMTPARSAVELS SGPSPASVSAGLTSGFATPHIFMPFVPPAQLPVAVGANGMLKDHPVVEMQQALFDNEF DSCCSVLAAAPASKAAARYHPPTPRTTPVKPTYVRPVDVSPVQAPTFSLNNTSVSSTL PPVVASQPQLPAVTGQGLSESERLAFDELVMDFDLDDDIVSVPAEVPCSAATIASLPT SDTTTLKPTVEMSAVSDSADHTSINFSYAGFLAPDNTPWYSAPQAQVGNEAAFVGNEN VGWGVCGTEEMETSNAGSWGAIESPFNTTPFGDSFTPVADEAADCGMRGLWN L198_05234 MSDNSGFVRLNPVSQALADRLVLARVFTGFPSPSPITYTTTTNT TSGANNALGLQLFGNSGVSPASSTPSTITYTLITNDGNALLGHPLSAPTPSPTGSGDK RKPKRKFKRKARSSAHASNTRGIKASGAEKKRHPENYIRMPASCEHCRQQRKKCQRNR LEACIQCTDDDCECIFAVKAKQGATKTSRELIALERMFYERVIAEMPSTPSLASTSRA SSMSPITPITPTRSTVELGSGPSSASVSAGLTSGFATAHIFMPFVPPTQLLVAVGANG ILKDHRVVEAQQTLFDNKSDSWCSAVAAAPASESVLYHPPTPRTTPVKPTYVRPADVF PVKAPHFSLHNESMPSALPSMGVCQPELLALMGQGLSESERREVDELVMEFMDFGDDI VAAPPEVPCGNALAALLPTTETTPVNPAVEMSAISDSADPASIHFSYADFLGPQPSTW FDAPQTQVEDENFGWGMCGTEMGMGIDDIGLSGPIKSPFNITPFGESFTPLVNESVEG GSAAVWTWE L198_05235 MSASEQNIIHSPPDETIVRYRTPHTPSVPSAPAPIVNTGTTANP NALGLHIYATPSPSSSPPPSSPQPQFENTSDRGKTKARSSAHSIKTDNPKAGSREDWA LAVAKRERKPAEGPTHSDLSCERCRQQGQTKCDRNDSNICTGCLVAGVECLYHAPVGK RGSNMTPTRALLVQGRPSMRRFFTGTPSTRSLAPSSTTSSLTSIIAPAATKAKGIPSV EPMVQPTTASASRPENRSPARITIVNKAKASDGKDPASKKHARDHTAGYTQNSISCER CIQRRMRCVRDDFNSCVNCLKAQAECQYEITSEGKQWSKQASRELFAMERLSIGAPPS PSFAPSSTNMSSLTSITSTATPTTQKPSVEPTRTIDFTGNPTASTPKSNDHQSSAPLI ETNSTKASGGENTASPEKKGRKKHAPGQTRHFLSCERCRQRRKQCEHDGFNKCNRCLL AGAECLYHVPTGKRGSQKAFTRERHAMGRLSMGDIIVGIPPTPAVASSSRMSSLTPTV PTTPTKNPSGVRLTIESTVKPTTVKPTTVRPAVEPAVISTVQSTVEPAVQPIVEPDVT PTIEPSDEPYVEPSVGPDPDVKPTIKVEVESPVEPSPDPAVAPAAAPFPGDVSAEFPP SFTTPNIFAVFTLPSWFETHTMSPPAFGAAGHVRDEWEYNKLKIMDGDMSHIFSYPPV KPPLKSAFEPPVHFLAGLEPSFCLNDISAPSTPASLDASQAPLPAFEAQGLYGSERVY YCKILGFDMDEIFSTPLAAPEDKAPVTSVTPLVTPVKPTLRYSASEPALSTLPSLFFN HNSLVPRTPPSLSLPRTMPLPEVEGDVVAEGEHVDRDTQSMEMEMSDVDSTFPPTSSF NIFDYFTPVVDEAAEGVMKVE L198_05236 MAEASASQYQKGEIAELKATSLQLTSRVDAIDARFRPRPSSHPE YIEVNARFDDVCQMVLGRINPIAKEDDPTAAYAASKLSELVEEHLGELSRRQRGKLAE VIGRFQAGRDYYAVQVDTKISPNTQAFRATIFGSCAKDLRSLLEG L198_05237 MSDNSGFVRLNPVSQALADRLFLARVFTGFPSPSPITYTTTTNT TSGANNALGLQLFGNSGVSPASSTPSTITYTLITNDGNALLGHPLSAPTPSPTGSGDK RKPKRKFKRKARSSAHASNTRGIKASGAEKKRHPENYIRMPASCEHCRQQRKKCQRNR LEACIQCTDDDCECIFAVKAKQGATKTSRELIALERMFYERVIAEMPSTPSLASSSRA SSMSPITPITPTRSTVELGSGPSSASVSAGLTSGFATPHIFMPFVPPTQLLVAVGANG ILKDHRVVEAQQKLFDNKSDSWCWAVAAAHASESVLYHPPASGTTPVKPTYVRPADVF PVKAPHFSLHNESMPSALPSMGVCQPELPALMGQGLSESERRQVDELVMEFMDFGDDI VAAPPEVPCGNALAALLPTTETTPVNPTVEMSAISDSADPASIHFSYADFLGPQPATW FDAPQTQVEDENFGWGMCGMGEMEMSDDSALGPIESPFNTTPFGESFTPLV L198_05238 PQLPAVTGQGLSESERLAFDELVMDFDLDDDIVSVPAEVPCSAA TIASLPTSDTTTLKPTVEMSAVSDSADHTSINFSYAGFLAPDNTPWYSAPQAQVGNEA AFVGNENVGWGVCGTEEMETSNAGSWGAIESPFNTTPFGDSFTPVADEAADCGMRGLW N L198_05239 GMAADDEMERLQKNAEEHIQHRHDEMMLVEKEKMKAEKERMKAE KERVEIEKKKAEQQCRPPN L198_05240 MSAIFHGIEALHNLLLNIFIFTLLPQNVLEPPAPNVAMLRGLVQ DLAAQETRDSVGQIVDPRPPVDPQASLPAPSPGGRQTRCRLLKGPVAQGTRKSQALRS RLQRV L198_05241 MATFLPTPSSSSIPSSLPSSSDGATATLASTDIPSPITKRPIYF LRISISILFLSISQGINILECFPPGAAHSQWVFEQAARSAEVAWKGRPKNIDIVKGG L198_05242 MIAFTPPTTSTRTQQLPTSDPFRQPLNAAALHHSLAPDFSLRNA GIFPIKRTTVNKGWCPPKTPPTNTPPILRSHPEGDVPQYSIGLMYARNVVLFTGQANV QPSPDTLPLMKPPSLPAVQGQGTAFIQAIDSDRPGVKFFQSIVDTIDGENPLTILSNK RHNGREIIWRANFGSM L198_05243 MQSSQGPPQPPASGAPPSSLAKRTTGAAGTSTGSLNPTLHNTRG AAKAGRTLAATESETPEAGSSLGDKGKKHEDANADSTVQATPVSPTSISTAQAAPDPP TPGTITPSINITPLGDAPEPTLSQASSSQIASAPPEHTAADTTPAPTFDANLQAAIEA SLLSKFAEMLKASQATAPLVDPTSKPPGLEDPAYPGTAAANDTPQAKPLSPYEELHDV SCQLDQASEERDHLFEALQQAQAELTRLCTASLSPAPVPTTPTPHMSHHSTRLKPSEL PKLKGTSHKEVDSWIAQISALLRSATVTESDVIPFLPCAFEDRAMSWYVNLRPAKQLA LVTWSDWQEAIRRQFLPANYAMKARLECTHRQLGPRESFTRYLDERSQLQRYVFDDNV SDYVLINDLLRGIPLYFHRSLVPHILEDMSLEQFRDLLLSQEESLRDYQRMRDRLPQS MSRSYHSTPSFSNRQNDSSTPVVPRNPCTCGGYHWRRDFPNLPPPANGPRSSAPRASS TNTRAPFSSSSGSSDNHQRCDTPQTYVNVTTSSYRPRAGSVNATPNQNGNRYNQPRAQ LNTMVTRAAPITPSSTVTRSVNATALTAPRPTVRFEPQPRVIGSIVPSDTVPIAAPPP PSLAPAMTPLYACRLSVIDAHYAKKYLPNVRAQPCPAIELTGLGQADSTSFIIIDITF TTSDGQDICLPMSFSIVDKLNANLLLGNNQLSPLGTTLCAPQFDVGQDVLVSTFYIKP PFFREKGRPFKLKPKFVGPFKITKKISPEVMVVDLPPHIKAHTSINISHLKHFVTDPF ERAQPLPPPINADDNIYEVEHIVADRTIRGKTEYLISWKSFPDVYDTWEPASNITKKN IITKYLSFKKCQAGVWYSEATDTFPFVPISPRSPTSV L198_05245 MRIHTLFTLLSTVLTAALTAASPLTILKERADDPVATLTGAGPD GGDVEITGVNHPTFQQDYWGGIPYAKPPTGDLRFSPPQTYAYESTIFTAQISSPACMQ DSDLTMSEDCLYLNVYTPSGSSGSDASLPVMVWVFGGGFQTGDAGWFNASRNLQYGVD SGKPFVFVALQYRLGAFGWGPNQATNVGLKDIKQGLSWVQSNIAAFGGDPSKVSIGNH KLFAYTHGQEQVTLFGESAGAMAIMESGAPSGTPLGPAGSTWEDAYQFFLDHVGCKSP SDGSTEWACLKALSADQLLDGQKATKSQTQWVDSRLYGPSIDGDVIPYSPHTLISNGK VANIPFIQGNNKDEGTSFAPTTLEGPESGRNLIRLIEPVPPSNATLDSLLDLYPADPT VGSPYDTGNDTFGLQPAYKRFASIIGDLKFQAPRRHFLREANKHGNTATWTYQFEQST PGSAAQRGVWHASEIPYVYGLARPGTGQSAEYTDVDGALSDAMMEYWINFAHYSDPNG PSGATPNFTSWPAHDIFANTNILRLKGDDIEVFKDDFREEGMQFMQDNSGEFNM L198_05246 MPPSRKPPPALYLHPQGLPSAPSPVDPRASPAPSYDYGQQPYVN PLIDPFRDTAYNAYSPLYHNAPSPIAPVSQADDTRLPFFEAALARSRGGHEQLSSSDH APVPTYTQPLPPYLPPPDPNHPDLAVGFTASNTVRYAMNHTAPREGSRSPSPWMDDSF VQNGGDDRDVEKGHLLEDSEALPRIPFTTNATAVPGTGDDHGDLSVPSYALSGGYHPD LDEKEGSTQHFGPAPAGRVGRRTHNAAGYKRIKQTATLDDSGFFAINMPIPTRLSQFL PIKGVEEQKSTRYTAITTDPNEVPTSGFRLRQNLFSPPRQTELFIVITMYNENAELFC RTLYGVMKNIAHLCGRKNSRVWGKDGWQKVVVCIVADGRKAVNPRVLDCLAALGVYQE GAMTNKVQDRPVTAHVFEYTTSFALDPDLHFKYPDRGIVPCQIIFCLKEKNAKKINSH RWFFNAFAPLLSPNVCILLDVGTRPAVKSIYHLWKAFDMNSNVGGACGEIATFKGKTW RSLLNPLGKSLLYHVRSNILDKPLESLFGYCTVLPGAFSAYRWIALQHNADGTGPLAS YFAGEQLNTGKADTFTGNMWYLAEDRILCFEIIAKKKANWVLKFVKAAVGETDVPDTI PEFIAQRRRWLNGSFFAAVYALIHVKQIWHSDHSLARKLGLMLESVYNALNLLFSWFS LANFYIFFVILTTALEGDAFNVPHIDVLNTIARYGYLGALIGCFIFGMGNRPQGSPWK YKATIYFFALLTIYMLIAAVLCTIQAVSDFDSPIFARLVVSLISTYGVYVVSSFLALD PWHIFTCFFQFILFSPTYINVYAYSNLHDLSWGTKGSDATQESDLGAVQGVGNHVEVE LVTAQQDIDGAYQDALDNIRLKGAKEEKIDLGPNQPMSEQAQKDVYANFRTNLLLLWS LSNALLASAILTGNTSGSFDSSSTSKTTVYMLVILIFVAGMAIFRFICSTTYLILNLF TG L198_05247 MDADLVAIATSISEELLDSQSPTQKEIALCGPAVVRILLAACLA LLPYQISELDPVEPELDAKSLSAGPRVLCDLAQQHIDSIPFNLVPPRWLRLYTDAYII STLIDIVCHQSIEGQQKILRLGADIQQLDKVIIVAGALGPGRHRWVQTLIKKAQAVVS GTEDDIAAEVPQETIFSSSQKSNSNPSTAPDPNSSLLHAPNHIEVLQKIPSMRAYESR HSHSPFIIRRYASQKDYACFWPATELWSSPKYLLEQAGAGRVVPVEIGGAYDQANWGQ EIVPFESFLERAGFNRTFHQKRSTPSTCYLAQYDIFNQIPELAKDICYPDYVWSEPSR PSTGPAYSPPHDSPVVNVWVGNGSGEIISPAHTDPYHNCYVQVLGSKRVWLAPPWCSD HMYCYGAGDDDSTSYGLAEQYMTNTSRVPLLRDPVEFEKESLRFPAFFETVRPQSMEA VLNPGDLLVFPPGWWHAMRTEGEGPVWSVSMWY L198_05248 MAIFNRKPKVAPEGEGAPTEKEKVKWSKRPANTAFKQQRLKAWQ PILTPKAVIPTLFIIGIIFAPIGGLIVWGSGKVTTITLDYTQCDASGPTDGSFQTMPS DAYNYNLATSSSVSKSSISPPTWTFSNDSSRTVGEQSRCEIEFEVPYDLGPGLFLYYK LSNYYQNHRRYAMSFDASQLKGDYRSLSQINGGDCKPITSSNDKPYYPCGLIANSVFN DTFPQVVLLNPSNGAQNQTYNFTESGIAWGGIAKNYATAPNYPSSTDVIPPPNWALRY PNGYVDGFPNLREDEHFQVWMRVATLSTFRKLWSRNDDEVMTQGRYRVVAYMNYPVKQ FSGTKSIEISTVSWIGGKQPFLGWAYIAAAILCVALAVAGLIRHLVKPRKLGDMSLLS WNQPGAQR L198_05249 MDLAVLENSERADRWDKGIKIGEGTFANVYKGKEKSTGRKIAIK KIKVGEMRHGLDVTALREVKFLQELKHPNIIALLDVFSVKQNVNLVLEFLDTDLEAVI RDTALIFQNADIKSWMVMSLRGLEYIHRNGVLHRDLKPNNLLIAASGELKIADFGLAR EFADAGSKMTCQVITRWYRPPELLFGSRHYSPAVDIWSMGTIFVELVLRTPFLAGETD IDQLKKTFHAMGTPSEQEWPGYTRLPDYHDVGSFPKNQWWGLIASIGKDGQDLARDLL KFDPRLRPSAKIALNHSFFGSYPVPTPPALLPKPLAELRARPLAPDELNGKPLTDSNQ GLKRKAETPVGDSVSRKLVFA L198_05250 MNVHNDTGQTDQQPPQADVGQTALLGQASDFHSASHAMHSSSTA LSPEDCRRHHYPDSDNGLSPEAEYGPSGSRKARGSGEDTVTARRREANRLAAQRFRSR KKGYQDSLQEKIRSLEQANRALQGRIGDHDGPVHEGSSGSLPTSGLRPHYDHFASWPG TVPSTNHMTSNPLSPVAGPLAPECHDVHQDHEFRIRHLEKVNTGLEEELREVREENKA LRDEFRRWRMQEERVEDDVIRPGLARDAPPLEHHRSWSSRPSYPYPSPHSSLQSQSSD HSSVTGSDQSLFASQHSQHSQHGQRRSDDRYSSSLHLPPLRRVASPAGALLVPTPQIP LMKPRSPPRPDE L198_05251 MSPLLRSSLLLRSHLFPSPSIHHIRYATTSVAGLSPQEKKKAFS HTLKLPKTSFPLRHKDIVAAEKLQRRKTCDQLYQKQLANNPKEAFVLHDGPPYANGHL HMGHALNKVLKDIINRYKVVRGHRVHYVPGWDTHGLPIEQKALAAIGKSHTSLTPSQV RAEARQVALSAIDVQKEEMRALGIMADWDHKDGTYRTLDIDHDFEIRQLELFQTMIQK ELIQYRLRPVYYSPSSRTALAEAELEYRDGHKSHSVYVSFPVQEEDMSEGLRAIYSRH KSWSSGVDLAIWTTTPWTLPGNSGVAVHENLTYDVVRNDHGRLLVVANDRVADLQRIF GHLTVVGSLPGSSLVGSRYTHIFHPPSSPSPKPQIFAGGHVTAGTGTGLVHSAPAHGH EDYVAFNNAGILPDKLRCPVDDAGCFTDELMSWVEDEKFRALVGKAVLGEGTKTMVEL LRGEGVLLGDEIIEHRYPYDWKSKQPIIVRATPQWFADVEHIKDDALDALKAVHFHPP QARKRLETFVLSRNEWCISRQRSWGVPIPVLFDSHGGPVTDADALAHVIQVLKREGTD HWWSGPVEDFVPPSRKGEQLSKGVDTLDVWFDSGSSWTLLRDSKIRDPELPLADVYLE GSDQHRGWFQSSLLTKVTSTSDRRAPYGTVITHGFVMDEKGDKMSKSTGNGLSPMEII HGLGDSPAYGADTLRLWAASVDYTCDASIGPSSISHASETLRRLRNTARFLLANTSEH EKVSLEDVELRAIDRYVLHQLHDLELVALAGYDSYTFNKVVNALSTFAVSTLSTLYFD IVKDTLYCEKLDSPARLATVAVLQTVLKRMIQIMAPIIPHLAEELHQNTNPLEDSSAF LGTWEPSDIWSDPALQKDVGYLLAIKPLVSQLVEEARAAKHVKMSSETELWIAASGIS HTVLSRNANLLQSLFGVSRVTLGTDLSSRESLASVDAEIDDARVSLKLLPSSQHQCPR CWLYQSEVVDHLCTRCASIVVV L198_05252 MVLGFNPLFRLAGLDSDGDDIEAAGGHSQSHGADDRGPIVTGEE EEEEKYSDEEFGPPEPRLANPHTAAGGEGEDEEVYSDEGISPTTVDDPSNSPVPFIQP KLPNTFLDFDSLDGIPPVKPAFNVPPSLLPAAPYILNKHTKDIYVPAHLNQFLKQYQR EGVQFLFDRYQAGWGGVLGDDMGLGKTVQVIAFLSAIMRKSATATQDYGRRKRTLRQS GTGTISPQRWPTALIVCPKSLIGNWGYFEYEVLGPDNSEKTRTALAKGYLDILLMSYD TMRGHINDVKDLPFTVLIADEAHRLKEPLSMTTLSIKKIQTRICFALTGTLVQNRIDE MWSVLDFAHRGWAGTSQEWIDFVVDPIKTGHQSEGTAQQVVEAIRRLGIMTTKVLPHF YLRRDKTLLSDILPRKTDLVVFCPLTGKQQKAYTNILASDEIARLKQLNAPCPCGSRK RSARCCQLAEEQYLTEVLFKFMTALSKVANHFGLLYPGMSSLSPRETNMFFYKICTGS DTVSKELSSMQAALDTGNCGKWKVLERLLTQWRAEPCKNKILLFSSSVRLLKMLAKLL RSSSLLSSFPVDMLTGEVDNEERMRMVDRFQDQKQDHFVLLISTKAGGVGLNLTAANK VVIFDPSWNPADDLQAMDRAFRIGQERPVDVYRLIALGTIEEAKYERQIHKQQSAKQF NDGTFEPRHFQGYDGASTLDAQGDLYGAHNLFRYEPNGFVAQNLEDGICDAGDAFLEE DHEDDQEGEDDTHEGSDRVKQGGSQQKKKGRSADEHAKALMEDVLGLSDNPVATVKVA KDILDELGLTSIVHDKAFQDSPEERQIYELGVNLLRDNPELAKTMKANELAPKRKKRP REIAGGNSHKDKDGGRQKLSEKAWKRPGGPNQTRIELSDEE L198_05253 MADLGSVDTPALEAALRPITAPRDAPSAQFTNWAKTFVCRPQRV LLPTTPLQCRQILELARREGARVHPIGVGHSPSDLSLTNGWLVKMEGVKGLIKTDHDN LTATFHAGTSLHEIHRLLGNASPPLAMRNVGSISDQTIAGLISTASHGSGTSFPILSA HVKSLKIALPLPDVPVVTVSATQDPDLYKASLCGLGATGLILEVEVEVESAYRLRETK EGRSFGEVLAQLDTIKDSAEHVRVWWYPDGKGAVIGRANRTQDPKQSSPSLTAHFLGF HVTQFFIYLSRIFPSLTAAVGRWAWWLSKTDSEVVDDGYKVLNFDCLFPQYALEWAID AKESKACLQEMQLWLDREAADANGLRLHSPIEIRWSSADDIWLSPCYGRETCWIGVVT YRPYGLAVPYRKFHERFAALVAAHGGRPHWAKQHTLGPKSLEVMYPKFKDFQNILQRV DPMGVLRSENVRRHIDGENIPDRIFKTR L198_05254 MPPSTSPDHAALLHALTNTTLHALTKRADSVPNTFQTNTIIGAC VMFLAILIAWNIPILRDVIAGLKLFTVGLHELFHLSAGLLCGGQVVSICIDPNDGGAT HVMGLMRTTPRIPRDPYAMPTYPQMFWSPSALITLSSGYIGSSIIGFLFVFCGFDIVA SKACALVIHFGLLVPIMRADHWIAFASIIVCEAVLVGLWFGDHGNALRFYVIFVGMMN LFYVVWDYIDEKLFDKRNTSDCAQFSELLGWPTSSWAILWFIYDVLVFTSAIFAGIIV FRRTNDQMYAEA L198_05255 MSAPQAPQAAPAPLAAPIPSEDQVARKFDNCVADLLVNAGLGFG VGVVASVLLFRRRGWPVALSTGFGAGVAYSNCNYSLNPYVLPGTKVLPSLPSNRS L198_05256 MSYYPRDLYRPVTRGYSPGRRTPPDSRGSAPAPYRPPSYHRSHP TSIAYQNAYQDSYLPPPPRSPYGYSSSQSSGGYADSYDPYQPSASAYDPVAPQITLPT NGSAYPPHHSLHPHTADVTPRPYHAPSTQAQSSPSVAVATENVIRTPSTTVQISGYAA NVYTLPPSHLPLVKYVSAYKQAAFDLHQHLASTNNTVWYSGSCTRAGEAWSTGLEWDT KDKQSGIKTRGVIPGGDSLDAELGGILKCVEGFQDALHKSVRNGTTIANELVIFCDSQ AAIVGIDSSSRPEAIRFDHLWREICNEFLYAHLTLAWIPRDSDLEGYVLSSKIASTSA GNSYIKKRKEKVLSEKYVLPGTNDPEAPGSTVGGLWQTGNADPSKPQIPYRRPEPVPP KISVSQPVEVSDIHLSDNGHETMTLPLIDVSEAEDEGIQPKEGAIFVTHFPAEASAKD IGILFAQYGEIIAVDIFHISTNHPRFANVTYANPDSGALAIAGLNRKPISMESSFAQE NRADLEVWERWGGQLTVVKHEPPRVVPASVEGFFPDLPDWAKTYGGQQQGQESRTELP QSSEDVGGKRVRDVESGNNSPRNGGSRSLSAEPQSKKARSGQQSPSHPVPHHQPPSNE QNGQVRRPSIHHELALPPTPQTAEPPLPDVVSKEGRSAPTTHFVPEMPEDPITVSAET LRILISTVVGSLINHDIGNWVVHACVITQSIDKVAYQLQGDLAAIKEYDGVSREHERV LGSRGFVPSRIDEFVEKVLRVLDGIAAAEETAAETSPKDTVQALTTKLDKLLEPFPLP SKNAMKDAGRLLEYLVRGKAAQEKRRLELERRVKVMEGMIKVGDVVGGVVRYLLTEA L198_05257 MSVLSTNDARQQPRHRVLSGKEESGDIFSQWRKGINPVASKAST PNRQVLATVTNHHSTTNKRAQSPEAKKKVVAKDDASKPLATSQKRQAPATGGTPVAAK RTKLNNERDGVSASNGKVSKADEDKWTRSWVKAFPTLIFYFEIGAEEGPGKTLRSRVI KMGAKVEQFFSTRVTHCIVKESGSPQKQTKPLSQARNSDNARNPFLESGGTTDLAHKA AELHMKVWSVKKLSDILSRVAPVESPVVNNNSLSTLLEDERIHGTRERDATAPRPDHY YFKPGNKHLLIEDATSKHRTIMVKEYTYNARDGPEWPCLYGTFLRISSANQGSVPPSK LRERAWRLYAERQPYGGEQPRDLQRSTSLRALSSTARLPDLPDANAYQDASGNSVVIT SNIASTSTPNTPILAGGMPTLGSNKDRAIMQMSKRVQVLKGNARLAAARVPKESSFSS LGTSAPTSLDRRRSMSQTIDTPKAFLSQDQVIRMLRQAREPVDEEAVSVADRMANRQK VEMGLKGRDQDSAAGYCENCRLKYSDLSVHIASKKHRRFAQNDENFASIDRLLCSLQR PMHPDRVQMMCPPCNEQHTQDWDCELCNVPPPLPDSENGYDSGLDFDESADRLMEE L198_05258 MATQKDTQLNLERALGLLAQHPLIDSHVDLPYVMRALGKEPLRT VKLVRETFPGHVDVPRMRQGKLGGLFMSCWLPVRPGDDFLNPSNDVRDALELIDLVQI LMKESDFQYARSSQDIRDAFRAGKIATMIGVEGQRPYARQLAVGSENMGPAGSFASSA GSGQPLEPVHPGNGLSDLGRELIKEMNRLGIMVDISHVSDETMRQAIQVSKAPVIFSH SNARAVCDHPRNVPDDVLDMVGSGPGKNSGVVQCVFYPEFTDPVDPCLVKVADHIEYL AKKCGKAHVGIASDFDGMEHAVRGLEDASKYPDLIAELYARGWTDEELIGLIGGNLMR VMDEVDAVQKSMEGQEASAAIYERRTDLPSNYPEYLPDAVREYLNI L198_05259 MGNIISAIAGALNAIISAIASFFMAIFSGIASVLIAIWNFSDHL WMLLWRTKRRSQDHIEGVGHKIRRGNSSITLSHASKS L198_05260 MTYSLASRPKRPSTASSLMSKLTLIAFVLVALLCFLPAGVRAED KEDVGTVIGIDLGTTYSCVAVQRGGKVEIIANDQGNRITPSWVAFTEEERLIGDAAKN QAPNNPENTVFDAKRLIGRYFSDPDVTRDRKHWPFKIVSKEGKPMIQVNHRGDLRDFT PEEVSAMVLVKMKETAEAYLGHKVTHAVVTVPAYFNDAQRSATRDAGTIAGLTVLRIV NEPTAAAIAYGLDRSTKKESQIIVYDLGGGTFDVSLLSIEDGVFEVLATAGDTHLGGE DFDNRVIEYLVKQYKKKTDVDVSKNNRAMGKLKREVEKAKRTLSSQMSTKIEIEAFES GNDFAEATKFEELNMDLFRKTMKPVEQVLKDAGVKKDEVDDIVLVGGSTRIPKVQQLL KEYFNGKEPSKGINPDEAVAYGAAVQGGILSGEAGSSDVLLIDVCPLTLGIETTGGVM TKLIARNSVVPTKKSQIFSTAADNQPTVRIQVFEGERSMTKDNNMLGEFDLNNIPPSP RGVPQIEVTFEIDANGILRVSAADKGTGKTESITITNDQRRLSPEEIERMVQEAEEFA DEDAAVKKRIESQNGLQNFIFSLKSQVGDAEGLGGKLSEDDKDTILSAIKEKTEWLEE HPQAEAEDYEEQLSELQATVAPITSNLYSGGGSAYDEEMPFGGHDEL L198_05261 MYSTVQRLNHLSSLATTYLLALLALVSLASFLAQPPVSVGSVHV KDLILTKGRLNRLGARPEELASLRFDIRTDLNPLLNSYNTKQLFVYLTATYEEESSSA VHDVVLWDRIITRKKGRGNVRVEDGKNKYQWHNPSHTFKDIPTANFTLHYSLMPYVGV LSSGVAAVAEGPVAIPELIKR L198_05264 MRTQPPPPHRNLSAESTSSSAAASSPEPHLSTPDLPPSDCLPQV VHPPANTSPSGSTTSSTGHSKGESTPRAEVGPPVWPLAAGAVARRNELFDEPYTMSSS MARHGSNDIPSTLSRTPSLKRAGSYRKKHASLDTPPNAPRSEDAFPSFTALNNVPPLS QSSPKEHQPTASRSSAPGAPPSAAFPRQSTESHSSSQSRSSLTRSHSPASGCEISAPI SPPVGYPLSFYSRGRRNSSCSALAPPSISSASTASSSSGNSANSTKAPPTPPPPRWAR PPAVTNTYAGRAMSLGSGEERPDVNLDDFDPELFEGVDNEWIEVIKGSEGRIAIKSIP TAYEILVWLPGFSLDNITIATRGHRTIHIVADQWDEGDHAQWDIKLGEDANLRSVNAK FTGKELRVTVARQIPEWQLARDRQQRSNRSIASASTSRSTPAISGPSITSSKVLSPLE RAAATSRV L198_05265 MQVRLRGPQGVSTLELDPESTVHHLRALVFAATHIPPPQQHIKY GYPPKPLPDNTPLLSSIPITNGEQFIVSAVPAAITSEPAPPMIPAPKIEGPDSVALPG RDAGYLQLRVVPDDNSCLFSATAVVFEGGIDAAQRLRTVVAEAIRADPETYSQVMLGM PRDEYIRRILKPETWGGAIELSIFAKQRVASTTSSAILIPSLATRLKLLHSMSLPVDA TGLARTNTTLDYDAITLSPLPVSPPDFHTSVFPSVDQTILLTADNLVAQLRARHYYTD TSNFDLRCGVCKQGLKGEKGATEHAKKTGRECDSGQVAALLIADRR L198_05266 MPGSNSQPSRSRPAPRMNPLWYTYASATLLAAAVLGNILRWSFL EWQDPYHCSAMLNTGKWLDPGTYTNWQPEGCFQQPLSAQSWQQCLASSALYTQSSLRD SYSEKRTALFVGDSTVRQLYFAAARKIGKTSKAWESEGEKHTDRTLLVADPSGGPSLE LDFWWDPYLNSTKTTSLIGSGHTDPTSLLVMGSGLWYLRNPSSGGLAAWGGMIHDTFE HLKLNQGSPRTALLNPWDDKLLGSGVTLPGLLPNPKVADHGRDFERDVDARAFPPSSS GASDFSISDAIVVLPIPIPVQARLSASRAESIFHTDVEAMNADLYARLTHPDPPPIII PSVFNQLLVDEETEDGLHFSDRIMNKQAELLLGWRCNDVMRDEGATGTCCKRYDWVTP IQGLILVIMVLYAPLSILLAPRLHPKSPIHNYLPSANTAPALSTFGLAMGYLFLADRT HVFQKEQKDFDAWVFGVITLIALIVGLGTLKNSGKDLGFLNRDITDEWKGWMQIAILI YHFFGASKISGIYNPIRVLVASYLFMTGYGHFFFYYKKANFGFQRVAMVLVRLNLLSV VLPYTMNTDYVFYYFAPLVSWWYMIIYTTMSIGSKYNERPAFLLSKLFACAGAVTLFM HYTSLMGSVFQVLNAVFKIEWSAKEWSFRVTLDLFIVWAGMLCAYAYIKFKEYQLADR PWFNNARAGVLAGSALSMVWYFWFELHLANKFVYNGYHPVVCVVPILAFVFLRNASPT LRSCTSTVFCFIGQCSLETFILQFHGWLASDTKAILLVVPTTKWRPVNLVVSTVCFIW LSYRVSGATGEITEWLIGKKKSLPPPATASGPGPSSGQAGPSTATEAVEAIVEGPIDG AKGGVPESIPMMNHPKGESGDRGEQVLESRRESWPHWMAATAASLSNRTAEGYAPSDR AWKDQTVLSVARNIGDLAQRHTSVKLGLVILGLWVLNWVY L198_05267 MPPPGRPSSSPFPLPTQPLRRTRQSLAADPHVPSSSPVRRATRS GRRRPRDELEEEDVVPPSDDDEYEEWRDRRAAKKAREDSVGASSPTHANRHRQLAQAK SLPTRPQPKKPDKDSTQAVRATPSRPRVYINLFSPDRPPSPSESGPSSPSSGETTPTL RLNLAESETSGRSPVNRPPTKPPAPVANAEAWDGDDDELDLLPSRPRRPTINRGRPSL PDRIEALRPKSPAILASDNRLSPEVSARYTKDTAEEEGISPARSTGTFGPRSEPPRLD ALGVLRNGAGETMIPAHFQNAMSQTSADDDQSLLGNQKGISLGKTPSTAARHSQSPRS AASPGRSREDAVGMRALGEKTTESRPASPWAPDSSRRLSLVRDDRLSEEPEKQAIAPV TPSSIEQDESQLTPITPSQPKPQHSLPVHSAHVLDYDPPFQDWPSPSPVESPLQERQV VPSYRVHTPDLLQYQSQVHSPAPILRDSSPEADLNTLLEETATRSMPARVPTPDPTPA PAPAPVDAALQQFRTARTFRTRTNVQLHPYTKERQIYEAMLRKGGIRAKQDLQRAQAE NRRREEQASRGDKDDALVSRESEASVSESDEAEDAIGNGTGERIVIGPGASPSRPLRP GRVPLPLKDADLDEYLMTFGVVPDVDDMDETTSRKLQSIARQRIRKAKDDEKKRRQEE RQNRRFQKTLKELSLKESREKRRLEKLKEQEMRLKKQQVREERSRRLQQELEAKRIAT EQKRKERQEKRRREEEIDGDTERFLNGLRGDSVEGVGEDGDADSEDARRDEEFELFME GLRGGDEAEVVSKTKRAKASKNSTNKRTPGGIKTYGHRDKGPTRIPSSSDFDDIALEA GPLHVSRPHRSHSPYRSPSPPVSYRSNQVDFDMDAQGYDSDHGPDLPALPPSYSPGPE FAEPFRQSSHISPPRRPALGGDSPVDDANDDSEVEGSDGSDSEEVSHDDPRRRIAGRM MPARMLRKLEQEAAQKERERQERKRRDLREREIREADGTIPVGPGRAVVRRQKGQETF DDIAKLFSQEHESDGDGQPSNRPKGDSGDEGRPILVYDSDSSQAYEDNAAEQPLARLH RGDFAGIVAGRSRVKAAAQKAGKRKTDGRRSKAPERPAIGLVRQKGGNNAGRGDRRSK EPRQTRLDFPNVGEAEARSRNKRKRRNHDQRPAIRLDDDVIFAADDFAFDSGNDDPAP TSPHPTRVARATERVNPTTSNVSNTTAPSLDVGIGKARSWANFDRFSTDFGISPLPAG LFCDPESIPGSGRLASLVTFLRRSGNQTGVMPGTVKEFGIALAADMSPAAVEEVIDIV FDATHRQLLALANRSTSTEVPLGPLIFLSSYVSGHEQGSELVSLRESLLRCVEEMLAK LDGVDIAQSSSNQLAKDSLLNIQWALFELVCRACAGTAESTVNATLVQECTFALLRQL LLNGFDLTIRPLKAIMAGESDSPEIKDASITLWISILHSTSAWDSCAQSSHDTLFLGA FNRAIDIVFPLDRTGPIAAERIWYLVFGLCALSQFNEKGEINETFNAVPRWTLVKRAV SLIKVVFDEDAEKRAHPQTLRGRDRYIKAMMARCVKLSAVWKWYFEQDSFSLVNRDLG NIFKDRQYSNLPTEPPVDYPEFITHYDMSLSASEDTRHETAFDLYLRLVCVAASDIIS GAESLAEAQRAEKDVQRLAMSTIPISSVKFNRILPPGPRELAQLTNRYSTMIAACYFS PSLLGYLLANSKNWSPFAQADYESREVCIRGLMYLAVACRHHDQPLDYVVERLAEILQ ILQEELEGHAKGILPPQAAKKPEIENTMVLIVVCFKEMIKHHSFDVEEQSKPVYPDPS LLHESWTLRIFDFDLANDLKCGFEVISTIQAFLDARAKVLPDSVRRRRAAKESVQQQD SFDEFGSMGIDFTAADVLALGGEEAEPDPNEESDRKFVEIIENVISPKIYQLLSDMLP FVVDGEIDKHRPEERHLFIGKLTKCWSDCAAVLVVEHVKLDWNTFISSHGRQSWTRLG DEQGRVQVGLHFMLNVLELDPSSFTEHGDDFVALLFQSIGTDKLTIEHKYAGTLLNLA RASEHLLLIDVCTNNTLDKNPDRTEFMENRGQILQSESTMNGRHQD L198_05268 MFALKAPRPRSLARLCRALATTAPRPQLTRPAIDTPASLWNFTE EEHMLREAVRRFAQDLIAPKVQEMDENELMSPDIIQGLFDNGLMGIETSADHSGSECS FTSAIIAVEELARVDPSVAVLCDVHNTLVNTVLRLYGSPEIQEKWLPDLATSKVGSFC LSEANSGSDAFGLQTTAKLDSSGDFYVLNGSKMWISNSGEADTFLVFANVDPSKGYKG ITCFIMSKDMGVEIAKKEKKLGIRASSTCVLNFDDVKIPKENVVGEVGKGYKIAIEIL NEGRIGIAAQMIGLAQGAFDKSLEYAYQRKQFGKAVGEFQGMGFQFAEAATEIEAARL LTYNAARLKEEGRPFTKEAAMAKYYASVMAQKVSGSAIEWAGGQGFVRETGLEKFWRD SKIGAIYEGTSNIQLETIAKFLRKEYQT L198_05269 MSEYLLAINCGSSSIKGKLFQVPQFDLLAHLAVSNISSADEKVK INIDWEEGKGKSSEEEGEYGDRVHYASLVPTLLDHLTDTSNESHLKKETIKYVCHRVV HGGTHEKGIRVSKGHEDGLKDMDTLSEFAPLHNHRAVLAVKSCLDALPDHTSLLLFDT IFHQTIPEEAYTYALPPPDKPLGMPLRKYGFHGLSYASIVNSLSKHLNKPEDKVNIVV AHLGSGASACCIKDGKSIDTSMGLTPLEGLIGGTRSGTIDPTAIFHHTSDAGAAANVG DYQVSRAEVVLNKKSGLQALAGTTNFGHIIQNLDPSSASSEEDHKKAILAYGVYLDRL LNYVSQYLFKLLSTTPLEEIDGIVFSGGIGEKGAKLREDVLKRFEWLGVEVDAKKNEA KEGGTVREITAEKSKLQGWVVETDEEGWMVKMAKDEFGL L198_05270 MPPSVYTSPRTDPALPRASVFDYLFPRDNTFPFYPAPRTDPRRS AFVDALTGRSLTRAQVGEHALALAGGLKRLGLGAGDVACIFGMNSIEWVNALLGCQAL GVVTSPANYAYTPTELLHQLKDSTSKAVLVQPELIPILHEALKLDPALGIPVSRVILI CTKQQKPADLQKLKCTEEIREYGEGVDGRHQWKEGDEVNTAYLCYSSGTTGKAKGVET SHHNMTSQIQAVHCSIEKMTEQDVILGILPFSHIYGLTVNIHHALTVDTSVVVLPRFD EYKVTFGVVVPPLILVLLHSANVPKFDISTIRGLQSGAAPLSADLIQAFRTKFPWIGI TQGYGLTETTPVAAVMTLDEAKGHQGGTGKLIPTYQARLVDAESGKDVPKGERGELWL RGPSVMKGYWRNAEATKAAFQDGFFKTGDVAVIDEQGYISIVDRVKELVKYKGFQVPP AELEALLLTHSEVMDVAVIGIYSKAQATELPRAYVVPKKGLDSLSPSAREQLSKEIHD WAASKTANHKRLRGGVILIDVIPKSPSGKILRKDLRVLAAKEEEQEVVAGRKAKL L198_05271 MPSDNPDESQPLLPLHAPSTPTASSSRRRHGSGLQARRYMPFSS DEEQRNRLAAEYRDGSDTEEDEDEDEEQRIGKRLDKGKGRALPEDEEPKPTDDSPPPA DTPPEPREITIIFSNAPHPNLSLMLSHSTSIAQLKALIRSHVSELQERGLRLIHSGRL LSDGVRLVPWVETMESRIKRQAEGGLGLEDVVRGVREIGEGGGGKKGKEERKLYVHCI VGGNEGPQRQQETVEAAAMPRRRGFDVLLDTGFSREDVAQMRRQFYESRGEEVPDDMD TGDTNDEHARALEEQWIEGDMTAETAATSTEGLYTAILHGLLFGFLFPLLSWFFLREG PLPNFFDADAEAIAEFRQRAESGHAEGASNAEEYGGGATGEAGTTAPATAAEEVVAAQ VDEMRQISARVAANFSVGGLSVPTHVFGKRMKMGIVIGTLLNFAFGALRMLN L198_05272 MRGEHCVLGASALTFVSVILLIFAHIGQISSGPLVKSIYMAEVN VAAYGNGLAGATNTSAGGLYDSKDEDMGKFKGLRQFYRFGMYNACGYQKDAASGICNS STFAYPMEPLGSLIGDVPPQFRIQTVNIIPSSTFKQNGYNHAMTRAASALIFVGSVGV ALAFIFGVIKFRLTFFVASICAGVGAFLLMIGAALWTAVVAKDAWLEKVTVQHGYKLG IYVTAGPSLYLVWVAFAMTALSCLPYVIACCTYRK L198_05273 MKAPTQKNNKRASYSPYPPADDKPIKPPPLPRTQSVASSSRASQ PPTPSAASDAQSYKVARAISSCTRCRTRKQKCDGKLPACTSCDRAGVECIGFDAISKT NISRNYLHQLEEEVAVLRAQISALSSVDPIGERKRNIAANSSHAVSNYFPEFPGENGP SRDGRSPRSPFASPVLPSNNGHQQRRYSDFPYPSTPISADPPRSPFTSSRPHPTGQPA SLHATSLTRMVHDAALRTGHAANSGITSGSNASGSDRGSTHGGTIDSPMSAELYDHHI SLETLPTPTSAIPRLPPSASGPVTLSVSSGGKPRRKVTIPPLPPQGAVEKLVAAYVDF VGVTGPIIHIPTLGRQLSNIRGGLDVEESDIFVVMMVLALSTMASSRFVDPPDDLRAC SEAFHAEAIKHLDAVFEGQSYVGLQAILLLVWYSLLNPDKGSIWFLIGLATRTCVDMG YHNEHNIPVDQLDALELDMRRRLFWCTYKMDRLLSQSLGRPPSIPDGFINVPLPSDLH DIDIHSGHYGPMTGEPCSYKAVFIHTTKLRQLQSEVLFNTYGVHSSTGRLPSQEWVDD CYERLQDWLKNAPEPRGAVSTEGYALSYHNSCLLLFRPSPGCPRPGRKALSIVLSSSG YNIRIYRRMQLNNRISWLWMTSHFSFMAGLSFLYAFSNLYSLGGGEDVPTIEDAMMTI ESCLGVLEFLAPRVPSASACHDTMRTLSQAIFKQLGEFNPPPVSNTSGSPAQRTNFRS VPISSSREDPLPNEVFPAPLPPVTMPYEMSLLDNLFRNPMASHNKASDYTSNKQCGKR AHVGVDGYSHAPGGMPPRAFHSAENGHHAPYTPTSTNGVSSSIGDPNTLMGTFGMAAH INMSPVATSPVFPASDGPSLNTLANTAAAAAASAGSQSTDERISAIIHGRPNNGTGNG TAFGENDGAFDVFSFLMDEEGGLGSNGYSEVPADFSLWG L198_05274 MSSPEPQDATQPATGSPSEFLQNIVGKRVKVRIGSGVDYTGLLT CLDGYMNVALENTEEWAGGVKTADYGDCFLRGNNVLYISALEEI L198_05275 MRFATYVLAASAAVQSAMAGVYITSPVNDTAAIGGQVLEVKWAD NGETPTVADIGPCDVSIYTGSVNNQIKLQNLAASVDVSKASSISATIDPSIGQDDSHY FVRFTSLSLKDSTNSQYPYEAFSSMFSIKSMTGAFNATVFAAIDASSSSSSAVSSSGK ASSTASGSVAADVASATKSSSTSSSTSSSTASSSAALTRVGGAPMALALTVVGAASYF IL L198_05276 MSARALANNARVLARTASNRLYATKASVPPKSIDDSTGALDYKL HRHGKRLPHLVTQHPRYPSAEEAVTNILYNTPPPSAEPFKRHRLNCLVQNEPGVLSRV SGILAGRGFNIDSLVVCQTEIRDLSRMCIVLKGQDGVIEQARRQLEDLVPVWAVLDYT KTSCVERELLLAKVSILGPEFAEAQLLGPLPDASFEHAVENQSHDHPPSFVTAQGEQT DGKYQREEALARSFESGGAPSSGPLYPTRSGQGQDMSASEALIAKNLHLTAIKTLADQ FGGRVVDVAENSCIVELTAKSSRVDSFLSLMRPFGVLEAARSGVMVLPRTPVPRYNEE DDIAEKEEIDVSMLPPG L198_05277 MSTAAKGSKEKPIASLLAGATAGGVEAFITFPLESVKTQLQFGA LNGDKPMSPYTALKTTIQQRGVKGLYAGVSAVVIGNAAKAGVRFTTYDQFKSLLKDDE GKLTAPRSMLAGLGAGMSEAVIAVTPSETIKTKMIEDSKLAQPRYRGLVHGVQTIIKE EGYLGIYRGVGPVMLRQGANSAVRFSSYSTLKQLAQGSVVPGEDMPGWMTFGIGAAAG IVTVYSTMPFDVVKTRMQSIHAKQEYRNALHCAARIFKEEGIFKFWGGTVPRLGRLVM SGGIIFTVYEKAYPVVASVL L198_05278 MQTETTPQALSSDNSLLGRGWTQVASFDELNEDEYEEEEEGMDT SSPFLRLGNQIFKGDITPLIGDEIICGHVRNHEDIHAPSHPPVMSTNNRITFQPITLH AKNRAPIHAPSAEASTSDYAVAGPGPSTAAAEGAVPAPPKRKGRHRRVIERPEDLNTF DLEAMGKHQTVELGPAVMEQLGIPPGTDGRGAILKKRDLERVLLGIPESKRGRKKKQP VPEPTGI L198_05279 MDKGTYKVPLTSPKIVGSYSSRGYREQEDASSVHALQLDPAELQ QSLAKSKNPVDWNPEVAGSNFLASQAGYFGIFDGHGGPQVSSYVASKLQSLIESAEPS SIASVVEWTKEKHGGYFKRWRGGALGRWTRFAQEGQAASVENGDKMTLEERLTLAFLQ ADKEILEDVNGSERCGSTASVVLLHSLDGPAQPYWGAKKVAVTIGHCGDTRALLCIRA TGQVLALTEKHHAESRVEASRLRRMGAGLLVSDSYGESRWMGAVENTRGFGDGQWKPS GVTAEPEVSTKVIDGDESCYIVLATDGITALMSDQEIIDLARRSLDPSRAAKTIVHFA EDLGASDNCTCVVVPLAGWGKVGGEDKTAERREYRRKNAEGMNTRMQRM L198_05280 MIKFILVQNRQGKTRLSKWYAPYDDDEKVRLRGEVHRLIAPRDQ KYQSNFVEFRDDKVIYRRYAGLFFCVCVDSNDNELAYLEAIHLFVEVYAILDEVFLAG EIEETSKQVVLDRLDYLEKLE L198_05281 MAPQYAHLPSGNPPPASHSPRSSSSTEFQDTLDQEPFDEEKGQP FRDDPLIEGSGEDYDDGQGFATDARRLRPRRKSRKILAVLITIIVFAGAIGGLAAAGY SAPSFSVKGGIKQITMDHVFNGTFAAYSKQVDWVKEAEDGTFSHINKEGNIVLNTVRN MTEDTLLVDSSLVLDTEGNKLHWQSWALSADMEYVLFQTDHVKQWRHSSFGNYWVHRR SDSVTFPVAAPTKKPTISHCTWSPVGHALVFVSKNDVYLITESEMASSAPESIRITDD GSHTVFNGVPDWVYEEEVFESDTALWWSPDAKSIAFLRSDESKVKDYKLQYYNPSNNA FEVHQYQTELDMKYPKPGTPNPTVSVYTYTLSTRSKQHLSWAGEMPLDDRILVEIGWV ADNALMVKEIDRSARQGNVIVFEDGETKGTIVRLLGKDGEEGDDGWIDHGQNVVPVKG SLPGYLDIVPNQGYNHIAFFAPVNASEPIWITSGEWEVTQISGVNLEKGLIYFTAATP SIDRHLYSAPLPMSAAGEYQEMLTALTDTKSSGYFEATFSPQAGYYVLGYRGPEVPWQ RLIEAGSGEENANVLLEGNAELNKTISEYLKPIVTRTTINSDGYELNMLEILPPNLDI TGRRKYPVLVRVYGGPGSQMVSNRFERDWHSYLAASQRYIIVMIDGRGTGNKGRALRN PVRDNLGNYEVADQTAAAKEMLKRPYVDRSRIGIWGWSYGGYMTCKTLEAETGVFTLG MAVAPVTSWLYYDSIYTERYMSTPALNEEGYVSSAVNNVTAFSGDKVDFIWAHGSGDD NVHYANSASLLDKLTQEQVRGWRFRMFTDSNHSMDKRNAYREVYEWMNDFLSEKWGKG GTIHH L198_05282 MCVAKPHSSDHAKDKSDVVIQLFAQLLLFMYIRRRKIGLLGHLV RPSSPSFCDLAALHLDPNVARFHLGHQPDSTALERVYYTGRSDVNLKFDPRDRRLPRQ DDNVLTRGSPKFSISSNFPSFSSSSSERSSTSIV L198_05284 MPAPLNSPPRGQRSSSNGGLLHSIIDTIPTPITSLFTSPKVGTV LLEGDESPFGEGKGLDGGLVPKRVELRVGGMTCGACVASIESSLKQPGICTVQISLLA ERGVVEYDPSFIRDNGQTWTDDLIAEEVEDIGFEATVVEKSEVEEVELRVYGLENPSV VTNLLERTGSIAGVHSAICPPPYTHLALSHSPLLASLRVIVDTLSAAFPALNFLPVSS NNDSQIASLQKHKEAALWRRTFFTSLFFAVPVFVIGMLAMYLPTWLMGWTMWKIVTGV YLGDLVCLGLTIPVQCWLARRFYTNAWKSVKHGSATMDVLVVLGTSSAFSYSVCAMFF AMFSDDDEYRPQTFFDTSTMLITFVSLGRFMENVAKGKTSAALTDLLSLTPSSATIYV DPPTEGELPNSTDPTRKIPTELVEVGDVVLLVPGEKIPADGNVLTGSTSVDESMVTGE ALPITKKANSQVIGGTVNGLGTITFRVTRAGADTALSQIVKLVEDAQTSKAPIQAFAD RIAGIFVPIVITLSLLTFAGWMVISLCTSSDNLPGAFHSPGVGKFGVCLKLCISVVVV ACPCALGLSTPTAVMVGTGVGAKNGILIKGGKALEACKDVKRVVLDKTGTVTEGKMAL TAVAWVGKDVLGQSALDTAATLSMTTAASPLQRHTVLSLLALAEARSEHPLGVAVAAD GREVLSKAGLSPPNGEVVELESHTGQGLEALVKLSGGAVQERIRVGKAGFVLDSDSKS SEAFTENQPTMPEEILEFEQEQMNLARTVIFVSIVRPTGSVPVLALALSDSPKATSAQ AIRAFKAMGIKVTMLTGDATATAQAIARQVGIDEDEVYSGVSPQGKARIVKDLGEMGG GVAMVGDGINDSPALVAASLGIALASGTSVAMEAADVVLMRSDLLDVVAAIDLGQQIF KKIKANLVWACCYNVLMIPLAMGVLLPWGIHLHPMMAAAAMASSSVSVVMSSLTLRWW TRPISSISPKDSYQGAQSGYTSLILDAKDAVLNAVDGIVQFAGNKLPILQRFTGGRRG SAMSTAEYEAIPLDRAHTPGSYEV L198_05285 MSQVPPRTTPTPMHEMPAPPTASLETPADYKKTFRDRKTVSKFA DPCEEARKASLLCLEKTHYNRSECLDFFTAYKNCKSNWMAQRREDRVKGRDFE L198_05286 MPADKKIVKKGAHPYRKPRDPAAQSDRPDNGHKVLPTEARDAAG LPGMSKLKGSIRQTKRLLAKDNLDPGLRVNTQRRLASLEADLAAAERRELEKKNGAKY HKVKFFERQKLLRLIKRFEKKLSGGEDEKQLKEKKRKRLEEELLDTRVMLNYILHFPN TQKYIAIFPSAQPSKPDDESEPKLTLPPLLHPVPSPSDIESLDKPARRRYDLLLETAK LMEEGKLKSEPEKDLKKGQQDGVVVGLGAGVEIGVGKGEVAAKEVEEDDFFESGDDE L198_05287 MRTSSLVPALLLASSLVYAHRHDARSRSRHMRRAKREEVASSGR WSVYETSTWIADNAAATPTTQASETVWVTEYVYDDDIAGETASTSASSVSQTASSSAL EAVALAVSDSSSLAVSDSSLAASATQTNSSAASASITDAPTGTVSGDIVELAAVSATT SLATAQIQNELLALGYTISFDAELSLGFTVEGPTATSGAATASATATTSSAATGSSGT TVGGEKKVFAHFMVGIVSTYTQSDWLADMQLAKDAGIDGFALNIGVDSYSEGQLDLAY AACETLSEFNVFISFDFNWYTLANVTGVADMLKRFKDSSAQYRVDGKPFVSSFIGDGF DWSSTASQVGEELYAVPFFQATEDNANDAGVSGLFSWAAWPGQLDNVPVNEDMSTSRD IEYLDLLEASNKTYMMPVSSWFSTHFGKEVSYSKNWVFKGESLWKDRWEQVLAIGNRV NFVEIVTWNDYGESHHIGPFNTPHTDDSSSLWASGLDHTAMMDFAIPYIKAFKAGKSE PVIEQEMLVYWHRPHLKSASCDDTDNCLGRPTGWDYLEDTVFVSTMTQWGGVIKVTSG NSLPVIRYVEQGVQMVEVPMGVGAQSFEFVSFKGGFGRTTSNVTVTDECWNGIYNYNY NAGSIKL L198_05288 MSRFSPLRPLASLATAGPSRTIPRRAFGMASSLPGMGFGDEAAN PVIRDALVPIVVEQTARGERSYDIYSRLLRERVIFLGPVNSQDSTLLTAQLLFLEAED PKRPIKMYINSPGGVVTSGLAIYDTMQYISPPVHTFCLGQAASMGSLLLGGGAPGHRY ALKNSSVMIHQPSGGAQGQATDIALHAKEILRIRASLTDIYADHCTLAGEEKAAARER FEKALERDYFMTAEEAVEFGIVDKIVTRRGADVESE L198_05289 MPLSHHATVTLSIPPTRQGPKRAETVQGRLWVTECRVIFAAHSM FSTTQSSAASSDPPGYDATPALTSFEIRHTSIQSCTYNLPTFSANYILLTFLPAPGSS LPDPGTGQSLELKIVVGQGSGHRLWKIIEGERSRAEERGTEADNEALPVYEPFGSVPR PL L198_05290 MSNALPDKHVVLRYPPGAGAGASEVLDVEETYQLLELPPEILKQ VEALDGAEPFPLTIKGRPSDDATICTPNATFQLRTIGISNSLLVCRSAAEDPDVFLRR DVGEEAKETLHISDICHQVFECVPIAPNLERIRAVLRPSAWEGMDSSLGKRKRDEKNG KVVKRWTKEQLKSVVQASDRELEDGLRERNVVEVDGKLLLLPAANLKDLLVLLISLLH INSKGSDTTAPTKTLIQTLEKEYDILPSLSSPFLGLFGHVEGEMWRGDMKKIVKEVGM GILTRIGRNKKQDEFVDEWKKEVGDSWEDLVDIKLLEGEYLANPPPASALAFGTRCPL LTYFPISSLPLQPAPRFSELFLTRTRWRPDEMTPFLKGLTRDGDKKERDKLVVKFVRV VKERDGVWWYPRRA L198_05291 MSILARSTLAAARTVRAAPRQVRAAHFENVVDHTLPTSVNKYSL AAKMVVFTIAGFGTPFLGAWWQLVGKK L198_05292 MFSRTLFNTSRIARVARTPPRPIAFTRTHAVPSFSRSYATPSPS PSSSTDPNLTKAQELIESGTRALEDQDLSAAREFYQQSVDVRETPEGWYNLANCEYHL QNKEGAIAAWEKSIALQPFPDAHTNLASAYIFDKPPKPALAIKHLTAALALAPEDPEI AFNLAAVLESAGKLDTALTLYQKAEAGGIDRAAQNVRNVSAKLLGEKAKQQGPQ L198_05293 MDVELYSQEEEPSFRVEETDPMEVVKSQSDTRSQHPQLYASLAE YSLQGPRTFPIVQFPDDDSTPTFAPHKSIHHHQRRTTPPVPDCRPGPSRAGPSSDFVM SAAPSMSRAGSQSMSRTSSQSMSHMGSNASQTAPFSRVSIDRALSERPRRPSPHLHEI QPPSRRLSSHQMLLLTPFGGQVPMGTLPGLPLKRGGAGMSRGSPSLGTAEGVSRSGSR SERPSVPPGLHPRREGRSQGSRSSGTGVGIGVGAVDLGRDVTVAPLVNLPLRVRQSLG AIGSVTHHSPLASAPMATITSQGSSGESRSQEEREVSSSAFMSRDEVDMARHRKASAS TPYRHSATSGKRAIEHSEPQVMPTTVAMSRFNSLPVLTLRELEALIDKDGELGIQRGG HWAWVSREVKVDEHGNEVCVGSISKSVDTDASIRRDKFPQGPVSQRPILGAMTSPSFS DPFPSRFGANNTQAFIPIATSTDYHYSPTYNHRRMSEAPVSPTLEGNNSRRPSMPVSL AGSARASLAGYTPRQSINLASRLQSVSVGPLENQALKSRKSSLASTSSKQGTELASPG QSPGGTPVTISPPPRPRITRYKSSPARSSGLGLNLTLVQQAEATCDATSRRGSATDEM GDRRMSTVVVGHWAEVDFIDPVAATVALSPSAAPVPLGSFPRPPAPPSEASSGASPTT ATKVLAPSRGSITPIDSDHSLAIHNAHHAHLIDWFSEFSFRGRDRFESIDSALPLMPG GGGTRLSVPIQGAGDGYHPSRGASVTSTDSRPSGLGGGSEVEGEMPRRGSLGVGAFAR LRRGISRKSSEGIGEDKLLHREGRHWSDRRGSWAESWGKR L198_05294 MSEARAHPNDGSGEYADQLGTERELPSLPAVDTSKEAWAYVAAS FVMEMLLWGPIFASAVYLKHYAALPQFASSSETQISFVGTLPILFGYSLGLPLLYFYN HFPRAMKPSIWVGLALYTVSMLAASFVSNMKLLILFQGVGPGVAAALVAFPIIRWLPE WFDLRKGTAGGIIFAGGGVGGVYMPFLEEALINHLGYNWSLRITAILTAVLGGIAIFF VNPRVPISPRAHVVRMPMPPFFDTFMRWGFFGTFFCCLLQGFGYFNVGLFLPRFSDSL GGDAGAGLLAAFNVSCIGAQLIWGLITDKMRPAQAMAISSVLGTILTLTFWGIGGSKG ISLLAPFATLFGLATGGFTSMWFQSAHEIAGPGQGRQSLLSVGKYLDLTSVDSPWL L198_05295 MSSCSGNPTNCGFCGTTQADCAKTEVQGCTTKSADCTVCNGHGC AAVQCTGNTATCPTCKDSFSSCRKVQFSATEGIEPARRPAPSA L198_05296 MAAVTSASAYPPLASSTSPLDYGYTSSVSPPLQGQDGRVTTPLA APPPPPLHMSSHRHVPGPERQIRYAGADHTLGPTFSEEEDGDDSAFIAAKMAALGLDP NGNPYNQNGYSGATRAPKERRSRTPVVSRNHEPVNYQQQQVLIDLLSQQASPSHIRES MALLELQQAQHAATDRHYQTQMLAQQHARSAAQRQAEEYQRDLYIQQQAQKREMERLA IQREATKRQFLQQQQEQQMLKLRDQRLQQLHLQQQLAALQTDAYQAQVQAQRQRSALG AQMTANLQARQDRAAQGHWGMGMDSADLKSRFESAPQSAISPTSEDRGRFESAAYYSG YEAPSAVSGSPTSPSWRSSESSSPTKSVSAEGPVPAVKPGGRFARARAALEADGKSSY GTLSAALSKRSSSEDHISAPAEVSIPPADVSHTTPASPISKRVPIALGIGRPVVAPPK IIQSGEKYTPRAFSLPQGPQGGRGAQAEGRSASSPAGQVKRVVVTVARQPTGPPGDVK DLGDKNFQARIRSQAVRNLGMLGRRTDFPANDLVVV L198_05297 MIKIAQLLACALLLPALVQGLAIPEGQAGLKRTNDKVEYVRNLN AGVEVAHKRDILARHKNNDNTATDTAAAAVDTAAAASANTTEVAADASTNSTSTDVAG NDAAASATGVSAATKSAKNAQAQATTATGAAAASDTSTTGNATNDKAAKKAAQAAAQA AAQESTAAENGAATAAAGTDSAAAAAATDSSAAAGTDARGATSTASSGGDLLSQLTAQ VLSFNFAIITLTPLSLLQGGDGAAGGAAGGAGAALGDVANDVKGLLGSL L198_05298 MSGQAKTTQNAAAGPSNGAAPQEKKELPKLGALEDDDEFEDFPA TDYGGNVQDALKKSGAGPNDKLWEDNWDDDDVDDDFTKQLRTAIQERTNAPGDEAMKE L198_05299 MSLPYLTAKRYLEADRQTSLDTVRRELNAVVNALPTQIQPTERE AFLDAILHDLKVEPEAAWHSWPEDVHLLALTAIKFLGRNPVGSETLLSAQHISILVYH SCLPVPEPLAQTPASSSPCSTTAREALKILANLLVLSAAGRTKFFRNGGADAVSKALA QPKRDEKDEEAHVERIFLLGRLGFLVCLERPDAAKGMVEADVIDALVQHLMTLPVVPT HYMALSELLKLTNALLSVYPYKSVDKSGQDPWDEQFDIILYPLLHLFYEIPAVELSPP LTHVINALLSVPFLPRLLPTWTCVPDTTIEPLSSPTSTVRTLLHKLSNLASPSSPRKQ LAPPRSRSPALGDSYSASSSPRASLSGGRPSGSGSSQHKTAFPARLLKVLDTFFSTYL PYPKKPDDKLPQGLVPDEVLPPVLLLLTRAAVGCDTMRVWMKGTLLPPTLDRAPEAGP IEKRKGLLGNILRLMGCGGHTLSKVSAGEFMWAVCNGDASDLSAEIGYGNAAGVLLEK GFSGPPPAKITELWESSLRPISISGQPVSHPPDASSPITIQPATPIEPRHPITGLRDR DDKQDMNEMSDEEKEREAERLFALFDRMERNPVISMKSDEGQAKGIQDIMRDKLEKGE LEAWQGKDDEAERRKQEEQEQIDETEALREIQQYKQRTGRS L198_05300 MSEHEKVGNTEEIPTKESSLENKVEGEAPAAAAAEPKKKREYKE MSHENTGDLHAKVDMNTITFTSADLYDKDKVDIEHVVMEEVLQLLQCTESGLTQAEAT DRIGIFGPNKLEEKSENAFLQFLSFMWNPLSWVMEGAALVAIALSNGGGDPPDWQDFV GIVLLLFINSTIGFIEERNAGNAVKALMDSLAPKARVKRDGNWAEIESSELVPGDLVA FKHGDICAADCRLVDAIDVSMDQAALTGESLPVGKKLGDECFSGSTCKQGEAEGIVIA TGPNTFFGRAATLVGQDNDQTGHLQMVLARIGTFCLVSIGIFVLLEILILYADFRYSY RRGLNNILVLLIGGIPIAMPTVLSVTLAVGAQQLAKHKAIVTRITAIEELAGVTILCS DKTGTLTTNKLTIDKENVKCYSKWDVEGVCLLAAYASRVENQDAIDGCVVGTLPDPAS ARAGIDLLDFKPFNPVDKRTEITYRDNHDGGKLKRATKGMTGIIIELCSRGKTNELED QLEADVEEFARRGLRALAVAYEDVNGSSHEDEGNGFELVGLLSIFDPPRSDTKQTIDD AMALGVKVKMVTGDQLAIAKETGRRLGLGDHMYPAKVLKDGPEAGGKHANLDEMIMDA DGFAGVFPEHKFEIVKRIQNLGHLCAMTGDGANDAPALSRANVGIAVEGATDAARGAA DIVLTEPGLSTIVHAIHGSRVIFQRMRNYAIYACAVTIRIVVCFAIMAFVWQFDFPSF MVLIIAVLNDGTIMTLSLDRVLPSTTPDSWDLAEVFAYGIGYGFYLSASTIALFAVMN ETTWFEDRFGVEAYKDNSYGGHMVIYLQVAIISQALIFVTRSHGPSWTERPSFALMGA FCLAQLVSSIIAGFGDWGFTQVHSISGGWIGIVWIWNIVWYFPLDLVKFAMKKTIIAA LQKRKARQTAVATPDEALHRTPSRHESLYSNRTNFLSRAANRLKGGNKISMSQTELQR FSSIQAQQSGAALTRAHSRPAAAA L198_05301 MQSKFGSFVNKAQNALREGQTLATEGSSQFVQSFTLPGESEKAA KILRGFLGRDLADPSHPATALNSIPKAVLQRAKGLAVFTIIKAGFVFSGKAGSGIVVA KLPDGSWSAPSCIATAGVGWGLQIGADMTEVVMVLNSDEAVKAFARGGNVTVGGGISA AAGPLGTGGQVASSLANPAPVFSYSRSKGLFAGLTLDGTILVERKDANKKFYGSSISA TDILAGRVPAPEIASTMYDIIEAAEGIDETGLPEGGYVPTATGEHAPVPSPTTGYTTG PGTAADAASTTSSATGNKTVFDASSTHQ L198_05302 MTVCIVARVQPTTLPEYTSAFYKTWTLRLEGLGARLAGYPVPPD SFADGIFVVQRRTAQAVEVEWEIPKPVLSVSEALGVGMVQGGSQTLCVQDEDGESEIR YTCEEYLGPRPDCWGDVEYRRTSGIGMEGKPPGAFGIHLHRFYVRFLFEQARKRLVGE KRADR L198_05303 MRSSIVSLALLVSLVSSVAALQAGTAPRAEINLISRQDDVSTGD GSDDTGSTDDGSNDDDSFDDGSDDDDGSEDVDSAVESATSSFATGIESITSDVESFGT AGASSAVSAASALTSEAASGASSITSGATSAASVARGAGSSISSVATSVASQAISKAT SAASSAHSVATSLASGASASASDMASSSSANSIQAGRLEMAMGVGAAVILGAGLVFV L198_05304 MPSSTLCLAVLAILSSASALNTFRAPADIVVVVRQDDDVAHGDS FDGDAWKAESGLLGPQEIDRRFDFGGFASSVKDQATSFATGVKSQATDAASSFKSAGT SVASDVKSDATSAASDFQSAGTDIASSVKSAATSGASYVQSAATSGASDIQSAATSGA SDVKSDATSAASDFQSAGTAIASSFQSAGTAFASSVVSGVNAVRGDSSSVTSSAESIG SEIQSLATSAASQAVSVATSAASSAQLGAQSLASSVSSGSGFASPSSAASISRGVQAG TMGLVMSVGAAVVGAGYVLA L198_05305 MKVYALLALLPALAVVAEPVPAPEAPVFQFGKPAVGRANIAEID PRQLSSLTSAAGAAGSLTSQAGSAINSATGGSASDSSSGSATDSASSGSATDSASSGS ESASSAASSVSSGASSGASSAASDASSGASSATSSGGGIVNSLTSQAGSATSAAGSAA GGATSAAGSAAGSAANPVALVGQAGVWTGVMAGVGLVIGAGTVWA L198_05306 MFKLIKDLTRPPEALTPPPVDTPPLQGARQRARSRSRSVSRAGR SSSPAVLGGRAKSPGLSGINPRIGHDLDAGESGWSMAPEFGQEIEEDEDETEPDALRV VELIRALRSEKDAIMSYIMTFSDLLSVPGSFHSRRAFRRHTGFRTLFIVLGENLAWKA MPTEADKEEWEVREVQRKEAIRLAFEVLGWALGDRLGEAHFERQGGYLTVLPVLYHLA PASTGADADILAVLLAHICSNNYSFSNLFQFPTLSSTKDVSGRLGELIVRPSTSGALK LLWAYLNQEGAKGKDKATLGGEELARIVQLAFQSLLAIVQASTPNLFTVASQLPQLSE FLITRLYGPGKKREYGSTFKMDVPQSDQEGVAEWSEPNPPMRGVYLALLRRMLDAGVD QHVTWRLFGLVRDTETFSESPKQSPSYLISSPDPLDTPVPSPLPTPRPRDRGKSNLHI NTEATEEEEDCLNMEVLGLIKQALKSKWPDVFVFKGGVNDRLGGLELPELGRPWVNGH KGFNFSCWLHITKLNQPLTLLHLSQKDAQCPLFRVRILENSQIAITTSLQGAAPISPI DSSSPPLDSEVICDAPDALVPHLQWVHFAVGVRKPRGHELGEVRIFVNGTRVGALRMP YSLPTPQAPPAPVQVRPVAGTPADSIRVSVGKEYQDEEEKKNQGVGREEENEWMLGRL LLLEEAVPEDLVLLMHHLGPRYTGNLQEAMGKFLTYEGATSINIYLHRLAQSASDKRL FTTPSNSILVRAIRSGRVLPEESIIVSLSARDYDPTQDTCVNAAIPHPYRARQLRYGT AKLTGNVRAFRSTCLDESVQAVGGGLVILKMVSMSRTSEELLDTLIVLRDTIKDSWLA SEEMERIHGFDLLAAILRPKAATLLDIACTKVILAMLGINMDKPQLATVHNSVAYRAI GVEFELWSYASDEVVRLYLEHFQHLLCTSKHKRFNILRTFQKSSLVKKLLYALRSGLF NLEVVPDVAEKLKLGLEARWSGEDAIKPVFSYLISSLCQNNMAFSTGFDTPPPYQLPA ALILTAVAELSQDSTRLHKLNRSVALHRLLVILLSSNISTYVVRPCLALLEQAMTTRG LETFSTSFESEGGFALLSRTLPASWDNDIQAVVTRMVLGDDPGKKLRSPQMVGCLLSA MDWLLQAAGDSGESAERPSTIRARSGTGSSIHSLSASQIKASSPAGDFHARLTTLLCH ITSLYKEYSPIRKYLTYKRIELALPNFADFAALSGNVNVDSIKDSRNAAIDLLAAFIN LGKLPKTMSTQIDLIIEQLRTTPPSPKIATSLAMSSSTPQVSSYFGQSYSSKLATSPG IPSSPIRRRPSSDGTSGLQGFTKSRPPTVEKRAPLKRVVTGESILEGGKDKNAAWKMI IIQTQSKRWRELTKSRKEHWQKLSLVEWPRQAAVLRSEHGLWPDDNQQVTWRLDGSEG PLRMRARLERVDNLPESGISRTLHKLRDAIPSVDELSSAVSRLNAAPWEDPETFSENG EGDSFIDVEESGNDKMRKIAKTLQTGDVVEEAHNIVRIVGVDACPGLLILGKKNLYLI DGLVQTGDGEVIDARDAQKDVLSIPSGTLAELDAADQQSYRWSYNDIIENNKRAFLFR DVALELYFSDKRNFLVVFRDKKERQAVVQKIGTKNDNRDAISKSIIGNFVLDTVAKAM DRSEQQLEALQRKWQSREISNFAYLQLLNQYANRTPNDVTQYPIFPWVLADYSSEKLD LSSASSYRDLHFPMGALTQARREEAVERYSATESVGETPFHFGTHYSSSMIVCGYMIR MSPFTEIFLALQGGNFDLADRLFSSIPKAWDSASSDNRGDVRELVPEFYYSPAFLVNL NHHDFGRKQATGDIVDDVALPPWALGDPHLFVHRHREALESEYVSRHLAHWIDLTFGY KQRDPSSFNCFHPLSYRGAVDLENIENEEEKAASTAIIHNFGQTPLQIFKMPHPHRFM AGRSDLPLGVKFGVAEHWQLMFRSILPISETTTPIDDISPPFGPDSKPKVTQKHRLPV PSSSHLSVHYGFTDGSIRVYYQDATAKLAALVEGIYPEHAIFASPTLLFTVSHQGVIS AWRLTISGVGYRKGDVKVQREATLRGHPARVTCLTSNKSWSFLVSGSEDGTAVVWDTN RLRYTRTLCTGKDEPIEFCATDEADGNIVLASRHHVYLFSLNGYPIASMSLADCIASL DGDDASVTSGEDISDVNFTGGISFLNREFLKYGPLFVIGVGARVVLVRCAPGIKDSFS ENEDVKPWQLIAQGSMHRSDDHPGGDCCMVKFIGEILYAAFGITDEKKKYALYQWSLP DGPARHVAESVSHTCMADRCGRNFGLLEPKRHCGGCGGTFCGTHALHVETFTMRYCDS CRQHLAIASAQGILDSRRDTLVPPSVAASRRGSVTQDSRVLRGSPAAASPSRGGSRRG STE L198_05307 MRLTCAAALLLALVTNAKFAPASSTGSSSRSSSTLAFQATSSTS SVLGNPAASSTVPTPPAESTGCVLHGDHYHCEGPVEDSTASSTVPGAPSESTGCVLHV DHYHCEGPAEGYTATATSESTSVPSPTESPNCLWRKMKRTGTVLIVKKSSKLPSHQRT AGNASFTATGHAHGDCSARNLACGAVILDNYNMGLHIAALFIILVTSGLGVLVPLISK WLKKVRLSGARHFGTGIIISTAFIHLLCHGFVMFANECIGELAYESTAPAIAMAAAFI TFSVIFLVLDTLRKRDSALAIEEGMEHKLPPSPDAQHEHEHKHGDACGNRFDAAFKAE QTHQVLLLEAGVIFHSIMIGVTLGAGGGNGWTTLFIVIIFHQMFEGLALGARIALLDW MSRTRAIIMGLAFTFITPIGIAIGIGVRKSFSQNGKASLLSVGILNSISAGILLYTAF KLLSTDFTGGTLRDAKASKIMVALVALTAGLIAMSVLGKWA L198_05308 MIFPQYRQSSHRFFMLFSDLLATALLTGSALSIPLVPRELSPYT SDIEVHSICNATQRRMLQKALSDTYEVTSFAKEYITTNGGDDPIFQQYFGTDTGSYTQ VIGIWDAFLPSNKEGVLLRCDNPDGNCGQEGWRGHWGGDNATSETVICDLSYTDRLFN ENFFMFGYSSARNLRLFGLSVSCDHRFFHVPAVTNGKVDHYAEDYTGILELAEHNSTY AAVDSNALQYFAARKSLLLFIETCG L198_05309 MLLLTALLLFSNLLAAHPFPVNRPTSSGCTYTAYGHTATGYETS DGACRYTIKYGIAERWAESVAPHQNYASEPPSCPQNSGTYTVGSSQSEDCLYATVYVP KSTAPSAGWPVFVWIHGGSFVQGSTSAPGLDGSLLAKNGNMIVIMLQYRLGVFGLLPP TLASTSSDPSLGLKDVILGLKGVNQYIDYVGGNRGQITVGGQSSGASMIRALWGAPAA SGLFRAAILQSDPMSFGFASSDITTQIQSTFYAMSPMSSCTTLDCLKNISASALVTAQ DTLISTVPYTITGVPFSEPLRPTYNTTALPSDPSSTLTNSPSSLTFTPASLPILLTTV KNEGGTAISSIFSTHVPLSNDTYYSTASALIGTSRATSLVSSEYYSLPAINSTSSTAP YGPSGDTFRETFERAVTDGIWKCANVDVANKWASAGGKVWVGEWREGVTYPDNESGYC TGDGIVCHEDDIYPTFGTASDPSSNTSTLESTIFSHWVAFITTLNPNPSSAAKKRSFS FTWSSWWDWSWNGQKAGGSSDFALSPGSSSSHSSSSRSSLHSSSSHAYSSSSSPSPTS TSPSSSATSTATSKWSQYTSESDVFALGGDEQLSGACPAGFWGDSVPFDWQLYD L198_05310 MPPRIGTPIRRTQPPPPPPIPAALPPPPLIPTALLAPNEQRLFL VALVGLVEITKLWDTFLPLFVTDPDPTWTTSLRISGPVTVLAWTGFEVLALYFISLLR IPLLSPSFKQTTLLGAICLAINGLCWLIVEPSTTLGYVNVVGTAALGGEWYWNWFYAS RKWSEPKHIQGVHKIRLLPYSTATLNPLLLTYCIPPDSHQPLHVPIVFNNSIPELLDI VVHPLSGGAPQTKTIYGSSLTRSPARAVKLRITDGTGDDDDEAEELEPEIDPLSALVL QSGKKIVGHPRDIDPASVPSVKPADSLALIPRNLAPTENVLFLKVDQPSVITLRNVVD KRGDRFHLTPRREAVIVSCPSGGKFVEQAKGGKLVLKNDKDQAPELRCVGDEDVVTFE ATGVSPLEVKWEKKRTNANGNTAVEKTGTVEGIEDTLFSDSADPTTRTRVSKSHHVPL RVSHDTPGQSTVQLLSVKDALHNTYTPSGYSAQKAWKVETKKSVKFNCQAPYQLLQNQ SVSIPIEILAPDLNHPSQRLERPLKLTYTFTPTSGGEAQERVMEVKSKKEELVVTEAG SYALAAIEGDCVGSVMEPSRCEVQVVPLPTLDMSVTTLHECAMDVGSTAHLDFTGAPP FKLEYIEQRKGGRPRTISQQFSGHHGSIVLRPEQEGAYTYTFTALSDRRYRNIQVTQP PIKQTVHPLANAELTGKLIKPTLYSCSGDVVGVDVEARGIPPLQLTYLTSHGTHSSNT TISLSLGRTNLQIPVPEALSSSNPSASGKLHINLLSIEDGNGCVRKLESAKSVEVNVK REKPSARLASGGSKQVREGEIVKVPLRLTGERPWNVVYEVDGKQQKVTLNDPNAAIQL KSEGVHKLVKVNDATCPGEILDPSSTFTISYLPRPSLAILPSSALVLAKKSWNHQGLC AGQEDQVGLTFGGQAPFELTYRLGKDGKDLGIRYLKSAQDAGVLHLETDPGTYTYQFI TLSDGNYPSNGLDQKISHQVFARPGGGWIKGGSSGPLCLDGKLESGAVLGLRGKGPWQ VTLGVRKPASAKVDLYTINTAKPEWSLSLPDVLLNDIGRYEITLHDIVDSSSCPMELL DGGEDGTGFRDETRISVEVVESARIVEVDARKQVCVGDHLEFLLQGKAPWTIEYAWLG KEHRVTSGGSRFSRFAEKAGKFEVKSVALRGDQCKRQVEGMVRTVHPLPSARIKSGED DLREGDEPAVFRVYFTGTAPFSFTYTRSEQSGSKWKVVETQTVTDIMEDSYAISSSLP GDYEVTSISDKYCRYPRLN L198_05311 MESYALQNFPPAHSAIHVALFHNVTNAPQIRQRLIKASQYPPGE EGDKARADVDFGFVEGDLLVSKEHLLSAVLATLLYAYPSTSPAPTDPPSLSSPDISSL SLNSEQDRVPKTRSHNLHSEILLLLSPNNNISDSIRRHGLSEKTTRLAVVKFGKRGQS SEEIWKAMEDVVEGELVGLERVGEGVDWAKVDKVYKLSELNALKTPDVIEKKKAAVVS TVAVKNVI L198_05312 MSWLVPSLPSWSRGAAPTQVEKATANNDIEEDDIDAPPPFPMLN SHQRSQAPAPEAPKPPIPPPTIAPIPTLSFAIAPPSPTKSTHDDDEPPSDLNIAIIPD NIPIGNMAPPPSTVKKPSFGIQNGGHDGGGLKVAEKPKPKEKRKGKVALAPGHSPLDW ARLTSSGQNLRGVHTFQRVTLAELKEHNTPDDAWSVFNGMVYNITPYLPFHPGGEDEM MRVAGRDGTRLFMLTHSWVNMDFMLKECMVGVLVK L198_05313 MSTLAPKDDPDPSPSSPPSAIPARLKSKQNQQPTNSPNTAASNR RRLGGLFAEMGISVSPARARAVSESPAGTPSRKTTNAGSELVSSVASSITSFADRMAA LSMVASGGNAKTISSPVKEGTMEGRVSDEGCFVDACSVTSLEADTREHSDLEVLSDDL LLEIFLHLDTADNLHVLSQVSKRFYNLSRAPILWMRICDSTGYRDDLRAEVDEQALGV WEAPDAGEASTTGTEDGSIPIHYPTFYHTMSSLPQHIRSLKPTHHPVLTTIPGHTDSI YALQMLGQWLFTGSRDRSIRLWRLPAPSLAKTSQGAELAASVEDAHGGSVLSLVFECD LQGRGLLVTSSSDETASIWSIFLPQRPSDGEATIEKLQALPHPAAVLDAALTPAHIVT ACKDRHLRVFSRTTLEQVWELKEHRGPINCVTVHGTNGKLEGDNHEEVISASGDGSWI IWDVKTGKQVRRGAGDGRGLACVAWERDYIVTGDNECLIKLYDAATCNLIKVFQGHTN LVRAVALRPREGLVVSGSYDHSVMIWDMHTGLLIKRPSLPHTSLVLDIHMSANRLASA GHDNSVMVLTWGGDLPYTDLLV L198_05314 MSATGEDTSSSSTPSFISALVVAGITVGAFSLLWLVLHGRKNLR GVFSPRTYLAPEGKRPEPLPTGLIAFWKALFSTPDKEIIVSNGPDAYFYIRYLKVFGL QMLLPYVVLTCAVCIPVSVITPNSGKDGLNMLTFGNVPDTNQIRHVAHFIVAIILIVW TIFLIFREYNHLVQVRQAWMTSPQHLTLARARTVAITNVPDAINNVAGIKELAGTVAH IDSSGGSGTNLLANVNPFGRQSTATEGTAVNETDGVRQVWLVRMCKDAEKIWGERDAE CARLEGGVSKLQKLAAKNVRKHKTPEETGKLDTENSAGDLIDKYVLPKKRPSWKQGLL GLIGKKQTLETSPEYINEHNIKLDELRSDIASLPQGNTVFVRFASQHEAHAFARLAGK TGKTNQHIRGGVEVVPEDIEWGNTSMSPWQRYGRSVVSWALTIGLIIVWAIPVAFVGM VSNVDTLCTTAPFLSWICDLPSPALGIIKGVLPPALLAVLFMLLPIVLRKMVKMQGEV RKTDIELKLFTRFWLFQVIHGFLIVTLASGLISALSTISGDTIQELPTLLSTRLPDAS IFFLTFILTATFTGAAKTYARLVPVIMYALRGILAGNTPRNVYAKKFKMDNFAWATAF PPTCLLICITIVYSVIQPIITVLAFVAMILLYLANKFILHWCADQADASETGGLFYVR ALRTVFVSLYIEEICLMGLFFLSSDENGDRSTTGLACGAIMAATLALTAIFQAYIDHF RFKKDFVYYVHTTETSSKSESIEPKVGAVKASPSEEYANAGPEFGNTSGFHVNAFDNP ALWKKQPTIWVAEDPLGLGAQQVQQIQSKGVDSSLDFAVMNEKGVIEVDRSAPDEAWY GGHSN L198_05315 MSSTTTFNSVVHMFGYPSSLTAFTSHWLPPLTTTTCFNIATAAM VLSAITLREMYSEANSLFWVSTLAEADVAFVRTEIFRNLYILSSVIITTLDISHTFTQ NLPYSASCGVIIRLAIVCACYSRIALSTKYRNQFPQGPERQTVPRRDDKISMDRVQRE RKSGMKEPVGFWADVYGLVASWGAWKKRAVV L198_05316 MVQPSFNHPKNNPAAASTTPTNALDVFLDNQNQAYFEELYKSEA VCLCILRLLPPVCRQLILHGLWSHAPLRSVDVKQQLQIKVDVSLEQVDEILRPAITRR VLHPMVHKGHTQSWPLNDSFKKGLRNALTGLGRSNSFGVPFDKPEYAGFDTPPEEELI AEGEKKFEAILKYMVSSGLGTAFVGVRPRPQVLHLLHTSGLMTDPGDPSGRNPNINRL TITSKGFQFLLEERQTQLWEVLMYYLNAKEANAERSAEVLSMFFSLGCMGLGQDYNAS ESFPRSQTALNDLAEYGFIFKRSPESDQFWPTHLATSLCSGDSAAINTQTADDKRRLL LPAELQLTHFAANELEIAILNLFVDIRIRYPNLVVGKLDRQHVKAAMDKGISAHQIIA YLGSHAHPQMYNSPPPLLHPTIVDQLHLWDSERHRLQMEETVMYEFFSKELFDDTVNE AKANAAIQHSSTSNKLLFIEPHTKPAITDFVKQRQNYLRGGF L198_05317 MNQIWHSLVDTVRVLPTIPTDHVDQEKQTVNAALEKLNVLMALR RGERSTPDPNPHPRLPTAILPSAAVQGATPGSGGGAKRKRKGSFSASPAPMTLDLTGT AMVIHPSPLPTASAAGTKPSTPMSREATGKHRRELYADQLPLQPGRKVAFKLPAKSTR DGEDSQGGAGDDWILATVKRCILQDRMRYEVQDVDDGNTYNTTLRSIIPLPDPASPTQ LTSNPANIEDYPRESIVLALYPDTTSFYRATVVAAPYPGSGNGLGIRGQGHGLKPDPG AKRGVYRLMFVDDDGHVQEVQKDYVVPYPG L198_05318 MFGLHPLTSTYPGASFHPNGNLNGPHLAMQSGGPSQGYWGFVPW EVPEMPEWDLPPAIKAMQEGRGSGMYGITPEGGGQGQPFNYGWPRYGPMPLRPQLGGF VGKCNPYGRSWPHGYYKTTY L198_05319 MLPSLRAARPLSALALPHPDLAAANGHTRFALRAFEAIPSLAHA YAILRAAENKLGAKALHVRIPKSMDSLKLGPSIYIETLRPVKLEKQLLLEIPSPILSP ESNFLGGLCLEDVAKSLDSDPVISTSVQRSPSNGRKDRPLQFKIEAAQPPSRNPSKAR RSVEFRSKQGGKEGAEIVQALKAFEGGFYGGFEGVADKFEAMVAAHTKPRQGNGETAS GDKA L198_05320 MSASWVEPTKAQAPPKLNLGMSYSYYILLYTTIYNYCTLPGKSG VPNFSAQRGGANLQGADLHRNLHSWLSTHCKNMREEAEKLPDQELLKYYARQWDRYTR GAMYVNKLFNYLNKHWVKREKDEGRKEVYTVYTLALVSWKNNFFKHFADQGETSRLTQ ALLRQIQAQRNGEDVDNSLLKKVVDSYVSLGLDDADAQRVNLTEYNKHFEQQFLNATD SYYRAESAAFVASNSISDYMKKGETRLQEEADRINLYLHDSTKNALRTRCEKTLIEEH QGIMWDEFQALLDADRVDDLARMYALLSRVLNGLDPLRAKFEEHVKRSGKAAVEKVLP APGATNEAGKAAALDPKAYIGALLEVHSKYTAMVDGPFRGEVGFNRSLDQACRDFCNY NAACPAATKSPELLASHCDMLLRKSNKETQDAEGLEEALNKAMIIFKFIDDKDVFHKF YQKKLAQRLVASLSASDDAESSMITKLKELSGFEFTNKLSRMFTDVNLSRDLAERFRE KEREQNVDSGIDFNPLVLGSNCWPMTAAQTDFAVPREVKGTYDKFNAFHAEIHQGRTL NWLWHLSKNELRTTYLNQKYIFMVSSYQMAILTQFNRQDSVTFKEMQAATKLAEETLK GQLGLLVKQKVLLLQDDTYELNTGYKSKKIRVSLNMTIKSEAKAETKDVLAAVDDDRK FVYQATIVRLMKGRKTMQHHALIQEVTAQISSRFTPKVPEIKKAIDYLIEKEYLERAT DSNNT L198_05321 MSYRPNPFFAATSSFMAPSVGDKVDNALAQGKALGEDAQGKANE LAGKADLKLQDAKEAAKNSASNTPTGIDLYSRFALAGALGCAVTHGALTPVDVVKTRI QLEPEVYNKGMVGSFRQIIAGEGAGALLTGLGPTAVGYAIQGAFKFGGYEFWKKQAID LVGIDTARENRQVIYLGASAIAEFFADIALCPLEATRIRLVSQPTFANGLIGGFARIL KEEGPGAFYAGFGPILFKQVPYTMAKFGVFEVAVEKILKATGKSKDSLSGGQQTSLNL GAGLIAGMAAAVISQPADTLLSKINKTKGAPGQSTTSRLVQMAGTLGAKGLFTGMTTR LVMIGTLTAGQFLIYGDIKKALNATGGVEIAAIPK L198_05322 MAIPPSHAGTSAPQWRQFTFFDVHDVKDTHDLDQSPRAIRQLTP PLAVTSTTSQSPLPPSLILASGQTITQVDRYFTPERSFTAWERNGRATALLEAGGLLV GIGEEDGSLWPVLKVWDLTKEDKKHPERRPVLLRNVRIQHGQRPHPVSAVALTTTLSH LAIGLGDGTVLLYRHFLQSLTTSPTALTSLPKARVVHQSHEPVTGLGFNEQSSSSNLF IVTTNRVLCAPVSGKGEARTIDELGCGLGCAVMDWERKDMVVARDEAIYLYSPEGRGA SFAYEGPKSSIAVFNHNLVITSPPFHPSASSNSATIRHYVKANPNGDSPSVDIAKITV FDLQNKLVGYSGTYKDGVRDVYCQWGGIYVHGGNGKLARLEEHSTQAKLDVLYRRNLF TLAISLARQQGLGDAGVSDIHRRYGDYLYNKGDFEGAMSQFVKTLGNLQPSYVIRKFL DAQRIHNLTTYLQELHSRGLANPDHTTLLLNCYTKTSDRTRLDQFIKTEAHRTDTGAE ELPFDLDTAIRVCRQAGFYEHATYLAKKFGRHEDYLRIQIEDAGKVGEALRYLRSLGP KACDENMVRYGRTLLHQEPAATTALLVDLCSGNLGKKKASTPPQIVEQLNGTSNGTNG ATGPAMLSYLGYNKVSGLFTGEATGTATPTDKNEPQRVDPEETSALEKLAIESEGPDY VPPSPRQYFAHFVDHLDLFVHFLEAVALNLWDQKVDLSVPSSAPSPIATREVDIPPPD DPTGVDQRAVWNTLIELYLSATKSLDSTVASQSREKALALISSKDTPYDPLHALVLCS VVGFRAGMVRLWEGMGMYEDVLRYYMEAGEDDGDEVDASAKVFSHLDLYGPTHPQLYP LVLRYLTSSPAILSRHPKELGNILAKIDEYQIMPPLAVVQVLSRNGVVSVGNMKDWLK GKVEDNEAEIESDKQLYDSYRADTAVKRKAMLDRTNPSQPEVFQVTKCASCGGQLDLP SVHFMCKHSYHQRCLPDSDPECPLCARQHSVIKEIRRNQLRLADRHDLFLEEVHSAED GFGVVADAYGRGLFGREGDVLDGEV L198_05323 MSPHIPRADDAHTSDGGSDPNASFMEKYSPWSYVILGGVCAAVL LFCLYALWRGPQRAFLPLDALSCRRKKNKKALTASPEREQGVGQSAGQKKVYLTDVRR AAHTLHKKPPPGILNDWKNQQTPNPMSTERQKGEIGRRAGGNASWAGTISSFGHPASM MDTGTRPPLQRQVNGTQTSILSGSDRLEMFHTPQSRMDGQSWALSGPHDSVSSTSSLP SSRSVFRQQTYTPPINNKPTIGRSHHSYSGSTPNLTTASPNTSQDIYAPSPVHVRSRS TGTSPMVPSPLGTPPLPTARHSMAAIPSPRLGPRVQFSSLLLPKRDVPTGSPLATTPP TLPSAHSPSHDRGQELGVAI L198_05324 MCPETYRVVIDDVISSVKVDFEEYGMEEDVLQNLQAKWEAKLLE TRVADFAQTGADSPNLQRAKAELHSPPTGPSHHQPDMYPFSRPGVPQQGAGGPGPMAN IYRNGGVQQQEVRVKPEPRYDGEDDPLRLRGGAAEDGNKPEPNAAGLLPGDDVIDSDL DDSDDENRGDAEAEDEDDVDIVFCVYDKVQRVKNKWKTVFKDGMIHLNGKDYLFAKCN GEFEW L198_05325 MRKQLDPRIPALINNGVKSNHRSFFVMVGDKGRDQVVNLHFLLS QARVSSRPNVLWCYKKELGFTSHRKKREAKIKRDVKRGIREANDQDPFELFVTVTDIR YTYYKDSAKVLGQTFGMLVLQDYEAITPNLLARTIETVEGGGIVVLLLKTMTSLKQLY AMSMDVHSRYRTDAHQFVQPRFNERFILSLGNCADCLVLDDELNVLPLSKGKDIQIGK AGEEDDRGRKRKAEELKEMKESLEGVDIVGSLSKLAKTVDQAKALLTFVEAISEKTLS STVALTAARGRGKSAALGLAIGAALAHDYSNIFVTSPDPENLKTLFEFVFKALDALGY EEHIDYDVVQSTNPDFKKAIVRVNIFRGHRQTIQYISPEDHHVLGQAELVIIDEAAAI PLPLVRKLIGPYLVFMASTINGYEGTGRSLSIKLIQQLREQTRPTITKDNDTTTGAGN GGSSKSASAGPSGAGLARSLREIKLDEPIRYSPGDNVEKWLNNLLCLDASIVSKSIQG CPHPSKCDLYYVGRDTLFSYHPASEVFLQRMMALYVASHYKNSPNDLQMLSDAPAHHL FVLLPPIDENDNTLPDPLCVLQVALEGNISRDAILKEMSQSGMRSSGDMIPWIVSQQY QDNDFATLSGARIVRIATHPDYARMGYGSRAIEALTSFYNGELYNFEDATNDSGESFA DAAKVGPNASLQNDTIAIRDPTRMPPLLQRLSERKAEPLDYLGVSFGLTRDLMKFWKK AGFTPLYASQKENTLTGEYTFVMLKTLLGAGNGEWLGAFAQDFRQRFMNLLSFESFKK FEASQSLSILESTVPRNSPSPQPKPLTASELSTLLTPFDLKRLESYTDSMVDYHVVLD LVPTIANLFFARRLDVSLAPAQQAILLALGLQRKNVEALETELGLTATQTLALFGKIL RKIAKALEDVQKEGIVSELPAESAPRGKQSFVALQQTVEQELADSVPRVNQGAETEEE KQSKKEQRELLDSLDMSEFAVNQGGDWSAAEKQVEKLAEGGNARLSNTVSVKFDKATD AEEKKGGKGKEAVKDGKKKKRDSLGDKGGKKKMRRE L198_05326 MPAQSPIPPPAGIPDRSRKIPAYKTYTGPDPSTLSGPRSKSQAE QYFAKGAHETILPKRVSKAIGFGGWFFGGVAAVYMVLFADFGDREHVFSPVRREYIRT KQSFFTLTPEERKTMGLKTRIGESEAGKQPS L198_05327 MYLLLTLSRASLNKLAIRGIRSFDDKHVQVIEFYSPLTVIVGHN GSGKTTIIECLKYATTGDMPPNTKGGAFVHDPKMAGEKEVKAQVRLRFWNAKRERMTA TRNLQVTTKKTGALTMKTLEGILAKNDVGDGDGKKNTISTRCSEMDEEVPYLMGVSKA ILENVIFCHQEESNWPLSEPAALKKKFDDIFEATKYTKALDNIKTLRKERTQELKVDR ERLKFLEVDKKKAERMRKELADSKRAEVDKADELERLKEDYERIKVRNANFYEEATQF RQIYEASKALKEKKRMYEENQRATEDNMQPMDESTEELIQMLDNFKSHLDELQDAKLR NEDEKDRVETEIEEMRAKERNCASKQGGFQAQRQAYESNLREREAVIRHVARNHGLTG YNNSPLEDWKVDEFVDKISEMVRGAEHDLKMMQADHGKKELKLQEELDQLSTTKAAAT ATKKSKQDQIYKLKDKVRTSRTTYDSVSNSVELNIQQSKLSEQQATLERLQTEIANAK FDDRLRDIQRTVKNKEIERESISGELAVLNRKADSRAKLDLQSREYRGKEEQVKQQMQ LHEASFNNLIGSDINTISPEKIEATVISVMDRKERDLSKADLELSNLKNERAQATSTY NHAEADFHAREADLKRLIQEVDDAMVNNNGLLKLEEEPVYNDVVSKVRQKLIESSNRV MDLAGVDKFWDQLLSTVKSEKRCDACDRDIEPSDLKHVTSHMTKRLRALQGADRDSLE NAQQTEDEWKDYLDRLLRVEPNDKRAEDLDSNIIPDLQGQMSAIQEKLNKISVQIEEV QDKVQGIKREIKELQSLKSAGMVINRLHNEALELQDAVGRLKRELESSGSMKTVDEVQ KEIDAISYEIKQLSAEQQGLFREKEDKVERRREVQDDISRANVRIGELKSKEEKRKME AEALKDMQENLVVLQQELEDLDRTVEAAEAPWKEKNDALTRFRIERSSKEKDASSDIT VFRTSAGEIEGKHKACQDYLSQDNDTKMQENEATMTDIRRRIASANEKRVSLETLIQN VHENLSKSETLRSNINNNLRFREGTKRIDTVQEELDGLDLASAAKSRETFNKEYRPML DEETNVQGRMSLSQGQLMEMAGNRKKLEKTLQMDYKSIDKEFKEQMIKTEVSEQANRD LEKYGKALDNAILKYHSIKMDEINDTISHLWSKTYQGPDIDSIRIVSDHDEASTSARK SYNYRVVMVKNEVELDMRGRCSAGQKVLASIIIRLALAESFGQGCGVLALDEPTTNLD QENINSLASALAEIIRERRQQANFQLIVITHDENFLQTLAQQDVVEYYWRVSRDAAQK SILERQRVGI L198_05328 MPHADSSYVPDDIKTKTEFYDHVVAHLEALLEGERYWVTNLAQA SAILYHSFLASPLYGGDAFTPVVNWTGFYLHPPSYPNISADSPLLLGPYHGRPACLSI TPTPGKGVCADAFLTQKTLIVPDVEAYPGHIACDGDTKSEVVVPLRNGGGKVIGVLDL DSTKLDTFGEEDRLGLERVADALARNCDWQ L198_05329 MHGVKRTRLTSQAAAAKRAKEQVKVDAYLALQKEVLELKQAGDY SEGALGKTNQLLDLNPEFYTIWNYRRDILLALFPSLTPEDIVMYLANDLRLTTSYLLV HPKVYWIWTHRKWCLQSVPEGPGDSHEWRKKFWDGEMKLVEKMLDADARNFHAWSYRK YVLESLPTKRPLSAELNYTQSKIESNFSNFSAWHYRTKTLTAMWEGTSATEDEINKTK DEEFELVAQALWTDPGDQSGWLYHRWLVGPTPPKEVLKRELKNIQDLFEAEPDSKWCI NALAHYTLLLAQQPSTSDEEAIGIRQEAEALYEKLIDVDSDRKERYRDMAASCVEGCL T L198_05330 MGRKKSGKSSLSASKPTAAPGARINRMEIYEDTLEPGSVDDFMF KRDQISFNPQDESEDEDINEDEGEEVLSLEGARRRAREEQEEEYDEDEEEQPAPVKKG RKEKVKVDASTQGRYGKPIVSSDEEEEESSGSSDSEDENWGRQYYSKPSNRREKEKEG EFGDEKREEERDMEEREVKRLQKKQREVLGGDDFGMEDLEEQPLVSEVSQDVLDSLSV AAPAAPKTADGPALLRHLQAHEPLKLALTRDFPLVVQKLEKTARGIKKMEETPEHEAE LHKGLGWLHYQTLLTYATTLAFYIHLESLPASDRPDIPIIPRLFQLKQGVTMLEDLDF DAASSSGESLVLFDRFGDLDNWLESGDDELKEGKLELIKRMQAVNGDGELVGLEDDEE AEDDLWQNEGLDEDELAELLQDAGEDAEDIKALAAKDIKALAAKSSKKKKEKKSKKSK KSAEEVDFAALLEEGEKPKKSKKTKDSEVSSSTPAFAPLAEPDFFPSKKSKSKSNSDN SDVLGDPTALTDADYTDKQSRKRSLAFHTSKINSTLARRAEGRAKRMGGDDDLPYRDK RKARDAALRKNGPSAEGEDLEDISAGAEKTKKRARDEDAGDGEGDGEANEADGYYELV KRRRREEKDAKQAEHDAAEAELLAERAAYDDESADGPRTITRAIEKNRGLTPRRTKTG RNPRVKKRQAYDKAQKKVASQRSVYKGGQSAYGGEYKGEKTGITQVVKSRKF L198_05331 MTEYWVSKKQYWCKFCSIYIRDDAPSRRQHESGLKHQGNKERFI RDLYRGGEKAKKEKAEEAREMARIEAAASAAHAQDTASGYSKASSSTPSSTAAAAPAP KTREKPTDKWSNYSTAAQLGFVDEEDAKKTAYEIELEIKGQAGEAGQWEEVILPPPAP IVEAAEVTIGEKRPREEDEEGEGWKFEHKGKKPVFDPYDDDWDPSSLKSLKVKRKEGA SSEDAKVAAEETATEVPRVKYEKEAAEIEERGLKREGWSGKLEWNTVKKETGTSKEVK EEADVREEIAKATPEQAAVPARQETVKSEPEVESSSVSESTVASGGGMFKKRRPPPSN RKK L198_05332 MLGVSSTATDNDGRRALGIRPGGHPLPRLQIDPNPFVDNPPSPT LDYPPSPLSTSSSRHSSSPQALRSPSSPDISKLSSRRATLGRRPTISARKAQQISNYR GLYETQKLLSHLLDKLEQREPAPDILARAVIAARQASGLPKGKGKGKVLKMGQALAAA ATHSGSAAHKRHVSVPVGGIGSEDFQEQIVLDEGDWDTEATFNLVQQTRDLFVLAERQ DLDLFAENGEADRPILDATPVKNKKGRAGRFSSVAPSASKGSNAMSYEADTSMASTSV PRASTSTIPSMSGSYLLERALSALHSLISIDCLHRTHLFHPLCPPNALQAACLNIASY LYMKCDVETKVKVVGMVVDGLYGMGEGMGEKVYEWLEGRMENLLRILAKERGEVSDKK EANVDWIDPFSKPPSTTTDNHLPTFAISTESPDMENTNSTGPGWKQFSPTSPQFSFFP DQLPGLLSIQAMRDASPTAVQIAALVPRILMAISSTIDLAGSKLTMIHRVHRLLTIIL SAKPDSPLDLLSIIAYAPPAPRRTATELLATFYPNVMGHNTIARRLASTTYASQRTKW ETGQFSALGEDDTEGHHFVPWRISSKEHSSLEAIRCLVCEGEIHGFGIRCTMCREHRH LRCYTTARSSRGPGGFTYDVMIITPTSSSSHLVHVKFGYSAPTLEEELLPPRFTAVRK AGQHTLRLVNLFTLSLCGECRLPLWGTSRQAYACESGCQRLYHAECLGHMDNSQCRHG KEVVVDEISGESNNPFTITPESLDQDFSKHCQGLRLSQSEMESVSFDEVAVLFGALWL QYQLVKNGLSTGSLRIAGEASSSDGKTKTTDPLNLRPVLKMYEDYLQVQEEEVSGAMC DFKHVAGLEKPLGTGYLFSEKFLAYCTALIRAPSSELPHSHGNPGEGLLTPQGLPAPN NDVFEEEAGNCYERLPLSFIYETLKSDLFLTSPYSLAAFVNQLIMTGLISLPPHSPLR HDNLSQSQQNQASFSLPLLMDSAPTTELLVLAIESLLDDLDLTMNEQGLRLMVDRAWP SLLCAPYALERLGKAAVGWIMAEEDCLRELITSYASKHRRIPGVRPSPGSLKGSIDAY KNDRQQIRSTYVRDWCKDLHDQDPGMYAHIVYEQCKLAAGNAAAEDSGGANQQQMASK IAGIAANKMAVMAEAGVLFSTVMELLTAWLEDLGPLADHDVAYRSLPRLLSHQPSDSP DIFALSASTTMSNPSDFARVCRWMRVLSFSGAEIPWELLQVLIDQQVEFGVDVPPEAS LDLVIAVSANGAPLEPKMFAGLCSIMATTVFDNIDPGPGDLSRLEFELIKRTMMLILK AYGVISEDVSDTVLGGIGQQMKQSMSMGKRRGTMFSFVRFPLDARMTLAAADLLKRTT CPCEIVLDFIWLLSTKAINVDDPVGFLHHTCERLYVIFWPLIARPVSRRSRARVLLRL LSVNATPLVKLIHAQLDTSREERTIVRERLLTLILELADSSINHDLVNWRSSAVALIL VFFDVLLDNREVIPDNLVVHKSLLPTHLKAISLCFEEYLVKNSDENRLVLLTRLSRLR LALPQWAVISWESIEEILAEEVTSMSQFQPARKSSADTGLTDSEDVTYALAALGLEML ASGVVVSWVTAQRFQQRVVALASASSASPSAFTSTMLPALRAVLDSPAKILITGHTFE TKTRNTASVGSLFVPVAIDLVDDLNAYDHPTQRLLLDVLMVVFFKQNVNRVELAALTC VQQVADFVSLNDCSENRLLGIQILQTAVEKVDRDKIIRAVPPIFNTLALVIANEINAE YGDSAVTDQTSDDPGYTYNCSGQNESERQSLSPKPATESNLCKALQILDAAEQAEGLA QVGIFDNLFHDLLDLTRRPRDQIIQILESFARLVAELCIDLTVEAANEWGYDYDPNPM LQSCAKVMDLSPSSTLVPLLSQIATILNQCLCHFGVTRQTTLKLLGAGQRAGARAGVE NQIKSVLLNVASTAVDGLAVPPVSLHALLKLLNTDLFPQLSPTSKAGPEHHRVLAESG SGCGNILFRGHQTMTSALLTAELLLDILVEAGTLLCQGEIIIPGTIGRNLTQLTMNAA SIQLRSLLFLLLASTNVSMGTSRSRLFALYPLLARATSLSLRASADYMSIQDVEGTGA SILSLVFMTLRVAFLAVTDKAAMDAGGIFESQKDDVLDEFWARVWPDWNRLFALSFDP KCINGALRSVTHSVFLDLLVFLGAVQSPILIRHGEALTVTLAMLAKYQDSQGTTTTAK MQKAGLVVEKAGILGLGSNVMVNTAAVLKQLKEDLLATERIQVLNM L198_05333 MSTPRATRSRTATPLSVRTRSTPQASAPPSHHHKTADELALEEA ASLLHTPTTARLRSTGSDEVLDGGSARALRHRRLNEVRHEGDAVRERSKSPQRDERRH SEAPSTAETEVRENDGEEKDKQATTQQGSDTGDEDDEEASMELPGMSGISEATASVAG DEEEQEDDEPVSAALSTGRIFSQPRQEVEFGEAMSASGSETASAGSSSSEAASDSDSE SDSSEDSESESESDDDDQMERLLQAARDAAATKAASGNKQGEDRAEADGEVVLQFDKD QFEKKEAPIPDLSIANLPKTHLSFTKEGQAKATIPVPSVASSSAGPSKRGPTSNKSQE LDDRPYEPQLSKKEKALQPRKATTSELWSRIPAPRADILPQMKRDYQALSLANSLDPK RFMKGGSKNDKAPESFAIGTMLETSRRVRDTTLTKEHKYRPGQVVQNIIRDNDMEGYA KRKYGDLQSSRMENGRGKGWQKRTKW L198_05334 MVAPGRMVTSSRRAGRVTNKTKLLIYRGSDKVDPEVAETVLWDQ DSSGAGKDSTKHQHIGATGVESNELLEHHLQAALSSASVIHSNDKAPQSAANKASAVL NYHIPTPDATGLINNAQFAQLYQRVKYNEPYNFIRFSDTVEESSCGWGGLGYCMDDAD VRWLADFNSKAEGSSGEVKSEKEQGRGLRAKGKEKEKGDAPPPLSISEDVFEYIMGVF DKYTEDNVPMLHTNLALLPPFSSIENMFASPISPSFLPSNERPREISDFKALTRMARS VYSHWKQRREQRQGKPIVPALNFDETNDNDPYVCFRRRDIRATRKTRRTDNFSIEQFQ KLQFELKAAYSLSQMVFQRETEKKAFFKADKEVWETRWKLFETKRRWPSLGCTPQEEE LITGRTLGPAPIQIPSISAHGSISSSSGIQPTIRKRMEKERDDRTQRDRYDAQQRGER SNVLSGRSNAPDALKDRLAALHQKIEDLMARKKHEDSQWDDYTDNSYQPLSSPLASRH YSSLYTLDPSRLNQKSDFDDEELVTPEQFRIRTGRGGVVRLDRRTPVVAHRRGAQPKT PSELPSWLFPDSAPSKQERKRPRSIDEVEEETLPKVPRSASTETWRYSADRGGAVGVG MGITEDHDRILIDDLDSKYIRYRVSLLKEKDIDKLRPNAYILEQTYDALEAAADAKPP PAPIFQKPPAPQHNPQVIAHLQQQQMLHQQQQVEQFQRFQMIAQQQALVQQQALAQAQ AQAHAQAQAQAQGIPHPQPQSGSNHNSPAMHAQQQILGRATSRVNSPAVNGQHQQQML QQATEGVAKGLKLPAHAVARLGAMANGHPHLMQQQQGQPAQ L198_05335 MAQPVEQWIYDIPPVTRLWVGASLATSLLVECQAVAPLQLYFSW RGAVVNMQLWRFITTFLYFGPISLDLLFHVFFIMRYSRLLEENSFANRRADYAWLLFL CASFLLVVSSVTTLPFLSSSLAFALVYIWSRRNPAVKMSLFGVVTITAPYLPISLIIF TWMFQGGYRAAIPDIVGMLAGHTYVFLQDYWPREMWSISGNPEVQTPAFV L198_05336 MPFQIPDISHRQSLVLTALAAVIATSSVIISFQSLRREFRTERL KRQVGEDVEEWEKSREGSGLSSPDERAERIARRENNWAEGFDEGLIREQLTRNYNFLG EESMALVRKGYVVIVGCGGVGSWCALMLLRSGVGRLLLIDFDLTTLSSLNRHASATLE DVGTPKVIAMQKFLKKVAPWAQVETHVGLWRKGEGEKLLQGADWVVDAIDNIETKADL LSYCHKSGIKVFSSMGSGAKQDPTRVQISDISATNEDPLARSVRRHLRINGISSGIPV VYSTEVPSEVKLLPLDEEEFQRGAVKELQAFDDFRVRIMPVLGPLPAIFGLNVATYIL LDLAGKPLTDYMEIKNRKKLYQGLERGLGSRETKFKGEKLIVKLPVSLEDIGFVFDEL YHGRSSLPPYEILQKANAVRWDKSRGLDVDNMVVMNNKDVEKHFQEHLMGDKSLVDLW GQDVVDRIRRKSDEARKVIAWRRS L198_05337 MDVDPSYPLSPAPHPSSYTVLRIKRKATDPALSSLVIQDESRAK RRRDIAGRPRGVFRLADTVPGTWVGEGEEGKVLKTRIQGLLSSGASPSPITSPTVKSP TAEPPAPSLSHPTYSSAQLPPLDLPSSHEPASTSAQTVPSVAQPEQPPVERRPSQARA QMQYRVIPPMSPRTKAMLPPRLITAAETEGRDPALVFVDAQAVEDARRKNDIEEDKEV AAFLPMLQEYLKLEEEAKQQAAKEESNDDWVYDLYYRDTSGSVPLDLGVGDGVSIGQL LGLEDGSPPSSVSGSEPEDEADEDSNDEDYYRNDYPEDEDADEDMMGFRGGDDDSDWS EEEQEDDYDRDEWGYRD L198_05338 MSCIALRALPRTRHLISPSMRIARACASSSAALPTPPTSNPSPI SMSPVQSDAIPKITPTPLSRDVLVKLHKLSALHPPPEGSAEEAEMMEELGELVGLMDL VKQVHLPQEEIEGLLGEGIGAIKIGQSEASGKEEETLEKEESGKKLLAYSPTRVGDFY SSALKKT L198_05339 MSSDAQATPLQLTPALLGGFQPEKILSESTFTGSTFILGRLEGE DAIVHLQKSLVAGKDAEEVVKRLEDVKLLLENTPYYSAHAWVKPDITHPDYNLKLICP ATADHIKKYSIQERYVVRETAEVYETAVKPFIDAMPVSKIQWVYEILEGRKEVDRVLV NKSGPDGFVVLPDLKWDETTQNALYLVVITQDRAIKSLRDLRPSHLPLLRDIQKEAWR VAEEKYGVDKGKLRLFVHYHPTYYHFHVHVVHIRHDNLGSQVAGQAHLLEDLISLLEL SPADGPSLLAQKSFTYTLGAEHKLFPVVHAAGAVLGNPELPTDTKPEPNAALVAPVNP SLPTPTAETAPEAVLADETAAPEAKRQRTE L198_05340 MSTAIPETMNAVVLKQPYKVALEKVPTPTLLKSDDVIVKVHLAG LCGMCILLRLLSKLLTMRMTGSDLHLYRGTEDAGKDYVMGHEVVGTIVRKGNDVKEYS IGDVVAVPFTISCGKCYYCKSSLTSRCTSAALFGTPNLPGCQAEYVRVPLASACLLLK PPQLPEELMLLMADILPTGYSAAYNAWKLLGWGEEKGGKGEKRGVCVVVGCGPVGLCA ITSALTLFDKVFAVDPTSSRRELAAKHGAIPVSPSDLQSSVFSATEGRGADAVLEIVG NQSAIDTALSVVRPYGAVSSVGVHAKELKIDGGLLYDKNVKLQFGRCSVKHFYLAALE LLVDNQKLFESFIAHTVNFDQAEKYYELFEQGKVPKTVFRP L198_05341 MPQLFTGTFVDTPASSHLRVRRNHLLAVDDQGYISHVAPLSDPS SQALLKDNNPTQLGKHSFFLPTYADLHLHAPQYLYAGTGLDLPLLQWLERYAYRAEER IDSDEALAERVYGRLVERLRENGTGCVVFFGTIGVKANLVLARKVQESGIRAFIGKLS MDESPRPTYGEASALSSLTSLNEFLDSFESYISQFPKHRQLVQPIITPRFVPVCSDEL LEGLAKVSKERNVRLQSHMCEGRDQIDMVMMNKKLDDEKVFDKFGLLTPQTLQAHVTY LDEDMSVLMKERGVTIAHCPLSNQYLSERQFPLREALDASLSLGLGTDIAGGYSPSIH TAMRQAVIISRMREGDRCEKAGCSFAGMKSEKEGGGRDLRVDWKEAMWAATRGGKAGM GLGGALEVGMEFDAQLIELASDENPIGTGPLDLFDLEDKSIDTDNDEWWIEVLERWWS NGDCSNRKGMWTQGVKVA L198_05342 MSRLSPLRNIARSLRQTAFPRALPAFQARNYGKGLQTDMMTGEL ISRHGEIDPSALKIDKTTSAKTQLPHDKLVFGRTFTDHMLVIPWSSATGWDVPHIKPY GDLSLDPSSTVFHYAFTLFEGMKAYRQEDGTVRLFRPDMNMARMNRSASRIALPNFDG EALTELIKKLVVLDSEWIPKEKGYSLYIRPTMIGTQNALGVGPSSDALLFVICSPVGP YYASGFKPVQLLATTKFVRAAPGGTGGFKLGANYAPGVVPQSEAAKEGYSQNLWLLGP EHALTEVGTMNLFVAFKQADGTVELVTPPLDDVILAGVTRDSALQLTRDHASGKAKIP GLPEKLVVSERKVIMKDLVDAEANGTLFEVFGTGTAAVVSAVDKIGYEGRDIQIPVGP DGLGPIAKGVLHQMTAIQTGEVEHPWSVIANDVKI L198_05343 MVQPGMSYKEIGDALTSFLEIALHTVLCIRQIYPPTTFTRRRAH GVPVYQSRHPTVRSYIAQVIASVGKEIQEGRLRRMTVVIKSVRTGLPMERLIFDIGYI MGLEGRKDIGLTGAPNADELGLMLRGFLVKLVALDGQLRENKDECTFAIVVETNDDLE PSGNSTADVGPWVPALASDVLQHAEGSDKSLEKHEPLLSIKAVETGVIDIRLMVQECV AKTGVEDLTY L198_05344 MPIAIPSRSLSSAADGQPIKARSASPYPTTSPEQNLLRLKAHLQ NLPTPLLKNIHLAKIRRSDPNAFFSLMRDELIDYAPIVYTPTVGEVCQKYSQIYDGPE GLYLSIEDKDRIPEILREYASNLSNTPQILVVTDGSRILGLGDLGIGGMGISVGKLNL YVAGGGVNPHGCLPVVLDMGTNTQSIRDDPLYIGLRRPRATLEEATEFMDAFMAAASE AFPKAVIQHEDFYSEAAFGFLEKYKEDYRMFNDDIEGTGSVILAGFLAAAKQASEASG KPLKDHRIVFLGGGSAAVGVAKEMMNFFRMQGLTEDEARERFWLIDTKGLITSTRPDV VSGKVSSHKKYFIRNDTEGKEYPSLESVIEYVKPTALVGLSTTFGAFSEPAVRGMAEL NETPIIFPLSNPTSKCELSFSDALEWTDGRVLFASGSPYPSQEFGGKFREPGQGNNFL VFPGIGFGALQAGATRITNGMITASAISLSEALNAEEKARGLLYPRLDRIREVSARVS AGVVKRAQEDGVDTNTELRGLDIDALTEKMKSAQWWP L198_05345 MAPSSPSGSSSSSLCYTNTLLAMPDGPIPAAHPDLTSHTSSFIG GYPTFPALPSAASNKTGSMPSDIKCGVCHKSIPLLAQVYCPPEDGENDRTMYVFACPK VGCQKREGSVRAWRASVRNEEYVRDVEEKRKIAEKAAEEERERARQNPFTLGSDGAQP AGSALFGSGQPLFGAAALNPFAAPSASTDPTSALSALSINEEEAAPIIAGPSKTFTPP LPAYHPVQYLSTIEEYIPPAEDDVSIASSDDDETPEQKAEWHEDGWEKILPKRTDEVF ELFVGRLESAEDGKRQVLRYDLGGQPLPYSSQSPLTRKLFPGAEKPLGKNDELDLSAL YTDKTIPACSRCGGKRVFELQVVPSLINILRPHTLTTTGSASEEVAPTAISEDERRKE LAKLAAGIKEEGNKEQDGEMEWGNIIVYGCERDCVGVGEEWVGVEWESGLEL L198_05346 MAVKTARFDPARHLEQQGWAGKGTALQQGHISRPLAVVQKKTLS GIGKDRDEAVPFWDHIFAATAASLNIGSGSGSSSPAPPSSSWTTLTPAGSSSPAPRIP PKLSISAQTRMAREMARRQLYSRFFRGKVYMGDEEEEVEVKPSEKKGKGKALEIDSVV ENGVKDSGLSVQAEKKKEKREKKEKSEKRDKKDKSKGKQKEDETKEERRARRAEEKEN REKKEAKLGKDGQPKTKKEKKTKREETSGTRIEQEKSSKKKRKEREDDEEKSKKKSKQ L198_05347 MSTENQNQQQITEDEASLYDRQIRLWGLEAQNRMRSSTVLILSL RSLAHETIKNLVLAGIGRLIVADSGVVTEEDLGSGFLFREEDGALGKSRTEAALPQIA SLNPLVTLSEMTLESFQGAEDDVAAVLRKEGVNVIVACDLPTTQNELINAAARKAGSL FYAAGTYGFFGYAFADLGDSYEYVVNQTEGLAKKSLTYTPLSAALDETKWDQANGSPF RGQTRNTTKGMAPNASLGILALWKYEKENGHLPSDDSALEALTSISSSLITSLGVNPK ALAAVDQSTLSHLASHATHFFSPTLAVLGGLLAQDVLRALSRKDKPFANLLAVDTMTG LGTVNRWSMEDTKDV L198_05348 MQAPLPTILAPPPLPDGSDAPARSPERHANIVKFQSELEFVQCL AHPQYLHELHIQGYLAKPEFLTYLRYLEYWREPDYVRFIIYPTCLVYLTLLQTEMFRS RLGDMGFIMELIRVGTKHHSSWRVEKPPEEEKPKGEPFVSGPVMEDEDEDDQAEGDHG DKKEKRRKKKGKAAEA L198_05349 MPYSIKSRFTKKTTDHWGPRLRLSNSYKNTFTSPEGAYTELTSV PFPPTPSVRLGGAQVCWTKVLLPETAEREEVKEKKERWRFKMTNKSSFMSDKDHQIDR ADVDELDSIVEKDQPHDFNAEMEKCSLEEDRSSSALGLIHDIPRPSQDMRLSKTDSAV TGFVKTSRYNKYMGRLGKDGITTAMWGVYNQKHHISWSELGTSGNQPEPLARIFFSSS PLCHTVIQSTTASDRLDILVGLASGMIVWIDPVLSTCKEFRLDGLYMEHPVLSLHSDP SCPTRVLAVIGPTDQQDPPCDSRIFEIDLSLPEPAPDSNIVNRPWEEFFDARKKQQQQ ECLVDMTEGIRNNKPELELMRWMNEEWAVEGKGKRRGEKIAFNPVSVMSAGDHELNAM EYSPDGKYLALSGMNVDRFSRCRKALLSILNMPDFTIQRTFGPGHDLPNCRYLDPESV NQPRVLHHDYCFTSLAWSADSRLLAAGGKLNGVTIFCPSEKRSRVARCQGTANHDFMS TRSVAFDNEMSQAGKYRLGCVLPDHCRFMLWDFDPSLTQYKWERVAEIKPRDLPGPSK WPFDNYVLVDYSACHMVAATTPTDIYFLPDSIGILEGMGKLRLWKRPEADAEGDGIVE P L198_05350 MERTRQQVPQATPKVASRARAIFGPKTPSAKPHPLSSHNSNTHD VPALPHGISPKDGMSSVKLKIKSSTTPEARSRVLVKPMGSKLPGAGSRPVATPSMKLV TKTPQARHEDVFEDQWMSAPADASDAVMPAYDEYQQDLAETEAVQVSIRVRPANDMEL RTDSRCVWTMPDHDPHVLKLAKGTEGSREDRDWLFDRILQPHCNNSNAYHTSARTHVR SAMEGYNAVIFAYGQTASGKTHTLTGSPSEPGIIPLAISDLFSQIRSTPDREYLLRAS YIELYNETIFDLLHPNHGHELHLSETKKGITINGLTESPVRNEYDVRQLLRSGEEKRR VGATDWNSRSSRSHCVFRFSIESRARSSSGEETPRTPGRGDKTTRSSTLSIIDLAGSE KHTSSKERNAEGRHINQSLLTLKLVISKLADLASKRNVTHIPYRDSKLTRLLQNSLSG DALISVICTVSPSGLNLAESISTLAFAQGLKRVVLKAQKKEVVDPHALIQQYQNEIAE LKAQLAAKDAGAGTVGSKASKNEKENNEAMEKRLNELKSMILTSVNVKLPNLGDQAMM PPASPAKMKYPKLDYDRPSAELQEELHAEQLCRAELEDEVARLRAELATRPLEPNAHI VQLQNEVSELKLIADDYERHLLEPSRKVREDVEKEFRSKMKTLENQLDSKKIWANRLD ENVRFLSTENKQLKARSVEAEAKVSQIIEWINLALLPPGMATASSADQPLESLVVSDD FSPVPSVNTSAKNTLTLSASKMRATFSQMDLANFNDKFGTLTMGGNGGRGLGSDLVRE ESHFDLAEVASDDETF L198_05351 MVEFESGAGSSRRLPGGVKDGIRGAGSDFQPGSVPIQSQQAGES PPPSLPTPLRAPFELETPIRDDTGYISNDTRGPSSISPHDCAPMSSRLLDHGLSVLEL DEMGISSAIGEQHDCNVKASLDEALASCIGIRSRCVEYAQGTKEGGCEEDPDATPKAE KATMDLRHQTRHSIHGSETTSPSMSSMCLSPASSCVSDSSPSETSDPTGSVQMSDSWS EKTPTKNEIRFMDGAFEEEREGQDEGECSTTIRITGFASGLFTSSSESDSEDEADDER DETRKENIVFSDDELNEWSDSDVEDSGDEEESGEDGDESGEEEGGEEEGDEGDAETRQ RPHPLLAPPLLAEPCRPPILPSSIHSIFVAASFRSDSSSDNGDGSDRDDPDEDAALFL PQSPWLIETPPVAAASALPEELPSRSPPARNSPPHSQSSSPPSQSPPAPQGYECPPTP PTPRFVMTEAPALPVYEAHRPIPIRFPVYPCAYYASHYMSVSYSAQGSWNSNSNLTSN GPLSGRREVSETERLDEEWAKKEEEKLREEEEDQGRIRKYAQEYSIRAYRL L198_05352 MAEPMDVDAPQQVPPPAPKPKNAMEAMMANAKKGKGKETDAAQP AVLDDGLPWVEKYRPVSLDDVVSHKDITGTIEKFLDAGRLPHLLLYGPPGTGKTSTVL ALARRLYGTAYKKHILELNASDDRGIDVVRDQIKNFAMTKVLFSKGFKLVILDEADMM TQAAQSALRRVIEQHTKNVRFCILCNYVNKITPAIQSRCTRFRFSPLPESEVKIRVDE IVAKEGVTMTKDGEAALLKLTRGDMRRALNVLQQACHAAYDIVDEAAVYNCTGNPHPK DIERVVKSMLQDEFGSAYDAITKLKIDKGLALQDLIAGAYDFLDTVELPKQSRIYLLD HLGSTEHRLSLGGSEKMQLTALLGAFKVAVELSQKQI L198_05353 MDLSYNVVAANCAEQMAKYQECVLNNQAGDWNSICRPEGQALAA CADNAYDPCTGTGLAPIADDLPSSVPHLAELKASCSEQITTYRQCLDRHGAQSDEVIG EKCGGLMKSLWECTEKTVAGIEAREGGPKLV L198_05354 MVFSLPHFSSGVFSFIDTSPPVVYPSKPKPLHRARSKTTTAVST PPSHEPSLAPPPFPRLRHQTSRHSLTTHQPQEGQGKATKTLAASMSALDLGAMWQPLP GGGLEERRRSISQMDGGKPMRPGHRRCQSALPAPQSPPLPTLSTISLSPSTDYGPRPS LQEYSRNSLSSSATSLPTLAEMGQDMDAEDVGVSASGPVTSSGRVFIPPSPIVRPSAR LSRGSSVISLSSSPSSSLLHTPGEDSRHRSLVPPPQPAPPEARKYASMPPPPLHPSPA SITYSPSKTFHRPRPSRTQSFRDVDSVSGLKRPSHCRRASVDSLASAEVSDVAVMATW SFPASPSPEKKTPTKLDVEEDPDRGRRLGSSNRLQERLKSLSELDTSWRLPSNSASSL SGRTSTSSMDSTDTVIRPSRPLPSHLSSRHRHTHSSPNVLFQTAAPGTGSPGAMGPPL QPLLPPPRPQRRTTTTRLRNPNPLSMHSQSTASLHRASSVGAGKGELSSSPGSMVSDT TTCPSPTSSIRSLPAGSMMSIPAIHLNGETAVAEAASRWWGALGSFRRSLSKSDLLSR DEVIVSKSGSVGETENGTEEFGLDLDDNEEEYIDMDHM L198_05355 MSSSDELEEMGPITPAMKRFLSAERYAVIGRVLDDRSRWDNKAS GKLRDSVDYLLSDLERRYQARNYPVAAVRPDKPSKSIEGLDVLTDPASISTFRLTPAD SPQLSIPSLPSTSVSIIIHPVKSLGILQSLFANPANAPHSVWFQPGADDGSIWKWVKE KGLEAKIVGHGACVLRDGDGVLDAIKSDGKAKI L198_05356 MSVFHLPTDFKDVISESDQSSYLQSQAHEIMALSSILDTDDDQQ PTPSPYQLYHRPHVFGDSGWTAGNSSADVQDSTSPETGDPEDSKWGEGGWPIDICRKA DMAEARQAFMGGVSGIAATSQRETTRKSTLSPESPPFYPSLIDAVELTPQLSQYPALQ PSNTHPTTNPTTPTDPPHLSPTSHPSIPARSRQRPKRVAYTFTHDPVAPFSLQDSQEG SENIGSRDALEGDMRADQLRDHWKAIKGGRMGAMNSQHSTRYGPRLTT L198_05357 MFTKVIFAALAALSVVSAAPSSEKRDQSTGTATYYSANGDGACG WAITDDQEVVAVNSDQYDASKCGQQIWVWNAATQRIAFPTVADECPTCSSGDLDMSGT LFAYLADGDMDQGVFDMRWGYF L198_05358 MFAKLFAALFAASVVLAAPIESNEKRIMHSGRATFYTVTGTGSA CEYTVTDEDFIVALNKPQYNLHNGGNCGQYLTITNTDNGNTETAYVADECPECKDGSL DMSPALFSALADGDFDLGVFPISWHFLKRDN L198_05359 MFTQVLTALFAASAVLSAPVEFGKRSSNETMTGGRATYYTVTGT GSACELTVTDEDFIVALNKPQYTSVDNHYCGEYVTITNTDNGNTETAYVADECPECKW GSLDMSPALFSALADGDFDLGVFPISWVWGSDNSTTYNSTSNSTSSA L198_05360 MIGFSSFILRNYYSAIGWNEDNLYSSFTRSSSGESLVDFQIPQS LILQLTNAPTPVFFTSYALDALPQLNGSLSYITTSEALREIGPDRKLAFKDVVERFRV LPPPKRPQPKDEVWLGGKRMEGRDYLLYSRLHLPSLHLSGLATTRFGPTLQAHLAFLS QPGPPTPTRPTSPNTAPSHSRQPSEPSAPAAPPTPGNVFLSLQHDTGRYCGEYTYSAQ DGMFGIRQLYNFGWHGEEEEDKVEKRVKDIREGKRIDEEDWMEGGLKGRFSAGGEVYF SAKQRSFGISTGLKFTTVPPTLPLPPNAPAPSPPTTLTLLYNPLIGFLSSAYSAQVSP TVALATRFGVNVYSYESDFSVGGEWWIGRRRGKRGAEHEDALARIAQAGDAVGPAVEE NRELAQLMAKKAALKDTTLREEVIEDVVAEQQLDSPVPLVTDANARKVLGRAVPQTQT REPVDDERDGVLKARFSGNWQIALLYEARIRNCLVSAGINADLTGTQRPIKSVGLEVQ YFS L198_05361 MIALSEKDDTPAQRLERLLNIITSVIASYAATPISSPLHLTELT HFYQRAASLSPKISHEDPTPPSPPGMPRSKSVVSLSFLTNPFNGSPPMPRIRNDSLPP SKRRSSGKKSDVQSQGESEEEGPTKRQVEQLEKEWWTSEIVAAWYGPGPGSPLREGYG LKSQSQKSNGGRSVNFKTLGRFRRDVGFVGLDDE L198_05362 MVFGQSDSEAAQQHITPNVFKSDADQAKEGVQQDVRHATSGTHH QAEDAIRPDAGGITSALKPSGEQSLGEQAQQKAGQIGSEVQPNDTKSATRQTKDFVTP GNDSAGAGGILNQIKNKVTGNEHKGTH L198_05363 MTLGQSDSEAAKQHIKPDIFNVTGNTHGHGHDAFRQDTSGVTNA LKPSGKQSLGDQAEQLVDRAQAGAQPNDTKSVTQQTRDYVTPGNDSAGAGGILNQIKN KITGNEHKGTH L198_05364 MPVPAPTNQQVELFPTLTLQHIDAARTSSWHDTFADITFPAQII DLAELGEQEEFLRWLEADSIFYPEGSEGTEETATISQEPSSRSRSSSNASNSSSSSIP PVYRLPELNAAIREAIKSFGGAAFPKLNWTSPKDAAFILPQASYGPLYCTTPSDIYLL LKSSDFVTHDIDPITAHSGLDADDPALGIKPKIELVLKKFESLNPSREVRAFVRENVL VGVSQRDMNFYDHLQPQEFRDKVVNTVKEFWLDEIRDNYEGGANYTIDLYLTPNYEDA TIIDFQPYRQSTDALLFTYEELHSIAQAAISPSSSSSPVSPETTPILPILRIIESQAH PSVTRNAPTYQTSMMPLEMIELGEGRNMAEFKEAWDEAVRAGMEEEE L198_05365 MAVPPPRVAITAPALPTDRPVPTTSPSPDPAVPPGGTAGQTLRP DTDALQWIPRNGARSKTGKDKAGWGNLPVEILHRVLSFARDDVSLDLILESFYGERSA RPNEIALALVHRVWFCRMRLVSSGWRSAVDSHSFWPDYTLTIDPSRHHASTISDLYSA RLTPSTPTFPTLFHRARNTTLSSCLACRLNHPSRLGYYPAVAKRMTYTSRFGFAPTCD KHAGTFCTSCLRESEPLAGRNSMSGGMISSTPSPREYGMSLMLSPCNLGDVDERGVER SPRALVCPDCRRQSIWNQIHLNLVSCARGTYLRGNRAPWLSNEKLKDYVDFNVGTAFE MGYAAVEEQWLKDNTRWDELFETALALQNHERVLKLQFMTTATEETKSQRDERVARLA ELRGEDSNGRETEEDAMEMEALYRSWWREIEEEELSSDEEEDDELLNEKYRLKLKIGC INDFVSDRIRYAFWVLPSDELEKLVSDDQSRNSDRSGAIHTSLLDIATNFTHPFAGFV PFAYQPNHAYIDTVGFMAIQPLPAPDIARLEGRADPFYPPDRLLRQLDSAFTEVLAIR TGGALYNVAHFVRRSCASDEEAEHECESLKVEDILSRLTAWEVWVPRALAEVVLDAER DKEEAELRAAQRVWEEESGPDIVVVDESKEEENGTAVHEQISSDEKSSSSSATLGKRK SSRPASPHDKRQRASPTTPPAQISADAASLEVTLEPSQGLKRKAPPSPASHHIDKNRK EVTPPPGPTFEVEKDGGLEMKRTRVESLAAGDDSSVAASSAPVSPTPVAKVLHDVSER TSSTETVGDVPVTPQESWVLGDGEGEAVFKDASMDDEEEELFEVGLEDKARAPLQARA DEEQLAAGPATPRSPSTISGVSSVPTGSSSPPLARELSPVSHARSRLGNYMTRAEYIV PFIPLTSIPIQLPPTHPLVGHGPVSLPINLGQGANRALLAAFYESRADLRECKCRICE RARKKAWDNLEAMRQLVASGEIGWEALLS L198_05366 MLFSLASILSFLALSSLASPVAKPRSQIAKRSSNLRIKAYADGQ CLTGAGGKWGIGTELTYGDCDSAPTWTVNSTAGSIGSIIMEPANITPQLALDAGDGTT NNEKLTLQKSSPGLFQQTWLYTEDNRIALTGGDNVSSVTEEYQCVDHGDDGPQTYQCF TGNTNQSE L198_05367 MEPTESTKRPLSPTPQNEAPEAKRPHLEAKPQQSVEIDPEEAMF NADNAGGNTKNKNNNKGSRGGKRANTKVQKKRDETREKKNLSSDGRVQRAWEPREVKE GETKEVKLPKKRCALLIGYCGTGYHGMQIQDHTARTIEGEIFAALVKAGAVSSDNAVD ARKVDIARAARTDAGVHAAGNVISIKMITEPPLPEGYKTIAEYVNTFLPPQIRMWGWV RTVKSFNARTAADSRIYEYLLPSYCLLPPNKDDQLAQRLNETSPGWRDVVGEEACAFA DARLPMPTSEEGEVDPKVRGEFERKRGYRVDEKTLNRFRDIVALYKGTHNFHNYTVGK PFNDRAVKRFMIKLEVKDPKVYGDIEWISVQIHGQSFMLHQIRKMISMAMLACRSGSP PSLLPETFGPKKIHIPKAPPLGLLLEAPQFGVYNDRIDKKMHGITEDRDPVNFGLYAE EIYAFKVKWIYEMLRQEELEKNVFHKWMQMMDNIRNNTLGYLNIKGVIPEEATAEALD AEGKRKKEEEAGATKDGADAKLEEEIESDDEVDQEALKRGDLEG L198_05368 MSAKSYRELARVSPSTASTHDSVLVIIDAQNEYAEGLLTTVDVA STRKAISTLLTHYRKSSAPLIHVVHQTPDGAPLFTPGTRLADEFDELKPASGEPVVCK QHPGAFTGTNLQELLDKFGKKKVVIVGYMAHICVSTTTRQASERGYEIVLPREAIGDR DIPGVKAAQLVDVVLHELADGFGTVVSVADIE L198_05369 MSTPGRPSSGIPTPASRRPRSSLGPGHPRPDDDDAMDRALQDVL RTRPPSSLRNHDDAPFESSSHLSASYGLPAPRTPGVSRQRTPSALGGAPVTPSAASRS VSRAGLGLRSSLANSTTAPFTPRRTSMASSTTSTTPFARRPESRASERDPGTSKWVPV VGERVRISSMGYEGTLRFYGATEFKEGVWAGVELEGGFKGKGKNDGNVGGVQYFSCPP NCGIFVTAVKLSQPTTGASRPSSVASSHRSQASYTLSGRATPSMSGRATPSRPPSVTP GRVPRTVSSAARSRPSISGHLDDDLPSRTALGNSTSANTLDSRFTVGSRASKYAGMTA KQLDTARAGSASKLGQSTSTVTGTTPKVSRISMGLGTPARGPRQSVSNLVTPRARAPR SSGLHDMPPPPSPGNINRVLTARQVEALEEEIRELKRRNGELEEDLKQAPELKEERED DGEEVEELRGEAEKAKADVAFLRSQLETAETNANDAVRILEELQGEHTAQQEDIDQKA KELQDLRKELKLAAERAEEELSAGMEAKKEQVREMLERAESAELELSEMKALVDELTN AGQQMISLNETKQYELEERLRELEDKNRSLDEKLQKAREEQEKSLLPPSPSTRQREAA TAAEIDNETLNAQVKHLQSKLNHLEEEVDEARAQAETDAEAWKNKINRVKESEKAVRE EGGVLRTEIKELKEQANGARGKIGELEGALKENQVALEGARAEIESLRVEASEAAGMR AALQSASANEKALTAAQAEVAELKKTLQAAIESSSKAAADAEGQVGDLEAKIASLEAE IETLKKTAESNISNNLPTPPTKLSSSSSSSEDTEKKIRGFQHIIQDLSAENADLKEQC ESLREEVALMKEEVKLLEETAGDLPSGGAGNQKELLEAKTTIKELNREVAELETLIET KIYREDELETRVSSLEREVDRHRKSSASSDRPPHSATSTHFSHGSDNSHSSSTATGGS NREVERCELCEGPHDLDACPVFAGNVTGEEKKGGKWCEDCESSEHDTVECPMAEDVF L198_05370 MERWNARRQDEEEEEEVLDDGDVADVVHIRVIENDGIVPKAQQE YETEWSNRQSRNVGWDGLGVLVGGRAAMYASSGNEVDAFGVSEKSKGYLAFRASGVWC VGRHGVGLKERKKRVASSTVGMYGGPCSHPVM L198_05371 MPQLRPPGPRVHGLHRQTAGFSEGRRGDKALEDGQTEEEDLDQQ VASSGHQHIIERLRFVAPAELTSEAVVVSAITALSYVTFAGGTAPQWKESLAVIRGCL ASSLAASSKLGDFSLQADSPNVPWKQYRPLIEFMIRLDIIGSVTENKPSRLLDVYRVL LKPSKTDPTTETQCILPHLVGCDNTTLLVIAECATLSHWRTSAENEGRLQVDELVRRG QDIEDLMDHRDEREACLGEADSKLPEGQADKVRAMREAFFCGARVLWATVVNGPFPGV PKVSLAVQETITALQSLFNLHGSTDINRVLIFPITLAGAHAETPSQQAFFRNMFSDMS ETDKAFGNRWAVLQMMEEVWRKRRSMGKGARVEWREMMKNMGWDAGVLLV L198_05372 MNRRRAPAAARPSSTRSAGAGGSSNTMLKLYTDSGEAGLKVDPY VVLVLSISFIASIFFLHIVAKVVRSFSG L198_05373 MCKHILNAQVAIRAPCCKKWFDCPECHAESQDHELTRTMEMVFL CKKCKKAFRKDMSEYEEADEFCPHCDNQYVIEAKEAKPMLGVEGEDARMDNRMLKDDR EKEKPERSVFNSRDVSDKLDTMPLYQLNPKQQKGR L198_05374 MSDTKEDSQASASSGDHPNIPSFCYRLPPDLSSSIFKTFIAEAS RDDIANLLCTSESTNIFFSYLLYEKLTVDGTKATEFFGDSVSEDGSVWPLCPRAGHLS AKELSQVMPNVPDSLCHRFVDFDPVVHLLNLGIDVFPEGKENQIVALFKKVLLCRSIK KIVVRDVAAAEVLAEFIVNVQSTFSDLSSFDTLSNTATGRFLAFMNVEWLVFDDSFAK DICSDETGCANDPVRAVLREIRPPNICMWAPKAFQFQEVSIIPQALLDYGLADQIERL IIHDATVCSLVRYYPARHTEFYFGPYLNPEDGSCRNCPGMAMPCQCCTNGYIDFFKLC FTSAVSDWTDESGTSHPRSFEMHGLRVDRAKVVSLLGKLWQHDSLWSDAGDDLLLVHG PNETVKCCEGCGGA L198_05375 MSQFVPPDDLRAAFCTALSNMYRSEVPLYGDLVELVDEVNSKVT TASPGLWEVGGKPSITYPHLELTLADRLRVERHGAIRLGRPCELAMIARLFRLLGMFP VGYYDLSTSGVPVHATAFRPIDPASLDKHPFRVFTSLLRPDLILDEELREQVEEILDA RDIFHPEVRVLIAKAEQEGGVRPEDKEQLVEKALETFKWHEAALVTQDVYDRMKRTHP LLADVAGFKGPHINHLTPRVLDIDMVQRMMAERGQYFSIPPKKYIEGPPKRLCPILLR QTSFQALTEPIHFAGSSPGAISSGSHRARFGEIESRGCALTPKGHELYQTLMSKTGGL TPTDDNDKWQSRLSSIFSAFPDSWHILRTQRLAYFRYKVNPLPFNPPECMSLDGLIEA GVVTYVPMVYEDFLPASAAGIFQSNLGEHEQLTGQGNGSAQGELEKHIGAGIVDYCDL YEKAERDSLEEVKRLTGFRLE L198_05376 MAAAEDASGDNHLNNLPAPVPDPVTGRLDPNDPTVKALTEAALN MDKSKIPRPYKCPLCDRAFYRLEHQTRHIRTHTGEKPHACTHPGCDKRFSRSDELTRH ARIHLPTSNDSNGINKGKHKYQDDELEHHDDHRSHPMGHLGPSYNMDVDRNAYPYNLH SIQMSGPSGGISDISALAAAASDQLIEIERHEAFRRAEWELRHRQIAGARKSNGNSPV GTPGSGSGGGGGAPYGFSNERERMSMSAAPSGANGNSLVYPVSAPQAATGTLPAVPAG SLADPTYLVPPSCCHEECHKSYRKRLKLAKQTAACPNCLTFAHPSNTFGGPGLGGAGH GAGGGGGDGSHHSSNSNTPRDGRSNHNSAEDLTKFASGQQGNHYSMNQPQPNLSQELA SLQYQHMQALQRARLGPYGTPASSASHSRSHSHSASPAMQIPNNGSNNHLRPYTVDLH SHRGGLASAHQSAAPSPSSSDDSDDEHMGELMPHAAFEFTPATSPVLSGMRQMSLWQQ GKALTAPPSRATSPVHNLSRNPSRPGSPEGHSANSGKHGHTSHSARDAKNRSHPYTHH YSTTPNSPYLPQAMKTRMSPPKLNHSLSAANQSGGGGKSVQDILNAPSIPPPPSDRML PPPNANSGANGYTSSAPSVNYSYSSQPTSAHQSPSTSRASSPVHGQSTNNQNHIAHGV RAAFGMTPIMQQREASKQAGGSFSPPHKLAPLGLAGDGTRLPSMSRGSSPVHFGVGGV GMELDGQA L198_05377 MNATRLLLKPRLFYAYLPDTVGPNVLATRLKARPAHLKRAEEDK KSGRLEFGRGFLPPPSHPLYNDPALPVGAQPMAGSIMFFRMESLEEVWDRLKGDVYWT EGIWDQERTQVGEFVKLPGDEA L198_05378 MVCLWVAWSLGPSLDSVIETTKEGEFNPKTTLQIMDQVITRIQA LHERQWIHRGIKPDNFCIGPIGSKSEDMVYLIDFDDAYKYISPYGNHSRDWGDNQGNW NWTSLAVDEGRQTIRWDDMESAGYMAVNFLKGWHGDSSRNSHSTMGSAEKDTSLDDLC KDVPQEFITYLAYCRSLEYTQQPDYDYCRRLFQQVFEREGFRDDGVYDWMAPQSAGES EKLIGQLSSDDVENSEQSEESCSSAGSGADTTVEHSLISQPETTEAGPSQCLGFKTRH VIRD L198_05379 MVRRRIGARIGNKYEIKEVITHRDHEVSVYVATDIQTQEEVALK LSYAVDRIELEANFYEDSIQDLEGFPRKKWFWKVKHCGYGALALDRLGPTLDNLMKES KFGLKTTLQVMDQVITRIQTLHERHIVHRGIKPDNFCIGPRGSKTESKVYMIDFDMSK AYVTSDGSHIEDEDECGGNRYWTSLAVDEGYEGVRRDDMESAGYLAVYLLQGYLPWFT EYNNSGVSTRRCKAETTLVELCTDLPEELSTYLTYCQSLDFKQQPDYDYCRGLFRKVF EREGFRDDGVYDWVAPESVVEQPESLEDQQSSGDSQTSDQSEEECSSVPPSCDDNTVE QALASHLKTTEACPVEHMDMQLRQILKIRT L198_05380 MYARKDIGEVIGGRYEIKEVITHRDCEVSVYVATDIQTQEEVAL KLNTAVHRVQRETKFYDDSIRDLEGFPSKRWSWEHDYYCFGAIALDRLGPSLDTLIKE VEESKFSLKTTLQIMDQVITRIQALHERHIVHRGIKPDNFCIGLKGSETENKVYMIDF DASKKYIMDGVHIRNYGDNDVGNRFWTSLAIDEGSKPVRRDDMESAGFLAIYLLKGKL PWLCEYRSSAVMRGKAITALQELCKDLPEEIITYITYCRSLQFAQEPDYGYCRELFRQ VFEREGFNDDGLCDWSTPQLVAESDSMEIAHGSDDDLPSSNHSEEDSSATPFDDDTVD EQTLVTDHTETTEACSAQYLNLRTRQVLRL L198_05381 MSYQPPSTQGKPFVPDWIPPPVTKTEQDWAQLHTIELSLLDSPD PKVVQDLVELAKVAIKEDGFLYLKNYGVSYDQLMRQFSIAQYIHANISEADKAKLLWD PETGLFEGYKAPYGWKRERARPDGISQFNFYTPEFEDPNKVPECALPFMDEIAAFCEY LTKQVNRRLLTLLSKVLELPDDYLWNNVQSQGGPVAEGYFRHALFRPPPKETRELSGG LRMNGHTDYGTTTQLFSVPISCLQIWGRDEKWHYVKYEPGALVINIGETLEILSGGHF KATRHRVFEPPSDQLEEERLSLVLFNASMGHLRMTPCMESPLLKREGCVSSQGVFKEF QTVMASGISVPTNKQWREAQIASMRDTTVPQKEVEINGKKHSVREFFGVKVLLPV L198_05382 MSRTPEEKQEPEKIAVVDVAVDDDVELGGEPEIDHVAERKLLRK IDWNLIPVFGALYMMSFLDRSNLGNAGLTSFSDDLGLVGNQYGAAVSVVYSTYVVFEP FWTVLLKIITPRYLLTATTICWASLTIGSAFVKNYDQFMACRVLLGAFEAGMIPCTTL YLTMTYNRNEYASRQTVVFVFSAISSGFGGLLAYALSHIKGNLAGWQWLFLVEGLLSM CLAPIAWYQIPNNVSEARWLKPHEKEILRVRQERNKSVYDHQEEFSWSAIYSVLKDFR LWVAAVSHFGIDSTLYSLTTFTPSLLAGLGFTSTTDAQLLTVPVYALAAISYVILGRL SDKFQMRGPMIAASLFFCLIGYIILSTAPQVGVRYAGVFIASVGLYGSTSLNVLWAAD NFAGHYRRAFAMGFIQLVGNSAGACVGFIFTTQTAPRYLEGLHFDIGITLMSIVCTGI LSGYAWYGNKKKHAAIAAGAEDRPELGDANPHYLYYI L198_05383 MVLVEVGQVISGRFQIKDVITHRDHNGKCFLFLHEQNLTLHATV SIYIAEDIPSGQAVALKLNHKVHYVHYESCLYSRFQHLDGFPRMYGACYESYRPPYGA FAMDLLGPSLDKLVLDAEGSKFNLKTTLQVIDQVITRIQALHTQSFIHCGIKPDNFCI GPPGANENKVYMIDFDLAKKFRDHLTKLHIPYREKQDPVGNPYWSSLNVDMGIKGSRR DDMESMGYMAVFFLKGILPWHSDHARRSPYPEFRKKSETTLEYLCQDLPEEFATYMTY CRSLKFDDEPDYDHCRGLFRQVFEREGFEDDGVYDWAASELELDIWENEPSPGSPQVS AWGGGDDTDLEIAGTVDPVDSVDTANAANAAGTVETFDTVDTC L198_05384 MATELAVHRKSTKKVIPIAQIHRRARLWGGCVITDKWSALRFGQ VQSINLEDCDCPLPFQWPDHYHDEARAEGPACFAPLYHLTKLSIILGQVLRITSSPQE LARTTDCAILQSLTDLDTWSKDLPTSWAVSERTRLPQAGDFFNLMYCAVEFTLLRPFL FPTRPIPAHITYRPSSFLLLNLIDRSEMALDWLSTAEGELYLDVWGITVYPLVTCILM NFYAYNHPSPSPSPTISNPQARALHYLKKGHHIVQLWVQAHAEGWPEDQTSWRTKVGD MVEVVMNSVGAHEAGGGRDRGDRSVDEFWEEVFQGRL L198_05385 MSRARFIESSKFGFIRSRVNNCDWPDDYEMTQVPNTPNDVQALS RSEVETWFRHYGAEASDTAFQKEKLIAFMSGQDLDPRLL L198_05386 MARLTPPGQKPGTSGLRKKVKIFQQEHYTENFIQATFTAMPGGS QDKTIVVGGDGRYFSPEASQIILRLAAGNGIKHVIIGKDAILSTPAVSALIRSLKTDG GILLTASHNPGGPENDFGIKFNTANGGPAPEEVTNAIHKVADSITEYKQVDLPEFDLS KIGEFTHGPLKVTVVDPVENYIKLLKEIFDFDLIKNWLHTTSPKPTVLFDALNGVTGP YGRAIFVNELGLDENSIQNCVPLPDFGGSHPDPNLTYAHELVERVERENIEFGAASDG DGDRNMIYGKGAFVTPSDSVAIIADWAEKAIPYFKDGVKGLARSMPTSGAIDIVAKSK GLEVFEVPTGWKFFGNLMDAGRLSICGEESFGTGSDHIREKDGVWAVVAWLSILATAN KETPGVGIKEVQLQFWKKYGRSFFSRYDYEECESEGAEKLVSHIRDTFAAPGFVGSSL KATSSDASFKVAEADDFSYTDPIDGSVSKNQGLYIKFADGSRVIFRLSGTGSSGATIR LYVEKYSQDESEYENDAQVGLKPLIEVALELSKLKEFTGREKPSVIT L198_05387 MSHQISFPAPKSDLTVLLLGAGGREHALAFKLAQSPRVARVVVC PGNGGTALMGGKVENLTLPWGAPPAFASIVEWSQTQNVDLVVPGPEQPLVDGVEGAFK KAGIAVFGPSPAAAMLEGSKSLSKEFMARHNVPTAAFRSFLSNEYSEAAAYINSNPFP SGRAVIKASGLAGGKGVLIPETNEAALAALKSVMVDKEFGDAGDEVVVEEYLTGPEIS ILAFCDGYTIIPMPAAQDHKRIGEGDTGLNTGGMGAYAPAPIATKEIMDRCVQEALAP TIKGMREEGHPFVGMLFTGFMLTANGPKVLEYNVRFGDPETEALMLLLDDETDLAEVL TACVERRLDSVKLDYKPGFAISVILASGGYPGSYPKGVPIDLGSRPQGVEVFHAGTKL QNTTTLTDGGRVLAVSAYAPTLRAAVDLAYSGVDQIAFEGKTYRRDIAYRALSSVPVE VTPAKGLTYAAAGVDVDAGNDLVDMIKPVVKATKRAGTDSDIGGFGGAFDLAAAGFRD PVLVSGTDGVGTKLRVALDYGKHNTVGIDLVAMSVNDLIVQGAEPLYFLDYYACSKLD VPVAADVITGIAEGCLQAGCALIGGETAEMPGMYHADDYDLAGFAVGAVERNLILPTP DITSGDVLIGLASSGPHSNGFSLIRKILAHDNLSLHSPAPWDKSITVGESLLTPTKVY IKGLLPGIRQSLFKGMSHITGGGFTENIPRVFSTDLGVTLDLTSYKLPAVWKYLMKAG NVEAKEMVRTFNCGVGMVIIVSKEKVEAALASLKEGGEDAWVMGEVKEGKGVEYIGLD KFGL L198_05388 MLTKSLTLLALLALSIVSSRPIDMSDGDDVDGPYLARGGSVTVS PTGTAKNAEESGGHDEDDGEDEDENEEDYGTESVSFTESATSASKSSSANDDESASVS VSFVRQRAIATGSVSVSSSAVSLAASQPVTPIPSKASATPTLPTASAQTSSSSSFAPT PTPSASPSSATHSQSHFSPRSTFSSLAPSGTAINSTLAEPTGSLESFSDPVGVSVSTF IVVFVGTVIALLGMPLLICLAPAVKRGHVANKEWKGKRLGSESYWSSRGSSLRTQSAL DSHSNIGSSAGNSDKIPQVATPSTMLGFTPTIVISDRDIERGPFEYPIFTEKAAMTES RA L198_05389 MAEVQNGLADRHTTVFVVTLVFSVFATAFIGMRMLSKGWIVKRY TPDDWFAVAAWVFSMGVSVSVMIGAQAGLGKMDSVLSDYQSESQKKAIYAFTIFYNLA LSTTKTAVLILYVRMAAAHFFLRWASIATMAVVNMAGTALVLVMIFRCHPVSAAYTDG GTCMNLISIFLSSSPVNILTDFAILLLPLPILTRLRMEFRQKVVLVATFIVGGFVTVV DVVRVVYLQNALKDEFDSGNSNALATTSGESSKNYGYHISYSLLWSSIEVSVGLMCCC VLVLRPLVLRILPAIIKNPNRTTITSGGLGSFTFGGRARAEASDEEPLRDGRESSGAT NGTAATGITNQNVSHAGEDPGRVNGGSTSSGPQPWDNELDLFAMLASDPPAQPQSKAP KKMSGATVKLQQKYPALFGRHLASASTEASQEPTQAFFDFVQMGGNKSLTELSTKEAR KVVLFVSILFFLWGFGYGLIVTLNTQVQKIHGFSRHQAFALNCAYGLAYFIAPPLIGY WVLKKYGFKATFITGLTIYSIGAMAFWPSAVLGSYGGFFVSNLIIAMGLATLETAANP FVALAGPGEFSEARLNFSQAFQAIGAFVAPIIASKALFGDGDVSEQRLFRVQWCYLAV AIFVLFLAIAFFYVPLAEATDQALDKDTEDREMRADLSHHAKWYGLSTRIVLLCMGVF TLWLYIGAQESLGYFWPLLSRTINPGFDTLWGRTISRGGHAIGRFAAAILCYIGVPPR FIILFCALGSLVTGLVSIIAPIGHGTYASLILIEVFESAIFPTVFAITSRNQGRHIKS TSAALIMAASAGAVWPAVAYGIRIHHMHVRHLLIPSVVAWALVVLYPLALSSVSQFRG WIDPKASRIKKDEHVGQAEKGQQLEVEVGDMEISPRNVGDDLPGLSGNRGFGGRERAR TLNMEEVLAAPMTMGLGLNLEAEEDLQNGGQREG L198_05390 MPSAAPSPFHTLRTHSSPLATLHFSTSPSNGLLYSGDQEGWISV LDLRVRRVVAFWKAHEGGVLGLGEWGGGVVSHGRDNIILFHEPLKRPYIAIPTSTNPD PTAHGPKIANALPTNALNFCRFSLTQLSRNGTEGSKGKGKESEGLMAVPSLIDSELVD IYHVPSLKRLHASINLHSQPAPPPSGVDLPGTSKSGLVMSLNLFFPDPSAISTEDHVG LMITYESGHTAILSTPASSLSEVYDARMSKRPNPWDCRWMGKGHNEAIMAGTCDSRGR RGWTVSADHRLVRYEFDQVWDGKLRKDDEDVMKPYATKQIGNSSIAVSGDDRVVAVGG WDGKIRLFSAATSKPLGTLSSHRDSVYALAFAHRPSSSPAPLLSEQDNLTQTAESTIV PLSDDTSDKDDGDSDEDMDGVPPKERWMASGGKDGKVALWGLMDFGKGSAGA L198_05391 MLSALRPLARPLARSCVAKPVVASRFAALRFASTTKYSTDHEWV TLDSETNIGTVGITNYAQKALGDVVFVELPSEGTEVAQGETVGAVESVKAASDIYAPV SGVVESINEILGEQANLLNKSPEKDGWLCKVKLSNPAEFDDLLDEAAYKAHCDGN L198_05392 MLGTSLNPDTPEDDNDPSGLTRDSDLFHRFTTGGDYPPAPSDPF LPDEDLLSFTLSVDYPPSQSDLAQGLGPPGAPPSPTSRVVLNDDVIREITGELVCDQN REETAVLLRLNKVFHARFIKKVYGHFDLDFTLSKSFFGKLFPESLDHFLVLAYTNIDL IHLPGTRAYLQPLYWPIRHGYIGEISSWIDDLRHRFRNLHNHTEALVIRDVEALKYIA VFLVTSLQLIKGAPLHAHQTLPFEERLFPQLGWVVYPKAFAADYRRLTPDEDDDERYD VEPITTPRKTTSPVVCALHLASRCLFSRHRCVHPLHPEEYPFATADLVLYDPTYDTGY LPTTHHLVIHNINPGYLRCNLIVEHLEFYLIGLSQHNYEQMAGHDDDTGIQESWEEVE RRWHEYEIESWLGGFFHDSHKIYEGYRSVERSLGRVKLIEFNNTNADIDLCFKNVVQQ LGGVPRQYASRGFDEREHMKNWAGKVVANRPGTKRCEGCGESA L198_05393 MPSKTSFSRPDPNGNPSRVMVPTDAPPLTAVALNTDIVPEITDH LAWRAKAHPCDTDEPVTSPRETYSPITSALHLANQCLFNSHRCVHPSLYAEVSPFAPS DLVLYDAAYDSDFHTTTHHLVIHDINLSYVTSRYVAQHLEFYKAEPDEETFEEWNYHA DEEQSWNMVEKYWHQDVISDWLFTATMRQCFMMIILRSISPFAQ L198_05394 MAEAATSSLGMVGDSVGKQIVVKAQYFVQRPGHFPQPATVLDIR ANRKGSRDVYISFPGQDKRLDSWIPENELGELVTEDAGNTEDHGKRNGAAAGTPERSF SEVSDNPKLHAPSPSIESSPEREHASLTRLRNYEEVRFGEYLINTWYYSPYPINQTEA PPVHHNTFEAASSRKRKISETNGTSEHQASSSRLPAQSHSTAGPSKPQEGLAHPDRSQ MSRLASDMFAAGAEKGEGGKRRLWVCDLCFKYMKTRAAWDRHCSSCTMMEPPGRRVYR RGSYTIWEVDGAFAPLYCQNLSLFGKLFIDHKSIFFHVENFLFYIICDAATSRREQAM AFFSKEKVSYDDYNLACIVAFPPFQNRGFGKLLIEFSYYLTKHPATRSKYLSAGTPER PLSDLGLKGYTAYWVSVILRFLKLLVRDAEPAAGQSPVKQRGSLAAGPSPVKPGVPND RSLRARKEAVDKGETIVAGDVDVLRMPIPGHSGQFNIALALSDIAKTCHLRIDDVAFT LSELGFLRHRRAATIPMRKRTRNKHDIHGEEGQGGEVEEERVEDEDLGEWKGMEVVIS KGMVEEAWGRWRVREQGVLEESCVLL L198_05395 MASFRRLSLRPAGDDAVVPSTPPLLEKKRRHSSFFAKAAASSPK SYLSPKDDTPPASHHQIIAPSPKTARSFRRTAVKTPDLCYHVVRQVISHFAEDSTLAE RTVLLLLNKEIRSIYLPFTYQRLSLDPTFCRQFFCPLFRAVKLDSILLFAHTGNTFGR LTPSDVPLVYPYPPAPATSPTALSKLKARWSSLIVVFVPTPTQQELRTRRLGGRYRCL LMNTEVLVVHDVMSLKCLAAFLLAVLQDLLAIDLQEHVPLSPDFRLLPAIRWVVYTAD FAADIDITRPTLPAECALHLASRCLFSTHRCVHSSPGNNNIFASKDLLLFPATGKRFV PTVKHLVLHNVLPREVKHCYVVPHIEMYLSKLQDAWWEEGGVYGRTKWDNREEAEVAW HEVSIKDWLVRFFCGGAEGFELEGPMKRYLPAVELIEFNNTNADIQKVTQDTYEHLYS KGMVENMLAWKGVIKTSKPGWKCCEGCGEAA L198_05396 MSGVKTILIPCAPINTPHLPTGTTEIPIAPLGLVSGHPILWFYA FEGVLDEARLVRAIGLLTSVWPTLAGRYQREVGGDGEVAFSIRLCASPIPFETQSIER DQAFPDKRFIQPTEDNPYIPTLPTNFRTLNSESHLFSVRLTTLLPSGMSVLGFQMSHL AQDSESGLRVLLLLEALYLRGQDALDSIPPNAAYALPTFFPDVGPLPMYVPSQNQGEI VPSQPSAAALQAYLDAKKDLSMVFVQLSMWEVNILKAQYQQDVGVYLSDQDVISAWWI DLLRRVGVDVQTVIYVLNYRRWCIGHPSFPPSLPTLSGTASLTRPINISSQNSPARVA TAIREHVVQLRSSPDKVLEWISDGAYHFRQAAVEEKTPALMPEGQVKINSSIRSYWPL FGFKEHEVSYHTPFTAPRVLRVFLANPAKHGEARGERLELYFDVSKEDVDKVERLVER DKAGWKMKVAGMAGARL L198_05397 MSQAHRPTWNPTQGRETKSGSQQISKLSLAAHTKLKFRQPGQTN QGDVVRRDLKAELAEAERKAADKKRKAQGLPALAPGPEGQLRIEGNGEEDEAAVKRRK VLEQAAELDKDDESGSEDEEEAPKDKGKDKAVDNEDEDSDDSDDDSDDEDDTAALMAE LAKIKQERAEEKARLDEEAAAKNAVSREAEIATGNPLMNLQAALGTAPDTPGSTTASS VSGGFAVKKRWDDDVIFKNQAVGIDDKPKKGEFVNDLLRSEFHKKFMNR L198_05398 MAQPALRKSKSNLRQRLGLTSTRATHLSPIASAGSTNLASSTST TASSASRTDSRSAFTYDTSSPSPPLKRPEFDTISYNSAMSASIGGFTEEASASNLTLV SEESVVEQTYQTPAQNLQAPSQYPPPGGNDTLNPNIASVTSGADDIFSLTDQQLSDRF TFISEIGFGNWGSVWLCKPKHARSSQLEQGAVVRLGKQAAATGGSGAGGKVAIKLVHR SKTATTAARVRALWGEMKIIRSLRHEPHPSVIQFEAFVITPSYALVIMPHLSHLIPVC LPPYRATPYFRQLASAVGYLHERGITHNDIKPANVLLSHNDVPVLVDFGFAQKWDIAT RGTFLSSISWGTPEYLDPQRAKGMPHDERASDVWSLGITMFEILIGRTPFEADDQEQF STPEELVVYYERTKVGRWVGEWNMPPDIEVLLHKMINPDPAYRITAMDAYHDESLQPS APSVIITPHFVRQAANFEEEPLPAPSAEAVAKVKRDEEKKEKRKSKKKVKPTQASKEA HSRSVTPALGESIKQHTSISKPRREVSDGKENERVLVEVEKKQSKLVIKKLRDEEYKD DKNVEEDPTPTKMSKPAQALKVKEHTVFTDKKVVPRTSNSQLTNLSRPNSAASGAKEL SRATSSQTLKDKRASGQSFLSGNKEDAVLRTMRSLEGTRKLFTSQQKEKAQEALASIK RPAPSPPRPKSLDSAVEKEKKIDERRSLGIDRALLLGVKEEDKEKKVAQKEPPMSPPR AQISNASRMPTPSPQKSRRQNIRPHAELDATTGKIFPASDDESPLSELRDKIIVSDQE KLVRFRQASGGLADAVETLRSTSPTPRPSAEPSSSREPTPTQRHSAEVKPTLSHRSSR ETVRSMPILSRTKSIEALTMDSRLDKMSSWIKNVEAIIEDARKAVAEGREPGLPVLSL PTEITGEQEHRFGVTPDKPNAIPAHLRTSSVQVEPSTPPQWMTYTEAEEKVKAANAWM EEQGRKKKERPSVNHVLKLFGGEKEKAPGSRSGTPEPGHFVALKPPAHALRGVPSTPA LRGAATIRQPSKVPHRKSESNLRNFNTMPVIPSPASFRAGPQYDADADDDDLGTEYVS DRRMKYETMLSSDSNVSRQGDGWTGTLPRREVKPSSSMASLRDRARALLGDSSRHHAT YSDATYPTQKVEKRSSRLTLRGEKRDSEIPARPNTPAAASVMGVKTGASSGAPAGDKK KGWVKSLKGAMGMKK L198_05399 MANNRPSLIHSVPSPGSQGFSSSFPPSSTSLSAHFHNPTTSNPP SPDPTSPLLQTGRRGKPRGMTFEEAHRGGIISDFQGSLLFETIPIEDGDIKKLPRKLR PYYEKLALLHAHYTEVDAILSGSITHNIALSFAPTSRTYIQRLGDLEEEVASPGLLRR DSYWRANGHRDSDEQGGEAGERTGLLERDEMQKKLERRERIAKLALHINTIVNVLLVA FKAVAVLYSASISLTASLVDSALDLLSTVIILGTSLAMGAGGDTHKYPAGKRRFEPLG VLIFSVAMIASFVQVFIESFQRATRPGEGEEIDLGPLGLGTMLATIGIKSILWVWCSK IPSSGVQALAQDAENDVFFNIMSLAFPWIGSVLKWRLLDPIGGMVLSTYIIVEWIKTL HGNFANLSGKAAPSDQITRVLYLVSRFNPVLEIADVECYHIGDDLIVEVDVILPRSST LRYAHDVGETVQCVLESLDGVIRAYVHCDYSSANPSQHTARTPQAHPITRFSSPSSSS DSGSATPKAGQYLSQSGLSKMSGGGEGNGYGYGAVHEDPIEEAR L198_05400 MQMCQYCNTAGSRDEHHHPNALSDTTTIPIADVSLWAVGAVQSV LCFEGALDEKRLRKAVGLLSGVWPTLAGRYERLRLTSSLIPFETPTIESDQAFPDKHV VQPTLSPFLPPLGKNYHLPNTDAHLFSVRLTTLLPSGKSVLGVETSHVFYRR L198_05401 MLELAGYDLPTFFPHVGPLPAYGPFWNLDVGRATKGHDLSECVK QYTAAVAQSVQTVVELYRSEIKLLRDQYQQEAGVKLSEQDALSAWWIYLIKKVGVDDV NTVIYICNYRSFSPEHPSFPPNLSTLASNGAQMRHIPIPPTSSPSASPALVAKAIREG VVKLRYSSDETLRWIATVAYELRKAAIEEKGQIVLPRPGEVSVNSNMRMDWNVSYGFP THQVAFHTVFSVPRFLRVFRANPREGEEKGKRLELIFNVADEGVKRKVQEAVDEERRA L198_05402 MSFLSPESEAIIKARIKTTLVSCSSINTPHVPSGTTEIPVSDLG LMAYLTVPAVLVFDGLIDETRLVKAVELLTSVWPTLAGRYKSVGEGDKTKFSIELTSS PIPFETQTLERDRAFSDNYVVQPTLDPYLPPMDPNVRYPNTDNYLFAVRLTTLVPANK SVLGIQVCHLGMDATVGRNLLKLFDALYTHGEAALDSSDPQVIIPTFFPVSGVEPLPA SEQYLTDDDVFGIPSKPFPKSIQSHVADVMTSSRVALRFTKSELQALRDQYQLDSVVK LSTQDAISAWWATLLNNVGVNVETLVYILEYRTWCIGHPSFPSNLPSLAAVVCSISPI DISAVTTPGRVAQAIREHITKLRSSENDEALHWISRTARHLYESAIQGRDMVFSGDEE QSAKYAIVNSNIRIDWFFSFGFKEHQVSYHTEPTCSRYLRVYQANLQEGDEKGDNVEV YFHVPNEQAEKAREIVQCDRAKWVAAQLA L198_05403 MNKAEQRLILLQPCYNPSIVMSLPATGSSADLKTILIPCAPIST PHVPSGTTEIPLGDNSLTAYLTVSSVLAFDGALDTRANCVGEGADTKFSIELTSSPIP FETQTIERDQTFPDKLVIQPTVNPYMPPLDPNVRFPNNDNHLFSVRLTTLLPSNKSVL GAQVSHLGMDRTMGRHLVKLLDALYKPSPAREPVVTGYRRQHDAEVKAERVPKSHRAA AGRSSGDAMCAFLRAFISNGKPKQQSAL L198_05404 MSTDSPTIEQHCLPGMNIMLFHTGLGEEIVTIRGDGKSPHAEVQ CPELVKDDGEEEQEEEEYNYEPEPVPAHFHIPSLTVTVTPRPTPAYDPTRFTDFTLPG GRPAFIPRGFHHKGSRPGWRGSKPGWRMQRERVAGWDRMSDVEERTERSGGSANSGSV NGRGGVGNGVQRATPDFLAPPDQAKRQVTKKRSKPMEMVHNMKKKVMKKMPSFDRKKR E L198_05405 MSSPPTIPSSLLPRLPKSQYTLHPLLPADLLILIAHLRTLYLPP IHGGFQAADVLDDGDGSEHYGGGEQGKEKVIQVRKETREKKRERRFSAGLVETMGGMG LGLDVPLTAAPEHPIMENQEAEEKEDRSEGEDAAKAYESEQEEEKPHLDPFEREWSEK WLNGLVRRSQGWLEENEEVENKVAEIKEVEAVLRDATAVLAMMAGTSAAGSFTRHLVF PMAEYLGPALSQVRAKLEANPLHSPSTSTFLASFSQSPTSPLTLTQHLPSSPTATRSN LSVSPTSSRRTKYPLLPILLHDAPMNDHLSVGVQTWGSAILLGRQISLHPADYGLFLP PSTSRGVRVLELGAGTGLLSILGRKLLDLHAVAETTHPGLVVATDFLPSVLDNLKVCV DLNFPPAITDSGIHSATDISRDTGIHVAKLDWTTFPAFMASGQREGDEETALFVKDGP FDLVLASDCVYDETHARLLREVAGWVLRLPEGEGDQGGTFHILSPLRPTFQPEIESIV QFFPPHSTYTPLAERQAAASSASSSIPPELRGEGLGTARGLKLGTRGDGKRAVKGRKG EGRTDEALGYWWWEVGWA L198_05406 MATRVARRVDDVTGVVQKDKGTDKQQSIAQYTKAQYSKADCFEA ALDCLIDLNLPIMTVDRPVFRHLMLVCGNGRLQDGDIYHRTKATSMIEDRADVAVEEL KALLKKAHAKKSITWDLWTSADCTSFVAVTVHWVTNKFKITSDLLEFKELESAHTGSN LAELLYQLVKEYDIAKSLMVVTSDNASVNDKATREFANLLSVRDNVHYTEDRLRGRCF SHIIGVALGHMLVAMNALPSFEFSPLVDSHFFEPETFEDFFPFGQTQEEDTEVDAEEL EAIWSALAEHGSEETENIERVDVGKLLAKIRQLIVKVRGSPNAGKYFEKCCVDADACQ LVLLPFSKTRWGSMCTVIERVLVLRPAIDHFTSTADKARDVPKAGPKRQMYRDFTISD CEYQVLEMLRKIMRPGVDIQQIFGAQDHPSIGLVMIELSKLIETWERMSESFTNFSIQ PAIQAGINSIKKYYKNAIIAGVPVFALFLHPAFKDIWSMVHLGAETHEELLQAFTEEA RWTRSLESRDCTFRRYRKIYATTSTTSSPATPTIDTDLPAMSASQMKMHKLKMERKRR AEELARSEAGDPELTELTDYFKLLEEEPVVPKGVQRHEFVSEWILTWWYKKQTIYPVL SKMARDIWGVQASSVPCKRMFSQAGLADTKRRQSMTPKHSGQLQRLQAHAKVVKEVAT KRSA L198_05407 MDDRPPISHPYPPDHFARPQGLDYQRRRDERHANSDMPAAAQAY PPAYLGDNPYAEMSRGPSPNLGVQQPLYGSSPAPMMRSASSSSAQPLADPERGEANSA SRSKEGDYPEGWTKEDEEAERQFLSQGMIDWNALKQWRYWIRKEWWFWYILGAVGIVL VCLMSLYHDEIVDWLRPVANWMKDLTAGWVIPIAVFFVLSFPPLFGHEIVAVLCGVVW GLWEGFGITSAGTLLGEIGNFYAFKYCLKSHAEKYEKNNMNYACLAHVVREGGFFIIF VVRLSAIPGHFTTAVFATCGMNIWIFTLAAILTLPKQASRPPSTPLIVVYLGVLFDDT DSSSKEKWISRGVLIGGFLITIASAWYIWHEVHKVRPIVWRRRKIEAAAKGMSLDPKT GKFIESPHAGMDGLAGRGKEWEHALRPGRAEEEDEARSPILVKHEREQEREREEGYRM DTLGMDDYRGQRQQGRLERHQSYQNPYDISYQNSNQMSIYDVDGPQVHEQDIAYGYKP ASSTDTFLPTYNAQPPPPSHNTHLQPHQPSAHQLTHPSPPAQNEVSFPEAHSTGVENH HTGAGAGLHRHGTGAKVYAKEEPRVGWPQATPNMI L198_05408 MGLLVDGKPLSWEATELLAEHIWSHGITQFLKIWDRTKGRVCHE FLWGDEIEYMVAALDDKNAAINKFAFRKDIFPPKGSLDSESTADIQEMSLDKIINGDG GAFPGLMGAVEGYLRIVGADEDAMDGISKYLELIKLRAKGGLCERFAHSGHLDLITSR PAYKQDSIVSDEMNYHLIKNHP L198_05409 MGLLVQGSPLSWEETKPLAEHIRDHGLTQFLNIWDKTKGRTCIN FLWGDEIEYMVASLDHERKSARLSLRQSSVTIKALQADGIDSSLAMDQGAGIPTFHHE YGRYQIESTPGNPFSDAPSSLLSVEGDMRFRRQIIRRELQPSEILLTITSWPRMGVTD TAFTTEEPMETKNQEDEDLEEQHVDVDKLTRGRSVRYSDTAVYRQAYRHEAAGAPPRR LSSEGKVYLASSGIPTIFMDAMAYGIGCCCLQVTLQASDVDEARTLFDGLVSVAPIML ALTAASPVFHGRLSDLDTRWDAIVSSTDDRTEDEKKDAKVRCRLWHCSKLPRWHSVNM YIANDDKNKPEYGIEVPTNAQARKRLLEHGIDNKMADHIAHLFIRDPLIQMSELVNQD DSKSTLHFDGINTGTWPSVRFKPPPPDSTIGWRVEIRTMEIQMTDFENAAFSIFVVLL TRAITSLKLNFYIPISKVDENMERAHQRNAAATKTFVFRKNIFPFENSSDSEAKDDIQ DMSLNDIINGDGAGFPGLMGVVEKYLKTVDADEKTMDGLGRYLDFIKLRAKGDLMTPA SWIRNFITSHPDYKQDSIVSDEINYDLVKAIDELERGQRSVPELLGKDFYARSGPL L198_05410 MGLLVEGTPLRWDAIKPLAEHIRYHGITQFINIWDKTKGRICTE FLWGDEIEYMVACLDDEHKTARLSLRQSSVTLDRLRDESLDPALLKDKPAGNTMVPIF HEEYGRHMIETTPGAPFSDALSSLLSVEADMRFRRQIIYSKLEPSEVLVTITSWPRMG VTDTSFTQAPADMNFDGSSGKVTKNVDKLLTNTHHPRFRSLHDSIHQRRGRPIDIRVP LFIDKLTHTEGPRVSALSPCCFDCKTVSAHTGTCVASDVPTIQMDAVSYGLGCCGLQV TSQASSIDQARYVHDAMVVIAPIMLALTAASPIFQGRLADIDTRWSVVAASVDDRTEE EENGVDPVTKQKASSLVRPRWSSVSLYVSDDERNKAVYNDVDVPINDDAKKRLIEHGV DDKLADHVAHLFNRDPLVQMFETVDQDDNKSTIHFEGINTVVWPSVRFKPPPIDLPIG WRVELRTMEIQMTDLENAAFVIFVVLLTRAITHLDLNLYIPISKVHENMERAHQRNAA AIGMFVFRKNISPSNGPSHVEIEDDFQDMSMDEIMNGDGATFPGLMGFVNEYLKTVEM DEDAAACLRSYLNLVRLRAKGDLITPATWMRNFVTSHPAYKKNSVVGDEINYDLVKAI DELERGVRSAPELLGKDYATLGPTDAL L198_05411 MGLLALGTPLSWEETKPLAEHIRDHGITQFLNTWDQWKDKAGKG LLWGDEIEYMVASFDDEHKAARLSLRQTEILEKLKSVTLDPALEKFKPKKCAEIPTFH PEYGRYMLESTPGGPFSGNPCSLTAVEADMRFRRQIIRSHLQDTEIPITITSWPRLGV TDSAFTDPETKPDVEKSSSKSQYVGELLTNPHARFPTLTANIRERRGSLVDIRLPLYI DEKTPIPEGFDRPQLSTTSARESLSCSSRSLLTLTLAGRAKPLPGTPYIHMDAMAFGM GCCCLQITFQAWNVEEARRVYDALVPVAPIMLALTAASPAYRGQLADVDARWNVIAAS VDDRTPEERGLKPLKDDKYRIPKSRYDSVDLYIANDERNKPEYVDIDVPVNQKVKKRL LENGIDDKLASHIAHLFIRDPLVQFSETIDQDDKESMDHFENIQSTNWQTIRFKPPPV NSPIGWRVEFRSMEVQMTDFENAAFSIFIVLLTRAIISFNLNFYMPLSKVDENMQRGQ QRDAAANNKFFFRKNVFPLETSSGSRFDPHSRPVSPPGSSGSSSLNSRPNGNSNSKGH RPNGTSHDRAPSPTASSCSSFADELGDDFGEMSLDEIMNGDGRGFPGLMGVVNAYLNS LNVDVNTKCDIRRYLDLIKLRAKGDLVTPATWIRSFITSHPAYKQDSEVTDEINYDLV KAIDELERGVRSAPELLGKDYVGSGPTGCL L198_05412 MLKGVKQLWPSNHANANNNNTPGHSPSPSFSNFGGPSDQATPRA SAQPNPFDAAPPGAQSPGAAGLSKSTASLSLDPKRAAVAPGGTQHQPSGLKTPTSQGL TSLPLGTPGAGQPTTGVTKGDTVGQTGGIGFGLHEPAKMRKAMNKNEIASTASPTQSV RSPTTGPRGTLRVKVISGRGLAVANSPGANSQPYVVIQFEKNEYVSRPPHPAASPSSV PFTTGTAQPVAPANLTRSTSGLGVGAISRAFADAVGRGKKEKDGSGAMTPKAEDASGG GGNWLGKPGPGDPVWKEEVSFDVTSSKPTLHLSVYDRCRDGEGFLGMLNIKPALQDGM VLDNWYKLGTRGEESVTGEIWIQMTYTVIRKNVALKPSDFEFLKLIGRGTFGRVFQVR KKDTRRIYAMKVLSKKEIVAKKEVAHTIGERKILQASLECPFLVGLKFSFQTDTELYF VTDYKCGGELFWHLQKEGRFSEDRARFYIAELVLALEHLHKYNIVYRDLKPENILLDA TGHVALCDFGLSKPDLTDDKLTNTFCGTTEYLAPEVLLDEKGYGKHVDFWSLGVLLFE MCCGWSPFYAEQTQEMYRLICYGKIRFPKNVIGDDGKQFVKGLLNRNPQNRLGSQRGA VELKEHPFFANIDWDALYKKQITPPFKPIVDSDESVANFDPEFTNSSLLDAGIVPWDD NDGAGEAGVGSGSLTGKHSYLGPGAGLSGSQGGPDGVAINKSQRPPLPGASGSPLTSS VQENFRGFTYTGESLMPQSVLADQQMDSDSDNENAVDDEDDEDSSSEEEDDDYDDNIV SGRTARGGDVDMD L198_05413 MYRKLLLKRAMLATRTTAARKLSSAAQVGPLKALIHSTPVRAEA YPLIGAVLLACSSATFIGVLPKIVISFAGHPNMETSNLSFLHSERLHNLRKHYYNQAS EAVVQWETMIH L198_05414 MSNSLPSNHPGPKSTSNPTPTTTASPTPHYSAAAQCSAAPGTTD ALPDRVQANDPETDSCVTLVVPAPSSTRSEVSYQEPLSLPSTSFVICLWPLPKKLDAC LQHYGLLETIPEQELKPFALRAFLRNSRLNVLRPISP L198_05415 MFARSLLRTASTTMPAARTMVARKVSTAAIPYEQMGITHQMKSF FTQKGVPVDAYPIVFITLFMASAGTFMLSKHIKEDHDHLRWMPRQGGYEFRLPAEKL L198_05416 MSSTATTVQEQPSQAGVLKLRGHHQPGWDTVMLQELESKGYTVV KGAIPPARAAEYEDKAYQWLESFGKGFVRDDKETWRFANLPAFLQGGLFNRHGVHHEQ FAWDIRAEPGLIEAFSKIWGTDELLVSFETDGVNISLPFVANEFPADAAAPWPHVDQS PRRREKHCIQGIANLAPNGPKDGGLMVLENSLPLYTEFFDTHPEVKPAEGWPTRDSWS YREEDIKWFESKGCRWKKVEAEPGDLILWDSRCVHYGAAATGEVPRVATYVCYRPAAG ISPEMLQKKKECLADYSGTTHDPLDFFITGTNAHGPLTDDETQQPHEPAVLSERAKQL AGLVPY L198_05417 MPPVTPSADENNDGVSAHMAPINAVGQLQEATDRPRFRHTFWSC GSCIDISQAFPPSCRVFQGRPGSQYGPENVRIRSNSHRGDGYGDKETAMDASRLC L198_05418 MLPAPHLVLPQTQEAMLHSAHAVPAQDSERTPRYGRGSPSSPEH SGEKKETTPTTLPLCDTAVPQAASTSPSAGLALKKRPTAKAKDGETRRSTRRRGECKD KRIMERSRPAPAWLTGSAPAIHPPPPSTHFFPQLISQVRTVPVTRPDSTSPASSSTLK QRRASVPSVVSHNTGRVAEDEQPIDVLRLAAYLAGGQSSAPGPLGKSQRVGGLYVDPI YTAVSPSPSPSPDAYPSSTPPASSTDCSASTPYLSSTASTSTAPNWASSPVLRRASEP NTASSYTPLHAATPSTINTVSTSHTTTFQTPRQVSSCTAPWASVPIPSSAASSSAGAM FGSWQGWQGQGQGYGGWTLPTVTERHLLDGEASASKWRTSTVSVGCHPYILPSPMDMG WTSSSSTSSSSEFSGGDSQRNSISSTAGPSTPGSTSPYLYPSFYDFASGASGSSAAPA LPPAPAPIPAVEPTYPSQASYLPAPPSYPPEPPMGTYLAPSQSNFSSDHAFSSYHWPA STSTAPTSTSTCAAPLAPPPHLPPPHVAPTTTTTVPNYPNYPSAPLSPPPEQGVYKLP PFPAPLPPLPATCSTSANGDGDGSAPAWSVIPVDGRQPGEGVRELELSGYGDPALGME GVSGMDGMGHSSAPGGKVYNYQDTFLCEIMRGSRGSTSQVVGDHTIH L198_05419 MPMPMMQTPGPRPFTNPDAHLPIPSENYFPLTESSSADQGKTTW EDMAAQQAARKKKRLWIIAGVLLAVAAVAAIVIGVVVSQTNQSSDNDGSTNSGSNGTL TIGDDASVFEKDSRLHQSFWGFAYTPQSVLLPWCGAIQSNVTRDIQLLSQITSRIRLY GANCNQTAMVLQAIHDTKVNMTVWPAICKYPTAYDDQVTSLTDALKTYGTDHISGVTV GNEYILNTAGTDSTTSSTYLSAVSTITDKIAKVDSTIQGLGLDKVLPVGTSDAGSIMS KKLGEGVDYFMANVHPYFGSLAIDDAAAWTTSFFEEYDVDVAAEASNKPATYIAETGW PTGSDDEEDSNSGAGSPQGDASVANLQTFLDTFVCQANNNGTEYFYFEAFDEPWKAQV NTHISLPRPFLTKEMDRLELMR L198_05420 MSPVPPPPGAFVPSDDWTDDPSFDLSPNASQLALPASPSPSSSS SHRSSISRSHTSSPLRQSFSSAGKSSGLKLRQHQKQEMDALDDDFDLPEGDLPPLSPR PTPKTQSRPRSSTSSSSSSLITRTIVGNGPQGVGTITKMGFTSPPTSSGVMAGTVKAR ARALEKAWEADVDLDELDQAVDKAESVGGTLRTKPSFHGRPLPPKDLPGEDALDGFGD LDDATFKPSKLQLPPKPSPSPSPSSSKMGTVKLDKAAGWERFGSGSSKGGSLRMKPSF DGRPLAPIQREQAGADALDDFADFDDDEATFKPPISGLPTKKATSSKMKTISLESVSR GGTLRMQPSLEGRPLAPPKQKQPGADALDDFDDLDDDTFKPPKSQLPPKPSNPRMGSI PFDQGWENFGQVAGRRLLSPPRKTRPGAEALDDFLDLEDEDQATLKAGATIKALLPPP KGKKHSVSSIPDAPAEDPELEADFALPLNLTNLALASQPAAKPRRKGPRDSAASTVIS EWDSPSASSAASGRKQGWGWGSEDSPSGKRKSETSATSVSDDLEKDETKKEGDMLDEE EDLESGLVFEPAFFSNDRAKDLNSILDRKRRPQFAPQPQTPPGARRGGDDSFEDGLML DEPGVELSRHRLRAQKRARDKLPAPSSTLRKGIQPQPQKTVVKEREKAWEKQREAAWG RNTPVQRERTQSSLGMSLRSHSISTVARETPRGAEPAVTGREKEAMRSRSGHLHSMLP PPPPVPSSQPPTPSSSRLRHQKSHYQIGAPPQSPSLTRKQSLSSLQDAIAERNYGTQH FDALPPLPVPTNRYHNSTSRLTMPTSSSRAKIRPPVTSIFPTSSPSSASTTMSDQRDR DREIRSRMYSTPIPRMVDVPKKSRDYGDGTELDGIDDLRVEEDAREAQRSMVGLGLGK PSRLDHEPRTTSHGKEKSEKEERRKKSGGTTTTGLATKKIRRRGLIKHLGGTDKRKVV GEMIWNPNTLRWEGNEAVLRDFDTISSSRPALITHYTGSSVGVGGLSSPVASVAAAAP RIVKDMQFDPIQMKWVSVHPEDDEPDPFEGMADDEDEETGRGTIRAGAGRKYVPIGGS SMGPGSMAATSSTWSHRMASESSMAASTASWEDRASHHVGVAISSELWSECKEAEERH RKEMKGWGLRAPSGSSELRERERREEKRLWEIRHLAMKS L198_05422 MFFTTISILALLGSTLASPVERATTPKVIDNCTKKGTVALTFDD GPYKYEQDIVNALDGGKGTFFYNGDNYDCIYDRADDIKALYDAGHTIGSHTWSHADLN SLSESKINEELEKIETAFVKILGVKPLYFRPPYGNLNDKAKKVLGQRGYKKIFLWSDD TEDANGASSGSSKKVLDGIAKDYPNPHLVLDHSPIKTTSQKVLPHSVPKLKAAGYSLV TVGQCLGTDESPYKKVGSPQKKDSSWKC L198_05423 MDRLGPSLDSVMEKTKEDEFSLKTTLQIMDQVITRIQALHERHY IHRGIKPDNFCIGLPGSKTENTVYMIDFDNVKVFRDPETHMHIPYQEDRNPTVNPYWS SLTVDSRIESSRRDDMESAGYMAVFFLKGCLPWWYERECRPAYPEHCQKKDTTLEYLC ADLPEELSTYISYSRSLKFDDEPDYEYCRGLLRRVFEREGYKDDGVYDWARSPQVSAW GGDDTDVETSEDGPSASQTEVTEACSTPPIVPSVQEEQEQQDGTDASSPSKPGIVERA SDILREAFGKPKKAEITPSTTEHVEHTPPPPLSECTTSTAPADQDISEHHSSSIPQNI SSPPTAIDIPSPAGNLSEIIHHGAPLTGRPQSKKKASLGGEEGSTVVDRAVGVGLEGV EDTPMGERSNPFSSVPLGELGLGAKPTPVAPSSEHGEIESDGSDSEEESGQLARGVRE SAPEPVDPQSEGNTNIHFSSQPRILPAFDTKPYVPPSSHYPSQPQNQTSPSLSPKTSP KQETITLPIYPLPASPSRSISAPIVSSPSSAQSTPLPLPISSRGAPGQRDVPRIFPSL GSVVAEPAWSREGSPELGSELEVIPSSPPPSSLPKGLSLEQDEEEEYHPGKKRDHEPR LCEPRSEGPESLAFGSLRVHSPGSSVSPLSPVSPDITTPPSVYDSASASAASGGGTGV HSGSGSGVGTGTGTGTGKGKAPPLPSTLVATLQQQAEEQEEGAGLKKLTPIHPEE L198_05424 MILLSSHLTEAGVVDTKSKDDIPFPAPSAFTNPWRKPSLRPPSP SHNPPPNPAPGPTDSPALSSWRSFLNIHDTNTSPPLTPAPTSSPVLLPTSSSAAAGGY EVLSIPALGRRLTEAEENGHLECLGAQRGCWKMPWAMRAGAKDKEEEGKEGKGDGEDG GDGEDDDSPFEEKDDPFSSVPLERGALGSLGVSGDGYEDHDQDEAEEPRSRAQFQPGC GSESGSGSESEEAESEAEYEKDNDNKDNVKDKSKEEEEDDEAEELFNFAPRRPPLTPK VSSFNHTNRYRFFGHINCPAFPRPPPASPRKTKTVMVPGKPLPERAWSVPTMPGAGGY GTKVPSKLSGSVMAGGEPIPTPNPNPNPNPDRPQPFPQPTPHPPGPRRSNTFSIAPCY NGLKQGYGARTQIIQNKADDETGLAFGMLKVVEQRREWAPVKQPERWVLRGEFKTESR VPTLASLKKESGHGHGHGQHGQHEHHHEHEKKLVEGQS L198_05425 MLPHPHDLFPSLDNRDDCVTCNSTSPTCNCASGEKCVLTSRTCN QCPSIQCIKSASSSSGSSVNAGVIAGPVVAVLVIASLGLFWWLRRKKRRDMKRVEGLA DRARKAESAGFQLSPSRSPPPSTRPSSSFPLPPPSASRRSPLPPAPVNAEYYDQNGST IRVYSNNGTINADPNGDPFSDRQSISTMGSGGTANIIPIQYIPPSESKDGLSKVASTD NGETAQSAAARLDQARQNLSKLGAPSRPARSPDLDLRLNPPNAPSAFDPGALRSPGAG SANWRDSYLSGNSAAPSYFSGQSDFQLDQPKIVTSRQVQVGRLQQAEVVNFGAREGVL SPVRDEEDPFDRTPPAPGLSPSDASFRSTGERILTPTNRKFGASASPTTANGQEERLD AMSGGSSGDLRFSMGSLDYRNSVSTMGTSRYLASAITVPVLGHATPATATTPNSAFPD SATTPLPTSSSASASASASASASASASPLPSALPLSRYNSSGTGMATADTDTRGSVLS SKSFADSFLGAFPMIPPDQQRPPVPSIVSRGATSPLSPSSSQGQGQGQGQGQGQGQGQ GNVEMISRPPIAHRPPPPGPRPPSSARGSGMERPSLDDERAGGGGGGGGGRKKRPETQ DSFLGTFPFVPPNMDDLAGMPEAAAGPGPGPGRGSGVGSPVGGSGAGEGKK L198_05426 MQFLTVFTILTLLGSALANPVCKRATAEVIDNCSQDGTVALTYD DGPYNYEQDIMSALDGNKGTFFYNGNNYDCIYNRADDIKAVYNAGHTVGSHTWSHSDV TTLSDSDLNSELSKVEDAFVKILGVKPKYFRPPYGNINDQALQVLADRGYTKVFLWSD DTEDSLGGGAAKGEEVLDVVAADYPNPHLVLSHSTYQQNPDTVLPHSIPKLEAAGYKI VTVGECLGTDESPYEYVGEPQSNDGSWTC L198_05427 MGTRGLIGYILRNRQRKAMYNHFDSYPEGQGHDIAQFISRLSPE QSKEMADKVEKIEWIEDESAIIPEDIKKRYLAVDGGIWKYDFPYGGPEHWFEDERTFE GLSEAAKMDLIEEWKAYAAELLRLEAKYDTGLNGPFTFHGSMDAWSGVMTEAEGARAL PFILHVQGRLNCLIDCSAFAVIW L198_05428 MSEATQSQGLDERLPPHAIPGLFHGMSTGSVLGGVGMTDFDNGE PEGWSFQPHPLNDDLTGDDEKRVMGAICDTRVHYHPRRMHLTLHRPIPSASALNRGAD AEYTQAIDPEQQAITRIEFVIPSDYPQAEYTVEREEDGSRRVNVISSASPGREPLFTE VTCFHPVRNNRTMETDGPLIRGDDIYVTKEYMEIVSDFPSDKDRMDQIEYESMQGNDV HENGEPVGLWPEFEKPIELVRTDQSGGRTSFSLDASYFPSAKRVTRPTAADNFSYVTI RHKDMDTFAEVQFLVAADASKRAREEEWESRMFTDLSIGTRADEGVVTPA L198_05429 MQYDNSDNPATPRSPSPSPSLSSTPGPMRIAVTGALGLVGVQLC HHLLSLHHSVVAIDVLPASAPQHQIDPLNTKGYKYVQGSAEDIDGYREACRGCTGIVH LAAVYTRKERPVPDYRIHNANVAMSYNTLCIAVELGINRVVLASSVNAIGMLYCNRPK FDYLPLDEDHPCRPEEPYSVSKYLGELQADSFIRHYPSSPLRIASLRFHGVVPSHLCS PSSLHELGGAWKDLWGWVSNPGVARACELALTVGEDSFPAGRHEVFFVVAPTICQQRS TQGILEEFYEEYKGEEGRGTIRGERREGRGMEGNEGLFDCGKAGRMLGWVEEGFALGS EVKVAGKSEGGRKA L198_05430 MAPGPEGIPPEEEGNITVEDFGAEEHEAAQQGAQDGMKGKPQTQ EEWDTFISSYSVGNTARYTFHSEDESDDPSPASRLSKESPSLERQSSTPLSNERQASS SSLEPPPRPLLHHNPSSLSHAVLLPSGSSQDPVDTSGSESRSDVAGKVTAAEAMNQDP AIPDIEDKYLPSISRSSSNAPSQASETSSKKGYRGQEAMTSNNMKRLGEEYRREEVKK ASTEGSGKKAKRKIVEKATLENSSEGEGERNAAVEEASVRRKSTRRRPRPRGAWSSAS HNGEMLRRDSKPSLDMSLFDDSTNTSTSISQADLAYDHAAERTRIKEFFEKNDFLCPP KQPPGAYRRRLRVIRRMGLEQPEGFHCDTLDKLSRLAKSFFRTQSVVISVFGRSRQIF LSKIGIDARSVDIDKSICCHTLTLSGNSEQCMVVKDAAKDWRFRKNPMVGEGRGPVRF YAGSPLKVGSDSKESIIGAICVFDTQPREFTRDHRALLADLASCVVSELELLYSQQAS IESAKLHQISVDFLRRSLKHRPLEYAGQSNSGSTLSGSSGSMNVEGDPVNPTEVKSVP TPAPTPASAAAPDTGNSTGEEQDDSAEDSSPFSEESPPAAPLNSKKRIEGADANQSVD AVDIYDEACIEIRMALDAYAVAVVDLSQFHLFYPAYQSTTNGSNSIRTGSVTEVPGRK NKSGPSTKGGSTARQSSSSGHSQDDNEDGLGFDWSNKQRRARPTYSVNDAVQPARTPQ VLFVPSRPTMRSKRYRPQQENDDSLSVLGYSCGSDGFAFNFTSSPAARKIIADFIASN VVSRKVWYARDDSQGIAQSITHLMPPGTETSMALPVFGFDGQVAFAVVACWKDPLYTY PSGALQFVETIAGSLLASVLKERLHKAERAQLNFASAASHELRTPLHQINAAASLLRS FVQPVFDSMRGDEDVHVPPEDRVEILQQLEIIESNGLSLGNILENIIDTLDIGKMASK MDTGGTIPSLVHKDTATGKEAAPQLTSLVEVLEKVVEDAIELESKSRKVSGGKGMDQV EVILEVLPRKRGGWLTTRDVGPLTRALGKIVHNAVKFTEKGHVHITVQDLSREVALPT GYDNSMRESTISIDVKDSGVGMSAAFLEKEVLQPFAKENPFTSGSGLGLGLAQRMVEL VGGKLAIASSPGKGTLVHIEAPLFFLNGDSISDDENLNTNRTADQVMEPDYKIRQDGI LLAGWENCKASVKRVAKSLVRQLKLHQCRLVTEAQYACVIVMPDGSLSDAQLAAMCRA ARPGVQVIVLEKDRTKYGQGDANSPCLASSNPNGVTRAARLACPPRSPKGKEDAAFLA TIPFLHLSRPLRPSILRAVMAPNDRPTTPPERYVSDVVGGEDARMGARMPVPVPVGTD IGQQAVGNDEPISPLENLCTPPMSRNNTSDPGDPPNDPLATPIPGSSREAPVRSQSLS VIDSRGSTDDEGSEDRPQYTASIISDMSASDSSEGVMSSIGSENKQVERRKRVEMDPS ALKVVLVVEDNSVNRKILTTMLRRATCHFVEAVDGVEAVEQFSAFLPDLVLLDITMPK KDGFAAAAEMRQLETKWAGRLRKGCVIPGTTDEDLEEAMVSMDLEPQSQTSSAPRSAI HRTGSGRKRAKIIAVTAMSAEHQKRKGLYECGIDHWMTKPLSMGLLKSMVEDMKEEVK RGLQGVVAA L198_05432 MTNLDLKQEEFHLEDIKAEAELSNAPVLKSDLDQLTAWQTVRRF WKAVLLCNLLSFAAAADGYQINLNGNIIANLGFVEHIGQMNPTTGAYALTSSSTALWG ALQSLGQIVGMVLLNPVSDKIGRKMTLYLLWCILFGSIMIETFVHNWQQWAGAKLLAG VGIGCLQATLPIYVTEWAPVNIRGGMLLAYSCWNQTGGFLAPLILFICQKTLGAEEWK IPILSQWAFLGIMFPIFIWLPETPSYYAARGLHDQGKAVLRRVNGNVEGYNIDAEYQV IRNTIEDEQEHMTALGEDDQSWRSIVKSYGECFKGTNLKRTLAASLPASCQQLTGLAF LSGYASLFFREAGFSNAFEITSILFAVKIAMVFVFTFTTDRMGRRTVVIVLGGICCLT LLIIGILGHIEHTNSTNIALIVIACIWSGSNVGLGAFGWSFAGEVATQKLRARTSGLG SGIAVIFGLTFNTSVPIMLLDSGARLGGNTYNTAFVFLGFGTICWLLTILLLPEVAKR NSAEMDEMYEKNVPPWKMKGYVTDVQKAHVARPEQAL L198_05433 MLPNQLFSMEMPFSHTPDTVLYQQNIYYPQATHYLTKYYSDGHW SWREPELHDRFAPHLSLEAEGIRLAMEEREARRTSEDAESDSDSDCDSEEPFSISSGT VNEIFEDNDTSDTSISPSLSGCDDDKNSTGLPLFGGVNYDPNDFPYWAIRHGGKALPP GHSLPSHAYTGNQKKLKEEYDRRKASGEEMFSEDEESDGECEHVFYTGIGDSSKDGGG DGYDSNSTATTRDEWDAEDSDEDFNFSSPPPRGPPKAPVAFTNGGVVVEGPAVQRAGG RGKSTSTTGHSSRNVGKSSSSTKTARESQTASTPSKPHTQPGKGKYPARKNLKAYQLP KQTGSKRKSADEDACDSDQEDPGANIKRRRTTSGGLASKQKGRVYRQEEEDDDEDDDD ENFYNDNYMPAKNRKNLSQSTFKPRAQISKGKKPARPFKSRQHKKEKEPPEYLVGPFP DDGFKYATFNMWTNKPVDTGKRKGCRETCLCCRKSKRRCEGMLPCYECIKLKKAGECC YIGTPQAPRNIKLYYQRGMIRRTVHGNYTITVNDFENVEE L198_05434 MIVTHTSTNSAPLSPHTVDVLTTISSCLRHVAEEAQATDDLEDR VGELEDESRRRQVREAAWEERLRRLEEADTSGGDGKKRKKKKEDLDVSKDERVSMRFR L198_05435 MLLRNRQYTANPPNKISKKRSTATHPQEEDVPTSPLPSFFTRLP PEIGAIIYDHIKLSASKSDIINLCCTSETTYLTFVSVLYESVRLSDRNVRAFFGDLCN DRPVSILCPRPGMDADVLQRCLPLISSRLLRKFITFNPYIQLVTLGFESPSPELLQST SCLFKKILLCRFIKTIIIEDLAACHFFRHFSDLVYKRFRRFPFYEPEESVYPAIEFGF EHVEWLVFSKSFTEDVEKQWNDGGAAMRTERWYWAEVTVRHVAPRRVCAWAFTDDRAH MVSELGVGWTSNRPQLKQFVLHNAHFCTELFPLPAEHIEYYLQPEKAGCSHVQSISNG LPYMFSVPGTDRHHDIHGIRRLEIHNASITQQQVVDILARSHDSASKDWSQWKGTLVV DGKGLPAKSCDGCELEA L198_05436 MPSWAQYSEPGTPGARSAKDEPQDEPDVKPDIKRVKTHLSGNHG MYQDTRTAAIDLLNILRKSVTMRYEDAYFRVQENSPGTDPTKALEILKGLERIEFNAG NQVFSYIPELTLITASEIRNHIRVHSTPTSGLPLKTLKEAMPNGVEPLKDLESRGDVM IMRGLGANFKDIPLPRLGRLNVNGEDLLSGPTTRWKMVFWDHLKEAGRAGKRVDDEFI FSWADVPIAETDDVTKLLADQELSASSLTPAAPKAVAAAPAKKKKRNTRALKITNTHM KEQGIDFSKDYVKGA L198_05437 MRRSIIFCFFAAFGGWVFGYDMSFQLIIGYISGCLIMPDFIEHM GQDDGTGAYVLSSQRQSIITSLLSAGTFFGALLQSLTSDRLGRKGSILFWSAIFTVGI IVQVSSFGLAQITVGRFIAGLGVGALSAIVPLYIGEAAPKKLRGSLLVLYQVQIASGL FLAYIIDLGTHHLKSSASWRIPVGLQLVWGAFLMIGGSLLPESPRLLLGRGDKEKAMK AIAALNDCKVDDPLTRDVMMELEDAIREENEGGQAGWLECFSTRSMMWKRTLNGCMVQ FLQQMNGQNFYYYFGPVFFEAAGTELSSYSIQAILGGVSLAMVIPAMWTIEHVGRRNS LLIGAAAQAACALIAGLVGHYFTDVAGVSESQKQTGGKVLVAFAVMHVAMYSMFWGPT PWVILGETFPLRVRPKCIALAASVNWLWNFLLSYFSPLITDDIGPLILMIFFGCLVGA FVYVYFMLPETRGISLEEVDELYRSKIPAWRSTSWKPSGHHAALDAIEGGTDPSRTQK TGAGAGEDVPGLIGGDEKKKEMDEHLEHAPGTGAGF L198_05438 MDDAYGSYYSYYSRPRDAQPIVRPDPITDYNIQYVEGSGSSRGK SIDLVFILDCTGSMQKYINSVRDHIIGICDMIRGEEGLNGPDDLRVAVVNYRDHPPQD STYIYKFHPFTSDIPELQTYIKGLTASGGGDGPEAVTSAMAATLTELEWRREAARMAV LVADAPPHGIGEGGDQFKGGDPLGHDPLVVARTMAQNGITMASIFMVACEDTLSGYSH AVDFFQAICNMTSGVMLPLFSADLLAMTIVGSVLENMDMERLITEIGMEVAQRIKEKG ESMESVEEVAQELHERLLLRNEQTKQVRLPEVYVPHENAKKNVSTWMNASCLGDAVPH ILAVPGKRLTEKFRKQNYAAGFNYTPGGRLPPRRSTSASISSPPSTTTTSALGIPPTP SSPTAHSPPTATSPSRRVVSDFKPFGAPAAGDGKGVSVFGAPMLSTPGPGGGMFGSMG RAPASNGGLRERQEEEDDDDDDEGARLRREAISLDQARRIATQAVFRAGGF L198_05439 MAEVAPPPVAVPESTDINMSDANPPAESAEASTAEGEQVKQEGD AKQEGKEQEEEEEEDPNKIPDDACPTLYLQNLNEKVRIQVMKETLSNLFKPYRPLGPV TAHRNVRMRGQAFVSFPDKETANQARRDVHEFPLYGKPIQIKFARTLADAVVKKTGDE KAFEEHTKKRLEDKKLKRKNNPLRQKAQSKLKSTDPDSSAPSNPSAAKKQRIAQMPDE YLPPNSVLFVQNLPDGTTADDLREVFEVHPGLVEIRTIAAKKDIAFVEFGDEDSATVA KDALHNFKIDGETKMKVTYARK L198_05440 MSSPTTPHHPLLKADEIDTAPWRTLAHSLKPEAVRTQFSMSDAV GMKNIGVHKSRLEPGKESCLNHYHLNDSEWMYILSGTGTLILIDSSPSLLSQHSLPPG SSLSGPIPPPPKPEDLPREERPLGPGDFVGLEGGAAAARYSHSMIAGPGGLEYLLGGV KTSPNVCTYPE L198_05441 MSDIYSYNVELSKSTLPLADLKDKTIVFVNVASKCGLTPQYKEL QALHEKYGDKGLVIIGFPCNQFKAQEPGSDDEVLQFCQLNYGVTFPIAKKADVNGENT QPIWRYLKEHADPPVKDIDWNFSKFLVKDGQIKWFAARETKVSDVEAALTL L198_05442 MPSRPTYSAPTSASSNPQAPPMHSAPSLPQPYPMYGGSNTGYYH RQDPYPYPPPPPHHMSGPPPQRIYYNNALPPPPSHYNARDEWHAEHARHRDSPPRQPI TVRRDGLEEPSPEGGEQPVKRRRGRPKKVPVPVEMQHVNSGEYPSVMAPPSPGRSSGS DRDPPPPVKQWSGQAMGRGQAPAPQFLQSSHHGDFIHDEPEQIVSINDKQANRPPKRA RGSEERSSAMGGDAELEAGMALAGLKRRESAPTSDLKKTSSLVKTEPGEEKPIVKKAE KPSNAKSCAECRRLKAKCDRVFPCSNCRRRGCAVVCPDGDLSCMQGKRLVLASTEQLH ERISQLEQALAQTHRSAVGGTIYHPLLAPQFLDGGFASVEQPPITVDYKPPSFSPNSS PNGLAHGSPKNPSSAPSFTLATPLLGPEKRSNRSSRMAVESLLTEDSAVPEGKREDEW AGENAAPAMIIGTVGKPESAEDIEQRHSVFERLKKIITILPPRNVNKQRADHFFETSL WYQTVLQRNEYDTVYEPAVYAPTAANPLSPHKLAVVLMVLTFDTYLDISADENDPKLA DYWDGAQRCFDTRFGWAASVAGVQALALATLFVGFGWRGTRASNFYWLRQMTSAALQL GLHRDAHSSFPDEEKEFRRRVFHEIYVIDCLICLNHGQRASIPVEFIETAYPTLSSPL AYKKYDFIRTVKSQVIDIGSLPDSAPASWAKVKEVEEQLMEYDVERLPIVHCPLLRGE QPPDPVEGFTHADAVALQTTTTSMCHYKAMLYLFRPSLRRLIARIRSSPASSITFQQP DIDTVKMTLRACNSIILTSYHLARKHPKLMAKSWMVWVQTFSAAVSMTALGIWCGPYM EQGFLEDVSKKLTEACNMIGENGSPRSQGVLSLLPTLKSLLAGRYPQLLGKDARDARV SPEGEDMLFALLGGHVDAGSSHVQPPPVQSQPQPQPQPQPQPQPQPQPQPQSQTQQPL KKRKSSAQTSSQQQSQPPSSGQLSTEQLHPQQTTPFHDANQMPTQEQLVTQTHMPPQI MGAHSSSPVQVFSQPLNVNGTAEAFASASASAFCQPQPQPQGQMPMSTSWMVPNMPVT DATCGPVILPLAPPNPTAIPYDNFIPTWSSNNLGMDGMVMMDGMGNGGDPFGGPLIDN PPELWERLQALYEPQAPVFWNGGMDGGMTVGDFGGYVPNMN L198_05443 MRAVLPKSIIARLEASHTPDFRPVASISRHPRPPRPISKAPRSI EGLNANRLFRRAQTPAEEAAHAYLDTYPLPPSPTVSDLLRRQVTYSKFIFALTARSED AVSSLVERAMKEKVPLSTVTLTRILQKSLENPSAEARVRIVQSVMPFLPDRLDVPLLD LLLRAVIRDSSPDPSFVEGLINDCLALDGVTSKDGWPWEIWDVLITTHVPTADFHSAV KRLGEFKRVVRAYLDAPSSSSSPPKLSHAQRTAIVQVYTTTMNIWRLSTLKDTTARSK CSRIPESLAEDLVELAGGEAGLDVKFLSAWLRAEKVAQNWEMAESIVEMIGEAQESYE VGEGGKEQEGIPRLDSKTWTSVFGLYTTPCVLPPTRVLARRLLTQTPKSTEATEQDAI PNPNRAIHLPILTSEVVNAILRAIFHSLDTTHPSTPPNEIDLPLTLVILRLITLSGTP TSPPADRKTIDILSSYLYRTARNLSLPPHLFFSLGIPAMPNRRRRNGKEKTWRRFGLG AEEWDVMTEAVHAERVKMGREVEVVHLPFSMPVARLAKAHPSFQFLAPHPEAEKELSS TITVNAEQLRTFGSGERGSSFQRVLPALIGILERLIVARERQACSARVEYLFAEVPRG NEKQMEERELPMERARLERERERLAGQEGLEDTDEQILRSVMDVVNKEVLWPSRRERR VVKREIKRRKL L198_05445 MSGLPAAPGTSRQLPINLFTRSGTDAIPASTYFIPSDWRRFQLS ELINNVLQNTPENGRKPVPFDFLVNGEVLRGSLESWAKKNRGGDEESSIDVEYVRSVM PPEEAGRVEVEDWVSGLSLGRNGYILLSSYLSHLQILPLANPSSTLYTLPLPTSLGAT SCAWVSPSSQQRDILLAAGSVDRQTHVFSIPSLSPDETSTPREIYTLHGHTGPVSDVI ASKSGSEIVSASWDGSVNLYALPNEEPTEHQLPADPTSYLPGQGTKKRRKLEKSREKD GEEKDKIEGLTDLDATGEGGWRRTPDITLRAHTGRVGALVWDKLNDAKLWSAGWDGSL REWDVQAGGVAGQVRQGPFDKSLLGVDMWAVNGVIATGSMDRTVCLWDTRQATSLISL TLPTTSPVPSVTCHPTSPFTLASATYSGLVQIWDIRSPKSPLFTVSKAANKAASGEAR TVTKNGKVLGERLLSVDWDGDVLVAGGEDGEVGVWRARGE L198_05447 MTVQVWSVTLGDPKPSSQVAVSPDIPHPPVSRRSLSPLALLGRD ALTILRNAIYIPLIFWPISLEGKGADITNTGKILQVVMFVSSIVLTGGAVGAFVAGVP SPIIVVASITGFIKINNVIQGGTRMDPPEQHYQRNKYPEESWLFVNGIATSTSGLKLI QERLFNLFGRPVIGIHNRTYGIWFDLVECMVQRDLLWETTDIRDGYNVLTKELEKPEK KKVVLIAHSQGGIITSAWVDRLVSQYSPETLSKLEIYTFASAANHFSVPVLERQPSAP TSTSSDDSSTKVVGGRDKTGSVFKHVEHFGNTGDFVSRIGVLGFAPHPKQAVLKEGEE EGEILELRGIFAGMIFERHNTTGHLLLSH L198_05448 MESIELGVEDLERRYGIILPEGPPQEQDQEQPGEEAEGGKRKRS KEEKERRKSLKAEKRARKESGQQEEAGEGKKSEKEKKAKKAKHKETQTEPVEESNDIP VDLSLEDPAPALTLAQAIDNSARHAEEEKRKRKQEKKEKKRQAKGKERAVSPAVSDQE PEPEVQHASTSLRTPASRSTAPTQYGTGKNKKRPLSIAPSSPAPAADRSTSASPAIPA ATQMVSIDASMVEAALASASSADAAVEPPAKRAKTTKGKAKDKEKKKDVEPKESDAQL RERLKGEGALDQWLATANIGKTELGRLEKAGVISYTKGKFTETEKIAIRKALEKYEKV HRMSQEEIVEMVMLKVQDAPDKEAVREFWRDIASVLPGRPILNIQPFVRRLINPYAHK GRWTPAEDALLSRAYAQHPREWKKISALVERTEQDCRDRYLKELEGGEGRVSGRWTEE EVERLEKAVRKVVQGLKSMKAELAGAPIQEEEEEELVDLMEDVDIPWDLVAKEMGTRS ITQCRIKWRDSCSHLASSKGGLEKGDADVRTLAVIKRLRELDYPSEKHIEWSQVHDAP SLLHLTQKDVRNAFSNLKHRLVKANKEGGNFAETLAIMQTIVEKKVQRKQRSGALKEF RSDSVIGESGDEAV L198_05449 MQFLTSLSALAFLSTALANPIVGKRSWPDVIDNCNQDGTVALTY DDGPWDYEEDVANALDGGKATFFFNGNNYECIYDRADQIKALYDAGHTLGSHTWSHAD VTELSWDEFHDELWKIEEAFIKILGVKPKYFRPPYGNINDNAMNVLENRGYSKVFMWS DDTEDSLDKGAARGEEVLDGVANDYPNPHLVLSHSTYPQNSQEVLPHSLPKLKSAGYN LVTAGQCVGTDEWPYEWVGEPQARDDSWTC L198_05450 MPSQQPEDLEGASHQEEAYTHDYPLSEDTTFDYDSQEHWGTLGS LAEQGFYDFDAPMDVYFEVIQQASNQLHIFHGRPFSEAETAMHSIIQTVMESFLRRQR EADRTEERQAGAEQQEEVTRQFGSMLEDPEQWWPEGHGAEETLQQLRESISDINLPDV AEETVISQALSYGQANDSGLNGLKMVVRSIANGTLGSHT L198_05451 MDSITETAQREKDVDSTNSAKWKDFALDRVIRRVSKVIEANTAR TAAVEGFATERASVVEAMNPVAVRQAAEATVKETGEHSSLCSHHVSQSSFATTSIKSN IVDTLSHEHIFPASSRSLIQQVLEQDLGLTLMEELVNAAQTGMEAQVDKMGDTVNLLA QGGDTIGPNLTGA L198_05452 MSEKQACHPEACAIQSCIMKNNYDESRCQKQIEALYRCCSNMYE TAEREGKNPESDSCPLKSVVERRMKSFAK L198_05453 MNSSWPSTAPKSSSCVSLSFLPAVPHLIPPPANTPSSPGLATIF GLFLLAAILFAIYASRRAHNETREAGVAVLGRWKENRGMEVPPVPKVPGRAKGSGSGS DRASDAEKGQAGGVKDEKAQMSVGRRLSGLAGIGARGLGVGRGSYEPVPPPPAAGRDT GYSKSAQGPKPPSKDRVPAASKTRTPRSPARSAERIDSRESGKGFWREGEGQKVKVEK RAAGEKS L198_05454 MSNLKRRISYVLPNPSPDAPPPLLSLPPFDHDKKGHPTPFILPK AAPTFGSRNPFLQTKRDSQQRKSPGHPQHCLGVEALALDTTTVLEGDSSPGGILYTAG RDGLVASWDLDIPHTRRFSPRYSSLDGKKKPKRIRWESIGDDAEFFEDDDEVDPAEGS GSDEEWVDMKKKFDEVPYEDRWEVDKVELAKRSPQTTFRQSAQTHTDWVNAMLLCNYN RTAITASSDRTIRAWTPHTSGDDESPSTPALIGTHDDYVKSLAWAKGHGYLWSGGLDQ KIHLWDVKETRGSDPILTVPITDADDQRGSVYTLGTDQAGTTLAAGTAERTVRLWDAR SGPKSTGYLIGHEDRVRSILISDSGKYMLTGSADSTIKLWSLSAHRCLHTFTHSSSSI WSLFSSHPNLERFYSGSRDGHLCAIDFEGCGDISQGECVVLAREGEVEENGRRVGGTY EGEEGIRSIVAMDDEYVWTSTGSADVHRWRDVGRRISRLDKDFGGTSFKRRSWLDSNP LSAVDPINKRLLDSGEDGLTRTDTRDSRSISFAPVPAVRNNSDEPASPISPVSAVPPA LRDRLNHRSSLSTSSFVSDTTTPEEEDVGTRNGLPYSALVNLAPDEGVYGFGAASGSV ASFARRPDEPRQPTDPIDPNKRSAFLNRDVDSAAIPLRPTPDEDGRIQGREGLIRSGT CLDKQHVVTVDTLGGVAIWNIMSGLCIGIFDWQEIAEAFHITVKPNGEAAVRKQIRRH ASDVIDLVRKRVDGEVEIFPWLAGIDTKIGSLVVHLDEGRVFDADAFADELGLSGGDI DEDIRINLGKWALSNLFRGLILAEEHEVTSQVPPLSSPSNPAFLEAPHAPSRMRAPSL STPIDRPQTSAHRKRAMTGSFSKTRPTLNIPVTSPTMGRQAVFLDMPDEEAREGGREE GWRGFDTSKAGTPGRMGLSGPPTVESHTFGMGSGVLSNSYTSASGKDYFSPRKTDPSP PGNANGGGKESNGSIGKSPATPGGGGLMGKLKNLGKKKTAESAMPAVQEREIFKEDTG PKVSERDAAQLEMLDLVRSHHFHPPPPHEAPPIHYPPNTTLLLSEQVGNAGAWVVTYR SQVSTTERDMEALEMNSPMWLLDCIFANRVKEKPVPRVTFVLKPQQGSSLPLLSETSR TVFANRSLRAKRIYTHIADKLNDATPSTQTKLTPDDLELFLAQKSELGVINGRTSVWQ LRTLAENKSEVLVYYALKGESVKR L198_05455 MDQQQQSRRYLRSQGDAPPNAVNNVDPDHVATSQSPLTPPPSEP PVPLSPVIDGLAPRSLQSEVGNGTSNSNPPPSIHDTPSSAINTAPQALVQGAHPPVPT VEFLMQQNQFLLSSLANMRDELRSFRQSQSREPTPAHPPSHRPHAPKLKRFVVLETSE EGRETGGARARGL L198_05456 MIWVFKRDGRKEPVAFDKVTARINKLSYGLDPNFVEPAEITQKV VQGIHAGITTVELDNLAAETAAYLTTKHPDYAILAARIAISNLHKETKKVFSAVVHDL YSWVNPKTGKHAPMIAEDVYQTVMDNKEALDSAIIFDRDFAYNYFGFKTLERSYLLRI NGKIVERPQHMIMRVAVGIHGANIEKVIETYNLMSERYFTHASPTLFNSGTPHAQMSS CFLVAMRDDSIEGIYDTLKTCAQISKTAGGIGLHIHNIRAKGSYIAGTNGYSNGIVPM LRAYDATARYVDQGGNKRPGAFAIYLEPWHADVFDFLDLRKNHGKEEVRARDLFYALW IPDLFMKRVESDGDWTLMCPAECPGLADVYGDEFEALYTSYEKAGKGKKTIKAQKLWF SIMEAQTETGNPYMCYKDAANSKSNQKHLGVIKSSNLCTEIMEYSAPDEVAVCNLASL ALPAFVDLEHRVYDFKKLHEITKVVTKNLDQVITRNYYPVPEARNSNMRHRPVGLGVQ GLADAFMALRLPFDSPEARLLNIQIFETIYHAALEASCEMARDQGRYESYEGSPISQG ILQYDMWGKEPTELWNWVELKAKIAEHGVRNSLLVAPMPTASTSQILGWNECFEPYTS MLYARRVLSGDFQVVCPWLLRDLINLGLWDENMKQNIIAAGGSIQNIPTIPDDLKRIY RTVWEISQKSVIDLAADRGAFIDQSQSLNIHLSNPSFPQLTSMHFYGWKRGLKTGSYY LRTQPSAQAIQFTVDASTLKAAKEQKNKPTIAASPAPSSAADAIVAPMRQVSIATTAS RLPTPAPEEQSRDR L198_05457 MSGSLTHILFEGPSGYALFTVNLQEEVAAKSKQLQDSISDLAIF SRMVQLASFLPFTTAAQALENANDVSEGVLNPHLQSLLSLIVPDAAGKGNKKQSGVLL GVAERGLAGSIQGEMSIPCDTSERALELIRGVRLHQEKILVKGGMQKGDVTVAQLGLG HSYSRGKVKFNVNRSDNMIIQAISLSDQLDKDLNTFFMRVREWYGWHYPELYKLVPDA HQYARLAVLIGDRNTLTDDSLEDIQEILDDDETRARNVLDAARASMGSDIGEIDLINI SNFAERVVKLSEYRKSLRRYLVEKMNIVAPNLSALIGETIAARLISHAGSLTNLAKYP ASTVQILGAEKALFRALKTKGNTPKYGLIYHSTFIGRAGPKHKGRISRFLANKCSIAC RIDCFTDVPTNKFGEALRAQVEERLNFFETGTPVGKNADAIKKALEAVAADLLDDDED DEEATDEEIAEAAKQVEKDQIAAAAAAKDAPFDPELARLAAEASSSTPSKSKKDKKDK DSKKDKKKRKSEAMDVDVDEAKEEKKSKSDKKEKKSKSEKKEKKEKKEKKEKS L198_05458 MAPSKSSASAGTRKKNAAKKARKNGEDPEDMPPPPPNTKKQRGE KKLSKAQRKALPKAKQYIPPPKPPAPPIPDPLDGQGLARTLPAELVVVLRRLGKKDDV TRRKGLEEFKDDWVRDVTATKGSEEEEVDREVKEIAILSAIPVWLHNLASLLQSPFQR STALAIQSDLLSVPALRSSILESINLGFLPGTQNRDVLGSWMVAALEEGRRSGGAAMK CWEASTTWKSTGEEDERIDLSQQLAALVEYLTLSTVDPVTLHDNIHPAPVFSESASQA PTPKKNLNKAAKTKTPAPISRQVATPVQPEDEEVVEERLVRYRVGGLVGLTSLLQSWT QLGSLELPADLISLLRNPGLWIALSPEIIDKAENPGALGTAQPPIRRAAYSLLSVLIT CFEKIIGEPEVLKMVADAVLGGCWVEKEAAVWETAGSAVAKFVRKWPQCWTITAIDKS AKDEENSEDEADSEIEQDVPTAKPEGPPVSPHYTSFLDFASTICPSIPGLTYPIILVV LSTLPTSLLSLASPSGQLQTLFSHLWSPVDARLLSTHSLPGQQSAFQMFLQAFLESTI FLIGKSWRLEDGEGRDAAAWLAREQLGERAWKEGVLVLGGKGGGRRVAQGKNNEAEAR LFGSTLTRLVAIDKQLLEAVKSIASQALLDGCFPSREQETKPIVSVLPRALSIMSAVK GSTEDAKVAGFAEDIIRCIVQQSAKSLGGDDKNPGSVVVAEALVLALSEYPYLVDDTI VHNLVDLFHTSSTSLLQVLTPPLYVRTLDLLSIVSSSPEEKATCQKTLVSLLSSQEAE ASQRFVVASSLLSLPSTNLLQPTSLNPIVTEATHEALSSGSPEAVSVITASLRSLSHI SPSTLHNVLSETCKAIQSSTDKLLTTDFTELGIPRAAFEIFTVYAQDHLKEVVGSDEW VQGLVAIHHVLFMLPRVPGHIETQEGKMESLTSVWAKLGELEEREQTKVLVRVHEALK EEIGRVGVHVSPDVLVDVALATTLGGRSSSVGELAGALLPHAEQLLKDLASHASRSPH PTLPIVDALIPFVTPDEDADIESTDFDLLGRSEVSRYAEAAVALLRANRSLVKTEPVL LQVALAVGRIAQDALAVPGASRGLFSKDTPLTRLEDLVREVEGATSFALGYVDEVPSS WNAATVQALKSDTLPEGSDLLQRLLTALKRDVVATGGDVSVRSFRDVLSRHLRQVGAG EKEGEAWLGYAMGQVDRAPQLALAIILAIKPMLLDTQPFMTAQNRLASAITTIPPSKC HTALNLLRIFNASAPPPDSASVFLPQQRALFALRHVAGWLTSDDVDEDQLPEDMEYRV LELEGAVAPIVQDVGGNHWDGIFDLVESGLENSALDDPASLCLMYQSLSVLQQIRNLC QTNKSLRASWTSKDAHLKLVLDLFLQCRLADTVPLQEIQALILDLLPDIPDKVMEHAS LSSLLKLVSLSTSSAIQTAAYRILSKVIKHETLALVLEVEASLSDEDGHKERTIELAK ELVDIVKIGTELDWHGELGVPLVLGQLLTWMSILDYFEDASRTLRWAYLDQLNTSNLI TDGLVPMLFAILGVSEVGAWNFPASQYAVDEYFTEFLDPESLPDLTPLASHIFYRALV TIPSSLRGYYESLKDRQLSMSMLNFTARHFSPVIIAHEFSALRKPAAMAQLTEEGLNV RIAQGSSEAIASYVVDEQPMEIGIRLPAEFPLKAVDVRDLRRVGVPENKWRGWLMSVQ QTITSRNGLILEALTVFKRNVSLHFEGVVECAICYSIISLTDRTLPTKPCRTCKNRFH ASCLFKWFNSSHSSSCPLCRSLF L198_05459 MADSTPLPKIPIIAPVGTNHDEAATSGAGPPKPFTEAQVEEFRE QDRWLPIANVARIMKSSLPSSAKVSKEAKECVQECVSEFISFITSEAAEKCLNEKRKT LNGEDILTSMRALGFDNYEGVLRVYLAKYRDSHHSIPKRHQHHDDDGDDHDHAGPKKR GRGRASGAAGGTNGEGKGKRRNGDDGK L198_05460 MATPPRKLNLRSTAVKRIMQEAQELASADVDDDGFTAAPVEDDI FEWHCTMRGVEDSEYEGGLYHFRIVLPPSYPMSAPDIILLTPNGRFELGKKICIDGLT SFHAGSWQPAWGVRTAIVGLRSFWMQTGEALSGVGALDYSKDERRRLAKLSAQWKCPT CEVANEEILPCIAERVDKGKGKAEEEVTEKTEREGAGDDKDVDDKGAPGEPMPLKEVP PSAPAPQALPAPSHISPTPIPRPAPQPSTAIARAPPRAAPALQDPGFVRIQAHQSALD PNRPVPLWLDHLIGFVCIIFGLVVCKRVGTYIMSP L198_05461 MSVVYLADEKAPHLTDELDGETTSQDLKDGVEEVAQQDADAAIK EKFTYEQEQKLLRRVDWRLLPLLILAYLLKNLDGNSISYARVISSGKSTNILKELNIT TDYYAWTSTIFTIPFILAEVPSNLLIKKQTPRLHVLRIVVLWSIAAGCHAAAQNGASL LTTRFFLGLFEAGLYPGILYHVVCWYRPDELAVRMAILGLLGQFSGILAALLAYGIEF IDGRGGISAWRWMFIIEALMGAALALPVYFFLPNFPDTAKWLTPDERHFLVARLPPNA ARATDKAFDKKGISDALKDPLLYGFTLMQMFSNLGAYGLSFWLPSIIASFGFTTTQSS QLLNIPPAVLGIATTILFAWMIDRTFDIPRPWYGVVSKVVTIGAFIALATVTNKPALY ALTIIATVGSSAFGAVMMPWRAQSLNGSTNAAFAFAFQNGLSQISGIIGPQVFRSKYA PRYTIPYVVCIVFLVLSFLALLVTWYLSAALEKETRRVAKVRRAEAKANRITQDEVVF SH L198_05462 MAQDEVSWSEIAGPSGDRSYCSTSIAEKWAWDERETFSASLSTA QMTFTTTQLAQQEEDAGILKLRGFHDPHSVEAALEDLETKGWAVVKNVIPLERAQEYE QRAYAWLESFGKGFKRDDKDTWKVENMPAFDRGGMFKRHGVHHERFVWDIRSEPGIAA VFAKLWETDELLLSTTEIDDHADGINISLPYIDDQQTDRAAPWPHVDQNPRRRHKHCI QGIANIAPNGPLDGGLKVLSHSVQHFHAFFDAHPELEPEGGWPAGDFFMHREEDVGWL KDRGCEWVKVEAGPGDLILWDSRTVHYGAVAEGDRPRVATYLCYKPAKDGKPDKLEAR KQAFAEWAGTTHDPLAFTVRGTNTLGPLTRDEKQLPHEIPVLDERARKLAGLIPY L198_05463 MPPIYVKPENALKRSEELLALGTPQSQQQAFENLIEVFQSKRFK QTPINVLEPIVTKFIDLCVLLNRKAHAKSGLLVFKSAAQTTNIAAIERVLNHFIAQAE TRLADAVEQAKKEVAALPDVPVVDDDLPLQPASLMLDTFVDSAGDRERIERRLIAPAQ KYCWDAYDICLDIAKSNDRLEIIYQSIAHRAFKFCKVHERKTDFRRLCEQRLRKDLAN AAKYSHQPHAINLSDPETLNRFLETRFLQLQTAVELELWQEAFRSVEDIHGLIAGRKG TKASMMANYYEKLTQIFKAEGGKQTAVFHAAAWARYFQQAERAGIVSDKASGCVLLSA LAVPLGEVEVKQRLVALLNLPKMPTRETLVQDAAGKHLKRVPVDIRQIYNILEADFEP TTAAKVLAPLITSLAPAYQPYLPALRDVVLSRLLQALAQVYDAVTLSHILDLVKPLDN TPWATDMPSLEKFLVSACRRGDIRASVDHVSKTITFASAPADPTHLQSLAVCLYNTVN YLNPVPTTSRSDAFAAAIASAEEERKLASHKRQIVIRRRELLEEARLRRETAESTALA ERLKAKAEDDARRIKEEAKQAEIDRVRKQINQTKEAEAKQLAASLAAQGALKVDISSI ENLDSSKLVAMQVEQLAKEKKGFTERLRIVGKRVDHLERAMRKEEIPLLTDDYERQKA EDRAVHEQANKLLREQAIEQQKAAKELKQRLGRMLDDYNQLKAVVESQMEDELRVAKE EAKKKVEADKARVREQIIQRKREEKARREQEAREEEEREQAEQAAAAQRAEEEARKAA ERENAAAEAAVAAEKRKAEREEQRQKDEEIRRKQLEREEESFRRRQEAAAAPPARASY RPPGAGASPSASPAPAAGGGSWLERRKAMAAAGGGSAPSPPPAAVPAAPAPSSSPAPA PASGGSWLERRKAQAAAAAASGGASPSAPTPAPAPAANGADDAKPGVWRRRGAQ L198_05464 MASSLQHLHAGLRVIKNYTKGYTDTQTKVRDATSNDPWGPSGTE MNAICQLTYNQNDFVEIMEMLDKRLNDKGKNWRHVFKALTLLDYCLHGGSENVVIYFK DNLYLVKTLKEFVYVDEDGKDVGTNVRQKAKDITNLLQDDARLREERRSRGAMRDRML NNIEASGLRGERDSGAPRSSAPPDSPPPRQRPGRSRNEDDELERAIAESKRMSEDEAK RRSMQTQEEDELRRAIRESEEEEARRKREQEESTKSALFDDNLNLQGQNAYTQQQPDL FAQQTQQMPMQPTGGWPLVDAGWGQQQQLQPQYTSYNPFFAMQQQQQQQEEYMRQQMA LQEQQRQQQEWAQQQQYLQAQNTSLFAQPTGYGSNNPFAPGGGQSQPPVPSPQPQQQS SFLPVPSVTQQQPQQSFSPQPQEPPKQLEPQATATPSGWNGTLKTNDAQHGGLASLLA RGREDGLDTFGNQGNLRIPVGSGFHDSNRVAAQQTGAAGLGANNPFGQRMQQQGQQNG QTDQPFFSI L198_05465 MAPTNPFHDSQTTATANNAHPSDGLPEDQIPSEPPPAYTPSATT GTGESTVQAGPLRPDCSGPPPMPDWVERQQQQQQQQYTGGSGVGVNLTGVGMGYGHGG QGHSQGQYANGNSSSDETKFPPPPRHPSATSSSARPPPPLPSRDSTTQPSSSQSAAPT EAPTPGRPLLHRGQMLVYPGGFWCSKCNNTGYKANDPSNPHERDWKKYGRVYNSALAH SYTQALSAGLHMSDNFQKPLPSYAPAGHAPASAPYSGPTSGQYSHLPPAPGSSGKWST YPGQGQDPGQSYGHLPPPPQPQRQQQLQYPAPGQQIFVQRTAGMAPPGALVVAPGDPR IGGR L198_05466 MAATKLKPLPPVSVQFLGTSSGGGPIQSRNCSSLAVDFGNEIWI FDTADGTLGRLHQSSLKVANISRIFITHLHLDHVLGLVPVLTTVMSGVGTTEAETQRV KDQGLKKKANFNIYGPTGLRKMVRSLLQTVHANLSGVFAVHEILHEGEEPSAACGVED IHTNEAVGSDFRTDSDGVWRDVLQEGNGKGGKGWKVEAGPIHHRIPCLGYVLQEPTPR LSLDTQTLIPLLQSNAAALAERDPPVKHPLSLLSHLTSLPSPPPYQLPSGETISPPEP SGVPPRKIVIFGDCNGGTPNDTFRSMCSDASLLVHECTNAAIPQAIQKGEKGQKVRQR DLESSLVVSRGGVTAGAGKSPSVTHPRPSRWAGEDSNEDTFQNDEEVFADESRAREVI RKAQSRGHSTPNEVGMFARDIKARRVAVNHFSAMFPSPRYSTSHPFPPILSPINSFPY PSPTPLPHTSDPPIPPIPLSSKHSSELHARLIMQSIADQISKHCVSPSVMLEGQEPAD ICKTGMVVPSRDFMYLPVYSHELTDAEVADVKAHKEVTDKVTREWKVNGGVRLDRGEA ADWVGVGNENDVKDARWKFVEEEGSWAAVEIE L198_05467 MAIFGVFKATKSKSQSHAFADTAAYPSTPVSAERSLPPLPMPYM PSASSSTVSTAGIPSPGGRTTPVRPGKGMPTPTGARADSGPSSFNRTPSASSRVNTKM RSGTWSSTSSPSATRPPFLRGSNSSSSLTTPTKSISRAPKALLATPTSASQAPLPPPS DALPPTPEASPQKRISTIFESRGASATISRRGSFKSPSRGGGRMANSRNMRDPSGSSD VSSNLSNASSTSSGNGRLPRVLSGRRTSTSISTSRPTQLDAYDGPVVEINAEPPRTSK DGLKSTEGKRRPTALKLRTSNLTPEPSSPAGKGKGVDSSIAALLPSSKPSRITNIGTS ALAPPLMSPAKASSTLGTMLSARDPEPSQNTEDSGTPPVWQKSFDLVEPQLKPLRLLE RRRSKSTGDAMEFMSIGRLQRDLGGSLTPSSSALHRLSPSKSGGLSPKKTTGRDETID KSVSTPASALTTDGDAPHSRPPSPSPFLNLPLPWSTVDLPTITADLGLTNISSLVNYT VNQLDTSSPHLLPPAILKNCASEEGRLRAELERLREKYDTLVYHRGSLQQQIENSVLK VEQTKLHKMVQALRKVTARCDRVARQIFICNDQIKQIEIQGQEHVVGALRVKLDEVKR QAYGLEPGGSHLPPASGSSSSESAATPTPTHTSTSKSFLNDVYYQSLEPVTPSSRKYG GNESRRTSQATMISLNRMTFPVPPDRIRILSTAADDMEQPDDRIGWQGSLKIEIEDMV DDGASSHDLDSAPSPTLTDGYMASQDDHTILILPPTAHMRSSSAPDWPVTSRIESGDN AGPYDMASPSFHSASAPYLLQPHSPLGAAGRHGRAFSDTSNHPQTTSAPLSPTAMRQM GTAPLNLDIPGMGKLVKGRGTRMTKSMSAQGEGLKKLRKGRESMLDTPESILWSLATA PMWTKQELDSQ L198_05468 MAHFNPFEDPQRNRAQYNPSPVPTSSLYPAQPQPRYPPQPAAYP SYQLRDNGDVGYGPGRRSPAWVAGEEEDEELKPLTAVGANSSSTFLNSDPYLSGGPEL PIARPNSARPSFHSVASEFIRRQTAPKRGATIKKVKLTNGHYIRSYPVPGPISSAVEA KWLGDKSSNEFTHMRYTAATCDPDDFTPENGWKLKTAGYNRETELLIAITNNEDKILY ARTLHNVMLNIRDICNTNASKFWKRSAEDGQPGWQKIVVALVADGLGPMDKQVLDVLQ TIGVFQDGVLKKEVDGKKTVAHIFEYTTQLSIDATPQLMLPHPGDEKNLVPVQIIFVL KQDNAKKINSHRWLFNAIGKQLNPEICVLLDAGTKPGHKAIYHLWEAFYNNPNLGGAC GEIHAMIKKGVKLFNPLVAAQNFEYKMSNILDKPLESSLGYVSVLPGAFSAYRYRAIQ GRPLGQYFHGDATLAGRLGKKGVEGMSIFTKNMFLAEDRILCFELVAKAHEKWVLQYV KPSKAETDVPEQAAELISQRRRWLNGSFAASVYSVAHFFRLYRSSHNPIRMFFFHLQA LVRSLAIDLVFSWFALANLWLTFSIVIQLLPQSSHIYLFGTEEVTHWVNLVFQWIYMA FLILQFILALGNRPKGEKGLYILTFCVYAFLSLYLIVCSVILSVKAFQGALGLDSGIE DKLKSLFNSTNGVMVAALMSTIGVYLIASFLYRDPWHMFSSFPQYMLLAPSFTNVLNV YAFSNLHDISWGTKGSDKAEALPAVSSMKKDGEAPVVEDTQRSQEELDESFKEVVQRA VKPFKVDEEVEKPSADDENRTFRTRLVGIWLLSNAALAISIQTLNGIDNTQTSNALKL DNAELQDKQQIYFRILLWVTFGLSMVRFIGCVWYWLVRQLGRCCRKN L198_05469 MVLADLGTRIGGALNQLARAPVVDDSVIDAVLKELCAALLESDV NVKLVASLRSRVKTKVKKSLEESEKAGGKEANKKNVVQKTVFEELVSLVDPGTEPYKP VKGKTNVLMAVGIQGAGKTTTCTKLAVHYQRRGFRTCLVCADTFRAGAFDQLKQNATK AKIPFYGSYTETDPVAIASLGVEKFRKERFDVIIVDTSGRHKQESELFEEMVAIGGAV KPDMTIMVLDASIGQAAEAQSRAFKDSADFGAIIVTKLDGHAKGGGAISAVAATKTPI IFLGTGEHLNDLERFSPQPFISKLLGQGDMQGLMEHMQEMAQVNPDKQKDLAKKIEQG KFSIRDWKDQLSNIMGMGSISKIASMIPGLPAGMMDGGGDEEAASKVKRMIFITDAMR ADELDSDGLIFITFDKAGSPTGLNRRAKRVARGSGTSLREVEELLVQVRMMAGMAKQA GGQNGWMSAMQKMQAAAGGKPLGPNGQPSPAQIEAMRKAVPPEVARKLRAAGPQGAQK MMADMMGGGGMPGMGGMGGGGGGMPDLGSLMSQLGGGGGGGGMGGMPNMAQMQEMMSS MGMGGAGGGGMPDMSQLMKMMGGGR L198_05470 MPTLPSLNPFSRSPNAPQDPHRTLSELLHGANTQNGSRWSNTVK ASLIRQLWQTVWHDPEWVQYFVPDDQAGSLPKQAEIKDWLVDTPEALYQSWSLSATQK ALQEKKSREGKGPIWPPRAGQVCGKVFQRHERTYTCKTCAIASAVSLCVDCFKAGDHE GHEVLFSQSFSFSACCDCGDPTALCDDQHLGCSHHPRLPLGQTNPPTPSKFDVPDGLV IALQKTIAICVEYIIHVIEHSHLPADYGQLPKTEEEMRSTNGPTGEPRERWGKGPWAV VMWHDDKHVMKELARQVRDGVGVKWEVAEQWAREADTVGRKVILASPNPVVAFHAANM LHQVDAAVSLRPAADVFKEEIVAVLIEWLYDLRASIIGGDDRLSKRLLANALFEKRVL PPAAPGTPLTPDLNDLVNGKILGKPQEIKRIDWLLQLDPRLWKKAKWQMRQIYCSIFL NDQECSYFIACRFALNYPRIAEHFLFQDREFDTNILFSSGYVVLTNGPAAAYATAHDN LLSNIVQVAQAWYTGQVVTTDGVDRLVIPPVSLDMVDQAQKGRLDLEIPAFSVAGKKG LAIIGHIRSAIKHDETRRVIVKQNQLFNRLLGFVNIFVGIQPQRREKGGHIEYEIEWL RSFIVLGDLSRLCRDMGEIFSVAAPDALLQSMSLVANRVFCDMFLLSNTLDKERYLPI TQHTVNNVLVPGSSAVLIKQDISTIDAFSFHHYLNLLFAEMMKNMRTAITWVDGKVNG MGFVEVVEKFVFGGGDKASCERMKLMMLEWPLQKHVVFAQIRANMWKKNGSALRLQHH HYCDVSVRESTIDQELFLLQVGLCVVDPFKFIIACIDRFGLGDYFQGSVTDPRLWYDE DLEPKQKINLLEDFLLLIIQLATYPVFINGWDRRKLTRKLIIHLLAVQPMTYSEIYRK LPERSQETSCSPILSEVANFRSPTESAPGQYSLKDEMYNEVDPYWRYYSRNDQRAVTD KLVARAKRLSGLKDEPLVQPLPLELPDSGQPFSTIADFLGTHVVADIVHWAFAHSMHI ATPEHPVIPTWDLVLDYALHLSMLALSVNAQEFAQQSVYLKGSEGSNSTFQNLWVMQS DAAFQPFRARVDYILDTIVANLPPHYTADYRAHKESENLIALSSPAKPDPKASAAARQ KAIMAKFAKQQQQFAAMMDGADDDDDDIEVEHGVKEEEKSFGNCIVCQEEVTAKNPGG MLTLLQPSRQLRETVNSRDWLEESILVPTSLDRSGRYQRYTHDTQHPEPASTEGYPCT NMKFGIHMSACGHYMHENCMTSHFEGTKVRHTQQVQRHHPENAVRLEYMCPLCKSLGN VLIPVEPTRTTTKAAVAANKDDDVVPTLSMVIRRVSSEGLLRVADSQRIWEHHVETGE VVPWFSDCVFSLHSLDHSHRRGSMRVISRMADRMGGLVRPLSEQSQRIRGKKTHMYLP DDMVAYTASMIEITQRGHKNNSADALTVAEQINETSLKLIGKLVGLLQLELDLYFGPS FDRTSLRVGIFARFLPDWYRSSTLPSPLLIRHPLGMVIECAAIAPDLLQAVMIMAYYA ELTRCMLALSLYVKRCLGPRQSPALRTQPSSDESSADALTVFANFRPVMQSILRHAGP FADTDGVLALLSDEMLSKLLYSYTLPFLRRCAIIYYAVTGTYPLTNPSLISDFTSSTS EYNRLLTVLGIPRPTETLGNPSSTETPIVARWITQWALHGRVMPVLEYPGTYELVRLP EKWEDLVLAMVNRKCQKCKTKPIWPAICLFCGTLVCLAGDCCLQGEQGECNLHMRECG AVVGMFADIRRWSLLYLYAGSGSFGSMPYLDAHGELDVSMRRGHRQYMHLERMDALRK TWLTHAIPHVTARRLEMTLDHGGWGCL L198_05471 MPTLVNSSEPVMEPNEKLTFLDRVTAGLRTQYKLKDNEVLYHIV GFVIEEKPPIIPETLLIGQTSCYAGSYHDVCGTSYGYAGRGPVVYRLLVLDNAIVFEG TSSDEAQVVGDFDTLDKRLKDGSVKVGRSLLVQKSEGEDDLDYTLVKDVRKLFGAAIE QLEAIPRDGQPLKRLPSPEGETWTTLKDMVKTFKLENAVTQPEPFFESARLSTKKVAE LNESRKREEIPASEGNAESGPRAEDSEVVAPLPEGPESTDAQPGTDVDPKEPKRNRKK TKQQSVNDPPPKNRRDRSPSPGGGGQGPTKRRSARIRAAQADPSATTSSATKEGQHGR GAKTAGRTFGSRVGNIRGTNDNTANVLIGKDSLESASFKADYDVATTEYDPLTAFNLQ NIDSYIPESNASQKVRSLYESMLMEPVMNGGQTHHNALPELRNIEEEVPKQTASGVME DDIIDEEDNGSFDRAPSAVYDDPLEEQANTILVIAGKEIFNKCLNEATKFVYLRR L198_05472 MPDPFWICHECHSEMRPIYTDNIPHCASCNGEFIEILDPEINPD PYHELPPPPPTRPGQGPAPPRGNFPPFLFNFPPPPHHTARDDADPPSPTLPEHSDNPP TPGSFLSNLFGLLSAGNRENNPGGNGGQPSRPPPLFADQLPRDNQGGEGSNGDQGGPG RPRTYSFNLGNTHTSVTVGSFNLRGNVGGQGAPQNPFAAFGGLDDPDPFAAEPPGAGN RPRNDPNMTDEERLQQEARQVLQTITAVLSGEGGAGFVFPGGGNLGDYAGSDGEFQDI LDRFMDAAGPQGSVPANDTVIEGLPRFKFDEKSLSQSQFKDCPVCKDDFQVDDAVVRI PCQHTFHDDCLVPWLKQNGTCPVWQVRFSLVSEEDREAHRRRNNPLNQPFGGLNQGQD GNAGNRQGQQGQNLPGGMPGMLGGLFRNIFGLGDEINLASGRGANERSGRDVPRPPGP DVSARDSHQNPAYDDQRTASESSDDDSPIRVFAENPPASTFQSSAHNDSLNLGNPIPS SGATTPGGTAQSRNREEDAQRVQAFDRLQERVARDYMTPRERREREERERDQNDNG L198_05473 MSAANAIAGPSRPSHPYTAHSTPLLRRAESTFSLSSGTIASSRP STPLIASHGPRIIRSGSVFSKEQWKKEEISRRRQESRDKLKSSWDVLFDKYKDVEDDD EVDLLTGRIVKDRGSLRSLLRPMHFGEDVDGEDEDSSVNGNHEFESDEDELGDWEGRS GLDSQLPEYESVVDSGPAWTTEDDEDFREFLRAEERRKTMYGDEEEEDPEDDAQPLAK GMNSAFADTERSPRSLGTRILATPTLADLFQSGSEDEFEADIRDTHDEASRKTPAPAR VSSPIVPPKPVQVRRRPRMTVQVVIPCRPRSRSFISSSSNPPPAYPSIPKSASAPTLA DLFTPPPMGNLSISKIDKGKQRMIGERPAEDVPDRSTSTTGFYWTTKIYMADGKPFTC KDCLRAGGDRRAKAGLCKGRTSDCKFASIDSGSVTDSASARQELSRPPVPRSAGGQRK RICRLCRDAGGERAEMAEQCLGKHSFRRCRYFDQYREDDTDGGESEGEAEKASRTPTL HSRERRTSTKPHSPRPQPESIERGPPSVIPSRSATEPVVIVISDDDEDVPTPKPTAPL PRRARSIAKRPTPVNLAAPPSPPLSSPLMAQGRASRMPSLPPSSPPHPDVFSPVRSTQ RPTMSPSNSTSRSRLLDSSPMTTSYTRPSFSVSGGQVFQPTPPPSSTDGTRSQSLSSD VPTSSALKKSALRRPSESLLLGPGFSAKRTRFSLAPRSPPKYPGSSSTEPESGDESQD ELDLLSSSDRSDDKFPVYESSSSPLRSEFSVRAADLGMRLGPEHTGRLPSTMIKSLVP SMSNYRSSSTLGSSSTGFTLPTPPPSSAGSNGSRSSPAPSATLKSGSVARNGNSAKRN ENPKAGLMLPPPIPSHRLKSATPSSSPLKTTLSSTPLRASTLARPPASAPTAGAGIRF VSMSPAVPQARPRSRSQSVSIEPGRSQSRPATPTHPKTPRATRTSSIVPARLARTSPA KKSMIYKSLEKAVREVGDEDGLEWGLDEETDDGGRMWRDSSVSAYLH L198_05474 MSFIAGPARKSQGNVVPTYRHLLSPQALTPANPLRSIAHCDIDA AYAQFEQVRLGIPDEVPLICAQWQSIIAVNYPARKFGIKRFTSIDDAKKMCPHLVVQH VATYRNGEAEAGYWENVDSRTHKVSLDPYRRESSKILAIFKEMIPKGEVEKASIDEAF LDLTPMVLERLLIEHPYLAIVPEDAPNGMDSPLPPPPPIDWNKAGTVFPKDGKEHDDG EEGEVTSEDGERVRGVRDTWEDWALCLGGQLMSEVRGEVYNRLHYTCTAGIAHNKSLA KLCSAWKKPNNQTILRSAAVAAFLRDREFTDIRSLGGKLGAAIAQEFDVTTVGDLLTV PLDTLQKKFGEESLWIYNVVRGIDLSEVKERVATKSMLASKNIRPSVTAPSQGYHWLS VLAGELNVRLRESREVAPGLWPKTLVLGTRQGFEAPRSRQAPFPFTRNLSTEYILKYA HKLWEEATQPMNKGNMKLNNIALSFTGLEKLEEGQQGIEGFFSTAKAVPKSAKADPDS LSTSSGPPDASLSAKRAHSPAPSADPVHKKPRLPKLHAVEPKTSLQAFLAKAGPSSAK PLPSLSRTSSYSDREERPSTEAPEVFVVDDSDDEVESQDDGMSWTCAKCKKLISRPGD FAMLEDERPELLRAMKQEHEDWHFAMSLQDGGEGSSHPSESKRRAQGKATGSRSKEKP KTTVKKKKEGIQAFFGPKRP L198_05475 MSKFFTKSGHKSDPTRLHTNTSDDYDYKDEHLTGDDEHYPADDV EAEVTSVAESDLPQGRQLGLISATFLMVNRMVGTGVFATTSTILAQSGSVGMSLLYWV IGAVIAATGYSVYAEFATSIPRNGGELNYLQHVYRKPKYFVACIYGAQALLLGQAAGN AYTSGRYFLRAGGITDNEWGSRGIGVAVLITALVIHGTLLKWGLRFLNIVGFFKIVIL LLISFAGFGALAGRTKIDPPHNFNKAFVGTRSDIFGIAACIYNACWSYVGYSNLMYAV GEVKSPIRTIKIAGPLAIGIITVLYLLTQIAYFAAVSKEDILGSTQITAALFFQNMFG ESSARALSVFVALSAVANVFSVIFSQGRLNQALGRDGLIPFSKIFASNRPFKAPLAGL TWHVIVTLIILLAPPAGDAYNFVLNLSSYPLNVVNAAVSFGLLATYIPRRFRPEWARE WNPPFRATLPVTLFFTLVSFFMVIVPWIPPKKASDAVYVSMWYAMAPGVSFGIFAAGT IYWAGRFWLAPKIFKYELVPTRKLLSDGTAVTHFEKVHRE L198_05476 MNAPTPDLPLALRATHLTTSASDPIRPSLKLTITHHYQVQSTII SSKTKAPRIDDTVDVVVPIGGKVEIGLHIDDQLLKEGGHENGQVKQRIRNRLAGMLRH NSAILALSSTRPVAQARTDPNVLILTPISSSPTLNPIPHQLVSSGHPYVYLKRLEVRV SWVKTRTGGKRKLERDDDVVDEEGDALLPLMDELDEEAPEGEMESLPLNADNHEEPSQ SWLDQQIERALLKLLIGHLLKRYPLIFDGETYRIAPKTTPGPSLFSSLAHIQRLHVRH NITTGPSLSESMARLSLAQQDNLKARVGRVVALPAKKDRRIEAAHKRQKVARESAVDT ARDEEEQVEVDLYKVDRDERQGAYLEEVEVSIDRSDKQGHRKAEAKLKRIVGDAVVFL GRKDLIERRKGRRKLGYGRVKLVFPSKDDLDVPIPISDYALSAISPPSETSLVACSPQ VSFLEDVDQDPTACVPHVNQEPSYDQYHISSLDERLDFHVDALEDVVMINDDIPEGEE TLTLVVDVDENDNDPESDISQLLPPSPSPHLHPVNDLPPPAPIHPPFRQPVIELMVER FDNPKVPEDFAVMGFELEETQVD L198_05477 MSWLWGPSVNPQFEELSEKACSPNHLPYPQSEDMATALEVADMV RAKTVPPKLAMQSLKRRIASKNGRVQMYAISLTDTCIKNGGDHFLIEIASKEFVDEIA GLIRSDSTSRGVVQMLLVYFQQWAVAFRSKAELSFLSDVYNELKASGVPFPPPPQSVP NHLLTTITAPTWNDSEVCTRCKSAFTFTNRKHHCRNCGLIFDQACSSHNMPLPKYGIT EQVRVCDGCWIKSGKGKEQVVVLPPPAPAVPERTRRSRSDFDADLQRAIELSLAETQS HGHGIPSEPPLVHNAAEDDDEQMRLAIEASLRDAEARPSAPTGYGEETELPPLPTFDL NARESETVLTFSNTMDQMSAYGERDLRRFPHAHVLAEQAGAVGEKLRRNVEEKSTKQQ MLMEMQDKLSQAINIYGGILDGQQAFAVRRAQDEQARRYQQQQGMYYGQQQQYQPYGY QPPVNGYQQFAAPQPAYQPPLAQPQSSLYPSMPYASPSFNQPQMYPAHRNHAWSPHPV PTVASPIQQVPHQPGLSRHASLQIQPVSPVVQPVGVQRQTSMTYGAPAISSHPSQIRA QPNAPQEVPSAPPPVNLSTHPASPIAPTQPLVQAQDIPSAPSAPPQFSPEQTASAPPG SVGSERTLEVPSPKLEGSAPAWEGQGAEEQATPVPQQHQQSLYSQPPSQAHPQGQYQP PQSQSQQQQQQQQQQQQQHLPPGVYNAAFFPQPLPPTIFPDAPVEAPAQQGEVNGLEK QEKEEALLIEL L198_05478 MTVTFPYSSAPTKQVKEIQFGIMSPEEIKAFSVAKIEYTEVMDE NGKQKVGGLMDPKMGTIDRNFKCQTCLEGMAECPGHFGHIELARPVFHQGYIVKVKKI LECVCFSCGKLKVDTRDPMVANAVRRIKAQHRLKAIWALAKDKKICEPDELDEKNGDS TFEDEHLQEQQVKGHGGCGHEQPLWRKKGLKLNGVWKPTDKGDEEAAEPEERNISPGE VYNILKKITPEDLHIMGLNAEYARPDWMILTVMPVPPAAVRPSIAVDGGAMRSEDDLT YKLSQIIKFNGVVRRMEAEGVPPSVVNEQFDLLQYHVCTYMDNDIAGLPRDQQKGGRA IKAIRARLKGKEGRMRGNLMGKRVDFSARTVITGDPNLQLDQVGVPKSIAMTLTYPER VTPYNIVYLQMLVNNGPATYPGARYYVKDTGERVDLKYRKGGEPISLQFGWIVERHLK DGDFVLFNRQPSLHKMSMMSHRVKLMNYSTFRLNLSVTSPYNADFDGDEMNLHVPQSE ETRAELSQIAWVPRQIVSPQANKPVMGIVQDTLCGIRKFTLRDNFLDWLQVQHILLWL PEWDGTIPPPAIFKPKPLWTGKQLISMTIPKGINITYKNNEKPSPIDVTDENVLIEDG ELVHGTIVKNMAGSANNGLVHVIFRELGHIAARDFFSAVQRVVNYWLLHFGFSVGIGD TIVDKATMAGITNRMVEAKEAVQKLVSEAEANRMKPKPGMTIRETLEASIANELNKAR DWTGKTTQDNLKDDNNVKQMVVSGAKGSFINISQMSGVVGQQFVEGKRISFGFRHRSL PHFSRDDYGPESRGFVENSYLRGLTPQEFWFHAMGGREGLIDTAVKTAETGYIQRRLV KAMEDLKVAYDGTVRNSVGEVVQFLYGEDGMDGAAMEKQSLDIIRLSDRAFERRYKID VLGNSGFSRGTLQAGIDQSSVSLQKLLDEEFEQLTDNRRVLREEIYEDGTPGHPLPVN IQRVIQNSQQIFHIDPRVPSDLDPVYLLQERNALASRLMVVRGDDKLSKAAQRNATLV FNMLLRSHLAVRRVLEEYHLNTEAFDWVIGEVEQIFNKAVVNAAEMVGTLAAQSIGEP ATQMTLNTFHYAGVASKSVTGGVPRLKEIINVAVNIRTPALNVYLDPEYSRTEHDAHQ IMRKLTYTRLRDITATVEIFYDPKLDSTDIEEDKDFVDAFFAIPDEDIRLELHSPWLL RLELDRGKVLEGGYEMSQIVDAIAETVGKDVFVIHSEDNADKLVIRIRVVAEKEDEEL LGDEDMFLKRIEGTLLDQVELGGITGIIRVFISEGKKVVVSQNGEYDQEREWFLETDG INLKAVMAIDGVDAFRTYSNNCYEVYETLGIEAARNALYKELNGVIEMGGSYVNYRHL ALLCDLMCSKGSLMSITRHGINRTDAGALSRAAFEETVEILLEAAAVGDIDDCRGVAE NVLLGQMAPMGTGAFDVSLDMNMLKDVIVDHRLPVQNMMVTGIAGGMTPGGAMTPYDN LSPTWGDKGILGGAAFSPIQSSTNDEAGNFAYMGYGQSPMHGGMSPAGYSPSSPAGYS PTSPFAVTSPGYSPTSPFAGAGGASPWVGRGGYGATSPAYSPTSPQYSPTSPQFSPTS PSFSPTSPTYSPASPAYGGAGGNKASPYSPTSPAYSPTSPMGGITSPQYSPTSPRYSP TSPAFSPTSPSYSPTSPAAFQATSPRYSPTSPQFSPTSPSYSPASPAYSPTSPQYSPT SPAYSPTSPQYSPTSPAYGGAAPPQQNGQAQQPARPGWGGQGAGAGRGGYGTSPSWKS L198_05479 MPQLPILPSSTTMSDDTADVSSYGYTPTAWVALTFVCVFSASEI VHFAQGFFYKYYLVFPTLIIGCTLEIIGWAARYWSSQNVLAREPFLMQIITLIFAPVF FSAYCYTILGVAIRALGPQYSRLSPKWYVAVFVSCDFISLILQAIGGGWAASTDVSPV PHTPTNIMVGGIIFQLVTMIIFSCLAADFMWRAHTRKPIERRAAAIQQQEFELADAEA AQKGSLRDSRASSVEDTSVRQREVRGWWFVMLGVAICSICIILRGFYRSFELVQGWDG YLVKHEVYQDVLDGIPMIIAIASVNIFHPGFFLPRRQGWKNL L198_05480 MPVRPRVDPGSVEVHWSSSVPYKFALAAAQKFYVLQKNRFNYYL DKISEESFLTADEGRGSSKGIKLWGKRVQDIGEKYAFRRNIVPISVFFIAVIYTSVSN ALCASLVIYVSHVQVSVELYKLLDSLSSECLVLLDHLSQQEVIHIKP L198_05482 MGILSRIRQRSSSIHHDTSSRVSSSPAPHSPSASNLLSTPQTPG TPGTSYNPSSPAAASISSKKSRRPWKKREESEDVIGTKSSWGRKSVGKDLEKEKETEK GGDESIGGDSQYADFTPPPVPALSLPALGSKEGYQSSPLLNKTPSSTGDQEKGKSRTN SGVFKQDGGLLGKLNFERGDRERKVSNSSFILKVEPELKPSPPRRSSVPGTPSEEGES LVVIDSRDLSPEKDEKTPESKHPLSTSVMTHDSQQEEDPMEVLESAEKKHKFWKSKGK VNRHSRVMSESQIERPESPSPVKLPTSSTADNLSSQARRSSESTRPARPPPLRRPSSS FLANPFNRSVSRAGKRSPATDEGTFQLRGFRHVSGMSDVESAGKLEGYLSHAKRESVL ALVKSTTSVDDSIPSHSPSAYSAPRHSSYVPLTRPPSAAPSLVSLDDVLTSSNRVSVG AFRKGLRRPSAQLTSTMSDVGHGASRYDEDDDDVPLAMRQVSQPVIRPKSSQSLSSMR GLGLTNVGGIAVPNEIETVAQVAPEPGHQEVSGREIDRTESPALSFQVRPRTRNRTSS GFVVKGRSPKSPPESLAKSPADSYFPSMANASDSLRASPVQTRVSSPANGESKSVTPL PRSPAEASEEVYSTPPTAARPVSLHFLKPKPDFGEHAFPSPLDTARQPSQYLSRSQES QSALRSPPPPEPLGLPEPGQVSPTLSTLNLPLPPDQMPDTPPKGPVPLHQLSLRQDSG GPQATSPGTPKKRLSLLEEPMRYLSGLWTSPGAGEDGFDPVLAANSLRIFGGDEVQSP TTADDKDMAFVDRQASPTPVSAPAPIAERVRCPLSERLAGVAALSASGSSGNLAKPEF EKLKTGDDMLQPQRDMDDAKSPASDDTATPVYRPSPRPFSSFMKPSTSKRGENEESGS ETEGERPGPSVQESRRVQSLSLRQSTRRAPGGPRHPNRSSRIASMPITTSQMARKESI ARNIHIGDSDDDDKPLYTVKRRMPRSSLAAGKSPVYGQSDLPTPPTSIANASLKSASP PERRRPLIDMAPVVPITPRSIGESSVESASISNRPPDRSQTSTPASGQLPSPVKAQVR FDSPAKRPANPRRTTGGSDKGSRKGESGVRRSNSREALQNGQERDRRERRRSETGSRH PSPYDPPVQAQMGTQPMPDMQQTSQEAYLAWQKHQWQMQYLAAAYRASEDEWERQSAV SGSTNQGPSSQFSPYSMPPMPMFNPNMNMMNTGMPMGYPGFPQMPQQMPPQMFNPFFG MGQPQHMQQGNGGGYAYGKGAQSVFGGEFGPPSNMPSQQRQMNEAIRTSSIDQNISPP RKSHNDQRAKTRSTSALGVRRPSATEPSPLKDRLAQHPSGVFLGLLAEREKVELREQM ERSAHENLEKQRRVSKEGKSSEPRRNTQSRVSPPSSWSRRSGEWSSGEHGASSRPNGG RASMAA L198_05483 MSTTPPQSHSRPPTRTISLGATTQQYKTRAASQPAGERLPVPHA HPNAAPAVNGNGNFGVIGGTRSTGRFGNALAGMGPVTRASSFSAGERMPQKRNLSLAR TLSSHTEEFVPSRSQSTSPFPTFSPTSTPSTSPAAIRQQPLPHSPPKAAQNVSRSRSQ SLAAGVRPSFIDRGYFTPMSSLDSSPFVKLDTWGPSDASNMSPFTRGLTNLVNTQELP RSRLQQDTTYTSARAAWADSNTQSALTSSVAKALGGYQPTHTGSAATFAETTGSEGRS GASSRRHSVSVVGGPGGRREWVNEGGMGITPYSPSRGLYGLENDLGNALNLDIERENA QGLYGNEFDGPFGGLGRGRGPSPQVPQVERTPEPRRPSQYAPEPPNFGRPRTQNAPPL GSSLGVSLPRNISQPSQQGPGPIGSPPANSLMQQPTFMPFPPGSARPPYQAPAPPNQG FRPPAGAVGSGYGFYQPGQPPVPPTPSQQFVPPNSNFRTEYSPPNMPASTPAPQASQP QAPTSPSFSSLSLSDLGKGLPLSSLPASTPLYIVTFKAGRRDVYYCPDPTLLISNGDR VIVEADRGSDLGVVVADQVTPVDVREWQERMATKALLSGAREHQPPGMGMDGAPPQGP GGHQRMPSLSQGRNQPGLLLHGMELASLSLDDLFSGVGPGGQMEVAGGATAVRGPLAK EIAPKRIFAKSSQGVEEQQRMREKAQDEYDAMMIGREKVLQRGLPMQIVDAEYQWDRR KLTFYFKADKRVDFRDLTKECFRIFKSRIWMSMVSKDDPRA L198_05484 MFVLKATLKDETRRLSFDTTRFPRYSDVQAKVRTPIEYSAHPQI RAIFNLPSTSHPYWVNVLLFPDDSRDARIMFKQHVCDVEEYESAQLPFVHTIGTSPAL VFTVLLTSDPRLDSIHGFHRANRLISSFQASTMRLQVKENELVHECALLTALEAKVQD CMQVGDQTGIAFWSSRAQDKRNKVASFEETVKQMRHDIEQLNQQLDNVPAEGYSHSSL RDHAQALGREETLRSQQTAEELEAWRLGEELNQQDEGAFPPLEAVINGGRRGSHPHGP GFFRPSFPGMAPPPPHGHPRAFPFSHFPPPPHPPAFGPPFSHERMQNHERKIKGILDS VTEILNPSNPNGLVPAQEIKSMLDGFLGNLTNQLAGTFEGSPRVSSTPEAEPKIPGAF VSSPVQNDVSTQTTPTEKTTSATKLGEGGFRHRHIWCDGCEQEIRGMRYKCEQCSDYD LCGSCLPLLNTAALHPITHSFKAMLHRDLQDRIKLTADGLADESQMHPATCDVCSRNI LGVRWKCLNCPDWDACGVCAQGLGQKHPGHSFVKLYKAGDYVTDKGMEARDKVAHPHV SCDGCEARIHGIRYKCMHPSCPDYDLCQTCEAHPATVHPVDHPMLKLKAPLRVKFQSS YEQKTRSHASSTSSPEKESPEKAKSDKMTTTASFKANKVKPHGDAFGARTGGQWQQHA HAEHSPAPGARAKVVEDLLSWAGTSDVKKEEQPETPKAEKKEILAVNPAPEVKAEVPA KAEEAPEPAKVKIETPEPVKEPVGPLDIFSYVRHVTIPPGTSLPAGTVFTKIWKYKHF ASGDEYPFGSIHLEHQSGQSLIGGVEGCNVKFVVDKNDVKEGEEGEVRIEGLKVPERK GEVLEWWRFVDEKGVQYGQPFRLRIAVAEDLAASRNMSASSFIMPSSTSTSEPEPAAP KQDGSVPCGTLYHHYKTSAAGADKGASTESSQYLSPPSSPSLPPVTSRSRSVMDDDDD DDLSVVSYDSYVDVEGVKTGTTQSVTSGLADGEEGEAVEAGSDEEFEVVEDSEEEMTA DELENA L198_05485 MPVIPSIISPPRHSHSAKFKTGNSHTVMHHRLYAPSEYPEDEHG TAAEPEQSNTPRPRRGSETNSERITAGYWSLFDGLMDPPNLYAPSSTSSNSDPDMTMP AYLAHLESHHSHHPSPALLDHCCRTASSAWYRSQWRPIPETDRGPTLLETWGDERERT PTRLAPRQNTTPVQTQNRQPGAHDYHHREPSTPTKPRRAPAHPSLRHRISNLFTRGLA PLGPPQVAPLSGTSRSVRNTRSTPGLDEMGRLVSTNDQATGTAVGRTEMMSSRQTPTI TSVRSPERSHSAQSSQPSWLRSERESSSDENNSHVNVIPPSLSCGVESDTPPPPPYSA PVDEFKRGARPGLLRPSHLQYARSSQNLRGGRYSPYGSSSSSSGDSTSRDRRGYARLC SNQSSRLRFSEDSDRPTAPLLGPVTPSTMHHSRISRDLRLPSPAHPVGPTSNSKTALP PWGSFDVVGDRNEVQSFGNMGFVDHLLDEPQQAMNENMARRMSGMSMEVDAPEPGADK LLALALSPSPSVTSASASETTVSAPSSTDYETASVVSSRESAPAEPTIQLYSLYSVHI ARFVEAVQYRNALHADNPSSLPTFTINGTVVRTWDEATRVEWDAQSLYPVAEYWACKF KQGRKDERRGRMCFLKLRDGLLHAYAFARKGKGVREGVEMELRDLWLDLADDWGLTR L198_05486 MGKAAKTRKFATVKRMIKPSDPRLKENVEKAAKKVEKEKAAEER KAVAQASSSLFLSHNSDLGPPYRILVDTNFINFSIQNKIELVQGMMDCLMAKCIPTIS DCVLAELEKLGPKYRLALKIAKDPRFDRLHCDHSGTYADDCLVQRVTAHKCYIVATCD RDLRRRIRKVPGVPLMYVVKRRYQIERLPDGGASFI L198_05487 MSAPSPMLPPQQVKRPRQYNNPHSATNTPGPGVPLAGNGAAGGP LGPRTKRRKPEPIAAEVNKEKEIEGDIKTKIDFHDLPVETLYKYLELHDLLPSWDPSP WSEEPCMPPNLLYSIPPPPPSAPPTATAFLGASQSQQGLGPSPPPEETAAPIANADED VKPPPPAADAAVPEQQPQPNGGENGVHVESQQQAEGGEKNGVQENGNGASGEEAQPDV SEPIVTDRPEEERGSRSPSPLPVEAPTTRSKTLPTRKPLTPPPPSPPQFTRGVVTLSD VLATRHELAEKANAHWARGLGGGQNKESETIVQFLYKMKVGPGRLLRVYNPTTSAQPP WL L198_05488 MSSSSIATVKPTRPPSTASLRHQHRAQSRRQSVDTLVLDSQPPS PITYFPNPSTFANPTEPVYSTPSATNSTSTSPTASIMPISTDSEEPTSMISNAPAGSM APLRTPRLNPAPNANPNTMLGLDVGAPSPRVASRQQNASNTGTGSSSSSNVKEQARRK GSSRVFSTPVAGYAATHPNIGLGIGPTPSRNGSGSTSSTIGLGQPGDGPLASPRRIST SRPFQHPPQSAYPSSSGGLGLPSHEAYYSASQPASATTPRFRDKHTSRSGKGHQHTSS LGGGHPSSGGYGALGQSRESGSGLAPGVSGLAAHSGYANNGGLNSPFLSPKGSVMQKL RKRASAVGLGLGRPDNYDEAALVRREDEEMLEDTEGERANGTRVWYSSYVTIDWIHDA IKESSRVRRVRHMASRSIRGKILNSWDRFQGWLVVTLVGIITALIAFLIVRGEMAFFD LKEGFCATSWGTAKRFCCAPRHQSPGSDGGEDECTDWVEWGEFFNPGEKGGPDGAWTY GGPEFMAYAAVALILAIIASCMTVYLSSSEHHTTSKDSTFLQPPSRAPTAKHSVTSSP TKPNHSNLNSNQLANERQPLLDAITNEPPTPLLESAPEPSRKVMFYAAGSGIPEIKTI LSGFVIHGYLGGWTLITKSVGLALSVASGLSLGKEGPLVHISSCVGNIVSRLFIKYEC NEAKRREILSAACAAGVAVAFGAPVGGVLFSLEEVSYYFPSKVMWRSFWCAAIAAITL KALNPFGNGSLVLFAVSYTKEYHYWEYAVFIVLGIFGGLYGAVFARLNIIWSKHVRNG TWLKRHPIIEVVLVVLLTTIVSFTNPYTRMGGTELVAALFEECKPSSTSGLCVNHPHQ IAAVIWQVFMALLIKGVLTIVTFGIKVPAGIFIPSLAVGACFGRIVGHALEYIEFTNP DLSIFDVCRDTDCVVPGLYAMVGAAATLAGVTRTTVSLAVIMFELTSTLNYVVPVMLG VLIAKTVADGLEKKGIYDLVIDLNQLPYLDSKHEYLWGSRRASTVADRSTPHLRADKP HTVRSLTGKLLELVRLGLEDTGFPVLVKEGGVNSGRERSCLRVVGFLGINELEHALTE LADDPDAAVNLVPDESPNTRAHSSTMSIFSFADSFLENAHNPYDLSRYIDQAPITVQL HSPLELVQQLFVKLGVRQIIVVNSRGVFQGIITKKAWLSFLTELEEEHE L198_05489 MSTPKISFKLPNQDGDATGEGVITHDVAAAPGKEKEREPLFGGD IANISNGDHADTPGDSPAPEPERPTPSQLHDLATTYLAAQTHPLIIPSYSSWFSLSTI HPIERRSLPEFFSSRNRSKTPSIYKDYRDFMINTYRLNPGEYLTVTACRRNLAGDVGA IMRVHAFLEQWGLVNYQVDPDSRPAALGPPFTGHFRVTLDTPRGLSNLLHPGTKPGAG ALASTTNGVTPHPSNLDLRKTIYHSTSRATKPVSAETASKIAAAGANGDVTKGKTYAC ETCGTDCTTTRYHSLKDGEYTVCPSCFVSGRFPSSMYSGDFVRLDEEAFKHAAASAGD EWSDQETLLLLEGVEMYDDDWQAVADHIGTRSKSQAITKFLQLPIEDSYLSPSNDPAV ELGPLRYQAGLNGLPFEGSENPVMSVVAFLAGQVGPGVAAAAAQSALGELAKGLKRKR GDEKEGGKEGKSPKVDAEEGEEAADGDEPTKETSAEPMSVDETAPPAETEEGQEPSAS SLSRAATLALSSAATKAQTLASHEDHRISLLVSRLVSAQTRKVELKLAMFERLEEMLE GEKRSVEVARQGLFRERQSVVRQLREVEGLVKRAKEGHATATPAETAGLEKEVQGLRK EVEGETMAEQVQVVQDAGAPEGESTLGKL L198_05490 MPQSSAAPVFYSFDDTNHLQSSLANFVLKAQADAVSHRGVFTIA LSGGSLPNQLKPLADIAGIHWDKWQVFFADERIVPLDHPESNYNACAKAFLDHVPISR EQIHTINTDLFREQTRVDPTGEIRKGEEEAAEAEAVDIADEYEKQLVQTFAGGNAARY PTFDLILMGMGPDGHTCSLFPGHELLSENDRWVAEIQDSPKPPLRRITLTYPVLNHAF RCAFVAAGKGKQDMLAQILDKPEDGLPCSRVRPASPGLVFWFVDNAAAAKVVYPKTEY KWISGNDEDDPITVERKKMKSEMDAAVKQAI L198_05491 MAPIPPSSSLPGISRHLNDIRTYQLPRLSSPSGAPLPTQLFDEL VEELRVDLEGVRRGLEREKEMIAFGAGGAEAKAGVDEAEREYHELKSLFRSTVVQAKK NMAVRHARMQELSRKGSEKDESASKTTPVEEKGAARSAADMGIGGDDELQTKTNEVTS ALRRTTALMQTELERSVLSVQMLESSTQTLTLTQTLHETYTSLLTSSHHLIQTLSRAD TLDRYIILASLFFFLLVCGWIVKRRVLDKALGAGWWVVGGVGKGVGWYVGGSWRLVKM GFGGDGPATRPEGLEVNGFVDEGALPRVGQDSAAGSLDSEFEYIKPHAANGVDDYVAV AEPAPAGIATGEKGMNEIMRDMEKGGRVKVEILDNNERRPGQPLLAKDEL L198_05492 MPLYELFCIAVHNPVSSVNLRGIVNTVSTQVHQSGGVVRDLKNM GLNLTLPQRIRRMRQYYTKGDHFTMAFDTSPIVLKRINETLRSDPSIIKWTVLKRAQK VKDLAAPRNPSIEFQEIGVADKHTPF L198_05493 MSAPQSQVAQTKVENDQSLVVFSHLSTRHTTSPPSPDDKAPSPP LASAYDIPPSALEEGGGLVNSDGRVWYMLSAPLERDASAVKEIVVDTESKDQGWSSFP ENHGTYDVTSSWFDLTLLRDGKEVPNTRLDVQHNVHAGKEFKSHTNTIPSTHPFVKEM QKGDCITLWARALYPGWENHVQRASIAISYDVLAEDSKDEE L198_05494 MAPFGRGDVKQQKATPTTTFYPAKTRPVNHSSQSKRVPTSPVKS QARPSVARTPKTAYARDLENEKKEQPSHQDDQAMMDSLLAGLDASVFDDWGENSQPSP KKPRLPQGSSFCSPRKEKRPRPSNVRIEPVPPTKRAKALSPRKVVVEQKPSVGTGPRG SLGETVAQIVSRKSAFAPTVVKREAPTSPIRAERTPEVKVEVDIPQSHKPVNAVKSPK QVEKVKPEIEDEDMFEFEFGLEDLDFDEQELLARPPPVKYPVAHPNVPPAPPGYEPTE WIRCTVDACLEGHLFEDGIIPSPAEVERLPLADDCAAKTLIVSIARGKGKRVVHLKDR WADTLVKKDDIVNIVSPCLSTPSTTPIAITFRDPSTFLIHHPDLMLTMTSIANAMPCP RKPILQTLIKTPAPPTKPLLYGTVLHQLLQDALLEQEFGAVETFRRLDKELKKEERRL EVWGTGMGIQDVREEVGQKAGRGFEIFKENWVGPEPRAQGELHSSAGDQPSLLALTGL HEVEEDIWCPKWGLKGKVDASVQVKIAKEPSKGSEAEENVAPLEIKTGRSVGVMAHRA QTMLYTLLMEDRYRVPVTAGLLYYSQSDTILRVEAKPMEIRALIMARNELAFWLSKQR KVPKHISEEDQPVEILRGGVVKKEIKAGQKVVVEEAEMEEAFLPATIDNPRECKMCYA NEACMLYRRVNDQMPYEDDDPIAEQYRDMTSHMTDKHAQFYKKWDTLLTVEEQDTIRF RSHLWTMTAKRREKNGRCFSDMIIQSYSNDIGKSLAKIHRHSYTFTRAPSTDPSSDEP VSLLSGHIAKGDPVSLSIEPDLLCMWRGFVTDLTQTSVTVAVTYVIDTQALLKRTGRE HRVLKADNGEGEDKVMFRIDKDEMSSGMMRMRNNLAQLFYKNGDEQRRRLIVDQEAPE FEPSWGPTPEEIPASLNTDQQQAMESVLTARDYSLILGMPGTGKTTTITEIIKALVAR GKSVLLTSYTHSAVDTILMKLVNAEFGVLRLGNIDKVHPDVQHMTLEAYESSASMDQL DARLMKPPVVAATCLAIDHPLFFRRKFDYCIVDEASQITLPTCIGPLRMADKFVLVGD HYQLPPIVRHPEARRGGLDVSLFRHLSSAHPQAVVDLSYQYRMNEDIMALSNKLVYEG RLKCGNEVIAQSGLKLRNRKTCKEIYGADKCSCIDGDCWVQELLDESAKCVFMDTDGL PTLDSRVGDLIQNEVEAELVSQFSTALVASGIRQEDLAVITPYRQQIKLLSSYLTPLP RVEILTADKSQGRDKDCILVSLVRSNDTGAIGDLLRDWRRINVSFTRAKKKLVIIGSA RTLGLDPLFGEFMELVRGKGWEKRLKKGDDKLHVVDVPGLRQKKNSGTLKKEVKKEKG ARETQKEKRVLGAGKAILNGKGPFAKEIMVGSSP L198_05495 MADSPPGISAAPKDDNLRHFDVTVAGPESSPYEGGIFKLELFLP EEYPMNPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLGAPNP DDPLANDVAQAWKENQSAAIAQAKEWTNKFAQ L198_05496 MFSRLFSTARALPRTTPRARTLYSSSSSLAPRSFTRPGPPPLPA ADQAEFEALLKANQTVGTIPKVNQVDAEEELHKDVRKGPKPEFEGEVNPRTGERGGPK QDPFVAGDSDWQFGGRVTDF L198_05497 MASLAPSQPLASTSTRPRKRHARILSAEVDEDAVTGDQTVSDIN ALLNRESAKTKLRRLAVSSDITESSGKSGQQVFNPICTETTLTACKKVREQCTRAHFE PIKRHWTDPSLGYCSYLNLCYGDPMFQKNPSLGGGDSGSGGARGTKECRYQHFQVVGI EQPSGEVLPETGYALNEVVRRKLLGEEKRGHEAGGIHGANEVAQWVNCDLRRFDYSLL GQFQVIVADPAWDIHMSLPYGTITDDEMRNLPIRALQPDWGILCLWVTGRAMEVGRVV FAHWGYRRVDELVWVKVNQVQRLIRTGRTGHWLNHTCEHLLVALKLPEDHPRDAPIPW DTVPSLRNLRKNLDTDVVVAEVRETSRKPEEVYGVIERLAEGGGRKLELFGRKHNVRK GWVTLGNQLGDSQIAEPDMHARLVRAYPKQKFNLVANPPS L198_05498 MVSLARTVRHFRQVGFREWFRQMTYIGDSKAGRLVGTDQFGNRY FENTDPAEEIPGRHRWIDYSQDDFNASQVPPEWHSWISHIRQHPPTEDAIMKASTPPW KAAFVENMTGTRGSFKTYSTTAPKVNAWEPVVKPRGSQPQA L198_05499 MHNFGRVSYIITARVRGIPAQSSSFSTMFKSTSYLSSEIPFVAD FERVIARSDKAEAKARARTGSESASGRGRSRSRAGSGSGFFGRERSGSGNTLESPEDL DVQRMSLGYSSGEGETTAIAVGTKTPCTGGLYARRQSSDHAMPLTTPPQSNSSSSPSS LDRFRSSSPSLPSEKTGWMKGDLVASKSMCVHAISPVTGGVVPLNLRREGFADGMGIW KFKAVADVFSISSVFLLSYKVPAPSPCTTIFLFRLILAQSYSLVSPRTPNDPPRVCEP PKQHVLYQIGRVHKSTESHPEVGVECLWTGKEVPDKGKKKEGMEGEESWTVKAVARMP NHDKIRPTTNEGTITPIRVSHELIIQVYYSVHGEDVKGRPIKGAGELRMMRTKIALPV PSCHCLSDSLSLPAYSSSSPPDGTPVTAPSLPQPTSHFGPVSSVPSGHQSAQEIDSII SSPPNLRRYCMCGKTFAELGESMLRGMRPEDENEDELNGRDGERTGGLEEGAKVDERT EEVDRSL L198_05500 MSYPPPRAQSPLSRGRGASPRPPPSYARSSLDRPSPSLGPRNLE DELRPGVPPPIYVRRSGTQTISGKGILKIHVPGWACVLLRPPRLLDLHPLDSNNGERN PPSNDTVLTGVLEILMTERRRVHAVSVGVQSVARLHLGQGRGWEEDGIFERGVEVLGG NEEGIWLEKGTQSQVHVSMV L198_05501 MSRNDKDALVAFVNLFKLSKSVTDFVQLADGKALMEVMNFVDET HFKNVPARAVGPSSSSENWVLRTNTLKRLYRLLLSYPLPAPHPPSLSLSSLPEPPFSS IAKSPSTREGSQGLLHICRLCLVVGVWAPNNENVITKIQRLNEEHMAELMKSIEQIMA TMPEEHQGSGQASPLKPSPDMSYSPPPSGLRAERDKLLQENDDLRSRCEQMVGQVSKL TSELDEAKEDRDDAMERLKRNEGPGSGLRTSQNAHTGESDGLKADLLKSEENLAHVEE QLEKQTAHVNELSKEIEQYKAEAEEAVRLKDQLDEFRHTSDRLRKSENVIEKYRKKLE DSAGLRRELRNLEEENATLVNTNSALEADLNKALSSKSLLDTYKSQITTLEKQTSDQS SEIAQLTQQLETAQGQLEVLQQSYDEHEEELAVNLEKLKELELSGGAEGGGGEAWKRG MTRSESGALLGDVSVSLDDELEGIDGGVTESKTEYVQYSASCDQHLTLISLRVKIKSL EREIASLKSFSHTPGSQTELSTVQALLSDATRSRDRYQTDYLTAHRETLRLSAILEAI RSGKGKGGNAAMVEALRKRVEELEEEVIGLGKEKKGVQEGKEEVEKALEGARRDLDMV GKDQRDILASLCENVEKDTTNLEHQVRQLKEQIDILRDRDRQNLEEIKKLLTDKVDLQ SAGINQRERALEKEKEFSELRATMSASGVPSETQQQLLNVHERNIELSAEVKTLQEKL LASPSSNTEDHSLFEAAQQAYESQIASLQATLSKLKQSNARSKNLHEMENQLMLGAWH DLGSRVMSAHLQNAGSAAGALGGVGRRSQGKSTPGGWLGRQRRMQEVGGLTRGSLL L198_05502 MPFPDRRHLSPPPHLPDFPSEVIRRIIYFRLSLPPSFPSPVAAH HETAEIQPSWDCWAGAKGREVDCKLIKARKDISKTALGLLFVCKAWKPVVLEYLYASP HITDNLTLLAQAILRGDAKWSDINIHKFSLPGRYLTTLDLSHLPPEFDRYAPGPIATR QGVLSIFPLLPNVVHLKLGEKDCRRVVPLEEIGYSAFASKLQSLEGVWVDERERGGVD LVRLLRRLPSLQALSVSGPGIAVEPSDLDGAAPLSLPKLHSLKLEGIPSGFFISSLLS ASLPSLTRLALTPTPLLPNDQSLPLQHSLSSQILSLSYLSPRGWPKADWGIPPGTLTI HPNLIHLAVLDQDYEALDILLRSSSAHDHPLKALTVQKWSPSTFPSPTLSLSSSPPTA PSSIFPLSPPPSPPLAGSSSPSRKSGPAFLHTILSHRSPAPKGLKTITIDGFKWLNPS LGVIAMQAGQMGEMRYQANLLSSQKGIEVRDMEGEKVPALVGASPVGMGGMGMGGMGG KGAMAIRGRRGSGTGLQGRWMMMSPPREGGLRERLQEKEGWDEDGG L198_05503 MSPYRFDPLRDIESTLHRHNPYVQSIITCKERMDAHNENNSRAT SSLTLHHRPFPSTTRPFKLQNASFTLPHIFNLTNYTPTSLPRSSNPVPTVLPLPRSAN PDPTRPSVSSFHFDPPTDHDASYPVSSTPMAVRLPASLRPSAAPAPAPAPATAPVVHY PPALKKVALPYEVQF L198_05504 MKGMAGKLRKAENIGNSRKTRKGEKTRKGGKGGKGTKGKEVGHE GMGNAGEMKMMCPWTEREENMAVDKFIEILSNYVREQVEASLDFSYLT L198_05505 MSAYDDGQLVRDTSSKIPLLLGNANYIQWSSSVSSLLLRKQALF CLKSEPLEDPSFHFDSMDPSDPSTIPTPLTPTEQARLAKQNEGLGILLQSLSEAVKNA VSAEAQDVFRPNPKLLWDELFTLYFQTTGHQISQLYSTLWSTRVPSGADPLPYLGAQR ATMAQLRQSQKVEDQQVAYAMLHALSDEEY L198_05506 MHPKSPSRPSGQDSPGASPSTTFKASARPSAADPKTFYRSMGLP QDSSQAQFKKATEHDLPLDPDSAILERDLSAYMPPNCKDPTPPEWPTGTEGAAHYQQA AKFMNSVLSVAREAHTKFGKSVEYCALDSLYTEQFRRIAYDKHLMSDKDSDKELKPDL VGCKLNDLSVFDDGTQALVLHPKSSKKSNRVQAAHLITFGEVIKDQSIYEAVLQASTY GRATFGSHPNRCGVRFVVISLNGTKVQAAIGEMDMASIHLTHIHNLKDDYEYTRFWRL LAGMYCSPIDDAGCNRRMRFYSNEAGNMVPEKWSYPQGVWRCVEKRLCNRNSFWSRTT RVDALHSAESRKITEYQFARPAPLKKRKKEEDTITQPTKRGKSGQSSMSAERRVEATH EPNQSTASKQGSGVAVSSPLFNHGNIQPFDAPPMTVIVQSSTKTEHKVQTDIYIPITR HNDPERQVYQFLEKVLDHNQSMLGIARFASRRQGRPTRAVSCGVGESGEKFYRIGLRD SDELTSGNDLLQKVTGNLKLSKHVILDSLPPRQASSVQPKPVTRRVETLQETVTLGLT LFDIYEKHSMLGLVKAILGALHGGYSAGDAPEYHECG L198_05507 MAFPAFRTTFASTSRVTLHHLPPLTHFARSGSSLAHHVTSPSPQ SYSPTSSPAYHGSVGQQGQLQHSGMMSGGNGKGGVKEMHVAPTPTPPAAPAPKVQPKA SERPPRRTGNLRGKKAPITMTPAAIEHLRALVSSPTEPRLLRIGVKTRGCAGMAYHLD YVPPPGGKFDEVVEQDGVRVLIDSKALFSIIGSRMDWRDTRLSAGFVFDNPNVVDTCG CGESFNIRF L198_05508 MSMLPPRSPSKKSFPGVNTAEKRPASPNTLMSLNSPTLTPKSPK VVLGVCAMDVKARSKAMREILTRLVDIEKGGLEVKIFGDVVILEEDISHWPPVDVLVS FFSTDFPLPKAISYTQIPNRPPPISINSLSMQSLLWDRRLVLAILDHIGVPTPNRAEI SRDGGPRIPRPLRRKVRKELGLRKDAIPKDTEIILREDGDAIIVGGHVIEKPFVEKPV DGEDHNVYIYYRGGGGRRLFRKVGNKSSEHDPNIYHPRTIGSFIYEEFINVDNAEDIK VYTIGSNFSHAETRKSPVVDGLVRRNADGKETRFITPLSEAENQCAKDVVEAFGQRVC GFDLLRCGSRSMVIDVNGWSFVKGNQAYYDKAAEILSGVCQLARDRKIRGIQAGLPAD NNNNESAGGSTTSTLRATITVLRHADRTPKMKLKFSFPADQAWSKPFLRLLRGHREEI ILRDTRQLQFILSAAEESAKMEGITEEQLQKLSQIKEALGRKMNFAGTKAQLKPSFAK KKGEKGEKEGKKDKKDRKEKEDEGDEEDESEEGRRRVNEWLARGERATADGVAPQPST PDNAAVDVGPRSGVPHSPQITAALDEAPAGGRHVNCQHAEDEPDIPEGLEKMQLVVKW GGESTHSSRYQSRDLGDAFKKDIMIMNKDVLNNVKIYTSSERRVINTAQIFAHALLGA EGSSSTSQQVSSGNGQSSIAASRLPPDAGPAGPQISHLIQRRDLLDDNNAAKTLTSDA KKKLKMLLRTGETERRTDLAWPKSFKKEPVEVVSDVIEQLTELRGIMRRNYENGNVEK IPQQRWCSGDSPWLFKERWEKIFDDWVGVKQEKFDPSRVSELYDSIKYDSLHNRTFLF AVFDPEGKGQTSKAGAPNQDRRLHDLFGRAKALFDLVAPQEYGFDAEAKEEIGVLTSL PLLRKVWEDLEEAKSTGKSLACFYFTKESHITTFVHLLLASGLPFTNVRIPELDYCSH CTIELWEKSTGTGQKDFSIRLSISEGAHSPAVLDSNVDARHSLTVQPRKKLSSHIDYD LAKDCFSKHFNRGLSFSTTPLEGDEVYLKKSIQDESVLPLSSLHGTASSSRQGDETPR MISSASSDRSHPGDGW L198_05509 MSLGIDTTAIYSDEGALQQASTSETAARNIAQDLHRRTDILPID AAPGLFNGIGRTWELLAASFDPSEQADKSSFASEDSRLELALALAKLERNLVAGLLEF QREALKHEAAIRRFIFNITTFVRIEDPKFFTIQSISAQLLSNLVSPSDDSAEAAETAD RILRLYTSGGREEDVVVRLLDSKEQKTNHATLHMLNNLTRNSSSRLTLLLSTSGTRWL AKILGRMDDWLDNEDPCFELSASIFNSFISHCLHPKLFDLLSEPPEPITPSQTTLLKL LDSSLALPPSDHPTPPTSGDYPNTFLVPLFISLSSASLPSITSRADDPRLPKQLAALM LVTESLSSIGLRVQERIDDAAALGSEDADGEGSNWEAAGEKSLVQSLKDKEQGVVKSL VDLLRALNDFFPKTNPRTTSSDPLPPPLPLNPELKPFSKVKRDLVRLLSILSFDDTFV GDQVREWSGVELVLGMTEIDEGNPYLREHALFCIRNLMRNNPANQDVIKQMNPVGVLS DTGELLPLPEKMKKKAKVVTIEDEGEA L198_05510 MTALTSSQPESHSEQPEMENTAEIEYDSPKEQPSIGRKRRPTRG NRTTASSHNTFIDMQSFDQATQINRDVNPNTGTHGSVFIGSRAYDNSLMISGGITPEE LISLMSAHFQMRRRGEN L198_05511 MSASVRTVKDGDYDALREEIGKIMKQPGYDDGSAGPVLVRLAWH ASGNFSLVEHNGGSNGAGMRFPPESVDPANAGLHHAISFLLPIQAANPWISHADLWTL AGVTAIEHMRGPRVPWTPGRSDYASEQEASSHRGNVGDRLPDGALGAQHVRDVFGRMG FTDQEIVALSGAHALGRCHKDRSGFDGPWVVNPIRFSNQYFKLLLRPIWKPREWDGPF QYEATVAGTKLMMLPTDMALVEDSALKEWVEKYATDQDLFFKDFANAFAKLIELGVDR DDTGLASLAKKAAEEGKPLDKTTPPAGSSAGNRTAKLGSGGCPFSGANGGVRMAGGGC PFLAGQRKSAKL L198_05512 MSKLQAASVRGSIKTIIDQSSLETHKEAGGKKRNFVETIELQIG LKNYDPQRDKRFSGTVRLPHVPRPRMSLCILADAMDVDRAKQLDEELPFMTVEDLKKL NKNKKLVKKLAQKYDAFLASEALIKQIPRLLGPGLSKAGKFPTPVSHSEDLTKKITDV RSTIKFQLKKVLCLGVAIGHVNMTEDQIMTNVMLSINFLISLLKKQWQNIQSLTIKST MGKPQRIF L198_05513 MSVPVRLLTARTTTPAILPLAQTRSGNNKPKSLQKTKRVFKPNL TRADWPVTVLGGPVAHGEVLPKLKAVKMSVRRIRDVEKAGGIEGLLLSRRPKDLTSYG ALLRSQLFEQLHQVKRDVELERRSTESFESLESGIHDEKLEQVETQKQPLLEGQ L198_05514 MRPSLRFLLATSLLTLPSLAQDDQIECPDTDLTTYLISLIDTLY SNGLTTSEELIVHLSETDAGYELLESLYMADAGTKWTFMAPTDSAWQNAGIYPPFSGM GDEYGADLMALHALQGTYSASSLSTTSGVGIASTYLLLSSELNVTSSNSDAYQAVALN KGDDGEAVIVDGWWGNGTSWSGFVDTSGANGLGNINILPVDTVIAFPPALSEALTTSG LSNISSAIEVVGQTDNLSQLSQHGFTIFAPIDSAWGDDVKGLMGDSNEAGGLVGNHYT TNYTLFSPAWTDDDNKSFDLPVSSGQTLTIKLNDDGGSSVVLGGVQARILKSDVTLAN GVMHVIDTVLYQSNSTSSTASDSASGVAAVATDGASGTNPSATNAVSTIDSSGGGVSS SGSASDSATTTELTQAADGQPSSTSSAERLGARKLYMAGVVFLSVLSYALV L198_05515 MYAATAAKGVVEDHQRDLARKREAAGEQVESRFFKHVAGDKWMP KLDVDNLPKDRTEMESTVRKWIFGDKNPLDFAENGSAKASPKKQPLNVATGAGAGVAS SGASFAGSTSSTITPPSSATAPGPPVGQQFDHPVSPKV L198_05516 MGLLSGMDSLSIRGGSKGSRRTSNASAGSPAARARNDSETQIDD GDPNDTSTLDQEEGNILMSLISQLRPGMDLTKIALPTFVLEPRSLLERITDFFSHPEL IFGAADEPEAKERFLRVMTFYLSGWHIKPKGVKKPYNPVLGEFFRCTYVYPDGSEGFY IAEQVSHHPPVSAFFYISPKNGLLVTGELKPKSKFLGNSAATIMEGEDRIRLLDRPED GEYAISMPNTYARGILFGKMLLELCDLSTIANPTTDFHADVDFKAKGWISGGYNVISG KVNGPGRADSGEVGGHWSSAIEYTDKKSKEKRVLFDPAKSRSAPKRVLPESEQEEFES RRQA L198_05517 MFTPPNPPSNNPPPLSYSPFPSSSRHRLPLPPHSSLSNSFSFDP NPNPDHSLSLDSDASYSFYPGAGKRPRPSSLTMFSGAAMDSAVEQGNRGIAQVSPRAK PSPVGSKRSTATVRPGFPRGSSAREYANGRPMSRMKETINVGDADEGADEGEERNWSM VDSMRLWRHDAIMQHLYETAAFWGDKILSWTADPNDAFWLAQTHFLTGHYLRAEKLLT EPLPPPPKGFLPSRDSRDKGKMRDDDQHMNGHDEKVDLDLKLVDESLACRYLAAQCLV HQEKYEEALELVGEANPFRATDHSSQSPDEPSQDGGIKLHSSLCHLRGLLHLRLSSFT FAKESLMEALALDVKNYDAFRELIDGGMMSEQEVEWEFISGLSYRKQLSQDDANFVKM IYLTKLKKDTHAQEVAAARESLTKQYALGDNCDVLVGLADELYSKYKWEECYAVTAKI LSRIPGHTSALPIHLACMHHIHRLRSALFILAHDLVDQDPQSALTWYAVGLWYFSGKR WADARRYFSKANLIDSRFAPAWIAFAHSFAYEGEHDHAITAYSTSARLFQGSHLPLLF IGMEHLQLSASSLAEEYFLAAKAINAADPLLLNEFGVVHYNKEDYSGAASYFRKALRA SAEMQGVKSVWSVTYCNLGHSYRIMRDYDKSEHNYRQTIRLDPTNPVAYSSLALIFHL KGDIRGAIQIYHQALSLSPQDPLSTVLLEMALKEQMEALNPTTLPGLPGQLGGRDMDP FRVPKGNAAFGPVPVEVDPGSLQEAGGLSLVYEPGEGSRSTMMAGRGQEYEEDGSTMD IEDE L198_05518 MQPPIYNHHRLPPAATPSQQNVLAAPSTTRLNELFDLIRQEYET LGADGSVWKQQRDEYESKVQAQINELGMIRQSLYELEANHNKIRSEYEAEIQRLRHEL ANRAPGESSVAPGPPPSIPALSHHSPTSELARPPDDRLPYSGGPLPGLPLNGTDVSRS RSPFPNAGTSIIRPPSEARVAAVRPPASASAASPPVHLSDLDPENVSRELRKEGPEWQ AVWSGQMRKQLDVTLVHSIEHESVVCCVRFSNDGKYLATGCNRTAQIHDVKTGQRVTV LSDDAASRTGDLYIRSICFSPDGRYLATGAEDRQIRIWDIKAKRIWHLLQGHMQEIYS LDFSRDGRFLVSGSGDKSARVWDIEKGACVFNLQIEDFIHNEHGPIDAGITSVALSPD GNLVAAGSLDTMVRVWNVSTGQQVERLKGHKDSVYSVAFSPDGKCLVSGSLDRTLRVW DLSATKHEVETVPTGKESQKNLGTCQSTLNGHKDYVLSVAISPDGQWVVSGSKDRTIQ FWHISTGQAQLMLQGHKNSVISIDLARSGGYLASGSGDTFAKIWKFEQIAG L198_05519 MSPTMHAKPHDVITTLAPLNPDIQSHIWRLLTSSPSCSFLCTSR AAYAQSTANLYRHVVLDKWNAMRFFKGLGLSEDEAAPLSTAEQAGLLYRCSMSGAGGG RGPAMAMCVPTTPHRSPFVHKIMLLRHCQSLHLVDVQAAVWTLRASTKFDRLDLYSYG HHRLFPNLTSIHFGQSLIHYANQEPCGAMVLPPRVDWSECARGKNEHLIPGTHMSALQ VLNGLAKEFSGKLLVNCDCEGCTVAKEKPDGRELEFDLPEDEPLSCAVLAFMMAISQK CSTVKLHNVDPIDLYQLNTVGRRYQNIWVVGMAAEKVMLYLKPEAWMCNTHEESVFQF IREEFKIARDDPTVPFAERQFAERRFLSTTPESIEIISNSLHNMDSLANNIIQELPYG HLIVDSGIFSARVPNPFERQHQEICDESG L198_05520 MNDGQRSLRLSIDFSQYTHKTTHLLAIISTHSQCQRDLFPSPFR PTPPTPSHALRRKVWMLLFRKDRGMMERAEREGLVEWVEGDGIEGAGKWEVGDEWDEG VYNPIGDWVEELMETFRHELYDKPGMFDRRWTSWEDVADEDEEDRLRELCPHYFQLRT LMDAAGLLPKLIKKPKPAPPIITISSDESDGSEDGAAPPSWRVRRKRRSKTSDTAASS ASAFTSSPSSSSDSDSEDDPIPLSPSEYVVPRRHTPSLPYRPRPPKRLSPRPLPPRPV RNLPSSSSASETDTEPLHISGHFEKRARRPDTPPLGHLPGIYSYHDELDRARVMGLFD FAPPDQGDGVGVEVKVIPESMELDSEGEPEWGGDAVVKVVLQETDGRPWPSDIVAEVH LPEPEGRRKRKRARPGEDTGRGESERRVKRKMRIPNLQIAKDDLNRKAQKRMGVVLAG VDIL L198_05521 MKSARGGKNAPPVPQSQPHPQLSCTSLDDLFPPEIVSQIWHSYV SIPDSTTLRTLVRVCKSLFHRYTPTLYAHIELSHRNVLSFFHGLGGEFGPSGADISGI ERVLWYDRRVRKGCIARRIALLGMVEDAVVCDGAAYEACLRALKRLDALGLPNAPDLR QTPELRMTSYKPHVLFPGIHLKIDLEFRDKGSHSCGHLIFAQEALAYIQTGREDNPPT GDPPFSRLCCNKAAMVFQFPRRISLLLVEYVAAMAQAYEVSDLVFANLLPTEIQNLSV NTESLCFGLRERDIYDMDYHNSIALAMAAHCSLQRRPHFCVYVEDREYSSPLTTIRDQ AVRLVNQLTAEPQDFPLFSQKDLDVVDRSNVTYYAFRDQ L198_05522 MGIQARNTAARMPSNADLEKAPFIEHDEDDVKPRRRSHVHTLPM MLALFGVLYLLKTHYDTSFPFPSKPAPLPDFIRDGIEQCEIISRPPPHHKPFTASREK NERFVEGTKSVLLKNGTVWTGEDDGQEILHGADVFLENGVVRKIGSGLDLAEHLKDKN YDQVELDGAWVTPGIVDTHSHMGLDSAPGLRGSDDTNSRRGNTQPWLRSFDGFNTHDL AFNLSISGGITTMLVLPGSAGSIGGQAAVFKPRWTNENTPQSMQLEPPFVIDTAGNGT LKRTKAWRHIKHACGENPARVYGANRMDAAYDFRKAYAEGKKIKDEQDRWCADPKSQK EPYPTSLEWEALADVIRGNVKVNIHCYETTDLNSLVRISNEYQFPIAAFHHAHEVYLV TDLLKQAWGPVPPAVAIFSTNGRYKRESYRGTEFAPKIIADNGLDVIFKSDHPVLDSR YLVYEASQGHHYGLNFSHALGAVTTHPAKAMGLDHRIGHVREGYDADIVVWDSFPLSL GATPKQTYIDGIPQIIKPHVVDKPAEAQQVFPEGKWDEEIAEVLQTRGDPDLRPKKSA DNVFFQDVSGFYLKSKHDLSAFENGGKGDVVVKNGEITCVGKCVAEEGLEFEIIDLKG GSIAPGLISVGSYLGLMEIRGEKSTWDGVAYDSLKDAGELTDGLLVHAVDGAKFGGKD ELTAYRSGITTAVAPPISNSLVAGLSFSFSPSAPHPLARDAIQNPAAALHISLDGGSG STSTKIAILRRLLLGQVHEDTEVVKAFKKVARGELRLVVEVRQADVMSALVRLKKEVG GEGKWTFLGGHETWLIAEELADANIGVIVAPARSYPEEWSERRIIPGPPLSNHTLPSY LASHGVTVGLGIVEEWQARNTRYDAAWVYANSPDIFSKSSALALVSDNLEELLGLNDA YKAREEEKAWVAYEGDMFGFEGRVKGVRGFGKEEIDLF L198_05523 MAATAYLWVEDVPTSDIKFDINGGNITAETAVGGTPGLGYHSLD PSSDSVQFVNVTGLTTEISTQFPRRFPSAKYTISVTPLDEPTLGRYVRAYKAHNLALV GKLEELKPGFEVNESGLSPQSSPRLSERSLDLPDGVQTMSASPSPPPLEAQRPSIRHE IHSFHPISNYAPDVQDDIINGSPLRDADLDCYNVCVSPQYYLEHFDSTVLEAKLSSSH QSHYRGARDIQPYKEWLLLAGASGAQVWP L198_05524 MSAPSWEEMEGKRLEMINSFREVAAAMTNCVKVIDEYTSLSPVH LSKPDLLQPLTAGGPLAQALASGLGDPSYGGGINPATGKKERKKKEKKIKDPNAPKRP PSAYILFQNDVRDEIRRSNPNIAYKEILHIISAKWKDLADDQKKVYEDAYQNAHSTFR AEEEAYTSKKDFPVPPPVAAEEDFSSDSDSDSSSEESVVPAPTTLASATATDKKEKKR KNKEEEASKKKKSKD L198_05525 MDSQLDWVFHPHGLQPPFPHRTKSDSELDPSRRSLGDIDPFPSR ASTSAMPLIPLPEPPAPHPLANTYFPPILPSRPRPKPSAKPRLAEILLPNPPLRTSHS LPLLKPPPTPPDDDEDKPTRFRKMKRIGSGLLVKVGLKEDLEWEVVASPADVPGPKPV TVRAEEALKEKRRSTIPWPPSPHAQRRVSSTFGSPRPLSFPSFSSFLNTTSGGNRDSD SAEQSGSSNDHAGPARKESGSGESAMGNYLSSFPYPPTPHVGMFEHGQSEVDPPIPAG HPFSTGASKQTPKQSQTPKMPSAGTWWGQATPSEIPGNASGVPPTPPSSRTPRVPLSP LSHKLRRPSSQSFTRTDSPTAITKRHRNPSLPMPLTPPHSLPPPPSLAELLSLLSTLL LLREVLHIHALSSQRVLDAYRDELPGFVFRDLGRQIDGWWETWRGTLNDYGSRTSSLI LSIPSPPAPPTPAPLPSQIPTKPIPPALTQDTLQETIWALEEAGQVPSFTFARMFGRG PGVRVRRMDDEEEREASRRGMREWQDGEWGLLERDRWKEVAVGYGRRGRQQGRKSSSV I L198_05526 MSYNVYRQSQHYPPQQTPLHDSYEGPYSPDEEHDEPFDVRADFD VKGPKWSAGGGGGGGGGGLKSPGLEGEIGRGSVRGGVMGLGVVGDRSSYMPVGDHSPS GEYSKSLASREELVSVPVLGPEWRKGELHDMSRRGQKEIQGDKRKRAWRAWNRDQRGL CGMRWLSRKVLVMIIFVLLAALGVTLYFLIPRAPTFKFYGDDPFSVRNETINFNRSPT NYSFSGNLNLWGDASSSYLPVHFTHLEATVYDHVTSKRIATGDWGNHVMAHKEQQAVL LPIDFSYSAVNTSDTTWNDMYNACAHIWTGTTRPDLKFRLVLEMSIVGLVKKTSRTLE ISDVTCPFELAADGV L198_05527 MGLRFRNATPGTILCAASTVLLAIVAFNTPVIKSLDFLSASYTA GSYSGELSLGTLGFCHTLSGTQNCTGPQVGYEFDPNDVFGVSFFDIPEAITRYLTYVL ILHVVALGFSAIAMIVAILAHSPTFPLLCLSIWLAGIASTITFIALVFDLAMFYIAKA RIDQVDGASSTIGNSVWITLAAWIILALSGCFFGIGNCCGICRDESRDTNRKRMDSMD DRAEEDYKMRMMAIDSERARKQQQEQGLPGFQEITPLKDESEEQYLIQHNALPVQNRD GGLGRNASRVSGVGVGYGRRNHRTPANDYAMAGGNGYGGWDQPRGYQNIQAPPPVARR LSDATSAGDFVGVGAGGGGVESSPPVPPLPSQTPAGGYGGPQNYYNELPAQGQYGATY AEPNQYGTNYADPYATQRSNAYDPYTQTTYNDPYVATPSNNYAAAPTAMPIPTPTATR SPPQAMPIAQPSGSSANPYGYNTTASSESYDPGPRVVQADPYDAYDDGLGAIGMAATT NTAGRHERDYTGQTFGAAAPIQVQEPRPQHLVGQNTARLLASPQSPVDQRHSVALGAS GSGGGYGDELMNVSGSDNRPPSYSAGNYTAAPSGNEKSSYR L198_05528 MSRVLNVDCWTLTRPGLDCCFTSVGISSSAHGAQYLISDRIFFL ALTLGLENTIMDESVILANFARLKPVYNHILHHLSLLTLTQLFFLSQYCYDFTIPDIY HDVSLTASLFASLMGGGDSAKRTARALSHTRVLRLPPYVVLTGAETDDCGALTNPICK HDKPTPLMPLSFLPQTPIFGGIRYLMISASSGDQACSEVTAEVML L198_05529 MDLTLQLLLVASGFGDGHQRMRAALSHTRVLHIHDHRVSLDGTF PGVKVLVLHELVLHASFYIDTRHPMRGFFQTLLSRQFSDNIDEVVGVLDPSDHPLAIE ESIQELLCFSEALRAPIITLEGAAGSSILKALSVTSGKLPICWSGAKLLRIRIGPAFA L198_05530 MPAVKPGSLVLVTGASGYIAAHTVDILLQRGFNVRGTVRSQSKG EYLSNLFKNAPAKFEYHIVGDIAQDGAFDDAVKGVDAVAHMASPFHLDAVEPAELFEP AEKGTLGVLKSLRKFNPDCKRVVITSSVATIVNSDLPQPHTFTEAYWNPVSLKVCAEK GRDADGVNKYRGSKILAEKAFWKFIEDEKPSFDGVAINPPLVLGPIIHECATPESLNT SVASFYDWMIGKKTQDDLPAAGGNYVDVRDCAIGHVQALVVEEAAGERFITGNGASSG NDYVLSIAKAYPDIKGVPKGNPDPAYRQKLRDDYSYFDGSKATKVLGIQYRPQDETFG DMAKSLRERFGSS L198_05531 MPAIKPGSLVLVTGASGYIAAHTVDTLLKRGFNVRGTVRSHSKG GYLTNLFKNAKANFEYAIVGDIAEKGAFNEVVRGVDAVAHMASPCHYNAVDPAEMLGP AKSGTLGVLESLKRYNPECKRVVVTSSVGAIINTDILQQKPYKFTEADWNPVSLRVCE EKGRDADGANMYRGSKTLAEQSFWKWIEDEKPSFDGVAINPSRVLGPIIQECATPESL NASVGYFYDWMIGKKTQDDLPDPGGNYVDVRDCAMGHVRALEVEEAAGQRFITGNNSY SGNDFALSIAKAYPDLPNVPKGNPDPAYNQKLRDDYNFFDGSKATRVLGLQYRSRDET LEKMARSLRERFDDL L198_05532 MIKDLIPNLGAGGDRIILAVIAPGTIITIITVIGYLGGSEAGIE QAAVYLLDIEPFQIFQIERRLHQKVHPALKLFLWDRIASASSPAYKVEYVGIVRLGMA VKMSQRDKGRLVPETYSFLTSPLFDGTSMEPLHEYGSHFRRYLPH L198_05533 MAEQNTELTNLELEAGSEWRFELEGDENMAVRTLSQGSVLINSE EINPTTWYPIHRYTKAAIYAPSPARLQVANMPASQYTSSPTNQPQLINIHLALERERI LAKRGQGSAPRVMVIGQANAGKTTVVKNLVNMALGSGLGWTPGVVGLDPASPPNLIPG SISLSTPSHTLPTHHIAHPLGAPSTSTAGNTLSGNVETLAWWLGTNEPTSKNLEVWKL LVRNLADAWEKRCQQDKNAAVSGLFVDTSSAFTVPSLSKKKDDHTPPYSLVTDAVQAL DIDTILIIGNEKLQIGISRLPLLQARGTTVIRLPKSDGAVDLDDAYRSLAHSFIVRTY FYGEPPLPPQISSLVGKMVGLDSELTPYSFQIPWYRLSVMRVGEENTAPSSALPLGSS KVVSPTRLVKVDPSGPGHVVRLLNTVLALVQVKKEDWLNPEEMKEEKEEHDGEGAEGT EGEVKEEEQEDEDDEVPYKEEIGTREVLGFIVITAIDTVKKKYTVLSPSPGKLPSTVA IAGHIEWVDSA L198_05534 MSVFSCANGHTKAILVYWVSYQQAWSPLSDSAPTSSIPVPVSTL SSTYSASPSLGLPSNDEVSFSYRSRFAVITLATAWIKNSGQFYAVRCLLGAVETFSMP GLSYVLSRYYRRHELTARFGIFMLVAIGCSQGFGGLLSGALVDMGSIGNVMGWSLIFL EGIITIGIAIFLIIFFPRDPFTTRMFTDAPPGEDTKEPISWAGIKKMSFLNLNMAAYC CMYIANCMSSQGLAIFTTSILQVIYPDASTKPIQYLSVGPQVVAVFIFIGVLGCFAAS RPQRQGYICPHIILVSTAQQTSSSYASLRYFALFIVTGGGYIYATCVLGWAMSNASPD TTRSLTGALVTGLGSIGSIASTWSYIATDAHTGFRIGNALNIGMNVGTVLVCLGLMAY QKKENQLREGGGRDYRLLEPQPEKLGRHHPAYRYKSE L198_05535 MGKSGSTQKAVENRREADLESGQMSSDEGTTLHPSESGSGHKRG KIDDKGREIIDWDGPDDPDNPFNFSISYKWLLTITTCFISILTGLPAGAYGAGNEYME EAYGIDQTNFPWLQWATCSWNIGAATFPLLFVPLTEKSGRMPGYFISYIVFLIFLIPS GVGTNFATMIVTRFFGGGASSVSINIVGGTIADIWKGPKERSIPMSIFGMTSVVGIAL GPFIGGAIQTNHSTLNWHWIYWMQLIFDGALLPVFWFILRETRGDVILAKRAAKIRKE TGREVYAKSELKRKPLLEELKISFERPTKMLVTEFVVAAFTLWVSFAWGLLFLFQNSI TQTFQTNYGFNTFQTSLIQLALSVGAVVGTIINPFQDRMYLGSAARNKETKEKPIPEA RLYFSMPGSLLFTGALFWYGWTSYPSIHWIVPTLAIGFIGLGIYSIYMGTVTYLTDAY EKYASSALSAASLGRNAFGAFLPLASQALFTNLGFHWAGSLLGFLALALSGVPFLLFY KGEYLRHHSPFIKDAMFDSPEQEEEEKHPADIANIQTVEGANRYTAPAS L198_05536 MINYVMLVSRQGKVRLAKWFQTLPPKTKNKIVKDVTQLVLARRT RMCNFLEYKDTKVIYRRYASLFFITSISPGDNELITLEIIHRYVEVLDRYFGNVCELD LIFNFQKAFAVLDELIIAGEIQESSKKTVLKIVAQSDAIEEGELLLTDLKDSGLAF L198_05537 MSVRLATKRLMKELGDINTKGTPPGIALLSADSMEEWIFTIAVL GDETVYRGEVFALRIKFEDRYPIEVPQVTFIADNEWTPPVHPHIYTNGHICASILGHE WSPVLNAISICITMQSMLASCKKKELPEGNDRYVRSAPSNPKKTRWHYDDDVSLFSYA FSSD L198_05538 MGVLNYTQNKPKDMSRGYLEACNYSKDAQFDFHDRSPRIQALRG PAAALYHAKLKHERQTRWYELQKQKALQKKALEAERVKAGGRAAVPAGEEGKKRGKTD DDSGGRSGGKTDYGDRWKNSGSGGFHFNHSEYDLRDWALERESR L198_05539 MSVSGLTISITYSPFPPDFDLRLREVAREVVSEEWDQRTPKIDP AAPILPPQEPELAEAIRQAGPKGEEREEAFNRHKAPLRLVDNNALAIAKVNDAPQGPP TLPLQVASKQGTFSASHQSPLRIPQAGKSTIVVAHALHVPVPAGQASKNDQYRTYVNK YHQSVKIHPGVITAPSLAVMESAKERSDKLAHKIWLGMTKFDASLIATCKEAPLLRTS VTSRPEWFFVEDPEWWSPLMRTAFWTSFYMDPPVDIQIFRLPGNIRFSHVTTSSQLPP PTTVYRALVDPMFLNEKAFKSWAKFVPNPPKASAKAFASKPSLQSLLEARTDGLCDKV KRHTMVLKDLGSERRLDLLVRRDEDPRSFRALVRRDIWGLRKAE L198_05540 MVPFLRRSGVTAKPLPGAHFSTLESQKQSSLPLTMAHIPKHRAL PDKENKLFRELLTQYELKQYKKGIKAADTILKKFPNHGETIALKALTIHSSLPDPMTA SAVPKKEEAKAMARLAVKKDITSHITWHVLGIFAKSERNWDEASRAFAQARRLDPDNI PLIRDSISLLTHTRQYSQALQARHHFLTLRPQIRATWLGLVVAHHLAGDADEAIRVYN DYTEATAIDGATAPEKAQTLMYVISLCIEAGRKEEALERLEAGVKEEVISPRGQVSLT KAQLLGELERTEEALKVWEELLKQNSDSLDYYRGYFKAKGLDLAGPHDEAAQSALLES LEQLSQKYPRSAAPRRLALDVAQGEKFRQIAQAYIVKGLERGVPSLFVDVKSIYSDST KMTVIGEIVQEIISKLEKESTLANDGSIAPPTVLLWAYYYLALHLTHPRNASPNPTRA LELVELAITHTPTLPELYMAKAIVLKRAGDPLGAAHEMEKARLLDGQDRFLNGKAAKY WLRAGNVEKAEELLAMFTKKDMSPIQDLTDLQCVWFLQEEGDAYRRSQNRGMALKRYQ TVVSVFKEYEDDQYDFHGYCTRRDTFSAYIELLNYEETLRSNPHYAKAALALISIYTE ISDNPSLTVEHITPEEEAERKRLAKKAQKAESKAKKAAATSGDKKEEPPLPDEDPKGE KLLKSETPLDDAEKVWKALEQLAGERVETWLAGYEIFSRKKLYAAALRSLLTAQSIAP SNPTLHHQILNFARLTSSADLPAPIAQVIEQSLPTLLGGKDAKSASEAFVEQAIKGSD AEAILGATKGHLEVNHTDVSGAISILSNFASPSVPPSVQGFLSAINFISSREGAEEAQ VEALRQKLEERMPLAWALKSGKEQGARKEMLEKEAQGEEVVGKADL L198_05541 MSSPILLLVIAAIPLFAGYIYLTTPTKKQPPNALPSFFFPAVTY HGRQIPSSARNAFSIPVLCLCADIEALQDGALDLPLRVLHHGGDPKKKVVGLRSKYYL GHGNAPFRVKLEELMARHGVAKEDIGRAWMTTMPSFLGLEGTNPMTNWYIYSKAKDGE EGLLKWLILEVHNSFEESCAYVLGLDSPLRQEPNKGYDLAFTLPRTFHTSPFNNRSGY YRLDILDPFPAGYSKIPNFVPKFKVFVRVLTPDKVMKLNVTLVSGPLPPARLGEGWES AWGMLKVLRKWPGTLLLVQVRTYWQAYILNYRKKLALYPRPEPGCPFTGNGFNPHPGD TGIDYGLQRKPMSSGKRGVTKAIQELMVKRAEQLGIRLEVVFEHGPQPLLAGSGDEVL SIHTADPTFFTNLMAAPSPQHFLFLMFERLTVVSNEALFIRMFGPPDQAQSDYISRWT RSIRQRYFTYLYSNSSTQPPSSIPALSTLAPHFTDSSLLSTGARRAVLQRVLKAFIVA RLVERVFALVGFTFVQGQEPWLIWDRAVRRANGEVGVDVVEEDEKIGSVRLTDYI L198_05542 MAQHLSPLRSPRKPAPPVAYHNFQNSPKRDPSRDSTVESAGQAA DIFDLYGALGEDEEVGDMMERLDMRQRWTSGRDGVKGGSVEGEEEGSSWEGPMRALAS TPERQGRWSMASENTPDISITSPPTPSPPAAPSTRPPSQPHTPSPRRRNESQYSAITV STTDSPGRSSQRLSPADTTGKPRSSMSTGFSTTTDGAQSQTSVAGSSRYPGEEEDSFY VRSTYARLDAEGVHGDGWDQGVERTRGGPATLNKRATMLFATKSGDLGEKEKQYLSSL DRYGFVKEPHRNRSESRLASIPSAALSKVPKLPSHSPLSGKPPVEPNNNFGPSNGNCP TANPPKSPSLDGSAEDRAKRKETERVGKWARMMKVKKRDPGGNIAEWEWSHDGQGAKL QNRVYKGIPDRWRMAAWWTLSDGQAQQWKGKGKGKASAPELIKDYNDCINLPSTFDVQ IDLDVPRTISGHTMFVTRYGTGQRNLWHVLHCFGQLCETCGYVQGMGPIAATLLCYYE PERAYALMVRLHDVYGMHDIFEPGFPGLLEAFYVQERLMEWLMADVYQSFQRNTISTT SWGTKWFITLFVNTVPFSQQLRIWDVLWMEGRDVVIITSLAILWAFRDLLASPHASFE SILSLLSSYFVAEDEDSLMQWIHKVLALKGLRGKMDGWRVEWKRLVKEGKSDTALL L198_05543 MSGGSTPPSESVEEAPRSIASLRSRFENLAAGNDATVPSHNKAA SVSSIRNGHLSAGNEVPARPKPVPPPKPVSRPITPAPTSPLPTEDVQPQLLPRPPAVT PGRPTTPKPAFSNHQSTPSVSALAESHLRAESPIPPRSSSPTPSLGARRAAPAIPSKP ASAASSAVPSNAPLVNITLGGSENGEEEEPAISVKALRERFSGQGQAAAERPPIDVPK AVTVPVVPRAVPAPIIQRTSEDGETTSPVALSPSPEEIDSHILAQVTSRQPELNSSPS SIGHSSLPSISRAASPAPGPPPINRAHKPPPRPTSTAPGSTESSPPPIPPNRPALPAR SPTISSEAPLPLPPRRPTVSSADSLEPATTYTAPPPVLASSPPVHVAPGGPPPLPDRA RASTATRTEPEARAAPGPPPPRLPARNNTISVHINVAPSSPVGPPSHPSSPSRKRTGS GTLPPPPLRSAVLNSTVSNTASPPRRASTISHRVPQPQPATIPQSHSTDNLNDDRQLV EADSEDEDAEPPEDAAILGLSAQARRLLEDHPDTTQANRKLPAFVPDVRIKDCHHVSA FAVYGRYICTGAHHVRIYDTMLSDHAIQVIDLKEHVDGPGGGGKIKETKVTAMGFRPG CSEAEEGRWLWCGTKDGHLWEVDITTGEASAFKAYAHTSAVSHIWRHRQSVLTLDDSG KLLVFEVVQEADSKPILTRTLRIGEKFTFAKMLCGKLWTSSGPAARSVTSASSRGPTI RIYDPCCPGNMPPPKSLFTTEWTGAVTSATYMPLDRDNIFMGHEGGYVSRWDGRELVC VGVMKVSNTDVLALEGVGEYLWAGNRKGQVFVYDIQERPWVATNIWTAHPDNPIQSLV MDPYSIEQAGRYTCWSFARDSLRAWDGLLSVDWIDKQLRIRQPEFCTFRQANILICTW NIDSCRPADLANGPEPNQRWLDECLKSVDSPDIIVFGFQEVIPLTDKKITAKTLLFGS KKDTGATADRVSHAYRQWLEKLTSAVLQAMPAGTPYVKIHSESLVGLFTCIFVKSKEK QMLRDLDITTVKRGMGGIYGNKGAIVSRLVMDDTSICFINVHLAAGQSQKASRNADLA GILEDKAIFPPTEELPFIHGGSGTGILDHEMVFLNGDLNYRIDQRRENVISSVHSNEL AYLLEHDQLRKEMRTNHAFRLRSFEEPPINFKPTYKYNPGTHDYDTSEKRRIPAWCDR ILHNKSPRITPINYQRYEPVVSDHRPVSAGFAIQLKMVDQLRMLEVRRDVTAEWGERE KEILQQIRDIFEGIE L198_05544 MAKFDTIIKNGVVVTAGDISQVLSLSVLSPMANMDCRQYWIGIK DGKIKSLSETFDEEEMAGAEVIDAEGAYVMPGGVDCHVHLCQDLKTGGQCADDFNTGS LAALCGGTTTIVTFATQTRSDDDKSLLGVVEKYNARAEATGSYIDYGFHVIIVRDDPE VLEDEMPRLVEEWGVTSCKLFMTYESQRLKDRELLDVMVAARKNKVTTMIHAENGDMI EWLTDKLETKGMLAPYYHALSRPPLVEGEATNRAIAMSELVQNPILFVHIGSTLGMNN VRKAQTMGLPIYAETCPQYFNLTWDDLKRFHSPTCFENSKMICSPPPPPDDSDRELLF QGLHNGTFTIFSSDHCPFRYDSPHGKPSGILDHSASMAVEFIPNGIPGVETRLPLLFT GGLLEGRISPQKFVELTATNPAKLYGMYPQKGTLQPGSDADITIWHPQERFSPFQLRN EMLHHNVDYTPYEGQTFNNWPRYVLVRGQLSYAEGAVKGKLRDGRYLKRGPSQLSTGL PGKKDPRRVAGWLYD L198_05545 MSISDEKLKEPQDVTVIQEVGGQEETPDMLPQNHLQRTLKPRHI QMIAIGGVIGTGLFLGTASNLQSGGPAGLLIAYCLMSSILFAVMISLGEMVSHLPLPG GHVTLAGRFVSREMGFALGWLWWLDYIVVLPAEVSACAVLISYWTPKGTGDGTCTTGI CNNAMWVGLVLIVVWAVNFGGTKYYGECEFWFASIKVITIIGLIITGIVITSGGGPNH ETIGFRFWNETGGFMQYDGIAGAKGRFLGFFSTMISAAFAFIGSEATAIAAAETSDPR RAVPRAIKSVWIRLVLFYITSAFIIGLLVSPSDPSLSLGSTAARSPFVIAMTNAGIKV LPSIINAALLTSAWSAAVADLYISSRSLYAITLRGDSPRWFGPALLKTRKDGLPWVCV CVCAAFSLLSFMAADSGSSAGTVFGYFSDMTAYCGVISWSCVLFTGLRWSKGLKLGSI DRNSLPYKAPLQPYLSYYALAICLIVIVFGGFTNFMEGFNTSGFITTYFPVPFFFVLM FGYKLIHKTKMVRYEDMDFYSGCSEDVPVDEEATGSGIWEKIKWSI L198_05546 MSTTRDLGPGVYGPLPTFFDKDGQIDFKSYEHHLLRLASLGVVP VCMGSLGEASHLDTEERISIIKFVRQTLDDNEMSSRPIVAGVGGASTRETIRLAKDAA AAGADAGMVILPAYYAASLNADQEQVIQYYVDICEASPIPILLYNFPANAAGQDMSSD TIEAIIRRASNLCGVKLTCPGSIPKLIRLSSAFHHSPELNTSRPQPFLFLDGLIADLV PWMHLNGHGTVSGIPNFAPFAGVRLFQLCSKGNGASAEEKEEVRRIQAVLGKADVAAV PGGVRAMKYALNKLHGYSPYPRRPLLPLAEEEGEAFLKILQPMLELDAEMEKHFA L198_05547 MIAIGGVIGTGLFLGTAGDLQNGGPAGLLISYAVMSSLLFAVMT SLGEMVSHLPIPGGQFALAGRFVSKELGFAMGWLWWFNYIVVLPAEVSACAVLISYWT PKGTGDGTCTAGICNNAMWVGIVLIVVWAINFAGTKYYGECEFWFASLKIITIIGLII SGIIITSGGGPNHETIGFRFWNETGGFMQYEGIAGAKGRFLGFFATLISAAFAFIGSE ITAIAAAETADPRRAVPRAIKSVWIRLVLFYLTSAFLIGLLVSPSDPSLDLSSTAAKS PFVIAMKNAGISVLPSIVNAALLTSAWSAAVADLYISSRSLYAITLRGDSPRWFKSTL LKTRKADGLPWVCVCVCAVFSLLSFMAADSGHSAGTVFGYFGNMTAYCGIISWSCVLF TGIRWRKGLQLHSIERASLPYKAPLQPYLSYYGLTICIIVLIFGGFANFIEGFNTSGF ITTYFPVPFFLVLLVGYKIINKTKLVKYEDMDFYTGSSADIPTDETAPSNLWEKLKEN I L198_05548 MSSASSTSPIILVTAGGFRFLAVLQTALAPKTTSLFLDLLPYTA SLIHVRWSGEGVWIPLGYTSMDISKLPNENHTSHPAPGEFILYPGGISEGEFLLAYGG VTFASKMGQLTGNQFLTIVRGNENLRALGEKTLWDGKQEVRFEIADESTVAAGSNVAS LKQTKRRLLASDAASLALHMAEIVVDLQKRLLVVEERVRSLQTGGAPTNDGIGLVANV RVSQEPHLEPDRMVVQHASTSGPSISPAQPSTLHSHNSHAISPSYSSHHRDTDPISSP HHDGQPAVSMSTIQLGAPIATLRSLGALPANGSESMLDPIAQSVLSVLDAQRAIKIFF DHCHSFGPVLDKVSVNDGMRLQHQNPPLFLTICTIGARYWDSGISKAQGPLRERGLHP SFLELTTLLDITISQLILRPTPSDVTLDSIRALLLYAQWMPPSREHLFRATKRSSEGT ENQRAPRSRYNDISAWAVLGLAQRYALFLGLDRLSVLPFQDPHTESITPTDMARLRIW HNLITCDCNLMLTSGLPASLDPSSAVRVGRTFSEQQLAQLPEDKRVTALVELVGITHR AMMGREWDLSGRPLDLVSLKKINVEFDDWHNIWVHRLKDTPHQHNALPFTSVRWYRLS LNSASLGPLLSPSPPPSPDPSAHSPFLQPLEISLTAASQILLALSHITPAYIWSLASQ DVNTFPVGPFEMNVEAVERLSYAVDYTWISLAFSVVFLVLCRVRGVINDDLSITLAES PIDKLAYPIVHPSSILYRLVYLAHQIFLGLCQTSPIHPAHDYEPIVSNAAALVIPPLD PQQGAMGAGTLAGMEDGQGGDQGNLQGLFDLLSSSGMDWPGYLFGGDGGEQGWSGEVD GVNNEGGNGVWT L198_05549 MLTTQTRTYPTVSSGILRLKSDPSHVVGQLLVTPILTHADSFFG AEVSGVDWSQTIPESLVKELVALQDKYGVLVFRKTGMDNTRHIAFSHQLGSKLEVNPF YYGKENDRVREDYLWDVGNMELDGSPVRPESRRWHHSLGNCLWHTAKRSKYSLLLSHG NPVQGGSWTHFADTRQAYADLPEEKKAMLEDLIIEHEQTGPDGRKVLYLAAHAKQVVG WDLEKSQKLIWELIDWCTQPKYVFSMEWHDGGDMVWWDNRQSMHRANPYTANMTARDV RRATVIDDGPLAFGVTAEEVKDAESKA L198_05550 MSAIDQEHHPGLPANTAPVSFGCRCLNLKVDGRVDAADEHKLKG GDGNKDSGEVNVYLPPGSEGVKFPDYVTYEQEGNTLFGSSDNTDDSCKSWRKCYICGT TCYETTRKPPQAPAAEEEWVTIDLAGSVVYGEELGKLLSQEGLPFSNLLIDASSAQSN FGRPPTNPAPSSDLDSYEPATTGPYISSPHDPFFLPPPFIPSNSHLKDLCDQAESHLK YVHYKLEEEVRHFISERTHELRDMEEKVRGEVETLWGKYQTIPGNEGAARERSASTSR TRESISRIPNPANVFSPPKHFDGNSSQPSPSITSNPIMQSATAPATSAVPNGTSLLAQ SLSASSFYPAPSSNLTGEEGSVSDGVRDEMDDTISQVAKTYGTKGDSRAVAMSYVFSS LAENMGGPSQAARADEEGETLAPPEAHGKDSWIDDETENARRMPREQLGAVAEENEGG GRTPRATQTKELYEDKERKDKKGKGRVKFEEPEKPEKTEEPEEDDDDYVFDFELDDNI RDKSASAPLLDSSRSRNLLETNLSHTFAADLPSHRAAWRRFEQNGSMYEALRRGQTYI PGEEDEDDESQASKLATSVPIQINPIRAGKASAPVAFERKTSLSDRQGLMVPRLKGAM RESGVEKGNTLGLGFPTPRGRTASNSQRRNSRSASASRETEAVKSYKADPGAVFESLA DTANEDDEDGAGAVQAGEGTLKEKGFVPPHVLARKADKEQLPNVGWRSMAS L198_05551 MPPTPCSLCFTARALVKRPKTGQQVCKDCFFEVFETEVHNTIVQ EGDGIFQRGERVAIGASGGKDSTVLAHVLSVLNKRYDYGLDLFLLSIDEGITGYRDDS LETVKQNQKEYGLPLKILSYSELYGWTMDRIVDQVGKKNNCTFCGVFRRQALDRGAAQ LGVDHIVTGHNADDIAETVLMNIMRGDIARLARCTAVTTQSEDTIKRSKPFKYAYEKE IVMYAYFKKLTYFSTECIYSPDAYRGHARVFLKDLEAVRPSAIVDIIHSGESFMLEQS VQKGMKAMQTCLRCGYISSNDLCKACALLEGLESGLSRSALRQTQDSTSAAPSGHRTI PKYERYSSQHPTGAPVAVGAVEGIEKPLKAIEIS L198_05552 MAIHLRLHNSEAGPSTPSSSFATPATDAENTLRQVVSRIRNGKK VVVVSGAGVSTGAAIPDFRSASGLFNSKTKGGHSVQDLFHVRCLGSPALLERHHELIT SLASLATSASPTPFHSYLSTIAAEGRLLRCYTQNIDGLEDKAGLSVGIPSGKRKSPKK ASAPEAAEPEHKVIPLHGLLSSVHCTLCKISSPLADHLPLPPTPLSCPACALGESIRA ALNERSRPSGLLRASVVLYGEDHPEGEAIGKVVAKDLKAVDCLVVCGTSLSVPGVKRV VKEMAKSAKSRGGAKGRGEIRTVFVNDEPPSKGAEWEGLFDVWVQSDVQTFVTSYLAN PAYLSTVSKGPPRKTPAKVSPKKMPAEKTPSTPKKTKETKDGLPPTPVSLAKEREVYV GLPLRTTKSTPKKHSHTPSIPPTPTSLEKPSSHPSPSHGGYTTPTKKRPARAGPDTPE TPGVDGDGEGMGIRPGKKVKREERDGSPSPAPRGRESLSPC L198_05553 MPHTLLNSSTEYTPADDASKAMGEIFKLPAEPKTELGRYRILSP SAAIRVSPLCLGAMSLGNQWTGVMGAGPDFEESCKLLDTFYEAGGNFIDTANNYQDEQ SEMIVGEWMEKRQIRDEIVLATKYSTYALDRKEGKFQGIAANYVGNHKKNLILTVDSS LKKLRTNYIDLLYVHWWDYSTSIPELMQSLNDVVKSGKVLYLGISDTPAWIVSQANEY ARQHGLSQFVVYQGLWNVSVRDLERDVIPMCRANGMGLAPWGALGRGKFKSPEELQKQ STWRGGSPPSERDLKVSKTLQEVAEEVGGGVRAANVAMAWVRQALKIHLTQDQIDKLS KASEFDLGFPMNYFGTDAHYLPEGKPNTFVLNAAAHLKFTSFP L198_05554 MESVFEGIPILEGPDDYYLWATTLEVCPAADPSGLLGVEKEPCW RDVTVLTGLANDAIRPPEEAAGDAFPPVGARTPSDVPDEEMRERWEKWAKKERKARWY LTMAVSENIRGVWPRPREGDSAKASGTRQLYPPPNSNASTRRQHLQRFENILNDLEIL GEPMLEEDKIRAFFRSLGNDYERIGTLFVSKPPFEQTWIHLTSLINFETSDMWPTRRG GGRRGKRGTAADYEKHV L198_05555 MLLANTRHLASLLKPACTLRRGISSTSPTIHHVHQLTTFVASTA FKGSFPEKLAFAFDIDGVLKQGHHNVLPEAMRTMKLLTGEDGRLPKPIPFLLITNGGG IPDTDRLSLLSSELGITLTPDQLVQSHTPMRDYAKRYADKHVLVIGGKGDDCRRVAES YGMKNAHIPQDIIASAPSIWDRTELTQHEKAFARPQDFSKIPFSAVFVMHDTHDWGRD ITLILDLLNSDNGYLGTRKEGRQNGEEAVELIMSNADVEWRSDWPIPRLGQGAFRIGL EAIYKETTGLKLPYTQYGKPFKATYDFSELSLRRYLASVGRKSDGDLNVYMVGDNPQS DIAGANAHGWSSILVRTGVFHDTHGEKPAHEPTVIADNVEKGVEWAIGREMGLY L198_05556 MGDYKTQKSTIDLLVKRRLVPLGYKKRIKIRGRDKVQTSKQGVW EMRANEWALRRWPLIESLEDEGRYTTSQLLGRLHHLLLYGEAPTFEFALKLLCNASDY IADSPSVKGKERERQGGEVDVLNLYLAYAPRLAPADSLLSTFASAFPSIRLNRQSLHL SIFSLLSPPSPAAQAHQVTQKQVLAMLETFLSKDILPGLETWRHIGKFATKFGIKDLG KVGWEGWFGSLGYAEARALLGPLSYSPKSRFDGQLGADEQGAEGPESWQLRFQHLGAQ KTRWARIVGRMEKKGWVQKSEAGEGRWGYVWKDAEGPVVGEVVEKREPTETKGLAESR QEKEEKDEGEGKEESKAAKGDVRKPHQRDLRTLLFRPRNPYYPRVPQVAQRHIQSLRP GAPSPPPSS L198_05557 MPANVALLGSGVFAQASYLPALINLSKSNTLNLHTIWSRSESSA QTLHSKYTPPQGSSAPALQYGDDGLAAVLADDEIDAVLFVLPITKQPDLVRRAWKAGK HVLSEKPLGRDVREAKELVAEYERVYKPKDLIWRVAENYAHEPVLRWAADLLKSTPEA GPILYWDLKFIAFVEDGSKYHATSWRTIPDYQGGFLLDGGVHWTAFLRTVLPPAARPA SVVAFSSLHRAFLLPHDTIQAIALPAPSSTIPPNGPKTKLSSADITESEVPTQPGQST PRGQVTMSFAKPDLPPSAQTPNGLYITLLNGFFSIESSLTREWVAKFVPAQGSGLEAK EKRSQMEGVEVEIGMFAKAVAAQKEGKESGEQNFGEPRGALWDLGMLEAMLNSNGAET KIEQ L198_05558 MQFVTDTANVIPPKNLYPCLATISLFTPFDPTSEGDRRSSFGSF SDKDRDAHKDESGNALTRILSGSSGRRKSTAVDAVAQTSSPGGRDSGVGRRRRFSLSG KDEEEARSPPEGRWYWRVRVGVTDSQVVLLPLSDPANPLLTSAPPPLSTAVPSHAAHS SRTTTNAGESAINNSNVNALHPPENDSGIANKMKNFFRRGSTTNKETSASTGQQSGAQ AAMNEPITDQTASGATLPCAAANEAGATDTNGSSELAWPGVIDGDKLAAVIIPTWALV KDRVQLKHKKAEGTWVIVQVKDEEHHPLQAVGSNRQDESSFPKSGTIKFEFDKDWIGA KDEAELLQHHIQHAVSNALTPPKHTHQPAEQAPFQLGSSAGHVNNNTTRARAEEGTGF GAESQSLQSGYGTRNAQTAEPVVGAPAEFGSSFPSQGAGDGQGQQQGLRYDDVGPTDV IGKHAGFPQGGLSVAAAS L198_05559 MNDPLEVKPLSSRPRPAQNGKKDELPDLYHSGGFWNDLKKGKWM LIPSSSFKLMLIAPFLYYQHEILQHFGVFDSALPNVFEHFVFISNKLPDGRYGKSWWD FAFLANYIIFWSFVRQFMTLSVLRPMAKSLGIKGNKIMRFTEQGYAFFYFGILGTLGV YVMSSLPIWWYQTEHFWLEYPHRQMTWELKTYYLMQAAYWLQQTILLAGKIEKPRKDF KELVAHHVVTLWLVGWSYNIYLTYIGVAVFVTMDVSDIFLALAKCVNYVSDFWSVPVF AWFIGVWSYFRHYLNIIILYSVWAQFELIPWKERQGFDPLNDTFMVGWMKWQIFVPIF LLQLINLFWYFLIWRILFRTIFGEQLKDERSDDEDGEEEEVREKAE L198_05560 MSATPPHAAPATAGDKTPELVTRREKRPRQDDGEDDRDRAGRSH RYRRDGPNDGLEHEDPERERRRHRHRDETEEERRERHRRRDAETEEEREDRRRRRRER DEREREERERGDVRRDSREREDLRRGSREISVGRPASQRDRSRESHRSYKSHRDDRPP VDDVRDRRGYDDRREDRGYGGRRGYDDRRDHGRREFEERGIRPMRSPLPMRRRTPDYD QPPRRRGPPPQDVDPKGRTVFVSQLSAKMTSRILGMFFEDKLGPRSVREARVVTDRGG RRSRGIGHVELDSVDLVNKALELSGTVVMGIPISILLSENDLGGQELITAHALASVPQ GFDPNLHKGNRLFVTNLPFSLGPADLKQVFEPFGAIEFVDLHMDNSGLKKGTAYVQYK ELRPAQMAQDAMAGFELAGRPIRVQQVQDRSFHQTPDMIDDSKDYGTKLDATQRQQLM YKLARTEAPPAARNDAPSRAPAPAMNPSPFLVVSNMFNPEEETERNWDLDLAEDVKGE VESKYGKVVRIKVEKMSAGEVYIEFAEIDSATNAIRGLNGRFFGGRMLMAGYISETLF KAHL L198_05561 MSVAPTKSEISQNPGKLSVTNALNRDQVEGNVSSGLKLWGAIQA FRDGRMPDNKQIDRVLDYAIKTSPVDVSRLSPDGRVLVDDFRDIIETLRTIVAEKNAD ELLQSAVWASYSGDLSRAKQDGVIPVTNDKVQEDANTAAAHIRTLITLFVTNSEARKL LQDFGIVGRDVFATAATKAADKARPTQEQLDAVDQEAPSNEWVGADGKRHGPNETPEV QMKGPNGTQVRYNPKDAPGQASVTDHRGETRTAGDTYSAAQEAKNDAQLRAQEAKAQG TGTAQSHADDLKAARDPNAPLSQQKEQVTSAANAKVDEYGNQIPDPQNQTNQEKARAK AAALRDRIPAEHRERAANYLQQGKNFFNDEFPEERRDQFIYRLKKVVVECQGHKDYQE AMGWLLETLENYHGHAKHVGTKGAGSVQAVGGDPGITDATTQFRVLLERFANGQSIEP ILNALDQVYTDIQNDSELRSWFTTFNEYIHKVLLEPGYILDEESDREAVALRDSGKRF FTDKYKSHQELLFDEVQVWATAFGKDPLNVRLGDDVKRFTKDLLFNSEGNLTFKPKLW NDVRTILLPLLLEQVTYVPIPRAEYSDPNIDLVIEGLVLSGPNLFPNIVHLESFNSFT FSPYPKINKGMDNQHHRFALSLSQIQADIRDVAFAFRRKTGWPRISDHGLADVVLAGK GISVDVELESVENRRDTVFKTNHIKVSIDTLKFSIRNSKHDLLYKFIKSTATGLIKKA ITAAVQNAMKTSLGHLDDQLVEIRNRVDEAKKTDETTRSQALKDLYARKKQASADEKA RAEPSPGTFKIVTNRESQLNPDLTHDAEKSWTHKAFKTEDLAASGKEWRSPAFDLTDK AHPALTGQHHPGATVGAGAKTQKVEQQAAAAVKQKV L198_05562 MSDDDWDVDDVAPAAAPAVALPPKVSAKKWADEDADDKSDDDWD KSDDETPEPKAAAVPPPKKKGTLKQKLAEKERLAKEAKAKGVSVDDDDLMDHLTEQDR RRLAREKEQEADLAVASDLMGGLDVGGSSDNLKAVLNSRPSTKDDFTKLSQQVYAAIL KKHETNPLFSHFVEQLAKDACAPLTAVQTRKVSSGLGVLGNTKQQEERDSKGGKKKAS AKPKLGAATKTGGRDDMEAYDDVLADDDFM L198_05563 MAHSPQPLATLQQIVATPSAGDGVPSDVEGDLRTAGCMLIQEAG VMMKLPQKTMATAQVLLHRFYYVSSMYSFSVNDISISALFLASKLRETPVRLRDLINT YLFLTARIRHLLDLPSDQHLTLDHLSSGSASRASNGNDIWRGFKFEIPGFHDEVFWEW KDVITASEMQILKRLGFNMQVDLPYNHMINYLKILDLVFKEEIAQMCWSILNDMLLTP LYAIHPPHTLACISILLATRLSRLPLPARWYLLFDVSLQEITSGSGVVMKLWHEWGLN TRHGLGTQRAEGEGDKAEQDRRRGNEARWRRAWVLAQSRKAVRRWLEGAK L198_05565 MVSLQLVIPSEALPLTWLRIADVPMTIKNPMPLPALPPPVSRSL PAFPSLALLLLAPVPLPLPASGAPQQRRADDAAPAGLSFSGPPPSGPPPSGSAPAGPP PSGAPAEATGRPSGSAPAGPPSSGSAPAGPPPSGTPQQKRAGDVNSAAPPPPPSGSGS AEATERALRLCSQEEWRQPPLTSSARIRPDTTTVHRSTVHNQITSLQ L198_05566 MLPTPLRARQRRHQQTVDAAKAYQHESPPAAGHYPHTSASDSQH QNQETSDEGGSRGDSFGVESMSEWGSYMSSVDQAQRDDALGGRLHAAGGRDVSPISTE GLVFHRSDDEDGDSNGQSSGQVEPPSPEETLHPLEPIDPVDPSPTSHPLPLPFHSHLR LADLPPPGTPPSPSIPGSEPGSPMSLASMPSYVASMSSLSRTSSVDSPIHDHDETQGG SFRAEGDGGDRGLVLPQLNLPSESLSLHLSLPRWLNSWSSDDDHNQPHNKRGPITLAL VGEREEVERLLREVKERVEMVKLDNGVGVLQNGKIGIRIVSGVKLDEQVQKSIHQAYQ TLNALLSPVPPPSTEMESELKRLIDGYASREDWIHGVIVLGEVDKTSLDKMIPTITLS APSASPEISHETPHSKNHALPESTPKPTETGLSYFTLPAHEEQPESDEESVAPSDLEH SPSISDSILPKLSPKAEVLLSSFASPRNLAERSTASFLAWRRSPYLSTTTSSRASYPT SPSPSASSYYAGAMPTVARAQGGGEWEATLSRRVAQRRESGDAVVSKSGKEFLKVKGQ RKRRRSIDKGTGRGDYYQALFPRVSPGNTGKKDNMSSIIRKALAEWKGRLFPGTSAGA GSGGKGGEGGKGSGRRIWKWGVVAGAVFVLGWGVWLGVKS L198_05567 MISFRITPRHLFPLTFFIPHPPRPTTLRRSIMHPTLPRTFTRLP TLHSPSLPFQSISLYPTQILEAHQDVRESVLDALPASPELSPEDMAHIFSELGTLAAG DERRNVILKLLGAEEVFGPDGKALP L198_05568 MSAITPDTAAPAASAADIKAARRARFEGVFDQIAEELLAYLKGE NMPKDAVDWYKKMLYHNTPGGKLNRGLSVVDTVEILKGRELSEEEYTKAAILGWCVEL LQAYFLVADDIMDQSVTRRGQPCWYRVPTVGNIAINDAFMLEAAIYHLLKKHFRSEKY YVDVMELFLETTFQTEMGQLIDLITAPEEVVDLNKFSLEKHHLIVVYKTAFYSFYLPV ALAMHMTGIQDQSAYDLATKILIPLGEYFQVQDDYLDCYGLPEHIGKIGTDILDNKCS WNINTALANATPEQRAVLDANYGQKNSTSEAAVKEVFSQAPISIPERFEAYEKESYEK INGLIESVDESGTGLKKEVFRSFLGKVYKRSK L198_05569 MAFSALASLAGLLFMIWHTYHYDRWKCLLYTKDEWFRAFMCHVL FGSVMCLQVYTWIDVHVIYAEYWIYYPALEETIVTPWSLYTPTHYKLFRTSLYFITAG WGFLQGVHLEEFLYWAYLIKSINTPGGPRTTWLHSGFFKVWVGLFISCFALLIGSVHI EEHDLDLMRSYLFMVGGSMSLVLAFASIALCIVFPSFLRNVKRQGASSEVLERLYFFS EVNEIRIVCRIVYSVSFLVLSADAFTTNQEINKSPFWSDALYLCGQLGLFSATCLSVV ILLPRNMTSESVPTLAQTENQLMVAYQRPQPDGYSPQQFYELGERLNVGHDALAIGLA SLNPNNVGHMGGKEGFEMQVTPPLPTHSHSQDDISSYSGNSSQRTRVDPAPFAESADR SKLTSRLSEFAGGLPSVVGRFKSPFEVGSAERRGKEGPTEIYVKTVKEVHEDDMV L198_05570 MALGRLVHFAFDALAVSTILAGVKKTTGFAPATDLIPDSSLKSV TDSYLGVGHTIFDIVAGQSVMSQYFKKQ L198_05571 MRFATAFAVLAAVAATAGAAPTGQQESASLSFFGPPPSGPPPSG VPPSGVPQQKMGASGEAPSGPAPSGNPPPPASGSGSAVALPLSGAAQQRRGDVSSAAP PPPPPPPPSGSGSAAGPPPSGAPQQKRQDASGAPPTGEPQSGDAMATAVF L198_05572 MAGIFLNLFNWLRSLFFAKHLEVTIVGLQASGKTSLVNVLGSDQ WSEDVVPTVAFNLRQVRKGNVTMKVWDVAGQPKFRGMWDRYCRGADAIIYVVDAADRN SIPTATSELHALLSLPSLQSVPLLVLANKNDLEVALGVDELIKEMRLGDIGGRVVSCY STSNKTKHNLDIVLAWLTQRAH L198_05573 MYPSNNVDYAYLPTPTSLPSKPPPRPHALDRNFRFPLHPPPPQP NFQRGQQGHGFSPSMSVKGSLDGAGFGGGGRHERTNTLQLNPGAPAEWADMGHGPPVS AVGGLKYDRSPLGHGFAPSVQHHSNSLPIHSPNSPHALPQINTNPLLTPGLTPPPINR AWTLDSSSRANLSPLAQSHGGRNLTRSPLAYEDGRSGASSPARSMVSSARSANGDGPE RGDRRVFTAPSYTYHTGPDGPRSEQLAHPKPERASKGLRGRIGGPPKAVLGGPGGKTF DEMMTDQGKGLQSPEKASGGLTPSPRHSNRDDSSEGGEQEEEEVKWKGNRVVVRMPHK EYNPPESPLFVKNPEEEDGDHTPVEQLSRKEAFPWPAAQVRLSPESTPLPMSPRDAEH EDPGEALSRVPSPDIDCDIETVWNGKKLVLAIPEPDAWGSLTQERDERELEKMLDEMA AAEQAELDATPDEKEDDQVEDERIKEVLDDGEKTFDAHGSAAGLHRASTSTTHHPPLS PSQCPLPPSPIPSPPPPSSPPRSANSQSSAKRSQGVMQMNSFARRQLGGFLKQAAGDG DKEARPKLKRPTRRSESADIPPLAVKEKNADDGSPEAGPSQGTVKEVTQPSLQASILW SELQESEPERKSSVDEEERKPVKNEAVPLPASLLDLKRRVLASSSAVKEKKDKEKEDE KAASGWREAS L198_05574 MTSQNATLSASVPTAIVEEVSEGRPESDKPTKRQRAVDEGLQAS DRAPISIQFGTSSAPAPRPSLRPTAAYFKPTESKGIVFGAALAREAEERSSKASRAST ASSSSSKKLRAAASEFVPPSRSFASESANFTFAMPFATTALWSQGGLIDTPSGTSIGD APACNRLNFVSSSAVPFKPASLRKVSLTSIPSSSAPGRVDSPAESVATTTASEAKIRA SAPIFTPGAKSSTNSSPAFAPSRVPSTTPLSTATKIRAAAAVFMPASSIGSGSLPSSP VKGSSRTPSISSTTSKTVLKHDAPAFIPSLEKRKRARAEDYLHKEPAEKTSSEMEVDT APRENTSASTESGIQTVQAGDDGKVGSQVVIPVPAEDPAPANEGQTTDQEQGHSSSSI SAPSSAFDITTTAPSETAEASLREMDGSHNDGGVKRVIEQADGNAEAGILSVEQSVIH FRREASPMSSADRSRPDSLGNSELSEVPSLSEDGRTPRPSLATRHSYNSYRPRDTPDI SSSLSEPTVPLDDVVIHPSQPLPSHHTAQIYEKSPSITMLSAYAFDEDDSTEPFATAI EAGTEDGEGVEREMESIATPDVPYTASTLNAHYAESEEEASGSGSGTVREAEFAEGVE QSILFGSQKVGGTPGGSNFEFTFGELSRSSPSSLEQIEEQHRPQDSLQPTLLAPAANA GPARSLRSSAPSTEVSSSALLSAAPSPHVPMVAHDSEEAADFKRSMEYSKMSESPRAA FPDNIPDLLSVEATGRAIGVDSDLNTPATRSYEASGVLKMLEDLRQQYGRKVHISPSP GGIREEILHSVPDLTAQQSEWSSYLIEKLTSALEDQTQLLTTLKQNMSAPPVSPSAYS STQDEDTKGNTRQEDMFTAILTSQHAILSKFDEVAAIRLSCTDDLHTAIAALHEAEDA AKDRSSSAHVNAESEVRVTTLSTELASTKSQVTSLEAEVGILKQRLKDTRYERNELRD TMDAKEKQLLGAIMSEEKKNEEMDRLVARALAAELERDAFAKKVKEMRLDELEDEKEL KQLQLEVDKDEALAELHSTLKESQQALASTQSQLTIALQALHPAPTLNPPEPSPLLVS TSSALAELSQSSYSFHEEIISRISKLDDDMHESMGSRVKEYGEALGENRRLTGEVDTL RQKLESASRERLNLEKDVLPKFSALETEHIHTVVSLQSETARREAAESKLAEMEKRLS VMQEQTMRWQIEVATRHSQAQMGEIRLQTLTQENAYWREFALGADRRRFRSYVASRPF APDQSVFHTPATEFKSAARSTARIVSSPLTAVTPTRGGDQRNTSPLKRSIKGSTLDSP IVVSDESMDMDIANDESREELLSP L198_05575 MSQNKDIQRQDTTLDVAPTPQNTPLETGVLQNRPANLGQPSVET LAEGEESESDEEEGGANPASLLASNPALLAFAQSKLDGLVGKSSGYIESLPASVRRRI DGLKGVQVEYSKIESEFQLAILELEKKFAVKYQPLYERREAIITGKAEPTDQEVEEGI AADEDSDDEAEEEDAENKEEPAGGEDIKGIPEFWLTALKNHVPTAESISDEDEEALKH LVDVRLSYLENQPGFKLHFVFTANEFFSDSELTKTYYYQEQVGYGGDFVYDKAVGTEI QWKEEKDLTKKIEIKKQRNKSTGRTRTVRKVVPTDSFFNFFKPPQPPAPEDLEADDVD EAELQDLDARLEMDYQIGEDFKEKIIPRAVDFFTGKALEYEDYGDEDDFEDEDDYDDD EDDEGNAAAVQAAAAAQPECKQQ L198_05576 MGGCMSSPSTDDPAADARSREIDKALREDEKRLAREVKLLLLGA GASGKSTILKQMRLIHDRSFETDEIEDYRKLTFSNIVGGMRAIIDVMDELNLAVQPSN RKYISLVDAEPPINTNEPFPSRYLPALQSLWQDPGVQACYSRGNEFALAENMPYFYQN LGRLFEPGYKPSNDDILRVRSKTTGITETRFPIHDVVFRLFDVGGQRSERRKWASCFE NVTAILFLVALSDYNSCLIEDRESNGMQEALMLFDSICNSQWFIKSSIILFLNKSDLL AHRIQDPNQQLHEYFPKFEGKPYSFQDAVDFFKMMFRGLNRMASKEIYCHVTTAVDRQ NVKVVMTACQDTILKNTLRDMAIL L198_05577 MPTSSKQDTHRRRSSSVTAALNQIPLNQQGDGILPLRVKSRPSF HPVLGTPSKVKARPLSRRYVSDGLWNDVKTGKWLLIPSSSLKLSLIAPILCLLHVGLA YVGVEAFQPGGQIPNIGAKCMLPSNEQDGGYAKTWWDVALLVHYIVFWTFVRQFMTLK VLAPLAFKLGVRGGKITRFTEQGYAVFYYGIYVTYGLYVMRSLPTWYYKTEYFFIDYP HKIMSFRLKFYYLTQWAFWAQQTIILAAKVEKPRKDYKELVLHHIVTIWLIFWSYMAH FTYFGVAIFFTMDTSDLFFSLAKCVNYVSDFWSIPFLVWFTIAWTYLRHYLNLLILYN LPTQYDLIPVAARSKIDFFNDSYLDSYGFMKWQIFIPIALLQALNLFWYFLILRILVR AVWNWGEDVKDDRSEDEDE L198_05578 MGCLPCTNLQPEVQHLNACYPPSKALLTAGPEFRPLAQDLSKLT YFATNKPSKLAKIGEELEKRVIKESQRSSQGYPKYRASLLISLAVLRALLTECKRDIA LFARPALRIIDTCLGVKGYQQGSLDLEVVGRATASFIAFTTYTDGSQIGADDALTKTY LNILRKYASMATTSSTTNSEKPDLEQQNRTRLIALAALNGAITSDAIFSSSRDFSLQS AILIPPLLQNAFEEPIHQLKQETAKIEMDASPSPFFSEFATTKGPVAHRRAPSLHAHI PGEKGPSGADVLSAALRSFHALVRQCNVAQASLVIDRAGEYLDKTNGWQDSERCCWLS ERLTACITLQYRFVVPTRLVEVLVDLQDTAPSPKHTSALAMISTILTSTTSLIGLGVS DLLQNFISLIIRRIHLSPLDALLPPLVQCVGSLGTHIYYADQINDIVEELAARISELP LHDKARGEIIRVLVACITGVMLVADAADDQAEEKVNGQSNGDVVQPGSPSKGKSPAPP VETPLPTPLFERPRPVYHPSRRNPISPEVWQETLPLLCEADYGVRAAYARALILFIET ELPRGRIPGKAGEPGTAIKRPQSDAATVRFCNALNAAVYTLVISSCLGPEGDGESTVG GGTMPATALGSPEVGTSGLAATELQGHEKESSGGSASGRATPKGERGVSFKFQPSEDN SANTGTSTPPKKALKPRRVSLPMTRLQSSNNLSIFSHVATPLDFSAALRILDAIHVAC PVQALISGVPMLLALDEDAGRELVRRSGDGRAGAWVLERKRAVREMVGLVWRRIGERW GMGSVEQLANEALSLLPEPFLIPAYPAPPSPPTLLTAPDQPTAFIPHAREGESSATAK PLLDPTVLLGALSRAEVVQGATGWTGGQTERVLGARWNVERAIRESVERFSSANVRPD DDSHYTAAASLLMNMNNASYQSVNGRRVSRAIDVTDLRDALGGRADIITSSNAPSVNS YDGSYQSHSQQTTSTANGTAHPASQVGAAAAGGAAGRPLSGHGQKRPAREADVKEVLK DIFRDKRKHARARNGLSPEPGAGGVTAEPELAGQGQGEVQNTAGMANGLGASEPEQNE SAKVPITSNGPLDLSLGKPLN L198_05579 MALNIPAVIQESECTIQDPTLDLGTDESPPDFRFKEDRNHDSIA HLYSSLYRLVSRLRAENRTVEEAEEALSRFRQSLPKGYFVCSDQERIDQPTRIFVSAS FHYVRTTLHRSSLLLSVDPAEGISEKELELRLRSRQACLESAVEEIRLRLKLGYSNDL HMMTSGAGINTASIIAVALYQNVRHPFMSSSEVLGTLRTYLEYESRRSFLSEVNQDQL EVVFDLAESVTQAMREVSTREASDNDTGTTGSRRGATQSTDTLPSQRSFQATQTDMRP RHERLSQPRLNLPPQSSQLPITGASSSSDLSMLINPMESAIHQSPLSYAPWQHYRHDL SGVSGSSMVRSPAPAWASQQVMSGSSSSSTPVFSRDGSQGSNRGVPAERSISIRSNSS LGTIANCYKWWAGLHRVSVGWERFPCRYT L198_05580 MVAFGCVPLPRAPNPVVTTDEGEPLILPPLKFDGQKAAADRHSS QIFMADGDMVLARRLYHSSYQVFHLEASQGTLDTLRAGTLLIKFASLVGYRLEACSLV SYIVRKAQIQS L198_05581 MDRRPHRSPLPGAPPPGTSSRQQTFEGLPTLEQGFYPIQRHQVH PGDAPPWTSQQPVHILRSESELNPQQDIYSTGVYQELPYASSEIPQQPSSSSRNDRDR MQGGQGEQQQQRVGRKRGRVPTSCTECRARKQRCDGAQPCLQCSKRQVDPSICRYEID PRTTSSREAGQEGTGPSGNEGRKEKRRRSEAPMRDDVKALAHGMWNAPLAFSGGRFDD ERRRAGDDGLARHQMTERNPRPPNLDTMLNDLSAYPMVGSGIRRRWDESRSGMQQGGS LNMDLYGVQSAHSGSNSHHNRPPIIPPSPVLRPRSEADVRGDSVYEGYGRTKRNSAPK SSSSEGDASPMARRVRDLSPPGPQKEDSTARNAFKRNGFFISDAAKMILGPTTAAKEA RPQSDFDKAQSWSPENIKSRCLGKLPAKERCDELVQRYFDRFNRQVFLFCCSLKNRV L198_05582 MPRKVQPDAEWNRLAVHMDMFHSHFRMEFAQIYELADGKYEQAG MTLSRFLREATSLSSHLDLHHRIEEAHIFPILAKKMPQFQAGKRESGEHLHSHKLIHD GLDRYDAFLAAAQANPKSYDGVKLREVLDSFKEVLFRHLDEEVKDLGAESMKAAGWTI EEVRRIPM L198_05583 MSKSAYSSDLVVSPQQISARTGGSHTSQGYLSTTSSPALAPSAQ QSSASHAGSRTSTSKPSSLSPEPYPPSSSPLPSTSPSTKRSGFHASQVSPPETPHFNG NLVKLKIGSQTDLPFTDEPAAYTPSSVSPKPTYLSSGGPGGDTKPTYLSSPSQVSLPG LTPPPSPSSSSPPPRLRPEAVSHVEYKVQGREQIVSRDILDPTLRSTLLNLHVPHQIS NIANVALSGLASGPKTRFNTTIGVPIDGLARDLKGLGWKVEGRYEAEHGGVEVSVGVV RSGRQEGEKMERIEKVDEKKSEATEKKNKKTGEKGRKMARVEVETKGGGILIDITEID KHRQIDLRIETKTGDVLLLLPDNFLGPIHVKSPRPPEFLPALLPLLKPTAIPYQNLHT TYMVPIALSSKANSSLPEHAAAQQIEKYVPKALRKESDILDQLTGGYVSHVRKGWCKV VVTNGKGRVVVGLRESGDVRLARGMGLTVGREGVKEKKWWQLGS L198_05584 MPKKEAVSGSKTKKAPAQQSSTSIDDIFAAPKAKKTSTVPEPNT KKDKGKSKASSEANVASSSKVEEGEKKKKKKVKKAAEFKPEEEVEESKVETVVDPSTI PVAVPEPAVKPKKDKGKKRSAQEDEEDEMFKDSRGTGPRRKTEEGFLIYKEKELEIDP EAGGTPLCPFDCDCCF L198_05585 MSPLQAYHAFLLSNLESVQTIESSLSNITWLLPGRFQDAELASE GLYSVVSLIERYHDTILTKHLSSSLSLPPHPFAQPRSPTSHISGSPLAPADPTRIHPS LPPASDHARYTRYWTDRSRLYRRASRALTTVGYLELLVEMVARKKLGERRRWKVVLGL EALKTFLRLILLFKTRRPVLSPSTPQREVDYASLPPEVLNPTASPNSETSKQSSQPLT PSLPAFSPLRAHLLPMVGNLPEEYLEHPLDLIPTLKGSEYVAEIIAASVGLIRVLLLL RASRKSPSSFHPYSLPTLSRSLPPYLIPLLLLFIYRHLRSGSSSSALGSPLLLSHNAT QDRRLAMQAFLTGPLWVGWTRPKIVKVAQGLERIPLVGLVGELVEGYLPLVDDYFYCE FGLLGSGART L198_05586 MSQQQQEASLHVEDASTVPTTAQADGEPAASTQEQVIKVYNPAS TTATPNSEDLPADFFEPTLSDVRAIHGTNAARNKRLNEAPLLTSKYREAEKGERERVK REKWPETTIRVKFGDGTVVQGVFPSQGPIQPVYDFVRSTLSPSAVAEPFILYQPPRTL FPEHPPPEQKPTKKPINPLMKNSIVTPAGYGSVRGGPVQGLQGGKGGKETLAELGLVP QSLLVVRWDDGDMNASSYPAPLKDELKQKSEPLPPAAPKQPEAPAPTASSGGTKTETA TGEKKIPK L198_05587 MASLFSSQSPSRPLVLNSPAARVSVPVPASPLAAWVTSQVLAQD FQDAIANDDEPVPEVEDDEDEPKAPSQEPQIKLLAKFLNFVADRVAQDASEELAQVLF AAYSRFNELFLASINVHSLVQSFDPETRAEVLKAYFKAFAAAREQLGDKVQIAHPSSL LEAAKAGKTELYALFGGQGVNEHYFSELALLYDTYTPFVAPVISEVTDLLISLSHKAG QDGYTYYSSGLDVLSWLDGSATRPSVEYLGSIPLSMPLIGVTQLAQYVVSCRVADLSP ADMREIFKGATGHSQGVVSAVAVASSNSWSSLYENILKAVKQLFYIGLRGQEGFPLLS LDPKIVSDSVENNEGVPSPMLSVNGLGVKALDGHIKKVNSHLPHNSQIGISLHNGPTN FVATGPAKALYGLATALRKVMAPSGLDQSKVPFSKRKAVFTMRFLPVNVPYHSHYLEG ATQKVEKDLGEELWSTSALGMPIYHTEDGSDLRSAASLTFSLCDQIFTKPIHWVKACN FPPTATHAIDFGPGGNSGIGPLTSRVLEGRGVRVVVVGEKGKAAAEFYDASNIKREPV WASEWSPKLVKTLDGKVHIDTPFSRLLGKPPIMVAGMTPSTVGANLVAATLDAGYHIE LAGGGHYNAKALRSKVAEIQRRVKPGVGITLNALYINQRQFSFQFPLWQEMRKEGLPI EGFCVAAGIPSSEKATEIITALKDAGIKHISFKPGSVEGLRQVVNIAAANPDYPIILQ WTGGRAGGHHSCEDFHQPIIATYPSIRQNPNISLIAGSGFGGADDVWPYISGEWSVKM FGLQPMPFDGVLYASRVMVAKEADTSASVKQLIVDCPGVDDAAWEGTYDKPTGGILTV RSELGEPIHKVATRGVKLWREFDDTVFAQPREKRAAWLENKRDYVIDRLNKDFNKPWF GEKADGTVTADIGKMTYEEITRRMVRLMYVGKQERWIDVSLRNLVGDWLRRVEERFAG VDGIRTKESLLQSFSSLDKPLPTIDSFFKSYPRAQSQLVAAEDKAFFLAICQRPGQKP VPFIPILDSSFEVWFKKDSLWAAEDIDAVFDQDPQRVCILQGPMAVKQSNVVDEPIKD LLGNIEDLLAKKILNEYYSGDESKIPAIDYIGAKPGNPKTGLFAETVSGDVRTFKIGK AVPSVDDFLEVIAGPNVSWLRAALTSVNVVHGTGYISNPFRRIFTPRTGQTVEIKSVA GQATSVTVYGAARSFGPHPADFKAVELTFDPKTNAIDLVLNEERRGSAVPLKFNFAYH PEQGYAPIHEVVNGRTKAIKDFYWRLWFGDNEQLPALKLDTTFTCPEVAVDATEVQKF CDVVGNQGEAFTVARNEKIQAPMDFAIVMGWQSVMKAIFPDDIDGDLLKLVHLSNGFR VMEGVAPLRAGDVCSAEARVVSVINSDSGKTVKVKGYVLRAGEPVIEISSSFLYRGKF VDYENTFETADESDYVVELTKANSVGILQAKPWFEWDDDSVPLDVGTTLTFKTKSELR YRDKATFSAVKVTGAAFIRDSTKALIQVATIDYEAHNLHGNPVLEYLKRHGQAIGNPV ALETGYSLIQDPTTAVFTTPATNEPYSKISGDFNPIHVNPYFANLASLPGTITHGMWS SAATRKYIESVVANNHPERVISYDVNFVGMVLPGDDIQVKLTHTAMRDGKQVIKVESF NQRGEKVIDGTSEVLQPPTTYVFTGQGSQEVGMGMELYASSEVARAVWDAADAHLTST YGFSIVDIVKNNPKELTIHFGGIKGQAIRQRYMDLTYDTIDESGAVKTLPLFGDIDLY TTSYTFSHPQGLLFATQYTQIALVVTEKAAFDDMKAKGLIDQNASFAGHSLGEYSALA AIADVLPISSLADVVFFRGITMQRAVKRDAEGKSQYAMMAANPSRVGKSFNEMALREI VDTISKQKSTLLQIVNLNVANQQYVCAGELRALAALTNVLNMLKVQKLDLEKLSTMMS VEELKEKLAEIIDGCWELMEEKEKKDGSVILDRGFATIPLPGIDVPFHSRYLWPGVLS FRNYLVKKIDPSQLNPDKLINKYIPNLIAEPFEVTKAYVQKIFDETASPRMSSVIENW EKDAWGSAAQRQKLAYNILTECLAYQFASPVRWIETQDVLFTHAKFERLIEVGPSPVL SGMATRTLKAKYEAQDGATSLDRAILCHAKNYKEVYYAFEDEAEEAPAPAAGSSAPAP AVAAAPVAAAPAPVAASAGPAAAVEDVPPKAVDTVRVIVAQKLKKQASEIPLSKSLKE LSGGKSTLQNEILGDLQVEFASAPEKGEDLPLDELGAALGVGYSALGKHSMSLTNRMI ASKFPGGFNITAARGHLNKQWGLGPLRTDAALFFGIVSEPPKRLGSEAEAKAFLDALA QSYASYSGITLSSGAAAGGAGGAAGGGAVMNSEEFDKFVLKQEEQAQREIELLSRYLG KDVRSGEKKADLEKASVEELQAKIDAIKLEHGDAYLDGISPVFSALKARTFDSSWNWV RQSSIQLFYDIIHGELDPSTVFNDPPRYRPSA L198_05588 MAAVGMDQESIDRRELTSRCIAIMNRADPTFIEYMKYHIDNVDP SKGPTYEKVKQFGQILLENCQEVVDKPPVYRDVALPTAPHTEVSAKGDIVYSEISRNN VRKLESYVKEMASGGEVEPAVNLDKVQSDIEKLWELVNSQPSITPAQKSAIKSMYSEV VKSLGHSSEGSAVDDSFAPAAIARTKGPKQRRSSSQFLRPNVEDRTEVEETHLPFLHL KRKTGTSFSYSAKLTNIYFDVLTEIATSGVTFAKKAALLTGVGKGSIGVEILKGLLSG GCTCIVTTSRYSRAAVDYYKNIFHEIGSKGSKLIVVPYNGASRQDTEALVDYIYSTLQ IDLDYIIPFAALPENGREIDGIDDKSELAHRLMLTNLLRLLGAVKTKKAARQFVTRPT QVVLPLSPNHGIFGNDGLYAESKISLETLFNRWSAESWGEYLCIAGAVIGWTRGTGLM SATNFVAEGLEKLGVRTFSAREMAFNILGLMHPLLFDITQIEPIWADLNGGMDRVAGL AEVMTSIRLDLNRVADLRKAITIDNAADFQVINGGDAERLHQKVAIAPRANFSYDFPK IEGDDVLAELKHLEGLVDLDKVIVCTGFAEVGPWGSSRTRWEMEARGEFTIEGCIEMA WMMGFIKHFDGKLGNGQTYVGWVDSKSNEPVDDKDVKTKYEKEIMAHAGIRLIEPEIN WGYNPEKKGFIQEIELNHDLEPLEVAAEEAARFKREHGDKVDIWAQSSGEWFVKFNKG ARVFLPKAVKFDRLVAGQLPTGWDAKRYGIPEDIIAQTDRTALWALTCTMEALVMSGV TDPYELYKHVHPSEVGTSLGSGMGGMHSMSAMFRDRREEKDVQKDVLQETFINTVAGW VNLLLLSSSGPVKIPVGACATALQSVEIACDSILSGKAKVMFAGGFDDFSEEGSFEFA NMKATSNAETEFAAGREPTEFSRPMTSTRAGFMESQGCGVHVVMSAKTAIEMGASIQG IVAYTSTHTDKAGRSIPAPGRGILSTAREVTPDHALPLLDIQYRSRQLAFRRKQVSQW LENEHELLRLELEGRNSQTGNEEWFAARVAFIDDEAKRQEKDALATFGMLEGSHPNIA PLRRALAVWGLDADSVGVVSCHGTSTKANDKNESGVYNLQFEQLGRTPGNAVPVITQK GLTGHPKGGAAAWMFNGMCQTLNSALVPGNHNADNISEELRAFPHLFYPSKPIQHVRL ECGLLTSFGFGQVGGQVAIVHPRYLFAALSSHELEAYKKRRTTRELDTYSRMSQAIVN NNLVQIKEAPPYSAELEGDVLLNPLARAGPSKNSFAFTGKLPNKAPIDYKNAETLRAV FEQSQGVAGVGVDTELINSVPTSDTFRERNFTPGEIEYCNSAPNPTASFAGRWAAKEA VFKALSVPSKGAGAPLKEIEIVSTEAGPSVKLSGDALSAAGTKTVKVSLSHSDNSVVA FAVAQ L198_05589 MSTRNVTDVSHAIAAVGSRSLKKAEDFIAEHTPNGAAAQQDGLV DFKPKAYGSYQGVVDDPNVDIVYVGTMNICHYEDAKMVLEAGKHCLLEKPATLNAHEW NHLVQIAEVKKVFLMEAVWTRFNPVLLAVQKAVHEDNAIGEIRCLYSDHSMDVYKKRP DTDRVLAAELAGGPLLDVGPYPLVWAMMILCRHPDNARTPPEKVGSTMMLHKTGVDIA TSFTLTFPKITSIAYCTTNLLSPVQKERNTRIVGSTGEIIVQGHTSRPQSFTIRRLVD PEEEGGKWQEDEQVDMSFDGFGLYWEADEVARCLSKGLLECPSLPHAETSLTMSIFDK VRAEGGYEYLPGLEKVKV L198_05590 MKRLLKVLEDIERGDVRDPTPDRSREGVSQSSERSGSSVGLVES ALGVCADALDSAQECLQSPIGQANADTLGKMTALLEAQRNEIEEMRVFIEARRFPSLV TLQQIYGKINNQIKGVSSLPDGEAYGALCSMVQVLQEGPDYLIDIILISAIVWYAETI DSSYTASLRQILETAIASQSNLETFRRAAEEILAFSAGLDVGMLDDREAEDAYRVIGA LLRQLQVRIQSSTLGTPSSSSVASTSTPKPLTLPGTPVATPPISPVSSTPSSAGDAVF KGMSVKDLQDSFGTGVTTPTPALPGLSSYNAPSSGPTAKPTPTPSSPASATGSEESQE IWEYRGQLLTRSALDLVLRQEMLEQADSSGQYAGEVSWDDLRGAWIPKWAKKLKKSDL AEGEVPDSNPATFKEKDEDEWGNEIGFYGVNKELY L198_05591 MPSRTLVPRITPLMRHTVTHPPVIIHTTGAAAAAAPHYEHSFEN DTEFSPWWFANSAPVPSVHPNQTTTAVSHGPPSPTPILTPSAPNQQPTLNQDPAKKSH YTPPPYTWVDYRLSMV L198_05592 MSPFRPIPRSLRGISPLRHSATFTPNRLLSTRPSPSTHSSGRGG NRRYLPYALLPAGLLLLPAATLACDPDPSTRPPPSSLSSASTFQLFKAWFVWTLICTP GMVDYSPAILDFFFKTPLKAPVEWFVRNTFFEQFVPGESVAECMPALHAMRERNVGAM LNYSAEVDESQLKGSGPDREAQDRAEREKKLGQILLALDVAGEYERSLPIEQRGVTGF ALKITGLVDCNILERASYSLLRLRPLTKSNSATSPNSATFVPYPGTPQSADAQIVARS SIAGERGLGKGLELLALGGKLDEMGVLESDHGLREGDMEELNELWGKLKIIGNKAKEV NVNLFVDAEHTWYQPALDAYTLLLSQEFNRPPVKKDDTWTGPLIFGTYQSYLTRQPSH LIHALVHADAHGYALGIKLVRGAYFMQERQKWLDEGRHGADPIWPDKPATDMAYDGSI ATIMSTMKEQLDSQHPERALSVVFGTHNSESCDLVCDGLVKNGLAFADPIRHMLHLRP DVRGKIRVAQLYGMKDDLTDRMSSRFVNDGKPVALKYIAYGKLDEVMPYLGRRAIENK SLMGGDHGSGAERKRVGAELRKRIFG L198_05593 MIRPRTLPSTRPSTSFTPRLPLPRPSSRGIAAPRAFSSGSSRRR YLPLTLLPAGLLLLPSLSCDSDPATSPPQSPLTIAPVSELLRSWFVWAMICTPGMVDC SPGLLSIISRTPLRRPVEWFVRHTFFAQFNPGETVAECMSEVRSLRKSNIGSVVNYSA EMDESGLHESGAEKREREEKDRQRRLEQVVVALDVMGEFEKTLPLEQRGASGLALKMT GLIDANVLERASYTLLRMRYAAPLTPITTPNSAAFVPYPGTPESADGQVLARDHMKKL VDPKELFSLQGKVDAMGTKVEDVRLKEGDLEQLATLWQKLRMLGQRAKDNNVILVIDA EHTWYQPAMDAYTLLLSEEFNRPTGNPKDISPVIYGTYQSYLTRQPTHLLAAIAHAEA NGYALGLKVVRGAYYLQERKKWADEGRVGNDPIWPTKPATDLSYNGAIDTLLSTLSGQ LKGKHPERALSVVFGTHNTESTDSICENLIKYGLAEKDKESGLLRFDPAAKGHVRVAQ LYGMKDDLTNSMAGRFVNDGNPVAYKYISYGALAEVMPFLGRRAIENKSMMSGEQGAA GERKRVGRELWRRVFG L198_05594 MSIKANLEDFTIKVANKDQEKQHALAGYDHWQKGEPFEEYRKVS IREKTEAKWGKEAFVTWVLVRKDDTDGEIYSGCETYRRQGFIKRKGATDIEPGFVYCI ASVVTPKAHGRNGYATRFLSLLHRHLSPGSTLPPIPASWGKDQPSIPLPAQAAEQIPK AIGSYLWSDVGASFYSKCSIGEGRPGWVVDDAQCSELVWKILPPATVEGSFSWIHLQD LEDVGSLISARLQSNLRQTDTSQNAVFITDPASPGVLDFVPVKGSWKRPTPEPLPVGI RIPSPSGKKEDDAIVLFAVSCIHIGDRFLITHISNLSPYQLPLLLSAIDSLASSSTLC PAEGWAWDLGLSGELVDAWKKQEERAVSVGRREEIDGHLLGVAWYGDDEGRLGNGDIW SWA L198_05595 MSQEPPLWSPPSPSTTQTAHFLRRINTAHGLALADYNDLWQWSC THRSDFWSAVWDYEQVLGDKGGQGPYVDESRTPEDNPLWFQGAELNWAENQLRHAKTR PDDIAIIQVSESCDGYQAPTKRMTQRELCTLVGRAQRSLKKAGVSQGDRVGFWGGNCL EAVVTLLATSSLGAIFSSAAADFGTEGVVERLEQIQPKVLVVTNGVVYGGTPRPLLAK VGPLLKALSKPPAQVVVVDHLPEEIASTPKELEGQVKRWDSWLDSEERDTEFLRIGFN EPIWVLFSSGTTGKPKAIVHRQGGMLLDALREHHLAGDIGPSSVFFYYTTPGWMMFQY LISSLSTGSTIVLYEGSPLKPEGRLWDMVDELGVTVFGTSAKWLEYTERAYPNVGEKH DLGTLEQILSTGSPLPPSSYDFVYGKVKKDVLLGSVTGESSRTSGISPKTDLTQGGTD VCSVFAGRNTSLPVYHGQIQSRMLGFALDTDAGPDQPGELICTEAFPIEPLGFWPLHG SGFSEDQVAAAKQRFQESYFKGDGGVWYHGDYVQITPSQDGNSGGLVMLGRSDGVLNP GGIRFGATDIYSVLETREYAEGEGVEECLVVGLMVSGGSDEKVVLFVKMKEGKTLSEG LVGKMKASIRSARSARHVPAKFLQASDIPVTLTGKRIEVPIRKVINGAPLSSTNPSTL RNPECLQEYFRLGERLRDEEGVGAGIYGGL L198_05596 MPIATPSPSLNRSTFSNNPERGLVVAVDAAPGMFSSVLFILAYL NYYPLPLSATVYSLAGMLCCCCAEEMCCDMLC L198_05597 MSINDHMNDMKIVQGVQNERKGFQTDTDISRTTSNPNAERELQP WVPDGPAAAATASPSPRPNGGNADLETFGQAKNIPWDQFETNERLFGAKTDFQEELYT TKLNRGGADYQKREKEAERMAKEIMGQTAKNAHVAEERGQVDTRDEEEKYSGVSRAPN AYVPPSARRGNSGQAPAAPRASVNGNQAPKAPEPPKAESPVLVPPAGPARSTSDEVVN TVPQYVPMKASGTSTNIQPITEGATSSIAEQKNELSGVVNEWRQFVGTEREKVEARRT SALKTEKDKQLAELKKFQASFKVPLPMPKDMLSILTKDEAKQKDIEAKAAAALDAAKK DRKTSLAAAPSPVSAAPPIHTNGKTDAPRPPGSKKINMRIPEIPPFNATKRKPPPVPV AESAQNDIKLLTSPTPSNVSLASTRLNPTASSFVFKPRADAQAFKPGQPSVAGSPAVS AKQPAAGPSTTSTPAPAPAQNQPRGNQFFREKPPERLSNVNPREDFNPWKHRPVEQPS TVGLAWGFSGRKAGVPPAFASPAPGVHLQFDDDPNSPSPHPAQPSLMPGMPPNYPPFG RFQPGMPPPYAVQGMQNPMFSPPHFAPHPGQQMGQPPQHMIPGGPQHNMPMYFQNGMP HSPAFLPPQPMQQYPHTPQRHVQGPGPGGPNGPPQIFYPNQGPPMPHQTPLQHHQIPY PGHSPAQGHQPPYQQAPPPQMQMSPVPGHAHAAQAQQQPGA L198_05598 MILLESHNVIIHDVLTDRFEKRALLSIHGGSADKSPRPSKADIQ FVDYDNVRFHLSTPSSKTQILLSMAIQCWPDLVKYGAKEHLQQEFAGFLVGDGDVEPE YDVSLLIDLENLPASPEERIALVSKISYLKSSSMAAPFLSAFTEQASLKASYKEPAGA QQADLQATEVKGELKIVKYREEEAIYIQASHDRVTVIFSTVFKEETDRVYGRVFLQEF VDARRLQSLQNAPQVLYSNREPPLEIRHLPGLKNGEDWGYVTFGKSFLIHIYVLDPRH YANSEQALATINRIQLFRDYLHYHIKCSKAYMHSRMRYRVAEFLKILNRAKPEVAAER KTATGRTFRTR L198_05599 MTMFADILFQNPATRRPATRSRNDENAAPQPSIRNKPSLSHLGP AQKTAVSGASAAAAGKKPVAAKVGAKRTALGGVAVNAKEDGVEGKKPLKATAGKTVAE VRQPLASRNNDAQSARPIAAIPSRSKTSAPIYSSLDSKLSLEDDFQMEGDFRRQQTLS VPQGIDTVEEEYLDEDSEEDDMEEEDEEDWLRMPEEDAIRAQEQLDVIQATFKDEVDL FDTTMVAEYADEIFGHMEMLEESVMPNPRYMDFQTEIEWTMRTTLIDWLLQVHLRYHM LPETLWIAVNIVDRFLSVRVVSLVKLQLVGVTAMFIAAKYEEILAPSVDEFVYMTENG YTKDEILKGERIILQTLDFTVSSYCSPYSWVRRISKADDYDVQTRTLSKFLMEVTLLD HRFLRCKPSMIAAIGMYLARKMLGGDWNDAFVFYSNFTESQLITGASLLCERLVEPDF ESVYVYKKYANKKFLRASTFARDWALNNTS L198_05600 MHQQRRPHGESSTSYRALLTLLQHTSALGLLLACTPALATPLNR PPQQAPWLASPPAALPSHPWTSQSNADHDPTRLLEKYAPILKLSKDEKYFPSTVDYML PHYEYIENISGDSYPANHTILTPSELDTLPFSGSQLFLSISEPHNPQPALLNAESHYL YGPAGQKGGIDFSSEGGRGRVNEPVYGFWVDQGRGIVDLWYWTFYPFNFGKPAGRFGV LGNHVADWEHFRMRTVNGTPISADFSTHEGGYLSAGTLRWEDVEKVGERPVAYVAMGS HGIWPDPGEHIGNILKIIDSTDDFGPIWDTKSHVFPVQYWTNSSDRQKLQHEGEDSWR NFEGYWGNKGDTDCWWHGIVGICQVVDAPPGPNRYFGGPPECLLAPISSSPASTISFY LSSPAIDWATNNSIAMVQVEQICERPKKDRGGEDVQNMESDTDYANDDDVEVYGVKGL TNFVGDEKHSIELPACKGLQSGVRGYRVSLCLLNGRCLSTSRERKICAYEEGKRGHKA GSAVVLDDVDDWLWNF L198_05602 MVYSGNFKMNGSLESIEKIIKGINEAKLDGSAEVVVAPPALYLL KVQSELSPPAQVAAQNAFTEASGAFTGEIAPQQLKDADVHWVILGHSERRSLFGDTDN LVADKTKAAIDAGLSVIACVGESLEERESNKTKEVVERQLEAIAAVIDADAWKKIVIA YEPVWAIGTGKVATPQQAQDSHDDIRQWLAKRVSQSVADSTRIIYGGSVNGKNSGELA QAKDIDGFLVGGASLKPEFIDICQASKSA L198_05603 MARPLRSVLTIAGSSLAGPSRVSSRARAPVQVRTAWNMADLSRN LRLPWSKENATTSTTDEPLPAQITDEPTSSSDAQGNLFDSVTAPVKDSPKNKKKLAKR GTNFEWTEHSYSSAPHKISPRKLNLLSRQIAGLPVDEAIVQMQFSEKRASKWVKSTLA LARDHAMDKNLKRDKLVVSEAWVSKGQKIGRIDIKGRGKYGILHHQSARIHVVLREGS TVAEKQQIQYDKAIRKVRSAGQVREDTPLRRKVVSGWTW L198_05604 MACSISVILYNKYVFSSLNFPYPTFLTTFHLTFSAIATRVLQRT TTLVDGAKDIEMTRDRWIKSILPIGALFSGSLILSNYAYLTLSVSFIQMLKATDDDLQ AFNPVAILLISFAFKIQEPNGRLIIIVLMISMGCFLAAYGEVQFELTGFLCQCAALAF EASRLVMIQILLHGLKMDPIVSLHYYAPVCAVINLCIIPFTEGLEPFYNLHRVGLLVL FSNAGIAFALNVAAVFLISVGSGLILTLAGVLKDILLISGAFLAFGSPIMPLQVLGYS ISLGGLVMFKTTGGK L198_05605 MPPAKSGKFKTSRFPAARIKRIMQLDEEVGKLASATPVMISKSL ECFIQVLLDESCKEVTASGSRKLTAGHLKSMINTNPNFDFLREIVDAVPELPEGGKSS AAGPSRARKPSASAAATKAAKAAKAEKKEAEEEEEIGSGIAGGTAKKSRAKKEVEDDV KPQVAEPAVPSWSGAPVPAAQPANPLIGSWKKDMTGGGGTGEGGRGMFDDYEEDDDDY L198_05606 MPALPKTLNRLPLKQAASSLRENSANVTLSPSVSALSMRFVAKN SEAGPRQFLRQYAPSLAYANPSLPILVHRIPDPRSKHKNPENPDKEAIETGLFGGKGG VKEMPKPEMILEFHDGPKQVLPLSHLDGEKILGQLLSVAGEARHAGLLNEPTQTVEEI KA L198_05607 MPAPSVSTLEATSAILGEYMLKGWTLTDLHCSSCKTTPLMREPA AIASRENRERVQFCAGCDGRPEGRVRVPPVASVPEASVPAGGLGAYETRAVASESTDR QETVAVPQQSRSRPAEASQQSQSQTEPKADPAASLSQLLLQGYSLLADTCPNTSCRGI PLAGYPKRKDGSKDGRKVCVSCGMGWVEEKSVDEGWVLTGEKKGTREDDGGAESPRSK KRRELYGLGDVKGKGKAVDVGEFERQARASENELVSKAEEKELEEAVAEVGSEGSLVH KDILTKEQSSNSIQQPHLAQSLTQTSSALSTTLSHLASSLSSLTQPERLAEGEKSGKL FVDIKLHTEALKDVLGVLGEVEKARKGW L198_05608 MPGDHSTSPTLIDEIRGGLSGEGTPFAAEAPLSRTSSKKLSHHD TQSSSTEKAAELPKHEDAAPQADESGLLSGARLYLVFLAMMLCVFLLLILFTDQSIVS TAIPVIVSDFNAFDQVSWIITGYFLTQCGLILLVGQMLTVIKSKWMLLGSVFFFELGS LICGVAKDMTTLIGGRAVQGIGASGMFVSILTIIAIITRVEQRAAFMSSFGIVFVISS VVGPLLGGVFTERVTWRWCFYINLPFGGLAAAAVVFLLPAHAPAKSGSDDTTERSVLH KILRLDWLGTALIFCCMTCLLLALSWGGNEYSWKSWRIILLFILGGLLVIAFGAWQWF YDKRALIPVSLFKNRTLTMSSGAIFFWMLSMLGGTYQLPLFYQAVRDHSPEKSGIDII PFMLAICISIFISGGFTTKFGRYWPFLVAGPPFAASGFGLLYTIDSSTSSSKIIGYQI LAGFGIGLSFQMVFMAINAEYHERPALLPQATGTISFFQLTGAALGTGIINTVQSVYL NTEIKRLAPDVDFNLVRQSVSAIYTLDPAQRPPVIDAYVISITKSFIPIITSVCLALV FGGFIKNHNMLTKGGAGGAHMA L198_05609 MDHEAMESAVRAAEREEKLAFSEYPWSDSAEDLSKQQPDVEKMV PMMEDVDDVNERIQPYDAVRDDLELNWTLIISIISPSSKSYVLVVDLFIVG L198_05610 MSSLSPTQSPSAPPSLLHSIGAKASERLPPGLVYFVSQNVGLTL VAIAELFFVLMGLTVKYFLSNTEISTFTLIFVRMGITWIFCVISLWLVKRDPNPLLGP PGIRSILVLRGLFGYFGLLAGYQALRGLTLSDSLAIQFLAPSFTAFLGFVFLHETLSR KEVIAGCLCFMGALLVSRPRFIFGDVSEEKSHHGGGRLDLPPAPGGEEDGVQEIARSA AVAWACVAIVGASLAYTTIRWIGKQAHALHSISYFSIMCTVASGFWLLVRPEPIAWVH SLSDLFFILTIGVFGFAAQTFLTLGLQREKAGRAGLAIYLQVVFSLILEFVIWGTIPS LLSALGTGLILCSAFWAAFSSTPKRENAKPTDPETLPFSREPSPIPPPNTTFPSRPGA ETHYSYDSTPNWLAPTPPNGLVRASSNAVNSGAGSREGSGDGEGGTLDIPGRLKAGSR TGSNGSLGGTKETRVRKQSGTGSERQER L198_05611 MTTFASDFPVSAPSDTTVHKLGRGYVFLPNNTAGDSMLLFITFF VAFLVFTACFIRCTSFLDRRRARKRGGRVKEDTVVGDEMKWAEGREVVERKVVWQNVE HGKKSGREMVRGVSRGRGLQASGTRVAI L198_05612 MSFRALQNWRSLIRTDGNSFTLGATVVALNEELTSAHLRRRLSP QSQAGVSWSHRDLSVAPGPSPSPSSAGAPAGGSVGYGGGLSVGLSYMVGHGTGPAVGH GGGLSPASGSSINFGDAPSSPLGDHGVGTGRST L198_05613 MFATKVFAALVAASVVLAAPASQRSGSASGSPTASNSASASGTA SASDSGSSSASGTASSSAASSSASLSSGDFSGQATYYTVTGTGSACEVTVTDDDYIVA LNQPQYTDSDHCGDTVTITNTENGNTATARVADECPGCDSGSLDMSPGLFGALNDDDY DEGVFAITWSFSS L198_05614 MMMASPIITPHSRTLTHTPSPSSSPKLVPVPSTFAPLSHLSLST THSSSTSPTTTPGPLSQSAPTRSYVRGPQARPYGPSSIKKKELDADSRHFAELVARSV DDRRGSPSREKGLIEMVENVTIEEHEERTEARKIEQRKQLGRMQSLGGSWENERAELI VDYPVWSPGCFQDLSTLHTLRDKTLAHTHSLLSHLLNTHSTTTTYRLLARSATPPKPS STRPSPFHHGWGCIRLAPSHAAESGKKAAQVRRTSLVQAGKPNFSPRLSLPRLPLHAT SAIDSSSDEESPANSPRVSARDYREIRLRSGFSSGSSDEEDEAGDEEESADVIVAKEI EKRGGKEGTVFLMTLFGQPALILT L198_05615 MSGDDPGPSSNYYRAFPATADGPLRPPEPPRSPILGATLPPHQP DRDYYALLNLPKDAPDSAIRDRYRSLATTWHPDRQRSDSARLAAHTQFTEIQRAYEVL IDPMKRTVYDMFGEEGLKTSWEVGPRVKTTEEMRKWFMGQAYEKKSLEAEVLVKPKSE MEVVLDARAVFLPRTYFQDPDQLKHDPISRALRVRPGRAVMKHSFEIPVSPNTQFVIE GQALSRNGRGGANILGTVKHQFSPKLWFEGGITCLNPRIFRAKGTYTIDEDQYLTANI VAPTVAAPPQLGFTYGRRLYQDTTGFMTFEPGSFSLGPWGASRTENELSPSSLSIGIT NSTRSGSGWTVQTSASLASNQVSADYSIPIPGGLKMKIGAEAGLGQSVAGFVTAEGKL SENVRAGLVLQMEIAGGIILKLRFNRLGQKLSIPVLLAEKLDPKVLLGTTLLPAISYY AIYNYYLVPRKKQRLRERVRELREENKEFIRQKREEAIGAREVLEKSVATKVVQEKER NGLIILSATYGLASKIDNPIEALSEKPIVTEEDEEEETIDVTIPIQALVQDSRLYIPG GKGKFNVLGFYDPCIGENKKLKVQYSFRGRLHEVVVEDASPLRAPVRSKSIQPDVACV LRVLMTDV L198_05616 MPTINDLYTADLEDTIRALLKKTGIPGCAITIVKAKEDGGWDEG VWTLGEAKKGVPVTPDTRFPLFSMVKLITVLAVHQVLSKTSYTFGTPLKTIIPEFKSP DKALEEGVTVADMCAHVSGLPAYFDVFQIGTSLEDILESVSKLHPTAPLRTTFQYGNL MYSLLGLIIERISGLSYPDYVKEHFLKPFDLDSAGFENDESTAEGHWIDASGGGKMRG IDNGVVRSPATWPAGGLIMSIKDYAKYLKQLPSHPGYQFASTPNSSHPAFADIFHFPY SSTTPTYGGGLYQMTFRGMIALGRVHGV L198_05617 MFARSLNTAARSFARRSLNTGPSAPSSSRWSSRHAFVAGTTLAI SALAISSERRKVLNESAKPEKPSPRESVLHQQSLKEPIHKTEVVRQDGASAPQASESS DSVEAAADGAAKVLEEKKEEAGDASQGAFNPETGEINWDCPCLGGMATGPCGEQFKAA FSCFVYSEAEPKGVDCVEKFKAMQDCFREHPEIYGEEIDDDEAPAAVPEGSAPLEEKK AEEEKTEDIKVAAAPAASP L198_05618 MSNAKKLQNKLFSATKPAQDSPEQADAGTSTPIPAESLKINIPA PPGAQANLPKRQDANEATTPKGGSDTDTPGTQGQEHKSLRDRLHAQLGPKYHSVEEHR LQQSDKYEVHWKRWGPYVSERQWATVREDYSANGDAWNSFPFELANSRAYRWGEDGMA GISDNHQRLCFTLALWNGKDPILKERLYGLNGNQGNHGEDVKEIYYYLDSTPTHSYMK YLYKYPQAEFPYEQLKEENANRSKEVSEFELMDTDVFDEDRYWDVYVEYAKDEEDADA LSVRITAYNRGPDPADLHILPQLFFRNTWSWPKELPKHMPNLRQEADGVIHADHETLG KTRLYATPSPAPAAPVKGGVVLVDGPSVVPDLLFTNNETNFERLYNGKNRTPWVKDAF HDHLIPGHRPPEPEPEHTTPGALPVKKSPSGKSHKLPPHHSQADLSEDADEGAEENEE GGEDDGSSGPAPAAEAAPRPVSGGHRQFVNPDKEGTKAAAHYFFKDVPANGGCVVVRL KMTPNTPEEDPTILDEELFDENLEDRRVDADEFYGRIAKGGISDDLRNIMRQALSGML WTKQYYQYIQKEWMDGDPGQPPPPPERKWVRNREWKHMYINDILSMPDKWEYPWFATW DTAFHCIPLAMVDPSFAKKQLDLMTREWYMKPDGALPAYEWNFSDVNPPVHAWATFRV FKIERKMFGREDLDFLERVFQKLLLNFTWWVNRKDADGNNVFEGGFLGLDNIGPFNRS EPLPTGGNLRQADGTAWMAFFCLNMLSIALELAKHNPTYEDIASKFFEHFLFISDAMT YPSSNDEQLSLWNEEDGFYYDAIQWGYGHSQQLPVRSMVGLMPLYATLVLEPSVIKRF PGFKKRMDWFIENRPDISERNVASLKTPGRGDRTLLALASKERLVRILERMLDEKEFL SEYGVRSMSLYHHDNPFSMNVNGEEFGVGYWPGDSKSGMFGGNSNWRGPIWFAVNFLL IESLQRFHQYYGDTLQVECPVGSGDYMNLAACAEEIQHRLIHIFSRDEYGVRAVNGGN PKLNRDPHFRDNVHFYEFFHGNDGRGLGASHQTGWTGLVAWSIMQTGEYCRLPKTPKT PRSVAKHYFDEQINTPSEYAGEDGTPFSAFSTRSDYEPEPDEL L198_05619 MAAALHMPSPVHRPSMDRTPSLDQARARSTPPFSSPYDHPSSRP GSSASEASPYNQPYTNSYFPQPPPQQGQYYPNQAWSPSGGAYQQQQQQQYNQYAGQYP QGYQAQQSQADFAAWANAYQHMVMMAGQHTPSPSEYPNGNVSEKQAGGYFEGGYAAPQ GQLEQPKNQGKPQAAFHPYKRGPAKVTSDNVPRSASMPTKTDPSPLSLPRSTSAPKGL DDQPYPISTPSTLNPPTVPSAEPIRSELDPSAASFVPPPRLNTEMRRNSNASERSDRE NSPVSRASSPVSRPPTTRAPTPLYPGPITNATNARPSPLSNSTTVEEKPQKGFKGRLF KSAEKEAKEQKKADTPAKRSLPPQAFESPSESSTRSGTPPITPPQSEPLAAPNAPFAL NPAASSSELSLAETERTATIGGEDGKKGKRSMFRMKNMSTDNISLSSTVSSASMMIRK MGSIGKLARRNSLMGISRIFKDKPKDEDAALPAKEAKKIKKEKKKGKGKSEAAPASVS HATAEHDRIVGEEDDRTLAGLSPAAKLARQHTLKSRAEAQTRQQNGLPPLENIPEPGA MPSFANVAAAVPNGGPEVVHVQPRQTPTVVHAVAVTEHEYDSEDDTSDEGDTVEDLTM TMGRTRFSDEADAEFKATWGNAYIDKNAVPKKSILKSVPSHASMEEAKGRPRSNSAQQ GAQQAPGPLAQLPTADPARLDGMETLTSTPDDIYDPLSSSFSPFDQPAPNTSIYSIPS QNTSAPTLSLLGTSDRPAPQPRSMTVPAKRRLLWAPECAVYTTYDPSTYDRRSEPATC NRLTPELAMSIKQELNAFKLEMPVHPESRVYTHYFAW L198_05620 MSQPEAQTKNREEDQTETPQEEKTSGNEAPSEAPSDDKPEESED KSENSEDKSDDKPQQQQQQSGEEGGSPVDAATKPVEQTADTAQDVAKGATDQVGDVAG TASGLTEGATEPVGQVADTAQGLTKGATEPVNQVADTAKGTLGNVGKSLGGVTGGGGG GDEKKGDQALGPLKSRRKPLANELADTPPEQQPGGGKKDDEKGSLRINISLDLDVEVH LTARVKGDITIGLL L198_05621 MPFGLLTAIAACPAIIGTTEAIQQGQKTNAREQHRGKKVNLTVK LHGADMYREKFEGALVVLKDNKLWVEHSDCIELEGSHPFSGYYLPHPQHQSRWKGAGF KGEGLVTTTNAQNMLNWVYVDKDTYELKHGIRVQAQDHLTGPWDCTQIDRRMTFEGWE GFVVVQVDEERDLWGLFFDRDDNGLSGPGQIGEVKEGEKRKRMLQVELVRSEMEKTRY DAFEERRERLKALAIKQKQAEGAA L198_05622 MSRQDKATTERNARILRDLVKQPENKSCADCKRNDTRWASWNLG LYLCIRCSGIHRSMGTHISKVKSIDLDIWTPEQMENIQRWGNTRANRYWERHLKAGHV PPDHKIESFIRSKYESRRWAMDGPPPAPETLDSDTSSASAPPPAPASRPTSSAPTPTT TAAPPVPAHLPKTHPLLSRSVAANKASPSKPTPAAKAPIIDLIGDDEAPAATTAAAPA PTQAPAPTSPAPAAASTGSSIFDLDFRAPSATPSSTTSPISSAPAPRSAKADIMSLFS TPSPSASASASAGPNVAGGFFAPPGGVQGQSQYANWGGGVTSSAPPVQQNPTQAPVPT QSNLWGGFNDQAAWGAPQSAAPQQQQVQVQPPLYAQPQQAQQNVSANPWTQAGGASDP WASNSSGGGAGFFGAGSGAAQAQPLAKKDDRDPFANIWA L198_05623 MAEVPASDSTLNGFFQAFVMIVVSEIGDKTFLIAAIMATRHARA TVFGGAFASLVVMSILSAALGRVILGLIPKVWTLWAAALLFAVFGVKMLQEAHSMSGG NSHIQEEMREVEEELEEDSASHDTHNARGTAIPLESVEEGRPARTSGQGSSLMDRSAS PRPGRQGPSINFPLSNSAEGGVGPLEKGKHWTVAIKDRIRETLQMVTNPVFAQAFVLT FLGEWGDRSQITTIAMAGAHSVAVIAFGTIVGHGVCTCGAVLGGRYLSTKISVKHITL IGAVAFIIFAFLYSIEGYYYVPENDDF L198_05624 MASRPASYTLRLASAPRAVRAVPALHPRFTRPSSTSSPKPTVPT KGKGKVDNVAESISNTSDIPPLQRPLGIPEHPTAVNKTWKQKRRDMLDDDRAKEKTKV LLAEAKQGYFHDYNRARKGGGGKLWIAPSVLIREDRANYFPDISGKNLLGTNVHTTDL FAGKATLVSIISTRLSEEHVQTFSQPVLEDLEGHPRFQSVTINHQPNSLKTWIVSMFT SSLKRTIPKENWGNYIYATSSWSELDILQPLNLDNHLLGYTFLVDQNCKVRWAGCGEA TEEERQNLRRAAAVLVGRMKGRSIKAEGEASA L198_05625 MSFTPKFQAEGSPVDRDPATLSNYQQIVTKHLDISWSIDWEKRI FGGSATLQLESVVDDLKEVVLDASYLDVKKVEVDGKTAEWKFGEQIEIMGTGLYITLP SALSAGTKTSIKVTYSTTPECTAVGWLEPNQTKSGKHPYLYSQCQAIHARSLLPCQDT PAVKATYGARVKSGSGLEVLLSALRKEVVDLGDGVREFVYEQPVGIPSYLIAIGAGEL VYKPFEKLEGRDWQTGCWTEPDTMDAAFWEFHKDTANFVATAEDLASPYKFGVYDVLF LPDSFPYGGMENACLTFATPTIIAGDRSQVDVVAHEISHSWFGNGIGCASWRHFWLNE GWTTYLERLIIRATHGEQARQLSYIIGRRALVDDLSRLEPRFQRLVAEYKDYEDPDEG YSQVPYEKGANFLYHLEQTVGGLELFVPYMKDYVKTFEGYAITTEQWREHLFHYFGSL KDGDEVLRKMGKVDWDEWLHGDGEDLCVDIKYDDTLSKAVYDLAAKWDKARETNDFSG FSHKDIDSFSTTQLIVFLDKLETYPSFPKSAIKKLDSAYAFGTSGNAEIRLRFYENAL KTGPEYAVDASKWVVTKGRMKFNRPVFRLLNEQAPELARKTFEEHKGFYHPIARKMIA KDLGVKE L198_05626 MPQKIVLDTDPGVDDVLAILLALASPEVDLALVSIVFGNTHAPV AHKNLIKIYHQLAKEIEVVPGADARYERLRGAEKTVLALGEDGPIGGEKAVAAYFHGP DGLSNISETHPHLTPPEIAHGEGHEHLEITSKPSYQAILDLLRKEPEDTVTIVALGPL TNLAHALRAEPETFQKVSRVVWMGGAFESPGNTSPVAEFNCFADPYAAAAILDATKAG KIELILAPLDITTPHAIPFYDLIHPSVVPIANETGKVPVKGEPTPLESFTSAMLVRVR GLQASFGLPDSMEMHDPVAVWFALAHASQKRGSAPAAGWRLKPREFLIERNGELTRGM CVVDRRGTGETGVDRSKDEKIKSQGLGKDELPEKDEGTGRMGETIDKTKNLPLVITHT PGTEVLREVLLSRVFGREF L198_05627 MPPAKPDSSILNPILDNTQVPHQALLVVRDPVSFSGRPVFREII RRALARGETITVIGILNPPEDYLPLHAPGVNKLDLTGSVPGYSSTNTASDIKKQILST YQSGQIFIDALDVLAEDYSAASVVSLLRSLLGTIKSLKAPSRLVLLLPSSSVLSHITP PTFHPTLTLLTPHPPPLLTYLSKLYLSPISSSPSANFWMILENATKRQTGKELALRGE EGLEVSTGSSWGDGSGCVQVLVRKATGGMKGISRSLEALSPTSSQFLSTVPLSSLVPL NPLRHSSTGPPPTANTPSTSTAQTHAELDLPFNLSLTDSQRRQRDSVPIPYAHEGEGA SGDLVWEDEEETDDEEI L198_05628 MILALLSIIFSIIKYVHYPPFHWEGADTSRKTNLIPVAVLSAVN CAVLFAWLVVVVLLVQRAKRNGTWDWGKGITWMQQDEAERQKVGDAQRLRKVQSYDA L198_05629 MSRQEAQPYDHALDVRDLTYSWKEGDDPVLQGVEIQLKKGERCL LLGANGAGKSTLLRILAGKRLTKTKHCRILGQDVFMNPPGGVVYLGTEWSTNPVVRSD IVVSHFLDSVGGYRHKARRDRLLALLDVDLDWHMHQISDGERRRVQLCMGLMGEWDVL LLDEVTVDLDVLVRADLIDFLISESEERGATIVYATHIFDGLKKFPNKICHMQLGGTP RGLVPWPPLHADKDVGQEDLCTLALGWLREDRDLRREAEKAKGKVRGPKTDTKDRVAF YEKYDYSH L198_05630 MDSFFSSLPRPTSLYVSNDPRSISLLDTSSYSPFPTFPGSQKTA DPYNLNNFLLHPADKLWDYVDPIPDPQAHAGSGSGSDSEGEEPEAGTGPKRAVRKQKS LGVLKPSPLSQPPIAALEVDEPVYEKAALVRKRSKSLGGNDLIASKAKDEFVESLREA PQVKEEKPKKKGIKRAFTLGKKGRTSEPVVIPPVPPVPSLSFPDALPPPISLTTRSPS SNSTLSSASSTSSSEGIKTPAEGQAMGAMIEGEQLANALEKGKKKGRMWGWFGGKKKG ELSSSSSSARTTPNSSTTDLLSMPSSSPQNSQSSSPIQLPSEQFAIEHTYLTNQLRSS SMRKITQLKAPSPHPFALALVRGNRKLPDEVAMSIQNGKRVFPKSVNAWTGSGGLSPA QGGLRLGLAVRDVMIKLDRGEQPQGTIMARRPSKKSLVPRPRGVLDFINRPPFEQRNL VFLPSAICTHVSMARPGYGVWELDFSRYILGLSEVDEGSSISGSPRVSQVPGIGAGER EAKEVKATEEEVNRREEMEGVEAALKALTANEGKNSPPLTSNPLLVGSEAPLPVPAAA STRPFSSIRPNAARSNTQSSEEHEDDLPLSRRRSQHFLKAQSAVSSGNVRGRTQSQPH HYASASRFSQVPTASRSPDEALREVQAARERREGNTKGENERRAEMDRVREDRKVKDR QSKVLSRGFSMTDVKSVDGGEKRRSQMTGLGIGTALQHKRSSSSSNMLDLHRTSPPRP NHEKRTSTLSFYSQASSSSHQPPAFPNYHAKRPPNHRAKTAGDSPSSTSTQKYHSFYE QRAASSSLPTVNRLPPASSQVPMMPVPMHGQQFVYHQAYPQMGGQVSMVYGPGQGMGM SLGQALGVPVGNKRMSRMS L198_05631 MSSSSNFSLASMSFFEDNKAPDNIARIIRESGIGVTRLDNQAIQ DDPGRMTRAQNFAHRQRQAATHEEEEEGAAESVKIYNYPEGLCGVAWLEEYNKVYRKY ARRTESRFDAVWKPKRAWKPKRANRKKKVAEPMASNERETHAMLIHL L198_05632 MSSRMDSRRVSMLATPSWRPFKTCEWTPAVTREDDRSTVTKEEI AWESSRRALTLAYRACNPAPAKKPRKSAPANLENSNGVASDPGDDQDDFEILSGDDHE PATGKKGMGKGKSPKNKAPPKNTASPAKKKPTVTKKATISIRHDTPKELAAFRAQEAG LGILEGLPLGEQAVRISARAVGLSSDRLRLDKQALALKTVALKARLLESRATSSLAVL LSSSRVTAGVPSQVLKGIRDDIANIKACRESILEDVERMALGEEEATGKAARGARAIA DMEEEEPEETELSEGEDEEE L198_05634 MDSEFEPAAPNRILKLSQSTINQIAAAEIIHRPSSAIKELLENS LDAGASQIKVTLKEGGLKQLTIQDNGHGIGKDDLPLLCERYATSKLRVFDDLKGLGTY GFRGEALASISYCSHVEVVTKTKDGGVAWKALYEDGKLVAPKPGQSVDPRPTAGNDGT TIIASDLFYNIPIRKRSFKSPTEEYTRTLTLLTKYAIHNPHVSWTLKKHGTSLPDIVT QAGTTISNIKALYGPSVAAELLEVEEKVLEPEETLGASCKGWVSGANSTWTKKGQWLL FINNRLVESSKIKKALESLYTAYLPKGSTPFIYLALSIDPAKIDVNIHPTKSEVSFLN EDEMVEAIVAQVGEVLKGGNKSRNFTVQTLLPGVRDSGRERKEGEGSSSSIGNSRPAA NYKVRMDPTNRTLDSMVQVVDPSQLSSFVPSTSDGQRPTKRRAVSGVDGGVTMLDEEE EGQGQEDEDAREMVRLDVGEGSSKGKGKEIEESVCEFTSIQALRKAVLKNASSELHEI LSRHAFVGQVSSQLTLSLIQHATKLFLVNHAALADEHFYQLALRQFGAFNRIKLEPQP NLRELLTLAVEDEEGLGDAGLSKEDVVDYTTNLLVERAEMLDEYFSLLLNPSSDATTP DATIETLPLILRGYTPNLDRLPHFLLCIATRVNWDDERECFETFLRELAFFYSPRPFS DAVEDTGEGGEEDAGPTEEELSHQTWQLEHVLFPNFRRYTAWPKSLVGGGGLGKGGAG GAIQQVANLPDLFRIFERC L198_05635 MPSDGLRLGFIIAHTIYIIINTVISSVVAGSLTLFIPHIISAVI WATYIPAAFILPIIRRRTNVRTVFDRAWGEMWYIGAQLFLWLVSMGLSIAQRTSSTCE RPYPTYPVESIWLQWGGIIWDTDRFCRTMVAMAVESGIQSLLLIVWMVLLVNIVSKAK REVRGGWKVGVAELLRDDKEAKGYNLERDISHV L198_05636 MKFILSSILAGLATAGLAAAQAGTDWNITNVPSSGLNDLTFPFA FAMASHESGYYYANQISIIDSPDIIYGGFQPRPDNSSGKAVIHGVFSSFINGTTSSDD NCSGGADGGAGVSCAYEFEVSSYDGTWNLVVTREDSTSTVWSGAAVHAETGDSYHIGT FTLPSGTGGLSYAQFGFVEYYPWNGNANWTCKDNIPYTEVTFYPPTTESATGGRQTGA VYGFIKDSCKGYGEATTASDGSVTFTIKD L198_05637 MASPLELHLLYVLLDSNLPTGGFVSSSGLESFAKHGFLGSSYTY SSDLPPPNGASAKKNMMGGLVEFATAEVRNYGSTTGGFVRDAWLAVDRARQIFVSKPD ESSEALDKVLQEVERLDNYHEATLLSHVGRRSSRAQGVAMLTLYARGLSRPPGVSEYG ETRDAKKEEMEELAGKVVEGYKRLVRLGKAPGHLAVCWGVVASALGLGIDRAVHIHLF LHARSLLSSAVRLNLIGPYASSQLLLHPFREIIDKTVSDLSDSTTGLLQEGKGEKQGE EDFWAWTEEAERGPQTTWPLGEVLTGRHDLQHSRIFNS L198_05638 MSTEKVRDPSHAERGLPPSKFRKSDFFNYHPPFSVLLLEFLVWL FLFFVCFLEPNGGLAAVIRSDDEYIGLLKKCSASSCDGWMQGATSSSSDSSSSSSDAL RRSLTPRAIDSSIDLANFYLTTGLAALCSFWLMTYTFLFTFYRFSTSPPPPPPTQGEE GQEEPKTRWGRMKRGWKRFVFRVSRLYVFFLGWIVLGVACAASWQVQKASGDGGSVGL ALIFLHASWILLFICAFLEISRGSLRRRADLGWLGCLCLPFFSRCKTRATKKWERAEG GEADDDAQEGRTKKRKEDREGRSRSRTRTGRKRRERDV L198_05639 MAPDPSLASDEKRAARAARFSQVLPGNRYKELEELRQHERKAFE QKGLIKVGKTELEDAVDLRGTCERMCSDYEREFREWTREVNPFESTPDRRMDPAKAVA AYSRSDAGAGHGDSAILPSDLRTPLTLMKTLDYLFSSVMPTPPPTTSIASPADSARKA LGYTAGFIRDRTRAIRKEFAMQSSWGHEEAIASFERIARWHILCLRELQEEGGTNNDM HIDSAELGRCFVSLRQHYNDRREESGLELPCANEPEFRAYMLIYDLTSKSVSIPTAEL PTIILDHPLVKLAWDIRRSAQRNFDSQKEGSKLNAELGANLITRFIKLLKQVKVPYLL GCLVEIRLREIRRSALRSLVRSYPRLRTEPVRTNESGEIVERKMILLSTLNNLLGCEP QDETPSAWDDVPPTSSSPSDESISIVERFNIPTYTDPSGTTIGALINLGTPFNDNKDA PYTRRWALVSGKRGDADFVDVVNGRKGVGLGGGAAEAPAQVGVPGGTAKPTFGFARPN AIPAAPKPEPAAPAQAQAKPAFNFQPPPPSVAKPPTPAVSAFGAAPAGQSAFSFKKPA LPPSAAPSAFSAPVPAGVAAPAFGSIKTPAPVPTVPKSAPAPVESGERAIPNFFSKPS TPAPPPSLPPPQPSTTPKTEPPSSSGMNGVGGGGTKAPFSFGLPPPNMAERKEAGKEV KQGEGEDRKGKRRADDESAEKKPMFTFGAPKPTEPSEMSSKPAAAAVGGMADAPKSTF SFTTPAPPTTTSTPAGPSLGQVEVSAKAPSPPPTPIVHARKDPYLLLSTSAPPRLSKS TSKPLSELHTERLQRKAALPAITDLLLIQVMQAMVQDHLGVEVEGMVNQQMAERKHVQ MKRWRKEAIGQWSQSVFTDLIASEIRAVARKAVVRDLRKRYILRRAVQHWQAWAKERR ERREMAVKKREEMYDELRAMGLSRSVAAWGVQPVGSGSELGDMVASTRTWGRSLEMRS GIDTARDSLTIDIEMTQAERNKDNFFAPSTFLQAIARHISPHLSPSSPFTPHIPYNFI TLLSVPPAETDHFGSAPDSQVQEWLVKKFTAGDEGVQMGGVHFGTSVVKDGKVGRQGW VGLVVFEAPVKTSDSKKAQENVASAQDRIGALVKLLNSSTNRYSPPLMVLTWEDETLA DLAARLEIQDELSAFETKALVSLEVSNDLDTRFVQAIEALYESVDVKEQVVVRLQDVV EAVHFSWDQFLDVAGMVVAQRPKNLDAAWTCLRSGIDLINQFAQLTTASVGSSGLLDD TDFEPIILPKLQDQTFDLPPKFVESLAEYFESDTLVELDELNLLLTQVSYCSQNGAAI PIVVILRSLSSFVFGELRHQSLFPRVFLPTPTGVRTWLQSYIDSLSRQYETYSSQAIQ QLVSLAPPSPVAVTIDIDNGALLENKAGGRKRTREKSDSGPGKVRKETKANKVAKLMR AMRRAEKSLGGEDVA L198_05640 MPVTVNEPSGESHPLHHRKHHYTFRKSPYLVSLAFLLVATALTL LNIHVPQLIHVVGRSPGPTQFETRYGTCTRSLASSPNTTALLLPAHPLPHDVELPMPA IFDSLPSSSLVGGGGIEGPIGHPAEGDGHEWVCQDFPTRSECEQFGEKFCVLWSTAGY AAQSSLVPCLASLLSLLFIFLHRARRQQWKLVSVTMLIHCLLQVLSIGMILHVFRTDE RFESKGSHLDKSFSFGVSSALVSLTHALFLTLTAYSARLGHSWAAGKSAKKSRRHRRT HSGRVVLIEDGVEVPVGERVTVGEARVVAGEAGERTGLLEGDSGEAAGGGRERATDSA V L198_05641 MSKILFIAATTAFAVVVKGQSAPNWQYIPSACADTCSQTVESAY LCETTYTSNTDVYGCFCNNYPTDASDCATCLTSNDAAALASLLTSTQTACPTAISECS FECAFDTCDSSDISCQCGAEYLENIYNCASCNTANGNTAATQISDFNSLQESCSNQNY TDADGTFTTNALPTINTDGYTAPTLTATGGGSAASDTAAVGVGATTAGTVTADATASA VADSDSESTASSTAAAADPSSSSSDDSSSSSSDESSSDSSSSEDSASSTAAGSSSTRT SSGSARASSTRSTSGSSTASSSDSSSSSSSSGAGMVSPAMGGVLAVAGAVLALL L198_05642 MSESASTASSDLQPPLARPLSATSSSVASVPHERAEKGKPEVSS HPIKEDDLGPDTLPIYFSFSPTTNPLALSSPHTFMHGLLQDGSLHGPFVAHPSESSLP HIISPSDPSWHGAPALPKRKDDKYIQGLRKLYLDEALSHGQVWDAFKGRLQIYQSKNL IFEEPVIVKTTDPSRFPPKPHLPGMFTETEARRAIFHESKIYTDYLGNLQGSVVPGSY GLWGGRLKFDADDDDEEEEEGGGGGDCEVWVMILENCGMPVDVARLSESDKEQILSHY TALHTSGSLHGDPNPRHWRYHPAGGIRIIDFDSAIVLPTHTGKTKMKGDGRKARMMVE DEMDLVKHVLIGDDEHWYV L198_05643 MPVIESIKNKLHHDKESPETTTAQQTPPTPVSPEITTGASASEQ AAPAATAELSDKPVFDANKVTVIFVLGGPGAGKGTQCERLVAEYGFAHLSAGDLLRAE KNREGSTYGAMITEYITEGKIVPMEVTIKLLENAMSDILSTPPTTPGWSNGHGRFLID GFPRKMDQAVKFDESVCKSSFVLFFKTSEEILLERLMERGKTSGREDDNKESIVKRFR TFVETSMPVVDYYRARNKVIEIDSSPPIDQVYAEVKVALDERIPKKGAAYGDVAE L198_05644 MSFRQDKLILLLDSGTSKQVRQTAAKQIARIAGKLFESAVSHPT EHKPELDGEGSITLQAGGAGEDEAWNDSLETIGKILALLKSNSSETRHAAAHALGLLA ETLPESIPVASPSSDPFTTYPIDLQSLLQGGQMLLASAGREYAAKPNAGDKAKRRKAM MATVGLGDTVGWGDDTDNVLDDDDDDLDVDVKRSGTAGSAEPSQPPADVFEGLSSRQI TMLKRKKGNMVEEANKMRRLNEKVAGSTAPSAAPSRAPSPTPDAPSDVKTEPKTEVVT IDPGAKARAAKGGQVEPSLDMDGNPIASSRTLSFVRGQSPWTTVILEVVGDLGDAAWQ VRHGTALALMEILRSTGASFAQHQPSILLSLARQLLSLLVLDRFGDFVGDTVIAPVRE TAAQTLGLLIKHIPLSYLPEIHHTLMHMVQQPWAKRGKEAEKAKKGEKFAWEVRHAGL LGLKYEVAVRGDLLGVKKEEDVDMEAPNLLEDVVNAAILALGDSDDDVRTVAASALIP ITSILASQLPTTDLSHLLQTLWDCLAEGGDELGSSTGAVMDLLGSLIAYPEVIALLTA DDKNLIARVYNFLRHPIGAVRLAVANILLAFARLPDIPRRWESDGFFPFIFQNLVLEE RLDIRQVSFLAFSTALTLAASSTGLDSVLGTDVEDWYSIVMTPVGVPLDENLFRRPVQ GAGTGQGTGQTHNVDKAMMKGDMSLVGVDTVLETRIEGARALALLRQYQLDEVNDVNL LRQYLSSSSAHQTFIASVIVQEWAMDCESRITDASSFSLASTNPDAQSLSAIVIDRVS APPPSTYHEMTIILHRIHSECVAMFSAFNIEGKLGKDKVPVLPKRIDPTSDAPDVFSI ETAQEAITSTFDETVTKLSKAAQKRVLPALEERRNKVMASIGYFSIQKVKYDYQVSAA LAGALVALKIMPPKFGPVIKSLMDGVRYEENEVLQKRSAFWVSAFVAFTAGPLFQGKM NPSDKVIKNLFVFLCMDNSIVPIFNTAPDAAAGIITLREDQAAAVASVGKKKDVVEET EDQINNRIARRGALEGFKALAKRFGAGLFGGVPKFWEGISGALLKVFGENTDIEKIDA DLASTPQMGQDLVDALVSLRLITPELDPILYSQLFPLFSPIILALQSSYPVVRYTAAH CLAAMCNVMTDEGMKRVVDDVVPLVGDAARIHSRQGAVEAIHRIIKHLDIKALPYVLF LIVPILGRMSDPDESTRLLSTSTFASLVKMVPLEAGLPDPPGFSLELLAKRDDERKFL MQLLDGSKAEQYEIPVKVEAELRQYQKDGVSWMAFLAKYQLHGILCDDMGLGKSLQSI CIVASKHHERAERHKATQSVDSVHLPSLFVCPPTLTGHWYHEFLKFTPHIKALQYVGS SFDRTSLRRRFNDYDVIITSYESIRSDIADLQKVNFLYCVLDEGHIIKNTKTKLAVAV KQIKAQHRLLLSGTPIQNNVLELWSLFDFLMPGFLGNERMFNERFSKPILADREGKAT PKEREAAANALEALHKQVLPFLLRRLKEDVLDDLPPKIIQDYYCELSPVQQQLYDEFS KSKAAEDAGVEIGSTGAAKESQTHVFQSLQYLRKLCNHPALVLDKEPQKFKEIQGKIG GGPGLHDLSHAPKMEALRQLLQDCGIGLPPDKLADDVSTHRVLIFCQLRPMLDLIEKD LFGTHMPSVSYMRLDGQTDPRKRHDIVQTFNADPRIDVLLLTTSVGGLGLNLTGADTV IFVDHDWNPMKDLQAMDRAHRLGQRKVVNVYRLITRGTLEEKIMGLQRFKLNIASSVV TQQNAGLGSMNTGEVLDLFSVSAEGQPAKTKAANPGSVSMSKMLEELDDLPPEDEYAE LSLTNFMSKV L198_05645 MVRVLHYAILITFSVLAVSLYHIWGYDRAWRTMQSAVNAWQSSQ EGTSNPQFMTLPSSLLPAEYQYDLDANAPYPFLDGRHTGYRVHPLPLELAIAHNRSLS ASSGAPFWPGNSLDKNTTGKEDGSIWARMEQMDMVLAYDSFAKMLWEGKERRGEYVQR AKIQSNDSPPFEGWNRISGRMRLMSGASGALSMGRRVQYDLLGLHNERDGTCRIYGFP QGRTPDLQHAQRFLERSLKDYGMGKEEAITLSKVEASELPATWLMSRLQEPTPDCPLL AHFTLPPLFSLPEPHAQPLTVHDLNLHNLTTGKAASLGFGGVAVSDGCGWAMGLEGTM AKETLSNWRERKMRSTSAALGMRQPLFPLLGHGIDRKLTKNTSRGMISMMMLVDCFLL VMTTVLGFFDDDWSMPHTWNITAARAASENSRSFHSSPAIFALTYSPPTRNILLVKVT SMLEQMQRWFIGSSSCLSALLGCIAFFSLPVLLRTAILPITAFILYSFWVPQIIRNTY EGSRFSLGWIAIMLKSTVFTLLFLFTFIFPDAGPTMIEYRSWPYGIVAWQVVQITILF AQSMYSPNSRYLPRFLAPKFYSFQRPLTTELRATLNLCDSPTCHICYEAIRFPQPPLD LDNAEKRETGIYIQTEEVVGDDGDHLLPENARSEGEGEGEVVALYEAGEAPAVDDWAV GPCGHVWHMTCLKKWLATSPMCPLCTLEMPPLQGDPDTRPAMEVIIGM L198_05646 MARRGWTIAAFAAGFIIAVGMLGFKVWSPPDLDISSAAQKRNDI LPEIPALVLPSTVLPAKYKHDIAAHLPYPFITGRHINTTVHPIPTLLALNHTSSSDTP EYSSTDDNFWGKQVAYTAPSYEKAAVIGFDWASVTRMDMSVKHERFDRMLWNNGKPQT TYWDTTRWGLGRGIREPYNDWNKVTGRMSLTSSRTDLFTKLYRVHYSLVGVQDRRRGI YHIYGFPQGSPPSLGNATEMLSKALGEEGLERKVSSNLANVRDDDLPTTWLMRKLQAP TPYCPLLIQLTLPPLVNLSNTPTTDPEWTNVEYYNAPPGLAGVAVADGCGWTLGFSGG VDAEGIAHSDMWFWSWRSFRKPSLISTSDEMLTAIPDVLLSSGQGLTSFALRLYITFG QDKSISKHLSRGTRYLLTLADCYMFVIMLARGFFDGDGVMIGVLMPFFLTAVANLIIC FVAVYYIDEKWRKEDIVRPNASPIPPASFIPLTRPHNILEASISKLATNFTLSDLTIN FHAITASPTEVGSYPQWPLVIVAWQLVQVGVLCAQDNYGPRSRYEGTAPCRICSGSVQ FPITPLDHPFYTSHDTYVKEEGKRLKEHSEKLEEKAERLREKAKSLEEKGLQAEKRNE VLEELDGVGGGGVKEKGDDIEVPLVDNGGNVAKVNAVEASLKTEPPYPSDVEPPLVPP IHAAREDSNLLSPSELADLIREVEWGLKRTRNLLATDYAMGPCGHLYHLSCLRVYLLE NGTCTVCARRLPELDDWNVLKKGEEEV L198_05647 MENYDNDTDMSFYGNSADGQRYDPTYNYGESSAAAGGSSFAFDD FSYMTGEASNVMDPYSQQQQSSFGAASGGTASKSTKTAASINKSKGRAIVSEEEKEAR KKARYETSLDRSPEARKQRRKTNVARYNAKKATSEKTRLTTLESAVRDAEARFNNTEA ERQHLLTLYAQVQEENTRLKSEAEYFTEDMPWADVEFGQEDLEEMGGLL L198_05648 MVRLPPSSLLRSSLRAQSRYASSSAHTLRPAGTVTTLPNKLRVA TEGVPGHFHAVGVYIDAGSRYESPRNSGMSHLLDRLAFKSTNKHTDAEMTTLIDSLGS QVTCASSRETIMYQSTVFPQSLPLALELISSTIRHPLLLPEELEAQKDAAAYEIREIW AKPELILPEVVHTVAFKDNTLGMPLLCPESQLDVLGEKEVRGFMRDWYRPERMVVAGV GMPHEELVMLAERFFGDMPSSIGAGAGASAGVLHPATGGQIPAGSKSFATASALPVSD DFAELAHARAEYTGGELFIEKPEDEFVQLHIGFEGLGIHDPDIYALATLQTLLGGGGS FSAGGPGKGMYTRLYTKVLNQYHSVDFCSAFHHCYADSGLFGISASVYPQFAGRIVDV IGGQLHALTGSMFGGIDEREVRRAKNMLKSTLVMALESRLTAVEDLGRQVQIHGHKVP VEDMCEKIDALTMDDLYRTANRVLRPASASSRLNYGLGSGKATIVAQGPNLGALGDVK GVLRDRWALGL L198_05649 MSVDRLYVFNPLPESATEIQTIIMDLFSDFSQQEMDHLTSSSSV QKTSVKELESQLGSLLLHNVHDLGEEGSKVATIEERAVEEEEDEEEAEGQYDNVEGVS LEDMAPPERFHFEDWERLGQYDNVEGVSLEDMALPERFHFEDWERVQNDLEEIFQSAW DAEVNDAAPP L198_05650 MKGKLIGWKASLHRERQKTRRNKKISLQTRINTLNGSDPGTDFN RLRKTGVKQLEECLRSLEEQQPQAPVGGSSRQAATEAGSDMAMEVFEEEGVGSSRMGQ SLPTEEQVDWAGMQQGIDGMFYSVWTEVD L198_05651 MSHPPALDLDTKKATELISLLTDGLVSIEDVDGTFLLKLEDGTL VDTKGWEHPVAFSWEWTHGIALTALCHHSAIDSSSPASKKSLQVALDWFESQWKRTNG KGAPKNINTMSPFYSLACFVEDGRMADNKWDGQIHEWAEWIANGGLKRTEDNGFQHMT YIADHSQQMWDDTLMMSAIPLAKIGVLYKRQDYIDEALYQFLIHIRYLADPKTGLWYH GWQFTPNSSSDGHNFANALWARGNCWITVAIPMLLDILGDRLPASHPTYKYLVSVWKR QVDALVKLQDGKTGLWHTLLMDPTSYVETSASAGFVAGIYMGIRQGLLAHPSYRQTAD TALSGIIAQIQPDGQVDNVSFGTGMGPDLQFYKDIPITPMPYGQALAMHALVEWERLQ GVK L198_05653 MEQDSQRQDRRRDKMRSLQEAINSLNGSDPGTDFNKLYKTGVRE LQERLRSWKERQESAGESSGQVATDAGSNMGMESNEEGVGASERGQSPATEDGFDWDD ESVLEAMLKEMRAGLFFADWPGGNDFSG L198_05654 MITNQIAFAEGAPSPRQNIEDIADAREMREEQEREAMERDGEEE EQEEEESRGEEGEPTAADYREVRKHAEHDPGIHPERAFDSYECASVEVIWHSVRQNGA SAKVLRKDSGRSVFTCGSEVDPLVMNLNTK L198_05655 MQLGYQLFINSSSVSRSSASPPRVSPPASPVPPVSHIFTGDDCL PHASPEPVSLALPTSPSSSVSPSASSSSPIALPAFPSSLVALYGSS L198_05656 MVGIVAPDLKNTAGLTWTKARRSGWGGAMGGRLGTVEASEVVSV VVGVVAKAEEEVLGIQGRLLIITKTGCAIIAKDMAILQETASSASEMRDILRARIEEG TSEEEASQEETSEEETSQEETSEEETSEEETVAIVEVVTVKKEEIVERTKTVTVKNKI RATTKA L198_05657 MSESSPIVDRKMEMEIQLLSEKATLPTQGSAYAAGMDLYSAEDK TIPARGRALVDLRLSIAVPAEHYGRIAPRSGLASKHGIQTGAGVIDSDYRGPVMVLLF NHSDVEFEVKHGDRIAQLILERISLPALRQVDTLPTTVRGAGGFGSTGGFGTAAKKHK LDHEAKPIS L198_05658 MSAPSELDTSRQLVDRFGPAVLNRPDVMAECLSLLRSYNLSPAD LYFKYEAFVMSRPSGLRARLSDLSIDTVRELRLELQRAQQASAVASMGASGASGLPAS EKKTAVGVKKAKGKKADLEGLLGGFVTPSRPKPTKDFQSLKGTSHASTTHFDRSTSQW ATPERLSPLPPTASSYRPHPSRLSSNANDLLAETPTGAGTGGLHFPSSPVSPSGVSPS LSPSILSGSPVSAVNSLTDTLNPHLPPVSQTNLKKGSKPRVELSSTITSADYSYRYMF EKISERSEALDELIDDYAEIIKEAYGLEELADPHLVTDDTVYTVGRVVVNASGVKIDK ASLRDSFHLQSSRLIGGGRRIALQFPGDQLQVRGGAPGAKSVPMYSGCLVCLKGRNGG GGKFVVEELLVSPPGFLGQTPASELLDFQYGDKLTGQPISLCVASGPFTLSDNLLYAP LEAVLNKVAEERPDVLILARPFIDSQHPAIKSGLVTESPADVFRAQISERLQKVIDAS SGTVIILVPSVRDVVSRHMAFPQAMLDKEELGIPKKVKVLPNPCTFSVNEVNIGISTV DVLFHMGQSQTPIKASEADPDPALASSASNDVFASYIRQVLGQRSFYPIFPVLEDLAS EVHLDVTHYPLLKMDPMPDVLILPSKLNKFSKVVDSTLVINPSSLARARATGHYAKII IHPTPEAELKTAHPEDMLEHQVWDRARSEIWKV L198_05659 MQDSAHSAFRLAEKHFKNRAHKDSFPSLRQWQQSLLDLSRPAAE EHDELWRAGWWCPDHDATSPSSARRSRKTKAKDKGHRPEQARIDLTSVELPDGKVGYV VADGCILIPGYLSKEEQLAYALESLAEYTLPPNPLSLSTHYDLPQQGLFDLLVNDPVS LILPKHMTIGSEGAVSGSPTSQPKNRVLNDTEPASVIGYDEIVARNRGWKGDAPSEKL GCKRVEQLYKEIRWANLGWVYQWSTKSYDFSRETPIPFPKPLADLCSSAVAAIPWQEV FDPVKDPQSANYGWESWPGDYRPDTGIVNFYQLNDTLMAHVDRAELDPVRPLVSLSLG HAAILLLGSDSRDDTPRPIVLRSGDMLIMSGRGRQAYHGETMSDMDKARAATDKVQVF HAY L198_05660 MLIYTDVLTGDEMVSDAFPVKEIGLVAYEVDCANIIVKEGDVDI GGNPSAEEVAEALEEGASQVNNVVHSFRLQSTQFDKKSYLTYLKGYMKAVKAHLQTAN PERVAAFEKDAQELAKKIVGSFKDYEFYVGESMNPDGMVALLNYREDGVTPYFTFWRD GLKQVSTGRGLF L198_05661 MADLSQHTNYNANYSGLLDNLWLTLAIAGVCLIGHELEVHVPRQ RGTDGPRRLLVVRIYQALRGWKRRKSSRSHHPQQGDGRTSSEGLVEDKANRPSDRPKS REDWEFGYIYQPKVWAVNASQSLPKWPLAWIVASLKFKETDMPAKCGLDLTLHARFLR ASFFYTLFHALIIMPILMPLHIFYSPSDVAKTSMLRASISSLVKSSGSKWLWVHALLI WWVSITWICVVLWITWGALSYRRREIRALGVKLEEEKAAARLKSRGEEGRDDIHPYAD RPGLKRFRTVMVTNIPPDMRDEKVLREYFDYYLRHHALKADPQKRGPIGTIIKQVIPI GQNPSYSVDVEPSEGSDVEEIVLVRKLSRLQNLRNRRENVLRQLEIAHTKLAQRVLRE VTKYHRHPRKLHQIQDPVKLALMSILVEALKPYVSAKTTELDDTIWDILHSVPRECLD PFQELTHSPIIAPLYKNNAPKIDYLTLKLNYITDLIDEAQSTPIEDYPAASTAFATFK DAKTARLALKILDSHPKRTLSCRTTAAPEWTDLLWPRLYKSAYRSEFVRGWVVNLGVW AFTLVWIFPVSILCALASLTNIAGFVKPLQTFLDEHPKAASATTSLAPVIFVALLTLA ICPLLLVIANKAETTVTRLGIHNSVLERFWKFLMVNGVVFFAIGQSTIEAYLTAFQAK SFDPLPIISSAFPTAAPYFSSYILLQVAIQPFFEIFRLGLPTIVYVFGTRQSTTLAIA DRISSPTFSHFSQLPQHVLGGAIMHLFMLLNPLVIAFSKSRYPLSSKSLPSIALVYYG ALYTVWKRQFVFVYGRLYETNGRRSSIRIQRYSTDALALAQFVLFAFFILNKAKGHAV ATGILFALTLSTKVVITRALKRRFDRLDHEEADLLCPPANNLISGNEQGGSDHSDSSD DEETFEERGKQERDSTSTFQTVRRNFRYWTGGWIGKHQLPSERKPIPFDRTFFTTLDS KVYLKERTDFNTALDDLSPKLTPLHEMHGLALVSRHPPLPPWEDIPPYHRSRGYDDQP AYTDPYEEFLLLQRDPRSAIDLDDCVEVRLSLTTSSGGPGQISDWSVDLPKYDESEEE NTVSPAASRPAPYRSKTEPLFSHVDSPGLSPEKEQHVWYDGVPGGAIRRHTDAPSPPR LSQLFRDPQTISESPGGDIMMRTFACSSAIPQTDSPATLGHDSERAAIHSESATSLPE PILGNDNAPSTPQKERHISYRESTSDHVETLYTPSRSPSGVARSTTLLTPRVARSASY ISGRHRTVSASSAQQQALLDEVMEEEIRESRGINEAEDQEDAKEMEEVSKELHRLNRK DTSSQKDLRRRRSRAATAVSLVSSRSGKRSVTTHH L198_05662 MVRPSALLRQAPKPKPMSADHTKYHVASTGFWKKFRDNLAVNPE ISTGLPIPTLNRFPQPASRPEKYATPATSASDPAFNPYWKRDSRRSYPQTSVITQTHL SSLLLSSPTLSSLPSPETAVETKQESSAIAPGSELSDAQQVVTASEVPDLSTVLDKIP AGKAFLGGGLTTGQGSGSLPPLPPASNLHSGVKWTPKAGAEVPHGKYDYFPMYTVC L198_05663 MSQEKTSEGVAKTTTSSKWTEKLHIPDWLEDSWTDRRQWKNFIR CMIATFAPLVLLVCQSSLNVIGQATFFAPLVSQMIPPYMALGIYLFVILTMILGICFG WAWGAAAMATAMRARSQVLLQSQVQAEQAGLADSAAIVDSASIFRGAFLDPRSSAVYG VFLFVGAYGLGFIRAVRPKLTLGLMCTIGPLFPIKQYTLATQLALPAACYFAIAIASV ILIFPQTLNHIVLNAFTKTIIAPCIELFDLQEQVLRTSPSDKSKWAELADKTYAIRNK HVSAMTALQEQIGMLQLEITRGQIGPTDTERIFVKSKDLGARLYSITSFVMLIEEQRR SDEEDKEATDPHPHHHTQKHVERLRQLAEPAKSLEGLLPVLADSSADLRESSIKTLRD ISDWLFLVNHTRWKKVPADSPPITQREENLENLKRALQEFRVSKQFALLEPFSGFFDP DTGALKSGMLRSFRLTGHDLSRALVLTATIVPFALSLIALLELLLEIERANPKAKIQF PKRFAKMLLKTANDKNGNPNPLDMGLKDTDAATEEERGRVSPEEDDDSDDEDQKHTKP TKKQKSKKTYAKDPDARDPRNSLQHFGRHVYTLWQGLSGTSGVFALKYALVSVALFVP SVCPSSAHFYYVNRGLWALIMSQTGLGVFTGEQINAFIVRMSGTVAGLVIGMLAWYIG SGHGNGNPYGVTAATMVLIAPFLFIRIAAPPSKAVFFLMANVTVMLVVGYSWIDEHIY TTASQGVGAALAGRRALLVIIGFTAAFICMLFPRPTSARALFRRRLAKNMRDISELYG EVVTGIEDEVDAAEWGTAVDENGRRERYKGKFMNILGRMEAMKVHMMYASLEIGLKGP WPKKTYAKLYKVQNEILVTLALLSSAYSRLEVVWCKRLATRSLFMHPAFIGDCLMLFS ALQQSLRTGEPLPPMLPIFERLAMHESAGRTIRRQWMSGTTETDLESEAFVNGVDDES EGVNEVQKRKGSDRADRVSKEGTTLSGSVLESDNAAQVMAGLITWENCNSEQFTLCAT SSTSLVHITVCLNKMYLIVRELVGERDLSGLDRASARWADMDPET L198_05664 MSLRDSSCYTLTICPHSADPAVVELVESFGPTKGGEEPRYARVK EAQAGEGYSAALYDIVTGARLASAGYVVEKAKSRRLQLHGPDETIPFHFIGKINFEWT FVFQGNKYSWRRELYGKDYICTLNRKPDPSVEICLAREAYKRNPARLQILHYNIDRFT SDIKDVRGLETLLVTSLLCLLDAWEDRGSLTNAKSGPSPPIKESTPVDPALAKENPNE ILVGTHTNMDDHVARAVNLLEDPNILFIIIRTKSSDAHQRALQVSLGVKRFRHRENMS DLHQYIIEEEDTAQPVSSSKGPKVIDLNDPKPVPRPTSSKVWTPPTRMAIYLSTIVLP DLNPSRMASTSKESAGNSRIQSNKMGEHAGRAPTKPPKPGHTLPGSTLKTPTPPPLTE ADSGGSGSGSKSSLRNSTFGKLFR L198_05665 MSTETFGFQAEISQLLDLIINTFYSNKEIFLRELISNSSDALDK IRYAALTDPSQLDSEKDLYIRIVPNKEEGTLTIRDTGIGMTKADLVNNLGTIAKSGTK AFMEALSSGADISMIGQFGVGFYSSYLVAERVQVTTKHNDDEQYTWESAAGGTFTITE DTEGPRLGRGTEMKLYIKEDLKEYLEEKRIREIVKKHSEFISYPIQLVVTKETEKEVE DEEAEENNDSKIEEVEDEDNKKAKKTKKIKETTTENEELNKQKPIWTRNPQDVQQEEY ASFYKSISNDWEDHLAVKHFSVEGQLEFKAMLFLPKRAPFDLFETKKKRHNIKLYVRR VFISDDNEDLMPEYLNFIVGVVDSEDLPLNISRETLQQNKILKVIRKNLVKKALDLIS ECAEDKENFDKFYAAFSKNLKLGIHEDATNRSKIAEFLRFHSTKSVDEMTSFKDYITR MPEVQKSIYYLTGESLEAVKDSPFLEVLKKKGFEVLLLVDPIDEYAVTQLKEFDGKTL VCVSKEGLELEETAEEKAAREQEATEFEGLCSAIKENLGDKVEKVIVSNRISDSPCVL VTGQFGWSSNMERIMKAQALRDSSMSSYMASKKTMELNPNHPIVKELKGRVAEDKSDK TVRDLTYLLFETALLTSGFTLSNPQDFASRINRMIALGLSIDADAVEPTPAAASTEDA PALEEVGAGSMEEVD L198_05666 MSAPAQDPPPPTPPANNQQNKPEDAGANTSGSTQPTNANNVEPQ QEQEPPKEDTFDDVPEGVLKGDVNEIKMQARMIDNEIKMMKQDHLRLAHEREQMVDKI ADNTTKIKQNKVLPYLVSKVVEVLDVDSEEQEGATHNEQNAKKSKCAVIKTSTRQTVF LPIIGLVPHDELRPGDLIGVNKDSYLVLDKLPDEYDARVKAMEVDERPTETYTDIGGL DKQIEELVEAIVLPMQQADKFKALGITPPKGCLMYGPPGTGKTLLARACAAQTNACYL KLAGPALVQMYLGDGAKLVRDAFELAKQKAPAIIFIDELDAIGTKRFDSDKSGDREVQ RTMLELLNQLDGFSSDSRIKVIAATNRIDILDPALLRSGRLDRKIEFPLPNESAREHI LQIHARKLNHNNVNFEELARSTEEMNGAQLKAVCVEAGMLALRQNATQLTHEHFHGGI LEVQARKAKEHHYFA L198_05667 MDPQTVFSTRNAFYLRVSNYRIIPLFLYLDERHVNWMTDRVLQL VITGLQSKLPEVLFRAKLEKDKKHKVHVERGEGYQFCYFMRTTSRTEVVLLKNKDVSL RPPTPPPPALSEHPSSKRKSSTRRSATASATPRGRASRSRSRSVTVRPEEDEPVNEQL EQSTKDTDDVHVKPEPIDPDEEDEYGGAQNIKDWKPDIDVSYRGFGTSSVQLVLIIEP YPPLPPSQYAPPASRLSSRSASVVSNYSRARSSQSRQTRGAASRYSSTSLSLAPQGQD SAGRRSEIVANMRNASRAPSVANSRRGGESATPFREDSTTPGPGEAQGRRRMSQTPLF MPRDTPFDEDEEDEEAHEEYLNALRDGRSRLPSVFRPSGETKKGKGKGKRRRDEEDEE DEEDVPESLENIGARLVRNSEIEEGVVRVQGGWEERSAGEESAVIGREEPGE L198_05668 METFQQIMDMDEDDGDDDSEEKHSFSKGIVWGYFDQAEGTFKDM EEALAAKDLEKLSSLGHFLKGSSAALGIIKVQASCEKMQHYGNLRDEEAGADLKPADA LERIAGLLRACKRDYKAAKTWMENLYEEGA L198_05669 MPADTPAQPKTQQDTPDPNPTPSADQQTSPEDHKTDLPPTSPSR QEPADQQEAEVRPYPVPPSAICPTASWPPSVLHLYQTAR L198_05670 MPAPDPPLPPLAALFLAHFHDTAGQHLLYYRAPPDLPQATLEHT ALPSGLHNLHHDLVLFTHHHKHGAGLFRSRARTHQDNGGPGRGRTMGVVGVVLGNTAE HGDSADLFALRPALEHIYDQLEALSTPPFSAGTSDGAADILDTLWDTCRANHPDRSAV VHGSIQHEAHRIYQLVTERKTVSPEHPVAYMPRLLEILGPNIIPIYKAALSGQRILLY SPPPLLPLTALAWNIWASSIPPRKVLKTGEAEIGQWLGNVGLMDMTSLQERTGGWIAT TSDVIFKSHPKIYDLFIDLSLVPLQDAMDSAPTAPPPSILASAPTTPPAPLTYAFSDL PLYRSLLLLTSSPPTVHAGLWRQGGWWLIIYQVLERAWNLCKGVCEFAVGQGALGGPI QLADGEEEARLLENDTEDDLLDLLSEADEHEREVQVSHQEPEAEPTRQGRLILRQLVH NSYHTHTRLSSVLSARPRGEQSIGQLTEQEVRELAGRWAGKDDVRFWRGIARGWSAVL DLDE L198_05671 MALDPRDMTSPRGIAQQLALLTQRDADLALALNALVADRRGIDH SLTHLAQLANHVNSVLIQVDGRGGPDGHLSGLGLQTDGHQTLGDEDEGLVERVRRVWD TSERVGGKVRRLDEQVGRVKESTDIVTEVLGLKNALQALSAAIAKDDWESASRACRRA MSVRKQVLEGNFANNVVPTSQYPLAPSQTLQELRDILLSTFRREFDDAVARKDEPGVS RFFRLWPAISAENEGLEAYGDFVVGLVKARSPTAGKSSSPLYYLTSLTNLLESIAHII DQHQSVVDKYYGSGRMAAVVLRLVGESDRVVRNLVEGWEEERRVGRLISDTKSSSFLL LSNPALLPPLFPSLLPSNANPITLATIANTTTSALPNLSSASNLLHSYTQGGKKPMPP SVVQAQNEAQGQSEEEAENGPDPRDVDKVLGELVALGGRWALFRKFIWSRIADEEEES GNQDIDSTADESPETKTKNQNQMDILDNSGSQRAIENLLRTYYEPLEMWFLRMSIEKA HKLDSPDIGSTPHLSSILDDTFYLLRLVLSRLLSCGSVHTLKSMRKKLGEVVERDYTA VIRMKMEGVYAVPSSGTERERREKEKDKREAFIIYLNDLDVSADYMERLIEETSHRLP QVFLEPELVTVREELEAMRDISHRFRSVCKTGLEQLHNQLTRPRLRPLLDETYVNITY LLDEDSFGEADEMEYVKKRFVRGWEALIDGYRESFTDHNYQTWFGLAVEVYVRHWEKM ILSMQFTELGAIRYERDVRAIVNYLSSLTSFGGAREKFTRLHQIGTILNLDADEDSEE FYSNSGVPWRISKVEYDSILEQRQ L198_05672 MSSIDDDAHSPTNLVLSRNAACHQCRKRKLKCDAQKPVCGNCAK PRVRGSKPSISDSPQDPIECTWDRPKEPSARTKRRRELAKRQAMESDDKNVKNDQSGN AKGGKAKKTRLNEPEGRHGGFNGRFIDPGHFALDETAATSYLPGSSIRDGLARRPDLD DADYPRNGAEEYTYRHPPFSIGIMGDIPFYPEGSPRDGRAHEVQGDEGDSLRPWASLN GSNDSAVRLEPSDGSTRSFADLNGYTASVPNTTNGDLDEALSVFWPDWPKALPMPAVV DRAVRVFFDKVPTLPKMLNKSQLLQNISRSPSHPDFPSTSLLHSILAITANFISETSL SSPSYFPVGTPSQAHTHPTEDFHIFDHIANRSAQPQHPSSGLNKKLSPVTSMARFQLW HRRKAFETFYHYVDRGEKLVQCLQAQIIATTVDQYNAWWTDVWIETGSCVRVATPLRL HESPHVPDSSLQKFAHLLAPAKTAMDQAERDRTWWMTYLLERNVTASTSCPTALIDDE ITVELPVLQSTFDAGYGELYGTQTLHSPDCLTHHPPEHRDSLCFLIKSIKLLSEVNVF FCKYSRGSHSLAGYVTNPTFRILLSQINSFRTSFPPEYRRPTQNISGGGANALDRDLI MALWVTHSAIMALGEPLITKDSWMDEGARVTLSAIRATLSLLYDVTSTSYDLSLFPPF ASFVWSMTCRGLIRFMGTAMQSGDMVSAAVFRSEVEVFRLAMKRYGERFPVGNGHLKV VDDLLETTESKGEDPGHGTRFQCKRELIMKCGREVGASIIEELSSSNPTSTSMVTPEA VLSESSTSNARMSTAASASTSASGGVRARELPPVDDGATGESISALASSGSALAEFTE DGGTHGVGTTVGSFKQPRPVSSYTGSGPHPEHLRRIPSLTSFFPPSLAPDPNVSTPVP HGDTTSHNQPSPPIFDANTLWSAPPYLANLQSKSNMFSANSFMNGAGPNESSHINPTS PAFLSNNTLQDGTSWDISSFSFDVNNVAGMFEGSGATFDGQDYGFGTM L198_05673 MAPLYAQAIHDFDPSLLASTSTSNTNLYLHFSAGELIRVHVRDP TGWWDGEITAKPADHRGVRRGWFPSNYIRDIDPDRSHHRADSGASSRPQSPHTVNDQH PSHAHSRHASVASQLSQASTTGSSISQILASPHHDIAPLPETFQLLIQPIVQALSLLD TAIHSNHKSHIQPSTACVISSIRAALAQTDCLSKESKTLVAWPVLAKERKVVLVELSK LVGCARAASGMNETGAQVIRDPEEDIQKLEDLAKAARGVFASVRRFLHLANQCAVEPT LGGGSGIPMSVTSSAASEIASVSAQVTAPAQPRPPPGSNARLQEAFQRRVASVGDLRA AKQRSSSPPPMPSPTSTTSAQYRPGHIRQSSKVTTPISAAFSLSSETSSPISARSFHE RRMQGSMDSVFSHSSLATSEEPTSPWEDRTPVPMPFIQPTTSRALTSPADVHRAVTQT EDALLSIIAAFIGHIHSHSINSHPSSHSNLIEMTRETIDTVRDLLTIVETVGRHTFIR AKRPKDIESLRIAKDALYEVAGKLVESAEIVADVVGECGEEGYDKEKTMLLRAATGTL RAGTECVRLVRVVVPENEAVNFAVGNATLKSHHPGGTTSQLTPRPSDESPLVSRDKPV GARGVHTLSSLHRKATSLDHLQRKWKDDMMVQSALQEGDSETSDEGHGEEEEEVIDEK EEDMTMRPVAFPASPDVAPRRPSLEALRGYSETGRLGPRIRSSSLNSPMTPRIQHRSP SRSADLDKFTSDDVTIGPGQYGIRDPRSVLAAGGRLSSSTDASSLRTMESDQSSVTSA EGDHATPVAPRPRDKSPKGGLRVEIPPSRGMSSLTALSLSDHPTEIPLPPSAALKPPT PTRPIALRTHTAPFPAPVGDIAILLKAHDYKPSDIVFNPDGVMVGASLEVLVEKLTPH DGLVDSTFSDTFFYTFRMFTIPQKLVEALRNRFMLEPPNMVHLSQKDQMTWHELKRLP IQLRVFNFLKSWIEGHWRSEDDDVVLGDLEEFGQEEMSSSLPVMGPRLVQMARARMEP RSAVSAKFHRSSASLGTPTSAQLLQPPAPLSAALPPTPVISKNLHALLRKTGSSTRIS IAEFDTLELARQLTVTVSKMFRDVKPEEMLISAGESKKRTVKELTALSTHSNQVTGWV ADSILNEGDAKKRAGLLKFFIKLADKCLMLNNFFSMFAVLAGLNSSTILRLKKTWDAL SAKYRIIIERLRSIIEHSKNHAAYRARLREASPPCLPFLGLILTDITFTSDGNPSTRA SNTATDVQLINFDKYAKLGKIAIEFRRYQEPYNFHEVEAVQIFLNNVLTERGSGSIDA LYRKSLMLEPRQGSEKIRPGVEKPAWLTVKI L198_05674 MSLPAHHARLKCIHQPPPEHGPIFHPYRFVVDVPRGQSDAGAFG MWKQVQFLHYSSLDHNNIPTRRVGLARRGMLGKDAKLEITVEPLVKQEPQHWPNDLFD SARNEPYPYAEWFTPQGRIADPGCEEWIQEIKFHEEMRDAMGRPTRYVKHKEGKNNVC FRHKVIPTEWLNGPPVSSAAASHPSTLPTSATRPPSLYQVPSPSDTNVRRGPEPHQSS TDSTREAPGLAQNFQLRGPPVPHRLTPTAPRRVLSSNNRSPSSGSQKRDYGRSPTRDP PAPKRLKFNHGDSPQNLREESLRENPIWGRPEARGKQVIQSTRTGPHLSYAVPTPTPP SPPVSTVMAPVTHSAQALVEADAPKPTHPSIHDLFSRSKQSTPVPPTPPLSAALSLPA APPSRPLTPPLPPALLLPAPTSTASEPDADLDKIVHDPKSVRTGPPKPSAPVQERLAY RQAQLEEWEKMVCQFPDLREIIEWQISKVGKQLEVLRGEMEP L198_05675 MALSLRGDFWGGSADTSTVLSFQISRDVMNAREIFAGQQTYYVA VAPGVDLALMAAMCICLDEMKNER L198_05676 MSILRSAPLARLPRSLPLALRAYSTPPQPPKPLQQQQPDAASPS YLQQSPNVPTTWSKGQNPKPHAVDNARFEQNSWKHQPNQPSAMGMVAEDPVRLVNGRK AVCDGGGGALGHPKIYINLVSFCHRVRMFELTMSFAG L198_05677 MLLNPEQFTIRPATDGQALQHGKACFEVPDWRARMSLEDFNAIA EREQSEAEYAKDHGVIGWVLVRWDDYEGEIYSALETQVTALNPVSSVAHATYTAIARN ASSRTKARVKSGTAGCQGYATHLIRLLHYILTSPSPTITQPAISQTIPPFPPSWGQRP PPIPHELVPYVPRADGSMLWSDVLMRVYERCTLGLEGRGFQSKQEWNHTLTWKLNGTS ESQSDWEYIWESDLEDIYPVVWANSRHKLSQAQTIDKPVFLCDPASPGTLTMIPVRGS YSPQPTWRTRVLPYGIRLRATKGQGWEYEAVVLFSLYNSAASPRLCVTYMQNITPGLL PALLTSLDGVVEYSGAPWTEGEVWGLDPTSELVHAWNRAEGRDVVVSTRIGTEGMVHV LGVCWYDEEEVDMVDSQMWAWV L198_05678 MANTKAQFDKAVAIVKGLPADGPIKPSQEDKLAFYAHYKQANEG DVSGPAPGVFDFVGKAKYNAWKKIAGLSKEDAQVKYVELLKQMLGKSDDESSKNYLAE IEGVSSISPSHMMHSADQIEAAGAQA L198_05679 MLRQGSMIRVHVIPLNGQDPQIWPSTMFEKQQNLIYPKGITPNH MDNEWVKRTIEKKEERDNKGKPKFWVKLEHKFSHCVLRWKEISWEELATQVTQDAATA HAARLAATCAKSGRISRNSGSEGEILPSDNVTPYIDSPAPSPVTRVELRPSMILSCGK SLDSPLHTGLLPSGRILEPSAPVVMNDKGDGTRRMVMIRKQIDKWKEVLEEFPQTKMD CMRHVDRLEKEMADMRDRCSEIW L198_05680 MHQDARLRSTSSGRGDSSTPSSCLPPPSSYKTIFSRPPETGIIR DRYHYTLTVPLFSKKKLGRSAARKRYRDWEAKMWIKASQLDHFGVPTRLVVGCFLEGD QRTLAGDLNVIVEPFDGKVIPNPDTWPSTMFDMEDFLRFPRDIDGDQRKEDEWIRQYV EKVHKRDVGGRRILWLGIKRVQHGITVGKKPRSQAEVDENKWNEAVSLTPIPQKRSLP SSPSDVSRQQHTKKQKSDRPLLLSAHPRPSIQITIPSSAATKAGQNRLPVQRAPNPVF SSPPSLSPSSSKPPMRQGAESEMADAFLASFEKELLQTECRADSIPSPSLRMTRVEEE VHEDLAARSPHNAKRERHVNVLAPAASSHQATYAHKLPSCYVPRETPECSGVNRPGDS GGMQQAASKVPLDTSSILALLVRFQANEVSFASSSGVYPGWLQGLQKCSAEKMQQRQK WVEMIEDLPALRPVMEKQLQRVEKDLEVLIGDAIRLARPSCQSQSYTTSR L198_05681 MLPGQSAEAKKGKATRVMALVVERARRRRLSDHSLDTKLEVDPV SRPEEDIKLQEDGNDAQGNKSEILEHEGAPIGIEEALKSASKFPQTRPLIVSALSYGD TVSTSPSSTTSSCPPMLERAENSGLKIRLACASSPGL L198_05682 MGKEELVSYSDAPWAVGLQALATDKDDYLEEVHSITSPFLTSPA LPSTTAIEIPSHDTERVLFPPSLEDLSPKSLSIDSGALHISSLRRSTRTLKLNSKVVQ TRKHPLHASPTGGLTKQRPALLPFRPQLGPLPPLPKYFYDEDRLSRRREDDMDSSHAA LDYSDFRQHIMSQIIAPADVDGDNIISVAEILGSGQSQRARQVIATTTKFIAETNSQT MAAQKLSHLDIAASYVPRLRDSHQESQGEAPGYAAPWWEDGPELSLAEYWARRQTPSP RKTSKKKAEEESEEGVVIQAHEIQEHCEIVGVFIISSFGHLGRFILPTFYKSAQR L198_05683 MSSLRYNTHHPFPPTPTATPEAGRITTSAPSSLSMASNGWQLPP NTPSPPPPYRSPFLSNHPYQPSPFCTSSSANYSTRSRKLRPKSLHTSAMPVTGQSRDG PNKRQSQDPVPAGIGLGGNVESDMQWMELERALGMIDEEVADLLRRRIRNMQSLAERQ ARAETQNRMARLEDSLVEAHQTIKRLTKEKSIMEDEMKEVEERVVAEYRLSVKGQERN RPPGLRPLGLSSKARPASIIVEDAAQANKNRWSVSKASEDERRTSRLLNLPYRSSTVP APTPSSARCPDTPPSSSSADSSSSSNSSSPCTPTHSPIHKPRQSYRISQTFTGLSSYS VNAHAYEELEILVDSPPKSTSESKSMSLNMAPSDDEGKLVAARVRRGRPQTVYGWAPP APSEDVSTGLNLGDATCPITSTYNKLVGTSAPNPASSHGRKVRLRESLPPTMEEATPI QGQTTTSFRAERRSLIEPRSSMTYKVRKRSSVALDDPHVPESGETQPSLLQGYRQTQR RTRRKTLLITSPSSPLPLMPSSPTAARPIAPRPHKRQQQQGQLKLYETSPVNMNNPRQ MTALLGGIAKASGWMTVVGFAGLTVGGWMKR L198_05684 MSHSAPHSRPVSPHRHTPSLPLPPRSTSPPLSPRRNLYGLPPTY LQHLRALLHQWLAQQELEGGPEGKKLWSEERLARVESAIWEGAVLTAPAKDSRRERGV LEQDWNGWVKGSTKRIHAWKGEMEEEGRQLLAEKRAKEAQADKEGKGKGKTSRKASSS ENDRGRLAIPEPFMPASASTPSKQSTRASSIASLEGSSPLDTTLLSRLERHAARREAE EDQEAQDLEDWCRVVRSLKGFVKFTTPKREDWETVNADLIPKGTVHGIPGAFPQSGHE YRHSIDVPSSVRSHQSPFFDLGLPITSTTQSDPAVEEFDMLKPVFCLHIPFESAERRR SEASTSQTTLRLRRDHSISGRSTTSMGSTRGKGWFSGSGSKWSDLLGDKSHFYDEDDW GNIEVDFVEGKFALPSQTLGSPYNSVKRRRSSLPSSVSRRQSVFSALEAVPTSFSSTS SSLAIHSQASTSKTDSESDSDEASSVQSSTQQGHEFVGMPYNAIISGEENDMPKEAVI RGNIGLVGGTIVIRGIRRDEEKKALVKVLKALVYTIATMTLELDLLDSFRIPREQDEP PVLPKPPTPLSNTPSEGDLSRGVSPHVPWTERQSKRGFLSKLTRDTKGVWKGLLGRTN STKSRDHSRQHPSPLIHQMDLPSSPSLLPSPTSTETPLEPQDDTPSPSNLPPYLMNGP ITNSNSHHLQTLGLLREILPSTTPGLKYPMPSILLRLFDEDQTRRAKAQVEIDGENDS ANASTSTLFPGPHPMARDGPDPIRGRALAYRLGGDVRSGLNSLASGLETFDGWIRLQR LSTLYCVGLNYLPNTDDREEPSGSVICQPPKLQTLSDDVTITDYLMGLYDELAEENLL CPRVGCEAENSEHVRWFIHGGTKVGVQIVECHAGPETQAQGDDIETWSKCDMCGSESS PRRLSDGARAYPWIKVLEFLIYTTGLQSSICPHSSIPFVQAHYLRTPGLAISLATSEV SLLDMRLPKLQVGPNVPKRKSTKDNLLRTPENLNSSEQDCDNALRGSEVVSFFDALDS RVALLRGRLEAGYEAGEELRPERTTPDLQKKLASLAEVSTTSSEARQQTMLTLAAAPA KPIDEARQFFTERIRVSLGALEEWQKTYLTQDGQEDGLVALKSYLPDHKKKASKDYAL PGSGVLVKEDQPASVVAYTLSSLAYFTELTASSKSTDEASTPETAASVSAPESKSSSM AEWSIKVERRDSPRDLLSLRSIVKKPSDASLSLDKSKFAPPAVSISNAAPSTEVFTEA VEGVSQSADRMEEIVRAIEKATGNDPILSNSINGLVPSRRASVSESDTSSLYKSRASP RGPKSSDAPPSSFRGSRNLSTSSVPRLLSPQTPVSTLSSKTGTAAARTMPPNTRASSA GWGSSMTSSFANSIWRFGSEVGDTLSSIRTRHPDRSLQSLIAGPLGGMDTSLSNLDPR PHLYFEYTLPDKLRLSCTVYFATAFDSLRRRCAVDKALVESLEETEEWNADGGKSKAG FWMSKDKKFIVKELVSKWTVSDMHALLEISPAYFNFMTSTHNRATSLAKIVGFYTVTI KDLHSGTKRQLDLLVMENLFYSQSISQTFDLKGIEGRKVVKRKTEGEVESVEVKGPGT LFDADWLENMQNDLVLLQPHAKKILLDAIALDTKFLASQSIMDYSLLLGIDDSSRAFS TSATAAAGQPAAYEEQVEAMRRAKDKTLIVGLVDAIGSFNLFKTIESRGKMALNRGGD VTVIPPDQYRERFESAMRHYFIACPDKWSRVSSSPRGAKRGRAQRERMELGSIL L198_05685 MSAHPKKRYYPPQPSRGEDRDATRHTTYSTRKVAIQGPPALRTA KSCPYISPADRSNLKKKGKGSMSWRGPYSGSAGAAANASAQERPGGPPPWLQRKTDSS SATPFDSVGSPRFAASHKPLGAQAGGSHWKKKVPNCPAAMASPPVPAAQPSRVQWAAR APPTQPRSLLDSNSPVSPSTFPPIMAKAPSPNSVGQPSSNMGKTQSSQPVAPHDHIST NFSHSFHQNPQPSSYQLSLDAYISMPALSAANSPSPPSPPSRPPALQQWFEARRRVST DDGQSDVLQPKSSEISNIDKHQEGSSQDVTGGRSGLGLENASTSQNVEMDDEERDVKP SAEALEKALEQAVPRVKEEDRLEGCLALTRGQLPKDVWSPNPSIRRGTRGKIREQQEK ELTKMGRKLVKSRWMSDGVAHDWKLVKQISLVEDQCCQIVKDDIAVTIPGLSAMDPSG AMDVNAVSLETGSGQQETETQVDGSGRHSSRRNVMALAVSAAATQPAPVSGLPMSRES SRDKRESENDVATMVSPTQTPIAVIIPSETTTSTSNRVPPSSIPAKPVTEAPASIEST SFNLPKPPSSSNPSRAINPTTNPSVKPNTKPEAKWGPAIIGRPPKSGAIDRIYKYELE IPPYIQITAAGKFTAQFQTWKLKHRDDMSGQSSRGCPSREVFLNHDGPNKCKSLQVRV VPIDMQDPSSWPLSMFPDIVEYVIPDHVKPRHVEVNPRSALFRTWEKTCMDMASNPDQ WGRPTRWVKSMFCPSMSKGSLRVRWRGKTATEIASYEGAPIVLPGKGDHKDKSLRDRE IEMVKEREQGKVKDKGKAIHSDSQPEKRLRSELMDDQDSSRKKSRRASESNGEREWDK GKKEGVYFDEGPRSRRREKEKERGTKQSGGGRGKEKETSRERDSGWSGMDAGKAKETE KEKEKERVGHESPSSEPPFASQTFPKLTTSFPPEASFFDDGPFPQAGIPATPVNGTSS SPSAYAPALPPAMAMVRQPVATTSLIAEHSGSSIAGVSMSIPSTVAAGHETPQTPTNP HPSVSMARPAPMPVLGSSTHSTPAISSPLLEELALGVRQKMNEIQTWTQMLNDFPDMA DPMRGQIDRTQTEIFRLYAKMGQEKRRLMTGE L198_05686 MAKGTISMSSPSKTETSSSSLSIDSVHPSPSPSPLGSPAFSESS SRSHRTFHNEPVSPSARESEEKPAHRDALLLPSTSPIPTQPSSSPPKSPSQPPTHASM LPTGFASIHVGIGSPKVDFARDWQSSGSNSTLRRETSAPSSTRSGPLTIERKMDVREK TRASERRDESERDTRRSFVDKASGLPSPPGTESDIVVPREIQGSALGQESRRLGESIE NRAPSTTSSSSSHMSRRADSYSNPPGGTPELARPIHSHRPGGSMSRSSSSTSIAFNHN KSPESTSLSDRKRAEIFGGSRYSPSSELSRSQSSSTSNKSRQTISPRGRAPPSLDLSP KAKARAKIASPERTAKLPPVSGSVRRSARRLSVQGTPSQETLEEEPDSQEQRRRSSQG AILDEKIKEVEEKIIEVSSHRKRRSADGASAMGSPSKVQTPVKGELRRHDSYSKTSTQ TASPVAGSKLGMPVARSGDASRTYVKGESPWRLANGSGSHRDNFEEDERLQSGERSGG SGGRSRKRSGLPNDFKVGSFFTPSPQRQDEPWSARSRFRQSVESPSTYHSPLPNRFST TSRLSRDFDPISARTESFDQKSTTSGRDDTSSHVRRAWSQSISGLPRMQDSLGPGLDG RGLPSNVDRFRPGTVLDNPADRSRYNNLPRGQPERGADFGTGLRRGTSMLVSERDLID RSRLTSMVPLAPGDSISAVGARSERGDPSNPVAKDPLDVIRKLEAQRADSRKRWDHMP RSSTSMSSMRDIYQNPPKTAPPVDRRSTEVQNGRQSLSPSYGRGGGSRVGGIPATEPR HKRSYTSLGGRSSATSASMEQRLFASSEHGRLLFEAYRSLEFKLGYQDPSSPTYQHDA LTALNTAARSSEGVNSTLQAAYNLAHQITLDAAVEDNPGKVREGYTALTMLLRDAGKA SDQSVRDMTRVMLDIPRLVGSFSGGYGQVPQPATPAGSVRGGLRRSESVAASMAYDYK TPSSDDRARRWTPSAASMNSEIGSHGSPLHHRYSVDSSRRSFDVLRSTTSLRSATSLD TSNSYSSPLSTRSPRSPHVRSGSAVSSLVSKVRNMGLTPKKSVLPPRGTGFGELSTIE QSPPQEYSPQMDTQPFISPDRSHATIPRSESNSPEKPSPKIDTSSQMSSVHQSSSRGS RSPSKSPSKSPSKDNILRKKSSSSSTHTLRGGSFPLPQSSSSRGKPTTAVSQITAGDM SPRKQHAMSIKSSDLHIDEPNSPMSRFSFQSQSRLSHEQYEEGEYAYEDGELSRRNSG GAESDAVSVLEQNLVLAAMERERQKEDQVSYDSNIREEEGKGRRMTDRLRASLRRGSN RYAE L198_05687 MGYTGPTVASPESGNAAFWSYGYVPSLALGVIGVITFIAVAGPH LWFFVKIRGTRSVHGLLFFTAVIEALGYGARIYTHRKPFGGMSFLLGIFLIQIATVLL TAAMYKSVQRLTKYTPEGRHMSPMRPRSMLVLFVILDVMSTLVFLAGNVLQAITIIIV SIFVFVIYRRSTRIINTALANNKDVDNYPLIHPALTQVLITFGLFFVRLIMRIAEGAQ GAYEYAATHEVFFAIFEYLPIILILILWAARPLKNFLPLNQTTTTTSTHEDVERTAEL GRSESQVEGEKA L198_05688 MSAALLATPSPSIKRPSPATSSQTLKRPRHASVSPTDMGDDSGT SDNEGGDDERAKIARKEARVIRNRQSAQRSRNARKLHLQHLEKRVIELEAENQALKSN PNTSTTPLVSHSTLPSASPATSSDLDVFSFAHDLGLPSQLVGGGVNLTSVAPPPADVK DSFEPSPLSHSPTMASTTGQVDSLQAENAALKQRVTLLENLVKQVVAVANLSGQSQPP ANLSSHAPIDWLSVFTSPSAATTSGLSSTLSPGLPPTVPSFPTSHTNLASGDLISRSL TREARCGPAAGEGGYFNAFFGGGVGEGREAIEAGGEGDCGAGKAAGLDITVPTFEYGS EQLEGFSGGEGAWAHWDEAMRVLVDGIEGGQEVKEEIWNGNLRGDLGLDLFGLESGIV A L198_05689 MPATDAALPRRRQNVACATCKLRRVKCDLSALLQCHTSPPSDPG PSSGTSASAPEPSLADLIRRNPEIHCTNCTDRDIICNKDGILNPSRTKQKGKRITEAK RRYGVNEQGGTAIAAPTCSASSGTSRTSHSPVAPEPDFNPFDGSRPDPYLAQATREDL ELPSLDYDFSPSTLPSSFMPEPLGPPPRPPPTQVEFSGQYFNAITVPRNSEAASIWWQ FANQPQETMAHITRTGKTPQASPAVESATSLWDLTTLGGQVMDHVGSFASAPSLTPLE ATALDRSAFERSREASSVNLDPFVTTSLQRKRPREPSSSPTTSRKTLAQEHDPWQLWM GDDESRAMLLWGRAEDMQERLANRALGTELSRHLVTMFFQAVHYSFPASRLPIAISPE SFYLEWMRAGQRSDRMTPAQEVLCSGMEAWGARYSDNPMILGLQPHMARLAPRGESSS PTGIEKRSQWGRARMPACRALIERTRRLVDSTGLMRKPSVTGVQALTLFVQLLFTSDE SIGEARLLEANTIQSTILEQMRLLGLIRLFWTHAIVDAFWSASSRSPPKILDEDLESA GKWVRTLRSALPPSSFEALAFFLECTFRVTKVVRGVALKMAIPSKRKGAIDVEQFCRD ARNAWKEADSITDELNRHTSHALNSCSKDEILGFSPLNFFFNLRLSVVSLLFITHDLI REQLEFRKTLSSAYIVASEDTESVMGGDVPEIRRIWKAAQSHLEMMQALDRESVDMLL STCRGQVHMFKTAMHTGIMQTATLILRVLIFTTKFLCGVPTNEQGYPTSTRGGHDWTW ARKQEEVGHCVEALYQIGWAWGDVGPVLDDIERTMERHSRTHEELSMFQGILQTAPKE TSEAEGARERRQERKESDEKAIEAVMRFWPPISVPQLIEEAISPSHHRSPSSQSSSSF QAGPIALIPAQFSAVMSSHGSLSAAFSHGNLEVPRATPPLHHFSPAAVRTTATPTPES SSHQTISDDAFPSQPDFDEFLKFLSATEDATGDVWQGAQKPHTPIVGDPNGMFAAMIL QCAERSDSNNNLGGAGQIPAKSSVEGASDDVSVESILNELEMGGLQQMGASDNWEASF TAKFFETGTKGEGTGQ L198_05690 MDQSTTAAKKKRRQNVACDACKLRRVKCDLSAMLQPPAPADPSV LGSPQPSSSTAPSLADLVRRNPGVECTQCKNKGIRCSTAQILNPTRPNKGGRRIDEAK KAFGRDEVNQNHREETDPLNGRVESSANNSFLRSQSDWAHSLLSTYGVFAPNNDTPPS HNTLAFSEMSSLRATQADLDSQNNVLPGFATGTSAPNHSSLLSSYRSSAEPSWYDSSS RSASIPPMWQQPPHYYHSEPLVDPNATREASLLSEPRETGHIDYAQPTTITPFSGTGM TSGVGLYPNGLGLFPHSLSGAFDHQARHLTVLPAEHPLPRAPDDSSHIS L198_05691 MASPPSFSSFPDFPAASGKARQDPQAEHVPTFSSFPDLPGPSLS SRDDGHRQKRDNEREDLDKRDRRKRKDREDGREERVDRRRRNRHGERERERSRSRERR RGDRHRHEKDSHRDQSPEGRKKEERRRREREEAQALVRGDVKRPEERTSKRKEREKSE ERWVKDDDDGTPWYETLGGGKKVGSSDKSYDPSKALFLDTAGDRDIVRYGATTSHSTP RYYRDGNNRVMGWNEGIRVVYSRDRTQKGIELAPKGRPYVPRYNKHIRSATSHIYRIL LPPSSDRQLDYDTSFVAFDSRPKRLEEPDLPSYRSITHQDLPDEGKGGEYELVEDAIG SFATAEQEVRKKTVDMERRLKEQPGDEDAWIEYSTLHLQLSPEAERVPGIDPTRLATT KAQAEVTLSILSRALNATPENGYSISLHLAYIRSAEKIWPGRKVTERWKNVLRELGQA SDRRPGLEEGMMKLWLGYIEWREGQGFGKGDGEESGGGVDEVTDVYVECIERLKNGMG EDALAREENLVYLFLRACLFMKQAGYQERALAAFQALMEITFFKPDHLRHQPHPSQRE EWFTSVTSTFEEFWDSEAPRIGEPGSMGWHNTPANTPPPPSVLRDPLVYKSEDPYKRW LETELRAETLFARPGRATDLDDELEDDPFHIVVFSDLSPFLFPIFSPEARLQLIYAFL TFLGLPFTPPGVPSASPANADPHLQWLLAANQSARMTFWPPKPSVKRIHWQTVAGEPM DPERPLSISSPFSCPVKNWAQTRSTLFAKSTGSGAWFRDLERIDLEGVDVEVVREVFR IMGPLVPDPGFTLAGFAVEAALSPKNAIKAAKSILSQDRTNLVLWDGYARLERQRGNA STARTVYITALQAAKQEREEREKTEDEMDLWAGWAEMEFEEGDKKRCLDVVVMAAGIG EDRISKCIHSHYEASTPSPLSLLKTRQYYHRLAPTGSALLLSSLLTYLVDGIDTTCDA LLDISSAHPTSSSECEEALQLLSQIMFRHATFTPSMPAYLSRQVLERALGAFSNNTAL LSLYMYGELHNRVYGRVQRLIGEMTAESSANAEGKGVVGYLWAVWAEAVAAHRTFWDA GGGGAERVRMALDKGVNSSRGRYCAALWTLYIEFEVHMGRAASAKQLCYRAVASLGAC KRLYLLPFSRALRPHFSGVELAGWSELAIERGLRVRDASGLWDTEMAPDPPSCSSIMS TIRASGILFDLDGTLISSTPVCEAVWHQWAKQYPVNLEEVFKTSHGIRTRDLLRYWLK ITDPIALEAATEKFESDVLLESQRLAREGQGGITLLPGVEKMLLSLSSPADDNARWAI VTSATNAYATNAINTLDLPKTSHLITADEVTRGKPHPEPYIMGAAALGLHPTECVVFE DAPTGIKAGVSSGAKVIAVCTSHPRTALEGLGAHMIVDDLSS L198_05692 MSFTPTSHSTPTDNTAEPLVDKSELPTIELSFSSGPNGAWDDRE LINAANSAMKEFHTHHPGPGSWLDKATAAMAIGKPLPGGNDYGTAWYTASTPVVQDPP AAAAPPKKKRKTNTKKTINPYDPAATAYISQTNGPTSISGPSNANARAESPSFQPPSP GGTEDADAKRAEEDAEDAEWGYVEEDWEEEEGDWEGDGQGWEGEVAQGAPQAPPAYGV QSADGVSREEALGHAMTAQYWAGYWMGVAQAKGGESAKPRRRKCSGEREVGATSSEQA PPANMIISRHQYDGVNGLKR L198_05693 MYVCNPLMWGAADCLKVRTSTGEPPKALFMSRIGIENEDAYGTP SISAYLEAHVDVFSPHPDGNGEQIKYFLSVIRKRVAIYIAQRNGSRGIARGKINMELR KGNRFLKTVIKKVLGASSKDFVHIEASVEDEETTTPIFKSSRPSPRSVKEDSMLSVDE VKVLLHRPSCEPLI L198_05694 MSFSAPLLSGSIEPDDDTAPSVPKGNIGSSSSTARPPAERVVLV PGTQAGGVQTSLGSAEEGGIRGILKQSSHPMALAVLFLFRSAAIAVYVLCGLFTDNYV LSIVMVVVLLSLDFWNTRNVAGRTLVGLRYWNEVDEEGESSWVFECRDPSRAANPVDA KMFWIALYAYPLGWLALFIVSLLKFSVSFLPIVALALVFNLSNLVGFTYADRDAQKRW ASGIASGNLFGFGMGGIGGQLVGGAVKNTLGKVFG L198_05695 MAQEAGLPITNTLFPPPPPYFQAFTEEALHRYRALTGDSSFLAP SASTSTVEQGSGIAKNEGGAIQVDSASVERMLDESEEDEKQELEGKLGRPRADWINED GRWMCFGSMYTTVPKIPTAEDIGLPPFIDPSESPSESLPPLLHSFLHTLLMLLDALSM TARTPDELLASGWAHEGDQYMQHLTNLTANMMVASNQLRAAQSEATLVLLMEKELEER KKQTERLRWKCKEIAGGIKALKELRGAKEV L198_05696 MTSFIRDLYGFFMDSDEALNHLPAILDQQAAEQSLGNVRFSNEW LQQRAKIDECLAEKSDESLTRALKIFAKVIDTLDLYAPALKGLTDVHAYACETLESII FDQQKVDQRGQAAAFLYGQAMAMSSLTAEAVTLAIENLGNNTAANLALCIILGVERSS PTLFESHLPELLQQVQMAAFDSDATDFVQDKSVPQEALIRALKIYCPSEKVSSCRMIL QATHTIFVASLHLCQKAHKAEEGVFWTCFLIEHMPMMLRNDLAHRYPQTMRSIPIFTL LLPLGPRLSPASQASLCSALPLLATWETSLFVDSEWFTMSIHFMLGTKKSLRAEGLKG LGQMASVVLDRFEPFLDEIGEMALGVLDREEKPAVQKAAQRLLACLLASQGPTTLDIM IHYLRSPHHILLADVELLEMIATLLPNLARRIIQTLTDIIDDLLTEKGGAEEGDVEGR GSRRASVLDALNVFPLRDRDLTAKAQKLVLWSLEEDSGQPVVVAALQLSTNPIIATPS VVRSLTFKVAQLLLADDPYIVHTALSVLSNPALASMLDKSSCSMILLALHQSMEPSIQ IIAAKLLAHLTTAHKAIVQPLAWSHIRQSLSLIDFLHSVDPTKAIIEACIISNLLPAL PPSLHKKYVGPVKELIMTGLHRPCEPPTLSLIFQGLSNLAHFESYTSAQQSQLAQELL EKTQEIPKECQHAALNAISSLLSVPKEGLDVYELLIKVHARFGGGRGKTLDNDLKALV SGIYGHLGTVERNPVISRDSKAAINRETLNYPSKVAHLSRIAEVRDRHGPLNQTSINT LLAEILSASLSTSLKATDDRSLVLETMSCLGILQPHSIPSLIPDLPGLIMSELRYALK SRDAARDANSASVSAGLLFLSSTVHTSGIWLEQYWQEMGELMVECISSGEALPVLRGL DVILGSLRD L198_05697 MSSWYDTLTSAPVIIATTLGLSLAAVVATQIKGPQTLAQAQTQV QEVKASVNAADVKGKAAQAGASVMSAPSADLAPPKDDPITLADLAKHDGSDPSKPIYL AIKGKVFDVTAKREMYGPGRGYNVFAGKDGSKGLGMSSLDPGDAVGDFSGLNETQMNT LNQWEAFFTKRYNIVGRVVQ L198_05698 MSNAQFYGQQQMQQYPPPGGDYYANQQGPPPMHNQYVPQQMDYN QGPPPQQQNDKGEGGGAGAGTAAACYIGHVSLESGRDG L198_05699 MPPKGSTLHPPKPPADATPTPASQQMKANSLGIQDFELPKTTLT KLAKGSIPDNVKMQQDVVLALLRGSTLFISYLTAAAHDQATSRSGKTVTAADVIKAIT EMDFGPADALVPIMEQELAAYRNHQAKAKANKKPPGPGRGRGPRKSAASKAGGDEDVE MAEGGEEGDEVDEMASEDEGSGEEEA L198_05700 MPGSYTSTPLLETPSPIATPNSLPSLPSPSFTSRLATTVLNELE VVLHWELPVTTFVELNEVGKATYIRDKVACKTYR L198_05701 MRLHFLSLLALFGGASTACGAAIQSPLKAEDLSRRATSTVTTNT TSYVLSDDFEISATTTTREYTWEIATATASPDGYEREVYTINGEFPGPLIEANTGDTI KVTVTNSLDEGQSIHWHGLFQASTPHMDGVPGISQCPIPASSSYTYEFVINDQWGTYW YHSHYSVSMADGLWGPIVVHSPDEALARGTDYDEDRVVFVTDWMHDQSENIVDGLLST SGYDGSFVPPQSDSTLINGIGSYSSSSDIPSPAEIQVPVNSTVRLRFINVASHVMMRM SVDDHDLEVIEADGTDIYGPTLHEVPVAPAERFSVLVNTTEGSEGDAFWIRATTATYC GTTTEQVGKAILRYTGNSGNLTTSEPTSSAWSDLATGDDECTPLDVEYTLTPRSSESA SSTALSTGVLSSEFGSFTNVNGESFYGFAFNNVTYQNQIYNPLLSVVQGGGSINSSLI PTITFDDEGAVNIIINNLDSAIDHPYHLHGNWFKILARGSGSLSADDVDSQSLSLDNP LRKDTVWIQRDSWVLLRITSDNPGVWPLHCHIDWHLAVGKMAAVVVQPDAIQEMTLPS TWSDLCSGTDITAFGPDR L198_05702 MVWGYFGSLLYIGLLFTNAIAILNEERFLARIGWSTRSHAAANQ GFGHSPTPHLYDAPGSATSFGGGGSGEGPGVKAKLVNLISATRTLMRIPLIVMNVVVI VYEVLLG L198_05703 MASSLPQYYNILGVNPTATADEIRTAYKKASLRSHPDRLPQTAT PQEKRTATERFQILSDAYYVLSDPGRRAEYDALFRSRPQNAFTEEDATDFEQEQASGN FFQNFSSFFQNATGATGGSSSAGEKAGAGVRPDANNVFGDVFEEMLAPEVAHVRPWWS WVGGASGASLGYIVANVPGAVAGGFAGNRLGAVRDAKGRPVGEVFKDLGGAQKAEILK ALAFKVLGSMG L198_05704 MSAISQADARAFLFDMDGTLLDSTPAVEATWQYFAKEYDLDLHE VLRTSHGVRTVDNMRRWCNITDADELRAPTELFEGMIVHEAKELQAAGKEGLVPLPNV IDLLNQLNTSPIPVWAIVTSATSIYASAALPTAGIPSAPKVITGDDVTKGKPFPEPYY AGAKALDVDVTDCKCFVVEDAPSGVKSGVAAGSKVIATCTSHTREQLEGLGATWIVTD LSKISVEIVDGRVKLTIDESP L198_05705 MAANANASAPAAPVVSMEKTDKRDYLIALEKSAQSEWADQKVFE TNPPPLPKGISSYADFFASGQSMEDLQKEYPKWFGTFPYAYMNGSLHLGHAFTISKVE FAAGFERMRGKRVLFPVAYHATGMPIKSASDKLIREMELFGQDFAGYEAEPEETDAPV TAKGESSDPSKGKKGKLQAKSTGLTYQFQILELIGVPREELKQFAEPLHWLNYFPPIA KTDLTGAGARVDWRRQFITTPANPYYDSFVRWQMNKLHKQERVKFGKRYTIYSPKDGQ PCMDHDRSSGEAVNPQEYTAVKMEVLGWGPYASDDFKKSVEGKKVHMVAATLRPETMY GQTNCYVGVNIKYGLYEANDNELFLVTDRAARNMAFQGIFDRPRGVYTKVAEITGEDL LGTKITPPFGLVKEVYVLPMEGVLPTKGTGVVTSVPSDSPDDWRALMDLRKKAEMYKI KPEWAAVDPIAVLTTPKYGDMAAETLCTQLKIQSQRDKDKLAEAKAETYKEGFYNGKM LVGEFKGLSVQEAKPRVRQQMLDAGLAVAYAEPESEVISRSADVCVVALIDQWYLDYG EPVWKSAAEKLLDQLNTYQGETRNNFKSVLDWLNQWACARSYGLGSKLPWDPVWLVES LSDSTVYMSYYTVANLLHEDYFGKTPGPLGITPEQMTDEVWEHILGDGQLPADSPLDA EKAAELKYSFNYFYPLDVRSSGKDLIPNHLTFWVYCHAALFPEKHWPKAVRANGHLML NGKKMSKSTGNFLTMAEATKKYGADAMRLTLADAGDDISDANFEETVANAAILRLHTC STWAEEMRSTKDQLRTGEFNDFDRGFQAEMDALITEAYGAFEQMEFKHALKSGLYDFE NARNWYRMVSDPANGGSGMHKDLVFTWLRNHALLITPFTPHYSEFLWKNVLGEQTSVQ TALYPEPSGPINRSVLEQTDYLRGVVDTIRGAEAQAAKRKGKKAAATAVYDPTKTKDV RIFVAKEFPQWQDTAVEIMKNEAWDGEKIDDAKLRQGLDKAGLMKDKKAMPFCQAFKR KLIATGPSAFNRTLPFDELHTLKMLAPLIKSSLRFENVDVVSVDDARALIEKEGEKEG WTKERVESSEPGVPGVQFWNVSV L198_05706 MLASATPRAVLLTLDRSAVRALLGRPQSARCSAEPRRLLSMVTP GAEVPRGQCGGARRTQRRFERQIMGSRRYMSTEKPEGKEKAATSTPSTPPAPSSPAAQ KDLYESPYTIPNALTLARLLACPLLGYEIVQGDYALATGILFASGVTDWLDGWLARRY NSFSVLGSILDPAADKALMTTLVGTLAYTGLLPIPLAILIVGRDVGLSISAFYFRFIS LPPPRTLQRYFDFSIPSAQVTPTQISKASLSSPKILFLMGVTTVSPLLSMDISLPLQA LQWAVAGTTIWSGLSYVGRSGVKILGQSGKKP L198_05707 MLEPVEAEFAKPPIYNDAQEEVFQSEETEEPNAITHAANNLTRV ETREGRQASPTHSQSSTLQNNRVAAKLDDPEKGGYQKTADGKILVTFDVGEDPKEWTK FHKLATITASTLCLTVALGSAMPTGDLPGAAEGLHVSDEVIYLTITLFVAGFGIGPLF FAPLSELYGRQPVYMVSIIFYFFFTLPSCLAKNVATTLAGRMIAGIASSAPFTNVGGT IADVWAVQDRGIPMAIYSSTLFMGPCLGPLFGGWISLKTGTWRWIYWVLFITIGASCI LCLFNPETLAPVLLRKKAKKLNKQHNTDAYTTEADLHRPSFRTAITTALIRPFVMMVE PIIILFTFYLSFVYALLYATFFAFPIAFEEIRGWNMGMTGVSFVSIIASFFILLRTEA DSLPQIGIFLANVLIMPLQERLYRKYTAKHGSSPEGRLYTMMIGAIVLPPALFILAFT SYPGVSWVGPCICGIMFGFAMVSIYISGNSYIVDSYSNVAASAISSKNMIRSFVGASV PLWITQLFHNLGFQYAGLFLALVSVILMPIPFFFFWKGAWVRSKSDKANR L198_05708 MESIEIHEAATPARRPVVDLTADTPSYEARGEPTRKQGAGYTRS GPNYSPASSVVYIAGNTLSDVSNRANVSASQSSRGYDFDSFGSSLSQKSRTSKLSDPY SSYIKPATPNAFQKSQSQIKQTFSQPARQPAFGSTKGYVNGWPGWVQPGGVNPGTVPN ARAPLAPTSRAPVGDDVPRENFDIAGASITADDMVRYNGDAEKHMAELLSGAIGDGED EMGDDGVQEGDDKIEGFAKGMKLMPHQVRGVKWMRQRESGRKYGGILADDMGLGKTVQ TLARIVEGKPTPAEKKAGYKGGTLIICPLAVMEQWATECNTKTSPGVLKVTTHHTSSR TKLGKTLEGYDVVITTFATVSSEFGIYEKEMQSRLDDQLDSDSDDVAPKGRKKAAKKK ATMSALFQVKWLRIVVDEAQNIKNRNTKQAKAAVALRAKYRWCLTGTPIQNNVEELFT LFQFLRARPLDDWQEFRIRISSLVKEGRTKIAMKRLHVVLKAIMLRHGKKILNLPGRT VQVMPCPFDEDERAFYEALEKKTSITFNKFVKSGSATANYTSVLTLLLRLRQACVHPS LVTNSLDMDEALEADDVAKAAPVKDEADELADMLGGLGVAKGKTCAICFVKLQDQTAT HCAGCIGLTERVLARDKSSNLPPDSAKIRTLLKLLSEIDEKSGGQEKTIVFSQFTSFL NLVEPFLKKYKIAFVRYDGSMRNDKRQQSLQAIKNDPVVKVILISFKAGSTGLNLTCC NNVVLMDLWWNPALEDQAFDRAHRLGQKRDVNIYKLTIEETVEDRILALQNNKRELAN AALSGQAGKGLMKLTMDDIMKLFKHSHKGQEDDESDDDD L198_05709 MPQNEYMEEHRKRHGRRMDHEERQRKRTAREAHKNSQDAQKIFG HRAKLLHARRHSEKVQMKKTLKAHDERNVKQKDDGAVKEGALPAFLLDRDGQKDAKAL SSAVKDRRKDRAAKYSVPLPKVRGIAEEEMFKVIKTGKSKSKSWKRMVNKATFVGEGF TRKPVKLERFIRPTGLRMTKANVTHPELKTTFQLPILGVKKNPQSPLYTSLGVLTKGT IVEVNVSELGMVTTGGKVVWSKYAQITNNPENDGCINSVLLV L198_05710 MGLSERKVKQRIGLDPRNLNWSENKDRFSYKHMKALGWEDNTGL GSSMVGNANNIAVARKDDNGGIGMARARKEGGDMAAGAGQAGAGLEDVLRRLAGGASP SPAPASPKVEEERPKVIRNKMASRQRHLMAKKAASQSPQALAEILGIPVSALPASALA SPSTSASSTPKPEPEAKVELDDGKTDEMVTTSTLSVSDYFRQKMREKMAARQAASGAS APAELPVHSLESLASLPEPKAVGGTAWGGQKMQFEEVKEEFNPSEATAEIPREDAENE RKRQRKEEKRLEKLRKEAVERDDAAGRKGKKVKQEVKEEVVVKQELENDPLHQAHEQL EKFEHAGEDVKPVIKEDKEEKQKRKEQKRREKEEKKREKEGKKRKREDGEEKKSKKGR E L198_05711 MGKEKGHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKSSFKYAWVLDKLKAERERGITIDIALWKFETPKYNVTVIDAPGHRDFIKNM ITGTSQADCAILIIATGIGEFEAGISKDGQTREHALLAFTLGVRQLIVACNKMDTCKW SEDRFNEIVKETTTFIKKVGYNPKSVPFVPISGWHGDNMLEETTNMGWYKGWTKETKA GVSKGKTLLEAIDAIEPPTRPTDKALRLPLQDVYKIGGIGTVPVGRVETGVIKAGMVV TFAPTNVTTEVKSVEMHHEQIPEGLPGDNVGFNVKNVSIKDIRRGNVCGDSKNDPPKE AASFNAQVIVLNHPGQIGAGYTPVLDCHTAHIACKFSELIEKIDRRTGKTMEAAPKFV KSGDAAIVKLISQKPLCVEPYSEYPPLGRFAVRDMRQTVAVGVIKSVEKSDGKAGKVT KAAEKAQKKK L198_05712 MVMPPKLDIPVLVPPFVSKISVVIESAQDDDLEIIIRRRPYAPQ QPPVEARQPLTEAAQPAEAPVEAPEAPVEGQEAPANASVDDPPFSRYPRNLFYPPSPS PVSVPPVGKGKGKAKAVEEDDVVNEEGDGRFEVYSEEVWAQSGSFGDQPEASTSRAAL IRRRRSPLFFPASSSAGPDEIEEEDVSGGIATQGTVEEPIHVYSDSPSPPPAPAPRPQ TPHRSPPKPALLNRRSFHTDVDRQEAGYGDIRDMFKKSKRYL L198_05713 MARPAKPQFFLAHAPSPTPSPTAGHHPHHARTSNHPRLGPGVML GDHSRAGESTESLKRVRTLSSDFDFQGTHGSAPHLRFDASPAESVLLSQAECLDAVME IHKILYLGQDGTEDRAWDDKVQQVQNLLSECFEGDCVYDTPLSRVSSRSSLLSHFALL HLCSTLYLPSLTPTSLVLHARNATSALMKRAAGIERVDKNAKPSDLAKSDFGLEEVWL GLPEPECPTEGEGWWRLWEVRADCREIGEMECYDGYHLAMVDQIISLQFLPSLVRRMP GSYTSTPLLETPSPIATPNSLPSLPSPSFTSRLATTVLNELEVVLHWELPVTTFVELN EVGKATYIRDKVDLRDAVEAVVPFAKRLGWLTRCFSGMMSSFVGDIILGSAGPASLTG DRAEAAVGMAYKTKEEGFGCVAALNGEAAREGTDNTLGLENVGSAPATAHAPDVDPEA APFS L198_05714 MSQSSFTNFWAGVPQGPPDPILGVSDAFKKDTSPQKVNLGVGAY RDEDGKPYILESVLKAEDILHKQRLDKEYLPITGAAEFTKLASELAYGKDSKPLKEGR LAVTQSISGTGALRIATGFLSSYYSGPKVIYLPNPTWGNHIPLAESVGLKVEKYRYFD KKTVGLDFEGMKEDIKNAPEGAIILLHACAQNPTGIDPTQEQWKELSEIVKSKKHLAL FDMAYQGFASGDIIRDAFAVRYFVEQGHQILLCQSFAKNLGLYAERAGTFSLIVSDAD EKERVLSQIKRVIRPLYSSPPVHGAQLVSTILGTPELYEQWLTEVKKMADRIISMRDK LYDLLVKLETPGSWTHIKSQIGMFSFTGLTPEQVTDLADYAHVYLTKDGRISMAGLND HNIQYFAESMSKAVKGELKSKSAL L198_05715 MQSINDVVESGKVLYLAWIVSQANEYARQHGFAQIVVYQGLWNV GTRDLERDIIPMCLANGMGLAPWGTLGQGKFKSPEELQKQSNWRCGAPSSDRDIKVSK ALQEVADEVGGGIRAANAALAWARQSFADCFPISGGTNPEHLKSNIEASLSL L198_05716 MFDVFRPAREIRTELGRYRLLSPSAAIRVSPLCLGAMSLVDQLL DTLYEAGGNLIDTANNYQNEQSEMIIGEWMEKRGIRDGIVLATILPSASTGTNEGKFE GIAANYCGNHKKNLRLTVESSLKKLRTDYIDLLYIHWWD L198_05717 MSRYDVDVGPDSPTNTTRSRRSSLHSIASRRFNPRRQPSIPMPD PGEMDAAFDAPTADDGEDEGETHGLLSSSQHRGRVPGDYDFERDYTLPPESPPPFQPY SSHHPAPGNSHGIIPTTAPARPSPARHFLGGILPTSFLPRQQEAGSSQRTVGGGMSGV FDNLAARPDRERAAEGDLDYIPEDESKDAPPSYQNALRDAVPPYWDTTVVLPSSNSPF GPLSSSVSVVVSVSFQFVGFLLTYVLHTTHAAKYGSRAGLGLTLIQVGLNIRAKAVEL IDQNRFPAPVSDDPSDPPSGGQTLSDEEIAENAIEAIWGPGASPWPAVFREPNAPEGS SGTIVHNTHEAEQWAMAHNRTLTQMLDLPSAADVGKANEYFSFLLMSVGWFIVLTSVG GWWRVKRFERGLRRAQRESEEAQAEAAAGRGEGAEGENNLETVTTSTSPREPSPNELA YYTAPFTQAFSGARHIRDGFFGLHGSRIDTRDEPDAPPEDGQVRTGWMRFARGRRGQG HTAVPQDDEDEHELLDAQGFGLGPMAYDAGEAPGAEHRRHRGLWG L198_05718 MSTEGKTITCKAAVAWEAAKPLSIEDIEVAPPQDDEVRIKILYT GLCHTDAYTLSGVDPEGAFPVVLGHEGGGIVESVGKGVDNVKVGDHVVPLYTAECREC KFCKSGKTNLCGRVRATQGKGVMPDGTSRFKCKGKELLHFMGCSTFSQYTVVSKFSVV SINEKAPLKTSCLLGCGITTGYGAAVKSDGIEGADNVAVFGIGCVGLSIIQGARAKNA KRIFAIDTNPKKEEWAKKFGATDFVNPKELPEGKSLAEHLVEVTDGGFDYTFDATGNV GVMRTALESCHKGWGVCNVIGVAPAGAEIATRPFQLVTGRTWKGSAFGGVKGRTELPG IVDDYLEGKLWVNEFVTHEQSLDGINKGFEDMHKGDCIRCVVDMGFNDAP L198_05719 MPPKPASALPLPYSNPPQPKREQRQPMRTSKLGSKLKVLPTQPE NSTIPEEDEDEEDAQRALGDKDEGEGVEFYTPLSQIPKGTARRDAQRLTKSEKAKLPR VTAYCTAATYNLPAMQAYLSSRPAYHRCHPRMFDTECLHTPYLPPPTPSPYAPAHRNS PRLKPAYGAGHIPEGDLLNLGDGYASKRSSSPSRSNSTSSELKRRPGFSKRPGGGRTK SDNTSGVSNGGDVEDSEREEDDDFEDEWIPDVFLFEYGCVVIWGMTEKEEKRFLASIK RFEIERLSTEDVEMEDLNFYYADYSRIYNDVITLRKGSSYMTKLSLSHALSQSVKISL FEELISATIEQNQDIPKVLSETGKIGMPRSEIMKQIGYLFILRININLVGSILDSPEF FWTFPDLEPLYNAARSYLEIGQRVDLLNARVDVLQDMLKLLKESVNSSHGEHLEAIVI FLIGIEIVLGIITILVDLSSS L198_05720 MSNRNPSANYQQGGQPPLPGQNAYGQTPEQAAAHAAAWAAYYQS QGMTAPQAHSAPTTPAQAPPAGAPAAQNPYANYGYGAGAQHNKPQPPAAGPSQQSYRP PASNQAFATASTQPSLGYPQQQAYPAQPQYPQVGYQPGRPPYQQPAPPAQQPYAWQAG QQPQIQPGQPAYRPPAQPQQSYPSYAAQPAGPYYPPAQPQPAPYTPPQPSVQSPYRPP MGGPNMSSPMQSQPTQFRPPALLGNQGRPPRPPMNNQPGGGGGGFPPAKRPRFDGPGG GGNKMTQGRPPNNGPQGPPIGQRNVSRPNLPINRPPIHLGAGPPLASGPGGPSGVFVP PSGPGQPKAGPMRGNDSRRGRGGMMNAPRAPATMRRPDGSFAPSAFSKGVAPGAGKNL FDKRGNKKIGGAVQGKDTVKATMTDWRIVGIEMKGLGWAWGIVDGQKAEAESEVKVEG QEPVVNTNGEAQAKVELTEAEPAKPIESASALIPAVESSESAPVKSEPSLEPQTETSL ASKAESEAAIAAAVAEESEKEKRGEKRKAKSPDTDEHHSAKRSVPFKPTTSVTADLAL PPSASAATTPQQVSQSTSESPVRTTDDIAKDLLAKYENNSNRLRIYFDSPPELDRAPK VKKTPVKDKEGQEGRGNKRFRSVSVSASVVEEKERDEAQGEEELSVEAAVPAQEQNET EQGDDQAQEDVDRSLGLGENVLATSNINDTANGSAAVATPDIHNTADLQASEEQPIAE LLPEHVEYPAPLAEETHAKVEAKEEVGDGDVSMRTEKGAGLADSTAVDPLQAEMVEGS LPGTSGVNGDSMTPEPVEKSAADAAESTESQNEGKSKTNEEVEQSADDKEHGIDEEDK AAKDAKDVSEAAVAAAALAESATNAASAYKSRARRHSSLSTSSHAPAERHLKFANAAS PGVSWNRLSVVWEQSTRRLCFDAEVVEKVRIWRQEGKIEVELKEESAGEWKGLPKGIM MELYSPSEQRFLPYTSPSDDPSVPPLHLISSTLANTNSPVVVTVHLNTKNALSEPKWL KSNQADAWLFEQFESRKGVDAGWRGKLEVMDPDPAPTLPGILDGWASSCTLGTPSSRR AFTSFLSSSPDDLLEILLRLGRGDRNPTLGSSSSPSFGPLATLIRPDSPFANHQTHVS LAILAMYRLTTDMAEKAGEKKEAVEEKVGDIIRSLPGALVAKSLDGLFREWQG L198_05721 MSSPNNPRSSSPLNFPSSSVAGTPRAARVQQVAGSDAPARSSSP LHFPTSSPRSQPGSGAGRQTPTASRLRSEVPSSAGVRRIRDETPLFFPPSGGSTPRRA RRGDIHSSFPHSSPSLARRTATIPASSIRGSSPNFDGPRTPRAGSAAPTLSAVAGSQA DDEADDVDGMVKFIWGTTISLQESMNLFRDFLRGFKPKYRAVFNTEQARQIEQEGGLA PPPMTLYDNLSAERAESPLYETYLGRLRETGETNLNLDALNLLAYNPSKKLYHQLINY PQEVIPIMDQVLRDVMIELGHEYLERAQTQYAEGTMTQLQLGLITDELKDVDSRVYKV RPFGGEKTVNMRDLNPGDTDKLVTIKGLVIRATPVIPDMTTAFFRCLICQHTVQADID RGRIQEPDACPRDVCGSRGTMSLIHNRSEFTDKQVIRLQETPDAVPDGQTPHTVSLCV YDELVDLVKPGDRVIITGIFRSIPVRVNPRQRSIKSLYKTYLDVVHVKRTNVARMGFD PTTRGGEGRPPGVGVGGEDDEEELLARNDTDEAMDQDGFGAPIQSASAEMEQKLVELS IHPDLYNILASSLAPSIYELEDVKKGILLQLFGGTNKSIAKGGGGGGPRYRGDINILM VGDPGTSKSQILQYVHKIAPRGVYTSGKGSSAVGLTAYVTRDPDSKQLVLERQWCFGS LRWSVLHEVMEQQTVSIAKAGIITTLNARTSILAAANPINSRYDPKLPIPANIDLPPT LISRFDLLYLVLDKVDEINDRKLAKHLVGLYLSDEEERPQDNIIPLDTLAAYITYARS KSQPVLTEAAGAALVQAYVDMRKAGMDSRTQEKRITATTRQLESMIRLSEAHARMRLS EEVELEDVLEAQRLIKSALRESATDPLTGQIDLDLINTGAGQTMRRARADLKREIIKI VVEKARSTGIRWAAVIDELDKQSNVPVDHAQFAEIVKELEEEQTVKVSGERDRRVIRS LGV L198_05722 MAYPIQPPRPSLDSAIASSDDLDDADTAESIENKLAIERRVQYG AEKMLDVIEKKGGSDSGDQAKVKANITSQLEAANLHIKALETKLEKLRGTPQRARRRQ QPRLNGYPSSNSLNPLSSSISSSALASAQRPRAVRQHSNLTPNREREDSSPGGYFTQT TPSRGLPSHPTSSTLASSSGSASASRPRSRSAGEDVLWGDESSWGQSSGRLVEDETTA VLLDSFRMLLMRLREIGRSKGKENENKGLDESCAVLIKLGDLLKRSEALRRSVEVEDT IQHVLPSLCDTSTTKRRAAGYRVLRYILTRRSWANMLSSGLEWLLIRTFTRDAKAVHE REQALRLVRDAMVMPVILPEPERMDSSPMRKRSHSRSSQAREGFHGGMGPLVEEGPFT KVSGDKVSLTDGLVRAIVSVAENPDDAMRTVCMETLVEIGLLDLRCLVRSQAFRTVLL TFKDGPMELGPAITGLLVHSINSPSTRQLLLPGSDLEAVLVGLTEAYGKIPTRLHNKH LESLQQCVANITMLLGSWPGLIYMCHDDRRAIKSLISSLHVPNAEMRDALINLFFQAL RIKMPKHMDAFLDGKRLTVYNRTQEATSQQLLDGANEEEEARTLTLVDHFVAMLLTIC IESGLLEALIAVIEEGNSTLNRKATLLLGEVLQMANRVLPMQFAAQLQARRLPMSLPQ LFAEATDFANPSERIAALSALSSIDSLTRNQTRAVKRAAADKTTVSSLQQDSLMRGQR QVQQVKLRLGLQIEDKQFQQMIVDSGLLLHREHTKWNYEVIMDLIEGPLMNPKRMDEA IRATKFIRRLLSFFHPYNNRFSTVKRTRPNYKWVKLGCSLISTMLSTSEGLRYLMEDK LLRQLLDCFNELDQYVGQPTAQPLFSKDRIENTLTYGYFEMIGTMSKHWDGMRLMEKF KFFTCFYHLSEQRSRDDIIRIIIECFDYTLDGHPRIVLSKALTSSYMETRLYATHHLG RLLHEHPFLMDWGLQLMITQLYDTSMEVCDVAVMYLEEACTESQCLEKVVALRPTLEH LGDVGHPLFMRFVSTSVGFQYLSQAQYIERELDSWLTERNILYVIEAETFVSKTIRPW SSDLVEDYWEYDGLAPTHFLGELTKTPDGCELLKSRGIVAEFAEIVRLHGMEDDDASV LRDLKSALWALGNIGSTEGGLPFLEDEEIIEDIVEVAEHSAVLTIRGTCFFAIGLISS TRMGAEILEEFGWIATRTPLGHTTGLCLPNDVSRFVNIDPWTPPDLREAPLPLPKLHG VEKEIIISISKLSNYVLAAGAMNNLKRIRTRHPRYFSSVTLFHRALQTISTNHFQAPV RRFILELFEVKLDKHELIQLAGLEMNIWRTREGERAQTIAFEEHGNGTPPEEYLGSEA ESEEFKERQGRRRSASLPGQFLGPSSLTDNAGARARGITISDVERQRVEDAQAARTSV PSQKSERMSVDNVDDQLKEKKVGWVEAAESLEEVDRDHERAAPLLLAPEIHIEPDRIG SVSARVDGETECGTKNSTVDGQNKAKQHVRGFSVVPADLVGRPSSVVS L198_05723 MSDDDYFGDDDLFLDDSFLRQVDTIAAKSIQAPHPPTPMPVPRP GSSRQSNGIARAASLNEATASGKGTNANGVQRTYSAPGLKTGPASKAAVGGQSRLQTT RAADDPSSDDYGAWAIPEDALAAVVDEASSNLTNAGLSYVSANSANSASKRNTSGASR PSTSNNRTTSGPSQANRQDGMFQTHLNWRPSPKYTEGKRWDRTAFAKTGRRLIALPGG NDMRKKAKGKGKAKARDSENVMGWDEDEEDAYANEEDEEDMGGMLAPPPKSNFDLTKP YGPQKHLPNSLSIKEYIYPTNRSKRDYQFEIIRNCFMDNTLVALPTGLGKTFVAGVVM LNYYRWFPTGKILFLAPTKPLVAQQIEACQMSCGIPSKDAAVMTGQSGTKKLREHLWE QRRVFYCTPQTVENDLKNGIVDPKDIVLTAVDEAHKATGNFAYTTILSLITAHHPYFR VLALTATPGADVEKVQNVVDALHISRIEIREAEAPEIRKYMNTKHIERHIISMTDTIV DFRDRLGELMVPIVKKLVDKEVLTANALDVKRLRPFAITAKRAEFAKRRQQGMQWVYG PLGQVEKMARAMQYLLEFSLGMFATNLGEILTGKSSTGKKANTNGGANSLANNFAAQR LQRDVDEELNSIKIGRNGKSATDRHPKMAKTLELLMAHFAQAEEEETTLGQKNDTRAM VFCSFRPCVLEIVDMLNENAPLLKATKFVGQSDRDGDKGFNQKEQKKAISDFKEGKFN VLVATAIGEEGLDIGEVDFVVLYDMPRTSIKLLQRIGRTGRKRDGHVHVLMSENREDC NWDTAQQTHRDIQEEILHSRNLELFEDVEPLLPDRQLPGCKEQEMAIDEWNPDDQVFK KTMMDAEKEAKKASAKGTKGKRQNLMKDEVPDGATGFKSVKDLLKGKSLLQAVNAIAM RPESDDENEGPVLKKSKAKNPVKPRKVARAPSPIRSASEDDIDDQTLEALFAESRYSE KGKEPTGLKRKAAPVKRADSRHAKKGRTSDSDLSLPRPADLPEPQNKEDEADTARARR QQVIRAALAGRTPQATVPDIGSDSDIEALSPPPKAPFKRSCNSSESFPSIKVPPTSTK VEDLDFFHPAGPRRRDPSSPAKSLSPSPKKRTKYGDDDQVMVPSSSPASASRTLGTNR NKLSPGTAAAAGFSQIDPIDLSWDLDDDSESAPLPMRPVVPPAPRDSANDSFLPEVLS DWQPSSPPRVHRTPAGKVEMPPPPVPSTTKPTDGLSSPFGDPSGTSTPLGMMATQFPV RRLGVGLSRKRLVLPSSDDASSPVSAPRPGTLASVAGPSRTIVEDPDSSPMVNVGGRR GAGRLKRRVYVDEPESSPPAAEASSRQNPNRRRTDHNARGKRVKKKNKGPRGAFIENE VELSGSDSGDTSEHSTSSVASSSDLRFANDFAPTQAQKGYNQQAMYRAGLGTQARGHG LAFRRDFAQDKQHFMSKAKKPVYITDDEDAGQGRSSENEYEFGSFVVDDDEDVDYACR LPLIVIFGY L198_05724 MSSLDSSPPHNREAHQCQSLRSSSLCPANGACSVFFYGTLCAPQ VLARVLGHKCENLTFQDALLPGYTRHRVKGEAYPAVIEHDGSGQTSDTESEQSDASST RGTLVHGLTNADAHALDLFEDDEYTRKRVLVRALTHTYTVGNLPPALRDPTLREGVEG AAPKDQEDLTDSKVASVPPYSAKMSEASVYVWSDPLDRLEPEVWDFQSFTKAKKDDWN DLPVEWYDSVDKSRTGLARPNSASINGDQNGKVEDEGGDEGLVGRQAEGYEDFGHNLL KQWAFSDGYVNLNHGSYGSPPLPVISAANKLSTQIESNPDKFMRRDWLPILEETHRAV AGIIGAQESEVVIVPNTTHGIFNIVDTLKWEPGDVIVYYNTTYGAVSQMLKYLADSRG VTLHPVNINFPVPHSTVIEETAKVLDQYNEISKPHYTGQPKATGKGDGSSRVKLIVVD ALSSNPGLLFPWQEIVQVAKRYGVLSLVDGAHAIGQIEVDVKKADCDFFVSNCHKWLM SRRGGAVLYVPTRNQYLIRTSIPTSAGYESTKYPTPGVNAEWAWATQYRWTGTQDWTP FFSILPAIEFRKNVLGGEKRIMEWCHSLAVAGSKRLKQRWGVDAVIMDTPTPTLTAAM SNITLPHIPPPTSFADQAQQARFLVDGMFEANCFAACYMHAGKWWVRFSAQVWNELSD FDYIGGVLEDLCLKIKNGKHLKQAETVEATKNAPKQPVQVE L198_05725 MLSLRPLLRSAAPLSPLASASPRAIHASVPAFNSASRPESSTTP VPTIQDGTVPTEYQSVNGIQADLVSGAPVELLHRPVRIYRPTKNTMQSAKGKTKRWVV DFDVLQGAGRWENRLMGWASSADYVQGTGLFFRSKEEAIYFAEKQGWPYKVDEPKKVV VPPKTYANNYVHVPGKLRIHHTK L198_05726 MKKTSKRRGNNLQNLVQNRPTKRPKPTGPIRHLDPAWRVNPSFE SAEKDPIPELPPPEKHEPVYFPISTKGVSFADQKLLYCGDDQEELDASPENWQRYRIL VKKGDALVVELWRGIWLLHDWDETKLVPSASKFCHVSVHNEQVSCDCSAAKKRLTAAK KGSLSAGDQRRLCTHCDLFLHCNDMFPSTTNSTQLQPVVSFALSGISIFPLFFSIQQS GSSAIGYHNSGKRVVTMYLGNDRWRCSCQTHNTCEHMHKAVAFSFENDLATRSDDGAF VLSDKIPIIEVDSDEQKELYLSASSFRQETISHKTISSPFHFHRETDPVPSQRPAYIE TQHGTLVLDMCALSKQREVAAYSAVDNKEHTIGDDAYA L198_05727 MFKFSKPEPKKWFSSSYWHLDTPPASYATHDGWSNADVDVVPVE QRNWRAINYLFLWLADGANVGTMQQAGSIVALGLSWREASAAIAIGNIIIAAAVTLNG VIGSRHHVPFSIASRASLGFYFSYFAVISRLVLGLLYFGINTYIGASCTLICLEAIWP QLKTYPNAIPTSQGLASNRMIAYFVFWSIQFPLVMIHPRKMRWVFFVKSILAIVAAFA TLGWAVNQAGGGGPIFSQRSSLSGSTKSWAWLAGINVAISGKTTLAINIPDLTRYARR TSDSYWQMLFIPIVYFVFSFIGIVIASAGQTIYGTLYWDPTSIIALWTNRAGAFFVAF AFAFATLGTNISTNSIATSNDLAFLAPKWINIKRGAFITSIIGGWATAPWKIQASATA LTTFLSGYIIVLAPIVSIMIVDYWCVRKTHLHVPMLYQNEGIYQYKFGINWRAFLTLL IVIPMNLPGLIHAINNKVYIGNFVYFYRGSWLTSFFVAGSIYLIISLISPPTSTLVDS TVESLDEEVNDMHGWEKEQDLSDTNSYPAVNHTV L198_05728 MPQAVVEFIHTPAARANPFHEPLTLISSLGISLLEIFHRRSIHG MIFNEAQMKALKGYIGMIMSRDPIFSLFPPPFFHEIDTFCIHHTSTPELRRHVPAICN LWHAFPSLPIGHSPTLLDLLFFLNKRARSLWKTMLNRWEQTEDLAPEITHKFPDDAGM KRVKGGAVKDSESHALEGDCKKYYDAQKATKGMTGGVAGLWYRMVSVVPTI L198_05729 MPPVLASIGTVLIDAFDTLPRPVVDDVSPEYSSPTSPGVPQPPI SNIPPPLFIPPSHLRLSPSLSSPSASAPSGPSTPQLSLDDIPVPNAEEVYEMLGGGGL FALVGARIWLPPSRLRALANRAPPEEDDCPKDVEDRLNKLGEEMWVWNRGPGVKMTRA RIRYEGNVRYFQPIVKAPHWSLTEMCASPLRDSEYLHISPPYSPKDVFDLIEEQKASK GQTWDPKIVFEPTPPSCHAGQREWLEKILPHIHVLSPNHEELFSFYDIPTMSVQSPSL RPTVERLVTFLIHDIGIGADGEGIIVIRCGSLGSCVGTKQGGLKWCPAYWDRENSKRV KDVTGAGNSFLGGYVAGLHLSDNPYEAALYATVSSSYVVEQFGLPSLTGADAVTGEEG WNSDNPSRRLRHLKKRLLAICN L198_05730 MGLLTIIRKNKAKSKEMRVLFLGLDNAGKTTILKKLNNENISDI SPTLGFNIKSLIRDGYTLNIWDVGGQRTLRPYWRNYFESTDAVVWVVDSSDRMRIKDC RDELKELLQEERLAGATLLVFANKQDLDGSMSLEEIRDALELKSIASHRWVVYPCSAF TGKGLNEGMDWVVKEVAGRLYWSGLDSK L198_05731 MHPPRLSTRLFSTTARLCARPPTELLALSKLDSDAHHALASAWA RDFVVEDIPRSGYTETRSRSSGPGGQHVNKTESKVTLRCELDQAVGQWLPKFVMPALV KSPYYHPSPPCILVSSQKERHAPLNHAHALSEISRIISQAAKSLIINPTSPQQKARVK DLERREKAKRMELKKRHSMKKASRRE L198_05732 MSVPPLPPHTVARLLPHLLPPAPLPQDILSKTFLQRLAYLPPPP DDLDAHLSPFPSTPSQPVSSRLRELTRGHQWGKTEYTREGPDIYARLVVEKEHGGDGV EVWFEHEPDAPGTLGRGWVYQSARIPSVTDHAWVSDISSLPPPLSESLDTTPTEATDD PTTAPSDYWAGFDDDEPTEGPHVAFDLPDGQAEDAYWAQYSRPATAPMTPGPRTPGTP GLYQAHSEPNPHNLPHPAQAYFGQPPQAKAAGEEVDERAVKLAESLIGLAAHNGGLTG ISSLSLEPKGIWKETGEDVKARVKGKVGSCLNNLWKEFTAGAEGEDKEEKAMEWLRIA RSVCDPASPVALTSGTGTDRAVAKLEVLKDMYEVLNEEEEAQGFWRMLEGVIRRSEVV EEDDELTRQQMYYE L198_05733 MPLVPRSHLRHISRPLTRGLATPAPLPVKDCTSITPPYPRLLKT LQNIRHVLPKDRKLTLAEKILYSHLRNPEESLGGGGPIRGERYLKLAPDRVAMQDASA QMALLQFMTCRLPTCAVPSSIHCDHLIQAQTGAVTDLSRSIDANKEVFDFLQSAAQKY GIEFWKPGSGIIHQIVLENYAAPGLLMLGTDSHTPNAGGLGMLAIGVGGADAVDAMTD TPWELKAPLITGVKLTGELKGWATPKDLILHLAGKLTVRGGTGRIIEYFGPGVTAQSC TGLATIANMGAEVGATTSTFPYNSNMRQYLHATGRGPVADAADNAASQGFLQADEGAE YDEVIEINLSELEPHLNGPFTPDLATPLSGFSSFLNENKYPTSLSSALIGSCTNSSYE DMSRVASIAEQAKAAGLTSKVPFLVTPGSELIRATIERDGLQSTLESVGATVLANACG PCIGQWKREEKKGEDNAILTSFNRNFKARNDGNLKTMNFLASPEIVTAMAFSGDLNFN PMTDSIPTPNGPFKFNAPSGDRLPPTGYTPGDLSYAPSASPVPIGETEIAISPTSTRL EILEPFGTNFPGGNAELEGMTCLMRVRGKCTTDHISAAGAWLKYKGHLSNISENTLMT AVNDEGGQINIARDHSGEEDTIPKTMQKYQSRAEPWMLVVDDNYGEGSAREHAALQPR HYGCAMILARSFARIHETNLKKQGILPLWFVDKADYSKISAHDKVSTKGLKNVLAGEP GEEVTIVVEKQSGDKVEVQARHTLSSDQMDWLRAGSALNWIGEQARKAGKA L198_05734 MSDNEEEASVSFESVDELQQHGINALDIAKLKAAGIVTIVGVAQ TPRKNLLKIKGLSEAKVEKLKETCPKMLPPPFLTGTEIADKRQNVVYITTGSKSVDAM LGGGIATQSITEIFGEYRTGKTQLCHTLCVATQLPEDQGGGAGKVAYIDTEGTFRPDR VRAVADRFGVDSGMALDNVLCARAWSSEQQCDLLVDLAIRFVEDRSYKLLIVDSIMNL FRQDYSGRGELSERQQKLNQFLARLQKLSEEFNLAIVLTNQVQADPGAAAMFAAASMA KPVGGHVLAHASATRIALRKGRGTERIAKLQDSPDMPEGEATYNLGSGGWEDPN L198_05735 MPVPAVTTSAAGSLTLLEDPDKDVRVYALNHLLSIVGQFWAEMS DKLPFLELLADPLSKELSTENRPFAALLISKIYFYMGFQDEAVEFALKAGSAFEKESE GEYKETIISGCLDQAIEMTQRGEKIPVDLQNIVDAVLRGSSGENGKLLIALRAMGLAL SLRRLDLIEMIYVSSRSSSQSSSSKADRVVHDESLLKYVLAEVVSGASGNEAWTEEFR DGLFNLLRRLLHLNPNPDYTAITTVWAQNSDVDPTVETLVKLLEADDFLTAYQIAFDL IEVASQAFIDEVREKLTETKWAAPVDGDGDDVRVILNNILRGDTSAELFLNFLNKNNK TDASILKFTKDSLEDRYSMYHNAITFVNAFANCGTASDKFLRENLDWLGRASNWAKFS TTAALGLIHRSSWVNGNRIVKPYLPGGSAPNKYSEGGALFALGLIYAGRVEFIEEELK KGLSDTNDPVVQHGAALGMGVSALASADEELYEQIRPILFQDEATAGEAAGLSMGLIM LGTASEKALDEMLSYARETQHEKIIRGLAMGIAFLMYGQREAANPVIDRLLEEKDAIL RYGGMFTIALAFAGTGNNKAVKKLLHVAVSDVNDDVRRAAVTALGFVLFRNHTTVPRV VQLLAESYNPHVRYGATLALGISCAGTGLESAIDLLEPMTKDPVDFVRQGAYISLAMI LIQQSEAASPKSTSIRELFAKVVADKHEDPVARFGASVAQGIIDAGGRNMTLALSTRA GTLNQNAVVGMALFVQFWYWFPLAHGLGLAFTPTAVIAIDGKMRVPKIDLECNAKASL YAYPATEKKVEEKKKDKTKAAVLSTTARAKARERVKKAEAGEAMETDEKIEETKEAAP TPKKIKPSEPSSFTFANMSRVTPAQLPSVSFPKSSRYTPVRPLDHSPLTSFGISAKSG NSASGSIVVVRDAKPEEEGEYIELSKALWPGWLPADPEAAAAVLAQATGTTGAEDAAP SGRAAAAASQPEDPEEEADVPPAFEYPFEE L198_05736 MTSVKTALALSILSISALAAPPDVSHAELVAERDLGDQSYAPYM VDCPTNVTWVRNATTGLGDGERAFQEARQELVQPAIEKMMAARGLANPPRTPIIGVAL AGGGYRAMLTGLGGVMGMMNESTEAQDSGTGGWLEGTSYWAGLSGGSWATGSFMANGG QLPIDLLTNLWDMSSDLVFPDSGKLTFYPELYQETNAKSDQGFPIQITDIWGLAIGKH VLPEEYQLSNSPNLTFSSLPSVVGALGNASLPMPIIIAAERESGELVIAENATVYEFT PYEFGSWALGTNYKAPGAFTPLEYLGSEVNNGSSNGTCWKGFDQLSFIMGTSATLFNG IFLSLNSSESSLLNSLVLSILGELGEDELDISRIPNSFANYNSDENPVSDLEYLTLID AGETNQNVPLEPLIAPARAVDAIVAFDSSYDTTYIWPNGTALRTTYERAKVLAEHENV KVRMPEIPSEAGMINGGYNTRPTFFGCNDTSTPVIIYIPSYPWSYAANTSTYQLAYDN DVAEGVMFNGMRSLTLNNTVETWPTCFACALTDRAFGYTSSNRSSECQSCFDTWCWAG DDNTTDPGTYEPEIGSVPPWLLAQGLSTGVAGAPDTTSSSSSSDGDSGDASGAEKLGA SLSLVLLASAVGFLL L198_05737 MPPRSRYAIDPSLTQPALHHLPDRDPPPTGPYAPQQQPTYHAVE TQQQQQQQQQHLSPSATPPVHHIPQPPHSTGQSASGLRVRLDPTQVPDPIEAQSLDQN LYDDEDFYSCQTRGLIPLAGTDYRGHDQGNALPRHLRSTLPCIPSNGQLLDTTALPFA LLVQPFAPLRYDEEPVPLISSWISGQSPHDPPSFRGESWGVEGEGEEEGPPRCDQCRG YINPWVRFIDGGRKWSCNLCGAENPVPPQYYSYLGPNGQRVDHQHRPELQFGTVDFAV PPSYWARQPPSGSLIDSDALASTAQDLLGGLQASLGQGKEPHIPTGKEQREKEKEREK ERRKFRKPTTLSRVFVVDVSANAGSRGIVQLVCAGIRKAIYGSEKEGEDEQDVEERLK PGQKVAIVSVAEAVSFWNLSSAQSAPSQIVVSDLDDMFIPFTSGFLVDPQESRTQIEA LLDRLPQLAEQGREGMRIAAGSAVVGALAGLKQIGGQINLFLSALTSHGLGTLTMREN PDYYQTDKEKILFTPTDWYAQTADQLAEAGVGLNLFLFPDAYCDMASIGALAAGTGGE SFFYPRIDIARDQPSVHDAIKRTLTRETAYNASVRVRCSNGLRVSSHLGNFHATSLTE INFPVIDSSKSFTAVMSHEGRLDEKTPAFTQVAVLYTSDTGERRVRCINMSFVTTSLI GNVFKFADQEACVSTLLKAGLSQITQRSLRDIRKSLSSRCNRILLMYRKHCAAAVSQG QLILPEGFKLLPLWTLCMTKSKAIKGGSVSSDVRTHYTRLLKSASLARLTTLLYPRLL AIHDLAANACFANEKTGRLVLPSFTRTTHAWMVPDGAYLMVNGEVAMIWFAQGVSPQV VKDLYGVENLDELDVRNAELPRLPTLLSTQLHNLITHLASPHLLDRFLPVHIARQNMD GSEVGFADQLVEDTNNDALSYTDHLMTSHREITSEFNGSAKGDSWIPWS L198_05738 MSSHVARKPVRLVLFDVFDTLCTPRLPVHEQYYDEGIKGGISPA HISPLRVRSAFKPAFKKVDASWPLYGKYTTPLLTPEEWWGKIIYETYLEAGAPPAELE KKMDGILPNLLARFESDVGYKLFPETIESLQALKAMGVKTGIVSNADPRIRNICTALT NLSVKTLRSFDILSHITNTPTLSWDVDASKPSLEIYQSACRMAGEELGEGVIMVGDEL KADYQGSINAGLEGRLIRRPGEWSDGAQRQAVEDLESVNVITSLTDLVKEVKERNTV L198_05739 MPEPNKAIQDEELDSLRAIYPDEWHDVPPKKTAWGTEVDGGWWE VKICGLEDERVSVILKGKMTQAYPQQVPPLSLREPEYLTAKHVQQLHTLVQEKAKSKT GEVMIFELIETVRDFITDNHAPLPNPGDVNLLEEKAHREEAQRAAEEANRATEDERKK KELDKNNRYLHDQIQLNTIKKKETADQHKQQLEERRRQESLALLEIGDLETRDLELDE SISVAGYEGKWKWWMLFGGKKEILWTTYAAEPDSSKASSSDAVSFVKASSPNVSVQII DFANPWYSTALGSKRIDSCTVEVLRSQETKSENIVNVLAVKRAKSPKGWERLVLVTEG LSEGVKLRSWIGREGLDEGVTKEYLTQVLHGLSQLHQHNCCQKQLDLDFVLVSSAPHG QKVVKLLGTCYARRIIDMHKSNPFLRSRVETVSEEWISPDERDSPHTYTRPRDMWLVG LLLVQMLFGPDTLNNYHNFHSLVQHAPGLSDSMMDLLTGLLHPNPKKRLTADEALSKL RCFDEITRRAVKMPIHGRTDSIPQSPNELFGASPINRGAFMNHRPSTPHLFAPRLSRY RADFEEVEFLGKGGFGEVVKARNKLDGRSYAIKKVKLRPEDNEQKVYREVNNLSRVNH QHIVRYYGCWLEDLNPPDLTPTVEGGISGLSTGGTSAGQGSTSTEEDIFAVNFDDFSS RRDQSRSASFPRIRFTNENEDDEDSDGDDDESDEDSSTESDDSDGSVSSAATADPSQP QQRRGRGQHSSVQQSKPSLASTSASTNDGTVQRILYIQMEFVEKQTLREAITSGLEED EVWRLLRQVLSALAHMASLGIVHRDLKPSNILLDGDGNVKIADFGLSTSEMTAIEVAS GPATDIADHIDQTSNIGTSLYIAPEVAISRSYNEKADMYSLGIIFFEMCYPFKTAMER VHILTAVRQPSISFPPGWTPNHKANEREIVRRLLVHDPAVRFKATELLRSPLLPAPEK RKEDYDAVILGKCEQLTDPKSTHFTSLLDTLFDPPSHNITEVNHRLVDYTFDNDPDDH LQVWLTVVIQRLVDLFQRHGAVETYLPLLIPETNLLDAFEDLEPVRLIEKSGQVVQLP SSDVLAMGRSATRRQIERIKRYHVGHKYTSHHLGGQPVVAGELSFDIVSPIRSAAAEA ELLEVVDKLISEFRGMRGSSSIEYEFHISHETGKFLFLIMGCFAHNVVVLSLILGLVP ERADKPRQKLLEQFRHLGASNSANGQSQSRNLLSNIAGLSRTLMDELEQCCIAGDFDV VRKRLETLFASGPAKRRLAGALDDMAKVIDATRACGVPRKILFRPTLAKHSEFFRGGL MFECVRRGKQKEVVAYGGRYDSLLEHFKQPAIHSQTRRVYGVGMSIAVDQIARVVSRY ESSLSRRLMEKPNEDERSFGYWSPARCDVYVAAFPQVDMTVRLQVTGELWRAGIRADF QYDDDRSLDEVTQECQDQNILFLIIPRASRSAVKVRSILRRSEEEVPRHDLCNHLRIA IADQRRIDASYASAEGSIPSAQAAALSVEPNQTEVEIKLILPPEPVVSKGSKGRPVRK HRHGTKSVYYDKASDFAAQTQSTLPLLGVDLPPLLLCQMALDMAWIKDDEVWRTLLAK EGIHAGDRRYAEAVREGVQQMRAGTKGNGSGGNDGWMWLFSVRDNKGFLLQGGSGK L198_05740 MTSLSSAVSLAALVSLVTTEVLATPFTTPHAQLLAERGLGDKSY TPYEVSCPTTWTWEGLATVEKQYINERHKLVGPAVEKMMAARGLENPPRIPNIGVALS GGGYRAMLVGLGGVMGLMNQSQEASESGTGGWLEAVTYWSGLSGGSWATGSFMANGGP LPSSLIDSLWDLSSNLIYPSENKVSFYSELYTEVTAKQDEHFPVQVTDLWGLALGSHL LPEDYQLDNSPNFTISSLPQMIPAIANASLPMPIIIAAQREQGELVIAENATVYEFTP YEFGSWAFGSNYKSAGAFTSVEYLGTSLDNGAANGTCWKGFDQLSFVMGTSATLFNSA WLALNQSDTGLVGDLLQSILEDLGDSQVDVSRILNPFANYNTGENPVSSFEYITLIDA GETNQNIPFEPLIAPSRNVDAIIAFDASFDTNTTWPNGTAPRTTFERAMVLAQYQDVE VSMPEVPSQNGFINGGYNSRPTLFGCNRTDTPIVVYVPSFPWSYGANTSTYQMSYDND EALEVMLSGMRSLTLNGSVETWPTCLACALTDRAFAYTSSNRSSTCQTCFDTWCWDGT DDTSEPEEYAPNIGGVPPWLTEKGLSIGVQDAPSSDTSRNSSSESGGFRVAGSVPWAM SMALAAAGVAALALDL L198_05741 MSSSLSTALVLVTIATFIITQAVAVPFNDEQRFERQAERGLGDK SYAPYEVACPSDETWVRNATTGLATAEQDYINQRKSLVDAAVEKMMAARGSDNPPRMQ NIGVALSGGGYRAMHVGLGGVMGPMNESSEAASSGTGGWLEATNYMAGLNGGSWAVGS FVANGGALPSTLIQDLYEIDSNLIFPSTGKIAFYMELFN L198_05742 MPDDFQLDKTPNFTLPNLAQAVPAYANASLPMPIIIAAEREPGE LVFAENATVYEFTPYEFGSWAFGSDFKSPGAFTPMEFLGTSLEMALQMGRAGKVTTSF REERCAMGTSATLFNGAFLELNGTDTGLLGDFLNDILEDLGDDQLDVSRVPNPFASFN SGDNPVSAFDFITLLNAGETDQNVVPLEPLIEPTRNLDAIIAFDASGDTDTTWPKWLP EVPSVNGFVNGGFNSSPTFFGCNDTDTPILVFVPSYPWTSASNTSTFQLEYSTDEALE VMLSGMRSLTLNGTVKSWPTCLACALSDRVFEYTSANRSSTCQSCFDTWCWDGTGLII RPSRPLIRQEQGAYLLGWWSRDWFKGTR L198_05743 MHLYRAKRDTERRGVLKTYKILGFISSGTYGRVYKAILQSPPKS NIASALPSSARVALSISKDKLPSPSLSDKFIENDPLNNPEMCMRPGDRRAKMGDVFAI KKFKPDKEGDVLTYAGISQSGAREIMLNRELHHRNLVSLREVILEDKSIYMVFEYAEH DFLQIIHHHSQTARVPIPPSTLRRLLHQLLCGVHFLHSNFVLHRDLKPANILVTNSGV VKIGDLGLARLWHKPLAKGGLYGGDKVVVTIWYRAPELILGSKHYTAAVDIWAVGCIY AELLSLRPLFKGDEAKMDGKKTLPFQRDQMGKICEVLGPVKRKSLSPGLLFLLTLDFT AEQWPGIVHMPEYRTYQATGPYPNPNPLPTWYHARSQSTEGYDLLVKMFEWDPARRVT AKDSLKHPWFQEDGGVAAKSVFEGSNITYPTRRVTHEDNGDAKMGSLPASMAGGPRLP SSSNFKPASATINQSTRKKAKI L198_05744 MSLAARSPRSRKSLSAFDQNIQHDIKPLAPALSKGKKRAAASIG GEGLKELGLMVNKMYDMTAKRNPRHHLQPRKSILKPRGEDDTMEFTQQYAHTLAFGSL PDENSDPSRGARHSLGGRRVSFAPNAHVRMFGGPTAKNPRASVGLNFTEATNPPSKSN HSRRSSIQNIGSVSKPNIFAHGVYTGEGEGEGEESMEIEDEEGFEQHPDQQAGYEDQG EESMDMEEDDMDITTQVYGGIIRRSSMAPSANNSEELDADADTEDEADSTDHSQLDEE QTMDFTVAIGGFLPTQAPEGALSNRNSIGYSFHDPNGGFAPNLIPGEAIEGEEYVMEE TETYGQIIGQDVSFSSGSEDTMTSRDGGGEKTMTFTYSFPHEASAPAEDDGMDMVTSV GGIITSPEKTASNVFAAPRQSTSATPSFARPTVASTRRSSTGKRNIFGPSPSPAKSPA KSTTPRKSAAADVAKRLSFEPTSASGSGKKRAREDQEDTEIAKRSRVDVVADEVFGTP NVFDEEAREQKRLFPTTTPKVDPGQQVLSARRSSLGTAMRLSFSHEAPVAQAEKVGEV EDFPVEEEPQAISLGAFLEMTGVQFMEGLPGMNRRKSSAARGVLGQPYGGDREFALHE YTEAQVNHAANKLRDDINTGNEELLAVEARCEDDSPPVIQEYLSASEEDRQLFEMTFK SFKTNTHLKAREMWYDWKRQLLETIEPEVAGALQGMQEDAIRLEAINGELKYLLPLMR KRKQELEEELVREREAVKEIAQCDQAELAGYREGIAEQSAQITVFSTELNDAQTKLSA LASKLDELLTKKHECEAAIAHAKSQCDQFTRSEAVRLQEEYTSLQHLHLWRPLKTLPN HLSLSYDNEISVSFSCHNYIPDISSAELEYLEKDSQEKGKKGVASGKGWKGESPTSCL FEITKAAMKDLFKQKNITISSFIQSTGHLWSQSQRLRAELRYMTFHHPLTISYNRSST QMQVGAGVMVRGKKSKVVVEFGIGKGDVGGYPGSLGAMDVGVKTIYGSADASVLEQVA KQTIKLSSPEACLGTLLQVCAEVASKYSS L198_05745 MLPRASLLSARHATALPAIARATSTWASVPAGPPDPILGVTENF KADKSPKKINLGVGKSPSTRIRDGNGKPYVLPTGLADFTKLAAELAYGKDSKPIVENR LAITQSISGTGALRIGTAFLARWFPNAKTIYLPTPTWGNHIPISKDSGLEVKQYKYFD KDTVGLDFEGMKADIEKAPDGSVILLHACAHNPTGIDPTEAQWKELSTLLKSKNHFPF FDMAYQGFASGDTLRDAFAVRYFVEQGHQILLCQSFAKNMGLYGERVGAISFVCESEE EKKRVDSQLKIIIRPLYSNPPVHGARLVTTILSDPTLNAEWLTEVKGMADRIIEMRER LYNKLVQLDTPGEWGHIKSQIGMFSFTGLKAEQVDALAQKASIYLTRDGRISMAGLNA GNVDYFAESVSKAVKGTL L198_05746 MNALRTLPILNRPSRPASPAPATVQSTTANGTSVAPGDKPRSRS LSRQVADKVSSLSTNANGTVPAANGSMPPPATTQPSQPLTKKISPPASRTATPRSSSA ALPVANTETGPAGGYMDVIGLRLNDVVNKASAGVDFKARKGFKKASGLAVGEAVVKEL PQPPCDAYLMRAVLRAAVRSLSIYSTRLESLLLSALTDTSFVSPLNLSAPSATSHPLN PVQYFALSVAHAAWETCETLEQTLETGKWPKFVAETLRPVMDKMDLVVSKVVQPMMFG LKRDLVASLSRTEGISPPGVKTVGLASIPAPTNAAPQPAAAQPASRLTKEFSGSGAPR QLVIPPPLQHFASRVDGARKIFEIIAKPCADDGEVWVTGVMVAVIWKGICILAEKGSA PLGSRPPSPSSVARALSGLGKEKEKEPTVAASPSLQGVTAKLASSLSINPSRSASRAP SPPRQNGKLHPTTHSLLSLEGLVKRLVSGLVQPPSPTDDADEHIAREALSEALEALQS FRVIASAMHSGMAPSSRILASARRLRDDVEDHAEDELDDAMEDLQDVILFTVLSRQAN IVLAGVPGLDSEKGEARIRHPSDIWGWTMQEYERQVLPGFSTADEWGRRVAVAIKGEV EQVLSHLVSLSASRGEKVSRGDRLGEAVEWVKAVGVACDAKCGVKVADAV L198_05747 MPAAPTVKIARTNSVYDRSSRASASPKSSRRSATQPKVKAQPAP QLRAATQARKAQESLYFEEDYSDEIVEYMTSMDNCTLASADLMDMQPELQWFMRSYLI DFIIEVHQQFRLRPEVLYLAMNVVDRYISKRVVYKKHYQLVGCAALWIAAKFEDAKDK VPLVHELSEMCCKAYDESAFIQMEGHVLSTIGWVLGHPSAEAWLRVYSTGIRYEDQKV ANMARFLMEITLFHREFIGIRSSTVAGGALMLARFICGKAYKPCYPGVNENLAARVAT AIDKHFTQDLEKVSEIVIRKYSPTYYDRVSTICREWYLSGRRYNYNPVVPSTPVSGMS TPGLAPSSSSWQRGSWAASPAGSCASSEAGDETPVTPITPIHSHVVDPFSVAVKENIA PDTGKLVAAKARAVIEAAGLTGKQQPAIVYPQRPALHALPAVGEHGINRAMRRLSN L198_05748 MFAIPLLSLLPLLLIPLSATANPRPLNHPHNIDHPHRRALKRSI TSDASSVNGESFDFVIAGGGVAGLTLAARLSEWSNVTVLCIEAGGDGTDVEDKIDIPG YSYLNSLTGSAYDWSYNTVAQTDNNDRIMYWPRGKGLGGSGAINGMFWGKAAMEEYDA WATLNPSGNETWNWEEMDKYMKKSENYTAPSSDVKSKFGLVVNASAHGDSGPIQAGYT EYIFDEVAKWIPAWETLGLSALDLAGGSTHGAQLSTSTINTSNQTRSDAKAGYIDPLP PRDNLVILTKQQVTSVVFNGSTDASGNIIASGVTFQSAKGETSYSVQANKEVLVAGGT VGSPQILQLSGVGPKTLLSNLDIDVNLDLPVGYNLQDHISYSMYWSTPQGTLTWNNLS TSDTLQASELAEYKSSQTGMWTYVNEAVGYPSMADIMDSDSAASTYADDVSDKISDTV SDVTSWLDLPDNVATGLTAQYKIQQEWLTGDIGQLEIILTMLGNGGNEMGIQVALQHP FSRGTILINSTDPFTQPHINPDYFGVGFDIDIIGYGSEFARRLAAASPLSDVMIKETA PGSTVTGDTLANYTKNNAGTEYHPVGTCSMLPKDSGGVVDTTLTVYGSANLRVIDTSI VPLELSTHTMATTYGIAEKAADIIKKKHWVVTAADETTSAAAVASTATAGKATDTAVT GSTNQDSADSSSSTLSTGAKIGIGIGAGVGAAAVLAGLLVFCLAKKRKNKKVDEKGWY GDRAGGWNEQGGAETPYKAAGPAYPMAAFNSHDPYDSPTPGFVGHSRNDSFNTIATAD LASRTPMRNSSSFSYGGAGLGPDNGAGPYRDHDVMSDDGGHGHQGQGHVYQPPGAGGH TAVAPGQQQWGSQVYHPVNIR L198_05749 MYTANPLHQTLNLYVSPTQYIFEPATTNVPSHRGPTFLDEKEPS QSLVVDRHTGNMSLDNDTHIPFGREKVITCYGIIGTLSLATTDFLLIITSRTPSCRLL SHPIYLATDYRLLPLSPLSTSAAILEHPVEKELIALVEQGLKTSRLWFSYGWDLTNTL QRQEDIEKKGEALPMWKRADDRFFWNKFLMEKMIDVTENQGVDLSRFILPVTYGSVEL RSSNLNNRDILFLLISRRSRYRSGTRYFTRGINASGQVANFNETEQIVLFDPLPENGQ EYRRGRVDGRERLSFVQTRGSVPLFWAEVNNLRYKPDLQIMDYTETPQALKAHLDDMI GTYNHTYLVNLVNQKGHEQPVKEAFERYMSLLSSSDPVIREKAHYVYFDFHHECKGLR FDRISLLIDRLAPAVEEMGWFHSVNPQSSTYANTQDVEGGARVLRKQDGVVRSNCMDC LDRTNVAQSALGRWVLNQQLRKVGIISIKESVEDHDDFMLMFRHVWADHGDTVSRAYA GTGALKADYTRTGKRTKEGLLHDGYKSIMRYIRNNFFDGDRQDGFDILTGAWVARRGG VAPLTDTRPLIMRSMPYVFSFALTMIFAALTLPRTSSLSISSFLVLWFILAFLSGSYI WGNGTSYVSWPRLNPPLEILSYAGPGARSIARGRGLSFPAVFDRRQKNGPGAGLLGAA VGAGKGDGRPAAYKLEELELGKRKGALID L198_05750 MLEARVKQAVVLKKLLDAIKELVTDGNLDCSDEGIALQAMDNSH IALVSLKLEPQGFEGYRCDRNMPLGVNLASLTKILKCAKDQDVVTLKASDDADALSLI FESPKEDRVGEYEMKLMDIDQEHLGIPDTEYDATVSMSSAEFARICRDLTVLGESVKI DCSKEGVTFSSDGEIGKGSVHLKQTAGQVKKPVKDEDDDEEEERDVEMEDVKPKVKKE RKRDPDEEEEEEEEKDEKPEVSEGEEEQEEEQPKKRKSAAADKKASKRVKKDDASGEE PGVSITLERQVSLTFSLKYLSNFAKSSPLAKEVTLHMSNEVPLLVQFEFEQGTLQFFL APKIADE L198_05751 MQSIIDTARNAASAVTSSVAPAHHHSEEDHAKSLPADATAGPIK SIDEEGLLVFEDDAVRHGVLVKIRKLALEDERHGLGEVAALNLTDAEGEIKKGIDYYH PKRYFTVTRATGTDYIGEVEIEQGKSIHIRAHKAGAAHTPTFHSIDTRPSDEGGAVFK TGEALVWFDY L198_05752 MSSLTELFQFLDSQNPSARQLALQNLVGHTPKSAPERHIFIPSS FAGSGATGGGLVPNKRKDGTEEDEIKLKALKDLTLLCMDQGTIAHDALSALVNLSDTL AVAKHIAYRDFLVWLVSYTAYTTSPLSPLTSMLLSNITSHPSLIPVLANLTIPLIPLP KSTHYPPYYLPASGSSSSTIHPDFRDPSLGLPPNAEVGQEPEREVEAIRALVQAFEDG ASDGVRDAQGKRKGECHFLASVFANISMAPVTRQLLLTPRPPFPQPAEAQPSEDDEPL LSKIVVYTGHPDLIRRGGALGCIKNCAMDRASMVWLLASEDDRVRLPSDPSRMIKGVD VLPWVLAPLMGPEEYDIEDMEKLPPTLQFLPPEKEREKDTVLRMMCVEILLLLATTFT GREALRNRGAYFVVREHHKVETDQQIKDSIERFVGLLQRDEGRESKTDEVEGIVKGGA KTEEGEGELDVVEV L198_05753 MISSFRPASKDIELANPPTDSISALEFSPTADILAVASWDNNVR LYDVNSQGQSQGKAMYSHQGPVLDLCWSTDGQYLFSAGCDNSAQMYNVATGQAQQVAQ HDAPIKCIQFAEVPGSGQVLITAGWDKKLKYWDLRSPNPIATLDLSDRAYSMDVAQQL LVVATGDRRLHVVNLSNPTAIFKSIESPLKWQTRVVSCFPTGDAFAVGSIEGRIAIQY PGEDDKRNYSFKCHRYDVPTGTMPRTPAVTGSQNVFAINAITFHKVQGTFCSGGSDGS LTFWDGISRTKLKTFSCKDLNNGDTDVRPPRFGTPIVASAFNRTHEIVAYALSYDWSK GHSGVPPSGQTVNKVMLHPVKPEEVNKKPKRT L198_05754 MEKPNYIGIYAPGDFAFQTYRIVFPVAPGSQQRGGGRRPKRGRG YRGWREEVVLETEQRSDINALLNLISDLAKDPEGPIATRHIVNPSQAKDEGVRLIWAD DGEKGEEEMRDWPRREQMRKEGKTVKGEDDAMVLSIQAAISSDGSGMGAQWQTLESSS WTNRVFWADSLTPKSPTPAS L198_05755 MRPALPAISRILSRPSAISVRSFASQPTPSPLNSNTAASPPGGP QAPLEYCSALVKKLDPEAWLCSYFWPGKERAWWLAWRAFNLELHSITTTVSQPALAAI RFQFWRDTLKTIFATNASSSNVPQHPVAVLLADMKRHRPIQRYYLSQMIDARAKALSL PPSSSTLESHLHLHSPLSSALLLGPLPVILPPTHPESAHLAHTLSHLSTLLTVVSMLR NLPLLVSSKRQINLPADLCEKHGVVEEELLRKGAEANGFRDVCWEVGTRGMDELITAR QDLKSTGGKVIPSSVMPLFLSAVPAENYLQRLEKLDFDVFHQDLQKYDWQLAPKIWWR YQTGKL L198_05756 MSEVANAVLYKPNEHADEYQVFVDDVAEYEAWKGGAKDIALSRF LGIFSIFKSATSGHTGSLGAISKQEVENVFFGDDKNVKDKSVEAAIVIILENGKLHKG DLAHSNKINKNPARGLGDTRAPGAAQGSHR L198_05757 MPPQSALIVGASRGLGLALVKHYASTIPPSNVYATVRGHVSSDT FPKGVNVIQDVDLSKEDCGRKIVNGLQGAAVQIVVYVAGVLKPESLEKLDWEGQVLMY KVCSIAPVFVIKELLFASSLSPDAKVALLTSEGGSIALRTEAEGGGMFGHHGSKAAGN MVGHLLSYDLKQRGIPIVMIHPGFLKTEMTKNAGFEQFYDQFGAITPDEAAKPFADFV NQLEPSQSGKHWAPLGGKGIGNAEEVLGKEAADKSGPLEIPW L198_05758 MVALNPLFDGAEADRVIGLSQSMKRASRFRNEEIIASTKLTVES FGQTTIPSHVFLTIPVTQEQLPVSWVDQNNPSSFVETTVELADWRQDHQGNSNLCRVE RLSHLFPVLVPLGEVGASDAQEIQELWDRLQVLEPAQAPSFYPTAGHWVPDHDIGHIW TMGMPAAAAADRDLTWARGPLPSADELTVAFGSLSTHEEHDSLEPAIAGNSRPPSTQY MPPDEEEQPSADATFAHGPTGAAESAASGLIRGRVRASVARREALAEQEGTFGSYAPD EVQSWTFASPGTTERDDRSSRRRKLRLWLRKKVTGSDEFSEWAKKTRSSFQTTWDTAK TKTKAGLDYTTSAGTKSKTAMSNAWNSTKSTANSAWSKVSSVTSAAWKKVMSAYHWVV DGREKTTPAAKTTDTNAASADNKESKASEESKADVKSAEASTESDGGPKKPDNESKKD GDDS L198_05759 MSSPAHSPPSAIPRAVSVSDTVRRFEARSGSSIPTRIVSSPAST SATLPARTPPHSPRKNPLRNSPSSNRGSPSYRPPSEAAQPVASTSTATSPPKPRELRL GNPRDERRASETAGIRQRGQGVPSASRLPHSVSLPRDANLIQPEPDDFSPRSETSSQS GFGYSPSRTRLRPSISISGVTPERCMPPLRRRAEKSEGMIRTGSADRILRVKSPPSST SRQSSFTQAPSSAPSSQYFTPSPTTNPLTSPMSGSYDEEERTDLIVDGYFSLGDARNT VYLDSPAISSPPSATDPYQEREDVEPAVNSDGVPTLGNVVLPSDLSRQLSSRPVLHSR SSSASSLSVNGTSGHAGLRRGSSGIASPSLSRSGSHSRSNPPLSHNIPTTVIQEPSPR KSPRNSPQLRINPPALPSSAKLFNAISSLPTPPVPTKSPLRNFSGRSSVVSSSSRRSG GEGEERSEASTPHKTPQRDGNHAQERVKKVGSMESVMAVAGTPLSAATRMERMADVTT VESPVKSPLTYSSPPAMTESDRSSSLAEVESPVGYESAAPPRSSQDDRRGSSQSQNEI RFTMLTAPSIYSQSSASGSNYDSGPGSAKSWGTEGTTTPRSAESRFFTASTSSNPQPS PSGKLGTERPNGHELQKRRPLSGVMDHIHVGGWGKKKEEKEKGKESEKQRRFSNGSEK GHRRSSSLPRPSFSMSSDNDSPYANRPSFETRPSFESRPSFETRSSFEKRASFGTPNN SSRHLPPTTSTPLASIPQERSPAKSPSLPILRDPSFPTIATPTGAATGIGKKRAETSK ESLSSLLSGTQTAKSKRSHVLKEIAESERAYAKDLALVRDAFMMPLNLQIGDARPDSM VSFSSSTSSGGGLTPGTPSTTARPGHSKRSSIYTYQTAETSRSSTASAGGPPSATSIP KSPSEGFNMGYFSNKAKSRESLVSFNTPNQAIRTSGIMAPPVGKPLSPADIKAVFLNL DHLAAVADELATRFEEALGREEDEEEGAGKREGEKGDDRLGQVFVAMVPKLRPLYNYY CSRQPSASRHLQTLLSSPLYALHLKAQWAVISPHTHAWNLDSMLIKPVQRLTKYPLLF EDLGKVTTPVHGDYFGIREAGIESRKLAAEVDEGRRRKEVVEMALSNGPAVKKPVGTD KKEAKPQGSKLLGLKRFKKSSVTTSASSTTLASASTGPTAPSLLSDSSLHTLKTHQAR LQIFNTSLKTLGQDILLWTASAKEVLRAEDAVLRAWDHVGRLEEGDRADHRVLEMRNV VDGLVDGAWSELSVEIKTQILPILSTLLKSMTNPSKVLTKRSSLHADYTKYHSHSSAP LTKKLVALEPNVVKNAQEFVALHEQLLEELPDFLEGCMRILDIAVVGFANAQARYFSN IRVRVREFCLVWVKSPIGVGIYEGADGTRSSDEQMTGDDLEKAWYECWKGCAAALDKF QCTQSCNRTTSLTNSTDLPSPTLRHSASTNNALSSPTSLFFGSARGDRLSGAASSSGS AGASFIVTSPGGKEDKREGGGSRFNLLRRSNSKSAVPQLTTPSKPTHTRQGSGLRPSS ATSESSRRSWGLPQIPNTTSEGFKGLGLSPTNSLTVPSLTNGSLVSPNPSFGFGSSGR TPEFGKTPEMGTPRSGISGYFTSRTPDLNMLSGDRHPYSRGDVPMPMRSPGRRGSGHP FASPTLPPGAAEPGDVSDGWREEPMLYQCACVADFDPMELGNRRYRGLKFLRMLPGDL IDILHEVGRIEDLPLFPYPGVGVENDGVLVGKGEDGEIGLVICSFLEPLRD L198_05760 MSTRSTSAGSGAAVPEGKARLLYCKSHVAIHPTAFKTDNISGYL GVVETQSSKPQPADEEAKAGQLSVAGEGLLVTWVPDEVLERMDEHDRDVYKKLGHKEE GDEEEDGFVFVSVPPPKGEKYAFSVPVTNIYSILVYPPSLSHWYGSATINLTGGISLP TLYFHDDESPLLASPKPSPQPDAAARPTPRARWGFPPILSLLESRAVLVRSRLLQGKR SMGAELWLVNPSKSDREVHEAGVPDEEPETVPTRKPPQTQQTNSPRKAEYPPKPDYPA VYPGFAGIENLTPKQSILTGLSSLTSLSRRKASQLLSHPLAQPVVPHLPPAFKSLVNV PGEWERNGRTQGANAQNGGRGGSDVASEFESARLYLAKWARVVAEEGERARREELAAQ ARIRPSSPTASTADVDEDLASSLGVFSLLPKSYAKRPVPSSTRHPQNPISLQDWVTFE VEGKDELFVRQQIFRRGFSTLPVDGQALREGWEVLLSIVPWHIGGADTSSDGLGKRCQ ERNEVRDGKRQVYEGLKRKWKADADEGRGDEGWKEEWHRIDVDCRRTDRNQPIYAVPS DLAKANAHEKEGSTQVHEYGDGNDEDEEGGMAPLNHHIASLRTILMTYHTYSPELGYV QGMSDLLSPIYVIFDGNEADSFWGLVGVMKMMESNFLRDQSGMKKQLSQLQQLIAVMD PELYVHLERTGSLNLFFTFRWILIIFKREFPFTTIPRLWENLFTGYYSNQFVIFVALA VLQSHREVIIRYLVEFDEVLKYANDLSGTIDLESTLAQAEVLFISFQAMVEDIDKSTA EEPQQGELRQRITGKGKGKEAEPQEEPEVLREEQQKVQAKKLISSDLRELLVGWTPGQ DE L198_05761 MTSFAKASFDVATYLHCRPSYPQRVYSLILAYHSAIRSSPQWGT LLDLGCGPGFVASELAPRFDQTIALDPSATMVSIGLQPVGNDKDGSKPIQYKVGKGEN LEAAGIQENSVDLVVAGQAAHWFDHTRVWPQLTRTVRPGGSVVYLGYAEMQFPGHPNV TSIFSNFSSSVIGKYWSQPGRSIVENLLDDVPWPTTPTPVSANIQQTIAKDGLAASQA DHGGWDISTAIRIRHSPESPFLMEQSWSLAQLEGYLRTFSAVHEYFAANPEDKAKRIG KGQEDGKGSPSGDVVERVVWELGQELEKAGVLKNGKGKIEVVWPLVLMMIKKESAV L198_05762 MAPREKGPTKNQYSQGLTYVAQKPSFLQNFGAPPASTSGRPGRE ALPERPSEGEWAGGSDNEDEDEEDEWSRALGGGGDEGPQVVVLKEGRHLSRDEIERER RRAKGEKTPPPEETKTKAPKDPSAKTDKEPKRPIIPKPNLNKRKLVGNDPEEDKADDA KKDGVDKKKKKKAKKGLLSFNEEEEV L198_05763 MPEDQEGNSGGDRGEYHTTPPDLSYTDTPGQERIQERRPVPHSS DEDEASLDQPQNTPHPVSDYMSDFNRQLGQGRTTGSNRRGEWPTPIDPFAGIDSYIAA SVDSQGLTATAGGPSAHDLPPLLSPTLPQTVEDLLEEARTLGEDANRSSAAAIAPSER LSVSRTAHRRAGSDIRWRPSQPIPIRLKSKPKMEQSSDRQELLQNAVLFLNDPKVQSS SLISRIQFLESKGLTEPEIQQALNQAAGEPSIQAAGLGIGSGSGNAPPERPRDPAPRY GYQQAYAVAPEPPGRDWRDLFIMAMVTGGVVYGITALARKYLVPHLRPPSTTAFQETS TSLTSQYDEATRLLGELQSETTKLQTNIEADRERVDTVVGDVEDALQSLRDGEERWRG EMRDIRGEVESVRELMIEKHAQSQSTALADLQSEIRSLKTLLASRQAAISSPSGSNGN LPSHSGAGRNVSTPTTHAANALLGPRAGRASIPAWQMAPTSSASAGGASSPGGRASPA KEETDKEAVGSE L198_05764 MRAWSGDTSPSARFKPDSQLLLSLLLILPCLCGVAAQTSNATCE QTDENEWMFNSDGESPCLVWSKIQSLCVSSESFINVPPLLDPSWSYNAPSEASSECYC NSVSYGLMAACTYCQWSNSSIPSEESWASGCQSYNSDGLGFAENVEDIPPFAYQTWSG AAWAAATVSSVTTGPSSTPSYSTTLSRSFPTSNNPFSSSSASTGDSLTTKTASSTSSA SSSTATSSDSSSDDSEGKSSTPWGAIIGGVGGGVFGLILLFLFWRWYKNRNRISNPRS PFSSPHDGTAQKRTKKARVKYPLPPQSFRDSLAAGKNFLDMLVGGGSGIEEKGKRDTE MMNDPEAFSAPRKAPPAPRSQAGSGLAWKTRSKSLRDEDWSQSDQEYDENADRRGTKR ITWVEAFLPSARAEKREREKEDMRYTTTSQRLARNTLTPSEMMEEESEAGMESARGDS PTLPVRARYPLPPAPPPPAHIPPPAPDQLPLDFSQAPSRHPSLRPVSGNTLPSLYEPP TGARTYRGSTMYSPASRYPGNAETMFEVHDRGRERPMSESTIGAALNSASVHGGGGWG RDSAPPLPTPHGDLR L198_05765 MSALEELAEKLYPHAGFIGGLVMAVILGLVIFRQEKSRKVLDPV EWRSFKLIAKDHLSHNTALYKFALPRSTDSLGLPVGQHISVAAEIDGKQVVRSYTPTT LDNDKGHFDLVVKTYEKGNISRYLSLLTIGQEVKVKGPKGKFVYTPNTFPALVMIAGG TGITPMYQIIRESIQTPGDKTKLSLIYANVEEDDILLREDLDHLQAHSNGRFTVHYVL NKAPENWTGGVGFVTKEMIEQAMPEGGVGSATHGEGHKVLMCGPPPMITAMKGHLAQI GYPAPRAMSKLEDQVFLF L198_05766 MPATTPLPPVGGEATQTSLPAPPQHPTTGLPLDSGFSLSLWLQT VRNNPLLDHRTTESLPAEADVVVIGSGISGSLCAKSLLESDNPPKSVVLLEARELSSG ATGRNAGHCKPDQWRGFTKYEDLHGTDQALKATNEQETWEALVSYVKKNEVQCDLWVG KTLDVCMTDGVADTAAKTFADYKAAGGDVSKIEVTADPLKAEQVSRIKGAKSVYAWDA STLHPWKLVAHIIQSALDLGLNLQTWTPVTSVTGSAHQWTVHTERGEIKTSKVVHCTN AYAGVLLPETKGAINPTPHMCNKVIPPTSHSGTKALCNSYAVLYPTGMYSINPRFTSD GAVLFGGSAPNQYKLLEYVAEDPEKRATDDGLINFEPVTEAVRNLGSDGLEWDLAPGE RGTAPRYDYAWSGIIGRSADHLPFIGAVPDKLGQYMSCGHNGHGMARTFTCSKGLAQV VQGLAWKDTGLPESFEVTKERLDKLRK L198_05767 MTQVQVPIDAPAVAIDGLYDRFHDRFATGATLPAAYRIYNLKQL AYMIKDNEEAIKAAVLKDLGKGDFDVYLGDIWIIYNEVELAVRRLESWMKDESRMGDS ILSMQVMRPRVKKQPKGVALILSTWNFFWQLTLTPLIGAIAAGCPAIVKPSEHAPTSS ALLAEILPRYLDSEAYGTVLGGSEVAKALLAKPWGHIFYTGGGEIGKIVAKAAAQTLT PSTLELGGKSPVIVSSCADLKIAARRMFSIKTLAAGQMCVSPDYVLCAKDRVDEFVEF CKQTLDEFYPPAPSPLSLLSTPQYAFLRTDAAYDRLVGYIDQADREGKLVYKGERDEE KKKMGISVIRLAEGAVGETGKVVEEEIFGPVMAIIPVENVDAAIKYVNAGPHPLALYV CSSKRQIFTKIIHETTSGSATWNDFGFATFARNIPFGGVGASGWGSYHGVDGFNTFSH SKAVLEIPYIFEPLMSLRYPPLGSWQKTVLTWMLFVGIPFGRPESVEAEAAILWRKKW GWRAVYVAALAVATGGLVYQGKPRGF L198_05768 MSGFPEHVNTSLAQIDALYETLNKRFASGATQTLAYRLYNLKQL GHLVNDNLERIQAAVEKDIGKGGFDVLNGDLWIIFNEIELAVRKVETWMKDEGRMGDA LLSMQSMRPRVRKQPKGVALIISTWNFPWQLSLNPLVGAIAAGCPAIVKPSEHAPTSS ALLAELLPRYLDPEAYGVVLGAADESKALLQKGWGHILYTGGGEVGKIVAKAAAETLT PSTLELGGKSPVIISPCADLKIAARRMFSIKVMTAGQMCVAPDYVLCPKDRVDEFIAA CRQTLDEFYPPYPSPSSLLNSPHTSSLRNASDYARIADYVDKAEQEGKLVYKGERDET KRRFGVSLIRLNDGGVGESGGVADEEIFGPIVAIVPVDDVDAAIKYVNARPHPLALYV CSSKRSVFTKVISETTSGSATWNDFGFATFARNIPFGGVGASGWGNYHGVDGFNTFTH QKAILEIPYLFEPLMAVRYPPLSSFKKTLITWMMFSGMPYGRPKSVEDEARRLWKKKW GWRAVWGVGLILGAGAFAQKGRFLKN L198_05769 MSELGAGVWVHTRIPDHDRLTLDLGVAGLHADMSLGEATAYITS LLAILKKRQEVLSQKEEFLVWQIGQFHHILSAPPEPNVASSAL L198_05770 MSRRPTTSRAGISRTASSASIAMPPPSRVPNRPPSAMSISQASQ RGEDAEGRPTSPSRRARKVTGGSISSKKGDQGLHLNENGEINIQVVVRCRRGRSTQEV TQASPIIATTGGPLSKQVTVETTPQISSTMSSFTTASTYGGSHQPSTKTYPFDKVFGP EADQTMVFDEVAENMLAEVLAGYNCTIFAYGQTGTGKTYTMQGDLELTNLGAPKSTAG IVPRVLHRLFNILEADTESEYSVKCSYVELYNEELRDLLAPEYKGEQGGNAGLKLYED GKKGVNIQGLEEAGARTLKEALGIVDKGVKRRQTAETKMNTESSRSHTILTVTVHVKE SSMQRGGEDMLRIGKFNLVDLAGSEAIGRSGATDKRAREAGMINQSLLTLGRVISALV EKGSHIPYRESKLTRLLQDSLGGKTKTTIVATISPTRSNMEETLSTLDYAIRAKSIRN RPEVNAHMTKAGLLKEYVGDIEKLKAELTATREKNGIYIPEDQWRELQAVQHRQKSDF DEARERAGAIEVALKTAKKEFDEISVKLITTSDELAQVREVERTLNEMLDETKLVLGQ MKVKLDEEEAVSQAYMQGEDRLDAVAGSLKQVATESVNDVGSLFEKIARKAKVLGSNA DAATAFGGQMQGLSQGLNAGLAQLLNAHSAFGDSVRSEMSALALTGQQATQHDLAHLD KSFAAFTDLAQKLSASNEKGQRESADMSKSLLAVKDEVQSSVREWAQGVSERSKSMVD ELLEHQQLHLTAVGSVLASTSDLVEAIIATSQQHLATEAAAALRARELAVQRSTSEAE RLRSQNNLLMTLLANEKSKTAKLRTELVGNLTSMIEDFTDAQDKGWSEAVEKAKRENQ AGIVSLEEFGDEVGEAWTEGEERRKVFETEATTGREAGARQKELGQQALGQVREGLRQ RLIDYGRETMGEAEKHVQVVDGFCVKLTKGASNVASKTSARGKKNSELVEAMTKNASS THEASKARSTAMAGSIEALTSTLLASQSNASATFAQNHSSAESSLQAIMSSAATFLQS GIEEDVPTGITPRKKTWNVQSSWGRTGPREAILADWRRRQGIPEAEFGTSGHAEESSI GTDDTVKGDIGQLSCSSQPISREGSIVFPSQTSSREPTPSSIPPPHFLNASTRQASGQ TVPKTKALRLPAGKKLSCDGADEPRPVVAVLGEGGVNLPRRAGRRGN L198_05771 MVRLSPITFPGLILVLSLISSTYSRAVSNTTLGARGSSFSGAYQ TCVSVSGNWLGFAYNFGCLCSEDIDSFCSNNNIDSSFKSVLKSYVSSKGSSKFYPTNS QPTCDGNGGYHCGSLSITSKGCGTSTCEVGKYSTNGGCCPRGQTYRNGKCCGSVGCNF GQEKCTPTLICKTYSSNGVCCPSGTSGYTGYSTVCCPKGQIENGSTGKCISQCPSGQE YNSAKGKCEATCDTSNGYTYQTTYKGSGICCKKSHKACSTVCCPVDKPEVGSTGVCCP TGSVVANGVCTSPSGATTTTAWHNRRFFAEQVQLKAAAFVASYGMPENSAGVLCPKGL AACPIPGAALDQYECLDSTSELQSCGGCASLGSGQDCTALPGARWMGCNSGACEVYSC KPGWARALNGTVCERL L198_05772 MSTLQGFTIPKTQTAAVVESLGAELKMDNNHAVKTAEELKPGQC LIKISHTGVCHTDLHAKTGDWPVPSSHPLIGGHEGVGIVVALAEPAETSPVKLGDRVG IKWLADSCLDCELCRRGYEMNCPNAQLSGYTVDGTFSEYVVSYTRHVTPIPEGLDSAG AASILCAGVTTYKALKVSNTKVGDWVALPGAGGGLGHLAVQYAKAMGLKVIAIDTGDA KEKLVKSLGADAWVDFRTTKDLVGDVKAITGGLGPSAALVTAANKSGYTQAIDYLKPS GTLVAVGMPDAEMGANVFWTVFKSIRIQGSYVGNRQDAYEALEIAAAGKVKVVYEQKT LADLKDVYEDLEAGKIAGRIVLEVAKE L198_05773 MLALADRRIFRTQTTLRWARALATQAKSPDDGWQTVIGLEIHAQ IKTGRKLFSKASTSYGHVPNTNVDLHDAAFPGTLPKLDLKAVQLSIMTALALNCDINP RSTFDRKHYFYHDIPSSYQITQHYNPLARNGHLQIAKGDNASPRTFSVGIHQLQIEQD TAKSQAVGDSVLVDLNRAGTGLMEIVTKPDMRTPEEAGAFVKKLRGLLRRVGSADGDM EKGNLRVDVNVSVHQHGKPFGTRCELKNINSVRFLQAAIESERRRHIAHYTSSPSTPI LQETRGFNELTLETFSLRSKEEATDYRYMPDSNLPAMIIEPAKLKEGLPEMPWESVER LGDDYGVTKRDAETLLGLDEYHATGIAYYEQVVSGENKIARKATNWIVHELLGQLGKV DREWTPHVVPAPLMRELVLAVEGREITGTTGKALIKHFASLSPSSELPATLDPILDQL GLTPSPEASEDLSETCMKALANQPKAVAHFKKGNEKAVMRLVGEVMKISSGRADATKA KECLLELLKE L198_05774 MSRGGFRERPIIVISDSEDDLDEAFVLPKPKALSRKAGKYTIVP ISKPDSKMPLSPRRTVVFAPSPAKPDSVGSKPNVTPAAKKPIAKFTKSPAPQKKAIVI SDSEDEVDVESLGLDQLKTGDPDMIVGRSFGADTDDDLASAGDVLSSPTRRPSAKIPS LLTSSLPLTPTPVFGKSASSSVCESDSEEDWVPTRTPRRTPGKAKGLILSEDEEETEG LPMGRTVAKSKRPNASIFLDLAADVEDEEVEEGEDGYYDEDDSYGSLRDFIVDDSDAN DYAEASSSDESEEEDFQSRSERKKSRQPPQDIEEVGDLPEDGENSGGTRGISWGDEDT NDFLRYSPPRRPVQVSDLNIKSLTIIDGTDSESDDPLPIHIPNRPKKTVKTKAKPPDI PSSKARAGMTKKGWNQEREKIASSLFKELDETVFQKKLGEKGAKVTIEWNSRLLKTAG MARQRRPVLKDGTFSTVYLIELSEKVLTDESRIKNTLAHEMCHLATWAISGDLKNPHG KHFKSWANAVMNVRGDIEVTTRHNYVIEYKYEWKCSNATCGAIYKRQSKSIDITKQVC GTCRSKLAPLFETSQKAASGFQLYLKENMKNAKAAMPSASHGDVMRALSARWTGAGKE ATSDEHVKYWKDMAANRRFQQ L198_05775 MRLSHLWLLSPALVSAFDSFHESLTLHPLPDGKLSVLLKFTTYF TLSHSSSSISQSHHTLTPPSLLLPLQNLNISELTISFTSGRWDPHRSSLSGPLSYGSG GGGGEVRGWVRNGEGDEEQRWGEVTHALGGLFCAGLGPREDGENVKTFGDVYPPQRGE ESDMKHFLLSHPHHNLCTENLTPFLSLLPSKGLSGLSALLAQPGVIFSWGFKSEGIEV VMPSDEEPEGQWTGWWEGVVDLIPPGAGTKHVKRDTSLKKLFGKRIPRACPEAESSVV RLVLPENEAVKAEPEGTSERVWMDGIEKEVIEWDLKESGMAGEDILVSWDEDKFRYPR TFNHPPISVSRTVVDSQASDGTFQIKLSNNANISREAVYSEIWPWWVKGWISEIEVYT EDRSQTDGLVQSIAHYPSSPPRVSTTTVHLSLTLPPQSTVVLRIPFTKLMLKYTDHRP DAERGQEIPSSVLTLLDLVGEDLAATSDNISDVLKSGRARVYSPRLLLDIPTPDFSMP YNVIVMSSTVMAVFFGLMQGALTRRWGWVEVGSQEKQKEE L198_05776 MSSIISRASRVASPGLSLSNSLRGPTQLTYLPKAESLFCTLLSG PAMSIRTAASDDGKQYLVVTTMGDNVSLTPEAGKLKLQSQHSRFGEYFASRRTTMTPT GEIFTGRPKGSALMSGSHQGEEVSQYLSKYANILEPVGVNVHLGQDQNLKPTAHGICA SSVLIRELYELSGTDCDGTRTLVSELKKDAPITFSKVNPRGEVLDNAFLWVDPDDSRI APESAFSVNGAALSAAFESARQRSGEGQADLKASNEGETAWRQQLP L198_05777 MSLLFRSAVRASSSRLPMASITPALPRRRLPLPAQNITVPLLSR FNSSFPKATATTLEPQSQVQSQLEESQRDSTRGAGFDIKDVRIPESSSKSSLQSPNSP SGLSDPTSEDWWMHFSSKPSDASLHRSSSGLPSKYFSGRSISLTRGGDFMQGYRRLQS VVRSGNMKKEARLNEFYEKPSLKRRRLRSERHRRRFKEMVRTKVQQALAMRSKA L198_05778 MPAYVPPHLRKKPTPTTPSSQGTSSTSSFSQDNRSPQSQGRSYN QWSQSGSDSSHPGRSHFASSYYTPRGGRSNGNSWNDSPSRPRNPKLYTQNSPAQLHVF GDSFVGPMKLVNADYVQIKTFKGASAKGLNNPKSIKQVSTELLPILNSLLVPPPYAYQ SNRGRSAMLVFGNVDLQINYVWQLANKPIETLSSTYSALSGVKDERPSEPRRTSSSDI LASATETSSAGPALGPEDFVRAVAGAYTSWLEREIVNSAIGERLVSLSKRREADPAAA TGAGSKILIASALPPLIEDHMLPRIPEKYVERLEEDLGKQHRAVESLNAAGRNTWRGG KGDEWIDGMSSLKVSDDPVTRPEVSPGGSEISTVDSPLPTTASAVSTAPTEPSLYAPS PTSPTKTPITSLLEHDPPLCTLPVRVKMTNHYNSVLSAFCAKYPEIFTFIDITPAMKA GSEAPSVHGEVDRVVWACPVDPTNVHPLWEPTLPLWLAELKKLGIDTDSWEMSEDAEE TFKAYEIDKRRRTEKDKNKYSESVAPIKLRDE L198_05779 MASISPVRVAGTVAALAATGFLGYAVYFDYQRRNSATFRKSLKK QEKKLAAVAEADAKQQKEKDNLALREGFLRTQLEPVPSSPDQQEAYFAEQANSGELAI AKGPEHYVEAALHFFKALRVYHSPGELLAVYQRVCPPEVLEMVVRLIGLSQGVASSLE TPEREPASVNDIDDTPSASAQAKAVEEAKAAATAAVAEAVAESEKEKEEEKKEEEEVS SPKTASQGSGAEWENVNEKPEEESA L198_05780 MPGSDKKALILCLFQSFAGLLFGWSNSEGSGLFSMSQYQSRFGT CDASGICSLSTTRQSAITGLLSVGATIGAVGSGSIADRFGLRTTCLAFIFVYLIGAAI ETSAMNEYGQILVARLLTGLGVGATSGLVPVFQAEASPPRWRGLVTGSFQLCVTLGIW GVAMANWGMSSHAGDISWRIPVALQMVWATLLFIGFVLSPESPRFLAKKGKWDHCRKN LANLRGLAIDDPEIDAEMKEVEAATIKDQEQGDAHYAECFSPKDRILWRTMIGLLIQA GQQLTGINFFFSYGVQFAQTAGIDNTYVFQIILASVNVVFSFPGVVAVDKAGRRPVLL YGAAIMFIGQIVVGSVSKAYPDDKIAGDILIAFTCMFVASFAASWGPVAWVVCGEAFP IRLSSLCVTLCTASNWLFNLIIAFAAPQIQAIIGTGITFIWAGCLALFFVFVFFCVPE TRGFSIAEVDALYLSRTPAWRSGKFTEDQAQTAKRASEKHYSRSVHNENAAVKASAAT SAANSDDGNMV L198_05781 MTGAAFFPADTEREREDAHPVQWVGTPAVKGPPWARRPLLTVGM LGIQCVWSIEMGYASPYLLELGLSKSFMSLVFMAGPLSGLIVQPLVGIFADRSRSALG RRRPFMLAGCAVCVSAMMLLGWTREIAGFFGAGQWMAIALAVWAIYCVDFSINAVMST DRALVVDTLPPKEQEEGSAWAGRMFGFGSVAGFWVGTLDLTGTLPWLGKTQLQILSFI TSIILMVTHTFTSWAVSERVLLRDDRPQSQSGLKSNLKAIWENMFSLPTGIRTVCLIQ FFASLGWFPILFFTTVWVSEIYKASVPSEGIDQAVFESDAVRSGSRALLLQALVNIVT SIGMPFLVSESGIQAEAQTGGQYAPIGLGINGTMSEAPPSSATWKRTRQGFAGSSFTQ KTIIRLKDLLDDVKSGEWGLPIKGLTLVRVWWVSQFVFAGAMAASWFVTTVHGAYAVI ATTGFCWALSQWAPYSLLGELILIDGTAPRDETIGLAPMASSEVGPLFTLFQAGEEDE EEEDSTPLHRSDISSRSTPLYSRYPSRTSPNPSRGPSAPPSPDEKKPKGHRPQLSLSL QSGLSPLVIQGEQPVEPPLGSTVVLRHSDDFSSSSPTTSPSHLRHNSTSSHFSRAGRD SPEAGAEESTADKAGVILGIHNVFLVLPQFIVTVLSAVIFWLMEPDRTLPVHHPGTVP MTNTTAAAEVEDAVGEILKRGLDAWKREIEPEVSSPDAVGLIFRIGGVSAATGAWICW RMARNWKKGKGL L198_05782 MASQPNPKAFPLANAQLTNQILDLIQQAQHYKQLKKGANEATKT LNRGICEFIVMTADVEPIEIVLHLPLLCEDKNVPYVFLPSKTALGRACGVSRPVIAAS VTTNEARELNAQIQAVKNEIEKLLI L198_05783 MGNCFSDPSHPPKPKGKGQVLGSAPAPSSTSHQPQQQGYSQSTA GSQRQGGGRINSQPAQSLGGSVNGGGGGDARDRALRAAEERAKAAQGKGVHASNPKAG QLSGKLAAQGRTSAAQGNQNERMMVSLIHSARAGAGGQR L198_05784 MPRIALPRLPLRQQSSRRTFTSTMAPFKPALSIDTINPAVLSVH YAVRGELATKADNYVQVLKTPASSPGGISRSDLPFEKVVTANIGNPQQAGLNQVPLTF WRQVISLLEYPDLMTTHKALAEQIYPEDVLERAKSLYKEIGSVGAYTHSKGVLQIRER VAKFIESRDGYPADPESIYLTAGASAGVSSILGVALRKGDGCMIPIPQYPLYTATLAY LKAEPLPYYLSEADDWSMSHDSLLKSVEEGKKAGTPVKALVIINPGNPTGACLSLEAM EAVVKLCYEEGILLLADEVYQANIYDPSHRPFISFKKVLRSMPEEIANSVELVSFHSI SKGVSGECGRRGGYFECVNIDSEVMGQVYKMASISLCPPVSGQVGVDLMVSPPSEGSP SYPLWSQETSLIHNNLKSRSFLMAEHFNKMQGVTCNNAEGAMYLFPKIDMPEKAVAKA KEIGKEVDVMYALDLLDATGICAVAGSGFGQEPGTYHLRVTALCPDTAEFIGRFEKFH QDFMAKYA L198_05785 MAPYTPASSRPHTPLGLSPRGSYTNLASAAYEASTPSGLVSPGG NALGAINDEKDRMRAEREVQEALQKAQDEEEKAKKQEAPAPAGSPVLPILAYCMASIM MTVVNKFVVSGRQFNMTFLLLAIQSFVCVACVWVAKRIGMITFRDWDITDAKAWFPVS SLLVAVIYTGSKSLQFLSIPVYTIFKNLTIILIAYGEVLWFGGNVTPLTLVSFFLMVG SSVIAAWSDISSTLARISAGMAVVDPTSGADVPLIAQAGLGGFNVGYAWMAINCAASA AYVLFMRKRIKVTGFKDWDSMFYNNLLSIPVLFIASLVVEDWSAASFSRNFPIEGRTF LLSAIAFSGAAAVFISYSTAWCVRITGSTTYSMVGALNKLPVAASGILFFGDPASFGN CAAIAVGGVAGLVYAVAKINQGKEKEREKNGRKGDSKV L198_05786 MSSDPLRRARYLYDDATAPNSSPEIRRQCHSALIALPSVGSSKA KHFFGSNVSTFFAEFEDLQDQAIDALLDLCEDEDEDMRIVGIKGLGPTARADPRWIKG NTGVLLQLLASQPRELEAVTKALVELLFIAPLDVFSVMADDCKGSEAETGASRDNILR FLKYQGAPALKKLLHSGNHRNAEERFRNEFEGVLSSGGLGLEEKVTVINLLVPLSTVS GANAPTESTNQFIKLLTDSIPSMTPIADKSRVTHIMKELVDRLHQYHPNNPPYDARYI LYFFSVHGAGLTFSLFKPERDGRAKELLEGLKEWLAQAEVLWSFGQVRDPCLDKVRVA KGVIEQLWSGSSVNGKQIFGAEKVDRDTSALFEILFWSFYKLVTKPDIRALILPDLLH VLDFRTLILQADFKSRNHPQQELWRNIHQFLVVLSNPAPPLVQEIFPSWRPQNPPQHN SFQPDANHRGRGQMPHRGQGRIQQQGHMHGGHRSDSRGMPNASNGTPGTSGPRNGFNP PSGPSKSHPPPGARNQGVSTPVNGSGNDASAPLMVGNGGVKDKSEMEVDVKETAPAPT RPVVVAEVNAVSIQSMSNTAGKRPHDALSQPGPTATFSKPPPKGPKAAILSTSSLPPA STSTAKTGGALPVQTGNREETNSAGRPAKKRKSEIKETKEKEERLTAPSGAVPSLLSR LGPRDSPSLPPTSAPDAPPRPPASESQSGTAPRPSAPPAKGRLLDRLDKKKAAPFPSD PSSTSVEASAPIPEPADAPPPAKSFSIRGIASNSPLPTPGPSPKPDEPRRPVSFLGAH KNSELSILNAAAQNKSRVTSSSAEERSRIVEKTGVPEVEMVDDGKDEPGVKKRGRGHR MSVDPPTLELPKNGSRSASQTVGKNNGHYQGGYQRGYQGGFGPGRR L198_05787 MPPRRAARRSGAEKPVPADIALPAQVISTTLNTDPLPTVTVNTA NLQEVKAALDDIVKKYMLDQEFTPSLLHPTVHLSLGYSSVVIALSAALYSFRVSFEDS KAVLWVAVISYAVLQTALWAWKRWVEKGEVFKGKRRRMVKRIETDHVQIITSAPLTPP PAPVVSFSPHTRPSSPSSGPSSPSLSQSPIKLSSPSFSTKASAFTSSTDSKPATGPSY LVHLSLSTTSNKGKSLIHKSRVVVGRGIGELVDESGGVEEGEVLRWLRLILSEAGLVG AEDSDE L198_05788 MADITDLPSPRAKRSRVKSQKVLENEDTRRALHAAQAAAAAYAP SSPAPPAQPRAKKQKKEADTYCICKKDTEGPMIECDHCNDWYHFVCIHMHEAEAERIH SYICPLCSESTTKRTTYINDISTYASPSPPAGLNIAPSVTTKRKKKAVESPVRPTHSS PSGSSHRPSDSSRSPSPPESPALKRQRVPSTSKPTPVSAKRPSTSQRKTSLAKADTAA LPPIRIYVRSKLLPLIQNIFEGNMEGKDVEEYVNQVEDAMYMHFREVVKGKETVGNRY KTQFSLLSNSMTKGLRPSLLSSIVSKTLSPSEFALLSSADLASDEQLAAISAAKQAIL EQTVKGNKVKEEERAGQAIRIGRDGFEKAEDWKEREMREVMQVEDREKRRDEEAKRER EMMDVDSERMVHTDLDERPVSIGKSEPTLSSRPEVLLKRSSSTTAKPAAGSPQSVRRT FALQSAWSAGSGGGEHEHAFLGSGAQDQGEIELDLGDIAAAEVDVDYSVGYGHTEEEQ GESAQLSDMQRFESKTIVWGGGLVNPAAPSPQIPPTVLRLVSPAAPHNQISPLILPHP NIEITGRVPTKNSLTFLSDMRMSPAKELVCGIFSLGDEASEEEKGRWDEMIGDYLSRD RHAIYLPYGQHPPSGAIKEIYMIPLRPTDALPNFTDLIDGLDVPKEGRTGNVFLGVLV GSRKPPVVGRPAEQQGVTPPHDPRAAARVSSASSSHATSRPSSLPAPPPSLPSRPQVE QPPLPAAVQPNPVAAASPLAGIQNEKLQALMASLNPAALAGLTPPQPPLSTPAPAPVP GGSTPDGPHAGYPQQSQAFGFTPPPPPAGHGAYNGTPPSFPPRGYPPQNAREGEPYPG FSFQPPPPHNNGRGAGGNGGNRWGY L198_05789 MSHALLLRLFLSPFFSISIAMQYLKTYPDNIGISHYLCWRMKRM PPDEVEFYWPQICHLLLTYPTSSNALESFIIQRAEESTHSAMLTFWFMQAALRDLTPT RQANPIPFAICQRILHRCHSIIFGDPPEPSRSPYRSLPQASSALALGQAPLGSGGLSD SSARKGAEHGRVNPHIPAALVGMGVVLAGTPGMPAMVDLVGDWAVTQGRRPIDDSTGG RNRVEVDTEGGVDVQPDKLGRRIQIKEKEDSDGSDEEDPAPEKGQRPKVFDLGYNAST TQLPHPAQTAPDLYSPPRTPEPEPEIVEDKFTARLKRKGSDPFGQMQPQQSPGSSDTP PKSAHQPFYSVPELLVSRSPNGGVNGRYTGDRPPSAEAILAAYNLEAQKQLLRSHYCR SEVRFLLLLEDISNRLLVIPKPARVSALRAELTSLNHNLPAEVCMPLWCTADHSHEQE APSNSSQKPRTFRERISGKGHSRVVRISPGDSVVLNSAERAPYLLHVEILEGDLDFDP TKRENRELLKKIVVQEDMKRRKKAGLGGGKDVYGLGHGLKGTIHGQDGFGSLMPSSIP AEPPSPNPAPQQPKSKPPISPSTPRKNVNVAEVEEVDLVEQMYGAKLSVHDELPDLTE TIPLPSGPKNKQLDLQAWERGSPVSTPGVGQGYNYGSASAVGSPSPQSSQVVPSISSP IPAGPSGPSAPSTPGSHPMTTVGRPQSPKKAISLEDYSERMRTAAVMLAQLNASLIEA SVENQGSSSSWIPGTGWISRKDKSQLPPDGSGANGKEPAPGSTGGKLKLAAIQAAAIK QRIMEEMMALEEERVERMTDRPQGVEITEGGDQGGNGSGGTAEDEGIVRRELNKADPS AAVFKESWTAKKSRIRAASPWGHLVNWDVISVIVKTGADLRQEQLATQLIKQFARIWK EENCECWSRFFRILITGETSGLVETVTDAVSVHSIKKGEYAKRVAEGGAIGHVSLMDY YVNTYGKPDSGRFARAQRNFIRSLAGYSIITYLLQIKDRHNGNILVDQDGHLIHIDFG FMLSNSPGNMGFEAAPFKMPLEYVDIMGGLDSPGYAYFRKLFKQGFEAARKHSDSLIS KSSSSATVRYNADVRSAIVELMQRNSRLDCFVLFGEQTSAHFRERFQLGLTTQAVDAY VDRLIVSSTGSNYTRLYDTFQYYS L198_05790 MTLIPADDTAPKHLVLVPVAMWGHIRPLLHLVVNLLTLHPNLHT TFLLTPSIAPRLEKDLEHLTSHLGKQSVVDISTGSKPIAERLQTITCAHKGFDPTKEF TPDDLKKEGVDFASVLPGIISGLYDGKHNIGGTLNKFEGLPPTLFLFDMFQTLVPELM VNTLGPLNIPVPPLVMFCPCSPAHVWHSFSPEERGGTFGRMLRLAEEDIAKGVNSIEA FERYGFKGTGQVAALPGLPVKYDYEWWPHGDTVELGGQVLAAVLPAYRAFLHKNTVGI TMAFTGELEPEAVAALESELGKTIFTVGPQFPEEMWEGDFSVKADSDDDRRVVAFLDR MQEKHGSRSVCYVSFGSLFFPLLRPELICYILQTLQESGIPFVFAYASGVAPVPPELI EEFVGLEDACLVKFAPQWAVLKHAATGFFVTHCGSNSTHETILAEVPIVSLPFVADQG EIAALLTEVLHVGIDLKQTKTFTNPPFNKLYDGTVIVGTEAAIKDEMRTVWGRMKGKE GEEMRKRMGEVKKTLRESITNGRGKRDMMKLGLCRWLLDSSHVVDSIL L198_05791 MTRYEHLVKDKVLRPDDDQKAIIGRLQRLWDDLKDYDPGPVPPK APEPSSSPSFFGKLFSKTPAHPEPTVALENVPKGLYLYGSVGTGKTMLMDLFHSTVPD QFRPVSQGGYGSTRIHFHSFMLDVLQRQHKAVAKYEAAGLKKRDVMPEVAQSLAEEGR VLCFDEFQVTDIVSAMILRGLLERLMGFGVVCIMTSNRHPDELYINGIQRESFIPAIE LIKECFEVVDLNSGTDYRKIPRALNKVYFDPLTPAVRTEISKLFSSLASRDPVSTKIV HNRKIELWGRKLTVPESSGSVAKFQFSDLCDKPLSVADYLEVTGKFGTVFVEDIPRLG LSERDQARRFITFIDACYENKTKLFCSSDVPIFQVFSDKHGAGSAADDEQVKTVMDDL GLDAKAVGSSSLFSGDEELFAFARCVSRLSQMGTKEWSETSGR L198_05792 MSAHYALRQPHIKTPGSNSNFFNTNFKASAGHRQMSTPAARLNL SRPVTRALLVGVFLLGLILLTRSTGESQEGAWDTSTIHELRAGGASVAGRSSWWNSKP KLVTDVRVPRPIRTRQNTTLPRPTHPGKSRRVKAGSDPDDPQYIIRPLPTLDEAWAFL HPLLREAKEMTPEVPREHELTEPIFPPFLTADMEERFRHLRDVYDLSTGQWVRGPERR WFMVTVCRQVAGMLADWFAAWTILADFLGPESLAFSLIEGDSQDGSGEILAHAMRAHL LNIGVPPANIHIQTGMPAVDWDASHRIELLASMRNAGMQPFYDTMPEGLAPDGHPWTA VIFFNDVYISATHFLELMHQHFKQDADMTCGWDHAGKWFYDGWVGRDMSGDLYTPFPV KEEDKDLPQKLFPSSPETLRRYNRMLPFQVFAAWNGVAVMSAEPFLPPYNVRFRRAAA RTDDFWECQASESSFISWDFWKYGFGRIAVVPGVHATYGKEDAMMRGWVDFPDEGDGQ VAEIRWNDYPPKRVRCHDWPDKPGKGYWAWDTVRWVEPPPLETPLREDEYPERP L198_05793 MQGGHPYSQGGYPPYSTASGTHPYFSPTADSYYATRPGSFSTNG PSAVPGYTTPHYGSGQGRRPSGVWMGSMPYGSPPIRPNTYATRSRDAFSGEEDEPEYE RSRPDMPDWELKPEYESPEEESDADSDYDGPPRAPIPPTLRRSKKKSLGSEYIVEEMD NEGETVRMDYPAPRRSGRRKTTADGWRRQSNGAAATSSRSEPAATSAPAPSLPRWTMR NFTKNHEHSSSDRMVIIIQDRTCYRLWESQLPEARQKGEGERMHPDKIAIARAESWLS ERSGTSLSGGRYIPAKFYAADTGRDTDTVFAVPSPYITSRGEEEKKAWVQSCCSSLWP CLRPEFDQQDGRRSMLKKMKEGSRTFFGSQFKHYAKQDLGQEEESDDEDEVVMQGRN L198_05794 MFPPRARRIARRLDALAVKILGPATPAPEEIQLPQPSCAASVTV GHSSMSGSVDRFFLRLSFPRLLYPFLLLWITAWILLIRQQYYIPSSPTIIGCTSAPWD DWPPDTCGINGTHCQDDLTGLAGETFRCMGGCKDTTLGNERWIGGERVDGEPLIIGGG DVDGTYRADSWVCASAIHAKLISPLMGGCVSINPLPYPAGSSNFVSSSSNGLTSTGFN PSFPGAFTLSRVSPFGCLDLHFIMTGFNAACLLIFTLFLRPPPSLLFCVLLVMGYFHI LLFSDPSSTPPSWEDVFAGLIPVLLVGYWIWNQAFKFTLRGFTKLPFDLAFWQGAGYW IGIESSTVFARLPISRLGYDSLDPAGIIALTWIIVIAVIVVAIQAWSFRRAGLVRYYL IRYLPLIPILIILANIPNYTLRLHHYLLALAAIPVLSLPNRVSLFWGAFMLGLWLDGV GRWGWDGILQETTSLLGDANSGSYTPVFWDNVTASTTLGWSPITEELEALNVTAYSLL VNDMQIYDNWTDSSISLNGLIDESVDNYFRLAYIRSGSSMDYTDPVMRWANGSWSGMG DVDT L198_05795 MPPPPIPTSSRPTSYNPFRSSNTIPPVAPATPPPSYATHTAHPT QPPQHPSYDAYEPVQYSSLSDLQKNKPPKNTSTQTSGWGGRGSSHGRGDSSAGASSSG GRRVPPIPQEGMMPAVPSAPPRRDVSTTAATAPPPVPPRNSSGFQSYVPESAKSGYNT ASERVVDGWNSAATVQRKDQVLSGVGKLGAGAFKLAGKGVYQVGKFAAK L198_05796 MADTSAQRRPPYQGVWTKRAADADEWLHLHKVVVSATSASLIST FTGFPLDSLKSRLQSSREKVSIPRLAAGVIKEEGIGGLWRGFPLPLVTISIVRTISFT IYSSTKRILNADPWIDLRLGMFSGDNAKDIAITSMLAGGASGAVVCVGSAPFELVKVR RQLEYQIYRDSRPELRNFPPTPIPNSALPKGTAPFQPPTTIQAVRLILASSGPLGLYT GWRLHFIRDTLGTALYFAEYDVMRYYLGRAKKERRDGEGGGGGFGDDVQGDVPEWCRG WIMKGMIPFLCGSLAGVTSWALIYPVDTKAQQRALSGLPMRTPIEQLSRLVLGTDKSN PKPFLAGMARLYRGLGISMIRSMLTHGLLWTLVDATGNYIDSRPFERLASRQELK L198_05797 MSITGASQAHVVIPVSITNLVLNLLQAHPNLHASLLVSPAAAAQ VQRNLVQLTAFLGLDSVDQLKAGYEAVVDRLQLVECCTKGFEPSPVLSASSAQMEAKD FSEALPGALASLYHSSRQAEGVETSLRASSLLPLSTTFFVPEITRAVLNDSNIPLPPL VAFMPTNSIGAYHVMTSEDKGGAFARLDRLTKEEIAKGSTPKEAYQKYTNVFDGKVIN LPGPPPKRVRTSYVLSSWCPETLIGVTKASVGVRDSSVTGLILTGSEIGAEGADALAA DWGRDLYIVGPQAPAQIWGGKTASKAATPDDAKAFKFLDDMKAKYGPKSVAYISLSTL FYPLLGPEIMRSILKGLREAKIPFLFAHSSAVLLTPTELINEFEGDEDVCIVKHAPQV SVLANEATRFFVAEIAAQLEQAGIAIDLKQTKTFKDPAHNKLYDGTVIVGTEQAIKEE LASVFEALKGAEYEKMVRKLGEIRAAVEKDKDEGKAKEAMEALGKLGLSA L198_05798 MSTATQAHVIVVPIAINGHLRPLLQLVLNLLQADPALHASLLVT PSVAAQVQRSLVQLTAFLGLESIDQLKEGYKAVVDRLQLVPCHTKSFEPSLSLDADSA KKGIQDFTDAMPGVLGALYDSSKTVEGFENKFGSIKPTSVIYDYLHFFVPEITRVVLG ASNMTPPSLLAFIPTNSIGAYHALSSEDKGGAFARLDRLTREEIAKGSTPKEAYEKYT NVFDGKVITLPGLPPKFDFEYRPHTACIPSSPEALQNITKACTAVRDPSITGLILSGT DIGTEASDALAADLGNSLYLVGPQAPPQIWSGKSASKAATPDDAKVFKFLDDMKAKHG LKSVAYISLGSLFYPVLRPTIMRLILKGLREANIPVIFAHSSVVVPAPAELIKEFEGD EDVCVVKQAPQFSVLANEATRFIITHAGSTSVSEAILTQTPVVSLPFFFDQAEIAAQL EQAGVAIDLKQTKTFKDPAHNKLYDGTVIVGTEQAIKEELASVFEALKGAEYEKMVRK LGEIRADVEKEKNGGKAKAAMEALGKKLGVSA L198_05799 MPSLGPTIEQRAHIDRLVSSIPDRTLPTYQHPTHDQLLRDHLIP NTPFLLTSSNTSQWPAAKALRTPGAKTGKASDPDLRGLRQYAHHVVPVANTLKPQFSE FERTERPLGEVLDLWETDINAARGLYVKDWHLMAEIESEGRGVGEVYTVPECLRDDWL NPPYTPSPRATHSESVSTSTSDFRFTYAGPALTYTPLHRDVYGSYSWSANVVGRKVWW LFPPDRLDKIKDQNGELYFDVRDLEDEGEAIKVLQQEGEIIFVPSGWHHQVLNIDFCI SINHNFFSSPTLPRIYATLCLGQNRVEESILDVKEMIIDRLGTKDGSWEKEWFDEVQS LLEMDAGWNWKGFWATVKKNLEMSPSLEHDLPPALLQYDWVREVIAKYKERREWLVLD AVKNIVLDIERLLA L198_05800 MSATESQPRTSTLVIGGGPAGLVGLKYAVQYGTAWAQGERPYLV EMEPEIGGTFRWRGYENAELVSSKQLTCFSDFRYPLEAPDHPSLPNFVQYLNDYVKHF DIEQYIHTSIKLISLEHTDDDIYKHRAVLQPLTQDKLPKGEPFTILAERVIITTGLHV IPNIPAIPGLNAVPCTPEPPKWIHSSDYKSRSQLKGKKVLVLGCGETGMDVGYEAIMG GAEKVWLSVRSGMLSFPKVLNNFRLFGTTFDGNLPIDGLITNLFETAYVHPWVSASHV RWFISDLVIKRVLWFLTGTQAGCNQWAGELPPERQGRAYVFLNKSAKAMQFINQPFYK LSPLHQYFAHYIDPPSPPGNPKIDIVTFPKAFDDEGQAIFPPPPPHRSKETAWREECK PDLVVLCTGYTQDWSWLGEGYPKGPDECEIRGVTSGKDLSVAFIGFVRPGVAGAIPPI AEMQAQLFTSLNQGRIPIPMSPENYHLLHAPISRIQYGVDHSTYMSTLAADLGSQPGL FTLWWEYGWFVVFVYCFGAAFSTFYRLEGPYKTESAKGIVETELWETIRRRGLVGNIF MGVIPMLFYALLNGLAFVLGLVWDLAAPVFGLPPAPMDLFPQKEVMKAKQM L198_05801 MSGDIAAARPPSEPHPNSAPSSSPKASQAAPPVLQPPQDPLPPS YHIGSPTGSMSMSQRRGARPAFLSPTRGVRGASQSAGVSSTRGLPTPTHSAGLRSEPY PSPIPLLTAPITPAAQQQHPLHQSAPVQSSGHSHAGPSHTPKHANPNPYQLSLDEIPK RFVLKKLVELAPKYWYSPDTADCHIVVPLRRPHRPNRNDDQGPRTAVPHDGRGNMQLD GMRTAVPSGSFAEQQSRPRMPGPGVGGSGAHGAGGTMPDVVSSSQQLASKDLSMRRGS LPETQNPLDNSLVFPLHRDYITTQSSLFHTLLRQSSAQATTPTQRDANGRLVWQAPVY KGARVLPTRAGSPKAIQVPLPDPSSFGVILHYLYWHDAEHFNYCLTKGIVTWQGVIRN IEYLGLDPEIKHLAGKWWKRWVKPVENGDRSLAAPSMRAASQGKARGGGMAVDDDDDE EDRDNSSGIDADDEDYEQADAEEDGFADHVSSRLSLLGAS L198_05802 MADTKQRLDRWTTRLGALPSLALPTDYPRPSPAKLVESYQSMPI PATLNTVLMRLTLDFSIIFPASALPTPYHILLTTFAILLFRYTPDPSLVICTSSSDNG NPLLLKLDIAAEMTFFDVLRQVMEREQEAQADVVPIKDLVDHIKPEGPLYRVRFFDST QVVTDPSTSLSTDLTLFLLASPSDTPTTRTAVPPLELSLTYNSLLFTQSRITATLESL LQLLSSAASHEPAHPIGSLPLRTQEQGSALPDPTADLDWCGFAGAIPDIFAANAKANP DRICVVQSEPAEGQTMMDGPSRGRRMYTYKQIDEASNVLAHSLLKNGLERGEVVMVYA ARSVEMVVCVMGILKAGGVFSVVDPAYPPSRQNVYLSVSTPRALLVISSAGKLAPLVS DYINDNLSLRLLVPAIELTPSGIRGSPAGSAVDILAPFQQYSETPAGVVLGPDSPATL SFTSGSTGIPKGVKGRHYSLTHFFPWMGKRFGLDHNSKYTMLSGIAHDPIQRDMFTPL FLGAQLHVPTADDIGTPGRLAEWMADSEVTVTHLTPAMGQLLSAQATRQIPTLLNAFF VGDVLTKRDCTRLQSLAKNVRIINMYGTTETQRAVSYFAIPSVNDDSTFLTTQKDLIP AGQGMIDVQLLVVNRTDRNVPCAVGEMGEIYVRSGGLAEGYLDPNATAEKFVPNWFGQ GVERVDTLKDKNPQAAEHWFGIRDRMYRSGDLGRYLPDGRVECTGRADDQIKIRGFRI ELGEIDTHLSRHPLVRENVTLVRRDKDEEKVLVSYFVPTDGDLEGLMSASEAAEDDEA LDLGSEMIKGVKKYRKLIKDIREYLKKKLPSYSIPAVYFPLRKLPLNPNGKIDKPALP FPDTSLLTPAPAASTADLSPTQKTIHDIWLRLLPSPPPQVALDENFFDMGGHSILATR LIFEIRKTFVVNAPLGLVFDKPTIGGQAAEIDSLRNADLSGAGEEAVEAEKAIDYAAD VEILGKELPTFAPLPADFASKEITVFLTGATGFLGAFILRDLLGRRVKKVICLVRAKT PEQGLQRLRDSGEGRGAWDEEWVKQGRVEAVVGDLAEDKFGLDQATWDRVAVDADAVL HNGAIVHWVYPYPKLRAANVISTLTCLQLCAQHHSKQFSFISSTSVLDSEAFVAKADE AVQAVGKGLSESDDLEDGRTGLDTGYGQSKWVAEKIIMEAGKKGLSGWILRPGYVLGH SETAVTNTDDFIWRMVKGCIQLGLIPDINNAITCCPVDHVARLSSLSALSSSASSAFN IMHVTGHPKLRFNDLLGSLQTFGYDVKRVEYVHWRTKLEQHVLETQDNALFPLLHFVL DDLPTSTKSAELDDTNAQELAAASSEPRTAGVTEKELGLYVAWLIRAGFLDKPQKEGK ALPVLEGAAMKAIGRTTAGVA L198_05803 MSSVAVSLEPRIRPHVLTIAGSDSGGGAGVQADLKTIESFGCYG SSVFTGLTAQNTLGVQGVHVVPTEFVLQQLSSIISDAPPVAVKLGMLSSAATISALAK EIAKLDATIVLDPVMISTSGHTLLPDDAIEALYELYPHVHYLTPNIPEAIKLSKWEGE AKTVDSLVELAKKTNERTGSAAVLLKGGHAVASREELLPYVGKYKIIWEEGDDQDDTV EIFSEYIRSLDQNIKQQKDLVVDILVNKGDVVAFFVGKKVDSTSTHGTGCTLSSAIAC AHATLPQDTPDAQIAIFKRAIAYTQSAIATAFPFGHGHGPLNHGHLTFSRALPPPTKH NPHPFLTHLVQSDLPLWKSYVRHPFVVQLGKGTLPRECFEHYIKQDYLYLKHYARAHA LGAYKADNFADIEAFTNIALHISAESTMHVAYCEKFGISLSDLQATPESAPTSAYARY IMDIGSQGDILELYMAVASCLVGYGEVGLWLKKQVEIGEAKVEGNLYGKWIEDYSGDD FLGAVNRGIENLERRVALDPPSPYKLAKLTKIFQECLRLERNFWDMGLNLL L198_05805 MDYLADFVPTSTISVNPPGGTHTHHPHHSHLPVPTDVFPSATPR LLHATHKGHVAAWVFTAVFAAGLAVSVFLVSRTPKKNRLFHGISSVILLVSTLSYLSL ATHIGAGKFVPIYHPPGHSDPLVHLFRQIFTIRYIDAAITLPLILLTLSRLSGVSPAT TVTIVIAQLVNVYAAWAGSVAGGWPWSKHGNGAGTKWAWFAIAALAYFAIWSVLFAQG RRAAVHRLRSTQGLFYLLSAHVFVLSAGLGIVWILTEGLNIISVDAELITYGILDVAN KVGFTHVLLLLHKADEEGPWTLPDWWAEDPENEGEDGRGVYGAVASVGQE L198_05806 MQMLTKFESKSPRVKGIAFHPKQPLLAASLHNGTIQLWNYQMGT LVDRYDEHDGPVRGICFHPTQPIFCSGGDDYKIKVWNYKQRKCLFTLTGHLDYVRTVF FHREYPWIISASDDQTIRIWNWQSRTCIAILTGHNHYIMCAQFHPWDDLVISASMDLT VRVWDISGLRKKNQASNAPLSAEEQIARASQGQADLFGNTDAVVKYVLEGHDRGVNWA TFHPTLPLIVSCGDDRQVKLWRMSDTKAWEVDSCRGHFNNVSMTLFHPKHELILSASE DKTIRAWDMTKRTAVQTFRREHDRFWVLTAHPNLNLFAAGHDNGLIVFKLERERPPFS LSGNQLYYIDRKVIRMGDLGTATNQGLCSVRKFGSQYIPPRTLSFNPAERAVLVTSTA EGGIYELITLPKPGAPTSMDGRDVPSDGKRGTGFCALFVARNRFAVLEKGGQTIEIKD LTNTTTKTIKCPVQTTEIFYGGTASLLLATPSSVVLFDIQQEKILAELTTPPVKYVVW SPDGNSVALLSKHTITIANKALAQTALIHETIRIKSAAWDDSGVLIYTTLNHVKYALP QGDNGIIKTLEQPVYLTRVKGSVVYCLDRRAKPQTITIDPAEYRFKLALTRKNYDEVL HIIRTSNLVGQSIIGYLQKKGYPEIALHFVQDQQTRFDLAIECGNLAVALEMARAVDR KEAWDRLAAAALQQGNHQIVETAYQKTRNFDKLSFLYLLTGNTQKLGMMGVIAAKRGN NMSRFQNSLYLGDVKSRVEVLKSTGQYPLAYYTAKTNGLDDTALEILEEAGITEEDLP PPPQNSGHSCIAPPPVVFPQETSNWPLRDLGDSFFDRALANGGIDAGAEEAGEQLDAW AAGDEAASSRAEEDDEEEGDEDEGWDLDADVPVEEEEEEEEVEQDILVGEEAEADLSQ GVEAGVSEDDLWVRNSPLAIDHAAAGAFESAMQLLNRQVGIVNFEPLKSAFLQAYQTS HVYIPANASLPPIRYNVRRDPEATEIGQQLPAIPFSLEDIKAKEVSEANKAFGRGKFV EALAGFRAVLHKALVVVVETDGEAEEVAELVELAREYVVGLTLEVERRRIAADPENVV RNLELAAYFANCKLSTAHTQLAVRSAMKVFAEAGNNATAAVFARRLVDAGVAQAQALT QARAVIAQADRNPRDAHEINFDKFTPFDVCPASLTPIYQGSPAEVSPYSGAKYLPEYK GTICVVDGLSRVGAGGSGLRNKI L198_05807 MAPTTTDEIVTHLFARPLPPLEPGTQIYDTSIISSISALDEHRF VKAALHLANDDINHCHLIAQDSEGDSDADLLHATLHRREGDYWNSKYWYSRVRKHPVI PDISKAKSFVDACEKVKPGKDTQLREQQWEELKKIVEWMRDNAQ L198_05808 MSYTQIPFHPPDQLAQALGVSTSCIWSGSALEQGLNAGWLAIRL TDEQSQSPLSSDGIWSTSMRNPKAKQDTMRYWQTIHKECTDPQKGFFRRWGTVKSMLR HTEGGFTDWNPDAHASEWISLSANFDWIIYQIAYRLLHLGRPWVVMSIVRLPAGDANG STLIIGDPLQAALNKGDYFQGRQGLNFAQRFDERLAYRRIPKRYIEQSVIFTALHLPL KLQPKYLRDNYKWSPNKNPRWANNTSFDPHTNTFSEVMNQIDDREYTIQQRRHGRGYR GYR L198_05809 MPSGNKHLVTVPVAMWGHIRPLLHLILNLLRMHPKLRVTLLLTP SVTSRVTQEMENTQGFDTRDMDRLQLVNCVQQALRAEGQTLAELAEAASFTTALPVVM SELFNGNAEVNGNVNKFEGVKPTVIVYDLCQHMVVSIVKQVAMFLGVSLPPLLMFLPC NAAAAFHMFASERNNGVWATVIRDTQEEIAKGATPVEVFAKHSYRNTGKAVPLPGLPT KFDYEWWPHLATCPLQPEMTMAILPSYHALQDSATKGVILSSAAELEPVAVEALEAEI GKKVYMAGPQFPGVMWEGHSTIQTHSADDERVVRFLDEMKIKHGSRSVCYLSFGSAFF PLLRPELVRYIVHTLRQTDTPFVFAYASGIGPVPEDLLRECEEVENGCLVKFAPQWAV LNHEATIFFITHCGSNSTGEAILAEVPIVSIPFFGDQAQYAALLTEVLHVGINLKQTK TFQHPDLYNTLYDGTVVVGTEAAVKKEMVEVWGRMKGKEGEEMRIRMKKVRETMSRSR GMGLSLLSMKELGCI L198_05810 MASQAVPVPEVLDREKVQALERVESARSNVLEDLGRGEGISYDD LPIIEVSPEENRRVLRKLDCLLLPLTMIAYTLQYVDRSAMSYAAVFDFRTDLHLVGQQ YSWLGSVFFLGYLAFEFPGSYILQRLPLSKTMGASIIIWGGLLMCMAAPRTFGGAAAV RTLLGCSESLVTPGFVLIISRFYKREEQPLRVGLWYCCNGLGSFIGALVSYGMGHIHV SNIPSWAWIFIVNGGFTVLFGIVFILLCPENPQNCRILTPHEKRIALERVRANKSSLG SKQFKWYQVKEALCPWICPQGWAYVFITLSLSLPNGGIGNFLHLILQSYGYSSFQTIL MGLPQAAMQIVFPLTGAIIARKVPNSRLYVLMAYMLPSLIGVIIQYKTRQSGALLFGY YILGSYVASLGVVFGAPGANVVGYTKKVVVGAMVFIAYALGNVCGQFFWKATETPAYR SGMLACIVCFTATIPMAGALRLYYVYENRRRDRLQAEKGDTGEIEVGNFADMTDVENP SFRYAL L198_05811 MPTAVRPPTTDIAANDMTGEQLALPTSQKVSAITKHGKVTGGRV KNGAQVFLNVPYGVDVPRWSDPQPLPEDYKYPDTPFTVDGKYCAQPERTYKQTNTIRE RLGLGQPTENPFFADIYIPSDYALSSPGEQPLRPVRVFIHGGFLQYGSTSGNYYNQQF FSAEHYNEVRVLLGHRVSVLGFLGVDEPAVSGNFGFKDCWLGLEWVKKNIAAFGGDPS QIHLSGLSGGAHVVHQLIHQAARLAPSPAPFITAHLQSNAILADPLTPAVRKVQFEAF CQALGVDATTPNMLDELRDTAKYPTAKITKAVQDMGELCTFRGVVGSDGWVRADEMEF QQSGGLARGLRDAGVKCIVAGDVRDEDSFYRGVHPSKVYDELVPNIARYYPYEQSQRF LDSYPPIPRDSTPQQLDDRLGRVLADGQVHLPVRLLTKDLTAHSFPAVRYAIEFVPVS QGPKVSHGTDLAVHHLRLSVMEPEEVKAALTFNKILWEDVDKAVKGNGWKEKAEEEML VLSKGGETEWKADWRWPLLKAAEKVFRP L198_05812 MDTEEPPKRKLGKVERGRAACNECRRHKIRCLPHPEDPDHHFPC SRCERMNLGCEFTKHNRGRKRKRPTGAGTEAASPEAPEAEPSKSRPQPRPYDYQSSER TEVFSKSFGDPRFPFISSDPADAVPSKGYSSTRYESEHRNYGARQPMSLKHMIGEDYE NELSSDEDEEDSRYADEDEENREDQETRRRAKAAINGGGYSAPDPVKAGVVSEEEAKA LFRLFMAHHNNSMPMLDPAVHTHDYIRNASTLLYTAILCVTSRYLPSITSNEHEISPE SARSVHQQILVSARDNLTWIFAEAIIDINAVRAMIVLALHKEPDDDKAGYYINRAVLM GKELNLGRIPPKEELDRMNEDQQRCLRSRQRVWLCLFFANSIFAMQFRQTMLILQNDH LVASAHHWLKRSMPETLLRDTQLVCSVELRRKYLHYRDLLLGTGPEGTAYRSALSLSI LTRSMNEDWDVISKAWIRDIIDVGGTSSHVTKPRIWSSALCLNLNLLLVNQTLRLPPD DQIDYGTPSSIPAFHHCLNAATTVLMRFEALDRQQLTFASDTFLHFALYAATLLSSLC RGQHPYKFDSPEIEHCRRLITKAANALDSASHYTRDSPQLHSFYLRRLLQYLPPPPPV PTSGSQRSSSPRFTILPGMSSLPGMGEEGSQVGAIADLAAVAGTGVGVGMDMDMFMSD FAWMGDLGGGTDGNLALGLAGSLGGSLPQMQAAGAPLYGSSFGRV L198_05813 MSQYDIVIIGSGVIGLSTARELDNRGYRVAIVAKDLPEDATSTG FASPWAGCNWFSFAQGGTPAANWDTITYKQFGKLAEEHPELCEKIPFCSVWDTPKGKE GTPWFHKLVSDYKDLKATSTAPLPGKKAYGHSFTSYVLNAPAYLAHLGADVRSRHIPI IRARLSSLDEAYSLPSIGPVQLVVNATGMGAKSLIGVEDDKVYPARGQTVLVKAPGFK ECLIQPEPIPPAYIIPRPGPEGQVILGGVYQPNVWDTLPSLTEAERILKDCYDLAPAL AGPNGKSWKDIEIVAHNVGLRPAREGDPRLELEEREIGQGASRKGAYAIAPAVGKLGH KRKVAVVHAYGIGSAGFQASLGMAANVSDLAIEYLTRNPRAKL L198_05814 MPESSPNPIAKLGHALKPSTIAARIHKDAAPGDGGDTGKTAAEQ RERKEQHEKERQQVENEKKQKKAEQEKEVEEIERRRQISDQHAEQTEDPETRASYGDV EELQDIIPLQEVVQLPAGRRVAIRGRIHAQRDVSAHLDFVLVRQRGLVIQAVLSDDEG SEHMVKWVQRLADESIVQVVGVLQEPPKPITSHVDTPLELRIEQIHLVEASNLVPFSL SHGNRPGKSTRLKNRTLDLRHPTNQSIFKIRAKLLKVFRDTLDDLGFLEINTPKLQPA ATESGAEVFRVNYFGRKAFLAQSPQLMKQMAISGDFGRVYEVGPVFRAENSNTHRHLC EYTGLDIEMAIQQDYHEVFYVLDAVLKNIFRAIQGMSQELERVRESYPSDDFVALDQT PIIPFHEAILMLREDGRNVEEEDLHTPDEIRLGELVKAKYGADYYVIDRFPKSARPFY TANDGTVTNSFDMFVRGQEICTGGQRINQHDKLLASMREAGINEQEMEDYLQAFAWGM PPHGGAGLGVERIVSFFLNLPDVRLATMWHRDPQSLPDKAPTLPHPDADTTKEIDPKN PPPIENLIANYGDATNTSWLDDRFEIWRDEETGAAVGYSLHSEKFCMITGDPLCADKQ KKEVARRFIHFVKHDVKRRPVWMLVSETMEEILAEEFSWRALSCTQEQRSDSDKADPT VINNSKINKGVFKIREVEPTDDIRAECDKRIEEWKSGRDEKGKQVHLTEVAPWRDTEH RRYFVAESEHGKEGEGKGEMRVVTLVVLAQLAPTKGYQLKWALDFPGSPHDAIESTVQ AALSAVPGEPVTFGTAVSERLVAKHGIKEVRGRFMERTYKGIVKSLSLDNKAGFREKF GVSGDLTYICYPKGGIKPYELKEIVKFFE L198_05815 MAQNQNHHHRKYTHHPHASDSQPPYWALALRAKGLRNAAVIGFQ MHHYASPAAPSPTRTKWIDAILGDKQGKNTIRLDIYEPKEAKTGRPGMIVFHGGGFVL GHGTDDARWAAAANETLGAVVIAVSYRCAPENPFPVPVEDCASSIVHLAQNAKAYGVD PTKLFLSGFSAGGNLAFSSYLLLRSAAKWGYDLPCDPPSVQGIIVFYPLLDYSKSRPE KRDACANPEFTLAPSLTTLFDSSYLPPGIDLVDPRLSPGLVSDELLDTLPAVHMTLCE YDMLLAEGLDFQKRLEERGKDVSVRQVPGEKHAWDKPMPLYPKPSVKVEYDAALDVIK GYLSGNGSTKAQESTNGSDGLLQ L198_05816 MADFRRSEDEVIEGSNAGSDTPTILPLRFANRVAVSLRTPTLYY RSFILLSVSVTPPLQSPAYLSDTRPTHGHKPKASVKERHVLWLGMWGNWIEIMLIPLQ LEWVWRVMTRGEREKGRKRAGEKPGVFEFRQVVAEKEAASSTPNPKSSLSPAAAKHLR RTDSTSNLPEPSSHTSPHVAPAPESRRSSLVSLLPPTPSSPTTATSDILASSPLLLAL KAELTTAQGVITDLQSQLSNHELSVQEAHAHLQSSLEDLRGRRKEDDAERQELKARTK VLEEQKRQAEGARREGEKKLRAVEGIRDGLEDKIKGTEAALLEFSRKIEGSKQSVKVS HGDREQFVRATRQEVDTKKAELRQAEDEISLVESHNESLEMRVKEAEERLQAVIEAGE AAKKIAPEEEMIMMAAAYEAAAQEGYQHGYQHAHGGTNPWATQAAAYMAEAGMPQLSY DYTAKTASSGAGNHLSKGGLASSSNKDLPGLRQFADMTGFEDFGPGAPDGASVSRRGS ARVSRDVPPQPPSDSEFEIYGHDPGSPNGISSSFSANLLPQGLFSSLEGETPYVGAGE FDDPLKLETGFGGDPHSPGSGSSSGESSPHFSRFPASSSPFAPINNNQSTNTRIPSQM KQRPSGPGIGSVSASRLPLLASTSPSLSPKGLNPPAHSTTPPLIPGLPSLPGSRRWVS GTMSSDNLSLPSIPSSTKHSNPFSGFGALHHPSLTSSNDSLHLPAPSSGPYGLQASSS SPALDVPLPVGAGAVGEFSPFAPTEGEKKALQLGTPGKWGGLGLGKRWQSGSSNAPTS TAVPPGTVAGGADEGGWPSALQGWRKSEEVGREEAAPGGAVGGFWGVNGNGSGKGGYG GYGFGQVQGQGVIGDGQQGQQGQEVQEERRPRFSFLRKSQNNLA L198_05817 MPGPLYRDPWAKREAWRKSPIFSNRAMFKGMFPGLGTAIVAFTA YVIYDDFFAARSSHGHGH L198_05818 MLSRALLRPPTSSLALRPVFASPARLAHSFPSPSSPTRACPSCQ SPIPLPLSPCSKCSSLLPLPSDMSHHSVLYLSSPIAASARGPFDLPKELEQLEAHGFG VDKKELRGNWLQRQSALHPDKFGSKGEQAVHLARELSGRVNEAYAVLGDDLRRAEYIL SIYAKDTQETDKLDDSMLLMEVLEAREELEEAESQEEIDRIRGLNQEKVSEITQALTA AFSETPPKLDEAKVLAVQLKYWMGLEKAAKDKIV L198_05819 MLLPALISLSLLPAIYAQQSIPRVLVYTATAGFRHDSIPTAIEV LGDNADKYGVQFVFSEDKGQFTNDTLSGFDGVMFVSNSDDVLDDSGQSALQTFFQSGG VYAGVHAASACLFNDTNYEQAVGAFFDYHPTIQSATFERLNTTHPSVADAPDQWTFEE EVYHFRSDPRDNGAVVLLTVNETSYVNNGSSTGDYPSMGDPHPIAWYIESPLSALPLA QSASKAGRSFYTSLGHLNSTWQDETFIGHVMEGLKWALDGASTKAYGVGIVGNGTASA TNASSSSSATGSSSASGAASSSSATASESSGAEKFHLTGASSIVLGTSGLTLGVSMML L198_05820 MSRLSLARFTLPLSRTLPRLMHTDGRQVDHSSAPAPHQPPPSPL TVAPLPNPDPAAINTPVTAPSTTPSAPRLTRRGTPIPTRPARKIPTTLPNGDLEPPFY PPPPEYFVEREERDTALLGKAGPVHPLWKFFHVPVANRLEEGANWPNSTGSLEELKQD DMSLHSGRAWSAAELRQKSFQDLHTLWYILVRERNVLATQNAERKRLGIGHHAEGIFN TKRAFRCRKTMARIKYVLNERRLGLVATAGPHLPDAPRVPWSSSPTTDPAGSTDAIRG VQPLSPRLAAFLRDYPSVGGGNAVAEESSFVEDGEVAEEEVEARDEGFGGGEEAKEFD AETVVNDGKVEKKE L198_05821 MNKMPDRTVIHVQTEPYGLTSQKTLVPAAEPPQIQLSSPRHEAR RGHHSESLSSNESEEYTDSTYKEPVDDRNGSVVGLGGDGDSRKGLTLRN L198_05822 MLIFLISYVGYSDTYLDVWPQWVQSKFYVCGLMASLNSRYSLRR AVVGSESQRATQQPTVVHVLTETHVQKSESDPSSKEGCKPFLARLATDNKTAPRNYPQ ANPFTSPGDPPSSRYRFRCRH L198_05823 MSISGTISRRRIGSVSQRVNDSLPQLDIQSIQMPSNPQNALALK TAALSTSTRSLYQTCSILKKRLMCVEGFQPFLEQPPNAEPIDVVSHMCHLFRLGSPLL HLYNLLIPAFTDSLSPLYADLPAPAKLEYAFPQFKATPNGVRNWAKKPENAKPCQRYI AAFCMAMKKRIEEGRWHSDMWALHELWGKSTGEELEAYDSTGLMKVLSTVEEMLDNLP ESALSPISPHTPFTGNGSIAQRTQSRQSYDLPFSMGGMGSGAGAVANMAATMNGGVHI EASANENSPSTEEMQRGPSKMSAEANAYKSVEELVASEKSYVQELEILVRCSQEMVEA RLVSTETNHMIFSNLSKILDFHRKFLIKLETEYEPMEEKGQGAWAEGVWGRPFIVSEA EFDCYGPYCANYLDAITVVNEQMPLLMRGQELALADRPCLDPQRELQAFMIKPIQRIT KYGLLLDAILHATAKHEYPYRPELEEASAAVKRIAAGINEVTDFKAKQATIRELVERV DDWKGHDVDKFGPLHIDDHFTVTKADQPRGYHVFLFEKMMLCCKEITPDKKKSGKNSS MLRKDRGMSKSGPLDKKKLALKGRIFVSNIKEATLLPSEANADPYGAARLLIGWTIPL RTTDGYHEDQEDSFIMIGKSEDQMKKWSEKVLDLAKNERKVQEELRQQRMKSGRLSGS ERHFQHSSFGPPTPASEFPPPNPFNMPPLPDKAAAHYHGEDDDPNGLHSGRTTPSTQS HPYAYQLPGGNRRVQSQQSISSMNPIETRARAMTEDQNGPNMAQWRTQQPLAPPMPRL VSNMSGMSMASEASFGNGPPSAGNRPGMGRQMSSVRLPRAPEEEAEKDESPTGQREAF NRYGPSRGMARTPSQGVATVPHPPALRNRSASSPNVYQEPVVIGAAPPVPYTAGANGT WTTGSASNLPSNTHPYAHSTPVPGFGPPPQGGTTVVGGTAYFNKRVSSGKRSSAGSTT TTETSDQTSPATPYGTSGDLRPTQLRQGSGDSVGGSVLVKVRCGEDQFILGVSHEIDY ITLYQKIQKKLRLCSSRPAGEHDKLQIRYVDNDGDEIQLKFDSDVEMMMEDGRDMAGQ INLIARWTEGAGKRLGGEVYQV L198_05824 MLWQLLVLPLAVAAPSQTPFAPKHINPLKHLSAISPFFIPNQEP TPLPPTCEYTRISLLVRHTSIRGNDDEYEDTMEPFIEKIKAMDKNKMPSDGEWAFLRN WESPISEDVLEKVSQRGEDDSTFFGRRLSTQYAKLFPPREKSEKNKKKSKHTVPFKVW SASSERDIVTAKAWIKGAFPAEQAGPHGEGDGKQLQLVSVPNKAKDWDRSLTPHKACD AFEKQSSLEPARVWLKTYAPPIRERLSQIIPDVAVELVDDDILAMQMLCGYETISQGW SPFCNLFTDNEWLDGEYYFDVRFHYMMGYGNHLSPYLGAPWVKTAKHLLDGKDTGDGA GEPHGDEGEVEANKEKHKLPKPNLPPNATHTQLFHPSFTHRESPAFVATFLNLYNSTY AHPASLNPPLTYRPPKSERAWRTSHVVSFLGHVALERFHCGDGGDYVRAKVNGKQEKM GGCEDGLEGSCKWETFYKWVDERALRWSGWEEVCAKKD L198_05825 MANQPPPTPWIPNPRFADESLLLEAQPIFDTSLGDESVWGGGAT VICNGASDQDITSNFSLKAGGETSGADMTFDLGDYLPEEVVAGQAGMSRTQAIPPSST QRDMRAPQQDLSTLIDKASTSGKQKRERESIDSLSVVGPSKRAKPHPKENDDLFSEKL PELIHPKKAESSKPAAQLSANTASARLKTQLKRKASDTGKEKIVKTPLAMAKTESSSS KQSGSALSRYLARSTVLAPKTSAHVEPKTSSRDVSPALPHPILAQRPIAAPTRLSTPP SVPAADPSPTLASETTQPSPASKPTNSRPSVVISPPALQSRPDGETSMTKAVEKSARG SRGPSSDQGPSPRVADEKTEMEDVECSVNDEVAKESLAEVQHEQITAKPIAESTRAPL TGPMRRKPAGLTKPIPFSRPLSERPQRTKSQTVSTKPPVDSKKAGVPARPLSRAPLRS TTALVNARPAPPRAASKPKKPTSPEGKRDLRKPPIPSSFAASSALARPLKSGSGQKST AEPVKVKAKDTENARGVFDRLASTTTHTSRAPPAPKAPKHSSLQPTVPLRGHTPGKSS ALRAQQRAVFDKAVREKMEEQERVAAEERKRREEEEEEAYQRQRKETVIWAKPVPGLY REGKQE L198_05826 MTSAALVPCIADTYKQDLFKGKVLFCTGGRSGICYAIVESMMSL GVSAAIVGRDAKGLDESAKNLQDSTGSKCIAAPADVRKPEQIKDAVKRTVDAYGKIDF VICGAAGNFLSPISGLSENAFRTVVEIDLLGTYHTLKATLPYLRESHGAYLHISATLH YRGVPFQAHVAAAKAGVDALSNVIAVEEGPWGVRSNIIAPGPILGTVGADKLSMKGKK FGKDIPLSRAGHVDDIANAAIYLFSPAAAWVSGSTLVVDGAENHIRSLKFPYPETLLE PEKFKDLVKPRL L198_05827 MVHTIIAHFHARPESAQEIKDILKEGAALYVKDKGTINWYVMHD HEDSTKFYAVERYESRAAVDVHINNPHFCDFVGPRLVTPMTITQTEGF L198_05828 MLIKQYGCSDESTIRQAQSRLRRQSFRYETHERHDDQGDLLSLK KDELQCIKDKLVARWGEEELQAQADLQREAQSKAAREEREDEREEAYRLFMSITTKRG NLTNQHRIAQEALQRGDTTTPSFTIPSGSRLQAEVVQLEEGMAEAAKNLVEGSSVDTV YRLCMLHEAHRQLREAVRSHKFMREPIRNVRMGYRATKDPLRKIRQSKLAQAVNKYNA ALKAYSETDHPDHPPSRAKSVKELLDMPFDADFWKDTSVLFENNRAPWDWDPACRRAL HLRNRSREELRRIRAEIAQMVRWSATHYQMLVVKKVE L198_05829 MSTTRSASVKLAACSHTIITNPEAGDRYMAYQPVWKVKPVWAPG DAPNEDQMTKLAYLAEDITRSYWRSNWDNAWSTRDAQTNVSFATIEAFTQQQEQLESD TNDKLTAACDLAERDEGRRNATVIYPDFATYSNTYPVTDYNNNLILDQVHMTADLEAM WSRHFSRYTTR L198_05830 MSTTRSASVKLAACSHTIITNPEEGDRYMAYKPVWKVKPVWAPG DEPNEDQMRNLASLADNISRSYWRSNWDNDWSRRDAPTNLSFCTIEAFEQQQGHLEYD TNDKLSVACDLAETDEGRRNATVIYPDFAAYSNTYPVTDYNNTLILDQAHMTADLEAM WSRHFSRYRVVDQ L198_05831 MLLQIYPSLLDAPADTARRKLIQEGGVYVSCLATTRVNRKEAQE KVDWELNRDLATRAKADGSRTAILVSGSHASPDSSFFYLRIRGQLEEHFATLGFDHVV ILKPGMLLGPR L198_05832 MISQWVTRAKQLYNELGDNDTRPRFISNTPYNDSLHCGALNSKS FCFDVLSDHSASRYYIVRFKGPEGVAISRRDFCMAWALCRRTVAFLGGLKSSIQDWSL EQEYTEDLETISELLRKVSLVSGKAVSAAHSSVLEQYEAYWDELNFVMQHISSWERIG YLPFIHARLPTDYKSLVSNPGEPRSRRWMLKGVDNGNWAPLDAQFYCDSPFSALYIFY VKKILELHEEGFEDRWFAMWDMWGPDPVEYKLYRFPRDIPISESELQLRKKSQGVNFG ELLSESGDISRTPIRVYDE L198_05834 MSSIPTTTVAGKTVGRVGFGLMQLTWNPNPPSQEVSFAAMKAAV DAGSNLWSSASFYGNPGDNFANIKLIAAFFAKYPEYKDKIVLVVKGGVNYTNIHPIAD IDYWRNEIKQMQEILGDKKLDVFSLARLPDAPVEEVFTNLKTLKDEGLFDGVAASELG AESLEIANKITPLAINEIEISLFSYDTPIRSAIAWHTKNKIPVFAYSPLGRGFITRTY KSPEDIPEGDFKRMLPRFQGKAFYENLKLVDKLDEIAGRKGVSGSQVALAWIVGLSEY TIPIPGSSNPTRIAQNIQSASISLSSAETKEINDFLDAFEVQGTRYPGAAMGQLMK L198_05835 MKFSTAFALISALATTASAMPTSYPIFNRAISARADAPTTTQVL QYALTLENLEKSFYADALAQFDASAFEAAGYPDWVRGRLSQIASHESDHVSLLSTALG NDSVEACTYNFPYTDVKSFIALAVALENVGVSAYAGAAQYITDPSYLTIAATILSTEA RHQAWEASAVSKGNPWGSAYDTPLGLNLVYTLASAFITSCPDTNAALPVKAYPTLTVA ADAKAGESVQFTFDDAHNGTNYAVFYSGIGVASVALDENDSATVPEGLQGVSYVLVSS AADAKSVSEDNIVAGPAILSFPFTSKVENLPFSG L198_05836 MSTPTPATILGSTGLTGSENLHALLTSSNPFSITATHHPLPHPF PLPQMRTPKRPNSPRRTNLAFALRYSRRYCPKKARTRGRSEKVDWELNRDLATRAKAD GARTAILVSGSHASRDSSFFYLRIKGQLEEHFTTLGFDHVVILKPGMLLGPRERVDWA EIGLQKLFGWARWAGVGTDALAVDGKE L198_05837 MISQWVTHAKRFYDELGDNDTHPRFISNTDNPYNVILHCGSLDS RESFCFDVLCNKWASQYYIVRQYYIVRFKGPDGVAISRRDFCKAWAVSRRTVAFLGGL KSSIQDLGLEQEYTEDLETISELLRKYEAYWDELNFVMQHISSWERIGYLPFVHDPLP PNYKSLITDPVKPRSRKWMLKGTDHWYPVDAYFTCESPFSDLYILYVKKILELHEEGV EDRWFAMWDMWGPDPVEYKLYRFPGDFPISESELQLRKKSQGVNFGELLSEFGDL L198_05838 MPSPPAPQQAPLPQRLAPALEPPIAHPPRRLAPAQLVGSTHQPV DTPPQPVAYPHQPVAYPPAPPRASSTKDRTMYGSPTNIGSEYRKVALHHLSPDLHTEA KNKVSNRADDHRAFSVWPMVLGLTVTGVVASVIPAVKPIWLLTEWPLQADALPCFWAR RWSLVWLAINLMWAVALLVQGLSGENLNINQVAANFLPLPCHPCYP L198_05839 MLLPLALLAPLLVSASFGSLRPRQDDISPLHPTSPFAPPRRRPG TTPPGCPPCFNCLLPAFNCGNGGECNPYDGQCKCPPGFGGQDCLTPLCGALSDGDERF PREDGELCDCKDGWGGINCNVCKNDGACAGFLPRSEGAEDGDDDMVCYQGALAVRENF QMCDVTNRKIIDTIPNNKPPQVTFSCSANGPSSNTSYTPPQSPFSSLDTPFQPDATGT CAFQFWVDRIESFHCELKGCNWQNKAEVDTNQTSYQCETIECSCIPGRFLCGEDGSVN IDDFLSEEVKGPGSFNCISGKGCSFEEPAMNQLINDIFGDKSITLDCDAGECLHYTQV PGYVQPEKPDNSSMVALSAALAAVVFVLVFILIWYTGRTRKHTPGLGEVHLPEDEAAK LMSDHVPATLHFSNITYDLPPPVFSSSSSSSSSGTRLLSHVTGTVRPGELLAIMGASG AGKSTLLDILARKAKTGRVHGEMYLNGRTIEDEDTFRRIIGYVDQEDTLLPTLTVYET VLYSALLRLPRDMSYEAKVFRTLETMNELGILGIKDSRIGESGKRSISGGEKRRVSIA CELVTGPSILFLDEPTSGLDSYNAHNVIHSLQQLAKSYNRTVIFSIHQPQSNIVALFD RLLLLAKGQVVYSGETGKAGGHFEGLGYGCPQGWNMADWLIDLTVDAAGDHHRQAQTQ GHPTSTSTSRLEQDAEAGLLPSGSTPSTQVAESESEVSSSSTPSGGVGVGVVGEIKNK AQRLLGAFTLPSSLPSSGASTPTATAKDNNPIPRIPEKLASLILASRASDDAKIVEAE ISRIQSGGTPDGGGGGGGGVGPGAGAGGLGGSRDVEEETGLLKGYKKASLWTQFVLLS ERAFKNLYRNPMLMATHYAVAVLAALLCGFFFYQVTNDIPGFQNRLGLFLFILSLFGF SCLSSLGIFANERLLFMRERANGYYAPITYFLSKILFDIIPLRVIPPFVLGSIVYGLA GLNPEVSAFWKFIMILVLFNLSASSIVLFLSVAVADLGVANLLGSLVMLYNLLFAGLL MNYDRVPNGLKWMLTTSFFHAAYEALLVNELRYLQLIEHKFGLDIQVPSATILSSFGF HAQAFWWPDAALLGIVFGTFTILSYLVLEFWVKERR L198_05840 MSSDYATERSSVPTHVSRIVETFFSKLDANTMFKEDDREILDNS RDSMSEDLRHAVTIALETEIRKMEEQGEPVGDMSQLTFMPNMIAPVDVDEVLMVGSIQ GEGWSGNGELFNVPREDTTATAE L198_05841 MSSPTPHGESSQPNATSTSSLESLATRLDASVSPTSFLNDLFAP LLPPPLPSSQRPAPPALPPVDQSLQDLLTRLSMLSQDTAGEIETVMGDVGRTVPRLGY DLQFMRESASGLAVGLGMVQERVARQADWEPVEKKGKKEDESEAVKTYRALEKLTHLD KLKGRLESARDTLREAESWSTLESEITTLIGEKEYAKAGQRLAEANRSMVVFKNVPAE WEERKRLLVSLGDELERAAGEALREALRKGDEGLEQVRGFWEVFEDMDRDDEFRKWYF NEKGRGVLDLWKGAVLEEGAVEASRFTTFLPQFYSLLLQTLTSELSSIPLVFPLDQAP STLTAFFQSTLDALHPPFSNRLSSVADHHGDAALGELVKSWEATVELGKGVQGLVDKI VFNTQGGLLSGGLETDSPSPLATTSPNALVSPNNHRRSPSHAIGMTRTNSHTKRHHSI SRRFSRAPTASFDTSSPGTVDDAWETTLYEPFLDWQSSYPSLEARYLSVELETMASVW AREGRRDGKSVVGEMVKRGEGVWEKAREAGERCSAFTLGYGARGLVEAVDMSLKKVYD DQRRYLLDLAKSQAAAHSKDKGDELDFEGGLEDWASFQTGLHVLEACKRLAEKLAHVE EELGRTISEYGSNLGAKRESWGAEKVTWGAVSLLQQSTLNTAELHTLVSSPTSPLFPQ SRASLITTIQSCQENLQSTILSPLLSQLSTYPTLSVWQKEPAKSKSGFDLPEFSLSPT GVISRVSEGLLDLLRVFEVYGKEEGVGWSLGTLPFTPPGSHPSGLGEAPTLEIIQTTW LSSLSLSLLSHFTSTILPGISTLSTPGQAQLKEDLGYLENAVRALDVEWEELGIWEKG VGLDEAGWRGAMKEVRDGKEKEKEKEKERREAGKAEEILRRIGRMRGWRLV L198_05842 MSEQSLKWTVQSRPTRNPEYIYFGTIFTAAETGSRQSAFGIYTP IDVEDKDTWLEVSRSRGGRQNGREISIEESVRMTLGLGTEDGDDAPVDEAEEEKRTTL EAKKDSLVSRIGASLDNSLYDIAKDVVTRHQEQQEQQGNE L198_05843 MPSPPAPQQAPLPQRLAPALEPPIAHPPRRLAPAQLVGSTHQPV DTPPQPVAYPHQPVAYPPAPPRASSTKDRTMYGSPTNIGSEYRKVALHHLSPDLHTEA KNKVSNRADDHRAFSVWPMVLFPLFGLSLGIAVCFVG L198_05844 MISQWVTHAKRFYDELGDNDTHPRFISNTDNPYNVILHCGSLDS RESFCFDVLCKKWASQNYIIRQYYIVRFKGPDGVAISRRDFCKAWAVSRRTVAFLGGL KSSIQDLGLEQEYTEDLETISELLRKYEAYWDELNFVMKHISSWERIGYLPFVHDRLP PNYESLITNPGKPGSRKWMLKGTDHWYPVDAYWTCKSPFSALYILYVKKILELHEEGF EDRWFAMWDMWGPDPVEYNLYRFPGDFPTSESELQLRKKSRGVNFGELPSGFGDL L198_05845 MATVPQYFRDLYPTSCENAPAPHTIKNKLKHIDKDSYENPVQHL WDHLAQEFIGSLEPLEPFSEHTRKGGDFSAYQNFPLDRIRFEGPQGTTCSYSESSFCE AYTRWRSEYRIDDSQEHNRPDLVFAASRAHEPSTKRDKKRRKTNEPKTFPSQSDQSTH SKTSKFYRDFKLQSNEYLDLILSLVFEVKGRDKLPSEPFLQEAVYLISSYDACGCYLG GFAVGKEFACMMVINKETILFEVPEDTPLTTLGIDDMMDFLADEFTFPHEFSNDTEAL DFIWRYFNIAWDAIKDLPLGRRLQRVRQPPWVSREGVRERLTPPLVELGGIHQGLAAF LEHAHGLKPKTATHPEEVTTPSSNVSSGNLDSDPTASRTRSQSPPRKYGSHSGAPSSL TPISDFEHEEEMSNVDDEDGADEEHDDEEHGADQRGVARVGGNGLGLASEMGPQRLLT GKTSEEILKTPIVGNHYSTRDVYDWLSCIPTFTPHDLLSSENYNTLTDKPPSFSKTKG SNNTSEDKSLGVGEVEERPAVMAGGVGFKRKGQSATAGIGEGADFGMMKDEGRAQEER EDRSETVERSESPCRSEDEGGSDILAFHDILLKRNIKFVLTEKSLFRAIVDNVKATPR V L198_05846 MPPASKSNGSPAPSPYPPSRPGSSKPATPSNGTSSTPSTYPILR KQLIDMQKHPVDGFSAGLVDDDNILEWGIVIMAPADTLWEGAILKARLIFPTEYPLLP PKMIFDSEMWHPNVYNSGEKKGEVCVSILHAPGEDEWGYEHASERWLPVHTVESVLIS VISLLSADVPDLNSPANVDAAKEVREDYPAYKKRVKRLARRSAEEAYDD L198_05847 MAPSKQKGAKLSTDPLKQVSKYESALTADTFDPNPLLPLIALAR HDDPQIVHKAVWALHRVFIKLIADGKVGGLSGDLVNGAEREQVVGEEGEKAVKAWVRE RLLEYVQVLAGLMRDEESALRASSVPLLFSLLPSLSASISSKPIIHIPYFRLILNYLI FPRPSLRGAKPKTKSVGWKIVAANQVADADGVLPEDVAKVVVDDFWAPHDDIRWAFFK EASSLIQGHTSVIAHPENVLLQVLPLNNLPREASDINAFYVSAFSTPPAKGEGEARPV KKSRSVKAKKGKAKAEVDALPDWMKDYESSDSESDSELQAGSKKRTRTSQLSLHASIY SIVSQTTQFTALWESVLFNLRLDEGWTRKILVALHGEQGILSFWKKERRLRVADWLGS LVDQGGVVGMLAMNGLFVLMTEYNFEYPRFYERLYGLLDKDVMHVKYRARFFRLLDIF LKGSLIPAALIASFIKRLSRLALSAPPAGVIIILPFLYNLFKRHPGCMVMLQRQSGKV EKSEADPYDAEEKNPLKTRAIESSCWELSTLQKHYLASVSTLAKVFGEVFTKAEYDME DFLDHGYGTLFETEIKRKIRHPPALSVALETGAKITIFPDGEKKEGEDGGEGEEDVVA ELWAF L198_05848 MDAPIDDPVSLLLNIAHSHLATPETHAQEQAHELMHEQVHEGGG GDGDGDVSMDLPSPQGQKRKRPSPSPSPPSPQGQPLHYLGLDNTLIRCICGFPEDDGF TIQCDLCGAWEHGFCVGFAHPDDVPERYLCEICDVREVDRGKAREGQLRMIEQARGAR LAGGVGAGEKPRSRGKGRKREEDNDMPPPKPKRGRQPSSRRKTQPAESTPAPPPSLPA PARPRSRPRDEPEDDDYFKRSPWSMEFIPITESVLRGMPVRRALREVYEEWMIDPAPT VKPRKDATHLPSPTEPPIPLSPSPERYPTPSFETLSPPLPPVYLSSLTPSPGIKLQVT PIPLPKTKRSFLPPSYAPHLSMPSLYTHPTLYGLFAAPSSAPIAKGSFIGEYLAEVGE AGRYRRDKVNQYGLLGVGKPGVRGVGPPVDLVLDARAYGSEMRFVRSSCRPNAVLRVI IYRPAASGGKGKGKEGKKAGEGEEEGKVTFGIFASEDIGKKQEITLAWEWDDAHLVHS LPSLHPTISPHGRPGYPLALYPLAYPDAPFLEGVKRLTEGEREEVGRRFEGLLAGLGG VFAGCGCMSRVGGRVGGGGGCVVEQMMEVWKIVRGTSELVLPEPPAVSVSVPVVKEKE KEDVGMGEQERGLGTDRDRTSDHSDHSDHRALPTEPSAPHHDHPELHPEREQEREQEG EREEPEPPQLADLGPLIGAVRGWRRKELEVESVRRWRGVVRGFGFGLGLGGVGMEGRK REVDDDVQMGDMEETKEIVEQENDKGNEVKGQLGFHSPSSQPSTHSPSRSLSPSPPRP TKRTSVSPKNKTSLPLPLPPMPPTTIPPSSSLSPASSRHSLSPPAPPRATRATLKGVE EEEEDGESELSNPTDVESSDHDHDHEEEEEDEGGLSDATTITLPRSLISSSDDDDESE EEDGDEDADPPSGGTLALRKSMGGKVKRRIESSPAPEPKRKAVTKQAAKETAKDKSKI KKKDPFPFDPAPAPAPKTEIKAKPKSVGKPKPRLASVVSISTSASNSKPASTASASVG VAKKLGKKRQKRIVSSDEESSAEEEEERGRVEKRRKGKVSREREGGVTPPLPGATPAS GARALDGLFRDDTPPPPALPATEQPSTQPETEKQPEPEPKQVNPRLTPPPPAPVEPPK KVSLSEYLKTHKFRKESQPSSSGPSSSSLVSAPEAVAVAVPSPTNAAESEHERALESV KNEQRDKVDVPMPMPMASSPMTSLPASTLNLLEHLPSLRNLPAPAPAATPAQAHAQVA AMPAPIPTTFPPPAAAAGQTPVTPAPSTPAGGFGASTSYVPRGPARTPMHATVPLQDF AAPSHPALTPAGPGAGPGRASTSYTPRQVSGEREKSPGLPGLGNYNRNFESTPSYVPR RERERSPVRERYRDSLPHQTPSSSTFANVSISTPGSAAQIANPTNDRLPPPLTTRDLP PHASTNTPSRSTMAMGMGSSGLGLGRVPPMGPKVPPTGPRSVSGGSAGGGPGFGQGLG QGQGLGGMDSARGGFGRGGYRGFAPRGWRGRGGFRGRGRGG L198_05849 MSKKGARDLSQFNYGAMSSLVVNQDRSVVRNDEPTGAPESLVGR IDPKGMGARAYRDAPDISKRKKPFSAAPGGGVSGTSADEVERQIRRQQETKRAQFGVQ GVLDSVAELEGLRYRPRTAETREVYELLLGLVHSILGDQAQEIIRSATDTILESLKSD DLKEFDKRKDVESVLGKLDEPIWAQLVSLSKKITDYVEEDEQERDERQQAVDQEGVAV LFEDEDEDEEQDFEAGGGDQDDESEEDDEEEESDAEPIEAAGDDTLTVGTRPTKKTKP SDTLHPRQIDAHWLQRLISTSYPDPVQSTDLSSSAFDLLSSELELRDLENGLAELFGY ENFDLVEKLTKNRDVVVWCIRLAKATDEEKMDVEVAMREKGLGRILRELKGDKTPTTT TANVPEKVIPAHATLKPGSIATPRATTTIDIDSLVFSSGAHLNTAKNIKLPEGSFKRQ FPGYEEIHVPEPKRREPVQGELVDVRSMPEWTWPAWGRTERLNVIQSKVYPVAWASSE PMLICAPTGAGKTNCAALTILRTISQHLLPSGKPDLDAFKIIYVSPMKALVQEQVDAF SKRFSPLGITVGELTGDSQMTKEQIGRTQIIVTTPEKWDVITRKSTDTSYTNLVRLII VDEIHLLHDDRGPVLEAILSRTIRKMDQTHDPVRVVGLSATLPNYVDVAAFLRVDPEV GLFYFDASYRPVGLKQQFVGVTEKKAIKRLQTVNEVCYEKVLNYAGKSQTLVFVHSRK ETAKTAQFLVEQAQEKETLAQFINPSGASREILIQESAQCKDLKLKEVLPFGFGIHHA GMSREDRTTVEQLFFEGHIQVLVCTATLAWGVNLPAHTVIIKGTQVYNPEKGKWAELS PQDVLQMLGRAGRPQFDTYGEGIIITNHSELQYYTGLMNQQLPIESQFVGRMVDNLNA EIVLGNVRNRDEGVQWLGYTYLYVRMLKSPKLYLVGGDYMEGDPTLIQKRADLIHSAG VLLEKGGLVRYDRATGTFQSTDLGRIASHYYITYSSMAVYNKHLKPNLTMIDLFRVFA LSNEFKLIPVRQEEKLELAKLLERVPIPIKEGVEEPVAKVNMLLQAYISQLKLTGFDI VTDMVFIQQSAGRILRAMFEICLKKGWAAPTRAALDLCKMVERRMWKSMTPLRQFPRV SREVVQRAERKEFPWHRYFDLNEAELGELVGLPKSARYLRSLVDKFPRLDIQAHVLPL TRSLLKINVTVTPDFQWDRDIHGASQLFWILVEDVDGEQLLYHDSFVLRERFAQDEHY VTITVPISEPVPPNYYLSVVSDRWLQSETKLPVSFAHLVRPAPFPPHTPLLDLQPLPI TALHNKAYEALYPFTEFNKIQTQVFQALYAGDENVFIGAPTGSGKTIAAEFALLRLWA KKGDTPRAVCIEPYQEMVDMRVEEWRAKFSTLEKVVVGLTGEATADLAMLSKADLVVA TPAQWDMMSRRWKSRKDVKSVGLLIADELQLIGGDVGSTYEVIVSRTRYAAQQLETPI RIVACSVSLSNAKDLGDWIGATSQTIFNFSPSARPLPLEVHLQSFNVPHFPSLMLAMA KPAYLSIVEHASTRPTICFVPSRKQCKLSANDILTYTLADEDEGRFLNVDREELEPHL ERLQDEDLKETLRYGIGFYHEALSKVDKKIVTGLFEQGAIRVLVASKDTAWSLPVTSY MVIIMGVQSFDGQEHRYVDYAIADVLQMMGRACRPMIDSSSRCVLMVQQTRKDFFKKF LNEALPVESSLPNYLHDHFNAEIVAKTIENKQDAVDWCTWTWFYRRLMQNPGFYNLQG TSPTQVADYLSELVESTLNDLVDSDCIIVQDEMDTLPNNLGMIASFYYISYITVDTFS KSIKETTKLKGLLEVVSSAHEFEIVPLRHHEDTLLERIYDRVPVKLQNVDYTSPYFKT FLLLQAHFSRLTLPPDLAIDQALVLSKITGLLSACVDVMSSKSYLGCLGAMDLSQMCV QAVWDRDSPLKQVPYFDRDVLARFKEKNLDSVYDIMELEDDERNDLLRMNDRQLARVA KFVNSYPNVEVSYSVANASSLNASESVELNVTLDREVDEDDPEAGQQADAPHFPHKKM VSWWLVVGDDATKNLYAIKKVTMTKATAKAKLELQLPEGEWALKLYLICDSYAGADQD FELEKLKVAEGESSDEDDSDDDEDEMEVDK L198_05850 MSTTDTEYAVSETWKATDGKSPSIKVISSDNVVFHVPEYLLRAH SAVLRALLEDIPPPTLSSSDDAPTPFEDPSRIFELTDSTLESASTLTFFLSVISGKDL DIALEEITTEDYVLTFYYAMALAKKWDCALATQVLRLWLMQLAEKGKAHAHIKPLDIF LIAAKYDLPDVAARVIAVYKVDQSCLTRPSSGRWAFLPSNKGFETQHFERSAWQETPG AYLHALALTPVSVDLNVKCDRCRNQGRDYYGEAQCSLNSGEFKAGFIQVSSSKRAVQF IEHLQVKYTKYE L198_05851 MPPRRSTSRASSKPPSKATSRAASAKPVSKAAPKAASKLDKVPE ASVPVVNGNKKRRKVSDAHEPPAKKGRVSSAAGSKIKKGEVNQIPAIPAVSEPHNALF VWGTGDQGQFGLGPDLLEEIARPKIHTWLEEQSEEGKLSRDGKPGGGLESVDCGGMHT LAIDEGGRVRSWGINDNAALGRITVDVPDPSDPSKAIENEELETYPFVVETLEKEGFR AVQVAAGDSISVAISDKGELRAWGSFRSNDGVLGFDGVPGHPKFQFTPISLPALAKVQ ITQVSCGADHVLAVTTAGHVYVWGKGEENQLGRRIISRRRINGLEPERLGLRNIIHVA TGIYHSFALDKDGVVYGWGLNTFKQTGVTDGDDMVITPTPVDALRPENHNGSKVIQIE GGEHHSLFLFDNGEVWGCGRCDANELGLPSDHPAQEGIKERRQELQEYREEKVTQRQK KLDEILQKGDKVDEDDKAKAEQELEEAKVALKLPPSGEYVPEPVRIAFPPIPESYEVV PEFPAWKDSKSEDNPIISISAGTRHNLAVSKSGHLYAWGLGNQGQLGLGSEEDAEVPS LVRSKLLRPYKSLTPSAGGQHCVVLGTKKPEDEQD L198_05852 MILLLDRAQAYQALGLHGHAYRDALRAGEVIDSNNVTIPVDMHD RIQLQIAKAALSLRLYRTAIKACDDAAPSSTMTRFVKMVRERAEKRLKEQESGEYDWV SIFRESLKDARMEIAVGDYVSPACNVTQVAGRGREVMATRDIVPGELIMVSKAIAPTC PGLDAPGVYVNCYDFESKSIVPHGTYVMVYRMLHRIYDDPSLLEVLKGFSSAIVPSPR DLPQLADESTRLTLLFTSPPPSMGLDVFRQISKTNSYGGHSVTPMGLGFGGMLEKKIL DDFTAAAMVMRASRHIGKGNELLASYAPGFASYPQRHMILLNWNFDCACIVCQADTHP DEDCDTRSRIINSHADGLLSAFPVTMSKKGIMVPPKFMVMHAQKAVGLEGVVREVEGT YARGRREDTKAELGHVYNRLGRNYLCSGQAVKAKEALMLSLKCAGVTLTTPAQEKSLK RKVYESHDLEDDVIMTMMLLAVVELGDNNNDAANWARTGLWFHRVRYGGGKELFQERY GHLLANFPIRFA L198_05853 MPKAAADKKEVKKAAPKQKREKKDPNKPKRALSAYMFFVQDYRE RIKTENPDASFGDVGKLLGLKWKEMSDSEKEPYNKKALADKARAEKDSAAYKADNPPE KKSKKAAPKE L198_05854 MSKRPISPPADGPQEEGRDEPHQSRLWPPISQDSSNEAVTKIIS ADDVELYVPEHLLRSHSVVFRTMLNDIPSPPPASGNSHPIELTDPKIEGHKTLHLFLA IVTGEDLKDAVARDQIVGARGEMLLAVMRFAEKWDCPIATRLLTFSLMELAGKGLHQR VVSRWDIFVIAAQMGLPEIAAKVIEVYRADIASSDPEISSYPPPSWPIFLGAYPEFAI SSLKPEAWGVIPSHYLHALYRASTTTALGDDNQDWCENCN L198_05855 MPEQMGTPNNSTTCAGGNVKSQQSTIWPSKGKEVEIKVVSADGI ALYVPEHLLKSHSEVLRSMINDIGSTDTRLIEFSDPDIEGYKTLHLFLAVVTQNSLEG AIARDETGGGRGETLLSALDLPHIAAKVIELYTPKTDAADSASKSPTSTWPFLLSGGL VDIGKLEDEAWQVIPGKYLRALHRASTNSAGARLCSYCNDYTSKEANSAQRAAKFLEE VTGPKKN L198_05856 MINDFGAPAAENQKGRHLIEFSDPKIESHNILHIFLTAITPQKD LFKPMVPSDRRVVQEIRCYKGRNQNVITPIDIFVAAAQLDLPYVAAKVIEVYTIADIV SKVPVTWPVLSSHAEFDIENLEPKAWEMIPGIYMRALYRASTPFYPLQSPHSANNYCT RCRQYTTKNSQKAAKFLEEVLAPSKE L198_05857 MRITKAQFNGLDQYKYSGVDKSVVSKYLLNPFWNWLVTLFPKTI APNTITFLGLCFIFTNIGTMIWFDPTYAGVVLPNWVYASFGIGLFAYQSMDAIDGKQA RRTGMASALGEMFDHGCDAINTTLEVVLAAHALGLNRSWWTVASQVFSLCNFYVSTWE EYHTGTLFLSAFSGPVEGILLICGIYFITAFHPLGSLFWAQPLLKPLFLVIPQTYPLV QKVDVLLEHLGIAKHVTLETVPANVAFMAFGALGTLGNIATSYINVISARRKAGKPIL PPLAGLLPFFTHTGLLVAWLHSEIRGGVCIVHDHRILPFIGYWGMAFSYQVSQLILAH VTKSPFPYWNGMMIFSLFGAIDANMGSLFGREPLVQSSPVAANVFIWMSFFVALFNYI RFAREVIWQICEYTGLACFTVRHKDKDGKWVDHVKAE L198_05858 MSSIPQPVAHPATLSRFPMRVPRWRELVPIIPTAWPGRDDGFHG WLLDLCKDIAGQDGRAYDKWIDIEYKEIDSLELPPEEVNIARSLAKKRLMTTGHF L198_05859 MFSEWMFRVKQSYDELEDNDTRPRFISNTARPYNDILHCGSLNS NSYCFDVLSDNWASHYYIVRFKGPEGVAISRRDFCKAWALSRRTVAFLGGLKSSIQDW GLEQEYTEDLETISELLRKYEAYWDELSFVMKHISSWERIGYLPFMHDPLPPNYESLI TNPEKPSSRRWILKGMGNWAPLDAYWSHESPFSELYIFYVKKILELHEEGFEDRWFAM WDKWGPDPVEYELYRFPGDVPISESELQLRKKSQRVNFGELLSESGDISRTPVRGYDE L198_05860 MSTPTPVTILGSTGLTGSETLHALLTSSHPFSITTATRHPPPHP FPLPQMRTPKRPNSPRRTNLAFALRYSRRYCPKKARTRGRSEKVDWELNRDLATRAKA DGARTVSAITSSLAIAIKFHLRREIDDPQAILVSGSHASPDSSVFYLRIKGQLEEHLT TLGFDHVVNLKPGMLLGPRERVDWAEIGLQKLFGWARWAGVGTDALAVDGKEQV L198_05861 MAGTRTVPDDLKVGALLPRGRSITGKVTTFQYHDTPNKPSCLLF TVETRSSHPPNPNGNGHPNKAHVIKHVIQLYPSKWHPYGEAPQSKRECSSVKKLLSNG YDELKAIGGEKEATVFMVGSLQVRSVLQTPREGASGTEWAVLLRGIGRMQIVKPGNRN GYIFKDDPTLPMERFNATEALHVPSRSPAISANASALQITSNPTVSTANASQALPTKR VSEWGIPSVKKVRTEQDGPAVSGPSRLGSTSTLPNPPPNVNTRPAGAPFPPVTYSVSM RPEGSTAAAASPLFELHPAEAEISERLQKAGLPGLREPIPQYTNLQNLVNGRMVNIIA YIHDCNVAKPGTSWDSNFTIHLLDATSKPHPDDPTERSFTSCTLFRPKVSQFDMFQRG TVLLLRNAIVQLQPDTRDIFKLKAASGDGNGKGAVVYKGEDEFVFEDKKLLAHVSEME KERMKAMFEWGKRCLTPPSQKPVMGQAIQLKPDFATGPTTNGPSAYKSKKMRPLCDIK PGEYADATVKILRKEIDFKTTVEYYCTDGTEAQHGGFNRNYRGLLFNLPHNAVYVINV PNASEVGGFEHMEDGNVVTLENVHATRHRKDGSIVYTWEWKEAGAEDDPLRAKVAKLE RGEVARAVERRIVALGGHVVVKEEPISTIENTGAGSTGSVEGEDSGTSDSSSSRVAAA SAPIPVLSEYRRKRMKPLRDADVRDYIDATVEILHIDPISKELYVSDGTVADRPSGVP NRNYSNATFGLPHNAVYVFDIPDSHRAIWGASNLRIGNVVGLPNVNCRLHPSDASFAF SWEWREQAVRGRTIEAKSVELVTGNRAKIVKDRIAALREQHKLALGDGAQGDDEPFYR GGSKRKRRPLREIEVGDYVDATVQILDIDQGTFGEAVQLYVSDGTEADRAEISRNYFG KTFGLPHNAVYVIDVHDLQDVQGSGLIKAGSVVTLPNVHCKDGYRYSWEWKAQEVRGE TYRAKSVMGVMEHEARNVLQRIASLKTGNQYPPAQNSAQNTADLSRTSIHQPSNAVAA ATRYNDSSTHILPRDCSPAFHTLFRHPSEYPISSLRRIASTNSKINETPPRRTIAWAG KIYDQNEEGGSVCVWAWCKSCKRWIKNAESVLREQEKTVKAVGCRECGEGAVEWKYRF WVTLCEGDTELTVFCGHEAESYLPFLPPYNLSTNPNDTRRTLCRFTEIDAEVRDLIMG VPKLGSGKQQRDRPWIDWTVEPFRERNGRVSAWAVFGMTRGC L198_05862 MVSRLIFRAKQFYDELEDNDTCPRFISNTASPYNDILHRGSLNS ESCCFDVLSDNWASHYYIVRFKGPEGVAISRRDFCKAWALSRRKVAFLGGLKSSIQDW GLEQEYTEDLGTISELLRKYEAYWDELSFVMKHISSWERIGYLPFAHPRLPPNYKFLI TNPGKPLSRRWMLKGMGYWAWAPLDAHYDCESPFSSLYIFYVKKILELHEEEGFEDRW FAMWDKWGPDPVEYELYRFPGDVPISESELQLRKKSHGKKLGDLSSEP L198_05863 MPQTRQARVSAHPDTGLNLATIFPSEICQLILEYLLLLAQSDNN LSLRLMYTCNDLLNTVSPYLYKRVTLHAGNADAFFYGLADGKMKRGEVKGWQMKNEGR LEGKSVVARRLIWLSMVRRVEIMDSPALVSCVTAVKALLKRPFPTRPTDLLSLFFWRA HESNVHEKPVLRISTSVSPPTSMIHGRSRFKKWQQLARLPTLELDLMGPGDVHFYHNI VLQRELSRRAENVIIKNLAHQTLRRIELLSGCPLKSILVIMENGGDDHRKLLEDFAEY FAARGHTNSVLVTIKGYQYQANESSFEIAKLMKEAYVARRAALSYWSHSNVGRGVDFE VL L198_05864 MIFYVPAYLLKAHSVALREMIKDLSPSSSSPITNDYTPASADPS QTIAFTDDTIEASSVITFFLHTISGNRLITSIEESHRVFPDDISPHDAVCEEMGLS L198_05865 MAWSLDIARQDQDAYEKWIQVEYDRINAGPLSPEEANEARNEAK KEIDAHRAGSVWALDIADQDSRAYDRWLAAQYRMIDSRSLPPGEAVAARTEAKRLLDV HRATSVWATAINPLFLFVLSIVVYLVGEEAGRTERHWASLSPAWQSAFSQHEVQLDIS QRWNDPNADQALKVVSSDGMILYIPAYMFQSQSVVLREMIKEIGLPTTNDSTPTSADP SKTLSFTDNTLESSSVLHFAVVSRRELVVVEIGEEGNQARESFSHRFSCSRVHSPSSS YANYFG L198_05866 MPSISNSHLPHLRLLAAIRKAADLHLGDKVGQILLSQVETDDLA LIKHAMRAKFPILFADGSRFVREWPIESLLKQRLENSKKEARRKAQETTGV L198_05867 MPSLFHKILHRKSKSTSSSAASPSSPASKSTPNLAQSTSSKNGS SSRLLRRTPSASAGGRGKGEWGAMQGAVVPPTPPKPTFGSVNGRLNGVSATSPQRAQF DTGISADAGRREEEDVVVIDPSRDPRHCQPQSRSRFSPPNSADSNATTSTSLSQDGTV VPGTNGLKRGGTLRSVRKLEEEGRMDDFPSPPTRQNELILPSAGHGQGYEARTSPGLA IPVGSGTWIPSQHSPSSSSLSSPSPEQPLSDGFSRLAIDPRQGSRDAREREAALAAGR APSAAATSTAGHSAYETAPSSPTGEKASLVGSDTATERGVPVTAYSYAAQEASEDPLA ALEEHRRVLSDRLHSEISSNLASYPILTDEGQETFKRAGMDGLVGTPGTVDIQTRWLE PVIQEHIRPQVHTEYTTVIDRHIIHHHTHPKIQPVKDPSPTTQTPKHRIFSPQTNSWH ELSPASARLVLGDDVFFNGPQEAREERFSLLPGFGKMLPADEGRWTKDAGGEWVERPK EKVVYEDVPGGEEEGEGGFRWGRGNGKVWEREYPLGAAAEEKGDWTDVLGLRSGKSGK SEGSGGLPRSGSQSVGVAL L198_05868 MSNVTQGVKEFFSGSTKPETTEVCTESAPEVVQEHVRPQEHVKT ADAIDRERHVHHHQHRVQPVADSQTLPEKHIHSTAPIVHTEHTEDMLPEHQQTLQQQR GLHQSQKTEGGVERSGEHVGVAVNEHAHHHIHETIQPVIQRETVAPTVVHKTNAVHET VKEAPIVHEVTTLPTVSAAEYAQTREEPGQGVCRTYEGGPAVAGSGAGAAGAGTHGLG KTGTGIGHSSQSGTTGTTGTGIGHSSHSGTTGTGIGHSSHSPHSSSTTPGLNTTSGTT GTTGTGTGKSHSKTEAAAAGVAAHEAKKAL L198_05869 MQSRQSSRARLIPILDKETPPQRTMSWDTLNPFSPRWTPADRKR AGVFFLSVVVGLASGSNYVYSAYAPQLANQLQVSATTVNLVGLAGNFGVYTTGPLWGK IVDSRAMLISSSPLLTGGICCLLGYSLVHAFYTHSIPIRSSSSSDISTPRLAFLMLSM FLTGAGGSAGLGSAVNAVAKSFPDATRASATGAVLAGFGLSAFLFSFLGHLVWPSDSG GLLGLLAVGTSVPMLLGGLFIHPVFPEEPHPEYEHLDQDEPGVEVVVEDYDSPALSRT TSLEMSRSIDLSRSRSPARGRDHHPHAHFAPLPHPSHPSHPSNPPQKRSQSLSSLPPT SLHHSPLDILQTPDFWLLFSILALLCGTGLMYINNAGTVVLALGREGHMQFDREKISG WQAKQVGLVSIWNCSGRLIGGFYSDFIKSKYRISRIWTLPLVSLLFIISQLSALSTSH ASSLWAVSSLLGVAYGALFNVMPMLVLEWFGMRHFSQNWGWTSVAPIIGSNGFNLVFG KVYDAHTVRSPFPSPAHVCLGAAVGVVKRAGGVPVPDDGSHDCLVGNQCYTTAFQLSS LACVLALGLSLWAGVRRERMGRERRRRVLMEESGRLPPESVPV L198_05870 MPALQPLTPITTLPTASRSASGSPLPGRLKPMPKSSPNSPPPER RQRDNDPLPPGARARRGSAPAVPAPIMIALSGWPDAVYISSSNNPKKRGRSGTATPPE VYDGVGGPRILPQHISPSRGKTPVGAPSRRGAPPSARPVIPGRPFPALSADAAGARLP KTRTILPKPPAPPTPPPPAPQHSIFATTCPHLADPSLGPCPFPTHPHDIRGMFPPTSH LEKLYGKKVAVEPTVGKNGAKRPVAQPLPVTIVKGKGKGKEVPISSSVSSDKGKTPTS RPALSHPTPYRHSSDPRYLPSSAFLHKGRALPLVPSWSGASSASSSRVGTPGVDSSVK QAEGERQGGGDVEMQDPKLPTKRHLSPSPSSSSSHSSSSMLEDAHPNKVRLLSPSAPS TSTSSSSSSSPSTTPVLSVTPKSTLDSAQEAGLLWKRVIVNYPELMDVDVDVDVGDHH TEAVVEEEGMGMEVDTEVGVGRVIA L198_05871 MPISKLTKCPDIPKAHTDFYNFPANASVRTSILSTISLPSSNQN AGKARASFVFNALREESTGKVVGMVFYWYDLSPEYWGRGLGRKMVQGALEYAKWAGLT QVCAFHQPENIASAALLAKIGFKKTR L198_05872 MSFALRARGLRAATRSAALKPLRATLPHVRYVQMDADKSSPLVS ELPASGSEQFKVQLHSETFHSYRCDAPAPETTVTKDELVHMYHTAVQMRRMEQAADAL YKAKLIRGFCHLAIGQEAVSVGMELGMTREDKVITSYRCHTQAVLRGGTIKGVIAELM GRKDGMSYGKGGSMHIFTPCFYGGNGIVGAQVPVGAGIALAQKYLKKPTATFAMYGDG ASNQGQVFEAYNMAKLWNLPCVFVCENNKYGMGTSAERSSQNTAFFTRGDQIPGLQVN GMDILAVREATRWAKDWVVSGKGPLVVEFVTYRYGGHSMSDPGTTYRTREEVQAMRSS QDPIAGLKKYILDWGVTDEASLKAIDKSAKEEVEQAVKEAKESAFPDLVEFWQDVYYK GTEPGFMRGREKEEVHYYNQE L198_05873 MSIDNNQPTYVQSTATMDCSTISTHATRITNTFMTSLDDDLASN QYREKEGAILSQSRDSIKQDLSHAVSAVLEFEIDTRKREGETVGSMDNVAFTPSVIVP ATGAGVQMSGYLSGDGWSGSSTVFKVPLAPLK L198_05874 MPTTPEEENYTRSTDTQSASAASAASAASQRSTIPTHATRIVTS FMATLDKDDSTSPYREEERILLRGQSRGNIRRDLFQAVSATLGSENSRLESMGKTAGS MDNVVFRPDTIKAVCVGDVLLVGNLHGEGWLGNASLSITPSRRSRRSSGGGGDFF L198_05875 MPDNNQQASENVSSDGQPGSSATTADRASAPRSSAASQQAVITT PSGARVASTASFRVLNDQQLQRAIEADTKRRIAMGLLTTAASSSRPGGYRDESTLYMG HASQGGSMMPPPPPRYQGQSGSQTFGEQDFYSNLLFNDDIGPEDEAYMNLYRARGSDP SDKGKRPALEAPPLAQHPPKQRRITETGTAEDEGESDEVQEIDPATGQPVSEGPKSTR PAFRNLTFSVTVEADWGTSLGPQSEDRTTYRYDISSESRSREMLQDVLRNLSNIKLQD QDALAQELREMESRQRK L198_05876 MSPSLPRPPPLSPPSRAAFETAKSCADIALATDHQCVLSTYELI IRQQPMQARMCGVGDKSDRRPVDPTPIIQLKIIDQHGSDITPTDPRHNILRRPEPGPD GMTFMQNPYYFLFACLVGGEEQEDELHVIDDGKTRFLTGTPVSSLYHLKDLDNTDAAF FVFPDLGVRKEGRYKLKLTLFEIVDQEVYYCTTMFTSTFSVYSAKKFPGMSKATDLSV SFAEQGLKIRVRKDPRQPAKTGPSTGKSKRKSSAADSEEDDALPPPPQLQSSKRSRGS SDYPPHAHHVPPHHGHPQAESRPHPPPPPPDAYYPYAPPTHTHPYGYPPPGHAMPPPP PPSAYEPYRRQSMQSSAGYHPGLPHPSQHGYSAGYYGESAGGRGRPATHPVPGPGRHH QSMPPHPGYMQDPRDPRDPRDPRSALPSPSSHGHTYPPPSAAGYSPFPVAGEYERSGV PWPQGPAPGERERERRGLPRPEELGLSRTGSRGHSSHAPSGSRHHPYAHPSSSRQPLS PRSRTSPSRPILVTSPETGSIRPPSGSRESSLGLPPVPTSAGGGRERWGSPMMLPPLT RSPGSIGGSLSLGAAGALVSESNERGSISGPSGQGKEGERPGSSAGKNKMGLGNLLG L198_05877 MSVQSPRPVILSPRSHPPSHANPADRPDIQDQENERQREEAEVR AKSRGRDARLTLPAKQNQAGASGSGDKEKRGEKDGKWIQGIDYAYEYVPVIQKRQGRK NM L198_05878 MSHTHGPAPNATFPAIIWHPSFAPGQQGQGGKSNGAGQVDSSNV LRPWAPAGDGTQGATKDVSSLSLSNNQQNPPAYYVAYPELTCLHCLGANPPGKLGYVV SYVPADAQGNPLPPNSSSSSTKGTTQALPNGSGSNVNFPSTTSALGDDRRGRGRGADQ GQERRQRSTSAFRAGEILGGKSYVPDEGEEDQVGDIE L198_05880 MSAVPSRRPSQGSLKSANEEVKAEGADVPEEQKAGWASFIKSLT RMTGDLSSMTAPPFILSPTSLTEFPAYWCQHPDEFAAISQGKDEFERMERVLRWFIGT LRAQYTTRNEKMGSEKKPLNPVLGELFYGVWPDVGGRGETKLVVEQVSHHPPITAYYI ENKVAGVKLQGHSGQKTSFTGTAINVKQSGHAILTVQPKTGGKEEKYLITLPRLRIEG VLWGSPYIELTDSNAIQSSTNLTAQIDYKGKGYFSGKAHTFKATIAPSAHPSKHTQTY EGQWTGVSNIGKKGPVFCDSTVQLEDVSVKSLQEQGEWESRKLWEKVAKGIRSGNYDQ AGQDKSRIENDQRQRRKEEEASNQPWQHVNFTHVESDLEYQQLAGLLHDKLTPPHEDG YIYKGDLSA L198_05881 MATPQHQPHVSEEAIELSDLRKSSSAIPLVPIVSYHCTNDHKDI EPIAQYVLPPVDGGKKESIFLVAATMIAVLVWGILFAVGVLLAFWTSTLFPNQSSSTL TLVAKLQTGLLYMNSAVIGPFLTAVPRWQRTFQVMGILAASVALMASAFSSKPWHILV TIGLLYPLAVRLSHASNLSEEQRKALESVPSRSRGSASTPSRSAQSQSNQSMFQPSFS NQQSEADTMFSSFSRMDSGDLEERAPKEK L198_05882 MTPVSNLAELSYNQSHPEPAHSIIMTPMSEYSEYDFSESVPATP VAWTPATEYSEHDLFATAQSRNSRHAAIVNRIDERGPEDAEPSYALPPVDEGWRAWLF LFAATVIVLLVWGLPFSVGILALYWNDTLFKNQGGLATLTLASTLQTGLLYICTAAAG FLMPALPRWRRELQFFGLLFAVLSMIASAFATKPVHILLCFGCVYPFSGITYMPCITL VFEWFHTRRGLATGILYAGTGLGGIIMPFLVSFLLDKVGYKATMIGFGLGYALVGSAA ILAIRPRIPIVPNSHGQRERRGGNINWASLKSRGFIVGTTMVFLMSLGNFIPSLWLPS YANALNLTNPNGTIILVILNASSIPGNALMGYLSDRIPLKLVMLFNLSMAALSCAILW GFGTNGGMLVGFSIIFGALGLSFATLWTGMISVIAKDDPVAPPLVLAIFTLVRGIGSL VCGPISQSLLKLTALNGKASGAYGLHNYGILIIYATVTILASGATGLMWKS L198_05883 MSGACEGCTCGRAEHNGAKDEELVARPERSFTAPADIQSYEGVE PAVPLRSKQWFNDPHDPGMSALYLERYMNYGLTLDELHPKTRPIIGIAQTGSDLAPCN RHHLETAKRVRDGIIAEGGTPFEFPCHPIQETGKRPTASLDRNLSYLSLVEVLFGYPL DGVVLLTGCDKTTPALLMAAATVNIPAILTTTQNVGPMLNGYAGHKLVGSGGVMWDSR ADYAAGRIIEQEFVTRVSLSAPSVGHCNTVGTATTMNCLAEALGMALPGSSTIPAPYR ERLACSYATGRRIVQLVREDLKPSDILTKAAFENAIAACTAIGGSTNAPIHLIAVAKH IGVELSGDDWERVGYELPLLVNVQPAGKWLCEEFHRAGGLPAVIAKLLKHNALPHPTS LTVTSKSISSNCSNDFSRNPDVILPFDKPLLPKAGFLHLKGSLFDSAIMKTSVISEAF RKAWLENPDDPMAFEGPVAVFDGPEHYHKSIDNPSLNIDAGTILIMRGAGPQGYPGAA EVVNMLPPGRLVKEGFELPCIGDGRQSGTSGSPSILNASPEAATGGTLGLLQNGDRIR IDLLKRRADVLLPPEELEKRRKEWGTYKQRGKVPESQTPWQELFRQTAGELSEGMTVR GAEKYQRLAQTAGVPRQNH L198_05884 MSDSYDDDDDFFDNPETLQLLEQAEQKAVQASQAPPRNDIPQED KIGRVLLGAQGNRRFQHGFSNSSRPPQPQPPPQPQAGPSRFPRAPPPKPAYQPPPPQR QTRDDTPPRDVVLDQTGRYALHQGSSQEPVITDNRRAQTRALQDRMSTTSVGGGRSRE GSALPLSQSEQARENRRQAIAEALGGAAPAASQSFNPSQSRVLSRSNSSSSIPLRPAA NGQPGGFNPNPNRQLSRSISTGSQSFGRPSQQGQGQGQVVRMPTIPSGSQPRAPSPAG PGPDAQMQKQLQELQAQFQAAQNELQALKKAQAQAPNANAGFSRAGAGTSGVGGEDLK DKMKQLQADFYRAKGEAEIMRRAQKEANTKHLAELEKLKQSLADKEAQMKEKEKNQSR LVESIKHQAVFSNHALQNSAIKPRPSQRLPTQHSGFPHPAGPSTHNQAFSRGVLPTPV RNGSPSHHRTPFHLGANGEETPIALGRRGKGGMAPPTTLPRHTETQARQGVQPGAGGF YNAFAGTPTIQPRKKLKTSHPASGGSGSQTPVAQLSRRTPGVTGSPSKSPASSPTKRT QIALRSKGTSQTSKSKGQGKKREMASSPFRSSPPSASTRRGSSPGMEVEGEGGENEAD DDEDDLEWDGPEPVDIDERSELLYHIFNHTCLSGYQTTILSGRTAFSPTLYRILNYQP PIPSRRRKPKDAPSTHHEILEEQLREEFRTQYTQWCGRILKLLGDSGLEFEALGKGLV EVWAGMVRHFSALVLTSAKYDEESEYEDDEYKLRYEEIAILQNVLDLLSSSTYLFPGL IPFILDSDSGMGQGGIIAWIRQLVSDVLSEPEKVEALDKMMMREHIRQEEGEEDDEEG ENQDRDAKEKEQEERAEQKEGLKGWELELSDSVVRLCEALCWFGEQNSVWQGDELVDI ILGFINTNLDLYVVKRGIDLFAAGSVRANHFQALINSSARYPTQNAGESPLIDQLGWY LINGHDAAQPHETHEMNITIVRGLAMMSASVPDAPILMAARTNLTACLTILLYGESNK IWGVHLEEVVIEEVLRLLQPSLALLHHLAYPFAPFSSQTKLASVSQSQRSTLTPGRMG PSQLDPDSIIGVDLVERLRTLNMQKEFNGLQHMFISGMGCMAYGIFDQGIGAVEGADG SVAEEDLRVIQAMGGDLLEIVVPGPEGDQVYEMFVQDDPPDEDVRVHVAPGEDIDMID DEQLERGDNGREEVEDEVDEDVYRNMAVDDDSREVIVVSDDD L198_05885 MLSVGSVSPSSMVPAADFSHSGILHVYWTNTLFPGEGAFTLTLA ATLCGQPTLAKIGTSYWSSFCLCRSYLERFRHSVSIGCIYPLCGALYVPCATLLFEWF FERGGLATGIMYAGTGLGGTIVPYIMDGLLKGVGYKAAMCSLGIAYAVLGGVALIFID RRIPISRYANNSEEPKNLRRRPLPHPSGTALVSILNAASIPGTTHLGYLSDHLSIRVV ISISSIGSSLACALLWGWGTNTAVLVVFAIVFGLLGPSFSALWSGMIKDDPVAPTMIF SVFAFFRGVGNITSGPISGALLKVDAMKGATGAYGFINYGILLIYTAVTIMGGAATGV MFKPRKS L198_05886 MSDTYAAETKSISKAEDGGYEAENRDSTDAFTALVHREEDHDIK YRTLSWQKAAILLFGEYVCLAILALAWSWSVLGWVCGFFITFGLGIITWYTSYVLWQF CMRHPEARDICDIAAILFPAIPKIAFEVAAVMLLLNNIFLVGFHAFTGAKILNTLSND GACTVVFQAVTSIIGIIVSLPRTLNHVSTLSIISAAAMAIAILLSLIYAGVEDAPYYG YGGNYPELGEVTTSIGLPDGGPGFVAGLNAVLNITFLWIGQLLYPSFIAEMKRPQDFP KALAALTIMELILFTITAAVGYHFLGQYATAPVIGSLANSDHRKSAFAFVLVPTVIIG GIYAHVAAKFVFNRILGKSRHSYSHSFVGWGTWVAIIVLIWGIGFILGNVIPSMGDFL SIMSAAFDSFFGFIFWAVAYWHMNKTRLWANWKMTTMTIINIFILILGLFMLGPGLYT SVDAIIADYATSVRGPFTCASNAL L198_05887 MPTPTACSLPDLPREVLSVIALAIYDPLELSQQDRLTWGIFSTV DMHEERQESLRSLIHLGLTCRKIRREVKPLLFTCVRVGTVECAKEMIENYATWGVYVR SIIIDCSMFEAQQSHEDFYPDLEEAPVNPRTCWEESALLVSLLTALPNLEHISFFADA SDDTTLSLMFAALIPSARPSASGANSFSWQSLEDTDSTGHVPFAQRIKSFGWRQRASP PQFFHQFSQTSTFICIYHFIRHAVNLSFLVLDTNTYVTASSDILAVLRELAAREVPAG GRKRLSLMLCGPIDGWEADFLHNLGDTYDDIGELFIDRPMEGSMIPVSGEEDVGLLLD LIQPLTSLHHLHLLQVGSYAFDTPARLRFATVLSVNLPSLRVMGLLGSDGETLWMVAW REKGLERAMKAAAAAAGGGGGGLEQGMPLRDSWVMALQDGDLAEVDQWPDT L198_05888 MTATIPLPDAPWPEGTDPAVIAKSELQSQVRDATEWATNSDPSQ GGFVNMEMYRRIQEWVKNPCVGDYRWDPAAAIDYGLSRLITRYLLARQESQLLTFIDA LFLTQLIPRDRLAPYAPLLEPLSEPPPDAAGANLNFLITTLAASLHTLAELEAKNVEA KKKADEEAKKKAEEDAMWERTAELYGWGSRPEMGYGGYAGGYGGYSPPMVGYGPYPSM TSPMPGAYAPIPLPEAAPPEPPKKYVSWFPPISQDDWWVGKGRPDAKPA L198_05889 MWKQLGLFAILGGAQRVVAEISYSESNDTIALSSSRLTLQVNKT LGALTGFEFDGIDVLGPVSGRVGMGYLDCYCIPAVNASVWSPDNPNPQSSRHCKTERF SARARTDTWCYSDYVGQSQNATFEVHQGDDTSNNSWIGLIMSETYESTGQLFQQWWFL REDEPGFHTFTRLQFDNGSEGVDLGNLQEFRQVISPNSPIWTHLVTNGIQYGHLPSAA ATANETTVQDATWYFNVSDNNPYKSESSDYFTKYEWADLYGDHFAHGFYADGSSSNSS FNATTNGTTLGLWTVFNNLEGYTGGPLRSDLVVDTNIYNYYASNHRGASTMNITSGFD RIFGPTFIYLNKDGDLQSLYADAKSYSNSSFAADFYDDVADLIPGYTPSSQRGDFNAQ IKLPANATNSKVILAQNGVDFQDNVDFSVGQYWANVSSNGTVSIPRVKAGTYRVTVHA EGVFGQFEQDDVVVSAGDGNEAPFFIKWKAESNGAELWRIGVPDKTAGEFRHGFAKDS NHSLHQEEYRQYWGVWDFPTDFPNGVNYTIGRSDPARDWNYVHYSIYGGTYARPGYVV DNVNVWTVNWAPDGDLNVTGKTAALTIQLAGARTASGNLDIPEPTSNYSNVDYTVRVN DNDPLVWTINYNQSSSCSDRSGIACYTLSHLFTFPGDWLNNNTNNVFKLELPYNASGG DVNFRDYSVSVMYDAIRLEISD L198_05890 MIPDGYISPGHPFATPIVPSFPITHRPSHPRSEIPSSGSLPNPE PSHRPSLRIQTSLPARFEHPHHLPPLRHFVWHCKTAPLCLPSQGFVDVRQQELGLGLG HGRKASLHREAFIVLGDDSPLSASLSSHPAPAPGPGQGLGVGIRPGVPKAKGYGHARR LSDKFKHLFFPAQRKRSNADGGGDGEQGVDITPAEILNARMMDENTHRRHTGSQKTLP AEDDVDDEFSLPPERPHRSPGTASFLIHRPSLPPLLRHASGLSVSTITSGSGTSSLSS FAVRPQWAKKAWVFPHKGASERGDGAENEKGKEGGMISRFSLSSSSGSESGQTFRSEE RATSSLALNDPEGVVSRANKLGAGYDEKWKGKGVDEVEAVGWRRTKRLRRVRSMPVVS LDFVF L198_05891 MTDSSSSVVVPPINFSLVARGIYRSGHPNRKNFAFLKRLNLKGI IYLEGSDPYRQDSLDFVESQNLTLYRFDFSKESDLYTTEGQERLGGLLRVLLDKRNHP LLVHDDTGKGSCTLICALLRRFQSWSLTGLFAEGDMFAGPAGGAEGVGLGDIGMEFIA AFQPKKVTYDRAWRPEWVDY L198_05893 MSDSEEETEALRAELAALQARMDAKLAKKEKERRIRKAEDAKVL VQDTPTKASSREEKRQRSYSPPAAQPKFISKKPALRSEHGSRASTPAPAPPPESAKSS MAARLAGMHREGSSGSSRSSRAGSAVPRVQPPLARPSTSRERNPAPAPAPVASSSSNE SKAAAKRRTDDLEAALARDKYGKKPVQELPSLLARKGISSAAPPGRSSHESASASSKP SSSKRLNPAPYPTATSSRARAKRERSDSLEVEDKKESYRRDDVDSTLIEELERGPKEF GRDPEGDDEWASVEPNSGIRLRKRTTPHKEVQEFLTGRYYLPPSKIYSVARLTRDGTS YDVPVDDEWLTIAVVAQRSPIRVSGTKVKTEKNSDDENDEDEDEDEDEDEDEGPEEES EDDEDDGEQVADIKPFIGTDGKAWKPKPKKKAKKSKEDSEDDWKKKRGPRKYINLTLC AMPRRKYGSTTSTGDVLLHMLLFEADHIVAMEDGEGGTYKSYRGGSGGAYEKWCNIAE GSVIAILNPRVWRNLRGGSHKPHPMESPLGLNPFSDDSIMLIGQSRDLGHCSAIQRDG NRCKSWVDTRLNAVCEYHLHAAVKRGKAGRGEFTASTNAFHLTHSKSQVGAAPTKPRK DLLPANGRIATPRGEANGGGGATYVVGGGVIHTGGPPGDENVADRIGRGRAGKKRRKV EQQEAERDLQKLFEREKAMGGGGTTGGRYLNVIKRYERKTKEKDGKDKEDKDQEDEEK KTRVFSAEAIKKIGFDPSARAGQLTKEEDRERIEAIATLEGLSAKGTRDFTAKRKRED SAVPQPTNIPPSAPAKPVVPPEDDDMIDLDD L198_05894 MSGLLDDVFGGADAGSDSDTIVDPNTDSTTTAIHDADALDTTMA ERTSTTGISSTRSGTSSAVSTSAARTSTVSSSATSSRATSSVSSRRVSSSTSSSAARS SAVTSSRRSSAVSSSATSSRVSSTSSSRTSSARTSTTSSRTSSTRSRHSSTSSSETDS ATSTDASSTLATTSSSSATSSATAGLGNANSGSSSNGSSLSTGAIVGIVIGCVVGVAL LFLLATRFVRQKRRNDRMKRRSSMFDWPAGAEAPAESYEKPQYNPPSESFAMSEANAQ NPQSVSYPTTDTYAAVPAESSTPMSYMERHNPQASYSNSYRNSFQAVPGLAPSYPPGT QMQPGQVYPQQGAGSGYGAAAAGAGAAGVAGVGAAAAHGSPSGAPAAGAPARNVAPNS WVAVKVGFVRSLDDELAVTPGQKLFLHDIYDDDWTLCEDEQHNKGAVPVSCLGPLAGG GAAPIGQALQRTASHQSTRRESLAPAAQQ L198_05896 MRSVAETALGLKHERRDSNVDEDAEDEQLRMEMAMDHEGAEEQG GRGLEETLERVGFGAYHWRLLALCGFGWMSDNSALQCIAVILPRVQIHFNLSSQVVGL LSACTMAGMMIGAVSWGVISDILGRSMPFNSTLFLTGFFGVCASFSPNFTILCIWMFW LGSAVGGSMPTDGTLFLENLPHSKQYLLTLLSVFFSLGAVLSSVISWYFLPGLSCKEF EGCDFSNHANDGWRKVLFSLGIFNLICALARWFLFKLQESPRYLVSTGRSQEAILALQ TIADFNDHSISIQHADVQSNEPSAADPSTRRPSFMGLGGEGGGAGEGGSPGAEQDYGG VGMGPERKGIPLQTTTSFYKTPGTVDIEESQNLFDQSFHESISEENRALLNEDSEEPE MKRESASGGGGWAEKPRDWWMSWVGQMQKLFVPQWRKTVILMWIIWGSMSFAYTMFNV WLPAVLESRASGDGDDAITEALGDFVLYSVAGCPGSICGAWMIQTRLGRRKSLAICTL ATGLSTFAFINVEQKWAVVVSSMVISAAATAMYAVLYGMTPETFGTNIRGTACGTSSA LSRFTGVLAPVSAGFLITVSPSLPVFTSAAIFCMTAACSLALPFERVGGPSTGGFAH L198_05897 MLTESDQLMELYMSLASVKEESQHENFGKSSEGLGYLDSSQSVL ELLIDIEPPKDSSAVPEVVYGKGRRRKGTKKEGGKDSMVSIPVVLNQDLTALRGRKGD TGSVLWRSSLHLARHVLFHHLFRPQNPLLDIDRLNSAHILELGCGTGLLAILLSQLCG QYTASDQLDNLKLIQRNLELNDIPVSFSSSTPVSESAVDKKGMGREVKKEVVLEEVDW VEVSKSQGRYRSPDDTKTYDLILAVDCIYNEHLIQPLIDTFAQYCQPGSRTVVWVVVE LRSADVIGTFLNTWIDDPSGPWTIVRLGEDDMGDWGEGRKPRWVGWVGWR L198_05898 MSASSSSSHVAGGSPRFIDNNHLELVAVIGTGAYGVVYLAIDSR YGQPVYRAVKCMRRHGLDERQRHFQRREIGLHRLASKHPSIISMDRIFEEGEYAYVVM EYGEEGDLFSMITDKHRYLGDNELIRSIFLQLLDGVAWMHSLGISHRDIKPENIVCSQ NGTRVRICDFGLATSEEYSSEFGCGSTFYIAPECLGDWFPDRKTYPTRSGDIWSLGVI LVNLVAGRNPWRIASPADESFNSYLSDPNFLRRILPVSRDCLYILNQIFAINPEERIS LAELRKLVVEIKTFTMDQEEVIEAHVAARQKQEYATAEPRTLLSVVEDEHAWLEEENI EGHFAYDDEMETPSLQSDTGSPLYPETVSPCQSYIGDFMPPTPEFASEDYLIPSQVTP SWDDCLSSDDVFIHSKDGSCPTSKVSIQHTAFVDYSFPPVSAFAQ L198_05899 MVIPHIPSFGRKGDRDKDKDVPPPSSDHISPTSTKRPNHQSTGS TSSTVDSPASKYHPIRLLRRKASVSAPKDAPPPTFAGDFDPPVSASSSGSVLESQQTV PPLSSTVGVEEREGSDHEGFKLFPRRKSESKPRRKSRAERPGSASKRAAWVYDRQLHG GDAIEDVPDRPSSQSSGSGGNGDADGDVFGGMGGPVSALGLGEESSQEKYDINARLTT ERDYVTGSSRHRRSLTPPDSAPPLHHPFLAPYPTPLSPPRRPGGAIPSSPRALQSFHA QQKKGGRPSTAPSSINETLASPASRPVTPTTVSSPLRKAHPPAASPAPPTSPSPTKSS TSPRPLSQPAQPPRTPVVTAEWLKRKPSSGRLRHTPSLSADNIPLPSNPLAYGTPPRP AKNGSRAKGLDESPRIHMSTARDRNVSSSSTSSSSTDAFSSDGEIGFKSPTRRNISTP TRPPSASRAITLDGSYEVQINCSDDREEMEEMKWEVTIRRRSSRNPSQQPVSPLHLDT TSSTTTAPLTASSINLSLSLDEPTGKLVFISFPMDIHATPTRRRRGSQGGQAGSREKE KVQAQVQQYQASPRNSFGLAQRPVTPPSSNRAGPVTSESEVEEVERLKAETPRPATPT SARRKAPPLWPDSMAQGD L198_05900 MTPPQLFQLPHRRVSPQDKQSFAYTTLVKRWPVVLTNVISTVSN ANHEAHVGSAPDKDQRVEEGKKIISQISEMKYEMGHDAILTPIKEDGDINVQCYNEEL ANFPENERTWGTVNWLWAECYVYRRLRSFFAATTLWKEYDPFFDQKAETYKSSSAAIV QLAKAMNTAHGEKDDLARDSEKTGSALEVAFLEMLQADLWGNATDLSLLIDLKYEDLQ KLQAVGSEAQAEQAKFILRNDMSKAWELLKTLRDGRVDIVLDNGKQYRLLYTDFILAD FLVSCTPFVNEVVFHPKAIPWFVSDVLPYDFTWAIDSLLDRSFFQDAATPLSSSDLDS LTTIAQRWKSHVESGRFKLSVPLNTKLGQAPEVGGFWTTQYAYQDLPATAPEVLKELQ KSDLVIFKGDLNYRKLVGDAWWPTTTPFEEALGPLAGQINLLSLRTNKADTIAGLDEG IAEKLDKEAPDWRVSGKYAVVSFSKRR L198_05901 MIPMILYASETGNAQDTAERVARAFRANNRAVSCLPMDAFPISS LPHTYLLILITSTHGRGDPPPAMLPLWKAMLRTSLPEDILEDVHFAVFGLGDSSYERF CFAGKMLLRRMEQLGANKMSEPAWGDERSPNGIEDAFVPWLQETLDLFIPYLPPAKLE ITSPLPTTDLPPPIYALKKYTQPPADDLDLEDKIRNKASGSRVKPDDWVWATLKKNER VTNELWWQDVRDIELEFEEEDVNAYPPGSICSLQPQSRESDVNSFLELMDLESQADDL FTIESLLPEQPLPAHLPPAGTPTSIRSLLTNHLDIQCCPRKSFFEWLRRFSPEELERE RLDEFIADPDEIHTYATRPSRTLVETLADFRFTRIPLSHLLEALPPLRRRQFSIASSY QAHPNKVQLLIALVQYKTNLSLPRVGLCSSWLSSSLKPGMQVPIHIAAPTLFLPEDKE VPCIFVGPGTGVAPMRAFVEVRAEQGACENTALYFGCRSTESDYYFSSEWDTYRQKGI RVEVAASRDQDEKVYVQHLIKRDKVRVKEWIVDRKGWLFISGASNAMPREVREAVAWC ISEEGAGDFTEEEAKEYVERMFEDKRGGEESW L198_05902 MVALFALLPFVGMLAFASPLIQRADNSTTIGQRFHSQVNADEWC VTAGSGNAAKGARINMERDMMFSDTTLVAGYGGDEGEEEEERKKGFLKAPDAVVLKS L198_05903 MLIHLTYPAPLARITLNLPGTSSLADLPIPTALHNTYLRTASTG ALPLATPLSDLAHKDAPNHPVTIHVTPRMIGGKGGFGSQLRAAGGRMSSGKNTNMDSC RDLSGRRLGTIKEAQRQAELLESEPALRAQAAAAEKAKLETLERKLGINAAESSSAGG KRRPEDVDLEELARKKHKFEDNKFLEESREINENVRSAVSAALLLKKKKKAKAAAAEK GKGKATEDKSKEVKKAVQDKIAMPPPAPAPASTATAA L198_05904 MEVNREEALRALAIAQKHRAATNYPSALKFARKSVALYSTPEGE AMVTIIERDIKIADAGGSAPAASAGESKGKASGVEEHVTEAHARPGHGKAQSAGAGEK KREYTPKQIEVVKRVKACKHHEYYEILSVEKSCSENDVKKAYKKLALALHPDKNGAPG ADEAFKMVSKAFQVLSDTNLRAAFDSNPSYDPTQRNPGPSGGGMSGMRGGGMHPGFGG GYQQEVNPEDIFNMFFGGGGVNGGFGGGSPFVFSFGGPGIRIHQTAPRRPRQAADAAE ASPLTALLPILLVLAFSLLTILPGLFSPTRSPDPSFSFDASTRLAEGRETWNWKVPYY VNKAEWEGSEVWGSVPQARKGAGSEALYSSRVRQFEKNVEGHYVRRLQNECEAFNDRR RAHIQDNSGFFGFGADYEKIKELRAMKSPACQQLRTWGIGQGSIY L198_05905 MTGAASRHSRPNQSLRVTYTYSGTSQTRHLSRDESIDHWPDLVI DRDGDTNAYTVSGPGSAKGTFTAPCSYHNGIAEIKTVMVTDESQSR L198_05906 MDQIASQQAAAGGRKLILEERRLGWRASEAWMGAQSKGRASNSL LSMTPRMLIVFSYEKEDEPPKESKPAFVPRQHLKKEQDSRYKDRAALRREGVNDEFKS VEKLLEDFEARKEHATAEELAEIEKQRVYLGGDAEHSVLVKGLDYALLAARRAELARE EGEEMDDELEAFQEQMKDKKPAKSKAGKGKEEEKPQEEELGKGFKSIAQKKAEAEAAA AAPGDKKKKKKKKKVKTDPASASVPDTTATPSSIPSKKATVEEPIKSPPKAPTPPPPE SDDDDDIFGDAGEYDLAAAAGPVGSDSDSEDEKMDAEDGEISRGRSRSRSFSRDRSYS RDRSLSRNRGYGRGLGPRSSGSRHSRSRSRSRERSRYRSRSSSRDYQRRYSRSPSYDR YDRRRPPSPPRRRYRSPSYSRSRSPYGGDYKRRRMSYSRSPPRRRFDSRSRSPRRRYS PSPRPRGRSYSYSRSPSPPPRRARSRTRSLSPLLNAPILDRSRSPTPSSDEDGPSTRL QPLASSAIPSLKSFLAADEADAKADEKRANKAKWRAYQGMPMQEGAEEDTAAAKQKTM NEKQKSNREYQLLMNKMKKDEEREGKESKK L198_05907 MPTLPILCFNDVYRVQQKYNPQPGAPEDNSPDQNITVSQFAQLL LTERSKWADKSTLAADGVKEKEGLVLFAGDVFSPSVESSVTRGSHMVPILNAIKVDAA CVGNHDFDSGYPHLTKLIQSTTFPWMLSNIVDVNTGVQPETLQKYHIFERCGVKIGVI GLVEQDWIATIPSWPHNFEYRSMTETALELSRELRDPNGEHQVDLIIALTHCRVPNDI TLANELGAVAGKGGLENEHGVDLIIGGHDHVSQTIGQGASSWESYSGRKGAPGTLEDI SCLIMKNGTDFRDLTSASLELTPSPPGSIRKYLITSLTGKHHYVLPSSPSSPAFEQLV KSLLSSVSEALQKPVCFTLAPFDARSDVVRVEESGLGNWIADVLMHAFAESNIAKKEG GGGGEENEDDEGPGADAAIICGGTLRGDSQYGPGKITIGDILEILPFDDPVVCLEIDG AGIWSTLESALSKWPAQEGRFPIVSGLAVKWDHKRPPNNRVISIHQLVQPTRETDDDW EDPEEMRLKLGEEVKNQEGGRMYKVITRDYMAQGFDGFEPLKNRKFIVDDENGQIMSS ILRSFLLGSAYIFRHKQLEGAAHSHLSRRTSQVLLRARAQHQTHSSPSVSVSSSPKRE FLSPPNIHTPMRPSPNGDGGYLTAGNLKAHAMSPGSDVSSSSGWGLLKRHVVNHDWET IRNALHVARHEHMSGIDSVPGQAMRQTGHHLPGAWSPVEGPIEEQTSGPTEGVPLDDG EDITIQDLAIVCPLIDGRMRDVSAGKD L198_05908 MSAQDAQPVAAPDSTLQTPALSPSNSAGPSPAFSTLLQSPAVSE FSLENENPFDRLSAVSKEVMPECWGHRGASASFPENTIASFVEACKAGADGLETDIHM TTDNVLVMFHDPELERTTDGEGRIDKLPWKGVLEHVKTKDKPHQPIPQFTQMIELILQ PANSRVKINLDLKVENDPVRLFGLIKSVLESYEGWQEKLAPRIILGIWHPKFIVPATT ILPYLPRYAISMDLLQARKYFFTSSHGFSIHYPMLASNEGAKFRKECKANGKKICVWT VNDPEEMRMCARWGVESVITDKPDVWRAIKLDIETDRAKALKPTLQTYIKPFLSKKNW WFDYQRLAREETEYLEREAGTFDIQVPHVDMGIADPNE L198_05909 MNIGNLAQLTTSASHIDDAVLRAAQSGDWDQVKMIESEIGEVSS LIDAYGWDWIDLALCDTAAALIQARNQRGTWNSLQSLVELTYHFDDSLGPRGNLQSAM ETNDFKYASSVLDLLDEMENVTGPTSKALQQLSDILGSVASSMAVLENEMGLDIDK L198_05910 MVAAFDPLAPSQASSSTAPDKTTFRTLTRERQNRHPPINAADVP ALDELVAPHIKSFNALIEDDGNPGNKGLLQMGVEDIGEKVVFDGKGAEGGLGTKISFR IDKVALSKPLVPEKDKLAVERRIFPSEARERLVTYRSKLTVYLKWTVIDEDGNKKEYD EIKECGLLPVMTRSIRCNLQGLPATELIKHGEESTSFGGYFIVNGNEKIIRYLVVPRR HNPLNLFRPSFAKRGASYTPYGCQIRCVRPDETACTNTIHYLSNGGATIRFAWRKVEY MIPLMLVLKALVDASDKEIFEGLIQGEYDNTFLTDRVELLLRGQKSWGLHTGAQCLDY LGEKFRVVLGVPDDWSNIQVGGFLLRKVILVHLPAPRDKFRMLLFMLRKLYSQVSGAT CADNPDSPQHHEVLLPGFLYGMIIKERFDDCLSSVKAQITADLRDGKARSFTDPKYFT SVLTKTNWDIGSKLSYFIATGNLVSPTGLDLQQTSGFTIVAEKLNFYRYLAHFRCIHR GAFFAELKTTTVRKLMPESWGFLCPVHTPDGSPCGLLNHLSHTCQIVTASIDASHVPA LLTAQGMTQVFASSIDGRRMVCVQLDGRVIGYASALKAKQLANLLRKLKTEGDPKVPI DLEIGYVPVTKGGQYPGLYLFASRSRMMRPVTYLENGKKDHLGSFEQVYMDVAITKEE IEKGVSTHLELDPTSMLSVIANLTPFSDFNQSPRNMYQCQMGKQSMGTPSTALNRRTD NKMYRLQTGQTPVVRPNLHNHYGFDNFPNGMNAIVAVISYTGYDMEDAMILNKSAHER GFGYGTVYKSDIFDLKGVPGVSRSSKPTLHFGLGRDIKEDHDARTTVGSDGLPLIGSR VKAGDPLCGYTDDTTGRTKFHKYKGDETAYIDEVRVLGSDSGDAELQKIHIKLRIPRS PVIGDKFSSRHGQKGVCSQKFPAIDMPFSESGMQPDVIINPHAFPSRMTIGMFVESMA GKAGALHGIAQDATPFKFSDKDRPVDFFGEQLKAAGYNYHGNEPMYSGITGEEFHADI YLGLVYYQRLRHMVNDKFQVRTTGPVDPLTRQPVKGRKRAGGIRFGEMERDALIAHGT SFLLQDRLMNCSDYSTAWVCRTCGSLVSLGFEEVSGEGMKEYCRICDGHAHEHGEEKK VKEKSVGVSMRGGERKGTMDVVPVPYVFRYLCAEMACMGIRLNVTVT L198_05911 MATHTAKRPRLAVLSPNTPRPGSDNTDDALFHKLPAALTAPATP STSTVAFSSSPRRHNALASSSPTRHARFAPYSDASTCAPADHELTLQTGTSFIFGRHH VRNASVKKKSVSIESVIPRRLAHLVGNPENAVETITLPREAHHASRVHALVELVLPLS QNRDKILRVLVVGQNGMRIKAPRGMSPTPGKNGRKGVKLVQGQRFEVALARGQVVELN FGKVKVAVKMEGDVEPAYSSPVRAPRLSSALPSSLPPSSPPIVNVDLDDEVDVSPRER TSLESSPEPPLFPRSSPLPTTTTKPTFTLPSSRESSPLSPVSQRSNLSPAPEPSSPAQ MSSPALPSSPYHDDYDSHEDNDELEGEGEGEGDAVDVKAERLEGLHAPSRKNSPSGLA RASTTPVETRPPPADVDLPAIIASTVVFSGISKLSLPDLVRHMLESQGHLKDQGDEKQ WTIWVEDVLEDNPMFGKVERSGKDSSGHPLLPHYFYNPSADPDAERAANFGNFARPLR KAARSAAAIDWRPVGARRRKAW L198_05912 MSYRITDYGGQPPQTGSSPLNTPSTTLPAPPNVPGAALPPPVEQ QLVTTFRDDPESWIKALDMFRSLQTSFAAVSLQFLRSAFLDIHLQGYVNFKSWSDKLK DLCVRHKVYDVLSGEDIDPQWPRDSDEHDELVYAIILNSLDPYFRRRLPPHFQAYDKM RVDRSQIYPYSKILYTHLEAECRSPMSFADQNRTMDAIFSTKLADQGDAVQHIIRLMD KNQSLEGSGLPIPQNVLCHAIINSLPPAYDGVLRHLESEHRRDTSTITLSYVIGEVEE HYYRLQTVATPPTAVAPSTAKAHTASRKPKVFCKYHRFNLSHTTKDCKKLNAQESLDA PGVSTR L198_05913 MTIKPQKTVLVVGAGVAGLAASYALRQTDSFQQGRWKIRIVEKR VEQRAKGRQGYPLHLSKAGREALSSFLTPSDATTLLEARQSIPAAHDGITISSHDRKK VFWTVREVGVDPMVERSDLMSVLRNGAGQDIEWGTEVIGLQDTGSGVEVMLEKKDGHK ELITVDLPQTVINVRTTSENMREWIDDHNGLNLIYGESFSATMMPLSYPSMYIALTIP SIWLEPSHQEKVAEDRYKPTIHGEFLRQLEKDPGWKKRDAFRLWTAKQTLGGSGRVVL IGDAAHGMPPFCGAGASSAIKDAVELAGALTFREDDDGEPTHLRSRRRTAADATRIDQ QLNDIQAGDVQEE L198_05914 MSHNSTNHYMLLGDEAESQGELSSSPGRASFKHDNDKTESQAFQ DHSTQKPDTHPETLASKLRAAATGATNSEGSATGYHYTDNLAYHPEHADVLESRVKRL EVLHAQLQENDKTARWMHRQLTRRQHLLHSMQTIGGSIPSQLGEALLNAAGEGKWNEL VGIEREIEDVTTLIDSYGWDWSGLQEALGSVDQHRASMGYAHSQLDPAEDQSGPISSP AEAGSSFTSIVSPAHLSERETRTTWSEQALLDASKAIATIPAFDLLRRDLSEWGQNGL RPGLTRKSRDTAIRLGHDPLSLSADLALSDMVESIQKVMDRKDSWEEMEILMNKNHKL FGWQAPRERLLSAEQRGSLFSAGEVFTSLDKLRALEGSPTSKEVRTLSDLLGSVATRM EVSEHESKKVYGNGD L198_05915 MDGSPNEKFLQQNSPSPTRTASSVTVRNPSPPSASMASSSARET EKYKMTTPFTSRPGTPETPHSTVLEQPPLPNLKATNSRRSRGASTSSRVSRGMGGAVF SPLERRTSSQTAELQNELRRHVSLHGIPTRHGEHEVLELRQEVYLEEGKGKEDVTIID WLPHDPGHPYNFATWRKYAILTAANIATYIAAANIASVAVLADFGTAYFDISREKWIL SLTLAMLAIACAPLALAPLSESFGRNPVYQVTSVLTAVMWIPQIWSNHNYSGVLAARF FQGVGMSVSNSMVGGTVADLFRSDQRGFAMSFFTASIFWAQGTGITFSGWAGEKLGLQ WAWGIQAIIAALSVVYNIFFMRETRADVLLSRRAKAMTKKMGKKHIAVVDLERTALLT LIKVSIIRPLHYLITEPIVTALSLWFGFAWGCIFLGQSSVMLVFEQYGFSAAEAGSFE ITMVIGATIGLFAQFHQESLYRRAATKHNGKAPPEARLYWAAYGGLLFPLALYVYAWT GQPSIHWAVPGVALVFMDWGVFMIYSGVFTYLADAYEIYSSSAQAAQSFCRNVLGGVF PLFARQMYKGMGYPQASTLVASVALGLATAPFLLLMFGKKLRAKSKVTSQLFQDKEEE VKEERAASA L198_05916 MSHPILPQQPSSQSGNMPSLNCDASKVQDLRKTTVHSPPPKPNL PDLTRVATAAHTASILARPPPTVLGRPGTREFSFAPLPYTDADLPLPKPYNVNYPHPI TAYASPCANPEAVRCSGYGEILDKMIKNDIRLALGDILYEAGFRDLDNSVYLLFSYES TGQGNGIPESLWSKFENVNNVRLPTAAAVTASVASAALQEQQHYRQPSLPPRFSRQSL SASSDESYLETPVKSYGPRPVEPAPPHSHSQTHHQSDLREEINAWKTEICVAWETSGG YCKYGAGCQFAHGIEDLKLTRHELSLRGLITPTPAFDAAQRSNPDVLAPTSSSRGGSF SPYPNFSMNRSTPTPQAHSSTFPSSTGADRRLSVPHTHSQHGVLTPEEWALLAGVGYR RQSEVLLEKSVGGVIAPIGVERVHAKDDFTFHLANPCASYDSYKQSPTSFQSSLKSFS FHPTSASSSFAKPRTSNLSLNTNVNAPMSSSGSSRSSCSGSRLSVYSSSALSDHSPAT TPMGMGTKDYLFTPTMEEDYEPVVLFGGNEGSFGSGSTSSAIGGGLGLGLKEKSSMTF EFSSGNSIW L198_05917 MAQDRKGPLGPPPALEDDFDLPPSYDSSLATAAAPGASSSTAPS GDGHRAVPDHIRFFFTPPVNSEPVSSDERRDATARQRFANVQMVKKGNRIETWDPILS NPNTLYDFIRYMASVPPKVNVRCRGWHMETCERETIIDGRTVRRGEQTSVIDFDFTID LTDIIDHSENSAQVHLSTALPWQPAHRGTNSQTYSAAFHPPSRHNHHGRSREDEQYIS LAENEDANTTLPGRSLGHREEKQDVEWQTWRTGKGLPVWVDRRDVPEYEEYLKNKGKG KGRGSGSVRLENDSEQPLLDEELSIEGTSISPTQGQGQGHARANLKEWCKAYCADRGV LNEFSLQKDLCGWDLDGVQSAINGAIRSTGYSHPNLEVTLDVDDRVVIVHPNNFLSRI LGNSFVYFLSWLFMIYPLIWFWKRWSSRGGAPWDVTVATYALKFYPPLPHTFPSEPLP DAQSRLPSLYKLNPQLPKEPQLVQGPKGVHYLVGRKEGGWFREWEERIRMGVRTRFRG ELVGGTVGEDQRVELDGY L198_05918 MSWRVDGEGVLSRERGPGAKAQEEKEAWGAHDAPVTGWEVLVSQ RLSVAGSRAIFYWLTKSPSISSTFLVLTMIVLAVLACIEKVSSIANLVSVEEDWVVVV AGDDSSTLAALNSQMRHIDLVCKLLGPLSIALLDGDSTKVAIGINLLMNITSVLVEYF AIAQVYSRDDDRLKLPKSVTSASRRPASKTKRPHPLSTKIRAVCGSSPPTPLVQDQPR PRPLPRRLVFAIYSERPFVAASGLVVRTILSHLGLRTLDSCTQIIVQELSLVLEVEPE IRGIFSSIESAWQNAFELLTYTSTIIFLRPDQFHYPVWLSVGAVATAILLFSNFVWHR RGHLLHPEKTAGICGCHER L198_05919 MSAAGKETDKEDVPDIETAAESIISTLSASSQHTTFIRLLQRSK SVPMLAHIGNATVFAPTDQAWKEWAERNKPSSKAFEEEVDDRPDLIHGWLGPEGLDDW FQDEAEVLLARVAASGNHEEEVRAMDNQNWALRQHLLYHMLNYTLTPKSLLASEQVNN VTLQTTLLYPLDEEPPLPPMPEPGPPWLPRGGEGLLGGHGQRLRLARVGSEEGGKRGK IGADWKGEGGVGVWDGSGWKAGNESKHDVTGVKWTRNGVVVGIEGVLDPPPSIDVIIR SHPSLSYLAKILPLSSPPAPLPASFATTPHLTVFAPSNEAFLSAFDDIERGYLEGPYG DEGVGRIVGQNVVLGQDGKGVGWSDLLGKESDFEAACGLHLNVSSPSRNEVLVNDTKA EIIDIFASNGVIHIIPNLILPAGFDLLNSAEKMLLSLNATRFVSLLRTANLSDAYIGK GSAEKGGDSYTILAPTDDVLDTLDKWGGFSAASSNHILAHEMTSPASMSPIGNTPLKD VSPLAALLQYHILPGKLLPQDLKDGQLLPTEMRTSALGGERQRLRVEVAEKDGNSHSD WETVGEGKVRLGGATVIGKPVKSGNNMIYLISGLLSTPDNVLQTAVSDLELSTFVAAL YAADLAKTTKHYPATTYLIPHNDAFNHLGLTMKWLLLPDGKDDLRKVIKYHAVDGLVY SQDVNVGRQVYKTVEGGDIVIERGKGRHRALTVGSPNKWPGHDSSASLPSNGELFPAN ISTSDALTDTGVIHTIDQVVMPADVGITIAKLVKGSKQSTMADLMNHAGLGWVLEGRE PTYTVLVPTDKAFGRLNLTYYTNNPPALLDLLKLHIIPSQTQLPSSSSSSPLPPPPET IPQEGQPLSLTDDTVYGTLLSSRSKFADLAFRNAGDGSWIVGIQNARNGAGGDSARVG ASGRASVTWRNRSAASKGHKDKHDEDGDDEGGDGDDEEWKKLWRGGMTLGGGVLIIDS VLVPYEISWFSRWGFLTVTLSGIGILLLATAGSIGYWFWTREKEGYEPILVEEEGEGE EQV L198_05920 MSGNNDNPLNHPPFFHIPGVDPAAPISAQTERIDQLNTLLLQDI DANFARFHQIITSKILPQIKRYAIASEPTREAAQFWRAFFETASAVRLSNQQGDTSLN SQNQDTSTQYDDQTFTLRREADDSSVHPSTEESFMFDPPPGISSTPLPVGRNGPGGRP NDSWEDSMESPFDRLDRKLRDDLKIDEGEGYEQSSSDMPTPSLPSGYSLPRLDGDSSV MSSINDYSVGNIEPSQATTDSSASFSQTHSRLAQSYRASPTPKANRLANPPSADSNPF GKDFTGVVDLRSTPLNMKKKGQGWKPKASIVPGLDDSDSDSDDGMPAGMSPPVTMSFN LPPRAQAVMNAARTPGKGKEKESAGGKEKEAKFILDDLLEEMSQDMSPRLETPEALRR YSVMPSDNIPGRLLFSDSSIRPDAIGEEDEDEDQTFHAAQSSHSQSQSQSSSHPPAPT QQEPYPPPPPHARHSLANSSFGSNASFTQIPGGPVVYSDANEEDSFDEGDTFDDDDSG EISSLHVPSTGSSAHPGFGINYQQPGGYQVPSLGQGQNQEMVDASFASSEGDMTNTSL FGGRGGRAPAAGGFALMTREEMDTYRGGRLEDAAGEDVMLSPLYAPGREGR L198_05921 MPIGPTLPPHLAPPQAADDDEEDDYLPALPPHLQNRQSNPRPAG PSLGPTIPASGPSRPSAAPPAGPSFEEDDDSDDEIVGPVPVPSAYADDDPDAGVREFL EREERMRKDAAERAKPKVMKREEWMLVPPSSGSLSNIDPLRKRPSTFSRNTEEKHIDS SVWTETPAEKAQRIADEVAGVKRKKDKAGDRIMTAEEEESERKRKRRDQDIKGELGKY TVSAPYRGPSLLDQHTSKLAKKGKNEDDAPAIWDHARDMGITGRLMTDQERSKKINDA RGLGDRFGHGKSGAYQM L198_05922 MPIPTPQQPLRIAISGGGPAGLAAAIALRELPGVEVTIYEQATV LREIGGGIRIGYNSWKVLELLGVADKVTGHIKVDHRHMNGVTGEMVYKYPPHDGEFKY HDIRARRTVLQAALRSKVPDEVIKLQKKVQKVEQLPTGGVKLTFKDGTEAAADLVIGA DGLRSAVRTQTWGQHKTFWNGTTIFRCLIPLPFVDHLSISKYTAFHHGPARMFKVSVV STKEEIEAGKGQWELTLRVFEDPKEVTAPKFSWGIPCTNERVAGYFTKFTPEIREAID LVPEGSWKEFSAFSGPRLEHVVANGNTLLIGDASHPLLGAFGSGAGFAMEDGYLIAQI LHHYLPSPSSSSSSTEERTQALSKSLALFDKIRSPYYAKMYQVLDQPKNIENGKDFGS FSPTPGNPLGWIYGHDIGREWEEIKATL L198_05923 MSREERRTDDIVVLENAPHLSLDLISGSHSDEKIDEEKATSLSD STHHQVNTLQAGERQAEIIGADGQPKIVVWTLKEQRAVVRKADFFLLPIFALGFFWMA LDRANISGVLTSTILKDTGITQDQINIGSSLLWLGVVLLEIPSNVVLQRVGPHRWIPI QIIIWGLAETLTYKVSSKGGWYAARLFLGLLESGFIPGSLYVLSQWYTRDELVMRTSL FFFGNVLSGAFGSLIAAGCIKLSGKGGLGGWQWIFIIDGVATIATGILALLFLPSSPR HTAGLFRGKGWLSERQADIYLARLEADDPLKSKELHLQIGFRDIWNVLGNWRLWPHLV MCLAGLQAGQGAGAWSGTILKSLGFTSIKANLLLVPGPIFNGVSSIILSRFSDRWDRR GWFILFSAVWTLTGLIALYKLPILSGGNWGFYTAMVVTAAAPSWQSFNVTWVALNART PAERSITYAVYIGCSNLGGVYGSQVFRASDAPLYRRAWAATVSLGAIWLAFTLLQIIQ YEWSNRVKEREWNKLSEEEQEAYKATTSNDPISKRLDERYAL L198_05924 MALRKLQTEIDKTLKAVASGVEVFESTFDKLNHASNTTQKDKLE NDLKSQIKKLQRMRDQIKAWLGNGDIKDKTALLENRRLIETQMERFKALEKETKMKAF SKEGLIAQSKLDPAEQAKRDMVDWIGGVTDELSHQIEATEAELEALQQTKKKKKEGER QDELEELNERREWHIGRLEIVQRMLENGGLQVVDVESIEEDVKYFVEANMEDEFDFDN GIYDELNLQEEEDYLHDFGVHEDHEEEDEPEPEPEVEPSPPPKTPAKSTPHRLSKSDK DHEERDEFASPLTKKTPSRKSTVDKEKKEKEKKEEREKKDREREERERERVAEEKHLQ AGPTPSKPAPLPPIRYAAAAAAAVGGASASAPSQTTAPLAPESDHVASPQEISSLPPP PPPGLETRSPSQPSVAHYTDSSAPSRAPSLSAASGISATPAQTPGSVAPPPGYPQSAE SSHSAQAQLAQAQATAQYQAQQAAQAQAQLQAQQQQQQQAAAAQAAQQAQQAQQQQAG VMGNLMQSFEVAKEICEYECFVCECGWGCVFRYPKGWGAGKGKWKSKKHVHRIEQAGV VPPPPSFMGGDHVQVHGEWMRGGQEAKRRSDDGDELHAALEGSYSNIPQQQDAEPPRY YHPKNPIKTPSHYPQARLPALEDKSIYSRLELDQLFYIFYYMTGTYEQWLAARELKKQ SWRFHKQYLTWFQRAHNPQAITSDYEQGGYYYFDWENSWCQRRKSDFRFEYRWLSDH L198_05925 MANRMRAIFSTKHSLTLPAPVLHYIEDILEHIEEEEWVNGLEHW AKEYLKAEDSSSLVSLPALKKAFENLQMGAPDDSGEADPSEVNVESHFSVIDAFSMPP MRFDPVRAGFVSARTLPSIAGQANSRSAFLRERWAIIKEIVLRNENFTPPAIGGHDRA NYLKLTSTRNLLGRSGQLFLLFGMLSRNEQGALCLEDGEGRVPLDMDDAVPGEGLFTE GCMVLIEGEYTVEETVRVFAMGHPPSEKRDVARSLHGHVDFLGGGAISLKEEQKYTPA VLANTQVSFVILSDVWLDHPRTLPALQRLFEGYANAAEFMPMVFVLCGNFSQGGWEGP EGLKRYTMGFNALAEILHDIPLLKSCHFVFVPGPSDPWSSSTLPRPAIPSAFTSRMLQ RVPQARFVSDPCRLKYFGMEIVVCREDLMGKMVRNLVVVKDDAEVDMKRYLVQTILDQ THLSPLPLSVRPTIWEYDHALRLYPMPSAVVLADKYERYDLTYEGCHVFNPGRFVGGV GEEGWEFEWSMYYPATGRSERSVLTME L198_05926 MAEFTTQEEAAAKKASRSFKKYQYRGVELDNLLDLSNEDFIELV HARARRRFQRGLKRRPLGLIKKLRKAKTEAGPNEKPAMVKTHLRDMIIVPEMIGSVVG VYNGKTFTTVEVKPEMTGHYLGEFSITYKPVGHSRGANMKDNRFVPLK L198_05927 MVQPVPWGDWDIVLPGAVSGIATGMAYYWEYLMKAILGSIGFGE LGPIAGTSLSPLAPPSFTVASPPSTKSYIRQIAARGIFATLQSAAMGGYGEAIIGTMI RLSFGSMAVVSGGLVIIPLAVDLLEYYEGVDNVKDLAVLVFHQAEDGWRWIKVHIGEA LRKRHLETGKALQGRFVDTRATIDFALKEAIRLRDDVDHATSDLWGGAAEDARRIIEL ANDLENQDQASLIRLGKAFGDKLREELRREQAEWAMIREGIQGLVEVVKDGWKGVLGW WTNWRTPELVHIWSIDSRSLQNSTESTSSLSTGAEADSFAQSPSTLPADITPAELLLA PHDPTSLNSQPSPLLGHHFSPSTEALHLSLVGVFVVLFFAGGLSAYLRKLRSSKKEKK ALRVRKASYGSIDA L198_05928 MLINRLFETVIPLLPYLGPFAVTHKPDINVVPLPTQYTIGQGAT PICLSPNFSIKSVAGAFHTYPTDLLQAMSATEDRLKNVTARYLSVAGGREFFPGYSDK LSSCQYFLDSVYVDLTAYNGTSDILEEATRPVEERPLLEAYSLDMPLKRRAAFIKARG ALGAFRGLTTLDNLFYRVEDSTSEGAGRVYAPWAPYSIADKPAFGWRAFLLDTSRHYF SVDSILKTLDTMASVKLNVFHWHVTDSNSWPLDIPAYPNLAKKGAYSPLEVYSDEDVR NIIEYAGHHGIDTLLEIDTPGHTASIYPSHPSHVACFESTPFHQYAHQPPAGQLRFAS EQVMKWTEGLLREVSALASSQYLSTGGDEINVDCMLKDGPTARDLKNNDWTLDDALDR FTAVTHAPIRAAGKTPVVWQEMVLDHGEMPSLGNDTIVDIWVNSADARKVLNKGFRIV HASADYFYLDCGQGGWIGQEGGGNSWCDPLKSWAKIYSFDPFLDVEDDERHLVLGGQT SLWAEQTDETNLEPTIWPRAAALAEVFWSGPGPDGRPRSTISALPRMHDVRYRMVSRG VRAAPLQPHWCALRPGACVFGG L198_05929 MSTQTDVLDNIFQRLASRSEEVRSQAGDDLSEHVTAYTAEYPGH DGTKGVWTEVFHKTFEFTRSNNQLERLGAIMAIAQLLSLTKDDTPDRAQQKVLRLYEY LRPLTTCGDSTVMLPAASVVEDMVRQSPTLHTDTFLGKEIGQALVMIDDTRNEISRFS GTLLLYSFARSAPAVFYQYIPKILDKIWTPLRDYRSVVRERASMLLSISLDTVKARGD RPSTDTYRKIYEEARVGLLKASSTDVILGSLLAFNAMLQNQHISMAEYYRSICELTFK YRDSKEVAIRKAVIALIPSMATYDTEDFEAHYLSKSMNYLLGALQRQADRDIAYVALG HMTLHLGSRVKPFIEELMKTIREHLRMRGKKNAPYEAPIFQCLAMCATSVGPMLTRQM HEVLDLMLPWGLSEPLCNVLDSFASHIPPLLRTIQERLLDMLSMILTGQPYRPLGAPA PRGGRDVNLLQTSAGGQSTDTIKLALHLLGAFDFSGHTLNEFVRDAALPYLEHDSLDV RREAIQASTQLFINDPICHQTSSHSVEIVSDVLEKLLTVAITDPNSSIRRTVLDSLDE KFDRHLAQADDIRCLFIALNDEVFQNRERAIAIIGRLAHHNPAYVMPHLRKSLINIVT ELEYSTNARQKEESAKLLCLLIAAAAGLVKSYAPTILSVLLRTASNPESSVTVQAECL KCIGELARVAGEELVPSVKQILVLVIDMLNDQSSSAKRDTALKTLGQIASNAGEVIRP YADYPQLMGLLFRFLRSESNLDVRQETIRTIGMLGALDPFKHKTLLGDVGDPIDEGTT SRVNDIVLLNQHNSSVNDEFFQTVVIHSLVNVLHDPAYKDHYQAVEAIMMIFRTQGLR CVNFLPQIVPAFLNVIRIAHSSRTELYLKQLAQFISIVKQHIRNYLNEIFELIHEFWN PNSTLQITIISLVEAIAKAVEGEFKAYLPKLLQQILRSFDGDLSAKHLPELRLNTLLQ ILKAFYVFGESIEDYLHLVLPVIVRSFENPAAPDSLRIASLRTTGQLCRKVNFSDHAS QIIHPLVRTLAHSSEELRQTAMETLSVLVLQFGPDYAIFIPMVNKALVENRITHSGYD ALVTKLLNRERLPPDLGPVERYLNDTSAEPVAADQVPLKVNQQALKLAWDCSHLLTTT SRTEWISWIIGLGHEMMRESPSQAIRAARSLALSSVAFTKELFNVAFYSCWQELFESY QEDLWHNLDRAIKKEDVPGDVVNMILGATQFLEHDEKEVAIESRVLGSVAANYQALAV ALHYKEQEFFIDPSKEVIEDLIDVNQKLQQSDAAWGTLEWAQTHMGMTTEVEWYEKLG RWEEALQVWNQRDSDPTTTFTEWEITEGKVTCLHAIGEWEQLSDFVQARWANRTAEER KLLSPLAAAASWSLKQWDLMDDYISAMKGDGADRAFFKAILAVHRNQIPQALRQIAKA RERLDPELTTLTGDSYGRAYDTVVRIQMLAELEEIIAYKDHADEPVRQEMQRQTWKKR LDGCQRDVEVWQRILQVRSLVLKPNEDMDTWIEFADLCRTSDRLNLAEKTLASLVGFS YPSMEDTRGRAPPPIIFAYLKMIWAKNLQSGSREERFETLQHLREFTDQLTDDVGIGA RDVNGSLMLPDQKAYGSYTKLLAQCHVELGQWQAQVRENQGSNDPTGILQDYSLATEL DPEWYQAWHTWALANFQVITQLEVSQQGLSPGHFTTYIIPAVGGFLKSISLSPGNSLQ DTLRLLTLWFTYGYSSGVTAAVMQGLPTVNVDVWLEVIPQIIARIQTPRQSIQQLIIQ LLKDIGKAHPQALIYPLTVASKSNVSARRTVAQNITHKMREHSQKIVDQAELVSTELI RAAILWHEMWYDGLEEASKHYFGDHDIPGMLAVLEPLHDIVEAGPQTLRETSFIQSFG HDLRNAREHLKRYRMTSDNNEIHQAWELYYQVFQRLGKQLKLLNVVELQYVSPKLMAV RDLDIAVPGTYQSGKPVIGIKSVNPTFKVIASKQKPRQISMRGMDGKEYAYCLKGHED LRQDERVMQLFGLVNTLLNTDQECAKRHLSIQRFSVTPLSPSAGVLGWVPHSDTVHVL IKQYRDQRKILVDIEHKLMQQMSDESYDFLPLLHKVEIFQYALDNTTGQDLYRILWLK SRNSDVWLERRTTYTRSLALNSMVGYILGLGDRHPSNLLLDQVTGKVVHIDFGDCFEI AQQRDKYPERVPFRLTRMLIHAMEVCGITGNFSRSCEVSMEVLRDNRESLMAVLEAFV YDPLIAWRLTATTRPGGASEVQDLDDAAAYGKQRKNKANETEILNDAEGTEVKNDKGL QVIERVRRKLTGRDFKPDVVLDVKTQVEKLVVEATKTENLCVAFLGWCSFW L198_05930 MSSMSTADQQVWKKVLAAKNKNMSLPQIESSFPNMTKKAITSSI NALLKLRLLSVAKGKGGDDKLQVYHAQTPEEAKQKATLTQEQAIVLSVVRSAGNRGIA QSQINRTIGTDTMPVAILRKVIKTLESEGHIKQFKPVNAPTTVYFILAHLKLPEEISG GVWFDDNREYDQALVDTISAVLLDRVRNLTYVKEEKRSERDKALVSNALSLNAKAHAY LTPEALRQYVNKSGITSATLSVKNVMECMRALELDGLVEAIKPIGGISVAMESDDERD SRRESKRRKMDSDDDDDEERDKEREKAKMKAKEKAKLKKRKEKEKEKEKKRKEKEKEK EKRRKEKEKEKKRKEKEREKKKKSKESFDSDSDDALVSVTDGKKKRSRSLSVSSASSS SSSSSSSSSSSSDSDSSIASSQLDTAIIPIKPSATVAQTTTPAQIFPGGLSGGLTDLS DTAVMYRATSRISIPLGQTQATCGKCPVFHFCEEGGPVEAGGCEYFYEWLNDTAGGWD GEALKVMRPELVEEENRAENGTNGVEHLDGEGDVEMA L198_05931 MPPFMLLPYHPFPKQPVTTTLANEPSSSADDTGATPEIHPQSGF LHRSFSLSTIPELDSASSSVCSSAPSPELLTPQETPEASVYAKQAAHERGLGWLSSLL GLKKSTASATKVSTSAARVTIENLPSVKTTHSFTTSRSAQHGEADTIPCCLEAPISHS DVSTLDLDALSSVSSDSDDSSDESSTWSAIIPREVYYAKTWIAGTRCEAKAQQQYALK DGSLGLLRAETENEKVERMDEWFSKSDLTVGGTHRLIKHLMCHSSPTTHVEKRTCKAE KQPIKRQKSTIALRQILSQIDLQALQASWNEDDAWSGDAWTY L198_05932 MDFSSMSGKSSMRRKQSNSLLQAFGKPRQSITPSSIPSPTSFTS PPTASSSTLPIDDFYNPSFDNDTTSLTSRSTNYPPGSFVPPGGSRSASGGSFMGGGKD KGKDPEGKSGAVLRRPEDVYRVVKERILSWSYMMEWYTGDSHWLNTVRIPRPVVESIL GYKHLENRARNLYSLGISLSALFDIPAASDFLKALLKLLDEWESWSEGSGGKGVKNLF GVRGQRTARKVTGSSMMMSDYAPPDGAESYLLNVNLPFTPDYFQVHASTCSIVRDLYR KLLNMFLPTSPAFPHSSPTLNLPSSHVSLIHHSTIVQTAPREPFINPKSPGASSIATA TFSSQQGHGIMSPTAIMSDQQGGGGDALLAFIAGDVPPDRALVGDGQKLTPQIADLFQ KVDSKLKKHFSILTREADSLAKRVVDDQLDTLLRSLSPGGKAMRFDVTPGSSSGGSGY TTATIGRSGIMESDRERDFGTV L198_05933 MAPKRHIPTAPEIPDELPDSLPIPPLPEIHDVFLRRQVFTHTSY IAARKKGEDFAKEEFQQDNEKLEFVGDSLLGIIVVCLLQDLYPNLNPGNSTLLKSILV SNQTLAQISRRYGMQDLLITDVSASETLKSGMKTVANIFEAYIAGMFYSYLQHGDVTT DDTRGPKTRGEGITYLEAWLRPLFTPIAEWAVGYITLERKRLKTELAAVDGIMDDQFD DVAVKICASARLNEFFTVKGKGIPEYVYEEAAEKGLWSCTVKARDREGDLHVGQATRG AKKKASQVAAYKILRDIGIV L198_05934 MESDIDPRFLDDEGDVCRVCRTGAEEDLPLVYPCKCSGSVRYVH PDCLKQWVAQSQKKHCEICGHKYTFTKVFPSELPSTIPPTVYARQALLWVRRQILFVL RMWLVIITWLVALPATNMTVLVAMNYATDHIGDSPQSLSINATTTDNSTTSSANFSST VTSILLNPYSTAYHFGKDSYESWLKGDENTSIGYVLRGQILSLSLAAVLIGLVLLREW VQQHNWAEAEQPPRHVEPDIDPEEWFVINGVARRQSEMISRVLETLRGSNNGDNTGPF FPDTDAGHRDRAEWWANRRQWVMALPEPERTTLLAIVEVMERQHRGEIPLGDDELEDE ESDDEGLHDQLQVAQNVPIPQTPQEALFADETFIPNDGNNAEGLLSFSEYIALRRVNE GKAPTTDPASSDDSSEDEIRYDNAMTAEQADQDGPSRKRKADDVGFDDEAARPRENIT DALAEYRRVHTQREQAQAHDDEEQDDLLQAPFRPAWSRQASGDKAQDQRERVAYRAPE LLRNQSDQDQGTAEGSGQNGYEAQPIHPQPPQPLFDGFGNDGEGDEDEDDDWEDEPDD RGRLEAGNEFDLLLDAVPQAPGPVVNGQPAALAQIPGLDLGVRVLGANGEPIHLPPGN VQVVPPQDVAFGDEEEDMWDPEDWNGILEVVGLTGPMAGLYQNFMFAFIIMGGAIVIL VGLPMLIGKLCLSLDIIRSILSICGRVIHLVRKVTDPVVDIVFEIVKDVVLLPLLNSG KAAEKILAKKLGLQVSSRFGSQGLSGLPSVPSTSSPMVQKLGDHLAALGQYSYDIYES VMTFQREIGTRQTVSGRAACVGAGYGLVAEVVAVIAIAGEAGIGGVAGDMAATIKEHT NFIKVAMFMVLELVAFPLGVGFMIDLSIVPLFPGATAMSRVHALRTSPFASSFIDWIV GSMFMFSFSSLLSQVRKVTRRGTMFFIRDPGDPSFSPVKDIVEKTTMHQLKKLGTSVL MYSLVIFGMFGVFPWALSFLPGGLLPLKMEPNYPLSSIPFDLLFLHLMLPPSVDLFQP RLRAKRLFKLWWKKTTTQFRLTNFVNVAPHPDERTAPLTVAEKTLWPMWDVVCQLAFG KYDNKETMARVPASDSVVLVPVEERRVEGGVFIPLDSKGVPENPQDKLRLLKQDKLSR EAGRLPMSDYGIVWLPQYWRTRIHMFLATALASISVVIASATFIPIIIGRMAWKWSGF EVHDGYSWFLGAYIAYAAYALGRQARKYINSLNRADRLRQSIFSRRVKRTVRRYCAGA FGVSMMYGLVPVLVGAVLESYLGVFFGDKHLPGRVVHFWDIWALGTAASSLLTGVILV VNRLGRFDSLSAIATQFREPAAKDFSSTASNIKTPVLVCISAIALPYAIGISSLMVLP PDVNMDENNAFLFRCVIIPISFAFLLITSFSQYLVSERETIRQKVLEAEYVLEERVEN YEPGSEESSAEERNPLDAADLPPDIRLQLERYQQAVAAEPPRVLNRGDVEIEDGSDEG ENWEDL L198_05935 MYPGERLGFLDCTAEEHHPLYRNEHASHEGVQHQCPSCPATFGY KTVAERHFKVIHQGVRHKCPECIGTFNFTGSVARHFDTAHLHKRYKSSDPRCVASPNS LKVHHDAVHKGDRFYCRRPICDNETPYKTSKARNANEKSHDGVRYQCRYCPQQYAHTK SRSCHELKEHR L198_05936 MRLTTLALLATPTLALPSLLRPSEVATNAISLAQAWLQGAVSAT KTSAQHGWQGIENGLDGELNVNTVDMNGIEYLSVSHPAFPLHRMRVVKPELCDPSVDQ LSGYLDISETRHLFFWFQGSRSKSAEDPLVLWLNGGPGCSSSTGALFELGGCNIKDKG ENVTRNDYAWNSVANVLYLDQPIGVGYSYADEGEVNNSPAAAEDVYAFLILFISKFRE YSKLDFHVAGESYAGTYIPNIGSVIHKNNKALDLVSTLSVPKINLKSLLIGNGLTDPY SQFGSVPEWACNGPYAPYEDPSPECDSLRSKASRCQNLISGCYRTNSRFTCVPAALFC WSMFNDLQNLGLNMYDVRKTCDKSPEKDGPLCYKEMGWMETYLNKPEVKKELGAPDSV DFQSCNMQINQNFLLHGDGMHYAGGLLTDLVDDDIRVLIYSGEADMLVNFIGCAAVLD NLPSTYQAAYLSSPIANFTSPSGDVFGYTKSSGGKGSGNVAFVAFANAGHMVPHDDQE GALRMFGRWLKDEPLDSGKDKWWKI L198_05937 MPCTCATASGLLTPLPFPPEIKNRILHFLLTTTTCNGHFIDLLC LEKAVYAFHAKELYRQVTLDGDSVAALWEGCDFDVDLDELPDESVLELYSDEKQNPPS RIPFSYPSAVRKLLLVRHCSSLHLRTGDAADWCPGSVPERLLPLMERLDVNAPLFCGV ENLIIGEEYLAYISEALEEDMDLVTPLGFISEFIPRTLSSVCIYWPDEAHEELGEELN NLLSSKTLVCKKLVIHNADPDQLPPIFDLQKLVLDLAPEPYIKGMDERRLGYKKANVC YKWLTTLVEELLSSADDGHAIRSLPDLTFANLYTWKSSTPNSVLRYNTDVELGGDGWS DDTGTVVNDAASGFSDEEQMVLEDFFPRDMGPRHCHCCALFVPQGDVDLWHYVGAKNK KGEVYDRVSKEDVYDRIFRDFGL L198_05938 MPCTCAPDPALIEPLPFPPEIKSRILCFLLTTTTCNSHFIDLLC LEQAVYAVHAKELYREMTLDGENMAKLWRGCDSDCEFPADSSLLELLSNKRQAPLYIP IFYPPAFRKLLLARHCVSLYLPSRITGAEHLGPPVEEQLRSLRQDKMPKSPQPFFQGI ENLIIGKEYMEHVSEVAASAERELQCPLELLYTYLPWQISTVCIHWPENGNARLGQEL CMFSWAPQAFTCYKLIIHNAKLDVIPPMLGPLDLILDLSPEVYDEQVDDEYNKGDKQV ECCLGWLSQLVDEVAEASQSYRNSLTVPQVRFTNLHTWKSSTIDSVACYNTSTDEKGK RDTCDDRAVAIIDSRDIQKGQRQELEDCIFKGPQYCPCCAAFKLQDDVDLWKQDEEGE NKGQVYQRVPKEYFI L198_05939 MLFRTNLKGSALLNTPRLNKGAGFTREERQIFGLEGFLPYDVHS LEKQALRAYNQLCKQPSVILKHAFLASLRDQNQVLFYKLMQDRLKELLGVLYTPGAAE AVAGYSSLFRRPVGCYILQGHLSDINRTADVAYDSQNPNDAIDLVVVTDSEAILGIGD QGVGGITISTSKAALYTLGAGINPNRILPVVLDCGTDNHALFSDSLYMGWKRTRIRGK NYDKYIDRFIANCRELFPNAIIHFEDFGMANAYRLMEKYKDIPMFNDDIQGTGAVALA ALLSAIKVSGSSLADQRIVIYGAGSAGMGIADQIKDGLQILNGLSPSEAAKRFWCVDR HGLLVQSQGNALRHSQLPYARPDAEVQNWSKEDEEREGYWLMDVIKNVKPTVLIGTST HSRAFNEELIREMGKHVERPIIFPMSNPTALCEVDPTDALAWTEGRALVATGSPFPPV PLADGKEYVVAQTNNALIYPALGLGAILSRSKTISNSMLMAGVNSLASLSPALSNPEA SLLPDLADVRKVSVDVAAAVVRQAVEDGNAYDEVTIKYATGKGESSLEDYIRSRMWDA VYRPLELVD L198_05940 MPPKSSSQNPPSYDNPYLASSSLANAGPAFPSTPTSSQMPYPHQ SSIESGTTVLEPVEHRDDGYSPAQGVMSDYRGASGAYAPHDEARHGEQDVGLLSANQP NDGYSYGTPYEEDQEPYRDRIVTASPSLGPWDSASQRSLPHHPTAFPLPQANLVPLGG EGSQMNGHVNKKPSYSGLSYIDEDGEYYHSERMRPASTNMSLAKGTEDMEMGNVHPAS SYGSDPYKNPYQESPYPYPPPPQDANLSGFANYTTPSNLYTLLLFPTGLDRLLAMVGI KTGAYPIEQAIERKRRGLGGQRIPVATWALTAAMLGVMIYEMVRNYQAMGTPIAIRPT FNYMIGPSAEVLINIGARFPPCMKNVSALPATFELACLNDTSSTPTTSCTIEEICGHG GFNGNTPDLVAFHPPNPHARRHHPLHPQHARPDHPAAQVEREMGTIPFLITYTLGGIY GFVLGGNFSRVGVPSVGASGALFATNACVLVDLVLHWKYEERPRLKAFLLFLEFGIGF AIGYIPNAVDGLAHLGGWAMGILCGIILYPSISETNRHKYIVWGCRVVALVLVIVAMV TTIKNFYTDDPNAACEWCKYLSCIPTSSNEHCTGTVRSSHP L198_05941 MSSQGILVTASKVATPFKSELLNTLSTPRFASRPPHLVGILATK KEDARTYAEFTKKACEQLGINYELRLVGEAREGMDGEGVGIEVEEAILEANEDPDVDG LMVYYPIYGGRQDQYLQSVLSPQKDVEGLNHQFLFNLYHNTRFINPSTLRPIPASHIA EALPSKGKPANDDIAPEGTVKSILPCTPLAIVKVLEHIGVYNKLLAYGDRARGKVITV INRSEVVGRPLAALLANDGARVISVDLDSIVEFSKRPSTEDDKRSLGQHHITTPLPDM TLAKALNISDVVISAVPVDSFKVPTKELKDGCICVNVAGEKNFEADVRERASIYVPSV GVMTITMLQRNLLRLCEYQDMIKEQSK L198_05942 MAPFPSPAKPRPISRHRSAEPLPPPLPPKAIDLNSSTRSADQET SSKVTRRAFLCDVVVEKQGEETANLLAHLGEPLQPLSTLPAPDTSSKSSPTTPSRLSR PSDADDEEREDAVPAWTAAKRHEMEKRLENLIDELVRTEKSYYSRIHALKTAYADRLR LYSKDPNQALIPPYEAKAMFANIEAIVPACHSFYTDLCEMLAAGRAEDTVGDVCLKHF KTLRTFDPYRTYLSKQDESQKLFQESLKKFSGFASFIESTKYQTTGIGNIGLRELLME PVQRVPRYTLLWQTMIKCMSPLSTQRAKLLEAIAIASRIARCEPDPQTVRATVMYNLE RNIDDFPAKLFSNNRDYIDAIDVEDNPAEYPTMQSPNGRPLNSATPPLHCTLFLFDDK LMIVKRQSASISGRKVTGTDDVQRLVKSGGGIAVKEKNNGKRDKLSFKGEVDVLDVMA SDVGNGEFHLFFKNPPVDQSGRWSGRAFRSYSTVHPPYSVSLDPIATKRDKMRFIHNL WAAQALARAKILPSTENRAVPKVLQSEQEVDFEGAQLERARCFWDVWDRSTWGGQRLA RVVVHVDEDGEAPELLLDPRRQELSVYLQPMAGGLCRYAHSVPGEEEGERAVIEMSEV RAKIASTIHEHGIFKFRTGTTSCPTTPSTGTHRYRPSMLNLDTISRNLFGASSVSGRS GTSSDMFSTSSSKRNSRPAMSRSSTLETSLCSMEGPEEKEKEKEPSKKRFSKMPASPE SAGLIAGAPYPSGTEKIGQSEKDLNSRLDVARQNSHSASLSPRPSSTSVKTAKLGSKS VAELRRSHEELAEKTVARVNTSRTPSPLPHLSPTPATGRTPSPRRPLSSETDATPQAR SLPTPKPSLAVNTAPLVIRKTPSRTQTLRSEGSIASPHRVPSPAPNGATLSSQPSLSR PSGPRGPAPRSPQPHPPPLATASSASSSVSTGSTPSAIGSGHTRLRIVSGNGRRISLN RETIPLKGDEENTSPATNTPTSHNLPLSIKRQHSADCLSPRKRSPSLNKSPLLETPLQ PLVIRRTSAQMSGASTPRRTSGLANSRTVSASQHSITSVASADTVNTVATTSAEDVEM KEHADVVSAVDATLKKIHDARGSTKRLKSEMSSLRKQMVKDTVKSKESLSVKMDRQGS LPRSPHKRNMSRIADHDINDPSLHRQPSQSKKEIDTIVMDECARGIVQIVQRVDGHLK QAETDAGQAAQLARKVMGENDEKMQEMVSMRNQLHRSREHNELLQRQLGDSQIELDVV YEAFNTELDGMFNDAQLPETEAFQALRNDLQVTKANRNVLQLENQKLKRELEEANLKR EQ L198_05943 MSDLENELLGLAEDDPTYHKKGKRKSKAYIEDSDEGSEMDMEME SEDEGDAPQSRAKEPMKNPYPVEGKYVSEDDRDYLENLPEIERENILAGRLEEMQRFK DSQALDAMFKTAHGGDESDDEDMRARKRRKHTSVTDKASKALDLLKDKRKAKDERAQR RSAARKSSRRSPSPGGSSEEGEIQNNHRSVSYSPEPSLSPAPKASTLSKEDEMDTMPP NRLELEAARLSRYELVDMMHKDGFEDVISGAYVRLMSQDRDEVGRPKYRIHKIMEVDT NHQFGSYSIEYQGRQIKDNRALLCKYGSASRLFRMADVSNGAIEDTEFQRFSLTNEAD GVKPPKRSTLKKKHEDIKSLRDRPMTNSEIDRRVASRRAQDSSFARASTLKIHQLMNT RDLAVRRNDHSMIDKLNADIIALGGDPVTGKLLAGEGEQLGQDDYDLKIQKINENNKR KTKESMMRAHQAAQARKKAEEAVVKAKLAAQKQAEASTADIVEIPQAPPASGQKKGET PQQYVARTVQLDLDLGDF L198_05944 MSRFAGRKLDAIWFAFCVNQNTQPISILLDCQSLYPPSLIPLPL KEFMLWSAALTRDPILLGAARPEFGWLRCFFWLEAGFQIPCFAIGAWGLYYNDKRVYP LLIAYGASTATTLLPCLQTILFPTPSSIIQPPHTTAEIMSLLSEYVPFLVIPLGMALD MGWRVVKILGEVDGKKRV L198_05945 MASPQSQQIKQNFLNNPYAQQAFAVAHGQVSALDAELNKYPILR QLETQIKVPKAYGVIALGVSAVVLIFFNMFGLAQPISNLIGWALPAYLSILAIESPQA NDDKQWLTYWVVFGSLNLIESLGLRAVLYWVPMYFVFKTLFSIYLMLPATRGAETLYY HVLRPVIGNVKSKSQANYGTTDPLAKETGFSTATAAPSSFAHEKAL L198_05946 MALSPRLPSPNAFPPTYPPRGRQSGLTARSTQILALRFGWVVLV IWYEAGEFFHSLSTCRFPDSALRQAHPDSPAPSHVVLLADPHVPHPVLSYPEGSYEWV SWIRQNMDILFMKKSWNVVMRLGRVDGVVVVGDMLDWGRGVMDEGEYKSYVDLFRSIF QLPTSTPMHFVPGNHDIPLGPNRLFSPRARARYAKYFEPPNQVVSIANHSLVMLDSVG LVEEDYRHYAAEMQFGEWDSIQGGVIEFVKDLRERKVDRPPGPTILVSHIPLARPERA TCGPNRERGRISKGAGPGYQNLLGSKTSKFLLDAINPVVVFSGDDHDYCEHTHAGGVK EVTIKSFSSSAGIRRPGLQLLSLVPPEGPHPPGGNLLPPTYADRPCFLPDQLGVYWRV YLPLAILTACYLFFTNLRSAWKQWDRRGSVPLSDLKARSSPAMLSSENLKPLSSFSTR RNAPNSLNLPSRKSASHLPLSASSALSSSVPRPVRLNSTPNYAFADGSRSVSSSAPVS PFSSPRPSIVTMLDTDEKDLEGGSSTPSSMSRRSSYIYMGGNNSANGSGGVPDSPYLA TPSTGGLGLPTASSAGFGATKRVSSSNLSSLNPNASGPISSPTTPRAPLNRLHSFGAP TPKAFNQQPVLYTFASSPQTFVRQWAERAKGFVRWGRKARNGVVGKSWREVVSVAWVV GVVWVVVNALFFLG L198_05947 MSSNSKSGPSSASRTPLTTLDHTLVPMPSRTHPDRAEALVSLSI ALIDSAVDILESHISTDEELSMDSALLPGGTVIESFRAFLLNLYDNPRPLSEVPEINY DNILPTTRRPIARSLPACRQAMRQIGEDLTAWSEICRRRGSAMGGSGGSGVEGNPAGL GGQEAERRNGLVDEMDRMVHVVAITPTKQEMGSTVGRENLTLPVEFGTAPSTLLYRGL SWKPPTEGQTVKVIRTSKL L198_05948 MFYVIGLGLSDEKDITVKGLEAVKGCERVYLESYTSILMVEKEK LEAFYERPVITATRELVELEADEILKDADKVDVAFLVVGDPLGATTHTDLLLRAKSLQ IPTSVIHNASILTALGSTGLQMYSFGQTLSMVFYTDSWKPDSWYPRLEENLKIGVHTL VLLDIKVREQSEENMARGRLIYEPPRFMTPSQAFNQILYTESKRHPAPKPPSDKPAPQ KSDSDSEDEDDFTDPYPTLLPPAETLAISLSRVGTATQKIISGTLTELAALPEEEFGG PLHSIVIVGKRLHPLELEYAGKFAIGGEQGGWWKVGKEVYGVERETF L198_05949 MLTRTLISLATSLLGLTHAAQFSNPIRDSGPDPFIVYDDGTAQY YLMTTTGTDLRLISSSTLGGLQNGTNTQVYTSDIEKEDKNVWAGELHKIDGSWYIYYS FQERPWVVKGGADPLDTYAGPPVKLSDEFGIDGTVLTYSSSLYFLWSCSIDSGDSICI APLDSPTSINADKKAVISSPTEDWEQQGGANINEAPAALYWQGETYVTFSASHCKSAG YSLGLLHLTGDDPLLPASWTKTEGPVFSSANGEYGPGHNGIFTSPDGTELWNVYHAVT NSAGSCGTDRQTFAQPIDLSNFSTEGPVFGSPVAKGETIDGPSGE L198_05950 MAFLFGFTQVKTGTENLIVSSFINAVENIFFGALYGVTPELLPT GSRATGYGICVAINGVCNIIANIIGTYANVYTPAPLFVCASLFAGLVVVSLALPLDPS GQHIG L198_05951 MATDDNTGRGNNGSGCSQDQGAADQASATSTNFSSSLTTQQREV YQDLNLEINSVAFQEGRSRQETIADLFAMTGPGGRERRSGSGSGGNAQSRQNTTQTED GSKQNGSETQ L198_05952 MRFPPVILALISLFAFRVLADTVPTDHLEPQLSDLFGNNTEGLA SDGHTNNWAVLVCSSRYWFNYRHMANTLAMYRTLKRLGMPDSNIILMLADDVACNARN PYPATVYANAGKALDLYGEGIEVDYKGYEVTVESFLRLLTGRHDPSTPRSKRLLSDAS SNVFIYMTGHGGNEFLKFQDNEEVSAYDVADAVEQMWEKKRYNKLLYVIDTCQANTMY SKFYSPEIIATGSSALGESSYSHHNDHDIGVAVIDGFTHFILQFFESLGKTSRTTLRQ LFDTYDPARIFSHPGISTELSTSPPEDILITDFFGAVARVEVLPSSAELDLESQAALR SREGSGWDVAPLGIGEGQGRKHGREGQRRSGSVLPRRKEKDGQSAWNGPLTGRVNGWW L198_05955 MSGVRSVVLFLMRHKIAVTDARSLSKEAKIHTRFVYPDREKGSY SIQNKGDIKWYFISAQEPSDVLLFKQYDSENPGHVVGHTSILDERFDGQPGVVARWNI ETRSVVILE L198_05956 MGQQRQKSNIEAYLETKRKLLPARPTPHDALARSTEQGVYLIDI RPTRNRKEEGLIPGAIVIDRNVLEWRLDHTSPWSILEAREPSFTPILFCNEGYASSLA AQTLVEIGVERATDVDGGFRKWKDDGLPVLKEDSL L198_05957 MPASTSDGIQDRPSRPLVVRCGYEGSSRRVNFPSAATCRLESLR HRVEDCFSLSASPFALIYTDDDGEEFSIRSESDLTDAISYFISGDDDANMSTYSGSGS GSLPYQLSLSKVTLRLDVIVEYDGPSLSDTSSISSFQTESERDSAYHSSAYGSSYRSS VVESMQEAMEDDVTEYGEDDGASQASHSISGRMSGLSYEDDRPSRYAGSITPKRRSDR SRAGSDYRGFNQKQLSVPQQPPLTGPESDAAPSLLTHSELGSRWLREQSNLNARKISG NSRSGRSNRYDSDEESFGSDDDRSNNFALVRDARGRYYYSYQTDSSNASDVDLAENDA VSRRLSHNSTSSSASTTSPPLTLLSHLHDPSAQITEPSVPPVIAPDCSACGVRLDYLR YVCETCGEGEMWKENASGKAAFVPPRVPSESSEQSDGWSDATEFAAAATSSNSGSRTV YDTASRSRSGSLSTTASRGSLQTLAGSSSSPTSITYRPFLGPTPPQSPDAENGQLIPS LARGDLPTRKTGYELCAGCIEVHGIQHSKAAARAAKLELSSSEFRHARRAGELRHTFK ERIWGPVGWDDVEYNEDTECTICRIPLFHNRFKCVSCSKFDLCRSCYRKVDEIHPAHS FLSLPDKPLPRIGPARSVSSDEDGTQGAAAPQLARHPGAFCHNCLQDIVGPRFHCAVC PSWDLCIQCEGIYMAGGDGSGHLADHIMMKIPVPLPSSEVEAVSRRARDRWFQQDRTV ATSAATPFEPSSRSSSPSGDHSTVYAPTATRDRGPSPIPPSQIINVTQRDALDHGIRC GNCTEWIMGRRYQCAGCPSDPAGFNLCSICELRSYKVHDPTHVFLKFDRPVNIPLRSR RAILPPLYRHPVGKVPSAALATINPRDPTAYLKHVMHRETLCDVHGDQIRGVWLRCAH CAAGFDICREAERVADHDSTHVFVVFKARVDMTTFRQVADLAATHSKPLLRQQVYIS L198_05958 MASSSNPVILPSGTNSGLAVNIHPLAILNISDVWNRAQFTSSRS EPPKLVGALLGTESNREVAIVNSFELTFTPTGGDVEMSEGGAEKGAYTLDTEFLQTRE SQFNDVFPSLKIVGWYSIGSQPTPDDIFLHKQIISSTSESPIFLLFNPHPAPEAQNLP LNIYESAEVAVTGGAEAVEGGDIKFVELGYGIETGEAERIAVDGVVKGGTAEEDSAVG HLTTQRNAIKMLFDRIEILQKYISGVVNKSAKPDHAILRQISSLVSTLPTMDAAEFQD ELMTEYSDVQLSSYLSSLTNQLNALSEYGEKHSLLYGSKEDDYSGGGAGMGLRSGRGM NLGFGGFDLGSGGRRRNR L198_05959 MIIPTDFGIKLARVSSPITKRGPPPHVGGDPKATAPTTTTSPFH KTLWEVRHIILDKYLFEDDPYTYMLLSKQHLLRVLLDRWREEVVLDKRILQTLANPTK KLLRACVVRTMRIVVTDVEHLEQLASLPTRLATALEQQRKWHLRLYSTMKSTLLREKR TTKRKKIRFFPHARVLEIELEGFMDAPPHTKRVYFGRRPKSLYDVSAAVGKQLRHLVI SYEERCLNGATYAIPEDALAEIMGGKLRSLVWIKRPDFGPPDEMSPPRINKPEALLKL QYQKSSQLRIVRLVFDFPPKDLRGDSAWSMKSLMRCIQLGIGAWVFDNYHKQTYVAEV QTVNVAQVRAALVKRHPIILELERLRRIRFVELEDEWPNYVLHSFGTFADLRTLVSNQ RQ L198_05960 MTDHTPRERFEAASPWLASAPAAAGLPNETKLELYGLFKFITTN EGPSSSRPSIFYPTNRAKFDAWASTFKQYTSLNIEPADAQARAQGRYVDIAKQVGWDG VIREEDDIDLENLSDSDDEGDESGKGGSGKDGWRSVSVMEGAGKKSAEDPIHDAVSTN DSVEVRRLLQNDKGLVNAVDEFGYTPLHLAADRGYPDMTRLLLEHGADRSVKDQDEQT PLVLAEISSRDDIIAILSS L198_05961 MSYPADLGHSRSHSRSSSGSSGSLTARQSRRSSAGTVRAPSSGG KLFGLIRGKKAGQGGDEDDDEDDDAAELGAYSPHVSPSPSPGPGWRSSSVRMDQEEEI VEMEAGPSDYLERGFDTPSTLSSELYPEAGWRQPSTKPYDSESIEEGPSDYWGPETPP IAPTHPPESSLGQSPGVNGDLYDDDEYERRIKNVLAQDELGSSPNLSTSEAEGFHPSR YRENSADIRGVGVENGRDSATNGQGESSVGEEESGPRVAESDRDDVSIRTPSTASQTP ARLREGPYPPGFTPSPSKRASFIHPSISRLRSHMRSSSANSQQSIQNPHSLNLRAPSH FSQVSAARSDTMSVSSLPSKPSTLHQEIPKPPSEPEQGPAFQFHPLRKLSTHLFSRDK KNGSGKSSPKPVISRKASSLLGLPIGSPVKETMEEQKLGRPTALDINGMIAVGTDAGV VVVYGFGQDIKYTLGPEDPNAAVTCVTISSDDTYIAVGLSIGTIHLYDLSSPARPART AHSLSLKQIHSGRREGHLQGSRILHIGFVGKRHTSIVSGDEHGRAFWWSLGKVMGMES TDVIRMLGSYPSPGTNDKPPYPPKKPTTLFSTTSLPLNSSAHPTDEFSLSALLTPSKL VIVGMKPQAKTWFRKMRDNAGGSEGGLMGCTAWLKSGEVVDGTTSGAEVSDPVLAYSW GKAVRFIRARVQREGDEATPEFVEGRKWEASEGVRAMEWYDPNHLLVITSTSLVLLDV RSMKVVENTPLQTSLLTSQDFYKGLPPKAEGELVPNSFVGSTKTNLQAGTLLLWNDRI LTQVHRGDFLFAISVALSYYNGTAKGNTINLPSDPLERQEVVGKRMRDLMKASLEWAF SPDRMTDDTHFSADGRGVDLTSLFEGLATSCIEACLAIGDTELLFDDAYDHYSQVGIQ SIFLNILEPFIFSNRVREIPPDIIKALITMHDEKQELDLAESIIWHVDPISLDINQAI TLCEKHGLWDAMIHVYTRAMRDYVAPIVKLIGVVRDIQRHRLHRPSLVGDERDGTEEL APNAYKLYAYLETVLSGLSYPSNEPLSEREAHQARTEVYSFIFQGRTVTWPQGSSELV LTVDQDHPEPPYPYLSLLLRFATEAFLHAMDIAFEDSYLNDPSGAINRQSIVNLMLDV MDPEYFHPGDITFLHIFVARNLPKYPQFLFIPPSTLHRILVSLASDPDQSTREDRQMA AEYLLSAYTPHDGDAMLALFEQAGFFRILRGAYRREGKWGQLINTLLRDPDSDEEVFG ALERIVKDASPAASSEVSQAVTEALPQLFELGVRETAVLLDKELPDVHSAAIEALGPT PHKQMAYLRCLLEPDGEETSSSTPSTNVELPSRHLYIDLLSRNDPGHVVAFLDERGPS FFDLSRLSKQCEAEHLYEAQLWALDRQGKTKETFDTVGDVLRNKGAELGEGVLGDDLG SVHLALEVIQGVAKMGVRLCQAHSIQAKDGHGAKREMEVEDMWLGVLHEIIELVHASS ALASSSSDGIVLDTLRSLVQETLASLVSSSSPSLSFPRLFKRLVEASTSTSKQSAKGR AYSEFRTILVGMLDSYRAEGEMLSMTTRLVEADLGEVASDFVKESVKGWRAELGKECG ECGLEMNKEEQWVVRGSGRVLHRVCLTVD L198_05962 MPPKGKRTKAEEALDFLSNLDNLDAPPENTPAASETPRVSTDST RKSLSASRELPGKAVPAKAPEDDEAESHLAFLEAQMQRKTKPLSDSRSGTPLSAAGSA APAAAPVTQEAVNVPSSPEPEAPVSSGWGSLWSSATSALQQAQRVADEQYQKVRAEGV SGVTVQLEHYGVNVKNVQGVDLNKLRKGAEERLGGIVKGVDLEKIRKDLLNTTTSTLT TILDTVAPPISEHETLQLWLSHPMQGYAGVEGVIYRAWARILEQTDSGELIVIWSPAP TPGADEKEGEERSINPVEGWEAAWDLGKKEVESLKGREKENPEARARLHPDVPVTVVP IFLYLQPVLVPLPFPEPPISSNATTPDKPHTPPKHLQFLLTLEDPEHKLTFTTVTQPT PEDWMDVEYERSEWVEERLVEVIRTGVEVVAQDYVATRMGLKPSAPQAAAVAALVEQA SQENAEKEKETTEEQAEKKSD L198_05963 MLSTTTLFILLAVSARAVPQGWHPSHSHSHTPTPSTSISSTTTT ASTASSYTPPAPSQTYPVLNLAEPPENCTCGYAVQSLGGAYYPFRYTFTPSSLDDGTM TSPDDLLQYGLKINDGKHAGGEGEDGAQCWGRYQNVRIEGDDLVLTVPGGQTLGPDMD CAEVTHNVYQPLGLSDVAGTCEAFWLNHTIADTFADEVDIEILTGKLESDGIYHTNWP PFGNPNDPSSLISNYTQIVPFPGLDTRSPTSTYNNYTIVWQADRLGQNGTLRYYNGEA QQNPRTIVSPLIFVPAHAMEYNINAWSNGAPGWSAGPPTADSELRVKSILLYYKTETV KSIGDISAECSSSDVCKI L198_05964 MVHFLSATLFALLFPLVTIHAAPHKCDLSGASSLAQESVTVSLS KETDDAFGAAAIATTDGYEPPPSATINKAYSSDSSSTIASTQTESESTPISSSTSSAI SSPTVSSNSTSSSSDCSCGYILSSHSNAYFPKSHAVSFSSLSSLADSDFEVTDGWQVG GVGSDGTRSVGSTNNVKIIDGDMVLTVPGGQSVGGEVTVAEVTFKEVVGGGVFTMEAQ LDGGAGTCQSIFTYTQSTDVGNDEQDIEMLGQSLLTSAPKGAEPGIQLTNWDPTNAGE NEEKTTAFPDDPTTAYHNYTIGWLPGGTKYHYDGQDLESPSKYASINPSNLIINNWSN GDASFTAGPPSADVSLRVKSVTFYYQSETLESYPAYPSGCSEVDACVV L198_05965 MALDTAPNTAPVEQQESAGFFGSLVNYFVPTAHADDSEEEEEEA PAAEEEPAEEEEEEEEEEEEPEDLAPAIREECAEKQCGEHVHHFKHCTEKVEAGEGFP GEDCVEEFFHVLHCVDGCAAPKIFKKLV L198_05966 MAPVPLRPPTWEQTRKIWVEQAVQERDLGALRKIGGLPGGFAGE RKRVWPIILRLDSSVSEKSNVEASDVNAEASTSEGQTTSIKSADDLAQGSGNALEGNS KRSPEVLTHKDEQQVKLDTDRSFVTYPTDISPETKKELQDDLENLIVGVLRKYPALSY FQGFHDILSVFYLNYFPPKPIPTGRERSMSRRLEREQARSRSRSRYQSQSQSQSSSMM DLPGLLTPAKTPPPLTMTPPENAIVDPDLRERDTEEWRELRKCVEVLCLTRVRDAMGS GMEGMMGLLKLLQNLLSHADPSLYNLTSSISPSGPLPFFALSWVLTLFSHDVDDLETI SRIFDWGLGRDPSWVVYLSAAVLITNKPQLLSLISQLPQEYTDDTSLLHPLFSRLPPL HPDTPTSASPTAPKESKKEKLKKTLEWFHDPSLPSPYDPIPLSKVFKLGDELMERLPW DGEAVRGKDVFGPGSVLVSYKEEQADGGHWSAEECLARIDVDVILPGALDPQEEEDEK PRRGRIVRQPGFREVLTRIQPSTLVAFGVLALGVGIAFYGVKGEGRGWRGWWGLGRAV WEEYRY L198_05967 MFNNPRPLSPSSPSHTYDPLSFTGFSNGLPAGSIASGSVTGAAS GGGDELDPWSSAPSPSGGSRPASTLNPSPTRFGSGSEALQNLIVDPPAQYLAILDQLP PGTTVTSLSKYLSDTLPAPIIAKIVTLTNDQPSSPRSSLVCALALFALAQASHISDPL DLSIEILSSALSQGDLPPPKVSHPGSFSPAKPNSHHSSLGPDPYPTWDTPSQDRTGYT PPSSLFRHPPVMASDSSIHIDDPYAPDPLAALESQHGGGENPGQGSGGLSLIQVEDLE PEDMARPRGWWKDLERVTVDLIPEKEGWFLQKYRLSFTKRGDQAVTRRFSDFLWLVDI LGKRYPYRLLPPIPPKRLNPDAAFLEARRLSLQRLLSSLTSHSVLSQDPCLTTFLTLP SPGFEGWRKRTSVALEEEGPSSPSLALRVPRDLEPKLLALRSNLPQLLSNLHKLITLY ERALTRAQAEKGDSERLAGVLEGLGEGLKECCFWNSPGESGDAPKYANTGDVCEMCGS LGTGVGDVAKGYDKVVGVQQKRIENLQKHLESLRSQRDLHLALHALFSRWAKTQAANP TSSLLTKISSLNTKSSSLLSSPPLPSAPPAKRSTWESQLSKLRDDISLARAEVEVWRG KEVWMKALVWEEVAKVWHGRQGVEGGVGWRALGAGERGVGEGMEGVWEGVVGALEGVV LE L198_05968 MPCRCSPLAKGRITTLASLPPVIRAMIFDLLPTSPLCPSHHYPN VLLISPNIYAGNAYRLYESVSLTQGTMFEFLRGLYPYAKPDPSVDPEENAPMSLLLVL SSQKRSRLSILLTTGRIPVKDSPMSRISPLNPVATTLSL L198_05969 MTELTKENLDNGPASPSSSHGSRAPSLHQPQLSRRSSMSAGHPN SFKQVDTSQIGIPIVTPRKERPSHKRSLTGSYFPSQKGVVDMPGEWPIGDEDTWRKSL ADLKIDPDNTQDVATSIVRHINTSLGRQAYNVDEVAAYQATALSVKDQLLERWNNTAA YHTAKAPKRVYYLSIEWLVGRSLDNAVLNLGMRNTYEEADRKLGFNFEDLLNEERDAG LGNGGLGRLAACYIDSMATLNLPGWGYGLRYNYGIFKQLISNTGEQLEAPDPWLDREN PWEIPRIDVSYPIRFYGTSTPIPGTDRSSWTGGMECLAVAYDAPVPGYNTKNCANIRL WSAKPVQGFDLNSFNAGNYEASVAASSEVENITRVLYPNDNMYAGKKLRVMQQYLWVS ASLQDILRRFTKLDLPWSALSDYVCIQMNDTHPTLAIPELMRILIDEEEVSYAEAWAI TQKVFAYTNHTVLPEALERWQLDLFESLLPRHLEIIYKINFEFMNQVAKRWPGDTDRL RRMSIIEEGTPKYVRMAYLAIVASFKINGVAELHSQLLQATIFKDFVEFKGRDAFTNV TNGITPRRWLLQCNPSLAELCTHTLGSDDWLTNLKLLKQLLPMAENPDFRKAFVSIKK DNKRRLADLVEAELGIILDVDSVFLTQIKRLHEYKRQTLNIFGVIYRYLQIKAASPAD RKKFTKHTHIFAAKAAPGYYVAKLVIRLINNVARVVNADPDIGNLLKVVFVPDYSVSI AEILVPASDVSVQISTAGTEASGTSNMKLALNGALLLGTVDGANVEIAEDAGEDQSFL FGYLAEQVDGIRYTNTYQPTPLEQRSNELAQVFKAIENGTFGDGGTYAPLLKTVYEHD YYLVSNDFGSYLSAEKLMDDCFANDPTEWTKKSILTAFNMGDFSSDRSVQDYADGIWS VEPCEVPENFSV L198_05970 MTPSPAPSSPLSSAPPPPSAPAKARVSSGAKWATSPPTSQGIVA GGEKGKASTSPGNGTKEGEEEIDELDPSDEEKESIRQELETSTPKKRKRGKLAKGENT GVKKLRVSRAKKEEESPNIRTTSTKIEEVSVIERAPTLTSPTSPSKEGEEEPKPLEAA QDLSGESQPSQEVHGTATSVPPPSSIPKSPIKTEVEPSVSGEDTVPTEPEPESTGPLL LNSTTATVSEVASASAYASGSSSTSALVLETTSSSLSISKPKYVRPLAAPIDIPPGVR PPPRKNPGRPSKKYLEEHRVWLEEVEEARARLRGEGVEGGAQLSKDEKEGLEGDAGRV EDLGKSTKGSIPIPIGEQQPVVTGVENPPAEEEPKLEKESSNAEEEEKELDKEEASEA LSEEKALSQTVDAKSPTKRRKTLHEEKPQQSSPVPTSAPAETPTSPRDYAPPPPPDLP AGIPPPPKKLNPGRPTNKYLEEYAAWWELVEKEKERLAEGEKQTSEEDKDMAEKGTSP EKAPVLGSQASNSSVRGQTSARGRGRGRGRGRASLVVQTSRPTEILQPDSSDAAAAVA IATPTSTSTSRPSEFVMGEPPSSGHSESWTPRGRLKVRGRAKGTVRTGRVSEGGRTPT HNGALSSPLQNGYGSPAGEGSPGADNQGEVLKSGSSHVSEFNKSAPSMRLSSEPNEVS SQPHPAPQRQSPEPQTHINLPSPTPNPPRQTDIMIPPVRPNPPLPKEGHLASFLVLNP FHFLTPSDERQLPLPPVPAGGFSFREKQGKTIQRFLAPRKVVLDITTPGTRIGTIPSA EEYYKSSLEYRGDRKGKARAPSNWTEDEGQRNGGGESEADEAERLDENGRKVHMLYRV LVVNKDEEEEAMESDDGYAEEE L198_05971 MPHQPPVPGQFEQDPRVSFDKTTQKWQYEDEGLEFEWNGNVWVS IVDEELLKAQQAAYSVPGVDESTPANAVVAREERRNLKRKKNEKDYTSNTLNKPAEPA KSASQGNSNGGESSKAAAPPPAQKKTGVWVTNLPPNTTVEKMANVFNKAGVLLIDDEG NPKIKMYYDDDGVFKGEALVTYFKEGSVDLAITLLDDTELELGAGYPAMRVGTAEYQK DTSGKEKGKEGGEKKEKKEKKEGEHQEKKRLTAEEKQRITKRIKTMQNKVAWHSDDES DQEDSGPSGAPSQPTNTRFLRVVVLKGMFVPEELDKDPGLLLELKEEVREEAETLGQV TSVQLYDKEEDGVMTIKFKDPISAQACINKMNNRYFDGRVIYAGLFTGKERFKKSGGR SYEDEDEDGEGEKGRLDNFAKWLVDGDEEEVKVK L198_05972 MSSPQLYAIADFCLIPMGLPTPSVGPEIAEVQRVLEKSGLEYTM DRAVLTAHSGYGTNVEGPWDAVMKAIGDCHTAVHALGTPRIATDIRIGTRTDKSISSG GNDGKKKRVEDILAAEKQKQ L198_05973 MDRLNRTRSQHFVSSPRKSAIPLITRHTLPRHPTKRRRLAGSAL GLASHSNDSDSSSLTEDDMESHRGRAGLSNTRLGRSTRSKSRRLPVQNGKGKGRERAD TSGKEDGDVDEGDAYSPKKRKRSRRGSLSPEGSWVETSGDEGEPEFIAEGDNYLLQSA PGPTLHRLRKAELIRLWKVAGMWENDAGPDGAMDEDDEDDAGMGKKDLVDGILGSRKP SSKGRLISPLPHSPGRAIRRRSTLEMIQEPPASSPTSSDHISPGSTPKPLPRTRSRVR LAEPAVYTTESPSSSHVTPRRTLQQRPTRSDLRAKRVRAQLATRKTNGSAVNSEDSEL TELSSDGGEVEGDVQATPLVKRLRPRGGERTNMREPSTDVTDFEDEVDNTADEADAEA TEAEPDDLPVRGRRIKASQSNKSLWEDEDVAMKVPSSRKSGKSMPTRGAKKKAIEAIK GGERDTDDGMEVDADVDMDEDEESSKSAPVTPPRRNTRTSVDDVSMNGDDDEVEDQDQ TPNVTPGQIHMTRSGKAFGMMQNRRQLLREEARDDPDMDEVEEESDDDDDDELEPAKD VDLSQATAASLVRLLRDELVQMCEARGIEVGGTKPQLAKALLEWRDEQQGAPSSTSTA RPSPPRTALPRRKSTKSRFTTRTKSKSSKLLPAIATAVQVPGKPTPVLMREHVHAHDP ETPPLSKGHEGDVEEEKKGTEAELNLDLQELGLEDSVIKASLLTKLEKIGSGGFKDVY VGKYRGRKVAISEFREHLSEMDIRELKLLAEFSHPNIVRFRGICIPEDSTQVPCMLVS ELCENGDLFDYIRNVPRPSLKRVITLMLDIARGLEYLHTRKPSIIHRDCKSSNILINR AGTAKVGDFGLARVKNSTRSMIRSLVGTVNWQAPELWHPTPRYDYKVDVFSAAMVYWE MMSGWIGDKKYPWEGHNEHYIYDAVGNKQKRPSVAGLRKAWGNEPVNLMERMWHQDAG ERPTMTDVVHDLEGILAEVK L198_05974 MVVKQSPSAPKQCRSDIIMSSTTSQVPFELPTPPAPILAPEHFD EPDHDLSPQLDNSISTTAPGSPTLAGVNSTIANMDLTRFTFSAKVYRLSFLNQSNDVL AISTSTPKAGKTGDMFKRKRGVIITRTLKMLEGGERAEVLTEKEKDELWVKIVGSEME AQLLCRGDDDSDIKVEDVKMEVTEVEDEKNEGDENKGDADDVDEKSIVHHEAVAMKEK SLYSEYSEEEGDRKEISMLPNVPSPSRLVEQEASTDTVILLHGLLKPGSRVDSDQFFT PGGSSLPTSHSASLLNEYPSISEKPSTIGACSATDDYFSIRVPQRRQINSHLRVESNH QLYSPQLDDVESQHPPPRKESASKLPMMLFWFGFLLPLAWIVGGWFCSEAHTSRTTQS LESTSSDRVSSQATAPVSAPSPLQSPHYSRPLTPISNPGSPQSALHALPPSPPATVRS LPVADSTASSKSTYKVRWPGHQDKWAFACRMAVFVFMFVACVTGVAVPMALRADH L198_05975 MASHAILNPTAIPDLDSIKTWGLEGLTKANFSFTFDTKTTTATL LTLLLSILVLEQVVYRSKKAHLPGNKWTIPLIGAFADSLNPTLANYKAQWDSGALSAV SVFNIFIVIGSSNEMARKIMNSPNHAEPCLVASAKKVLLPENWVFGHGKGHADYRKAL NVLFTKQALSTYLPIQEKIYRSYFTKWMTDPQPAFPYMMPMRDLNMDTSLSVFCGPYI SEEEKMDINKKYWLITLALELVNFPFAIPGTKVYNAIQARKTVMKVLTRSSAESKIKM ADFANEPECLLDEWTRAMINAQKAQDDGETTKLLQREYSDHEIAMVLLSFLFASQDAM SSAIVYSFQLTADHPEVLAKIREEQYRIRGNDLERGLTLDMIDDMVYTRAVVKEVLRI RPPVIMVPYLTTKPFPVSPEYTVPKNSMIIPAFWNSLHDDTVYTNPDAFAPERWLPNS DGSSPLAESKPQNYMVWGSGPHKCIGVQYASMHLAATLGTASVLMDWEHEKTELSDDV QVIAAIFPKDHCKLKFTPRAPPA L198_05976 MSAPHHSITPRSQPPIPSTPPRSYPSSLPSTAASDGFLGDVAKW QETRSPTEGGAGGSAGNSSVRTSRMINKFGASPPPPPGPPRKSSCISTMVLSTDHPCP ASNPPPLPPPSAHPFASMANAAPASSSLGSATGRRTSTQIPSARQSTINALRPSGRPS SPGRSRVHSHGSPSKHHAGLAASPIPASHASTSASTTSTARTSKPPRTPSRLLLQTAL DLAQKAVEMDRNNDVVGALAAYREAVTRLKSVMERVGLEDKKKEKEKKGMKAEEEGRT LKGIHDAYVARIQLLTSYDDVAGAEGTEGSEDGTVVPAGVSASGSSPVLETLDEGKER SIQASDEQGVPAPRSSFDEATQGIGELMLGPSSPENQTISLETPPLSGGLPEENASPR LPRIDGQGDVSLQQALDRVAGSTNTPFPKKPPSLPASNPRSIGLGHPSHSPSQSFHYQ APHASHSSHARTMSMDSNGTSSSLSPSSRRFRRHKASLGLDMEADLSGIDGVAPVGVG PGLGPGDVEVLATTPTERMMPQVQPALSLPSGRASPAPSMGSDERPLPPLPPSAGLTS DQRWRSGSVQSQNGSGISAGQGFLVSPSTNQGTISQRRRSRPSSGAPSIEGIPERSHT PSQEEPRKESRHASRRASISSLISGRARAKSQPGGRPGDPEPLPPVPSTTGVRHQPNF SISSQMSLNLGQGIGQGLDRSTPVIQQPNPLLQQMGMGMGLGGMGMGRSQGLRINTAG GPGLVPPTLNSRSGSINSLHPNSPRSLPPLPSPTPSPSALGTATPSHASYSSTSLLSP LPEPQPAEEALRPFHILRLLRQSMDGDNAGCYLTPGLHVTPAVWNPSTWSRSAAIMGG TEQGDKFVPKIVAQDIKTRCMATLAMCLETLKGPGFELLSSGPRDRSRPAGAASTPVS PSPRWQKAGEELVRALDELEEEIEGVSKMLSKGGVGVGGWKGKKGVSTTKSWGSRISR GMDKISNNKNLDTPDKYVDNLAYLCTSSQTLSDHLTNILGPQCTPGYAELPERTFREV EVRARRVGDFVRLVVLPFVLEDFRLFLLRYLKGGVRYLED L198_05977 MINLSLIPLFLFASTGLASSTPDGNLTPTLDEAKEVAQHWFESF ADGLKQSGAKDVKVSKIDREDDVLLLSPSSILPPSFRHNPADQVFARNITGRYRGAQV HPLSLSSPAARTQHSSYWSSLNPPFDTNLLITPPDDDQKPADKWRWQDTTRLDMSFTE TAPIGINYTKASSGEFPFYFWGAGDWLFAEGSLTLTSASPALLGLWNNEDVVRYDFHG MHYIPNGTINLYALPRGKRILPIASKVPDWGQGHILDGLVTDFSEKMDVKKQLAWYSH VWFNTRFDIEEKGLMTQCPLSIHITLPPSADLAKSDITSTNTDDVLSSPRLAGVAIAE GCGWALGFEGGGFFESSSFGYVFAWEKNPFLTGRKKWVWIIVSWQVGQIWVLYQQTRR RPDFLYNYQPTTVPALLEEKDYECPICYEIIDLAAQAITPMPSSEQQANDALLKEGQR GDAQVTTGEGEQEVALREGGPGQEGEEKGERDYAITPCNHVYHMSCMKEVMKMHSLCP LCQAAWPSVD L198_05978 MDASVSPLAVPSLPEITALFNASTSAPTTIIPPSAALFPHPTAP ASKPVIHQKPNLIPVYVEIPADLLTPVSAYLKIAKDEKYSYLLESVLGGESLARYSFV GANPFKTIRTGPGFDVEGDPLKALEQELEEYRHAKVQGLADFTGGAVGFITYDAISHF EPVTAPATPLHNPIPGLPEAFFMLSSTNIIFDHIYQTVKIVSHVYLPDGTPASQIPSL YKEAVGRIDFLRRKLENPETPLPHQPPITLGAQAESNVGKVGYEGFVTQLKEHIVKGN IIQAVPSQRLTRPTALHPFNVYRHLRRLNPSPYMFYLDCGDEQLVGASPETLCKVEGR KVYNHAIAGTVRRGKTAEEDAELGKGLLASEKDRAEHIMLVDLARNDVNRICKPETVK VDDLMRLEKFSHVIHLTSQISGMLRDDQSRFDAFRSIFPAGTVSGAPKLKAIQLISSL ERERRGVYAGAVGRFDFDRENLDTCIAIRTMTFKGGNVYLQAGGGIVFDSVEEDEYIE TINKLGANVKCIEEAEKYYARLQGQDV L198_05979 MAPSPPLPSYEALMDAENGGAPLSPPLPTPYAPVDYPTSPDPNA YNRHSAASLGRRPISDPNSIDFHNLEITPALPPNPVARHKTAPSHPHPQYRNAPFPRQ QRSTTGGYPMDVDGAASVYSLDSGMGAYGGAGQHQQQQQQGGQGYGGYAPVQSDYHNP YYSAASDVLGLPPPPPQEQSFASSSTSLPPASQPHPVVTRQQSIPSLVRSNTAATNMS SASSRSIPPVPALPRVTEQVGASSTRRRGTQAAVDLNKPPYTKQYVDDYRKRMKDDPD PEAQFAFAKYLIEAAKKLGDEISHSDQKLGRKYRDNLLAESLRNVKKLAEGKVPYPDA QFFLANLYGTGQLGLAVDHEKAYYLYLMASKHNHPAATYRSAVCNEIGAGTRKDPGRA VLFYRKAAALGDTAAMYKLGMILLGGLLAQPRNVREAIVWLRRAASQADEDNPHALHE LALLHERPGGTAGVLPHDPAMACQLFTQAAQLGYAPAQFKLGQSHEFGHMNCMIDPRR SIAWYTRAAEKGDSEAELALSGWYLTGSEGVLKQSDTEAYLWGRKAANKGLAKAEYAV GYYTEIGIGVKQDMDLAKRWYMRAAAQQHKRAMQRLTELNNQKNAKGKKGARPTRDDA SSECIVM L198_05981 MSDLSPPQHASTPPPNETLTPDSTDNTPTDRLEGGAEAPVEGSG EGHAEAAEQERGEEKGERQESSDDSPKIEQELSETTESAPRQASPATSVAASGTSTPP LGPLPKKKFAAINVNQKFLQKTASPVPAPGAVKTTGRQTASPVPILPAPSRHLSTKLN TMTAKPPPAPAPPPITNSSPWAKPANVAEGGTLHQPAPTKLRVGAVLPPAATGASAAN TSNAWRTSAAPPKHFGLSRDFPTAKEVADSKRAAAAVEEATAAATAAHNQAILQELNS FTQLDPHAHRWDEDSDEDEFNLPPAPPPPQPQTIQRDDTPVSKSDRFASDDFDRSWPR RPTGERVLFDAGISRGAPVPPVAPAQSAQGEQGWNPRLMGRGDNWRERSASSNGPAPS APSAPGISRNLPPHLTDAPTDREKDTQKPAAPEPAAVPPRQLGRWGSAMQAESGSTGR TGWGSRATEERASPPHVPAPTPSAAPVPPRRSFIGVRPSPPTSNSSLPHVQPPHSQPP PSTLPPVEDEQHQEMHTAAEKARLRRLEEEKEREAAKERARKKAKELEDRMMAKAPAP AAAKEVKEDNDEPKSVRPTSIAQRPKDLGLPQRPSRSPAGFASETGKDKERESRAETE SSWRVRAPSSQATRAEDNKDEIVTPPVAIPVSTEAPHPAELALDGELDRSTPHAPSSS SFDGMLDRIKAAMSKMQEEKDQPKDAGVAPASPVKKEEAKEERKVILEREKKPPTEPR ADRLSAAPLPPAQPQPQAKPIPTAPEAKAQPPTISVPTFFDISYPAPPRSPPPAWRTM TIRVPKFDLPHRTPVPKAQMDSFFAPLNYPKGWARSFNPPLELHQRALFGPGNRPPPR ENEGVVDRSRLLLPAGRVKTKEGEIVVSISPRVLTRSRPRKMGVDGEVGGKSSVTAPP AAGQESGDAVTRKRSPSARSAAQAEKSGSGYILQGVGLTISPPPGVSLPSSLSLGTAL DQSETQEGGADDRKGREGVRFLVSSELEGDSLLEEVNKMSLESVEEEEGKDGEEPPKT SGIAQSPESNGPSTPWAQSSLSYGHSSKPSITQHDAIKSVWESDSKPTAAPISSTTAN PPATSSSALSETPMYPSINTPSNSDVGAGTQPLSSSFVAAPGPSATGFSPQLGSAPFT RHPSNQSQSAQTASLHSHNPSQGQSPYFGSPHAHSLTSPDPTGRAYAGMGMSTMGGMG MGMGVPLGYTSRPMGSSAVGNGGWQQNVWGGFNAMNGYGYTQAPQQTHHQTQPGQGKD KAYQSYTGQQAQQPAQGYGVPGYPRMNPAPAQAPGYTFTPGGYSSHTIHATSPQVRPQ GRFAQANGDYARQAQQQQQQAVGQGGNGFRMSASSGDGGYYGGVSGYAPQGQTGSSQS QPQGAQSGQSGAQGSFGRSTRGGLQRRVW L198_05982 MALVRSARPLLALRAARPAAPAPTRAFSSPAFLRQRTSPPTAHP SPSHPLAEQPSVPPYKEPVGVNPADRSSPVSTPLHEYGQYITSCLPKYIQQFSVYKDE LVLYIPPSAVIPVFTFLRDHTQCQYRQISDITAVDFPTKLYRFEVVYNLLSVEHQSRI RVKTYADEVTPVPSLCGLYNGANWYEREVWDMFGVFFEGHPDLRRILTDYGFEGHPLR KDFPLTGYSEVRYDDEKKRVVYEPLQLTQAFRNFGDAASPWEQVGGGDPATQPEDYKI PPPPPPKEEEKK L198_05983 MRPLTALVLLPFAFATPHATPSFSIPSPTATATPSLGPTPLPNP TYHQLNERCTSGSCGGPGGESTLEANTITSTIVSTTSVPCYITTFVTDSTTSTSTVYS TSTITSTETKEGTVYIIQYSPTPIVGSTMVESVVEMTVSYLSYWVSSDGSYSESTSTG EYATVGGGGDCEACEQASSSAAAGGSGGSSGSSQDSGWGSGSGGSLSSSNGDDTSSAQ TIGSGSADGGSAWTHATNANNAAGISATATAADASNAGWGNSAGSKTAVGTSGSSDGW VNGSAGEKMRPMRRWGVIVAVPLMMAGYWSVSVY L198_05985 MDQSLFQATAGHISSRFQTQEDVEVARENKKKEWEDAYKRIGQE PPPQEPEEEHDGRTLYERLQTQKEIKNEEWDTKMKLSNQWRGLNAEEKRFLQDKEEEK RRAQKEIETRETEELRQYRERLAERKGGIGSDAPPPPTSAPAAKVVAKKVPVKDGKKK DVKTLMKGVVVKKKAKAPVATKPATEEAPTAKIGSKRDASDEGDEGGEDEKRRKVEKA E L198_05986 MSGRRIDLAKFAGSDSEGEDSQPKRQLELTALKSKTFSHGITKK TKRDMEKEAEEKKRVEEEKAAALVMAEFEEEFNSGPSHPNTSMRGFGGGSNAAPMGPR KGFVKAGGAPMALQALQGNTGFQPPRGPAAMGFQQAPPPHVRPVAPSASNGPMRKKRA MDSFLQEIKNNQDAREQRLGNMAKKEGSSVSALAAWESETKNGVLDLQTTNLFVSNLP RQNMTEDTVGLYFAKIGPVGTVKIMWPRGEDNLSFQHRGLSGFVSYMSRSDAETAVRE LEGAEFQGNKLRVGWSKAVPKPSKALYGVSGQPLRLVETDRKERSQSPPRKRRARSYS YSSSSSYSRSPSPRRDSDGETWLKTIPEEKAKFVKAVAERVREHGRGFEDVLREKEKD SEKFSFLFDDKLPEYHLYKSTLSSRYRIPNPPHTFIDEGYASMYSSDSAEDSEKERTS KGKLGRLAKRRFEAMLRVMSGKRGEIARAMEFALTHAEAADEIAETIVQSLIIPSTPV PRKLARLHLISDILHNSASPLPNVWRYRQAFEARLPPVWAHLKGVETSLEAYKGRISA DVFGKSVGSVLDIWERWIVFNTETAELFRALLLGHSSLDSLTRTPQGMWVDKAVLEAQ DEAKRQKAEEERRAREKKEEADQRFKSGGFKSSFKRVDPSSNAGESTSLENHPAAEQD LDGEAMEDLDGEEMGLDGEEMDLDGEPFVSEDLEGEVMEDLDGEAM L198_05987 MSPQELVLSSPQSASTAAIHLHDLLSSAPVHQFKSSTSPQHSVA HVQSQNAQGGAIFAVQHDKALLNVWAWQKDQMHLKLHLPEKMTAFTVSPNGHWAAGGS PNGHIYLWEIASGLLLSSHTAHYRAITSLTFTPDSRLLLSTSLDSSSQVYLVSRLVDP EDPASAGKPYGTLKDHTLAVRCLAVGKVAGSEGGRAWTASDDGTVKMWSLRPPFDLLC TFSLPPTSSPAAIVVDPSERFLYVATSQGDVYHIPLFRNKGTIGGSIVEGSEEWEAVG GSGNSGVPIKAEGAVISVNDAQITSLSLSLSSTHLLLGTSSGTIQIHSLPSHQHLRTL SPHAGPVTYLTTILRPFDLVGTVGMKGEEMPIMEVKSFERMKGRAAKEAHDPVLLVRP PSSEMATLLGGLKPTEARQKIGGRAADGNMEEQMEELAKENQRLREAVDRASRINEKM WTGILDSKLGNQE L198_05988 MPHPPGPFDIFPPGDVHHWLTDLQRRIKQGINPPDSPGPSRSPS PLLNGGSTQYDPIDQQGQYNGSEAESESESDASGEEEEELDDINHQPQNTLPAANHVE VSSDDEDEDELQDDEGQFDEEGDYYEDDREANVAYDEQDELYDDDPRYGVVPEEDHQA YVGSDGDVYADQYGTGESEDEEEDEEEEYEEEEYEDGRENEPSEEGNESDELDGIEYV GQSQSPLPAHSPILNPLDSPPQAAPVPSAAASTSIYPGTGIYSAPASALPALSDLTHA PSPYADPGAPISTSTPDPTFSAQAPLPPSMDTQVYESEMDIDPTLLESGYQIDTAFLN DLVQHVAQGGAPLSGMGQPGPSFGSFNPAQSQEVDGMPSLPSLDQPGEGEEDFEMGDE YLNNEEILEEDEVAGDQDVSGRDPSQPLEQDEDMEEADQEAAEQGSFSSGDARANVEE GEEEPITVKTTTVREVIDVDSSSDDGEEEEDEAEQDDQAEDEEEDEDEDEDEEEEEEE EDGEGRISAAHAAFLQDDSIEEEEEEEEEEEEEEEEEEWGRADGEDEEGSVGDEQPED EPLVARDLTVDTDQVVEDILGAGEEVIESVESRNEVTFARSATPVESAPAESEVHEGV DEDLVETSAESAHEAKDLSDEGARTEHHQEAIEIQETDISQASPVDQGEQPEIFPDSE VISVARDQTPASNGSPSTPPDPARSPPPTSLLAPLSPHSLQPHPPRSPSVEAQIANDP RPSEVPEPPLSKDQSEREETPVEFPDPKSAPPDSDFTAEFAYNPDPHPPSSPSLIVEP PADPRPDITFSRTVSRAATPSIGAAFSNGNGAVPGTPVEFPDPRLPPADAHLDVPLTP HGLEPNDEGEQSPSLRVQVGASRKGSLSGPSSPPSESQEPEVSGDSRIEAHSDPEASK SSEPDEHSPRQSPTYAASEIEAEDAPSLPLEDDIPMAPMDDYDVQSSILAEQEEEAGL GQELEPHVAADVVQDVETPGIEGTDDKFEQVAADLPLDTAELGEGDQRAAEEPIEEGP EQVADENVDTGGEKPYASAYKGKGRALEIEPQDEGRVRDERLPSDPASATASPERPPL ATSDSAVGRLRHHHGLGSSSHTRIPTQAGEVSKNSLKSHRGRDEGSPSPLAPPVTRSH CYYEKLRLTYEGAVAVILAPHCSLSNTQEIEHEECTVEGVPSEGEEEQARLGQLSHDN EVLQPAFSTKLRRIVGAQLFDEGCCYLLLADDSAKLPLPPSEAKVSTPQSSSARGGPK SRTSLTKSQVSPLKPAIGNPLTARSFKRLSASLEPSTPSRKRLSQEPEPSQGSPAGSV TDVGEDSATDSPEADPTPRRSTRLSLKREAKQAPPVREKRGGSSLRNLLATEESTTQN GQDTEGPTGFNERESTVQSEAVVRQGKRPVLIDLRSVSPDSVQSSGSPTASTHSLQGA DMSSSRALVGKKRQRDDDAYRPDEESGDEGHAENSDEEENEDEDRQDSPASLEKGTKR KLDTGDGDVWEGGIEDEEDGERAAKRRALENIEESGTPVVEAPKAEGQEQDLGNETQR RHGFGKWFRWW L198_05990 MAGLYPLDTPERVLKRVQAMEDMELPSLPSFHQDDLDYDTMSDD SRGFSNTHDNLQSDTEENMETPHPLRQTILPSTASTASTAKAHSPADSYSTASGSPFP PLHSRSRDETPSPYMPTAALTSTPSSRPSRSQSRSLAGSTAENTIDTARPIRHERTRS GSDSVGTSTGTKRERWQTPGEMSRSFSGDEIAASEIETERSDSSIHDSQQTQEHQSVP DLPSMMVAEEEGTPTTRRLSSGNVQLERPHRRGTTNVTPSFDPIHESDAERFSLPSPR EELAAAAQIYDQADIPQQERIPSLSRSEVTGTDYSVNSTPEGPVRNHVSMMTPRPDAP GEYEVTDEYSFHPESHDEGENPTLDYHQEYEYTPQQEGDPSDMSEDYGTPAKQSSPVK LAQSSSEHSTLGTVRATPRVARASVAATPVVLQDITSSRQNAPFTPSPNATPKSDFET PRAPLDDAERRKSHVLAVLNSAVPSSRMRRSVRGTPHPLRRVSTVPASESIAEENSGS DFSPATQLGTLSRLTDASGNESFVSVASSADLTTDARASRFHHLSRANTSFPILPVGP STSAGSLKGLSDRAPNSKIHKHLNEMNKQLLGTNAELAREAEAWRDEVVRLSEVLKEA GIEVGNSDVLANVGLDRSGSRDVSQRLPSPPCHLPSQSPSSIDGSGVISRPSLRHRQS ASPSKGSQDLLDGLSPEERAAVVQEMAERLEGLEEDLMAREQVIVDLRAQMDSAQQSN SPDVHVLQSRIDDLALQLEQTEQARMDLQTQFSTKTEEHALKFGEICSDFEGQVQSLE KDLAFARAEAERLKSERERLESLTSAQGSGAREEELLKQVRDMEVELEVVRGDVRRRD NEIATLQNRANSARQEKESADRHVYDLERRIEELEHLHRDAEVRINEAEAALESLGGE LRQACNAQAEVENNLARVSQDLDEAHRCKEEQVSHLNEQQRDMQELHEIIEKLEVELE KAEGSELVKEELERVQTELDDVHQVLEEKGKEVDRLRAKLRVANPVSSLHSSQRSLSS TATAKPPLPVEESADSSFVVVLEDRLDEAYREIGRLKREINATPHRQSAVDIRDAKIQ ALEREKAALGARLAIVNQSSTGTPLAQAKSEMMDAGSPFKRPTPFSHKTIASLRAPRT PGSFHEPSWLQTTIQSNNEPVLQAQVEYLQHELREANNQLDHNFSRLESAGFGAVELA EKLAAAEQRISELEDEIRALRQRNKASLAVVGAQRDEQDREAESKLQKALAQVHLQMD KLKSDISAERSRVQGDNRRLQDLVSEMRLKSTAEVESFKSEMERMAQNSENDVRQARE EAFKLGREKDEMKRELQAIKSQSSQLERQLSDEKRAYDSLSRRNAQTTRDVPTAVQLQ SLLAHKEESIRALESSLHNAEATTHHLRQTLSERELSLKQAESRLERFRQERQMVARE LGEFDKDLQRHKQESDAFGIELQVLKKEQTEKAGRHAAELSALERGLQDAKHRESRMR RELMDAQEKLEEVEGWRQSHECDTRLSEDMAKQKARFKSQSRELAAQIKYLKAKYTRE SAFRNALALQKRYLLLLVGGKCLNEQATIRAIAKMGFPIPEPPRPRRTFKAVALAVIS VIRAKNTAQRWRSEVALKNSTVATRSERRKVSDNKG L198_05992 MSKSPITCHGHGAYLLNTVLDASQGKPAAGVEVRLELVSLSGES TESSAKVLSSGATNSDGRCSDLLSSETKLQAGVYKMVFVTGPYFKATETKTFYPFVEI TFNYEDPSQHYHIPLLLSPFSYTTYRGS L198_05993 MSREPSSPTTPIRPSFHTVPTTRIIRTYEEIRIPVKTEEVYPEL ECTICTHVMGAPQSLVPCGHSFCGPCIWEWIKTQNAATRAGPPTCPHCRSPIDLSRPI IPNILVDQIIDRKLQKLEDKPEKESLLVDRQGKLKEWREILSTLPQPSRAPPAGTRQN AAPLPSIVDVDNRRASRHFPALGAPVDVGVSVPMVQFNDHGQIINTNDPAFQLRMGQL RSRALDNHLLARDQEFAALQATMEGARTNHGTVGQPSAGSGGGVAEQQNGDGVALGAH HASSHASGPQPNRRRSRLSIDSGRPYPRERTSATNEPPQSPQTALRHATANAAARRIA LGGSEHMPIELPDSEGE L198_05994 MASHNLDLLFDPSIIPASVQDSLGDDLYLRPLASTDFSRGHFEL LSALTVAPTQSPSAYKDIFDEMKAAGNVYFTIVIVHRQTDQLVACGSLIVERKFLRNA GLVGHIEDIAVSKSMQGRKLGLKIINSLEDVGRARGCYKIILDCSDKNIPFYEKCGFK HKEFQMVRYMAEPADGRVQTPSKL L198_05995 MPRRKNAYLEDGSDSDVSLSGQSDGAYDSQEDGESKAERRLFEY KSNKRRRTDGRSGKEDAWEGIFGEGDEDGGGRGGRGGISGRGRKPGASSSRTDWTKAP TFVSNGKKTAEEDELQALERQEHAEEEAAHRASSSGSDSSSDSESGDAEESKAPSPRV REEEEEEDAPRRGMGLGYGRAPATATNLDEAPRGGLGARGAALNPADSPEPIDTPLAF GRPPPSLTSTSGPPRAQRSFLPKAPEPSAVKQVDLTAHERAHFSRIKSSFGAKLLAKQ GWEAGKGLGKDEDGRAVPVEVGKVFKGQGIQSGMRTEDSKREARRKGELSDEEEEDVG PSRRRGRAPKASKEKKEDTQGWKREKKVKVKVEHKTYEQLLAEAGDGSVAQPAIGLVL DARGGDLKEVQSLSSLSLSNWAPTSETTRLPELRHNLRLILEHTNGEVTGMVKEGKVV QEKRRWALREEERSRAKSEHAEKELLRLQQIQQLVQSINDIATQQTATDSPSLDPLEA NFNTLINSYKDEYKTLGLDDVVVGAIGQVLRDEWAEWQPLSVSSDVLLTSLKTWRKAY NLPTPTNDDDLAVTYDEENGWEAEKKEEENGGRMMTAWEALLWASWTPKVRSAINNDW EPSRPDKAVHLLESWQPILPQFILDNVLDQLVLPKVKAAVEQWDSRRGKSAGSLSTIV FPWLPLLGSERVKDVVDLAKRRIRSVLRNWAVKDGVPDELTQWRRAKVSFEWDKLILQ FVLPKLGACLRDDFAINPRNQDMVPLQDWVLPWHTLIRQSNFAHLLEAEFFPKWLNIL YIWLIQPSCKHNEVASWFTWWKERFPQAVLDIPGVAHGFDSGIQLMGEAVGLGADAPS KLQKPVFKPLPHKSSKASKAPKAKPAPAVAGANIVPDITFRSIAEDYAVQNDLILMPV GRSHDKTGKPLFKVCKGVDGRGGVTVYIGENAVFAHMEDGEYRAVSLDDMVKRASQ L198_05996 MQPFHPHTPAHKLPYAAPATPDSPFQKESHFSSDPDLSQKRQAA DQIVTEYEEKRDKLAKAIDSSVYLLADLKNFNGEQWTVRYPHLKSAESVQPGSPSRPG MSRRTLTFADEPSHSTDVVLSSTPTARQSLKRSISVAPASSENSSTESATLVSEPASI EDNEDDFSILRLDLNMGATRHAKRLISSLEKSSISALLDNRISASLDHLTSLQKRIYD SHSRVLVTGDLNAGKSTLINTLLRRAEVMPTDEQPLTTRFVEVVSAKENEDKEEIHIM DQGEYDPSDKSTYSVMDISKLEELVTDLDSDASSPPVRVFLREAEDVNNPSILHNGVV DISLVDAPGLNRDSIKTTANFARQEEIDVVVFVVSAANHFTLSAKEFIWQAGHEKAHL FIVVNRYDQLKDKARCRRLVMEQIKQLSPKTYEDADSLVHFVDSAKAALGVAPGEGDE LDDAFSHLEHSLRSFVLVNRSKSKLGPAQNYVTHLLADVELLASANSVLATKERDIAR NELDRIKPVLEKMKRGREGLEEGLVQEEESVTEASSSRTKKAMTTALERVSKGELAAP GPGLELPSYPGLLGVWDYAAEVKRVLLSSLDFAVSLAENDSRKLTADGVDKVIALGDA HLPEDVERSNRKFNPQAMFTARPRAARRPSGVSTIGLGLANQTHLKDVNVTDIFDLQH HIFLARSSLPSHKSGSLDLIPVSAESFGAASLAFGAFSMVSGKTVGLRTVIEGLVHFS DFVSDPSTRRWIGPAVGMAAAGAVAYIVYDLPNSIPRNVGRHLQYTLLASSGTATDDD ELPFADAQSARISREVRKVMRLAAWDLRERFRAAVDARGELVKESEEQEKKANKALKW FEDVEKRVDVIREEVGIKV L198_05997 MGIVDKIEDIEKEMARTQKNKATEYHLGLLKAKLAKYRAQLLEP EKKSAKGEGFDVLKSGDARVCMIGFPSVGKSTLLSKVTKTESVVGAYEFTTLTAIPGV LEYEGARIQLLDLPGIVQDAAKGRGRGRQVVSVAKTADIILLMIDATKSAEQKKQLEV ELEAVGIRLNTSPPDVVFKQKQAGGISLNCTVKLTKTDERTIRSILQSYKIHNCDVMI REDITTDEFIDVLLGTRKYIPALTVINKIDGVSMETLDQMAREGDGRTVMISCEIDLG LDWLLETIWQELGLVKVYTKRRGENPDLGDPICLRQGATIETVCHGIHRGLASHFKYA LVWGKSSKFNPQPQKVGLTHHVQDEDV L198_05998 MPDFYPSISQVKTIRVPPDARTGYVHLNFFAVFDHEEQPQGQWE IWTDLPFDDDQGNPPSHLGEWRAKAFHAVAVPKGAVNGVDKANGVSTTSITIRSLDLP EPSLPPATLSTTLVISVELNRDFAYTFRHVHPDGHIHWQSGMGGNGVVRLKASDKADE TGPIKSGPYPPYDDVFEDSLDWDWSGIAVTLHEGKKRIQTPRLNAIPHKGRILPTLVL LHGPPTPHLAPFARLSSTKLATPSEDASASYQAIISPIDNPLIQFGKLPTIGMEGWSP TYALGVDTTPTEVLRAAVGSAKRDQSHLLVAEVKQGKETSQNVGALFYISSEGDSEPT QVIVDAVYHQAARDIAIEIPDRLSGHSPLLIVSSDSSSIPSYVPGRDNNSARHIPAHI YPGAAADVLRVSEFFELRGAGADDTIWIAVPGANSAELGEKEVEIHSIDPVDNPAYFD ITKDLDVQSNNVLDGFVTPQTELVPPRSATVPSSTDRKKQGGSWILGVIARFFESIWR VILWPFRSKIVPPRIETEDTNGQTITDERTPLLGSRSTASTAATPGAAPPNPQTPMLS PIPHTVAIRSYARLTFNATTPLRFFLPPGSASVEERLKFTFKSEEGKDWEDLKVDVKR HGEGKVLEGLADVKLKEIMIERI L198_05999 MAKWKPEPTGDDTAKYSLSAEFEPAHSGDVKAVLAVDDDLLVSA SRDSSVAVWKLGKERFDLVALLEGHGAYVNSLAYVPPIAEDDNRYIASGGNSGVILVH NLTTLQTTSRACLIGHALNVCALSYSSKQQKLISGSWDMTARVWSREKTQCGEGEGQG YGEWKTDVVLEGHEQAVWDVAVVEGSTERQYLTSDRLVNLWDEGGQLLQRFKGSPEPV RSLAILPSNDIFVTACNDGLIRLWDFTGSVLQVLRGHTDYTYRVIVGTDSQLISCGED HTARVWHEGEEKDILPHPCQTVWCVASLPNGDIATGGSDGVVRAWSRASTRIASEDIQ KSYRERLPVIPDRSVSRAPSLTAAPEAAAPVTMTIDIDLRYLRHITNLNLMRC L198_06000 MYQPFYRIYCLFAELGEHYGKGNTGESMCGSTHDPKHLTYESNV GTSKNIVVADKQAMRYLKAYAWFMEGIVPSPLGKFRLGAASVTEVFPFLGPVVVFLTS MTVYCRTISNVSAPFWVCKEMLFPLLWSIFFSFFFPEVGDIAASSISPSRRAARKLKY FLKLRGLLAKDQKYDDGLYISTRLSDVFPELPGDGEEEHEWAYPEKLREELYGGKDFL HLVGRRNGEDKV L198_06001 MDAETNNQWRFAQCFGDKGEVEDITEGKYPGSLLSDIISTVEFD HTGDYLATGDKGGRVVLFERNEAKRGCEYKFYTEFQSHEPEFDYLKSLEIEEKINRIK WCKRQNSAHFLLIIDKTIKLWKVFDKQIRVVAENNHSEGYGQNTGPSQPPLRLPRLTT HDSITAAVPRKVYANAHAYHINSISVNSDGETYISADDLRINLWNLDISDQSFNIVDI KPVNMEELTEVITAAEFHPIHCNLFMYSSSKGTIKLADMRDSALCDSHAKLFEEEEDP ASKSFFSEIISSISDVKFSQDGRYILSRDYLTLKIWDIAMDSKPVKTINIHDHLRQKL CDLYENDCIFDKFECTFSGDGTQALTGSYHNYFRIYDVNSDNDVVLQADKSAFKAKKI GGARGKVPGKKEGLQTEGIDFSKKILHASWHPRENTIAIAATNNLFLYSTLS L198_06002 MAAIDSSWTQDDESIELATPDFHFDWLKDSRSREHSPALPSPQL AQLSLSSRSPPPDSATPPHPPFHSARSSLSSANVASLTDSSTISPSSFAPTPPVSNIL KNSRSLSQQNISGSGGSSANSGRIYGGARKFQRVVSAPVPPRQEAKIDQEPETLSGTS ANATIRPNLTHTSSASSVNTLPSHSRPSTVTPAVDRTLPNARSTTGRRMGLLAKFGGP AKRRVDANDEAELAEGDELPGVREETPPLIDSPPQRRGSADRGAPVTYPSLPTAERTQ PNPPLKGYRSAPLAQGSTSNSTIREVDVSQGRQPISRVERDHRSIERHPEPIAEVQED RPFRPFGKPSEPPARRGVYPDPRQPTQRSAHQHSLSEAPPDPPRSAPPAPARQITPPY PPLHAGRPAPNIEARHDYPSASQVPQTAPLPLHSLPEQPVAPQPVALQPKSLFHVNNV PYERLQRLGKGGSSTVYSVLYSAPKKKIIYALKVVQLERADAETYQSYTNEIDLLKRL RGHARVIQLMDHQITFNQYNRPHRLLMVMECGEIDFAALLDEQRGKAINMNFVGLYWE QMLEAVQAVHRENVVHTDLKPANFVLVKGRLKIIDFGIAKAIANDTVNIQRDQQIGTV NYMSPEAIQRMNNQKVLKLSYPSDVWSLGCILYQMIYGSPPFQHITGGPLAKMGVIAD ANHRIVYPEIAVPKTAVGFGLDGHPLDPASLAVPVSPEAIDSMQRSLAYRKEHRLTIP ELLQHEFLKPKIRAPALPAGTTPITQEQMERLVNFILTEHGLPDLPEGNHTAEDLFSQ LQAQNSLSQ L198_06003 MAPSSLNYFSLAKRGCAYHSGDTSGTTYDSNGKACAKLSSGDKV AIAVVAGCIGVTIAILIAIYARRYFRSRSREQASPSFATQRPMSFAKAPLMTTYSQDN IPSLSPSLGYYKGSGAESYKSSSDAGSIRSYHSHPSSHSSHSTRSEMLYFPVLSADGH LLPPPPASARPAEHDYEEELRPLPSPPSDSDDGVSLYAPVPKTWEQQHPVPNPFFPEV FNGESYASRR L198_06004 MSTATATNPDYQTKVFTADGILFDMDGTLTDSIAAVEAAWTAKA EELGLEPEDVIKATHGRRASDNLQDLVPGLRQEHIDREVEKFERSILEFADTPPRRRS SSSSSRSSHSRSMSNSISLSPLTPMTNGTPANGRAAPNTVQPLNLSDLEPKAGASQLE EDVFEDELDEAVDMSVRILPGVSELIKSLPGDKYAVATSGAKTYCHGCLERTGITIPK VCVTADDPRLLRGKPFPDPFLLAASDLGIDPTRAVIFEDSPSGILAAIRAGSTVIAVC TSHKREQISHLGAHFVVDTMDQVGVKVLEDGQLEFTVKH L198_06005 MAVKVGINGFGRIGRIVLRNAIEHGDLEVVAVNDPFIDLEYMVY MFKYDSTHGRFKGTVETKEGKLYINGKAVTVFGERDPANIKWADAGAEYIVEATGVFT TSEAAGVHLKGGAKKVVISAPSADAPMFVCGVNLEAYKPEYKIISNASCTTNCLAPLA KVINDNFTIVEGLMTTVHATTATQKTVDGPSHKDWRGGRGAAANIIPSSTGAAKAVGK VIPALNGKLTGMAFRVPTADVSVVDLVCRIEKGASYADIKAVIKKASESPELKGILGY TEDQVVSTDFVGSLESSIFDAQAGIALNDNFVKLVSWYDNEYGYSRRVCDLIAYIAKV DAKAQ L198_06006 MPPNETKPGEPAPVAPMPITSKSLSVFFDEHSKPLNSEEASGRP IFCIAKEGKIILGPRPPVVDLPTPLCTDDNT L198_06007 MLSGPTPTAPASDGQTSSQSVGFNDLRVILESMQRHQAQCAQVD PPKLPMLSSLCASNLGVVCYLYDLQKYFAEYDSRFPNGTLSAPSGGQANKSITKIDAY HYWSMVTGATCVSWEGWRDEFKKKQALSPNWKSETQ L198_06008 MSQPGLPKDEPDTSALPIGDEKDDAKKEDERGKGQGDAKNGKEG PEDDLTGSVASHDSSINSTPRPPIRQDTPWPQTAPDPIVLLAMMANMQPQVVDLLANQ KNTPAPAPEPAPV L198_06009 MTYFRGLRANIRVILNIANITREDVGKIGLNGRCSLLAMKGNNS SRVESWVINDKGEKTGKWRFGMNRTTFHHKFVKPDSTLYGARINKTCGERGVSRKTDV DKDEGYW L198_00716 MSAIFHGIEALHNFSLNIFIFTLLPTSWNLQRPTLPCCGAWFKI SLLRKPVNEAGRGDGPVTVGQLVDPRPPVDPQASLPAPSPGGRQTRCGLLKGPVAQGT RKSQALRSRLQRVQLPRGLEAAKEGQAGT L198_00717 MSMFFGLPFHATSADLAACSKTHWLCAAPGGKHSRILMPCEMER KSMKMEMRRKEMGLLVMGEGMSVEAKVAVAPSEDEGEDKGMDENEGVGKKVAVGEVKA MRSYKQQLRILSWRAGLPRHRP L198_00718 MGISPRAALLSLLRSCLCPRCFPRLLLRLPPHPLAQLKNSVAAV NVIDKELVEELGLEARQMLPIAMRMADESIGPQITQKIFMDILGAGQERRSRVTNGKE Q L198_00719 MTTVQKIKDIEEEMARTQRNKNTEYHLGQLKAKLAKLRRELIAP SGGGGGGPGIGFDVARSGQATVTVIGFPSVGKSTFMSKLTGTHSEAASYEFTTLTTVP GQMTYNGARIQILDLPGIIEGAKDGKGRGRQVIAVARTCNLIFIVLDVLKPLNDLAIL THELEGFGIRLNKKPPAISVKKKESGGIAITNTVPLTKIDAQEIRAVLQEYRMSNCAV SIHQPDATIEDFIDVVEGNRFYIPAIFVLNKIDAISIEELDLLYKIPNSVPISSKLWL NVDELLEVMWDKLNLVRIYTKPRGQQPDYSSPVVLQRGKCTVEDFCNAIHKEILKQFK NAMVWGTSAKHVRGQKVGLDHVLDDEE L198_00720 MAHPLTQQPPSSLAFSFAARPSPLSYPFGHPPTHSPTHSPTPSR FAAAPAFSPRPPVHQSLKRPKRQRSPSTSPPTSPSSPPSKQRSGLSAAHNAASALSAP VSGLEQQSSKRTKLHASQLGQHQQGGVDVGVMLATLPLSAHLPILIQLLEANPSLASS VLDMIPQQDLKSCVKELGRRFVDIERVGGSISHPSVIPGTIAEGRRWDRVVNEVEVYC RTATTYINYLSTAVQISNDFQSLFSLLHPLTSQLVSILSIIPSSHVNNPLPGPAPLVL ELAKFVLSTWSSWVTGLSSDVNDKGGMHPHSLVAHWAETLDKLVSTTTSLSPSQSSGS SHWSIPVPSQGAPCPQESELVVSFREALSQSRDQFATQVGWLIGRPSR L198_00721 MFEFILTLLLAAVLMAAIMAASVAITMPFSGALVRLRANYNPHA VGLGQEARVGPTLHTLLGTLKRTKELEGWWGIWKGTFPTLVYSTLISILSIAFVGGSA TKGPKNTYSVPEAGGVSMAIFTIILTLIALPMTVIINRSIITPYKLPNSPAASLRILL TPVEISKPYLLYLTPGLLATTFAHALCATLIARTMRVFFLGTAVITEETTSDIAWWRW LLYFVWQCLATLWFTPLEVIATRLSVQPNTGGAMPVEGAEDEPLEGVSFCGTDEDVIG LRPATEPYNGLLDCARKIVEEEGWPSLYRGFWFTMMGNVFGSTMA L198_00722 MPNILVTRHLGDHAMAILDKSGIDYLLNTEDAAPSREWVLKHIA DKDVRAACIMHSQPSDRIDDEFIRTANPNFSAVSTFSVGYDHIDVKAANKRGIKVGHT PGVLSGAVADIAVILVLCTLRRINEGINLVKSGEWKNQPWAPFVNCGLSIGHPSLTIG FLGFGRISQAVVRRLLAFTNEKEPPSIIYNSSRERDNQAEIDSDFSAKFGVQTQRVEK DVLAEKSDILVVLCDLNPSTKDVVNKNFFARMKSTAILVNVARGPIVNSEDLHEALTT GKIYGAGLDVVTGEPNIPADHPLLQLDNCLVIPHLGSGDLDTRNAMAELCVRNAIAAV KGEALPAEVQV L198_00723 MRSFSYALLGVIAQAALGLASVIHSDGGRDQIVLADHVTPQEGI LEYFPSHFDPSSARHRPLCILHALGDERDDADNFEKAVDKCGRGGIVKLPDANYTIGR PLDIYLAHSTLDIHGWFSFSTDIPFWIANRILFDFQNQSLAFVIRGHDYVLDGNNKGG IHGNGDVWYEYAKDFGNKFGRPMSLAIKDSKNVVIRNFSIVQPQFWASLVWGSENVYF RDFYVNATSFNPESRSDEKNWLQNTDGSDTYQSYNVTYENFVYQGGDDCIALKPNSTL INVRNVTCVGGTGIAFGSIAQYAGVKDIIEDVHMEDVKLYPSNQCPGYQGVYFKSWLG ASIGTPPNGGGGGYGYCRNVTVKDVYMEDIWHPLVVQSDLTYLEFERDKYSDTGLFEW YDIHLQNFTGTALANRVAWMSCSKLTPCHDWTFKDIAIEPGKNDHPEIQYTCNNFVLG GKDGLNQCHPSNSVLETENGGTL L198_00724 MPSLSPPSPTQRGRLVSKEAVNSSLKTLWAVSHTFLYGFAITSL NGIQDSVMCRRRPAAAFDEELGLSSCLAMTSSQFGLVVSVFTLGGLAGSLVFHAISQK FGKTGSLRVSALSVLIGSAVVGLAGNALVMGLGRILVGFGSGISTACIPLFLAEIAPP AIKQSLGIMNQLSIVAGMCFAQSLSFPFSEPGSWRLVFVSPVVIALAQLCGSLLVMDK DDLSESTVDEEEGLGSQSEENLSLRELILSRDEDVVRSFHVVVASQMSQQICGVAPVM YFSTRILSPVFQGKAKLLALFVVLIKLPITMIPAFLIQKLGSRKLLVYPTSMMCIAAL CLALGINTDASALSIVAIVLFVVSFSVGLGPVTWVVLPEVMPHRAVTAAGSVGLGLNW LCNFGMGSIFLPLQERLSKGGTQEGNIFYVLAATCLVSTISMAIAFRRRDRILLQ L198_00725 MADNEPLPARLTSSIRHTVQPPENELARWRRTFDRFAAAQAEGK KYLNPVQFVDAIAPTDEGFSKIKKEQYANLFRVADSSRRGLVSFEDFVVFETLLKRPD ADYQLAFQVFDVDASGSIDFDEFKAVLSANTAASGIPFDYDCSWMKLYVGTRGDRHVL GYHEFTQLIKGYQGERLRQAFHFFDKDGDGYISPEEFQGIIVEIAGHKLSDSVLARLP TLCTMNPGKKISYSEVIAFHNEMDTVELIIEHAVQKSKDGRIDVSDFLNEAAGRMRYG MFTPMEANIIWHFASRGSVGSGQRLTLADFQALLDAKWQPPEATASETALKSNGFLGE AAQSIYNFVQGGIAGGLGAYAVYPIDLVKTRLQNQRSTVVGEVLYRNAFDCVKKVYAN EGGVRAFYRGVLPQLVGVAPEKAIKLTVNELVRKKATDPETGRIPLIMEIVAGGTAGG CQVVCAFPVVVPILSCPQAGEITRAEGGGAVPRGAFHIIKQLGLIGLYKGATACLARD IPFSMIYFTSYAHLKKDVFGEGRHGKVLSFGELLAAAGIAGMPAAYMTTPADVVKTRL QSQSRAGQTVYKGIADGLVKIFQEEGLRALFKGGLARVIRSSPQFAVTLACYELLHKH FPYPYAPAPAIARPSRSAQQDISRIRARNALRILLDCSSQFGMVDANTAAKGVSILPK SLRP L198_00726 MFPSTVALRSSAVAIRRPAAQFATQRASVGSIGLGVAGKRFNTN TTASGKPKLRFRFGLRDIPVETYPVVFVVAAACVGAGIALGRQIWSGDLRLKPSGPAK P L198_00727 MAPGYSYLPKLDLKDISVEAAAFGQLKPASNKNSDHSVQAGQAT NPSAPSSQSSDSIRSSSSSEPDTPASTTNLLANENIMPQQINSLQRALTEAQKEKNKL AERLGQERKRNKDLLLRIQGDRIDPAVITLLACRLAEHGGKETDQSNGVAEVAAALID EVDRAEVCGGGKDGQMVVVGDDVEAARRDWNEGKEMRIWNLEGVVEVMLGRVDSLELE VSKLKRERGRETSLGMSWTEDMEWDEEQETLGLVESTASQLSRRLDKLESDVSTLKE L198_00728 MSELKKPVRKSSRHPPRTADIPQPSPSPTPLRPVPSQLLYPLDV VKTRQQLETGKSVNMIQVFKNIIAEEGPRRLYRGILPPLMLEAPKRAVKFAANGSWGS VLTDNGQRPTTQSIAILTGCLAGATESVVVTPFELVKIRMQDKSSTFAGPMDVVKQAL KKGGPLALYQGMESTFWRHWWWNGGYFGSIFAVKGLLPKATSKKQELGNNLIAGTVGG FVGTTLNTPFDVVKSRIQLHGTGEWAYPALIKVAQKEGLAGLYKGFAPKVLRLAPGGG VLLLVVEALSTVFRGYLGPPYV L198_00729 MFFSRLITFALVSLSVTTLAIPTSTTNSLLDSERYHEDHRRHHP SSGTNSHASASASGVKRKATQTAVATFAVLGAATDLARCPVEQMACPITSLTESELAA AGDDVPWECISPQEDLYSCGGCATLGTGIDCTAIAGVDSVSCTAGTCLVHSCQSGFLP TSDLQACNVDSNTTDSTLIA L198_00730 MGIFGSSDPVKQAEKHLAKEAKEEAKNIAQAEKSITQAEKAEGK IAKSEAKAEKQHGKASKVEGKAAGVLNKATTKHDAAAQKENVAASEVNKQHSAHNTIE RTIEEKRANLQNLEAQHKANESDRDSKLQQIASGGSGSHHKGEAAAAAGAGATGAAAH HHNKNAAVNEPQSTQTQGAPTSGVSQNAQGATGGAYGQQQEAAPTSSTGYGETRSGNG TGAALGTGTVGGAGAGALAGHHGSSAQDPSLTSGSAAQQGSTGAYGAQQVPASSTYEQ GVPQSQGSTGAYGAQQGTGQGLQQGTGYGTQQSTGATSGPPSGLHSGATRGGYESGVG GTTYTGASSEGQQAGSQQVGSQGYASGGQSGYASQGVTGQSATHAGTGTGHATSGSGT GGYGGISQQGVGEGERITQAVRQL L198_00731 MARGETETSPLLAPPSPEAGALTYTDRQSISPAVHPPDDNKLSF NRVGLNPSTFWVLCISMWVCSFLNAFDGVVVATLLGPISSSFKATNMASWLGTSYMLS VCCFTPIYGRLCNIIGRQYSMLLALTLFTLGNFFCSIAPSMNTLVAARALAGMGGGGL SSGNTIMSDIVPITHRGIFQGLGNLAWGAGMGLGAPVGGVISDYLNWRWAFWFQIPIL LLGVYLVHANVRYTIPSSPASGSATPNGTSAQTPYQLFKRIDFMGCILLAGWVGSALV AVSLKTNSTAAEALDWGHPIILSLFSASAVLFVLFLLVELKWAAEPVMPFELLVSRTP VTVAINNFVLSAQNYATLYSVPLFFTAVRQMSTSNAGAHLVPGSIMGMFGSLGTGIIV RYTKKYYWLNSFCALVGVVGTVLIATWDTTTSEWMLWSNMAFGSFSMGAVTTLTIVAL IADVGPEHVAVATSLSYVFRTIGQVLGVSLSGALTQAVLERELELRIQGPDAEKASPI VFLEYQSLQTVKIIAAIRESSQSIRYLDEPLKTIAILSYQKALRAVFICVVVLGIITF LSGLGIREIDMHQILAKDKQQDDEEDGIDEQV L198_00732 MDSKLYLKNALATKQPGLGFWCTLPGASSVATVLATGGFNWTLI DAEHGMITDKDYFELVNTITSQGASPIIRVPWNEEWMIKRALDSGAQGVMTPMCHSAE DARRIVSYSKYPPNGTRGYGPMFCTPVFGCKGSEYDAGADKNLLVIVQIESKQGVANA EEIAKVDGLDCLFIGPFDLHKQMQVPFGGDEHEAAIAKTLNAAHSAGKIAAIFCPNGE VARKRLQQGFDMVSIAVDSACLAAEMERQMILALGAEKGKGDRSYS L198_00733 MFTPIETLLGGVFLHLATSQFLTDTGRVFGISSIVDGAVLGQHE GWRLAATGGLVVGPLVGALTGLTEVYPGHALLAVQAIDVRRIAVAGVLVGLGSRLGSG CTSGHMLCGVSRLSPRSLVSTAIFFSTAVLVANLFPSSPVPSLPTYALNIPSVATIAA IASSTVAAKLLYKAITSWTFSTATDAPSAISRLAPYFLAGLTFSLGLSISGMTDPSKV AGFLRFPTPFAFDPSLVMVMVGGVLPNAIHYASTMKKAHGKPEPALAWEQWNVPSRRD IDWRLVMGAVVFGAGWGLAGICPGPALVSLGEVVVSALGGGVSSAAAGKVSTFAISML GGMALEHQI L198_00734 MAAKYDPVTLSLFSNRFICTAEAMGNSLKNTAISTNIKERLDFS CAVFSPSGDLVANAPFVPVHLGSMSWSVKYQLKLHGKYLKDGDVLLTNSPMAGGSHLP DLTVITPCFDENDPTNIIFFTASRGRDDIGGILPGSMPPTSTHISEEGANIQSLKIVS DGYYDHDGLYKAMVEDPSKYPGCSGCRNFNDVSSDIQAQIAANNKGSSLLRALVAEYD LETVHTYMEHIKNNAEQAVRNMLRAAAEKAGTNVLHSVDYLDDGSPIALKVTIDKTTG SAVFDFEGTGPELRGNLNAPICVVHAAVIYCMRSMIGEDIPLNAGCLAPLEILIPEGS LLSPSPESAVCGGNVMTSQRITDVVLLAFKACAASQGCCNNLTFGAGGKDPETGEVIA GWGYYETIAGGSGAGDGFHGTSGTHTHMTNTRITDPEILERRYPVILNEFGFRSGSGG AGKYNGGHGCIRSLQFLQPLQVSILSERRARGPYGLEGGASGAPGLNLWIKQPQDKAG KVRTINVGGKGTMKFGAGDKLIVHTPGGGGYGQPGETDVAELTEGRLRAEAREWEARG TLADRANVDF L198_00735 MAHSVPPHSIRISIDRGGTFTDVHASVPSTDTSKRDEFVIKLLS QDPSNYKDAPVEGIRRVLERVTKEEYGRGQPLPTDKLEYVRLSTTVATNALLERKGQK HALLITKGFKDLLEIGNQARPRIFDLNIKRAKPLYSTAVEVDERVTLVGFTSDPNNEQ NALVFNEDGSVKKAYSGVGADEQESFLKGRIVQGVSGEAVRILQEPNLDAVKNDLQRL FDGGYRSIAVCLAHSYTFPDHELSIGRLATEVGFTHISLSSQLLPMIKMTTRGQSTTA DAYLTPVLQAYLDGFYSGFEGGKDGDLHVEFMGSDGGLVDLKNFSGLKSLLSGPAGGV VGCALTSWDEEEKAPVIGLDVGGTSTDVSRYAGRYESVYETVTAGIAINTLQLDINTV AAGGGSCLTYKNGLFRAGPESAGAHPGPACYRKGGPLALTDGNLFLGRLVPKYFPKCF GPNENEGLDPDASRDLFEKLADEIKKETGTEKTVDEIVYGFVQVANETMARPIRTLTE ARGFKTDQHVLASFGGTGGQVACEIAELLGIQRVLIHKYSSILSAYGLALADRVIEYQ EPASDTYSSATQPSLFNRLDRLEAKSRQVLTDAGFPPDKIQAERILNMRFDGSDTALM VLDDGSGDYEAAFKKAYKAEFGFLLNKPIIVDDVKVRGIGKTFDSLGPSAFQEAKSLR PNTLGNDKANFHQDCYIWYGGSGRREDVPVFELDPLKPGDEILGPAMVIDDTQTIFVN QCGMVGAVD L198_00736 MSDLAALSNSLAQADFTSIPMVDLSDARSPEFEKRKAVADNIRD ACLNAGFFYVKNHGVALDVVEKTYEQSKEFFKLPAEVKKSVDISKSENFRGYMGLLTE NNDPTNKGDMHEAFNMGLDPEVDPASFAQDIKEGELRHSENLWPEEKDWQGAKVFKQA NLDYYKQVLALGQSLFPLFALALNLPETFFDDKILHPAAIMRILFYPALAREEQDKLT PGIGAHTDFECFTILRQDDVPSALQVQNRKGDWVDAPYIHDTFVINIGDQFARWTNDI FVSTRHRVLPALAKDRYSIPFFFGCDHDVSLIPPETCVTKDRPARYEVMSAGAYVHMR LSDIYGAK L198_00737 MVQLEKVSSNKVAGGFVTKYKFPSTSLSLPTQFNLFVPSNTSSG PVPVLFYLAGLTCTEDTAAQKGGFFIKAGEEGIALVYPDTSPRGAHVEGEDDDWQLGT GAGFYINATDDKWKKHYNMYDLVVKELPEVLKEADLGLDFSRWSIMGHSMGGHGALSI YLKNPGLFKSASAFAPICNPSVVPWGINAFKNYINARTRSNPPSEWLEHDSTVLLERF EGQANILIDVGTDDQFLKAGQLTPESIEKLGKPGVEVRRQEGYDHSYFFISTFAPDHI AFHAKALKA L198_00738 MAYSPPPILLRPMPLDHPPPARLRVRLRLPPIASQPPSYASPTI DPSAPGSSRGRITARGQPRKKRKRQGSDTEESDYSPTLSMRGGGKPPKPKGKRNAATP APQHSSTPPVQGSRRTTRSKARRADSPSDLMTTSLEDIKMEDASVKSEIARLGLSLRD VQGDGNCLFRCLSDQLYGTEKRHAEMRRLVCDYLASHKDTMEGFVVPFMKTGEGFEGY VSRMRQSKQFGSHIEIQAAARIFRRDIRVVMSTASFTIPWRAEPPSPNDHPPASDDPD EHLAEVLPESVPPPREGRTMLWLALFSQAEHFQSIRRRGDKENGLAAVEDRLAVPHQR DVSEAARRARGELIERDSKDAPQSRSSLVSQVLASLPSGHGITPQQVENVIARVKGNY GEAVEILLEEVDLEVEDGSESSVNDNHRVEEMLRERPSLTMAHSAGSSVPSQEDDLRR RSSYRDPNPRAPSPALTSTTDTRSRSNSLSDDNTREDSVAKSVSSSDTTVSEGSELPS TIQDLTKARPGRLGEDLKGMVLGSREGSSVSESKAAPASSRRESLRPRSKM L198_00739 MSLNNPLNLLLIPPILYLVYRVFVPAALAEEDPVTEYTPDQYNW LPAKHPDVLCHKKYTPQELSKYDGVKTQRILLAIMRAGDDGKLDPNGERTVFDVTAGK TFYGPDGVYGNFAGRDASRGMAKQSYELDMLVPLEGPLDTLADLTPAEIENMRGWHQH FEGKYIVCGEVVDAL L198_00740 MLAANARPAALARRAPATALRLTRHPFYPTALASSINNRPFSQL PIPLQPFSNRATLQPPSLTVALARYASTKASNSTSPDKVAESAVSATPLPPAKKGSLP SKVTDIAAAEGEKAVEKKEEKKKPTGPLPARVWAVVKKEAAHYWAGTKLLGQEIRISG KLQWKVLNGGTLTRRERRQLRRTTIDLLRLLPFSVFVLVPFMELLLPVALKLFPNMLP STFEGELATSEKQRKLLRVRIEMAKFLQETVRESGLKADSVVRSDEFKEFFRKVRSTG ETPNTTDVVRVAKLFHDDITLDNLSRPQLVSMCRYMNINAFGTDNFLKHQIRSKLEKV RVDDMMIHAEGVDSLSTKELHNASQSRGIRFQGVSPARLREELETWIELHYINGISGV LLVLSRAFNFEQKGDDIMQSLVTTLSSLPENLLNEAELNVSDEATYKQKLEVLQQQQE LIEDEAEQEQEEQDARREEKEKKAAEEAAKREAEEAAKAAESEATEVKEESTAEPKQA VKEEEGKKEEEAPKAAEEADARMTKEQLSELAEALSILTAKSSIVKERDELKSLLEDN LLSEAESKERQEGDSPTVAVSKRVRSMVKKIDAQLEKYDEKVGSSLNLIQTTPHGQIA LVDLKKALKVIKHRPSDDMIDGLGKKLDVDSDGFVELDHVLELTQDHGLGILLEDEEA KNLINTGSDIRHSKDVKELKPKLQDILQE L198_00741 MSANWRSKLMDRVLQALLKLTPAFFSLTMGTGIVSILLYDFPFS AHWLRVLAVVIFVFNVVLFLVLLFANIARFVIWKGLFAATLTHPVASMFWGTLPMGLI TLVNMTALSCVPDGGIAWARLALGLWWIDIILSVAINLGIVYIMFTRQRHTPETMAAI WLLPIVSCVVAAASGGVVSNAIMPYMPQLARSTIIVAYIIYGIGVPLAMFVITIFLHR TIVYGFPAPAALTTLYLPLGPCGQGSFGMVVLGKTVRKLAYEYDIGFTVAPSGALSSE IASGAMRRVADAIYAGGIITSLILWGLGFCWYLFATTSLIDHWWHEDRSYFGRQSFSV GFTALTFPIGVWATASTALAIELDSWAFKIIGAVVSLQVVFNWSYVMVYTLYKAYDGT IFVAPELARFADKTPPLRWESRSERDKEIARDQRDIGSYRV L198_00742 MSLLVSNSSAAATAHSVASNMVMTATLASASATATAVNQTKIIA LENADQASTNMAMPGVIYLAAGGLLIFCMLLGHTRFLARLFASFPKSKSWPSPKSSGD LQVTTTPDLSARAVGGGDLKTGWLLSKGPERNIEIFSPCTPATAQTSGPFSPYHDARQ HGYFPSVARPRLQPPPHIVPLLHYVPWSSSLISMPFYRLPSHLKSLASMPALYVVLGY LLCSAFALVWKSKLAVASADSGYGSDFKRSGIVGVAQLPLVIALGVRGNVVGLCVGQG YEKLKLYHKVVGRVFFAASTVHSVGYMYTWASAGILQTHSAKPFAIYGYVAFGALILV TLSSLPFIRKGYFRLFKFCHFIGMVATLVGLAWHIDSAVPYCIAAMVFYFVSALCSLT KTRLATAQLHAVPSSDMTIITIPELRSGWRAGQHVRIRVPNLGLRQGLEGHPFTIASA PNGEGLVLMCKRAGDWTTSLFNLAQNLQTPDAEAQQGQGVTIVLEGPYGGLGNTMPSS FSSVVLVSGGSAITHALSIAHDLVLRAPTGSVRARTVDLIWMVRTEQEAKPLISTLTE LVDDARAWETTCIENMKWGDAYIPPTALRVKIFVTRCPASSPITLLSDIQTGEKVNVD LSPADQEKMSYLARNPSAASTMTCKSRRNLPFSSIAANPARPNLGILMSSIADETIAH HGRSLTNPSGMFVSTCGPDGLMYDSMLAVMKMEEYRATGVGGVEFEEERFSF L198_00743 MATITPPIHPEQEEPGHQLTDDSAPIKKYPFYRSVGFQVLICSL ISFTAPGMWDALGGLGAGGAADVTAVSIANALVYGLFAVVCVAAGAINNRIGLKWGLA LGAVGYPLYGAGLYVNNTTTHTAFMLWASAMCGISAGFFWAAEAAVIIGYPSPKDRGF YLAIWQTAKAAGPIVGGAINLALNAGRSTAGSVSSATYIVFIAIMCLGLPIATCLSDA QKVHRRDGTRIVVSKQETWGKEFKAVLDLALSRRILLLLPAFFISYFYNGFLSTFLTE YFTVRSRAFSSFFTNFAGIFSSFIIGTLLDRQSIPIKIRAKVAFGSIVTILIGTWIWA IILQNQFYNADTAPVWDWFTAGFNKSYALVFFWTFAGQAFQQFLYWLVGQYTTDLSSL SHHTGILRGVEAAGQTVAWAMQSEGNANHFVSIGINFGILLICIVPTWIVLSELEGSH EVVVVEGTDTQSVKDGSVESAKSSEKDLALA L198_00744 MPSPAPSVHDGAAAPAAKKSFLPKLPPARLLFGTTRHIFYIVFF TLWLCIDIALLGLVSEQIHKHGRDYNNYPDGQYYHALGLLLFSTIIGLIFGIFHFKLG LTMYLPIFLAFAAWFGTSAGILEATPFGHGLQCKHTWDLSRFPVKYQPFVSECSRITA ISGLAWALFALSVIGLFWVFHDKFALTSKRNNTYDVAEQGESAPLKH L198_00745 MANSSTRAAADGDFLGNPFRTREDVKRACIALLDPLHSKASHGG AVIKIGSTATHYDEKAVALEAFARPLWGLASLLAGGEQYDGAKLWVEGLASGTDPESE GYWGPSADKDQRMVEMSPLSYAIALCPDKFYHNQTPQVQQNICLFLQSCIGKHMPDTN WLWFRVFANIALRTVQSDFHKGEQMQRDLSRLEEFQLDGHAPSGDDSGSAGWSRDGPE DVQQLDYYSSSFAIQVAQMLYSKLAATEDPVRCDKYKQRAQDFVKDFFYYFAEDGSAI PFGRSMVYRFAVIATASVLPMADIEPPAPLSWGHIKGLVLRHLRSWNDAQDIFRSDGT LNIGYRYDCMNMTENYNAPGSPYWCMKAFSCLGASSDHPFWASEELPWPSDLFPKVKA LPDPSHIMCRQGGHTFLLSSGQSPHYAMRAGPAKYCKFGYSSAFGFSCPSGDMDIAQV APDSMLALRDVSDGVDEGDGETWRVRRKPIETRLERRGTDGVHLRAKWKPWHDVEVET WLFPPTSPSSSWYLRVHKITSGRKLRSVEGGWATYGQGPDGRALVQDFSGVKSEGGDE EEGWARAVTSGGAVGLKDLSFKGSLGKRLGKLVQIDPNTNVIFPRGVLPSLVGEIDQG ETWLATAVFGKPTWETSRERESAWNNVPEVSKVTGFVL L198_00746 MAQTKAIGIDLGTTYSCVAVWQNDRVEIIANDQGNRTTPSYVAF NDSERLIGDAAKNQVAMNPYNTVFDAKRLIGRKFADSEVQADMKHWPYKIIDKAGKPV IQVEYRGEEKTFTPEEISSMVLIKMKEVAEAYLGGNVTKAVVTVPAYFNDSQRQATKD AGTIAGLDVLRIINEPTAAAIAYGLDKKSEGEKNVLIFDLGGGTFDVSLLTIEEGIFE VKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDLSSNARALRRLRTACERAKRTLSS AAQTTIEIDSLFDGIDFYTSITRARFEELCQDLFRSTMDPVEKVLRDSKIDKSNVNEI VLVGGSTRIPKIQKLVSDMFSGREPNRSINPDEAVAYGAAVQAAILTGDTSEATQDLL LLDVAPLSMGIETAGGIMTPLIKRNTTVPTKKSETFSTYSDNQPGVLIQVFEGERAKT KDCNLLGKFELSGIPPAPRGVPQIEVSFDVDANGILNVNAADKSTGKSNKITITNDKG RLSKEDIEAMLADAEKFKAEDEAAAATVQAKNGLESYSYSLKTTLSDNADKFDAEDHK TLTAKVDEVISNLDTMQSASKEEFESLQKELEAVANPIMTKFYGAQGGAPGGAPGGAP GGFPGAGGAGDSHEDGPSVEEVD L198_00747 MSDDGHHVCQRCSQVSAPPACPAHPQPLQLAPLSPAHYSLISSS LPDSPASSIDDWPPFEKLAALPPSSRQSAKVWADANLSTPGAGSSRHGGRSVAESFIL LSDSALYPKPSPSPSIQNPPHASHLSAQLHSILSGNTPISHPLCTECTASLTAEFQKK AEELGKERDAYIGFEQGILRNREKLKSKVPSKAKPGLGGHDVEGTDAEWAALTRRKKE LQDEEEQLKAILEGKEKELDVAEHEEELAKAEEEEVEREENDFLLSHSALSTHLSHLT SSLNTAQTSLLLSRTLLAHLESTNVYNDAFQIGHVPLSPSSSSNVTVGTINGLRLGGR PVVEWEEINAAWGLVALCLHRIADKVGCVFDTYKVVPLGSYSRIEELPPSKSSYELYA SSDITPARILQNRRFNHAMMAFLDCLRQLIEFGKKEDKAWAMGNIEIHKDKISNHSIR LPGISSMPLGLPSMSIMGLGVGDTSAKDKSSASDATAEEAWTRACRTVLGVLKRILVM ESEADRGAIGA L198_00748 MSSTVSPSKRRSKQLEEEVWDDDFEFPVQKKSSISVTKDEKSRK SLGDDWDEDWDESPPKPVVSSPSRHGLSQSRPISKVPAPLNIPNHGYDQSRISPGLTA DLSIPSPSPMLLSSSNPHQPLLASRSNSSLSIGQDPKPRSRAGSVATTGSGTVRRKLV KRHPSTSFISMPGNRSASHLPSSASSTSISSSHNIVSPVEEFPVPPLPANMPRSTSGE QMPPPPVPGRGESGVSALMRRKSKSKKQGARPSPSKEKSFLGEGKEQRKGFWNRLSGE PTEADGVHSHRRRRSSSVGATLAKPDSPQPPMPPLPSNLRSPSATSTSTSSSSHSHNR AQGVTSVFTSMLRRSSSSLSKSSRGSKEPPSAYPYAYPGGDGGRSRSSVSVGIPIPEK TDGRTTPEQPQSFSRGFHLPSPSPHSPYHSKPRMPLPLGRPPLPHHTSSVSLLSGKPP SLNTMSIDADDSDEEGDKTPRRRKKVPLASLQTSPGKKGHGESYEHGSPASASIPGLP RSSSTSPWPSLGSPTKTRVNPMPLVPSGGGGENESISSGHTGASGNGSGFASTVRRLG SISKKHGRRLSGGWKFGTQSSNSSSNSGGGVAFAGSVFGQPGDERAVLETVVGSPVKD RRDDDLVDSPVVVQHPLTPDTKSKPKHRPRPSDQWDQDFPSFSAPNANQSSTTLARLD KVEKSATQEKLLLAEADKKRMEDKQKRRQSWNDFVIPRNVLEKQKELKEGIGAVKLFA RGVNTLKTLSATHAGLRKRIIEDGSETDANKFSALETEFAQWWEMAIVLIEVGSTGKE SGSQASVESPRRERRVTLASEEARAAGDALRQASGGSFKSPYNSPVQWNYETHSQIVG PSQKKISLPDPSETSQSTFHGPPRASPLPEQWRASTGRQDLSKRQLEVLRTMLKTPMP SGSVSSLERPGMSRGASTISMRTGSSLAERFDAVHGPGTATVAAPPMPRTHTPLRIDT TSPQGLVVEPPTATANLDQRRMSKAGLAGLKEFLRFLKKDGGGEKKPTPRRMKSHSKA LRDAPVTSPSDKIDYRGKSSMSPPSSPSSPTTKQWSRMPQTAPPTQTTTRGPYETSRS SFSVFGPQGPSPLPTTGSVSGTPQSQSSSRISWQAQPSASQASSQPNPKRPSLRNIFR TSSGNWSELAANSTPNPSNGSPGLRKRGSVQILGQINETMGKSPQQREDKERERAHAQ AQTMGSLTGRVPGVARSSISVSDPLPHPQVRSVTEMGARVKGESQGEGDQTLKPRRKG RATPLGLGLGWPEKRAEEAGLARSPTSPLRGRPHAASTSTMNSATTGGGETGDEELVV ALTPENLPTLLEYLRQCEMKLGEWKELVEEEGLGEKLVEVEKGEQ L198_00749 MPIPMPASMPLLPPIYLPGQEPFPAGTTDWEKEEMQTALKYQRW MGMAAESCPFKVGIAGTGGLVLGGFFSLMSATFAYEDPLSRASEKHASTRAQTWFVMK DMGRSMWSSGKGFAKVGMVYSGVECCIEGYRAKNDIYNGVSAGFLTGAILARNAGPSA MLGGGAAFAVFSGAIDLWLRSAPAE L198_00750 MPKEQVRKRGKRKTKAQEEEAPAPVVAPTTHVADPEPSTSTGLH PARAAMLAGRPMPRQAPAPEAPRQEGEEGAEGAELAEGDGIDGQADWTRGPRTESEFP FGILDPDVKAYFRSIEDQIKDWEGVSSAGEEREADRQIFLSSVLSELRGHELSASTDP ETSIILERLLPSLNDWGRRVIGDSFGDKWDVLLRHRFSSHVVQTWITLAADTLDREAR DVWPPQQASQDISSGQLPTMSDLITSIVSLLLPSIPQLVSSPYASPPIRLLMLVLTPK RALPALGEGQGDESGIIRSKRSGKWRKGQDVKGKSILGEDVPKDKSKRKLPKELKSTR KEIRVALMENLEAAEWKAMAANAVGCPTVQLVLEFEVDDKEVEKEGSLFDTLTEGLVS QLASGKTEFEAQPFLLSLLASQTGTRPFESLLQLSPAPVFGALWKTYFEGKLGKLAGH PYANFVVAKGVSRLGKDQVEELIKEVKGNSGGRGLIKAARTSVIQALVDRSLTIPEIQ KPVLQLIFSCLELPATSQNTLVPCLMTLKTFPMYQALITGEPLPLSAWQNRRTARPKD DLAPNIQGCLILQAMVGMGQANASVLDSLTSLPVDALITYAKSPIASRLLDKVFIDPA APIKYRKKLMMVFMDHYKQLVEDKLGSRVVDTIWDRADGFMKEKIARSLIPHLTSLGG SQYGKYFVRRADIVLLNRRPEEWREKILALKHHFAHQKEPAEEVKSEVKEKKRKEQRD DIDDLFDAAENKKRKTA L198_00751 MPDTFLDHKPFLSDAFDVHAYTNAILQGRQYRPDDEENDAATEK EKGDSDVGSELARLNFGIEDVTKQLRQEITSSHHLLLNHLTTSLSLSSHLTPIRTSLT SLSTSIDRLHSKIHTPYTNLSTLVKRQETLRQVSEVSRRASRFVILARRLERQLDQMN NVKEEEGKEESDESEKRRELAKAALSCAELDALLKEPATEEDDGEVQISLMEIDFVRA YAPLVDQARDTIIEEMESMVVAGLQDLNQSLLSSALQTAHNLRLLPDLVSNLLADLND AVTLRVTKAFDSAAIGKEVAAKEGAASQSAIKFARSRPATEPTSSTAPQWINVLWKRL ERVIEDVANCCVKVYTLEKILKVKRDTVTQVEFLDEVMKRLEEKPSFTFWTTLAKAFE TQSKESSRSTWIQLALSTGYPRLLRLFHDFFAKIAVHTDTVYTREHQSPEAVLVLRSV STFETLYLSRSTERMNNAVTTGVGQYLSGRGHPPGPGEGVNIARTMTNELDSARFDPL LVRTVARNASKVLDGFVKKIDGMLVKDFTANSMIGPNATPSQQINAQLVGCLYHCWLN FVYVKQDYIGKVWDILTPSVNSVETTFKRITDALDAALRKEITSILSRIHRVDFAKPV DPMSMGMDTGGGSPYMQDLVDKLSFAKREILSRMGLGEYMKEWVLELSKFIIRTFLLH GSIARPMGESGALKLTGDMTELEMSVTNLLNTGRVQGSRGGARVESVGEEYQALRSFR TILFADLDTLADPVETVHIPPLIVLHHIIVRSPLRLPHEVHGWSEAEYILWVGKHDDE KPQLELVEKAVDAQIESGGQDGEEEGVYVKLIREVLVHARHEDET L198_00752 MSDQQATGQQDGLDKGVDAALKKAGHGQNASTTEKISDGVRSVF KKATGKDVPIQDKQ L198_00753 MDLTNFISDNVVRILGSSDSATVDFVQSLAVSSKTPGDLYNSLL STGMESNPETQAFAAQVHSLVPRKTKAKAPKADKASASNHKYSFLTDDQAGSSSKKEK KKKKKEKDGGETVGVTKAKHVRKRETEGAWDSDEEEQEPKRARTRSPSRSPSRAPEET EEERLEREREEDLRERDAFAQRMKDKDQDRTKSLVTDRTSKSVGGIEAARRRDIMDDP EQRNAAIADLRNRSRQEYLSKRELQQLDLLKLELEDEKILFRGQKMSRKEEAELERKK ELIRLMEERKKIDDGTDGYMLPDDYITEQGRIDQKKKKNALYKRYDEGKLVEGQFVTD VDQWEASQQDRTNLITGALDKEVLVENYDYVFDEAQKIKFLEEDKLDGTLTKEAQALL DQVDQLQNQAKSMQETRDSLPIFEFRDDLLEAIAEHQVLIVVAETGSGKTTQLPQYLY EAGYCKNGMKVGCTQPRRVAAMSVAARVAEEMGVRLGQEVGYSIRFEDMTSDKTALKY MTDGMLLREFLTDPELSGYSALVIDEAHERTLSTDILFGLVKDIARFRPDLRLLISSA TLNAQKFADFFDQAPIFDVPGRRFPVDMFYTQQPEANYMHAAVTTILQIHTTQPKGDI LLFLTGQDEIEAAEESLKETMYALGDKVPELIVAPIYANLPSEMQSKIFEPTPEGARK VVLATNIAETSITIDGVVYVIDPGFVKQNNYNPKTGMSSLVVEPISRASAQQRAGRAG RVGPGKAFRLYTKWAFKNELLEDTIPEIQRTNLSMVVLMLKSLGINDVLNFDFLDKPP AETIIRSFELLYALGALNHKGELTRLGRRMAEFPVDPMLSKAIINSETFHCTQEVLTI ISMLQESASLLFRPKDKRVHADKAHKNFIKPGGDHFTLLNIFEQWAESNYSQQWCYEN FIQFKSLSRVRDIRDQLAQLCDRVEVVIESTPNDVVPVQKAITAGYFYNTARIDRGGG YRTTKNNHSVYVHPSSCLVGMQPPPRFILYYELVLTSKEYMRQCMPIEGSWLSELAPH YFSKGEIDQLLGSASKVKMPKRVEQPKVGPVG L198_00754 MFLCASTSTPSPIARSPPAVSGEAPQNPVVSRTTGAVYEKALIE RYIDENGTDPLSGEPLTREDIIDVKAKPSTIPPRHATDTSIPSLLTKLQSEYDSIMLE SLEIKKAFQSSRQELANALYREDAATRVIARLIKERDTARQALTSIQSVTGFQAPAQE EQPAEDVEMEQEGALPADVETKVMDTNKALSSQRKKRKPAPGYKKADSVKTYTQINHV PSLHATKPAGISALDLAADGNVVATGGPDKTVQIFDLEASKVLGTLKGHTKPVTHVAF REREGEPKLVVSGSADKTVRLWGEDDGKWAAKGSITGHKGDITGLAIHPSGSYAAAAS SDSTWSLYDLDTAKEISKYAAIPGIDGSFAYNSFAVHPDGILHGGGTKEGTVRVWDAR QSSSLAATLSSHTSPLTSLSFSENGYYLATSSSTSPTVKIFDLRKLDVLSEWTLPEEN VVKEVRFDPSAQFLSVVGTDARVYANKTWEELLKFEENAGELVGARFGKLGSEIVLAG MDRTLRVLGSA L198_00755 MLLRIRSPAGTARITVTNETPGEEFAQLMLDTIPKSDPQPDLAT AKLSNQPGAGGESVPFAALQGRSVGDMGFSHGDLLFMSYKPRGADLDSHPTTQATSSA SHPAQPDPSHPHTHTDAPLSNIITLKDLSHVVEHPVDKYWETQNGKIERKRDPDFCRH GEKGMCDYCMPLEPYDSKYQTEQQIKHLSYHSYLRKLLSSRPASSSSANNLPPLTPTS LSVITPCPSGSHAPYPDGICSTCQPSAVTLASQPFRMVDHVEFSSPALIDDLLSAWRR TGTQRLAFLLGREDKYEKVPMGIKVVVEAVWEPKQEGEVDGLTVETPWEDEARVAEVA KWCEKGLEVVGMVYTDLTPDPEDITKTVYKRHAQSYTASSLELLMSAAYQISHPLPTR QSPTGHFSSRFVTCCLTGDKEGGIDILAWQASEHAEAMVKAGIVEASVDPGVVRVRKP GEGEYVPEVFYSYKNEYGIQVKNPARPTFPVEYLYVNLTHGFPVSPNPLFLSNSFPTE NRPGLHDQSMTVVVSQLAAILQSSDAEIGDTGTWPARIKVDVAKWLSDWHLVAFLCMQ GLFSLKEQQILCRAATAHAHPNDKTALEELFASSGWQTLLTIVESDAAANVRSAPPPT SSFNNLGIDSPSGAGASGSAGGDANAGGTRERICPHCTFVNEHGGSDCEICGLPLDG L198_00756 MASEECDALQNDELTVLESIYPTLLTTHPNPQSKPGRLLTLTLP ITLPASTQTHLSSTFKPRQSHSETPTGTLTLDHLPPLILRVLLPPIYPLSSPPHSISL RAPLPQSESVENKGNWLPKSVLKSFDSMLGQMWREEKEAMGEGQGVIWKWMEWIVNGD CLAESGKIVDGVLNLVVPPTTNSSAFLASLKSYDATQKHSEFERTAFSCSICWENRKG NKCVQLECGCIFCTQCLSDCWTLAITEGTLESVACPSPLCVKQRALRDKMDSSSDVPS DLLESVVGPELKERWANLKERRMAEIDPSYTVCPRPTCQAAVPPPAMPDTPTSAAPSS SKVIRLADISSNPAPSDPAPPEAPIAKEDRWAAYRSCPKCHFSFCLYCASTWHGPHTA CSFPQVSGIVKEYLSHPEGSMERARIEKQKGKANIDRLVRQWQEDEMNRQWLEGRTRA CAGCGVRVEKSHGCNHMTCGRCNAHFCYRCGDSISPLDPYQHFNTPGRPCFQKLFEVD SLGEPINVVLPEPEVEGTGDDFDEMDQWDMRLLVEW L198_00757 MGRKNQAPETSFSPPLPPSHYLVHLGAPQGSNNFLSFDTAGEER LVEVSKLLRRNKGLIVMRGDFAIINLFPIQPDEKTSRLVGEIVHIMDRSDIKEWKKAG AWPEGFGEEPAPPQEAEEAEEAEEEEEEEEQEGNESA L198_00758 MRWFCTALFALPLAAALSEYHYLSTVNQFATAFLSPNNIEVVRS INSTLFAEDVTGTADLSTNFDGRELSTEYLFGLFVNNAEESDDPSPFGIPISYNVTSL LVQHEFIAASIKFMFHYPVLNKTYPIQIDAFIRVNEKGEIQQYDASFRRWAWATDVII PELLPHMAQRLSLPVTNTSLVLREYLSRKICNTAATYCTGDDQQYDDYDSCMDFLNTK KTGEWYRMGEDNLVCRHLHVPMLSLRPTTHCPHIGPSGGDIDYEQVVLASHFPAGFLA PKQVTPENEKEVGHIQAASGYPLDPLLEIALSTGDMHSWDPTLYATALLGYFLIYYIF SHGLWQYFMRFTTKFRGLELEHQKNVVMYAMNIIFTTVALALQLAASPALGKHYRLWE VQCLRTGGVLVSALYIFELVYRLKMRLPLIAHHFLTIIAISFTVSVFEYTMSMSYMVS AIIWLFQATTEQPTFLGLMGYRLGWSRTLVARLLKFAAVQTFILKSASAIGLIVYWAL HQNYDYRPIDVAWTCIVFIVAVGLLLTQAWGSYVTYAIGARIQQRHSILPTLLPDAAS HYPKDGSADHRPILNSALSSHTLIGDAEPVSPSSSFGGKAKMLWNKTASLSSSEGSEG SASTGAAGSL L198_00759 MAPFHPTRRELILVLVLTLLFGLLLHLDGPLRLRDSSPGYINFS DEGRKGRGGERWLEDVETGVEFSKAAKIAGMAEAKVKWGEQGAHRTEVLAHAPGWTIF DDIYLFNGTWFIVTDNPSSIPLLRLMSSTGNEIWNDEESIRGREPTEKDMRIVFPSEA KRLWGHSASLVSGSTFLINDPSQFLDHYYHFAAELLLGLWRTYASLDPTISAQGVTHL PSPTRMMFPHVGAGKWNDYAKMNSFLSRAIFPSMSYEYQNDFLDRADTGRAFIFERVV FADRAAAFRGPEFKKTWRTASEAVTLQASKFWWAPVRRNLIEFVGNGQGGELEMGDVG LGVGVEVPDIEEDIEALEAEEGAMEEEKEELREKIIKEKQAQAGTPVITYVSRQDWGR RMLLKESHESLVHELGELEKKYGWEVNIVSMDKLSRDEQIRLSARTTIMMGVHGNGLT HLLWMNNQNPRATIIEFFYPGGFAEDYEFTSRALGMTHYGVWDDQTFTAPDTPQVAYP EGFQGNEIPLNGKVVADLLVQRLLVERPTRSLEEEDVAQ L198_00760 MIPSCLESALSGVMAEVGSANLSWFQTTFNVPSDWQGDNVVINF GAVDYQATVIVNGNNVTAHTGGYNRFWVEISEHVNFGEDNELLVFVHDPTDVGKQTNI PSHIFYTPCSGIWQSVFIEPVPKTYIDKIDLSGGMWGVGNITVHSSDNSFLSVKVSVL DENASTLYEGNGTSDTAFTFTVPNVSLWSPSSPKLYNVTVALGDDSVDTYIGFRTVER GTVQAVTRPLLNGDFFFAFGPLDQGFWPDGLYTPPNHEAMVYDLQFLKDLGFNMVRKH IKVETDLFYRACDEIGLLVMQDMPSFNEDLLPNDEQQAQFESQLEEMVQLHKSFPSIY AWVIYNEGWGQLDRGPEVEITPRLQSWDPTRLVNSVTGWHDHGAGDFLDDHHYVEPQC GMANASGPSSPYDPDRIGFQGEFGGLGHNVSIDHLWNVPEAIAAINETYEIDKTIDEW NSRAHTVLGILESQIKDYACSGGVWTQTTDVEGEINGLMTYDRRVKRTVESQWKSDIQ ALYKAAAARGGGDGIGPASTANDTASNTVLASQPSESASSAGRKAEGGGVLGMFIGAF VTVTFPIVV L198_00761 MEAPHKAHHASSSGTKHAKKDAAKGIDRSGGNSYNPKESAAFTN SSFRAAEKSARRTAEKDQRRLHVPLVNRNPDERKVTNEKGKGMDEGSLPPPPIVVGIV GPPGVGKTTLMRSLVRRFTKHNLSQPQGPVTVVSGKTRRITFVECGNDLNSMIDLGKV VDLVLLMIDGSFGFEMETFEFLNILQSHGFPKVLGVLTHVDLIKKASTLKDTKKRLKH RFWTEIYQGAKLFSLSGVLNGRYPDAEINLLSRFISVMKFRPLVFRNQHPYLLADRIQ DLTPREAVRENPKMDRNITLYGYVRGPNLPPRNAKIHIPGAGDLEIKEVERLADPCPL PTLESERRRKMGEKAKLIHAPMSDVGGVMYDKDAVYINVPGNFTKGGETLQGEGEKMV MEMQDAQNTFADNIQNSEIRLFGHSSAPLQAPVQRVRRRAEPRAGGPMLGKADDDEFD DDSDEDDFDGEGEPRFGDDESEGDERDVAYADSDSDHDDLAFATGFQQDGKRINFEDD AFPSDEEDDEEGDEDEDVPGWKKNLSGRAADALASRLGRRRNLMSLIYDSPLSPEEIS AGKTKASSADAGSSHTGDAYTDQGFYKVSREENKGDEGDQVKQELDRDQLRQKWEGDE MLDSLKELFISGPVGNGGEVDENGEAYEDEAGDFEDLGEEEVGDDGVPYVGVKPNQPS YEDQRAAAAAKKKEALRNKFDEQYDDSDDEGDKMDYYDQQKAEMARQRQLNQDEFEGL DLNARAQIEGYRSGSYVRLEINDVPCELVENFDPRFPIIVGGLLAAEERFGFITVRIK RHRWFTKTLKANDPLVFSLGWRRFQAMPLYHLDDHSIRNRYLKYTPEHMHCAATFYGP VSAPNTGFCAFNSLQGDAPGFRVSATGVVLDVDRSTRIVKKLKLTGTPYKIFKNTAFI KDMFNSGLEVAKFEGANIKTVSGIRGQVKKALNKPEGAYRATFEDKILMKDIVFLRAW YSIEPKKLYNPVCSLLLADKDSWQGMRLTGQIRREEGMKTPLDPNSAYRTIERTTRRF NPLKVPRKLAASLPYASKTPQLSKQSKPTYMQSRAVVLSDDEKKAVTMLQQIQTLKKD KAARRKEKQDERKGEYRKKVGEKDEKRESRIKEERRERFRQEGLKRKRDEMSEGKGRG GKKTRE L198_00762 MPSRDPPKIPPIKLKLTLGSAPAPATPAPPPPVPTSHGSNGSVS TPLPKIKLSTGNVAGPSSLRINLPEPGGSDSPLPAPPGTASPAALMTPTQPQRQKTAS TKKVGRPSTKKKATVAKRPSAIPPRLLATSSATPSRQMAPTMPETPTQDGTSTSPDVL SLPLSPSSQGLESGSPQQFEEETPSRRAVKWTRTKKPLKELLQKIMIELRKKDEYALF EEPVDTQAYPDYLERIGGEDKAMDMGTMQAKVDNNEYRSLDQVEADLRKLVEAAHKFN AEHTTPHKSASNILSHGLKHIERARPIAITPPPSPVRVSATPVCATSVVSTRDREQRE TTVVQEERVRDHVPPLHYIPEEMLNFPPNSAMARAVGWNLNGGKRVYNKRISRAREKF SGKWRNWLVDGSRDIAEAEEIHQLFEPWRLRSGDQWREFIDWQAMRNKDGWWELEMAQ PPPHSAAQQAPLPFNPATPRHDQVSHKTLSPWEYGQYPSVASEVAFLRQRIPSITDDD EILAEHIRPMYTRARRGDPPAPTNLVNVFDGPLKRSPGDWVRDLVTGDVVGEAYLDSL DRFVKGAMESVEGEETPDYPLDEYVFDHYHEPLLQSSTRKLVHDTLRDLSAPDTRASY SALGEAAYSRVALRYLTRPANPMDIQPLLREEGDFMYQGVGGKSGVNVGLEWTGKEMS RLLEKQRRLRGVNSSVGDKRKREVEDETEIKRVKTEDDAAVSKDTETRAEPSESEEVA AEELKQLRLELVALSKFYPLPALKKMSKEDAALLPVNVRGLMCRQ L198_00763 MDPATPPNPFASSPASIPVPASPPESPVPSLPLPAVSPPPLPYS VPVPQPKKTSSLISAASGSPPPTHRASFPDPSKQPKSMAALGGPKPKSDFCCGIDKDI SLGEQVHIIDAIKTNEGGTASYITYIIRVGTHTTRRRYSAFSSLHQALTGLYPVLIIP PIPSKQSLTDYAVKGQSKAKEDATVIARRKRLLEDFLRRLLKHPILGGEHVLHRFLEE GVSWSEVIHSPPVSLLPKNPLHAPSHNPTFQPSTPTTGETPPTTAYIAHHLLPTPSPN HPLRQPDPRFIDSEAFTEKFQTHFSGNMEKVNRRTTKRWGERAHDLSELGGIWNGFSL IEQGKLGEAVEKVGQAVDAEYLATAALLQSWEKTATEPLHIYSQFAALIRSRLSFRHQ KHVQFELVQEALDTQRDKLELLENAEREAKRLEEALERGGSVLGSASPTPQVSREERE ERDRAVRRARGQGFGLLSAVKHSLSGMMDMDPEATRRSNIAKTRDNISQLEDSYHAAA QDLKYASMTLQADLDRFQRQKVSDMRQLAINLSTVHRDWCKQNLEAWKAAQAAIREID AHPNQPPTSFPSQPQPEAGPSRTQAEPSEEHTYKVAEMRDGIERMEVADKPLPVPKDE PPVALKPEEEPTMGFQINSGFNSEPLGGLETSQYDATREREKKVEEKKEQKTDDSVGP LGPL L198_00764 MSGTIKAIDKASIHHIHSGQVIQNLQGAIKELVENSLDAGATSV DVKIKDNGLDTIEVWDNGSGIAEADWESIGMRHHTSKLPSLEELYKVTTFGFRGEALS ALCSICSSVDIITATKELLPMAAVLKLGKDGKVVDHSGRTARTRGTTVILTGLLTPLA VRRKEFERNVKKEVAKALTLLTAYALVPASVSLKDGRTGVRLKVEMIGGGRSSKRNTQ LATDGKGSLRSAVGAVWGPRALEGVNDVDLDLEVEIDKNMARREGITEKSQTVKVRGL ISSAQWGQGRSSADRQFYYINGRPCNLNSVAKAVNEVYKSFNTNQLPLVILDFQIPPQ SVDINVSPDKRTIFVHSEDRLIESLKAALDVFFQPARNTFTVEGATQTVKSIRHVQSQ LSLGKTQAPVAAEEESQDKDNEDQSRSQTPVDEDQGESEEVTQKQASASIPSSRRASR SVVNAAPDTEADEDDSMEITMTPIATSPTLVSSSSRRAPTSSSTPSRASRVVQQTLNT SQASWSPEKKASQTTSAGKGRRLDAKETRLNLREKLTAYASQRPVVRAASEEEADEGG ADDDHEEGIAGEGNVSEADDAEEQEDRIEVEDEDEVMPKESEGEPEVLTAEDQEEELP AVDVEEDEPQAIEVDSETEISQPKDTSRRRSQPVFEDESPVASTSALPSRRSSKRISP VPMPPPQQKPSSRRRRRESESESSPQLSKKMIETQASDDEADSTQPLRESPRPSSTSR PSLAKSQKSSGAYRDEIISTAPQGEVTMRFDVSRLRARINAKRQSRDTVKASRDAFTA VTQGGLANAAGIANKDAELAEEALSRVISKEDFEKMQVLGQYNKGFIIARLIGKHGKG KRTDDLFIIDQHASDEKYNFETLQQTTKIKGQALIRPRPLQLTASDEIVAMENMPILN ANGFDVLVDEDKPAGRGERISLKAMPVSKDTTFDFRDLEQLLHLLSDNSRPSGQMVRC SKARAMFASRACRKSVMIGKALTKNQMSQLLRNMATIDQPWNCPHGRPTMRHLFKLDP PAKPRSGRGRIDWLSWKEHLA L198_00765 MDTPCAPDIRRYDPDLPLDVLCNVVALVPPPFYPEHPPLEPSPI PPNELIANLDPSQHPAQTDGQCISALTRASSRLLEAARPWLWEHVDVKGGRGWLAIVN ALTEEIIEQDVEQLTPLGGSVGGGSDGTGSGGQTPVTGPEAPRHPPVAIAAQSMQAYA SPPGSSIMPFPVNSLAGPIMASGFSSSPPQPSHIHQLLTPPTSRTSSPAPGQQPNSPA VSVSGSDRAEHSPSPGPSGISSATRTKLRGRSRSPRRTVGFHTQGIHAVLERSRSASD GSQRPPGGITRGSLHRRTSLSRSRTWHDSPGDDADEEEDDEIMPLVTPTVKPKTGVIT PRVKPENGENANSELLPPPGPYIRHLSFTNFRTIGARRSQEEAVKYRFVTAGRLEGVI KNAPNLVTLCMTEYVDSSLSYPVLEEIFFRGSRKPRYHSPTINRARSLSIGPTPSVAS TTHDQLDPPRPEYVPYEDETEDQKWKRREMFTPLEALDLTGCVSVNFTQGMQKFYDTW LALDDESDDEEEERGRGRWKGSRRGRPGHSPDATEDESDHHSHSVSRDRHGERHNYRR PPRFRHMRRLCLRMCTSLDSQIVASLVWSFPCLTHLDLSNTKVPDELLSHLTDHCPRS FRLTSLSLARCPKLNSYAVADFLCRSPAVRDLVDLNLYVNPTQGNALESDALMRLITE APCMRTGRLRYLDLSSAKFTPAHLAADVFPYQTSLVSLGLSHVPTLALPLIADFLLNV APNVEILTLVGTATTSSLDPSRSSLQITLELHARLINPLTTLPFSLASLYLTPGSSAT DYNPGPTRLRVVELMGPIRRSIAPDGKREWKVIKSKGGRGWYVDVSAGWRPVPRMPAS PTSETGFEQASSNETEMEMQFVRHLPKGQEHRDWLTKLSDATGRVGSTIGWHAKKMEV VRGFGMMGREEGMAGAGAFAFEE L198_00766 MSPAQVTQQLDDLDIASTLTTPAVTRPSSPAPPSLPRSPLPTGK HKIAVIGSGSWGTALAKIAAENAWKHKDDFHSEVRMWVREKIVDGKPLTQVINRTHLN SRYLPDVPLPRNLVAVPHLKDVVKDATLIVFVVPHQFLHTVLNELSRPGILTPGAKAV SAIKGVEVNGTDIQTFASLISAKVGLPCSALSGANIALEVALGQFCETTIGCPTHEEV LLWHAVFNAPTFRVAAVEDVSGVSLAGALKNIVALAAGIVDGLGYGGNTKAAIMRIGL KEMTEFCLEFFEGSKRETFSNESAGVADLTVTCYSGRNRKCAEEFAKTGAPFDYIEKK LLNGQKLQGTATAEEVNAFLVARKRAHAYPLFEKVYKIAFEGMPPKNLVVGL L198_00767 MSVFTKDAFTMSALPSPSPSQPPSTAPSRRGSFANPSLASGQMT PSTDPHIVSINVESVLFDMDGTLINSSGAVVKAWNLFAETYTLDLDDILRSAHGMRTI DVLKKWCKITDPELLASEVIRFETAILNAAEELAKSGTGGTGIEVLPGVKNLLTQLSA DNDKRDGEEKWAICTSSTYFYAGKAIPIAGLTTPKVFVTADSVTRGKPFPDPYLLGAS GCNASPFESLVVEDAPTGIRSGKASGALVLATCTSHERHELEKENPDFLVDDLSHVKA TWDTATNTFNLIIEQPVDRYAPRATPDVTPVITPAMSRANSFSGVGQDRPNFKNNQTI SKASDELTGNDSVVGSPAASRPGSPDADGEKRAELEFHRRASQSGSGGVTLDNFRKAL AGNAAKRRAQGDE L198_00768 MPLLARLGNRASLLLHHFVYSFPSKQPAHPHTDDATDGLPTIRA SDYEDSGENQALQESMGPLRFAGSGYGITLILMMILLNRIHHIVRRPRQTPVIQRSQG GSRYQQLRRRVQIALTHPDTPLYIRVPGVIALLRSWLLFTILLLQVGGYWPNVEQPGK TAIWRSAVGGVGRWAGNMEMEVVCWQVFVGVCIGLVCSGIANGLDTVRRRDVAAGFNL FGYSFLLHLYSSPFTHKHTPGPHSQGRPDVHALFQMWLSLGELTWLQTFELSRTMRSN VLLPTSVCGILGLGHFIYVLARAPLRFPSFTFLTHMLALFLSIIIVFTAVLKAITLLF TVGKIPSLGALFPHEGVVPQREDDFGVALLKIGTACIEATAMTGLRNELAAVDEPRAP WVEIMTSGSDFHRAMLRGIDSPGFSTEITDIKVSEIEDPNSDASPHSRALAKFVKTCR NAILSSTIALLLSTRFGRKALRVYKKAWRARWYYGPRSWRFWRRESWTEPPQYAELRR VRERLDENDQASRELRSMVTMLGTFKEQVKGDEEIRARATGANVRPGGSSVERRGAGK REMMPYGQYLLEDVEDEIEDDGEWTQQAEASDDESETASQASSSEWGEDDSGDETEVE ESRKSAIYRDLIITELEDDDESHAKLQPVLLAHLTSRNGTPLTRRQYSALFNNPTSPT RGGRPEMSYEGAMSFRGVAAERREMMDGKRDEEEEETRMACVVCMVSTRDTILWPCRR CLALCNDCRESLASRLTADDQMCPCCRKKVEGYSRIYIP L198_00769 MKNQQLVKQHYDLGHKYMSKGDYEEAWKNFDKAINFGGKSLVIL DCKAAAMSKLPEWRQNALQVTKEMISKWPKDFKGYYRQASVLHTMKAYDPALVAVKKA VELGPTQTQNDRQFRAVQELRASITIEKSEHDRSRAANDKAEAAKQAAERRATRKARI NYTHLLSRDIVLTIAESGMVENPNFILRMAGVCRQWRDILVGQQGLWGTLVLGKKRMD KKTEVYIERSGGRIREIRISAILDSQLAGKISGLLRPHLSHLHRLIIISTGHTIAHLN HRWTGCMENLQHLQLENTDGIGHEESIVHGLVPPRATSLTRIEITGYRYASAICPGYH FESLQTGLLKPSGKQNVLTNVKILILRDCAFNSAGSGGVMHLEMLLGHFPKVETVELS GLQDLAMPAPDWEPYTPELPFLRSYTINNTSANIWANISTPALRDLSLPSHRLPPLLR FLQTPGLASALSSIRALDISESPATNQDLLKALACLPALEFLNVTGCPLSNTFIEGLV RKTGDKEKETVLPKLTALSIARNDQITGGPVLRLVRSRYPGEDTGKDGGSQQAKSMGS AFKPAKSVFGRPTTRAPASTPTPSAPPKMAQVPKDLPRITWLNIDHCNLIEPSVISHL RERVRFVSNAFGQQINQDRIKGKGKWRWDMSGEMGACKSEKEPCGVRKVPGMCARTS L198_00770 MPRLQILQHKSYHPYLEKNKQRVREDEARAREEEQEREQNRVDA ESQQRLTALRRRAGSPTVPQEEDLPSTSSRKSNEASSSLLERHKEKKAKEEKRERKKK ERLDFDFPSETARRSKGKERQEEEDEVQWEKNGHFNLFADVERDEANGRPAPTLAEIA KKKKDQEKDPFTVYLARPDKETKPWYTDRDMKRYDEKEVGEDAEFRRARDRRKDARSK NRNDPLTSINSLLSSHPHSQPSHHKSSNSINKTKGMSERERALALLSKDKPTPSYSEW GDTPSTVSGGTWADDFERQKERAGRRYNGSR L198_00771 MEGHDPSATRRQVHSAVLSLLVDMVLYDVQWGVLTNYEAWLFFS RSSDTNIFYCSPVVFRMVGNQEGRGEAQEHRTGEEKASEPSIEVRGAWWADEPLRALL GLCLHITRDRLDINEAPGENVDSEAPEIVCVSTSANILAIT L198_00772 MLPRFQPLPLATLQDLLRNSNEEKIALGGFRNQGACWQTFDVAE SDKVAKLVRLIDFPVEEDVKGSLSQGEIRHGVYREISIIEGPLKNLQGKVIPRCHGPY AGTRTHVRYLDDTDYEEWWLFMVENAGECLHGTLLSDEDCKRITSKYHALHKAGVLHC DVEIRHWFRTPSGDIRLINFDRAKSVGFLFIIGRVSG L198_00773 MSSTLPTALHEASDPMQATSKWGLLRPAYGPKRLAIKNHFVAMI GEFVGTTLFILFCLGGTHVALLSATSITGDADAPLNTSSLFYIALSFGLSLTVNVWIF FRVSGGLFNPAVSLGMTLAGCLPPLRGALLAVAQILGGMTGAAIADALVPGHLNAGTA LGGGASIAQGLFIEVFLTALLMLTIFFLAAEKHKATFMAPLGIGMALFIAEIVGVYFT GGSLNPARSFGPAVVTHDFPGYHWIYWVGPCLGASLAAGFYRFLKWLEYETVLGPEDP VEQRPLLSPSASQAPSSPVTTKSEQDAVSHNVAVQWATKGPGEMKVTGPGLGDLETDG TRENLHDLNQYPGRMNARLEKIEKLLAQLVETRRSGVETSPV L198_00774 MDANENQHVTENPQAAPSSNDNAQQVGTQQDALDKGVSAAFKQF GYNNVDNSTVEKASDAIRSGFKSFTGKDFPIADKE L198_00775 MVTLDPPSSSTASVAITSPNSTPTPTQQSTFSLPKSTISRKKPP GLDISKSILRPTRSAPGLPPNGDSPVGNFVSEADKLRDDIAQLQLSSRSTGSHRSDDE AEAAGLQSDDSAASGAHKKEKKDSKSGKDGKEKKRRRHKDKNGEDLVKEEDLDNIEDL GAGNGGTVAKVWNKKRKCIMAKKLVMVDAKPSIRKQILRELQIMNDCDSPYIVGYYGC FPVDVHVGIVMEFMDAGSLDHIYRKNGPIDIGIVGKCAEAVVRGLMYLYDEHRIIHRD IKPSNILVNTKGEVKICDFGVSGELINSIANTFVGTSTYMSPERIQGTNYTIKSDIWS LAISIIELATGRFPFSDNPDADDLSPTASDFDPDPTLPRSAQRPQVDKKERVSVAPAY NMSILDLLQYIVNEPAPKLASRRFKFPEEAVEWVDDCLIKDPDFRPGPKELLAMDWVA NSKVTQDDLRSWAQSLKKD L198_00776 MQAPSTSGMGTADGINGPLNPFVVTPPPPDAKDDEIPGYVKRFG AYHTLTEGIFLPAGTLLPKGAGFAMQSTIDFGLHFPKGTKVPGGFLLPVSVVKKPEPK KDPPPSENPFCLIQ L198_00777 MENPHEAEQAVLLERIIKNVDKSNEAIVELNHCLKEYLDSAGAV HITSQLFANYSRNVLYNLETVNNLPKPA L198_00778 MSAAIAYDFMDKIVPGVSQHLQIMNATTATDTFYPGLDFTTLSW IERLWAQFYIFIGNPIIATGLLSFGLHEIVYFGRCIPWLIIDEIPYFHQWKIQPNKKV SRAQMWKCTKVVLLTHFTCEAPLILAFHPICCYFGMATYEVPFTSWGLMALQIAFFFV FEDTFHYWAHRALHYGPLYKNIHKLHHEFSAPIGLAAEYAHPLEVLILAQGTISGPFL YAVFRSDLHIFTVYLWITLRLWQAVDAHSGYDFPWSLRTFIPFWAGADHHDFHHAAFV NCFSTSFRWWDYSLGTDSKYHAYKERVAASKAKTAKERAEFERREMEEVEKEGVRAER LAEAYGKRAVKTE L198_00779 MVKAVGIDLGTTYSCVAVWQNDRVEIIANDQGNRTTPSYVAFND SERLIGDAAKNQVAMNPYNTVFDAKRLIGRKFADADVQADMKHWPFKIVDKAGKPVIQ VEYRGEEKSFTPEEVSSMVLIKMKETAEAYLGGAVTKAVVTVPAYFNDSQRQATKDAG LIAGLDVLRIINEPTAAAIAYGLDKKTEGEKNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDLSSNARALRRLRTACERAKRTLSSAA QTSIEIDSLFDGIDFYTSITRARFEELCQDLFRSTMDPVEKVLRDSKIDKSNVNEIVL VGGSTRIPKIQKLVSDMFSGREPNRSINPDEAVAYGAAVQAAILTGDTSEATQDLLLL DVAPLSMGIETAGGIMTPLIKRNTTVPTKKSETFSTYADNQPGVLIQVFEGERAKTKD CNLLGKFELSGIPPAPRGVPQIEVSFDVDANGILNVNAADKSTGKSQKITITNDKGRL SKEDIEAMLADAEKFKAEDEAAAATVQAKNGLESYSYSLKTTLSDNADKFDAEDHKTL TAKVDEVISALDTMETASKEEFESLQKELEAIANPIMTKFYGAQGGAPGGAPGGFPGA GAEGAAPAHEDGPSVEEVD L198_00780 MYSARNVHLKCSPISLTKEAFFSLLDEYFASRGHVLAPANSPPT VDAASRPSRSLPPARNRPDPISPPPTASHYTSLISPTASRQSQEYENNAESQEQPDMA QRFISSGVKYGTSGAKSGVGAISRNKDAMNLLGKAGMGGMMGKANDRLNKPTSPTEQT ANKATPPPIAPKKGGGVSGLRLMDDYQTFGHVDTASKMGAFTSMWKDPKTKPAESIHL PPALSHSHSNLPPPSRRDGSGSHHAASPSPSADTSTPAGGDLANGTGVQQGQAQALYD YAGADSGDLTVQANQVVNIIAKTSSDWWTCEDGNGQRGLVPATYLQEI L198_00781 MAGPPVRERYNAKARGAVAGADSHKKRKQRRPQDNEQAEPEDAA TAGMSGKKRKRFESFMAKKLKTEQRYETLKLLQSLAPSASTSASLASAASLGQHPLNP ISAKERQEKREDKLVRNGIERLTRRFGEEDESEESEEEEERRMKGKGKARETVEVVKD DGVEEEDKVATTVVPSKSQLKAAAKAASKGQGKGKMPKKANWNPSLPSQKADSSDFDS SDSANDSPDEAEPPKLKSPAPPTQNVEVKATTTPLPPIVTGGALKKSADGQIVPPRVV VRRKKPFVYGMFGRRGVDQRPESGDDEDDDDDDDDDDDEDDSDEDEDSDEDEEDSDED EEMESGEEGDSADSDEESDSEEDEESESPPKKKRSLGFKSWALKQMGQTEEPSAPDLL DAQPASTAPKPKPLPAAKVGDYIGPLGQELHVPTTSLLEQQTKGSTASKARPTITRRA SVTESRMDLPILSEEQSIIESILMHPVVIICGETGSGKTTQVPQMLYEAGFGFKGSDN PGMVAVTQPRRVAAVSLAERVRSELNLSPNNSLVAHQIRYSSTTSAQTAIKFMTDGVL LRELASDFLLSRYSVVVVDEAHERGVNTDVLVGVLSRVSKLREKMWREGKEGVKPLRI VVMSATLRVSDFAENSTLFSQKPPVIHIAARQHPVTIHFSKKTASDYVTEAYKKVSKI HARLPPGGILVFMTGQSEIQALCRKLEKKYTKKSDGKKAPAVDNTLPPDEREAEEVEL GGDQDLAADVDDGAADSDPEGLDTDDEIEGIPGLEFDEPSDMPMHVLPLYSLLPNDQQ MLVFKPPPEDHRLVIISTNVAETSLTIPGIRYVVDSGRAKERHYDPSNGVQSFQVSWV SKASASQRAGRAGRTGPGHCYRLYSSALFEDHFEQFSKPEILRMPIEGVVLQMKSMNI DAVINFPFPTPPDRLALRRAESLLTNLGALSLPVKTRMVNGIQHVGAAGGQITELGKA MAGFPVSPRFAKMLAIGTQHNCLPYVIAIVAGMSVGDPFLHEQTLEADEDEDEAPENR HIRSEEIRAKEQRKETRSRFFKAHQQFMALGQGASDMFKLLAVIGAYEYSPSANFCTN NFVRLKAMQEIHQLRAQISSIAQTALTKITPPSDTQLKVLRQILAAGFIDQVAVREDI ILKKGVTFDSTRGVPYRAVGLGSEAVFIHPGSSLFHHTPPEFIVFAEVVRTSKPWMKN ITKINPAWLPSLGKGLCTFSRPMEMPTKGMGKRVGANDNEREVFVTPHFKDLGVDLPV QKKTQRREGTRWVLVD L198_00782 MQALKNAALGLLNVYVSPYVENLNAQDLSLSLFSGNLQFHGLHL KKSLLERFGLPVEIVAGDIGTLSVSIPWTALKTQPVKIVIDDVYALARARPPGKVDPE EDERIEQATKQEKLKSAEAVDSAANQVGQGAHDDSKQTYVGAIVSKVVDNVQIHVKNI HVRYEDGTSTPEHPFAAGITLNEFKAVSTDANWVEAFIHDSLNGVHKLVQLGALAIYF DTDTGSLDKGAEDRSGTINALRSMLTGSPNHQYILKPVTGEARAIINKHMSNETPKFD AQVIFDEIGVVIDRDQYRDVLSVVDVFHFYRRTHQYHKFLPPAQEAKETPAQRRWKFA LDAIRSEVHDRNKRWSWAYLAERRDTRKKYVELYVQKLALPEGKQLPADEEAAVAEIE TKASYEDIRFFRSVARARAKKDAVTRRKMELERQKNQPQVQTWGQWMWGSSAQSTNSE NGISEEEKKELDDIIDYDAAAAEETAIESTPRDFMKARVSAKLNKGSLALRTDPHGKN NDIIALVFDSFSADAVQLTDSMTGKMALGGFRVYDGTTPGSLYPQIVRVKDIEKKASQ ISLAQEGVEGALDGIGDKSQDSSDPFFVVEVEQNPLDGRADNAVTVKMRHLEIIYHRG YVEAVHQFFRPPESQLESIGALLDAAGQTLDGLRKETRAGLEYALEQHKTIDLRVDMN APIIVIPMDVTVKKSETLVLDAGHISVNSSLADKQQLQDVQSKRGKQYSEDDYKQLED LMYDKLNLRLESTQLLMGDDADACMQALEDTDNSSAYELHVVERIGMSFTVQNAIVNA PNLTRFKIAGELPTLHVNFSDRKYKTLMKFIDVSIPKFGDEDEEQKDEPVAAKGRRHF GRRQPVEEFNFDDDRSIVSAKTIEERDDSSSIGDKGDHFYEANDDQTEKSALRQVTFE FSFSVGKLQASLFKSISPTEERPLADAVLEGFGLTFALRKWDMSVDLFLRSVTLAMIE HGSARKPLLSSATHGEESPSDLKLVQVKYQKVQKESPEFMTKHEGVDTSIETELSTFR FTIAPEPILSLYDFIMTTFVPKDQDNAEETAVASTQDHNIEEEKSTDKMRIRVKLTSA EVLLENNAKQFAMLTLPSADVAILLRGGTLRVGARLGDLSLDDLSDDAVADPTFKKLL SIEGGELADFSYETFDPTDEETFPGYNSSVNLRAGSLKFTFMERPVHDLYAFAIKFAR MKAVYDAAQQAAVQRASEVTRMHYDIVVKTPIIVLPRDGLTSKDRLVLRLGEIVAKNQ YLDDPDDTSTIDASLRGINVASEIALNDKTVTLQMVDDVAITAAIKQAGGSSHRVDPH HADTEITTDMSDVKLSLTQRQYILLMSVLQSLPRALSFDEDDGDFSPDESTAITPNTS VPPTPIGEEPPQITDVSLEPELVVARVDDNTGDVKQWTSLDFVFSVNSIALEIYNVDA VSEEDLKQNSIARFALVGSHVGFKKLSDGAMEAELSLKTLAFSSTRSGNSVFRDIIPP ASHNGNQVMLQYTMAGGADQSALAIVTIDSPHFILAVDPLAALLEFAVSPFNKSQSEE AELQAVDDEDLEEGPKQGGALSFRVEIVNATVIVIADDTNPKTQAIQLNIRELLVSQQ RILAVKVDQLGMSFGRMDRPTERVKFLDDLSVALSLDTRRKGSQQMTNFDIDIPDPVI FRASYTNVMLITDIVNKATAVATKALSPAEAPKDTSERERSNSLAVTSSLDASTVAAV ASKHSRRRSSAGRRTSISRRRQSLERAQVIVSKEQLKARVNGFQFVVVGDLQEMPMVH LSTNEFNLSINDWSGDLKLATSITTSIRYFNLANSYFEPLMDPWKFDLTVNRISAGAG YNPLNVKLSARERLEINLTSAFIELAITSMTVWSKEGERALAGKGSDAPFRIRNATGL TILLWPEGVDPNKPVTGVKRLDDGADVPWRFEDRKQTRENISSVRHNALGIQLQDTPW ESLRGISVDREGDHTYALRPRLDKVSHQITCEIKLVNNVKVITFRSTLNVENQTSLPV EMIVIDSHGKAASEALKINPGESCPLPLEAAFEKRFRLRPLRGFGFDYSWSAPLHWKS LLAKPIRPISCKHQTAKEPAFYFQAQANYDVKDPAAKIYPRMNLVLRAPVELENLLPY NLKFKIHDRNTGLSSSNYLVEGGSSPIHTVELSHMFLLNVAPEDTGFKQSEYAIINTD DPELPVEDHFQLADQQGLTTHLKLHYITYPNSGGAFKVQIYSPFIFMNKTGLPFDLAA KTWTGGQRPIAGRDIFESKWPSYLKGGYTVLSFPNDDRRNRLHVRVNNSKWSQPISFE PVSADMQISLGNASGEKDNFVGLSYAEGTGKYKLTKVITIAPRFMVKNTYTRPIKVRQ YGTRNEYPVGVNERIPIHQLSNNAPPQMIMSFDEPDTDWSAPFNISDIGRTHIAVQRQ SRGSPKTYLMRVETHLEGSSIFLYISREKEDAWPLRVANDTNIPLAFQQTDRDPVTTD KERQRPVHILPPHSVQNYSWDWPTAPTKQIKLFANPGDLRKGDKGIELPSSIDLMAIG VQPPMRVPSNDPKKRSATVSVDVRADGGSQLLTISPYNEETSIYKPTRPGAGIRRSES STSLSSSTVAFETIAVSEKATLNVVVELEGIGISVITRKPDELLYLSLRGLKLGYSDY PQYYDAFIDCKWIQIDNQLFGGIFPIILYPTVVPKDGKELDSHPTLQSSVTILKDQSH GVIFVKYATILLQSMTIEMDEDFLFALLDFVKFKGASWQEDTQDALIEHPKEIPEPDI TSTKADVFFEALQLQPIALELSFMRTDRVNVDEKVSTRNPFYYALNALTMTLGNVNAA PLAFRALFLENVRLSIPSLQERVILHYQEQFISQIYRVLGSADFLGNPVGLFNNISSG FSDFFYEPYHGMVMHGNKDIGMGIARGATSFAKKTVFGITDSMTKFTGSIGKGLSAAT LDAEFQNKRRMTRRRNKPKHALYGVAAGATAFADSLTSAFEGVASKPFEGAEKGGAGG FAKGVGKGFVGLFTKPAVGIMDFVSNSAEGIRNTTTVFDQAELDRVRLPRYIAQDGVL RPFSGREALGQSWLKDLDAGAFFHDSYIAHIDLPGDDAISLLSNNRILQVQLRKLRVI WQVPFEDLQSLSLEANGVNLVNRDGRGGPFLPISEKAAREWYFKQIGRWVNCNFRLGP SHADQTVEW L198_00783 MSDIQLDSALFFKRAEKIFNAWESPNGDTKSLEDVKALSILLGE PSDELASYNKTTALQLWLLGYEFPSTLMLFSQSPRKVTFVCGSSKAKLIRQLRPSNGI DIDIKVRAKDASAAKATMEEVVGSVEDGKIGTLPKDKPTGKLVDEWNAAVEAHGGLDV QDVAIPVSSILSEKDGEEIKSIITSAKLTSTVMINYFKSKMEAIIDRGTKVSHEALAQ LCEEKIGTDEKPVDQKLWAKNPSLGQVDFTSTEFIYTPIVQSGGKYDLKVTAASNGDN LKPGVILSSMGIRYKNYCSSMGRTFLISPTKKQESNYSILLEVRQEALKQLKAGAVVS DVYNAVFDFLESKSSAMAENFVKHIGFATGMEYRDSTFLLSSKNNRELTENMVLVLTL GASELSDPKKKGSTYALLLSDTVKVGQNGAAVLTEGATKLNDVVMDMEDDDEEEDVKP QIVDKKPKIKDSPVKPRTSTVGGRAKTRGANREQITQTTAEKIKSNQARLHGKLNADG IKKWEAGDEGKNGAQQKVVKKYESYRREEQLPKSVEDRRVHVDESRQSVIVPINGFAV PYHISTIKNVTKTEEANHIVLRINFQSPGQIAGKKEDMPFEDPDANFIRSISLRSQDS RHMLKVFDTITALKKAAVKREAERKELADVIEQEKLIEIKGRHPFVLKNVFPRPAPEG KKVDGNVEIHQNGIRFRPDGPASKIDILFSNIKHLFFQPSEKELIVIIHVHLKAPIML GKKKTSDVQFYREVADMSFDETGGKKRRARYGDEDEIEQEQEDRKRRAELDRVFQDFA RRIEQAAQNQQYELEVDVPFRELGFNGVPHKSIVTLLPTTNCLIHISELPFTVITLSE VEIVHLERVQFGLKNFDVVFVLQDLKKPPIHINSIPVVNLDNVKEWLDSCDVPISEGP VNLSWPAIMKTVNEDPHAFYAEGGWSFLTGDGSDAGSEESEEGSEFDASEGFDASSAS DQDSESDFDEDEDDSDDSAESLSDEGEDWDELERKAKRADENNQRGNESDDGKKKKKA GRR L198_00784 MVATITPSATSALLEDPSLVTESLSQLTPLEKFVLRLLTGEGDI AQAIRRYRASDEGAKAGKNDVSRFSFAMVREGQIAIEDGRNSWILVLWVKCWGLPSYI SQESDLSLGEVNLSQRRLFDISRASFLLSLGSEAVTNDHFHKFITFLSYDLNGILYRH SLLIQRLWLESFETEILPASHTPVDGFTSALPELSEHIIKHHVPPIVPYKPITSTPSL PQLNEILPPTKPRLTKLVIDKTFASTSPVPSTPTMNVARTPVTEAPTISLSSPALTSH YVTPMATPHYVPRGVLASNPWRSIFSWLKCMLRGFAFLCQSPEPTRILAETIPELERE MSQISYWLEGGAGPQVMVMALYYGTRVEKAPAGVSNLKVPQLSQHTTHANRSIQSVLE LDMSPSDLVSPTTDTALAAMTSVFDILVTSSIGSDSAQLAGDMFAYQETQSDSPLGRL KHASSGKKEPQDAFGTPPPTQSTSSNYQSSIHIPEPFHQAPTTPTPIRNRPSPRHVAS NKQLHSHSKAASPRKKTSQMFKETMDLVRGKVPQMKKKSSKSW L198_00785 MSSACPFHTIPAAPSRSPLPDRATILAIKEQTNTFVLGTRKSNL ALTQTGHVADDLRALHAGAGTRFGERQAGQTDGVDGEAEVPFVHPYSFSIESMTTVGD RNQTTPLHLLSPYSSTQPAKSLWTDELEARLTNGHFDLLVHSLKDVPTVLKDGCEIGC MVKRHDPRDALVVKAGLPYTRLEDMPDGSVIGTGSVRRVAQLKRAFPRLVFEDMRGNL NTRFNKLDNPENRFAALILAMSGLQRLDMGHRATSPLSSPTLMHAVGQGALAIEIRSN DPRVRNCLRGLGHWQTEWSCGAERGCLRVLEGGCSVPVGVESELEELDEDEIAANPEL LEGVEDPFREQQDAPLHNQSPMLWFSGLVDTVSTPTPSTPAFSAHSLPPLRSRLAKLT LHSCVTSTDGTKHVLFTPPPVLVRSYQQAEKFGEDCARQLRAMGAGEILDEINLLRKE RELRDLESAIERSRAAEEESEKMGLTQNGTAEVVA L198_00786 MADGIGIANLPNQRHKITSQHGAHFTIMVVGQSGLGKTTLINTL FATEICSPRNYRQRFAKQMDKTTEVEILKADLEERGFNIKLTVIDTPGFGDYVNNRDS WSPIVDFIDDQHESYMRQEQQPHRKEKQDLRIHACLYFIKPTGTTLKPLDVEIMKKLG TRVNLIPVIAKADTMTPEDLLNFKQIVRETVAAQNISIYSPPSDPEDEAAAEHARAMQ AVMPFSIIGSTTDVTTPDGRVVKGREYLWGVAEVENEEHCDFKKLRSLLIRTYMLDLT TSTEEKHYEAYRLAQMETRKFGEPKVKKLDNPKYREEEELLRKRFTEQVKLEESRFRQ WEQHLIAERDRLNKDLEQAHSSIKSLEAELDQIAAYHRQGGTVGRR L198_00787 MDSSPDPSPLPSPLPIPTTAYRRHEDLEDHEHSSPAFDYHQERR LHLKDEIWMSHHLPRLPPASVSEAGSSVKTETGTSYKNKMAPGDYFEFTSPSARVRQL SPKASFKNLTKPDFGNVARGGDGMARYDTEEAPNEEDDFAGAFQRRASIQPEPQSTLL SPSGPEYGDLDPAASIIHRRERDQQEALDLEEERKEKELATKGLAGIEGVQLAQMAPQ EAPKEHLPVGECFYSYLENAGPASSFVSSFPRGSANHVVVLCHVGSISTDTFGDKREE IVGRIKPLS L198_00788 MIDPQLFIPGVQTPFTRPASPSSLSSGSLSPGLPAPTPRIEQSK DEIEHMLIKMQILAFTEHGIGNELESLYASFSRCLELRDKYLELSNQRLGDNPRDHDG TFHGFNPPSAADVTGLKPEYNPESSELPPNAEDALPAWNIYPPPPAPHWHWKKADNGP LPEPAPLTNQGEEENDGKKAKGVSSKDSEVFEVADCEIPGRDPTGWTYGLNDEGVFTV FAAEPASAEATPVKEGEAPQRKTPLSRVPTLKEYFTDLDYLLGVCSDGPAKSFAFRRL KYLQSKWSLYCLLNEYQELADMKAVPHRQRRDFYNVRKVDTHIHHSASMNQKHLLRFI KSKLRKSPDEIVIHRDGKELTLKEVFQSLDLTAYDLSIDMLDMHAHQEFHRFDRFNDR YNPTGSSRLREIFLKTDNLLKGKYLAELTQELITDLEQSKYQQSEWRLSIYGRNTNEW DKLAKWVVNHKLISHNVRWLIQVPRLYEVYKGQGLVNNFEDVVKNIFGPLFEVTQDPS SHPELHIFLQRVVGFDSVDDESKPERRLYRKFPTAKMWDTKQSPPYSYWIYYMYANMA SLNAWRRSRNFNTFVLRPHCGEAGDPDHLSSAFLTAHSISHGILLRKVPALQYLFYLK QIGLAMSPLSNNALFLTYERNPFKDFFRTGLNVSLSTDDPLQFHFTASHLLEEYSCAA QIYKLTPADMCELARNSVLQSGWEMQVKKHWIGQHWYLPGAAGNDIHKTNVPTIRLAY RQATLLEELALIRHGKHSPSATPTHLKPRTETTAAIPERPGMTTQASDVGAAAMSMSA GVGTAGVGATNGSHLVGGASELDQRSLEKQRGQQ L198_00789 MLFTNKSSDKPKPSRCAPDRDSTSTLESLRVELHTDTAKYVEDS EALANAIALNDVFANPLVVAAAFSACMGGLLFGFDQGILSIVLTMPQFLSQFPDVDKD ASFSAAFNKGIMTALLELGALIGAFQAGFFIIGSIIQTTSFSFAQLVVGRFIGGLGVG LLSAVAPVYISEGESLTQLISRAVGRHVHF L198_00790 MPIAVNQNTNKDSRGVPFALARSTRERLESAGIDLSKGYPEYPP KPKNIETANAIRREGWEHNDPGSRADKDKKALFGAAKEVIHYSPYLGTEIVGLQLNQL SDQQKDELALLIAERTIVLFRDQDLTPQQQLELGHYFGVPEVHPTAARVPNLPGVSII TDEIARTNGLIPDYKSPFPTQKWHTDLTHEPQPPGVTHLHLDHLPGIGGDTLWASGYA AYDKLSPAFQKVLDGLEGLYRSAHSYTNPETGKSEPIVNAHPLVRVHPVTGWKALFVN SRYTVGIKGFEYSESQAILKKLFQVYEQNTDIQTRIRWSPRTSVLWDNRVSIHSAVYD YLDEGSSEPRHGTRVSSLAEKPVPVSDGVGKSRREALGQDTGKVHELERDTHSY L198_00791 MQAPRLRLNEREANPNPYVIFIRPLMSKKDYTDAEDILKAVGKF IDLPSSAQMRTIMKERFMQVGTLEEAAFNRVFAGRNWNHGQSIELVLRGPSGRFLPLP YIISVMCHEMAHIQQMNHGPNFQKLMNDIKADVGRLQARGYYGDGFWSDGKRLKDSVR MGGEGLRASDFPEYVCGVSASDAKKARRAPRRPASSGTGLVKGEASHLSGRQTEYKRK AGRRNNADMGETGARLDGVRAITKADREDRKAFIDEKMQTLADGGMAVTRAKKTAGEM WEEENPWWIESNTRSKVAKSKTAANLRAEAAEARLRALAGPSKDIKPKSEELQRMDDS DESGADEIQVVEDPHLSIEERKRDMEEDMDEEEMNNLRGGWEDFITGDERPKVPEVDK KRQRSISPGPLKQRKITTAKHQPLPRFSADLVREERLRALGLSSSSSGAGRERTLGST PASETPSPAPRKDVQVREDGTEGWSCAVCTFINLMDHGRCEMCQARPDGTIPEN L198_00792 MFQHPPPHLHPLHRPPTAPSTPDTEHSAHNWPNNPSFPHLAQGL QPANLPPYILDRRQPFGGYSANGADGFRPSASHTPQIGSAQSTTPGPGASGPLLPQNL AQHLNNPPPPTTSQHINAAVAAQAASTPHVPTPGGKVLLMPNGALPPAGSEEEKIYVL ITELLDPDTREGALLELSKKRELYEDLALVLWGGFAGIMSSLLLEIVAVYPALSPPSL TAHASNRVCNALALLQCVASHPDTRALFLNAHIPLFLYPFLNTTSKTRPFEYLRLTSL GVIGALVKQNDNSDVINFLLSTEIIPLCLRIMETGSELSKTVAIFIVQKILADDLGLQ YICQTYERFYAVGTVLANMVDALVESQAVRLLKHVVRCYLRMSDNPRAREALRACLPK ALQDNTFNPLLKGDLVTKRCLSTLLMNLNSPGPTPDHQ L198_00793 MSNLNLTRDWLQNVLRPFPSRERIQQEVLHILSERRTLAVKTEA FTFDSGHTALLLLVHGTLPITFRGATYHIPIHLWIPHEYPRAPPLAFVMPTKEMGVRK SREVEPSGRVSEEVVDGWWRSWESKSLDQILKQLASVFSAAPPVYAKPPAGVSSPQAQ SPLRGAPSPAPVAGTSQNQPPPPPARPVIGPPQPESLPVYRAAPTPPPHPWLQQSHSR QGSVNSNYAPQIPATPPQASATPPVPARPIQRAPHPQSPNGVPSIPQRPLSGVMLNGN GGIHPQSTGYGPGWGPQAMTASPHGQSPAPLQSHTNVNPTPSSLPAQSSQQAVEPSSR SQTLPATRQPTQDLLSSPEPSNAPLPSDPTGPPPPPPTKPPPPSLLHLHSILLPHLNA SLPSLVHSLQSSVENLQARTEDLTTGEPAIRDEMARLEAVKKVCDSVGRKMEDVVQKG EDRVAELESKGEIGVDELVCGISIVHNQLIDLVAEDNAIEDTIYHLTRALDSERIDLD RYLKSIRSLAREQYMKRALIERILQGMGQTW L198_00794 MSTQRLWISDASPLCFYSPADAYFSGQNLSPWTGSDSSSSTAKR QIGGTVAGGSTYHSATGSAGVILPSIYATSFAPIFNANDYNVTLQINSDDSQTWETAQ NWTASDGKFNQQTFTLQSSCGVDGGCQDAFEFHGAWVETQMAPSGSDTESVTLDDGSE LARYSGFSPIDSNNEIVQVSSNDHNSTLSMTSTAGATAQVDFNGASISIMGVVCPSCS TFTVTLDGTVAATLDTSNNVTVHDTLLFFTTNLDTSSTHTMSLEAQGGLVIDRFVAYG PAGGVGFIGTVAGSTTTLSPSGTGATTTTGATATSTGVKGTSGGAPNIGVIIGAVLGS LAGVVLLWFACRKAVQAKKKDDDKKLDPWDEANMLQNQKNEGVHVTTAANQRYVYPGL IAHSDLKKK L198_00795 MFKPIVALIGALLCLLPFTQASAAAQFNLDVNKQASLCFQTCHS AIVGSLALEETDTNAYQWIKQNCKYDKWQAAMTLCLPQYCTSAPDVAYAMEYAKGFCA RAGVTVNIELPESYLSGVNGTYFTSEEYLDSASSAGSMPSGLLAGVVAMGVVAVTFL L198_00796 MPQNSSPDRSSPIALSPPISIGGRHTQSAHRSARPPRNDRIGGD VVGDIKRGTRGSKTASGGGKASRTKVLLAVALLLFVILTSVWVMRLNRRVQTLGGFGG MLSKAQKRWAQREEL L198_00797 MLHRLQLPRILAAHAGPSTLRTFSASPAIGKLRSYPDENRQRQS QRGPVRAQRYRTEFSGGINTPGLTNHQDRDENAWTTATRLKRWIDKHKGPLSVEDTEV VSKMITEAPNHMVNAPVWNQLLGFMGRQKKLDRVWSLYNQMKKRNIVPTSRTYSVVLN AYSGISHSGQEPEFSFVTPSDRTLSRVTIIYEQSQVHIKGLAARLKQASAPSEDDLGV SLPGVALKEASKRKPLPNQAEIEEEVDLAPTNAYLKFLSRHGMWNEMQRVFLSLDTEG PLAADTTTYTIMFTTLYHVHRSLERAPNDQAQALKIGPAARGMWDQCIRQFGKVGRDP SRRIDNVLFAQYLRCLMRGRPEDHRQAISVAEQIWDLPSPGHAAPASASSTILSKPSI TPTVASLPKLSVDVRSATALISALHTARHPTLASHYTHLILANKGLEPDFDLEFLKIA ILALSSTGDIQAVLGVLDMYQPPGAGKNGWSRDTWRAAITAARWAGDYSAALSIFQRA THLSTGIGHVRMDPSDKAPKVASYIWSTPNGKGKDARGLTWIKPEKMDADAQFLGLLF KTALQKSNKEVASALDIFFHLGGEGAFLAWPYTEGSDEPLLLRTLSLRGVTASARKAL VDRVELARDVVRAAERIGGEANEGLVKGMGEIVSRWGPVLKGRVLQDASAVSTVRTKG NREREEAEWEDEDLEEEPVSRKSYGDKRSRGWKTKGNFERRRSGGRESSSRPWEDRSG GRGRDGEERETVTRRPWDRDASERGPRKQEFSGRKASHKDSEERDFRQRDSPERSFRE RGSSSFSDRGGFGSRGANKTDGAYGRNNNFSLERPGRPSFRSEERGSNPARREREPER SSGFGLRK L198_00798 MPAGFAYDFLLLFWRIIINIFFREIRPRGAFNIPQEGPVLFICG PHANQFLDPLLLFSEVRKESGRRVSILTAAKSMNRKFIGAVARVFDSIPVARAADYAT AGKGRIVLSESDPLIVTGLNTDFTTQVKPHSQLVLPKSAGYAAATVGEVISDTEIRLR TEFVVPSKDGNANVKASTRVRTEGEQKEGLEYKVLPHVEQEDTYGACFQRLNEGGCIG VFPEGGSHDRTDFLPLKAGFSIMALGAKALHPDLDVKLVPVGLSYFHPHKFRSRAVVE FGPPISVDPELVELYKQGGAKKREACGKLLEQVHDGLRAVTLRAPDWETMQVIQAARR LYRIPGQHLTLGQVVELSKRMMEGYLVYKDEPKIIDLRQKVLAYNRLLRDMGIADHQV ERASNKSVKSLLLLIYRTGLLIWWSLLALPGTILHAPVFILAKLISIQKAKEALAAST VKIQGRDVLATWKILVSLAVTPLLYIFYSILATYLAYKYDLAPAYRHWTPVVIFCWLP GWAMASLKFGEAGMDVAKSLRPLFLSVWPGNQREADKLREMRESLTEEISEVIDEFGP ELYDNFQRGRILPSASVPQTGRNPGLLSRKSQSADSSVLSHPMLWLDERIFGWNRSAS IGQSVWSSGRMEKTRSEMEAEPLSPTDSDGEEYEADVDYDDVLAIIDTKRSTGDDAAS PSERRRRYTGGSRSEGSAASPRSDTSGMPLPKVDDEGVLRLHKRPGVAAKGDVDEDQG EQGLGLDTGKGKAGKSE L198_00799 MQHRHCVQTYLYTREKRKRSSATRTKIDLNALSANTPSTNATRE LRRSTAIGWPFWFSTLVPTPSVQDASYCVGCAMVATLHQSRAKRVPICRFCQRQLFFP SLPHRAQVHDENYMLKVIHNTPVESRSSDPSANEVLLRMNVERFKQIHDGSFNFELFQ IWMMTLYYQFTKTPLDWSKLDRVALTLVWQASFGLQGPVLRSDLTDPRLQFDAVWSDP ALRHSSTLEGLLAFEKHPYGHKIVEQLTSIRRRMRQEAGGKQKLWEVNERIARIAASH IVRARQTYVYCVTQSYAAIPSLQVGGVPELPPNQKVQVLLEALQRLQTEMDDPAFEYL EHHYRKPRPYPASDFRVSPGLDQPVQATPCVPNTSKTVPFPKAPPSSAAKIPSVANTQ VHTVPVPTHPQPTPTADDTPRKVFPVHNGVPYESVQSPLKAGTHNNGEGRAYEDGQSA AIAIPTAGSKTLYPINSDYSRAAQYLGHQALQKSAIQPSSSEIHPTPQTPDASLATSH QTLCPVTPPANHQRNDPSVQDPRLSGSGRAMLAKRVVAVAGEE L198_00800 MAATQDTLAAALSSTVSPDAQTRRSAEEWLRNGEKQPGFLLLVL DLIKSDAADAIVRQAGSVFFKNTVKRLWSGDEETQITPGDKESVKSQLVPIMIALGTP QTARIQSQIGEGLSHIASLDFLTQWEGLCDELVSSLTPDNFVINNGVLATAHSIFKRW RSMFRTNELYSEINFVLSRFCEPYYGLFQHVDRLLQTPAASLPPNASLPLLSQALLLL VQLFQDLSSQDLPPFFEDHMAEFMGGGDQPGWLRKYLDWEVEELKGDDDDEAPGPLQK IRASICEIAELYAQKYSDVFTQLGSFVDGVWNMLARVGAGTREDVLVSRALRFLSVVV KMGNHQAMFAAPETLRAFAEKIILPNMAIREHEEEMFEDDPMEYIRRDLEPSTDSDTR RQAATNFTRALMELFEKDVTDIIKGYITAFLQEYSQNPAHNWKAKDTAIYLLTSIASR GSTQQLGVTSTNVLVDVVEFFGQNVFSDLQAASGSVHPILTVDAIKFLYTFRNQLTKE QLVSVLPLLVQHLGSDNYVISSYAAITIERILFIKVERQALFTQADVRPFAENILMAL FGNIEKGTTPEKVAENDYLMKCAMRVIITARQSLTPSYQGILERLVSILGKISQNPSN PKFNQYCFESVSALIRFVCEGTPSALPTFEAALFGPAQVILTTDVTEFIPYIFQILAQ LLELHPTTEFPDAYNALTGPLLTAALWEQRGNIPALVRIWKALLLRGAPAIAQSGQVQ GLLGIFQRLAPSKVNDVYAFELVQALYEHVPLNIMQPASNSVFVILLNRLQSKPSGQF NHCFVYFFAYLANLDNVGIDFLVQVLDGIQPGLFGNLLTGVILANTQKVTVRHRKLVE IGLIKTLSKSDALISTHKQYWPSVLLALLDLFTLPQDITYTNPEGSGDITELDPEEAG FQSSFSKLGASEKSVNDPTANIGDTKLFAAKELARRSGEKPGVLGPLMEAAQKEEQTT VSSFVQYMASNGSTIAYTFSIS L198_00801 MFLSANTDLAPFQLSPVSSPSKIYNLHREQSFYQEAFFSSLPYI QQLQQQLVPYGFREINIMDEAMLKPVIQPSFSGSMILNLISAPLSPLTATESLAAEEA TGTIHLLQLPLDLTTFFAPVAPYTSVQPACYENQRRIAFATIVSPSTCLITPETMAIP PLRDLIHFLQCLLPSSSALSLSSVSSATKDQYPGADRSSAAAKEAEDRVNEVALWRQG VKPDVTWLDKNELVLRDTVGCSYFRSLRKSLGEGEPILD L198_00802 MRLRIPTVFTHKSFIESLSNQRGSASRRYLSSSPLPTHKDHQCR KDRHTSSLRSTSVSDGGSVSVVSLFKEDFASAKVYMKELDFRQARREAQKRYMYKWYA IVLIIAVLTALITAKHDTIVDFMRPLCDRIKSWPGGFLIPIAALIVLSFPPLAEVVGV LCGLVWGLWVGFAILAAGTFLGEIATWIAFKWCCQARASKFEKKNKLYSSLTQLIREK SFMFVLILRFSAVPGHITTAVSASAGANFWSYLLAAFLTLPKQWTIVYLGKAFGNSTH TTKIVSAVTTVLTILATVVAAVYIYYQMRLVMRRQELAAAPDTATVSVVLSDFTDEKR DGLQSRRPWLSTEDGAPGDNGGFRTLTRSWSMPGHMNDEELRAWLAEIKNEKAMAAST GVQGEGIGVAEGAPAIKVEGVTILDDLPPAFSAPPSPKLLDTAATTPGNISPAFIPQE FASPSPSPSLLELNNSHPPTIASRRQYTDRRSVSDTSSIYEPSPGSGTSTPRRYGGRE MADDADAYAVAHGGQRPDFRRMRGDSRAALLGRPVDDAALDMSGSSWKREYSYGRSRG DSASTTWSRPMIDELGRTRGDSVGTVLVHSGRPTLEDIGVVRGENGWVRADNPARNSH GRRRGESAAGLLGRPDDDEVRLRASSAGRIHSRRESDWEVLKEDEEVIKGDENV L198_00803 MSSKKQTSFEPLDPTPLRPDGRRVAYFYDHDVGNYHFTLGHPMK PHRIRMAHNLVVNYGLADSDDEMEGLEEEGSRQLNGELGMVGEEGKWNTAGLRGARGR GVQILRPQRATKMDMTKFHTDEYIQLLKDVMPENADALTGNGTRCLVGSDCPAVEGIF EFASISAGGSIAAAERLNSGAADIAINWPGGLHHAKKSEASGFCFVNDIVLGILELLR FNSRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHLYGNFFPGTGQVKDVGLNKGKNYA VNVPLREGITDEGFQSIFKPVIGRIMEHYRPGAVVLQGGADSLSGDKLGKLNLTLAGH SECARFLKTFGVPLMMLGGGGYTTKNVARAWAKETAVALGKELAEDLPNNQYMEYYGP RYKMEVLASNADNYNTPEYLDEIKQQIFQHLKDLPFAPSAQMKCTPSIPVARAIGLRK EWDVEEPEDQLDQRLKKLLAQRNLDGLYSRDSGDESDDLIPRTSRSKRQGVSRPSRSS TTVNGRRKRYYEDAAFEDVTEDPCGVSSRKANGSQDRRALAGRDVEWPTPPGTASNSR DGRKGNLAGTRLASQRPTPESDDENDNEDHERGTPPKKERVGKRSFFAAARFGAGAST RPSVSSVVSEWR L198_00804 MSRQPPGDNTPSRDVVLPHPAEDLSADDDLLSWVLVDQLGSMPN TKLGVHPQQVKFVGPVFKTDEVLNIVRETVTKGNIQAAMQRLQEFHLFRQHIDTKITA GQKERFIKHLRRYLLVLQPASRLEIHLTSRYTFITGHTELAVFATRPLIPGTVMQELQ GSVVPLPNEWREEMDIGDDYAVEAAGEESDSEDENAMEEEDDGRDTESAAEGSSRRRR AREERKQQGQRRSDRTKRRDFSIVWSGLKRCYQLFLGPARFLNHDCNPNVELLRQGNY VTFRVTKPIKIGDELTTFYLCLTCEQQEKGGFAPKPPPTSRASSRRGTRGLSTTSDSQ YRSRRGSTHDSTFVREPSSLRNEVTVKAEPMSDNEEEEEIDFGPSMTLLAQSGRGTEE GSLSAVAEVSNAVTPFEDNEDWGNVKRESSVATEDEENGPPRRERYARKATQALKPWL EPKHKGKYVEQEVQYVGNDEDVPCDFPRCATCAKPLTDQIWYNGRYFDHCQRCVRHAL VFDLPWPAHRSQDIQEYPPAHLVPPGFIPRKISTVPLPSLSKAPRIKPVKFIVTEEQD GYHDGFYDRRARRLRDEIDAETFVIESLREAAWSVQEAREAAQEAKEEEKKRRLEEKR KLDATRVKGSGVWSRYEYVDEQAMRKKEEDRWKVQPGLTRRGTVRSVPQPDGDDEGED QWQPITVKQEVQSEDEDSGAKTESEEDLNRRNANKAAGLAKRRETIRLAREKKQAQDA ARRIRAAEQKQKRAEKLREEKEEKEKRKTEGASSSEEEEEDRQVFKRVTRSGGKHNPI VIDGEDDIQPAVRRPPRAVEKRPRAWGTQGAPIVIDDDDLEDEIRVAAVAGHGRAQMA RKSGPSSKPARSQSGVGDGPQESRASTSAQRSKSTPKQTPRSGSTGVRSSGRKTKPTP KVLEQPVSGSRPRRKTADVATDASSGTPQSRTPRLVLKIAAARPVQLNGAEVNGASSP GPSSTSARLTTTPIAPSSNTSIQSESLRKRGRPPGTGKWQIAAAARARSAKDKAAHAP EQGDGAGPSIVNDMERQKEILLQAAVASTPEESTFGTGNFDSRSPEKLRANEAIRSPL NGKEKNNGVAGGSTHTDKGKGKAVHPIEYPDYSASASKVLKKVVPPASFFATAAQHAQ SAKVHPPPWSYPSQPPAAQSSKVHPPPWSYSSQPPAIPKLADTTLNGASSYKKNLSTS APRRSEVATPSASYKPGSFSTNGDHRQSTTKRLIPSEDMVARSAREDVKGKKRARPDP ESPFPSPSQPLSKKTRTDVLH L198_00805 MSAPKTDIKSSHDTLGRGVFGTDALDITKLFDVKGWVAIVTGGG TGLGLVTAGALAKNGATVYITGRRPEPLQEAVKTASPTEGAGKIIPVQADMSSKEGIL KLKEVIEKEEKWVNVLINNHGVSLDVPKINEAEQTAQGIAKKMFEDETFESWADGHRI NTASYYFTTFAFLPLLDAAQKVGKYSEPGNVLNISSVSGITKTSQRGQFSYNSNKAAT ISLSHQLATEFARRGLGIRVNVVCPGYFPSGMSPVDEKYLKPSEEFKQKYGTPLGRSG NAQDYAQCIFGLINNQYVTGAEVVIDGGWLLVQAF L198_00806 MAAIPGWATDELGEEWPESSPSPPPTAKHHPVPSLKTNPDSISA KRGSLRMLGQAAARPLPPSRSASSASASEPKRIVSGHVDEGRGHVSGTGLDVGVLSPP SSRASSDGKPEPVAGTFVVKEGVDDDRGAHLARNGMGVKGKDIFGALPLERMFDPPSP AAADVKAEHAQTAEPSTSPESAPPTPAPKATEPPRRASHQYAPLNPSRLSKSVTPSSN DSFTTTTSSAPSRSTTPIPAPPREHEGEDSLLRDSTIIRSDIDDSAFGGDFVTAPQGE ESRNEIQYAGSQGISIQSYASSTRVREDSPFSAPGASYQFTFESPHQPSQSAQFSLEG SPFDPERDAAVDGPSHSTLNFRSRPLPSVIAGLAAGPSSGQTPINPALRLFRSTYDTF TREHLSAMVDSIAIEPSPSPPSIPSVRGLREWSPAADDSASPSQPSSASRAGSTPSTM SSDARSSKRLRLSPASPPARRAPLRDWAAQGAAMIGKLRGVDASTDGGSVSGFSGNEA QEGNTVDYVSSPSPPVQAPPPAKSNSDRGTQSDRPTHRSNPSSTSSGYLQAAADIMAR IKQRKVSESASDSPHIHGALTESDDNRVWEEEAGRYAMAKGKGKVGPSPRRILRHLSA SEEVKRAREEETDSDDEGEQIQFSQVSRVSYASHTSSVNRQPPRYLEERRPTSQASSV DDAGGPHIPQPPQFNADDLNRYMSSSTHATTTTALSTSFVKHRGPRAAPPTPSAMRMI RPDEVRDVVPDRIGKMRYDRVGMRWVRELGAVDEAGESRLTGSEESVDVFAGMESLAA EERSRSVVPQQAAPVDLQPLDESIIEHDRELRENADDEQSLVGRPERSAVTSSSSSED DQVLQHHDDITNLVQDEEEDDSGSESDVDEQTVRPQAAPLQTSPQRPLHHVHSAPAMM TPTPSAFAPRPLRSALRNVNGGSATPAPGPKKRQGWSDEATPMPAGRGATPASSQRRS VSFSDGKKAGKIENVEVEIQMARWTAKAQDEEEDLFRGDGTSGEKSFLPSARTKRIQG LLENMGDLTLADETPSKPPSRYVEKSDRPSSRNSLANSSRQSHSLANSSDSDSAVPIR SFRNRSSGGPRNTADATFLTECSFGVAHDKLVEMITDAEPFNPHWEKLKSINLKGKGA DSVARLKEFLPNLDEANLDDNAIGYLSGIPSSVRTLHVAGNRLTSLTSVNHLRNLQYL DISGNKLDSAAQLSCLIHLRELKADNNGISDLSGILDMDCLIKVSCANNKLEGLDLSS SRWSKLESLNVSNNSITFIKDLHQLSSAASINLDGNQLDVLAPSKPMPNVRVLRFSDN EVSHFDVSLFPKIRTLYADNNSLTHIARTDRHASSRLENISLRNQRAPSLRLTFADLE NVRRLYVSGNPLGDDFFPRRPLYSLVYLEAAACKITAWPSNFASNMPHLKMLNLNYNY LTSLDGIKGLKELRKLTIVGGRIGGEEDSKANKDIISGLRGVETIEELDLRMNPSTLS YYFPLLLSSSSPSSILDPSTAKPRLADQSTVAKPVAAPPALWHSYDNRFRKNLPDEWY SKRLLHRGLVMKACPQIKKLDGIVVEDGEKKKAEELLRAALVARRH L198_00807 MPRIRKKTSNRQNTRDRAKITKKAAEQKRKNKKAGKKDQTWKSN KKADPGVPNSYPFKDQVLAEIAEEKRRAEEDRIARKEAAKTKKQEEEEVDTPGISSVL RSVMSRNGPLTATSALPVEVEEEDSDIPELIDTALPTLQEALDRADVICEVVDARDVL GGRSAYIEGLVKEAEGRVVLIVNKIDLVPREALQSWLSHLDIPTFLFKSALPPLPPTA GSSKNPGPHFFMEPESVLGRTEFLAAAKKWSQNKKQSTKSAEPLVLALMGLPTVGKTS ILKSLLPSTANKSRHLVTPIIPPAQSSKQPQPTTKAPVEVELDVDGVTIKIIDTPGWE PVEDDEDEEEEETEEEEDPEKWDKLEARLAGDLLRRNMGRIDRVKDVFPLVNYIVQRC NHQDLMLAYNIPFFEKGDLQAFLTGLARAHQRIKKHGTPDLEGAGRVLLRDWAYNTFP YYATAPAASSADMEVEEVEKFDMTAVLEKCKGKKDMKKESAKGIVRFKSGDVDTRDII LDDDYTEMAAGSDDGSEDEDEDEDEDSEADLEFDEAVTEEGDEDEAPLLVGSEDGEEV EFDNDVEPSDDDEEAEEESDDEPELPSPPKSTLKSNKRRISEVSLAPKKKAKRVSFAK ESKAGKAVKGSKSQKKGSRRK L198_00808 MFGTPEGPWNISRKLENRLGPRLKVDAIVEINGPRAETALTSKR ESFVHEAYNDTVVLPDIEEFKRRVEAGILKEPRAIFVATPPTFRGGLGSNNNLEVKIN ELFPNSAIFLEKPVATGLPAEQSVGEAKAVGAMLETQHNAPVSVGYVLRYLKAVQEMK RIIEENNLTVMATNARYVTAYELAIKTDWWDKSQMQGPIIEQGTHICDLSRYFGGEIE METIAAHALEAHEKPGYLSKRNFDETVILDANRIPRATAASWKYESGAVGTLLHATAL HGKDYAIELEVYADGYQLILSDPYGTPALHIRKPGSDAIEIHPTPGDDPYQAEIDTFI DTVEKGNPAIKILSSYKDAAKTYEMTWAVRKSSEESTRRLDRKYRRV L198_00809 MRVPTTPGSFATGQTTASAAGDKPGSPADAAYTNSPVIFSSALI HLHSPTKATFPIISPPTPPQASPNITLISSVRLTDYTPMTQTLAQFIYPVGSVWPRVY YVAYEEAVRKRMEGGKGEMKLERGTMLSDLRNGRVVSRWTSLSAEQTVRIDWEGVRWV DT L198_00810 MTPPSTSRLPQSSSASSPRHASRHGRSKSGTTPNKPKLLPFPDL PELRSSRDSSRATSPLPTPSREITFDQMIMARGGSSDGGQGRQRSSGSGGRNTPTATT ATSPSVSSPLAAASLSRARALTAPTSANGSPDARSAPSPRRMSDFQTDAGPSRPSTSA PSAPSVAIAPPIAPPILLAPSSSVPAPATQPRTPVKFSHTDERAHHVHTQSAPPAATS FNPTTAVIPTPSLQPISEHLYNAFLSGMCADVRLIVRKWGVAYDVHKMIMSQSSFFTS LFLGGFSEATVTPKPAFKGKGKARIDVPADNWLGESVELQFDDPNITRAAFEICLARL YCPYPTLNFPTSILPTSTHPLTPSFPSSHEPAYASLNSSQPQNTHLATPRLLLSLLAT TVYLGHGALLREVLCMILRTVSPVTVGLYLAFATGDGIGEEEWEGQSEEGAKGFEKVA KPLFAPQRAHPSGMSPTVEEDPMETPSKSTSRPRLSSDSDTKVGHTDPSIYLNGTSDY SSSFQGDETLHSHDQASFASTDVHALPQYYGVVGDKIGEACNVWLARWGADTLHAEMQ TPNAAYRIWGHGGLLARFVRALLSSDFFFVKDEMERYQMTRNVLDLRRKGWEEVMEGV GDVSFSGTDVEHEWDEWEDEEREIQRVFAEGIRYCHMTFEDLSTIASDLDPHTSLPYA PLAVLQAAHWAAADLRARVTAHERIEEGQEAEEKDELGLTHTTSEIVDTLRNRRRRPT PRSRVPSAATTTWGASSSTASAFVAPPSSVSSTTDMNHSNHLAQSVWYPVPSDETHRI GASGLLSSGINGVSGVPDFGPDLADVLFQTSPVKSKPAPHGEKSSFGLVGGKATASEI EDKWVDEGGGFALLNLGLGKEKQDQWTKTEPFRFSVEFWDVDKLGEKERYYSATHFYA GSLFNWQMIKRKEKGVQLGIYLHRQSPNEPFPAPSAPHPADGLSLTRTTTTLSTTGGP MTPAAPQALLMPSPVIPGSPPATNNLLSLRMGQQGKGSGMASNVPYHDTRKTTKAYFS ISCASALGTALIRFTSGPDSFAHSQSWGWKSSALKSEEYLSVPPVVDGKDDLGEGVLG WTGEVDTSGIESGRGRCTLRATVVVGVV L198_00811 MASVVTHLIIDNYTDVGTVRLGKSGLKVSKIILGCMSYGDPEWS GWVLGEKEGIEQIKYAYEQGINTFDTADTYSCGASEEILGKAVKEIGCPREAVVILTK LYMPITRGSHKRPPVLGVLDKKGFVNQYGLSRKHIFDAVQASLERLDTGYIDVLQCHR FDYNTPIEETMQALHDVVQKGWVRYIGMSSCWAYQFHAMQNYAINNRLTPFISMQNFH NACYREEEREMIPTLQMFGVGCIPWSPLARGFLTRPWQNAEENKSTTRAESDALFKSS GFSAPEAGKKRVNEAIAAIASARSVSMAQIAVAWSISRPFVTAPIVGTTSLDKLKDLL EGIKIELTEEEKKSIDDAYAPQVVVSENDIQRWA L198_00812 MDRVNKWKREWVAPEGLPAESSYKVFKWVKSDVKAQFGNDAVYE VRDDTPGQDDDEGDEGEGQENEDDEDDEDGDNGQNGEESRDPAKGEVQAAQGGAETTG VQDDTSAQPEGEGDAPEAPKETESEALPETLSTAAEAVAEPQAALAPAPAPEPAPVPA ADPQSITEPTAEPTHEAPIPPHHEIPSNAVEITNSIPGDSAQLGQGDLGLQGGVVAER SHEEDGDVEMEGVKEAAVEEEAVQESGDQMEVDQPATLVEEKGETPVEKDEGLVMGEI EPPVPEIAVEGEDKPTEVEKEAELP L198_00813 MVRTIKLSDGKTLRAIGWGSGSGGIKGKDNNRLAIDAGVHALNA NIRHIDTAQAYETEEATGLSIKEAGVDRSEVFVTTKISGTVANNKEAIKENVLGSIEL LGFKPDLLLIHNPFVVEDGNIAKFWTSLEELVKDGTLEGVSLGVSNFRPQDLEAVLKI ATIKPVVNQIEFHPYVLVHLEPVLKIAKEHGIVIEAYGPLTPVLRHPTGGPLKPVLER IAQRLSKDAGKELDSTAVLLLWTIQSGVVVITTSKNEERIKGLAALDSVPDLTAEELK EITDVGKTHHFRYYSEHMTEDFPDPDLPTVDFPKRSRK L198_00814 MPPRIPTRAFTALPISQPVASSSAIPPQPRCTSPRSSPSHHFST LHAAARPLSGNATEKRRSFHSTAVHSASAKDPYDVLGVKKESSSSDIKKAYYSLAKKW HPDSSKEPNAKDRFHEIQSAYDVLSDDKKRKAYDTYGSASQQEGFDPNFPGGAGGFGG FQGFGGGFGGGGNASDLFESLFGGQFGGGRGGFGGGARQRPVRGDDLEAGVNLEFLEA CKGTTRKVTITPVVDCKPCTGSGLKPGEKKTQCSTCRGTGQQTFQVQGMIMASTCQAC GGAGSSIPPSAKCGGCEGVGKVKDRKVVDVEIPAGVEDGMMIRIPGAGDMPLAASGPP GDLLVRVLVRPSTKFRRQGTNVHHDAKVPLHTALLGGVIRIPTLEGEVDVKVKGGTQN GEEAVLKGRGVQSVYSRGKSERGDLIVQWKIQIPRTLTPFQRKILQAYADDLEGRTPQ IHFGPSPSESASTPNGETSSRNTSRPRPSWSTHPDAPRRTVYEKPHFPSHPSSPSSSS QQESSRPYEPVDSDDSGLAGKVASAVGGVIGWVERLLGKR L198_00815 MAPSSFPLLARVLAHAQSAPDSTAIVDVAQSLSISYAQLCADNL ALTSLLSPLIREPETRVVVLCEKGYLVPLTMLSTWTAGGLSVPVLPSLPIPEQAYMAS NSDARLVVCDAKNRLRAEELKSEILKENVSAEVLEVSLETIRKSSPAQDAVSELATLP QLDGERRAMMLFTSGTTGRPKGVVTRHSALAAQVSAVADTWHWSPSDNLLHVLPLNHL HGIVVALLPTLWAGATVELWEKFDGPAIWKRWINEQGLEPITMFFGVPTVYSRLIQTH ATFSPELQAAATAGSSKLRLQVSGSAPLPESVKKTWEKEGGVGGGQVLLERYGMTETG IIASNGWETEKRVKGHVGYPLTGTRIRLWSEESQTPITVPDTQGEVQVSGPPITKEYW RLPEATANEFIDGWFKTGDVGVWSSEPGAEGQLKVLGRKSTDIIKSGGEKISAVEIER AILELPGMKDCAVVGVENEEWGQVVAVCLVTSRPHVSVKDLREELRSVIAPYKLPKLL KLYDNEIPRNNMGKVNKKKLVLEAFPSGA L198_00816 MESIVAQFVSHIATCFASASAHSLLSSIPLDEAHPFFAPLRQAL ANTSPSHIAPASLGSYVSATPTDVKDNVAALISAVLRFIKGSTAPSESERAYEDFAAF QQAYSEANKIYGTSSPDGPYLYPFLNPLILQFARLVVYRSSTAASLSTYPLRHSRSAR SIRDATRQVIERSIQIASSSMSAEEWESEAAQEHSVGDIIWPLANILFRIYAERKLHT QSTELQKSLHNLSPAEDKRLASRGFLIAATDICQSYYWRGKLGVLLLDMRGAVFWLNK AWRMCPEDDMSWKQKRSILIRLIPVNLLLGLLPSTETLQQYDLPHFYPLIQAFKTGNV PVWRRILDEQREWFRRRSIWLMLYERGEMLVWRNLYRSALTAYYDLDPQARQNSRCPT WVFTVAAGQVFEGTGEVEDGTITIEDTIVILASLIDQSLVLGNLSYSHKQLAMKRSED GMGGFPKISLVVPRRVDAIA L198_00817 MSSTGWEVRFSNSRQLPYFYHAQQATSTWEPPADLTPEQIQQLP GASQYLNPQTKPPGGKDGQARASHILAKHSGSRRPASWRSDNITISSAEAQSIIEKHV AYLKSLPKEHLAREFAKIASTESDCSSAKKGGDLGWFGRGQMQKPFEDNTFSTPIGEL SDIVKTDSGVHVILRTG L198_00818 MSPEPRSSSNTSMSASPERKAPVILVFPSSEPASPPLRAVTPQN SKDSIAKSSPLPSEPTRTNPPSTRKRSSNINQGSRFPPRTPTNDRQCTNCGERDTPQW RGTLCNACALWKRSRGTDRPLPLLFPLRRSPTPPEDTRMNRQGMENNARYGVMPPNWW AEERKMLPPSDCLEYSLFPRQTMQHTPHHLQSGEYTSPISPKSHGSDTGTHGIISRRM AAIMAQAHAQTRPDYHANFYGTREGTGQEEYAPARHPEAQKPTHGSSPYKQRSQRPSP TFHPYATSSQRQSHAFCPRRIGQPPMSPSSPPTLVSSKEDFMRGAEWLYDMITRAAGM LVRAEDEYLREDNLGLNRPGD L198_00819 MGRSVREIVHLQTGQCGNQIGAKFWEVVSEEHGIQADGSYKGTT DSQLERINVYYNEAAAGKYVPRAVLIDLEPGTMDSIRGGPLGSLFRPDNFVFGQSGAG NNWAKGHYTEGAELVDSVLDVVRREAEGCDALQGFQITHSLGGGTGAGMGTLLISKIR EEFPDRMMCTFSVIPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICSRT LKLNTPTYGDLNHLVSVVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAP LTARGSASYRAVSVPELTQQMFDAKNMMAASDPRHGRYLTVACYYRGKVSMKEVEDQI QSVQVKNSAYFVEWIPGNISAAQCDIPPRGLKMSSTFICNSTSIQSLFKRIGDQFSAM YRRKAFVHWYTGEGMDELEFSEAESNLQDLVSEYMQYQEAGADDEIYGDEEIPIEEEE M L198_00820 MPRSLSPVGALQTKNVEFAKDHPFYFITPPSSSFRNESSRYLGE ELDHTTFVARASQDDPSNVDALTRTYDWETTSGKWVRHEYAIEASSRPKARLSGKLSR LLEEDDGEAVITLPIQESRFSALDESLWDSESLDLHFQHVSLDENQVPLVSTGGSRPW DYEAAWLLEIDDSLDVSLAGHTTDTGFPAVVHPWLVLRVFSHETGHRPKKI L198_00821 MTATSPLSPPTTLGVRPTDDAPTPGYESQAPLGRTLTSGSAHAQ SALRRMATHETGDYAEGEDRRPEGLDTHDEDQEDNPRDVGRNSENPEGSDEEKNIEGK HSRGKKKEAELQDQTNLLPTKQVILVFCGLTCALFCSLLDQTIVTTALPTLGQVFNRA DIAPWVGTAYLLTSTTAQPIYGRISDIFGRKFTLLACLFIFLMGSLACSLAQTMIQLI VFRAIQGLGGGGILTLSMIIISDVVSLKERGKYQGINGVVIALSNSLGPILGGVFTEK VTWRWCFYINLPITSLSIIIIIFLLPLRRVRGSMWGKIKKLDFWGSLLTLAWAVPFLI ALSWAGARYSWDSAPVLAPLLIGLALLGVFIFVEAKLISLPLVPMHIFNNRSTAANYF TTFMNGLSFYATLYYLPQYFQVVRGDSAIRSGVLTLPLMLVQTVSSFASGILVSKTGD YWWNLVTGFSIWTVGLGLLSSIGTDTSIAKLCGYQVIVGFGAGQTFQTSLVAIQASVE RKDMATATGLRNFLRMLGGTIALAACTSIVNNSVKKNLQGVLGDAQYQIILNDPTQAQ SLGLSASQFAAVTAAYAQGIREVFWFCTPCIGICVPVTAFLVKKISLKREDDAVKKAE SKAWVESKKAKKKARSNRLGSEDTAVASERETQNKKSSEESHST L198_00822 MLGLLPKSSLRTAISRSLHTTPARLAEGKTKVPVSLIAALRKSH PVPLAAAREALERSNLDLEAALDYLRTTTSASAEKKAAKVSGRDTNEGVITISLLGGK RVGMVHLGCETDFVARNDVFLKTARGVAETTAFLDVPGEHEKPKLLSNSASIPDPILE FPTESLLSAPVISLPSDTSSDNIAPIPTSEPTTIKQSLLSSLSQTGENLRLLRAVSFA APFPSTPTVRFVPGGYAHGGSSDKEGKVGGVVVLAVTGAEADKPIASIIHGPGGDALE KSLESLARTVARQVVGFPTKAIERGDRAVEDEEVLMEQAFMMFQGDSRSVKEVLAEWG KEKGVVVKVVGMRRWSVGDELETIEA L198_00823 MAKRKRDSLPPTASPAPIAPPSAAPDEDEGKAHVVVQLADGSKA WRGKLKPKRTFDQWVPKIVERLGVSASPDLRVLHVRDGGKEVEILDDFDFSALKSRAA SATTPLTVKIYTPSTSNKKAALPQTPTPAAASKTPNNTPATVQKSKKKGKGEVSTPPA PPSAPATSKKNKEKAKAIPQPDLPPVIQTDRPSQPSPAPPIAPATKTKKRKRDSTSSN VEEAPACSTPGPSQHDQGSKKSPSPTQPKKKQRKRTSQVSLPPASPAQKAPTFSLNAP SPATATGNPFPFSFSPANYNKYKPAKPSPLGRMDNPMESEGEKETEKDGKQKARKPRK KKEKAAAASEASSVSKAPEAPIPAPVSTPAKETSVSAPAPSTPATPDNTTPSKTRKPR AKATPKPTTPNVSNEIMRKHREKLAAEAAEAAAKDAGISAPEVSTPSRDEAPAEVQAQ VTPKGKTATKDRPAGTSDLAGKFTSSTPASAPASAPASAPASAPASAPAPSQPSTPTP KSASKASKGKAPARPKPGPRASLAAEIAAQILRETQAKEAAAEAAKEKELEREAEDDA MEVDAPAAVSSPNAGPSSSNTSSLPEPSTPASGSGKKTKSKKVASRASISTNNTPNHE DVEKEAGDANEHEEPQGVVETAERQPEVEVERAPDVSNKVQADGDLETREEERVQEPV ADPTQVPLPPPKQPECIICQGLSHPQVECEVVKAGIPRLRQVLAERCTEENSEAAVKA IEMWIQRLGRVANAVTGASPKPSTPKRVDSPNTTAPAKTPTVTPHDAPTSNRKAVSES SASDVSKSPTPPPVPPTPLPELPPIYHKALSRKAGPGSAISVSDAVIETGSSASDTES ESESDSGSSSGVEGSRSEGSTRSTRARSSSASSSSSSGSSRDSPSPPPPDLSSLDPGA ALRHFLTAPLSQKQRRAARDSAAHMQAPGIDDEDEPQVASDVDSEEERRANGSFHRGK DDDEESLVDGYGLDEDEKEDESVVDEEEHRNSVPVVTPNEEPGQGDERAKSVEDIEES ESFETPAAVATQVEDIEQESEPMDVDTVTQFPSQEGAIDGDIQTPPDSSQLLQTFESS RQSFSDLAALASPGAPPNELPGDIAIRDTIDEEDPSQRVARDEPSIEIHISSSNNGPM SPPASAPLPLEEDSLPATQMIPETQLEAVTPTARSLPTRRSTRQLSRQPSMELERSPD LRPAVTFQVSHVRNGGASSPPLDLPPSSYPLNSQPDIAPTPSRRRLRSASRDPPPPEA APAPRSRSTRSSSRQLEVPSTPQPPVRRSARRNASQSKDVQASQPEPVPVPTRRSSRR QTTPLASSQVDQLDPSSPPANQAEVVEESPLFIAETQPNGSQGESQESQTQKDFGRFW KHGGSQESPLFMSQGSQYPQTQAYNIYPTLESSDTDATPKAKTAAPESSPTDHIGDAR KNGTLRMGSPIIEEEEEEEEGASHKDDHGRTPTGEDQSMEQESDASDDEVPINSIPIP RPASQPSQSVYPTLKPLPHPASQGSSFPTLSSLPRDVLRGFTSMFASPSKPQPESSNK GQSTMRDVAEQMQGVGGDDSESETSGDSSEEEQEPEKLRGRFAGTKAKKAKAKPVVQG W L198_00824 MSLADPYPPVIATEGSTAFQSHILSLLSVISQHPSPDYPFPSKG DPISESSRQEGGSGSKQDNLSTFPGKKTPAEDAIERAIIALGDRVWTAERTQGQLMRE IRREMPVQQTTDLPTPDWTPPVPAESSEPPAPGPICPTCARSISANHDITSFTPQQIA SFYHPFTAALSTPPPPLPEQMFFPPNVLAAYVNATSDNEPSDDSGLSAEKELALLKAQ VQDIARVCKAVATGDLTQKIIVPVKGQAMTELKNIINAMVDRLQTFAVEVERVSLEVG TEGKLGGQAVVPNVEGSWKVLTAVVNKLAANLTNQVRSIAKVTKAVAKGDLSETIDVE ASGEIAELKTTVNGMVMSLRTLADEVSRVSLEVGSQGKLGGQANVPDVEGVWKDLTVN VNRMCESLTTQVRSIGSVTTAVARGDLSKMIEIEAEGEMAVLKNTVNSMIRQLTIFAK EVTRVALEVGTQGQLGGQAVVPGVEGVWDDLTTNVNKMARNLTDQVREIAMVTKAVAR GDLSKTVTADVQGEILDLKATVNEMVAQLTIFASEVTRVSLEVGTEGKLGGQAVVPNV EGTWMVLTDNVNLMALNLTTQVRSVAEVTTAVAAGNLSKKINVAAFGEILELKNTVNN MVDSLRSFSSEVTRVAREVGTDGRLGGQAKVPGVAGTWKDLTDCVNIMAANLTEQVRT IAHATTAVARGDLTQKVVGVKVSGEILDLVNTINNMIDQLAIFAAEVTRVAREVGTEG KLGVQAEVENIEGTWQEITSNVNTMASNLTSQVRAFAQISAAATDGDFTRFITVEASG EMDSLKTKINQMVYNLRESIEKNTKARQEAEMANRSKSEFLANMSHEIRTPMNGIIGM TVLTLESELTRQQRENLMIVSSLAGSLLTIIDDILDISKIEAGRMTMEKIPFSLRVAV FSVLKTLCVKAAQNKLDLIFDLDPSMPDQLIGDPLRLRQVITNLIGNAVKFTTKGQVA LTCRVKGYVTAAVELEFCVADTGIGIKQDKLDVIFDTFAQADGSTTRKYGGTGLGLTI SKRLVNLMNGDLWVESEYGKGSRFFFTTVAEMTSVPRDQIIERLSPWAGRSVLFIDTL GDETGVVDMLQELGLKPIVIHSVNDVYLLQDQGLTVFDTMIVDSLKAAGELRGIEYLR YIPIQLLSPIPVKDCLEMGINTYYTTPLSLQELSNAIVPALETHQIQPGDTVKDTVLS VLLAEDNLVNQKLAVKLMEVAGHKIEVADNGEIALEKYKRRQVARTAFDVILMDVSMP VMGGMEATGLIREFEANEGVPRTPIIALTAHAMIGDKERCLAAGMDEYVTKPLRRGDL LASIAKVLAPKQPSSSLEIPMISATSALYGR L198_00825 MSKKESFSDFLASGSGSPIGGGRGGWQGRGGGFRGGRGGGRGGM ASKNSKKSFNADYSDVAFSYEKLNSERKYSKMEPSVAPFGPKDATSQQDIPHGPRHIP RLPHAPGVATPNKIRGLGFHTPVQSDSDTARPTAMKNKNKNKFKPGNSIWGGGGVPLF VKAGELFKDGEVDDITHQDEDKEIHVDKLPLSDPSAPPMSDLQDEVEIDVYRQPSIVP DDIAPNVAADAELEGEDVANVTKFTESLSIHAQVGDLSIDQVDEEYTWNQKATEAAPV EPEEPLFFVDTEPADDNAFFVDTNPTDDNSGPSFTPQYDTVSAPALGTTANADSDEED IVFVPRTLNQPKPIALPSYGQSRQIQTQPQPEWQPAPGPSQPPTHWGPKSRIVPPTYS PPDQRASLHWDDESSALPQSSHAAKKLGKTAKRAARKERKKEKRGARRFALDPAPRVA RQDSDVEWGTPGPPSGDEMMSVDSEDGRARRRENEDILRDYMEGVKLGLRNDQEDEKE DDGIDAEALANWADKANAYAGGQGFDDDSEDEEVKPAAKKAKSQSRPVAAAPQSKAHA LEASSEDEVEELAAFDPWVQKVNGQKQESDDGMDLEEEKVSHKPHVVRSETASRTLPS ALSKMPSERQSPWERRQADSQNIVKDDGDDADSLAQWANRVNKGGDIDNDDESDEDAP ALAKNQSERPIVRFSQPATHTDDNGGAEEYDDLSNWVNRVNAGEELDDDDDMGMSHAP VQEKEEPQEGWLGHTAEEDKRVSEAKDEDDSSSSGTSVFDMTELEEHHRKQLFDGSNK WDDEGGDKGEEQENDDDEEDEVGEDGSEDSEDQGDDLDQTDWFVQAMEDALIGRDVDL DDYRGKSIFNPLGEDTFPDDFAPTKKSKKGKKLKGISMELQVQWEKDRATKAEKKRQR ELERQAEVLDLSALLGASSSRQAKGKKGKKMDKKTSKKIAQASVAHLVSGSAAEIADM FSDENMSDEDDDVEFDMEGQGKYGSGRKAQNARFSMGGKRQSRPASPQFLPQQPGRKK IPSATDWTSLDWVDDLIQNFLDDKKQEQITLPLLDKATRKKVHMLADCYGVKSNSRGS GKKKSIALNKTKRSGVNVKTQVRDRLLGAAPYSGGMFYKALHSKSSAGKPKVKGNDWG AGQSAKAMEGEEVGFGADKIGNDNVGHKLLSMMGWAEGEKIGKAGGSGIDMP L198_00826 MEEAKLLSDALANVKVQTVQLKRCLDQDEIMEALKAASSMLSEL RTSSLSPKQYYELYMSVFDSLRYLSNYLYDAHTEGKQHLADLYELVQYAGNIVPRLYL MITVGSVYMSIPDAPVKEIMKDMLEMSRGVQHPTRGLFLRHYLSGQTRDFLPVGTSDG PGGNLQDSIGFVLTNFIEMNKLWVRLQHQGHTRERERRELERKDLRILVGTNLVRLSQ LEGVDLDMYSKMILPAVLEQVVNCRDVIAQEYLMEVVIQVFTDDFHLHTLTPFLGACA QLHFRVNIKQIVIALIDRLAAYAAREAEDEDPEEKRKGEEEAAKRLAEKVKGARGKGK KVEDGERGVAPVAKPVEADEWAGEADGKPSTSPKEEANGESSKPVEEKKEGEEEAVAE KKTEEHTKKFRGIPEDVKLFEVFWTQVVELIKARPDLSIMDITALCVSLTNLSLSCYP DRLEYVDQILAFAHGKVLEYAQNPDLLHPQTVANLLALLLAPISLYLSILTLLAIPAY TPLLSAQPYPTRLAISQAVVSSILKNNTLIETSDDVSGVLGLCAVSVKDQKDNTIGGG APQRRGQQVDWREMAEEQGWVARMIHLFKADSLAAQFELLQTARTHFSEGGGRIRFTF PPLIASGIQLARRFKAREQEEEDWETRVSSLFKFIHQLISILYHKVEAPETCLRLFLL AAQVADDCRLEELTYEFFVQAFVIYEESISESRAQLQAITGIISALQTSRVFGVDNYD TLITKAALHGSKLLKKSHQATTVLYASHMWWQGDVPGREKDDQTPFRDGKRVLECLQK SLRIASSCIDEITSVQLYVDALDRYIYYFEQGVEAVTPKYINSLVELITSNIDSVHAG DVHPSSAGGGLVEGVSGGDMIVRHFRNTLIHIQGRQRQAQKQAESDTDEAEGENEKKV DWESVDIVGGSLKMGLEH L198_00827 MAHYQQAPYSDSPAYGQNAYQQQGNYGGYSDSPQQGGYNQYSGG HEGPGGYGGASGYEPAKKKRNKWLWIGLPILIIAIIIAAVLGGVLGSRSSSSDDDNSS SSSSDSTGSSNANTGLPSGVTSANTATNTGANGEVYLAVATDSEYMLPVYATGTNTAG YSAPTTVSDAASTDSWPDDPDTVSNSSIRANPRLAPAYKWEALTSGGLIENNPYFNYW NATIVQNASDTLSDDPTPYDIDGGLAGSGVLDVAREIKLKVKNWAYAYKVTNETRYAD RVWLELRTAAGNNSDVSFGNETTRWNMQNHALDAAEFCNAFAIGYDWLYDFWTDDQKD AIMWSIINLGLYYGNLALNGSTEASSYNWWAGTGVGNSAVNGNWNCVINAGLTSAAIA IIDRDPTGIAQTVLDLSTADALNNCFTAPYSDGTWSETANYWYFGTTGAAEIVNALES SYGNDRGLLESNSDGWNHTSLFHIYVQGMTSLFNYGDHGPNKYSSTANSLLYWANRFD QPRYALYQRDHYDAPEPWSMFWYNPAFDGTWWDGLPLDHHFDQSEGQWATARSSWADN DGTYWAMKASELTGHQTHGDLDIGDFVIDAMGQRWAGELGSGQYLSDGYFSSEEQNSE RWDYYRKATEGQNTLLISEQNQQVSATPTGNWGSSGTAQGPAPSFEIQSDDTAYFWTD MSSAYNYTVKRGIRFVNARKQILLQDDVESVPNLQWRMHTNATVSLSDATATLVLDSE TLVASIVQGPSGAAFSTAEPTRASSDPALPTGTINADQENPGVTVLTIDVDDGGSFSL QVLFTPQWGSNFTAVDSVNNVSLDDWSLTSH L198_00828 MPPISPAILSNVSGYMSIALWVVVYSPQIWENYCLSSGEGLSVP FIVLWLLGDLTNLWGACLAGLLPTVIILAVYYTISDVILLLQVYYYRRFPSAQAHAHI SPSDESTPLLPEPRQPKPLLPPSLEYPALLAFVLLSGAGAWYLTDKDEVHVPVEPDVT LEWKSQTLGWLSAVLYLGSRIPQIVHNYKTRCAGLSLAMFFFAISGNITYVLSILLKS LNPRWILANLPWLAGSGLTVFLDLFVLGQFAVFSWQDKQKEKVFVEEAEEEEEEQV L198_00829 MPIHIPKRALRSGITIVLFFLGPIIILGHLASLSNHTDEHERWH AVFKEDHSEAGWKDAEGLSLQESNDYWYDELEFEDEEREGRGSRVKHGKPNHKGDAQQ PAELSHAHRLLPNGLLIADPSSPHPIYTLIEEANKNWEQKLGKASRSLSEAADEYRRR YERNPPRGFDRWWNCQMNMIRSQVNLDLEPFHALTPSQLRAHMDTVSRHSGMYTISCP GASNSKPSARCTFEIVEGGLNDEGKRVANQRARAQVELLEDVEELLEEVQVTFFSHDV PWQFVGHEYVSGLVFERPAANARHPDKKQLDTAHLGWASACAPHKPLRETYDPSILPD LADLWQNDKSFIWDHKASMDPCIHPELTHLVGFLSGHGKGPGPRKDIYPVLAMCKTTL HADVLAVSMEAWTEDVEPDPAWEHKDDRMIWRGKTTGIFFREGVPWNISQRINLVQHT GQKEGTLPVLPPALDSSSPVGYPVETPLGDLNDDLVDVAFVDHAIQCDDAVCDEIQEN YHFGDRKTWAEGNGYKYLLDLDGNGWSARFKRLMTTQSVVLKSTIFPEWYTDRIQPWV HYVPLKASLTDLYDVLAFFRSSPSHDDLAKGIGQAGREWSLAYWRKEDMIAYQFRLFL EIARLMAPDRETASFHLRGDDLE L198_00830 MPPDAYYPLHQLPYHVSPRPSLDMAYALPYTPVRYDHRAFVTPD SYGSGDDGWGDKRRDTDDYERVDSPRKKPRITLPRGHACVACSKCQEGIRPCATCIKA NIECKDEDIPRKKPRNVLLEERILELESMLGIRKGSSARPSISTSSFSTDSGPSSDFM AGEPLYSGPSRISTPYVGSQPLIMPPPLSSASPHMELDIIPGSSIELALIQYILPYTP HLLIPVHPERLLAELSLSSHDPSRPHPALLYVLFSEAVRHLEAGIPPTSLPKPPYLFH PSLTPPIPSTGINRDSLLAHLGGTAPLLLERARHELDVGIRQFGRVFDLSRAAVGIAR SLYTQGRFVEGWSIPVANLAIACGLHRISGGYIPPPPSIPPATKIASIPDPYAREHEY SHAHTYGRPQVGQPQLRMKVVLVPPARDEIEVAERVMTFWAVKRQCWTMSIGWGWPDP LPDEECTTEWPWGWGHVELGQAGVANERYSLMDLFDPSSAMHTSTQADTTCTLATKST ALLARASSLSDLPHSQRTVALPDGTVVATYMAPMPEIKDLQTAIALFRQHIPAPFTFP PSPASSAPLSGPSTTPPSPTAYPDIYDGPSDPFWILLHTNLYTAEMLMWWEMANHQTE AHRSAVQCARAIIGLVVLVPDEKWANVDVLSALGLSYAARVLNKEAYLLQAAGSIERA ERAISDSEVLRHCLEGPINKYLKVAGAFSQIVNRIKAGQSEKVGEYERV L198_00831 MVREPSHAPLHQTDPRQASSDPRARNLLATIASEKRNLEGAKAV IRALEASSKNENVIRQAQNEVRSAQASIQYLEAELEKLSVSGPNTPNRGEGSRPGHLA PGGRSESHLPASPSPSAKGFGNSERPLPPPPPGAEADAARKPEQKNYTQLDLLRYDAP LSGAKITRMLNQLQFKLQVEEQYKTGIEKMAQAYKMEGDKRLKSETDAKKVESEGKIQ LLRKAKKRYETLAKFGGGVEDDEDLVPDSQRKEALRKPISGNLVISLRAAQDLNHRPL PRRSSKAYTETTVVVKIEGNERAISAPSRNDKWLEEFNIPVEKANEVEITIYDSVAPG DSAPIGMLWLRVSDLVEAIRRQKVGIEGQDAGWVTAATAATMSGPRSSGTAPDSVTLH SAGTMRGKPDGKASEGISGWWSVEPAGGLQLRLDFVKDTVAGARRPYEALGRQGAVRM RKGEVHEMNGHQFVQRQFYQPIMCALCQEFLLTGEGYQCEDCRYACHKKCYPKVVTKC VSKSVADGEGDEEKINHRIPHRFTAYTNMSANWCCHCGYMLPFGRKNSVKCSECALTC HQTCSHLVPDFCGMTMEMANLLLKNLRDIKTTQTRRPHTHSPSATSVSTLPSYHSQDK VQTVQPAQPPVQQPSGYDNIRPAGPSGGRPMPQLPPVPVSDSSISMEHPSQDGYARMP PVAQQPQQQQQQAPPRPLPPTTQFLQAQPAPQQQAATRLPLQPPAQPTQPLATRKRKV GLDDFNFLAVLGKGNFGKVMLAEEKASSSLYAIKVLKKEFIIENDEVESTQSEKRVFL AAAQERHPFLLGLHSCFQTETRVYFVMEYISGGDLMLHIQKKQFTLRQAKYYACEVLL ALQYFHSKGIIYRDLKLDNILLTLDGHVKVADYGLCKEEMWYGKTTSTFCGTPEFMAP EILLEQRYGRAVDWWAFGVLTYEMLLGQSPFRGEDEDEIFDAILEDEPLYPITMPRDA VSLLQRLLTRDPERRLGAGPGDAEDIKKHTFFRDVNFDDVYHKRTPPPYFPTIGNATD TSNFDSEFTREQPTLTPVHTQLSEADQKEFAGFSWVSAPYD L198_00832 MSSNDDVLTKSVLPENELKNGDMKTVEFGDGKVLVSKIKGQLYA TSAFCTHYGAPLEKGVLSHDGRVVCPWHGACFNVCTGDVEDAPGLDSLWSFTATTKDG QIVVSASKKEVASKVGRVITKKGTKQVSPKGSKSEETVVIVGGGSGAIHAIESLRING FVGKIVVISEENHAPIDRTKMSKGLVDDADKLQWRKPDELKDAFGVDFYPGTAINLDT QTVSTSSGSNVKYDHLILSPGGTPRKIPIPGADLSGVLTLRHVPDTKAITSSLTEDSE VVLIGTSFISMEAAVAIVGKKPKSVTLVGMDEVPFEKLMGKEFGQAIMEGMKSQGIKF HMKAKIEKITPSESDPSHVGAVHVEGLDPIPANLVIMGTGVAPATSFLKDTLKLEEDG GVKVDEYLRVPGHENVYAIGDIAHYVQYPAKFPRRVEHWNVAGNHASRLAHTITHPES PVAYTKVPIFWSSIGKGLRYLGTGAGFDDQYVDGSFKDLKFAVYQAKEGKVTAIATMQ RDPIVAKASELMRLDIMPSIDDIRNGKNILEIDLAEKSV L198_00833 MVTAYSLKSSELRYTANTQLEQLHARYTGTGHADTTKYEWLTHQ HRDTLASIVGHPALLGYLSVADGESQARERFELTEKMLQPCGKPPAKSEE L198_00834 MFYATAGQQATQQPPPSRAAIPGYPRAGPPGFGVRNSDTSDFPA LGSHPQHTTNYATQGSNAASSQQNSHLQQQQLYLQQPQQQQPGVTPPPPPGISGPAVG AGAQTNGGIADDFPALGNGDGKDGRLTNFLRNPSHQIPSSPAPLPNGTNNASVSHSVA VTPSTNPPHPHSGAGGPPSTTESWQRQSPRPSEPVVRPVQQLLSSPVDRWGLKALLYE IQIHMNKTDRGMMVFGEDLEELGVDVNTDEALYPSFVTPWADPNSLPPPQIEEAYHIP QCYYVHAPPVESKLQNFTEDTLFLAFYMSPQDVLQLRVAEELYARGWRYHTELATWLT SPSLPSIDLAKTDRSGGQPNWIRGPFQYLDTRSWVKQRTAEDFMIDANVLELTRRADD IIREEAVRKEQKGQSPNPNGGAVGVPSAQGQQMPHQR L198_00835 MGVFIKTVYNPLLSQPFISLALTSLTLLLLVLVLLSVPGPIKGM YWFSVQGEGDGPMSAGVLGWCMTDTSNCTYAPLSENAYLSTMIDTGEALTVRIMLPLA CYWMIVVFVTWICLTIATPIGYRIRDLDSITRHLRFAVAEACVLCVSLFGNVLCWLAF GLGRAAYLSIERGGGKPKSGHAMETTAVAAVMSLLSLGTAVWGLHLRLKQAQSHWRDE AVMVRRRSMALFASGAVRPEDANALASLGMTEGAVEKRGSKRWSSSSEVPGYPQSSNG LQHRGSMVKATYSPETDPEALPAGEEEEQIDAKLAEARRNSMDQEMILRRQSVNDSPY AVANGTPRPPN L198_00836 MLATESVRPAYRPAGGSSSSSRGASGAHGSNWDEQIVPTLRNRL ESESAYLTKRLSSTHFDDAAAAGIGMGNVNYESSSNHHYQPQPHPQSRPKQYPSASGA IPRSSRHPPASAETYAPAPLGSQAHQRGSPQGSPHVPSQRAGSPATTSASRIPTRPRS KSQLCSRPSLNRGGSSPVPRMPSPSGIPVAANSRSRSPQPRDPRYEGGLSRGYSSRLP DIGRERKPSGRGSPNFDGGRVTEGFIKNELPPFKMQAEDALRIAQRGHEIPEMEQWKE SWHSREAEDVEEPRHGFSEDGHGGYAEPRKRAVTMKGQGGYGDSNGIGIGQTSNRGQT GTPKYRVGSSRPANISTPNNQFAGPRSASMNIHSGSSPHTPNSAQTLPSSKSRLGLAA HMIPPESSYTPPKNANWDEVVLPTVAKKMGMGDEKGSPNPGEFGEEDYAVEWDRDGMP IRWAKRKIVRKQALTEQAENMSTPNKPIFVPTFEASPDNPFRPPSTNFAQHSDSIELG ALRGSQRHVEQPSPSKAEFQSQPGPTRKQSLLRKVSSQHMSHSASQRSLRTQNQAGRN DTFGGPSQWGAPQAPPPTPGTTNRITDAIYEKHLGSMERFNNAQVERNNKVGGDGSGA PPVSSKDDGKHGKGCGCVVM L198_00837 MIVTHTSTNPAPLSPHTVDVLITISSCLRHVGEEARATESSLKA LVSDLEDRVGELEDESRMRQGREAAWEERLRREAAWEERLRRLEEADTSGGDGKKRKK KKEDLDVSKDERVHCISGHEIRHPAVACQAA L198_00838 MTVEQQESVIPLDQMPPEERQAAERPPDLPTTIVVAPSTPVSAP SPAPLTYTSPAGVVLTFQNAGHHLSRWFQASGHTPTYNMEDVLSPGVTEAPISKKAAK KARLGEADEPAAAPTGPPLGSMRVCTVDLPYAGSVRSGLWPNKSLAKQHASFEACKVL MDKGEVDTSFQPTPSHPRGKTFEERLETKSRCNYRWESRKLAIQDQLPQGTGQGDYEY KTTPEFWGTCPPFQPDHLWASVFQLSPDGEGNYDNHPECRMMCLITSRPLPFWSSVGE TVVRMGVGEQPTLQASMRLINGGKMEPFQSGTLEQAQVFTEKLMRAQIQKPLMADLAH VKWLLVPLRRSFKAPTKEIVSQNKGIKLRLKDIAWKEVDAVTDGPLTMPFTHNDMDIL QRQCKDRMSTVPSEMVRRNYIVRIRTDLNPFSPHPDHPEETILQTLEKEPTTLPELSD PSQPILEVEPAALPKTGSYITYLSIPDEKRPKLYLIPEFEHWHCIPSSVHRTTSTMPF FIHQLESMLVAWEASEKLFGSVVRPEYALQALTAPTGMNVNSWTYERLEILGDTLLKF FATLHFYLAGGGANSQDDPLKTWQDRHKVVSNKTLAHNCIKLGLVNYVRDRRVKVKEW APRDWDFDTWKGGTAMKKHLKTSADESEFRNLGDKLLADVVEAMIGASYLPNKDMDNV ITTLHNLTVPLTLFKSWGDVKHVLQREHEEEEAKDPDLPAYMNFFAKTKMQYEVFGYT FKRRKTFEDVFSLSSKRETRMKRERYKMLGNAVLDVYIIDYLYETHPTEGPGSLSNMK ASLRCALAVELGLQDLVIDGDDKTRRELGRATYFMNEARKKADAGLSEEEQGEVHYWE EVTVNHVTGSIMEILLGAILDDSDFDLEPTRKIFFEKILPFIEKYCKGPANNDMHPKG QITRWMQAKGCMLYSLDVEGPRLNIGTFKVHDKEIARESAFTTHLAVRNVCNAAIRKL KDEGGLEVLCDCASFKKVVPDDKIEEIFGKSRRERMLEAQEKDAASRKA L198_00839 MASISDLKQLDQHLASRSYVDGNQPTTADVELFKALGEAPAFSH VVRWYKHIASHSADFASLPAGKSPITLGASSSSAPAAAPAAAEDDDDEVDLFGSDDEE ADEEAERIKAERVAQYTAAKDAKTQEKLAAGKTLAVAKSVVTLQVKPWDDETDMAEME AAVRGIDKDGLVWGASKLVPVGYGIKMLQITLVIEDDKISLQDLEEEIQEFEDYVQST DIAAMQKL L198_00840 MPAPPPSPLPPNRKSLTLLLSSLPFLITFTHIFLSPYTKVEESF TLHAVHDVLAYGFWDHITFPGAVPRSFLPPILLGLITYPFSALFVALGVIKTKIGVQL LIRLILASFFSLSFNHLAASLQIVYGTPSRVWFTLLSLGTFHTSYYAGRTLPNFTALP GVLWSISQVIRSESSPTAKEGQTQLRNAIIILTALATIVRLELALFVLPLALSLVVLQ RATIGQVIQWGLIGGFGSLAITSPIDYTLWLPALSHPDFPFNSPSQLLWPELSSIIYN ALEGHSEEWGIMPFHYYFTNSIPKLLVGNFALVGLAGGLWASARVGGQKLMRQVGCWD KSRAGRGVGRLLTVWGLSISSVLVGLSALGHKEWRFILPILPILHIISALSASNLWSL PPPSSYLRPLARLAVLGLLAVNLLATGVMTFLSVNNYPGGEVWQALEAAGVAEGEKIW FPSYPLQTGATLFTFTHDHAAGQGLAFWPALPAAEEPRWVYDKSEGVNLSNSEWMDGV DFVVTGDWAGLTEDGWEMVAEVGGLEGVGKAPGQYKVEARWGRKLAILKKD L198_00841 MSFLISSLLASFLPSQITTILLPHLSSNLPTIFPPAPKGSPTYA RNYRVAFTGVICVWQAYTLAKGGLGGEGWYGLLGVTKSADEDELKKAFRLIARQHHPD RAGPGSDDFFIAARKAYETLSDPVKRYAYDRFGPQIVDWKAASVRENIYTGLQGSLGF YIVSGIIMFALAIIGKARAGSYWVHTLFASLLAIELSLILSPALYSPSALVTFFIPRQ LLAMPQYLQIALLHRLFTALSITISQLAGVWSGDSSLSRAREEQEWQLVNDMLVRMNE QAQEEFHADIIPLMSTGNPHQMSELIQDSMENVLYDRILQSHPQIRDTYREARIRAYQ SLPGPARTRQPANRPSPAPAKVPPPQPIRISLEDQLKVAVDVPLPPSPPPTPKMKLRR KLKK L198_00842 MTAAPSYTLDGSLGDTAVRVATLPDPQEDRANNDAFNIDQYRQQ VPKWKRIRDHSLTQMLMMSALAFCGPAMGDAIGGLGGGGLATPTTSNIATAISYSMLA SVCFFGGPIVNTLGTKWALVIGAATFPIHGSAYYCNSKFGNQWYLILSAFIGEAGTIM SIAPGASRGKYLALWIVARNLGQLIGGAINLSKNHASDKSGGVSPSTYLVFVAIESLA LPFALLISPLERVVRSDGTRIRIEEKKPAKEELFTIKRTIFSKLILLSAPWAFWSFFY RQVYGSWSTYLSLYFSVRARALSSLISPFFCIVGCFGLGYVLDLKNYSQRRRAQFGLA LVVLTNAGVYIWSIIEQVEFIRDDPGAIDWSDKQYASAFLPYFFVQTTGPLSQSYMYW VLSSFADNAQANVRNGAAFRCMEAIGQAVAYGMNTKTGSNPLVGFCVTAGLMIAALPT FLVLANSTPDRIPADILLEEETRNVKNEDDGTEEKKDPALATIVSSI L198_00843 MSPYKPLQDAFTGCHNDTLGIGALPLDPEDSYGEENVDMDHYRK TVPLWKRIRNHSLTQMILISIQATAGPAMADAIAGLGGGGLATPTTNNIANAISYAVL ALVCFLGGPIVNKLGTKWALVIGAISFPFRGASYYTNSKYGNQWFIIVGSLLEGLGTG CWYVAESATVMSIAPSGARGKYLALWIVSRNLGQLIGGAVSLAQNHEPGVEGGVSPST FIVFMCIECLALPFAFFITPLEHVVRSDGTRVPMAAKTSTKKELGYIKKTWRSKIVYL SGLWAFWTFFYGGSWSTYLGLYFSVRARALSSLISPLFCIVGCFILGFILDIQSLSQR RRAQLGLALVVITNVAVYIWSIIMQVKFDRNDPGEIDWNDGRYAESFLPYFFVQTTGP ISQSFMYWLISSFAENAQANVRNGAAFRCIEAIGQAVAYGMNSKTSDSPLIGFCVTAG LLAIAFPPFLVLVNSTPNVIPGEVTRHNNGGEGKEKESVDDEALNEKQKDGENTTAVT MLEA L198_00844 MSRRVSYQQHRATLDSFRDPSRNRFSHGSVAESETDLESIFSST TYQSHDSSPYPLQPLLHPPFESTSRSNFDNLARESSPFSPGRPYSSSPGPTYRKPRRA SNLGPLPTVESNNNPGVSALGLEITPEGVPTESHLPVAAQQSNPKRDRRRAITAERST LTGGTFESPLRLWIRWMTWRGWAGWTLCVSQVGAVVINLISGQLSGRFAQFKPGDWVV EALRALIWRVAVWSWARDEGQRGGRSLRSQITSILTINLSPLILPVDHILALSSTVAS LRLLSSGHDLSAAAIALSTCILSAKTWPYALSVWGYLVGKSIWMGGRQQMRLSINALL VVSPLLAAYTCYVRKRIIYSIDVLLSGLLSAPGPLSSEFLIPLLEVLPLKECIAYSDG QHTWHTVLRTIQAYISRHRLLLTGNLIGALAPLAVLLYSSYPFQPPRQPSAHQKSSPR RPPMYNLILQTMFIISSSSSVFLGSRRDVVLPVLPLLLMMSLRGGSARGSERLGVGDD VWLAGSWAACVGAVTAAEDLRSLLVSLAAAVLWSTLIGAPSHLILVTSLAGIVRYGSM MVPSIALASNVVFTLAGVAGWAWGMEKMVESAWALGGLSGRRSTTKGKDTRPK L198_00845 MTRYSSATVNELRASSWSRTRVALSRPSRPFLASSYSITAAQRP SALQHFPATKRSPLSLTQTGRLASRGILNAAKDGAVRSLSTSRSLRDVQANLLNGKTV YAPTTTSSSPESIALKAHFDTPTPISLSKDTSTSTLFNLPPLSSPRSLRPLTERTMIH SAALVDRICSAPNDPSGRELRLVVKNLDRLSDVLCGVIDMCELVRNVHPDEQWVQETE KTYEVLCSFMNELNTNVDLYKTLFQTVSHPFPNDPLTPAELKVAHTFLFDFERSGIQL PPDVRARFVHHSDNILSLGRSFLTSASSGPSPEPLIEIPEPERLLQGLPDRFTSSLPR KKRGGPVLLEPGSWEAQMVSRYADNEEARRLAYIGSMRAEPDRVQVLETMLCERAELA HLLGKESWGDVTLTDKMAKTPQNVLGFLTSLAVHHKPSAAMDVAALQRLKAMETADGP IVTLPPLYAWDRDHFGEKYTASLMPDSGLPPITPYFSTGTAMSGLSQILSKLYGITFK PVPVAHGEVWHPSVRRLDVVDEGGRTVGVIYCDLFSRPGKPSSGAAHYTVRCSRRVDD DDYTGDGLAPGWDASLGKGMEVNGDKLEGREGRYQLPIVALVADLGQVAEGNPALLGW TDLETLFHEMGHAIHSMLGQTEFHNVSGTRCATDFVELPSILMEHFVSSPAVLSTFAT HHITSEPLPIPLIQAHLQLSQSLKALETHSQILMALLDQKYHSVQYGQGVNSTKIWEG LQTDVGVLPPVTGTAWQTQFGHLYGYGATYYSYLFDRAIASKVWTTLFDRPGRSGSAE DAGGILCREGGDAFKDKVLRWGGGKDPWEMVGDVIGGKEGETIARGDEKAMDLVGSWV VK L198_00846 MSSYGGGYGGYGGGYGGMGMSRLGGGYGGYGGGYGMGGYGVGGM GGPGEGYPTLTSSLQASTAPAFAVLESLVTAFTSLAQLIESTYMATHSSFFAMVGVAD QLGSLKTYLGQVLGVFSVLRLGRRVLAWLRGKGKGVRADGWANEWSHAVPGSAPGIPA PGGRPSIKPLILFLLSSIGLPYLMSRLIKLLIAHQQQQLSSDQTLGVSPAIGADGQID PTKLEFVRAKWEFKASEEWELGLGRDEIVAILEKRGTANGAQGEGWWRGRTRDGRVGW FPGNYASGLYASGE L198_00847 MFPSKAPLETPLALSSPFKCPPPKLAVVRDPSIRVRQAVRDNNV SLLARLQYKTDLRNTDKNRLTSLSWAAIEGSLEVFEWLLLDYGHDDQELSRDADNNTI LHLLASVPSLSLSPHTHLLLASSLPPRTSPLSLAEQSTISLQMTQSYLTLFPFLLNWS NTGGKTALHVAAQSGNSPFIEFLCDFAGADVDMVDLQGNAPLHYAAAWGHLESVRVLL EKGCQFGAQNFEGFTANDFAYSERVKKGLQDMAREIFDERRMRRKEERERMERERERE GARARSGSQSTSASLGGSSVGVGSYPSNPGRYFEGYTPAPAASVPMSRRGSDQASVTS SIAYTRPSQTSSRYPSIPRLNTSPSAIAPSLPTTSSPLATQPPVPSLAAPPASVTHQA SSTPFPARYPTMPGPASVGMVRPSASIGPGLATVPGGSQGAGSIKRANSAQGIGREV L198_00848 MQAFRNFQAQIPALPAVDVTAVSKSFRHTLQSTRERIGHVGPDG ITELPAEYKQLEARVDALKEVHQKLLKITKVHERESYDYPADVTEGLSEVGQQAASAW STFANKNLKGTNLPIPIPNPTAPPPHQPKTLPHALSRAAKSGATELGDDRLGVNLGIY GAAMEKVGDARLAQDQLISERFVTPWQATLSTSISLALKARANVKESRLELDSARAAL KGAAPAKQEQARLHVEEAEDRLVQNTETAIGLMKAVLENPEPLQNISNLVKAQLIYYS TAAETLSGIQGQIEEAATAAEGDYRQSRGA L198_00849 MTRTGFDFAHHARNNFLGQRLQGVPKATSTGTTIVGVIYGGGDS GDEPGVCLGADTRATGGPIVADKNCEKIHYLAPHIRCCGAGTAADTEFVTNLISSNLE LHALSQGRQARIVTAMTMLKQYLFRYQGHVGAHLVLGGVDATGPQLFTVHAHGSTDKL PYVTMGSGSLAAMAVFESSFKENMTKQEAIDLVARAIESGIWNDLGSGSNVDVAVITK AGTEMLRNYQTPNERGTKSRNYIFRRGTTAWTKESIRSLIVKEEIKSTSGAGKEQPGV EVQGVATAAGAGGGDGMEIDS L198_00850 MDPIPPSFYDLERRRLENTIDLDLASLSLSSLHSTTSSSSQPYY QTAPQEYQDHTFLSSSSSDLSLEYPRAESIRPSAHHVSGVGYGYGQGPSGTPRAPQSM TSRMSSMSAQSVFAGSSPVSTAGHHASAMTLGAGVFGGHAGRHGKENEPEGQYDSERS TGKLVGELGRVMGAKNMPSRPDSPFSPRSPSPLPSATSQPLNLSYTLSRNDQLLSPPS SREKTMDSRPAEQTPAPKSKTKRQPLGASNVHNVSRTPGPRKTTGKEKPDRVRALQTE GRRSASAPEMRKEVSADITGLSGLLATPAAGHKYGGISRDGDVGGEPAVNIPHTLATL HARLRALDTENSVSRRRVKELEEELEAAKKAVDAAKRSGDKNVKEAVYEKSALEELIR SLRDNLARLTVEVEHNKALVADLRQTASNNGPGPSSQSSSVQSELAALRKEIERLTRE VERLGGIVTSGLETQKRARGERTVRMEEAEMEKLVRQVVEAEHEGIRRAQADVERRQA ELVAQQKAKPPRPSTAYTFQQPRDISQISQNPTPPPSDDDYDSPTASRPGSRQTLVAP TPEHRPQERKSKSKRNKSKLAYEDRSGGPGSPFPSINDEELEKEFFSPSSKPTKSRTK AYDVSGLGEVLLNGGHDDELPPPTVLARVIAELEDDFKHYKSIYSELADQYKVLDPAS VSAKRHVLADHLREVIDTLEQKADQISELYSLLVFSDKTLSAGERRERNKQGVKSVGD VLRMVKGSLGEEVWARLQRDLKRIS L198_00851 MLDMSRRNMLLGTLVTLCLLSVSQARTFDVECPADPYLSPSTDI CNPLRYIPNRAINIAAAVLYFVVAAVLTFHSFRRKANYFLALVIGCWCEGIGLVLRVA FRSNPHSTGLYIVCYLFVVLSPCAFLAGDYILMGRLVSYLDAHERLRPLRAAKVSWIF IISDVVTFLIQAAGGGLSTAKDIDVAETGGHIFLAGIAIQMASFLFFSITWVIFGVRT WKEDKALWNREGWKPLFWALGFTCICFLIRSIYRTIELSQGYIGYIAVHERYYLGLDC LPLLLGVATYMYFWPGKYLTFGPKPKKVKKEKKGKKSDVEQQLEEEVPMGQVGEGALE SRGVGRIDRAGEKY L198_00852 MAEDRKKFAAPPGVGAGRPDHPFAVTVEEPIPNAIVPDGYGIKY HAYHTITEGVFLPAGTSLPGGAVLPMSSVADFPMVLPLGTKLPGGVMVPVSSA L198_00853 MALKVPKAGGPDLFKSGYKQMSGLEEAVLRNIAAVGELSEIVRT SFGPNGRNKLIINHLGRLFVTSDAATIIREIEVAHPAAKLLVMASTAQEGEMGDATNL VLIFAGELLKRSEHLLTMGLHPSDVIQGYEMALARGREELESLVTSIIPSSPLPTQEQ LAAAISSSLASKQPGCEGILSNLCAEASLAVMPKNPKDFNVDSVRVVKVMGGGLEASR VVRGMVFGRESEGHVKNVQKAKVAVYTCGLDISQTETKGTVLLKKAEDLLNFSRGEEQ QLEGYFKEIADSGVKLVIAGSGIGDLALHYLNRMGIAVIKVLSKFDLRRLCRVVGATP LARLGAPTPEEAGFVDVFETIEIGGDRVTVLRQEEGEKTRTATIVLRGATANYLDDLE RSLDDGINTVRTLLRDGRLVPGAGATETELARRVAAYGGKTAGLAQHSIKRWAEACEV VPRTLAENAGLNAEDVLSSLYKAHADGHLDAAVDIESEKLGVKSAKELGVHDSFVAKD WAIKLATEAAISVLRVDSIIVAKQAGIAPPKQQGHWDDD L198_00854 MAFTTSPGQDVPSLAAALEHDNPDHPIQIAPELMGDAGAPPDIP RTDQEHAQAAADATQNFGSYQNSSARDDDFRNWDNGSNGVPGQRTNPWDDGYRDHPSR AHSPSGQNYSYNDQSGASGHDGVNDNGGDGSDKPRKRARQSKPRGAVEKNGLNADGLP EEGVLDFAHPSGDFKLGPVFVHPPKNVAQACVRCHKIKRKCDNARPRCAGCGKADVAC VFELNPATASYVSSLKSDNIALSTQMVSAAERISQLEALLVQSGHDIPPPPQHIESVN VNLALAAVEAEKPGREHDDGHGEKRLELEEAIGAGHDDMHHLSQALDDEEEARKRRKL SV L198_00855 MVLGDIPAVLAGLTWVETVCIARIRASRCCVKIKGHGSHQSKGN VVILPQAASKLSRLLPLPASVIANEIVVIWVANQAEPLTAEKIPKKLLTVRRDKIIAA LQWLKENNPLYSDVSIDGDALIGYPEDGHLPMPCFNSVASASTEAEGAGYVPLPMGPS QSSDASQSSEDVMVDVSGCVDSNSSGHHVDLRKVSALAAIKGGAQFLAYPSGTTPMQE YSAPATFASLYPRLFPYGCGSFEDPLQPKKVSYVAHVAHCLR L198_00856 MVLGDIPAVLAGLTWVETVCIARIRASRCCVKIKGHGSHQSKGN VVILPQAASKLSRLLPLPASVIANEIVVIWVANQAEPLTAEKIPKKLLTVRRDKIIAA LQWLKENNPLYSDVSIDGDALIGYPEDGHLPMPCFNSVASASTEAEGAGYVPLPMGPS QSSDASQSSEDVMVDVSGCVDSNSSGHHVDLRKVSALAAIKGGAQFLAYPSGTTPMQG YAAPAAFAAQVLRTLWWPVALPLPTQATFSEVLSSADCNA L198_00857 MGREIFDITLGQLDNTSTVHASYEEADAGEEEGRVESFPSRRGP DVFSSSFYALQLIDLALFTSRKEQLAAPESPNFAVLYAVNVPVTQLGSSPSKKRGGGG IKRTLGSVSMIALFHTSRSCSAAAAAACSLLVIFICTALPVPPQLPIMSFPFHPEAFA LLIATLAEDPPAFRSLKDFAACHRFPPLPALNTSTYAGVRGARAFQHLAAGHRYTPEC HVDGRQFRAANLDSTRWFPPPMKPVCPAAPGHNSKYFEPSCHPELSSTQSWYPEPSCH PKLSSTQSWYPEPSCHPKLSTQSWYPEPSCHPEPSCHPTVLSS L198_00858 MPLEVTPPPFSASQRLPYVPPIPRLESGGGGAFPSGASSVGGHG PGPVTAAASTIIPPAPGGMAGPHRAIPALPEAGYTSALAGMQGLSIGNQQAPGSLYGG SAYNSYAPRSVHEFDQHEQLHHPHMHSPLSVVPSENDWAAASSLPAPRTRLPAEYITG PRHGHHHHHHNHNHQDINDDDFDRQTDASYYAMPRHRGGRSRAGSASSASDSEDAREP LGGHHRSGSIGHGHVYKHHRSPSLPPHNANGNGRFHSPNSSSPLAGAGSPSQRGKSPL PPVDPQGRERGQRMLSPAPDGERRQRIYSHVPAEGDYERGREPKSREQDIRETERGRR HSSGISEGVRPHMRRHNSAQIPVQHQQYQHQHGRHPSSSYGPQDIARGRDQSPPPPPW VGGPASTMGAMGQYPQDMGAGQYQRRRAVSMQGLERPGSYQPASVYDDAASVASHESN MTFMDGSIAGKTSQYGLPKYPHQPKMDYRR L198_00859 MPPTKNHWYFGAALAVLLLAIFAGLRLLQAILLAPVFLSSFGFF VLGGLVYYAIQSDRESQPSPASRQRRAIRPLRFTQSAAWAATLDGNPTDSTHPPIHPD ASPELNNIWNRFLGLIIQHFVLPWYDRISPSPAFPYAVDGVIRDAVQEVIRRAEVVDW PSVMVSKVLPVLTNHLQHYRSVEHHTATSPLLPLPLPNRPHKAFSDPHLSSSAIEEHL RQTLSRIVSQAVPEKDQTPVSMTIVREILLGAVVTPMLTMICEADFWNRQIDEHVGKY LHEQQQVDKFLSALSSLPASKSSTSLAPSSTRKNRNPASINADSTPSQFDVFLKSIRK LKSLGEARRLRADVERELRVAKVAFTEDARKVAAGYGPKGKDGEKETRRNRKYVERLE KARMDIDTRIDMLSGDSKQQHHSKTVSIEDHSDGNVTLYTILLDPSSLAYWLEHMERR QRSRLVQYWLTVEGFKDPLEVAGIDGPSPDRSSADDTVHEDVKFLYSTYFADPSSAII EISPRYKEIIEHGALASKLDASEITKIKRAIYSSQKEVYEQMEEEDWNTFRKGELFRK AVGDLQRSGFSTKSMSEEKHASRQVSIDNPEPYTIPSSHHTPEPKPPRKRQTIPEASK SLLNLLPRTSNTGLASPLPGASRKLGFRERNLVPPAPLLSAAAPPSAPSLHLTDVRVA SPPPFLRSYSDSTQKLSDQKLVGTNVTGRKGSAGDVEHLQSQLQHQLQLPYSPSSPRS PSPHHTPRLLESPRLLSPAMTSAPLDAPLPTARRSSQLDFLINSAGEEPERSKLFGDD DYDDRENEEDTRRMEAIQTALSEIIADDAGDAETQQTRDGKRSAFESPAELNERDMWS SMILPDNSAKEDKIRKTRSAEDMRDRSSPLSPKVPRVVLSGERHQPRRRESTLLFDDT LPEDDEVSISPSNDDEGSSDLFDSSIIPTPGDLQLSSTIARLGASLTGLGEQSTLLES LIGRAELTGNQKELKILRRSHTSVRREIRAKEWQKREFTRQEEENRLVPGRTRLDIPS AVVCDDADGAANSAVGLGAKQVVRYTVRVSQVSHGQAIPGWVVTRRYNEFWEMDKSLR EWASARGEIGVIDTLKRVEIPKKRIVGLGSISSGFVESRRAALEKYLQGLLASSSICD SYIFRAFISLTPSVPNGSSSLFNGPLSSLAPLAPHNVLKSLYKTISPGGAFDDFNDAT GVTDFMYSGLSRQINDIGGMVGLGLGYPSSTNSDVESVAGAPLGEGMTSFTAPICDLF IEMFDLTEKEWLRRQAIVIILQQFLGGTIERKVREALRLVTSPSSLGKSLSALQDALF PSGLRRPPATPRTEEEKSETRSKAGKKLGLVIPEMVANMMGRGNARRAARRVFGTLQD ERMNQHLVLCVMDVEYAPTIWGLTWRVCQSHPAALVAYNRRISTKMEQRAFYDPMVNW VNEGADGDWSPSHPSDAQRESILYLCLFLVMILVFWQGKIAYWWDKKRFRVIATGEIK EKRVWRTIPVPILWPFKILTVLYHELSHAMVGMCTIWWKQWSHGVPQGYTRGYILFIM VDKYEGGLTAFGGDIEPNYTLTLPAVAAAYSVAGFYLLDVLESFHVGISSMSLNRSKF GALSLICLTVLAVIICMIVRAKSAITHHWHHMRAWCLRWIFCNPGKAKSEDEKHEYAR ETRNQEADYRHEQDPEGPTEHDLHASQDIIIACSVVVDILLWAAWNWDDSIYLRLVML FMGLMSALYAVWDIALDGIKYAKVAQSDATLMAQAYNKKIEKHNNKHPNHPRAMRGTT FYASLWLMAKLVLIVAVLVGAYFCFRLTKVEQAIESREFLPAQFHYGPANLESDISGV SDAVSGEVSSWVAS L198_00860 MSSIRALLRTSGYNPVALPTLDCPQKVGEDGLEPQDADQLEKDE QADDEGVEGDGQNVDEDVQMEDVSVKQKPSLNLQKEAGPLLDVAESMVLPYSLTQTRH HHLTSLLPLAFTHPPIPSHNKPRAKPLPKGVFMFPTPLPLVHPLPTREYYGPKESGII GNLSPSATPPPTPTPVPPPAKRKGMAEVIEPPAHEIECIARVNVSFGPMSFPNTELWI GRFVEPRAARTKKERAKPGEKKERERKRKEETDRARLAGRTNIPPRPTVGRPPGPSNY HARPPPRPPAAAPRTSASPALIQLVNQAASRHPWLSSLIYKAAGSTANQEELERLGRA VARLSKGEPVDDLAPPGEASPHAAGASKPTSAATPAASSSSAKPSGTDASKPSTSTTE KGKEKEKEEEKEKETPTTEKGKEKEKPKTKDDDSDSEVDMKGPKMVGGGPLPTQAPST APKATPASAPPPKTTPAPAPTAAPSVARPPAHSVVRPPPAAQVPRPPGNIPSSSSNAP TVQILSSVTSVPPKPYVQPPPPFLLLAFKEHPTDKFVIPLGTKSFISRVGGDWVTSKP PPGLPQVAASEPKEKVHARKTEAEALQAEAQKKMEAERVPAVEIPYKKRGGPRAAAAK PATPVTPAVVLPPPAEPITTESAPIEPPQPPRPLAPLPSQKPPPGTVLISTIVPADQW EKVKWPELAAGVPWCDEWGKDVAVKKEAEGSEPSAPTPPKKPQLLNLATVDFLPAEGN LRAATIRLGEVDDNLWRRLKSIIEQVEREEMAQLVANGVIPRPPSPAAGQPTLHPSSI ATVRAAYKTHKTSIFSSLTPRTRAPRKFLRMRLPSPPPALVDASVDRMAPRSYPISTK PLYHADETDGDQQRVSIIRLTPEIDLGDGLGRKGKKKKAETQVEFEMPVSLEALDERV EAGAKKALGKRGRASGGEGARKEKQKRGVEGGVCEGCAREGIKVWRRGPTGKGTLCNT CGDLFTEGKLKDADLKAPGAMKDILAASAKKGRGRGKEVAEPSGDTTAPVDGVPGPSS SDAPASAAPPATSALPTEAPNSGVATPATVDGEKAVPPASAPVPPAPSEPAANVGKEG PDVSMSEITPVAAVGGSKEPAKVNQPDQKAEVIGTPTSAAPSQVDASTIKPSSTGDGE L198_00861 MPLYKKVPPADLYHFSDQSVQSMPESEGYGMSELPHQTSRSPLA PADPTTPGTGSSTPGHVRYPPSSQMSRAQQPAGDAYTTIPLQDANGPASAATTPGVGS ANKKNRWSFMPSGHASSVSIDQSVNEKSPKRPKNNRNTSWDLLGDKNEWDHYKTNSAS VENLRFAEGDAGTNKFSRLYYWALNKGIAFRWAMYIIPVLILLWVPGIVYYAGVDSAK IWAVTLNWWSIWLTIVWLTFWASSATWMMVPTIWKNTVASIIPSAKALTEVIAALGRY AKLIFWTLAMWISFTPLIVNHYSGSDESSSKSNLTTIANLLFGLFLCSIVYAGEKLIV QLIAVQFHRDSYEDRLNEQKFNIKALTYLYTNSHDIPGRSDTLIDSASTKTKGAQVPK IALRKALRGLRDVAQTTSTALGNVASEMTGQSVLQTNSPANKVTAALGSANKSKALAR RLFYSFRAPGAAHLDIQDIVQYFPNLETAQNAFSMFDKDGNGDATRDEIEASVLGIHR ERLALEASMRDLDGAVRRLDDIFMVIVFLIAILILASMITTKLTTLVTSASTFILGLS WLIGTTMQEILGACIFLFVKHPFDVGDRVDIDGVQYTVAKMQLLSSSFKRIDGKYVWI GHNVLTTKIIENIRRSGAISEEFTFDVDFTTSFEALQALRARMLKFLKENSRDFLPVF DVTVDDMPAQGKLVLKADIRYKSNWQQVALKVQRRNKWVCALKMALADLQVWGPAGAG NPSPEVEAVEPVQYTLVPWEDVKAKDAAAPAPVPVAAAPPSPPNLMDPRAAVNDPYGD IWNEGEDLQGFESAGPSRPATPGAMQSYSGRPAQPQRLDSLQKGPVDVDAKRQV L198_00862 MATVNIRRDVEDKFYRYKMPLLQIKIEGRGNGIKTVIPNMEDIA RALNRPPNYPTKFFGFELGAQTTMANDRYIVNGAHTADRLRELLDSFIVKYVLCPSCK NPETEIFVTGKSGHESMHRDCKACGAQTPMEMRHKLSAFIVKNPPKKSGKKGKKAGMT AQANVGGPMVFDKAAEEDGSDDEAGSPDGNGVPTKGTEIDAVLGRADPILDNPDTAEE VSKKLNKLDVDDDEDEDADSPYSQLGAWLEENKTADDAAIIGQIKELEIIGKHKVLVE IGHHLFTDDVGTEVGKREQLLQALVTSEKHQKSLLGGLERLIGNSPNLDSLLAAGVTS KVLMALYQSDILDEEVVKSWGTHVSKKYVDKEKSKKVRKNADAFLKWLDEAEESDSDE E L198_00863 MPQPSNVPFPTPPSYRTDSSQASSTASYVTAHSSPYNPPNPAYT PPHREYPPNYYAATQPSSPQQRLESQVRRSRGNEYLLGLNTAMSNLELHGNGTEDAPP RLTNTNHPLSYQEGESGRQSYYAESPRQRYHSTPAMSPISPNTNKTLPPLPSGAQSRP LESFSPSGSRASPSRPQHMAGASSTGGTVTYGLPPPPPLPPRPTSLPQYMLPYANPDR SSPQRYCPSQHVAAPDPRSGLSPGRPQSDPQITAARPERRISPRGKENFQPAQPGPST PNRKAPQNPVRSNHRRAKSDPDGEYTPVIDLTVSSPESPSSPSASARRGPKGSSPSRY RAHSDIRSSFLTSPSPAASPARRKSPSKPTANTSGLASSPKKPLTPSKVGAQCSGFTR TGAPCKRIVRAHAPYIATPRRENDDDGDVRSERVMGRYCKDHAGMICKEKGFYWRNGE GEHASKVWVDFEDYVLPDLSEQTQTLIRMVMESKLTPKEAPGYLYVYELRGEHHRYVE TDNLSFYKCGRTDNVPRRIGQWTHQCQSKTPSLLDIFPRPNTSSTRPKSSAPDRSQHL ITSFLQGATTHVIPPLPAMKRWERLVHIELAERCALEEASKEAFDRVRLKCADCNSGH KEIFPLLKQDSKSNYYIVEECVERWGRFIEAIHQ L198_00864 MSSTAAVNDGFSSTSSSSPTVRSPPDQPKNGDSSSKKRLFSEPG SLPLPKAGYHSLGVAWEDLTVEGAGGGRRYVQSFDVSAPRVFYVWGFVKRLFNITLGP TRPIISNFSGVVEEGEMLLVLGRPGSGCSTLMRALSNVTEPFVKITGDVTYSSIPAKE AKQFFDGEIVFNEENDENIPLLSVEETIKTAIQLKEPRKKDSNEHGARYVEGLFDNLI NTFGMPHTRKTKVGDQFIRGVSGGERKRVSLAEMLTTNAAVVCWDNPIRGLDSAVALH FYRVLKELSLSLGMVNIISTYQTAQDAWECVDRVVVIYEGRQIFSGRANRAQAYFENM GWYKKPRQTTPDFLTAVTSINERRVQEGFEGSIPQTAEEFERYFLESEEYKELQRDLQ SYKERHAGAPNADLFRQSVKSSKHHGAGKKSSYRVNFAEQVMILSKRQYHLTRNDMRS FAYRIGSNVLQAVLVGAICYKPKNNSQGSFAIAGALFFSILYYIIFALGEVPATVNSR PLLKKHRGLGFYHPGAHTVAQILCDAPVYIFQTLLFSVIFYFLVGLNSGAQYFFTFWF IVFTLYETISAMYRMIGAWTPNLSVAIRYGCLALSVVLTSAGFGLPPTEQLRWISWLR RADPAAWAFEALMANEFRTRTLRCEDSDMVPSGAGYTDAAYQVCSIAGSQPGSRDVPG MDYVSHIYGYEASHIWRNIGIMWAFFAGYVILIIIGSNLLIRETPDSAQKVYKRGANT QTLSVEEKSAQGKAALEQINGPDKRGSEAPVYTFEDVRYTVQADGQDKQLLNGISGFV KGGSLTALMGASGAGKTTLLDTISLRKTVGKVEGKMTIDGKPLDASFSRQAGFAMQSD IHEPMATVRECLQFSALLRQSNNRTREERLEYAEGIIRLLELTDIADALIGMPGEDGL GVEERKRVTIGVELAADPEFLIFLDEPTSGLDSQASYEIVRFLKRIAASGLAVLCTIH QPSGDLFEMFDSVILLAPGGNTVYMGETGENAAKVVDYFESRGANCPPDANPAEVLLD TVSPVGGTDIDWPGLWQESAEATDIQRQIQGFTSRHSQKSADVEKHESSAVGKGSNAY ASSFRTQTRELIVRNFRSQWRDGSFWTTQMVIMIYFGLYTGFFFFKLEHTPGTMSAAS LCLLIAVQSIPGIAMDIGINYLFKLDMYLARERLGIYSWQALITSLLVVSLPVLFVGY NLLFLCFYWTSGLVGSTSDGVLVWLSFVICSFFTSSFGILLGAVSPEKMSLPYVLSLV WNLLNVLSWALVFYEGLPAPFHYFFSWLSPLRYLFSALMTSGLSSLELHCQDADLLTF NPPDGQTCGDYAANYIATTSGFLLDADATTSCQFCPSSTGYDYVQNMGYSRGTMWRDW ALTVVWCLSNVFFCYLFTWLIKIRPLYKKQ L198_00865 MATLEPKQDQASARQRGHSAVDFKSATTGIAAKTMRNELNRMVA NVQDPTKKKIFEAEMQSFFILFNRFLTERAKGEKLDWEKINPPKPEQVRPYKELDGVD PSILDKLAVLKLNGGLGTTMGCVGPKSIIEVREGMTFLDLSVRQIEHLNEKYNVNVPF ILMNSFNTDEDTARIIQKYQNHNINILTFNQSRYPRVDKESLLPCPQESESEKTNWYP PGHGDIFDALTNSGLLDKLIAAGKEYIFISNVDNLGAVVDLNIMQTMIDAQAEYVMEV TDKTKADVKGGTIIDYDGKARLLEVAQVPKDHLDEFCSTRKFKIFNTNNIWANLKSIK RVMDEDALSLEIIVNNKVTDKGQAVIQLETAIGAAIKHFDSAIGINVPRSRFLPVKSC SDLLLIKSKLYNLEHGVLTMDKSREFGGTPVVKLGDEFKKVANFEKRFKSIPNITELD HLTVSGDVSFGKGVRLAGTCIIVATEGNKIVIPDGTNLENKLITGNLSIIDH L198_00866 MPAVDPHYLWAAGHSTVLAATAYILLQALFFRGTPARTYRLAYT GALLSYSIVVYKSLGRPQASQAWLRRALVDENCQYALLALFWWVAKPVNITVLPFATF SLFHCLTFLRTNIIPKLVPAPAAPAGAAGAAGAQPARPPVFLETLSRKIQVWVKSNYD TAMRFVAYTEIAIIFRLLAGVLTLRTSFVTLIFMVHFVRLRYHASPFTRGAITNITGR IDGFAAGKGPGVQNAWSTAKRFIGSWGGMPLLPGEPAAAQAQGPGAQAAAARR L198_00867 MSDAGSETASNHPEVEAQEVEVAAESGPMSVEDALEKVIKVALV HDGLARGLRECAKALDKKEAHLCVLVETVTEAEYLKLIEALCTEHGIQLIKVSDAKVL GQWAGLAKIDREGKPRKVVGCSCVVVTNYGEDSEALQVLLDCK L198_00868 MPRTPSLSPLADRRLSAHEPYASAAADSRQPGEKKQPPSCDACR ARKLKCSGRPQEIELGPDSIATVPCDHCREWNLDCSYLYQRKRRGRKNKVVEQLAEEQ RARKQQATGNGLLAEQQGSSNHVGESLSREDGQRGRAFEAAPEASFGSSIAQDGLSHF RYQPFSSHPPPTAFDYRPPPLPAAHAEANLGRHYPQSSSRDIPRMDPPPSVRHDTRPY PPTFNLAPDGPQPAGPSPEDGVSPSIALSEASVPPTTTIESVLPRDLAMHCIHIYFDH VYCIIPVIHRPSFNADLAAHAEERNPVFFALIMAMIAATLIHVPKSFFPAHHHDSIRR LSVKCLKACYAVTIREMDNTSVDLICIKYLIFVVHNKHGNSGLEASTFGEAQYLAISL GLHREDTYANFDPITAERGRRIWFLIYNADKFEAVARHKPVLLRNDEFLGPESTLFPA EVDDSAITVQGIRPSSNPFPLICGFNILTRIVSILGEILLMERDMRRRQPSDPEELLA ALKEVRKVQQQIKQVTDNLPKPFKLDVGQSSSILPEPGWEEAIRDELDLFFADPLSSE TAKDGYLVLKGNIHVTLAMTRLRLILHREDLLIKSGQPGSSNRYAAELISTDVGEMST DWRQSVYRDLFNTVHCLPIQALAANGPSVVTKIRVVAVTLLDALPAEEVNSSVQGIAD YLLDFLNIMSSIEDQTAD L198_00869 MLAATFLTVLSAASAVVALPGHSLNSREYDINDIDASCQSTCSS TVTLYQSCNGGTTSDCLKFCVQDTFSDFITCAQCNLDAKNGTESDMESVESAISTIKN SCSFSADPVTGDVAPASTGSATSGSDSTAASASTTAAGSASAAGSSEASGVASSISNS SSSSAGTPSIRLLAGSAGGIAALAGSLMFFL L198_00870 MEDIKLIASPLTLPNGVEVPNRLVKVAMAEGIGLGGGPPTPEHD ILYMRWVAGGWGIVITGNVQINPKHLASPYDLTIDPTSASHKSRYMHLALMAHFTPDR PLMIMQLSHPGLQSSSTLNCSRAPWEPAIAPCSERPTMGGGVLGKVWQYVGWPVKSRK VSDVGEWLAIVERFVEASVMAGDAGWDGVQVHAAHGYLLSSFMSPLTNFDPLPLPGVP EDVPLNLHLLYLILKGLKEQTAEFFIKAVKINCSDFVQGGLDEEQASDIIRAIVSWNL VDIIEISGGTYTNPAFTFSSSISSTTSKRQSLFAHFTSKLLPTLPPPPKGPAILLTGG LHDRWVIADSLRERACDLAGIGRPACLIPDLPDRVLLNPDVPGKFTHVGGYTIPKGDL AKIMLGGSKKEGKGIPLVGAGVSTLWHTWQLRRMGRGIEPDKKLTWFKGLVQEEIWEG LVVRGWRRVRGTRSEVYQKVQLG L198_00871 MPPRPKSPAVPDEHTRLINDAPPSYTHIDPAATEPAEPPAPSRR PRARLVIISLAVAGVVLLLGGLIFAALVVASFRPTPSELEALPKTAFEYTAPSSISIV DISDQGVLLNITLPCGIDADQAFGVQGFYLESDRQQAKSRGWRGTGSEWWENVRRKGA HWAVRTFGDRVSVDVKDGILVLPTGADAPGPLGYVELPERVVVPLITGVPAYHPEHPD LSWLEPISFIALAKPVANTGDIWEFIRKGWVKGSLDVVIGIREIEAHLAQGEHSWWGK WATVEEQDLAFNVSLPIPIVPHLPRPRHDIDLSSLVTLHNYTLSNEHDSDLTITAMAT MPNFVRHLNLSDSMSTMPFGLPFSISLPDTNGDEKMAEVILEPVTIGAEKNITLTIAG KVTADLDSAQLLGETSSLSLFLQNYLHGLSSPIIVHGLSLFPYKTQIPKPPAWLLHTL PSLSLNLSFPGPSPPPQIIKSVTIEKMTIEEEDGKMKASGIVVAEVELPGEMVAVGVV VNGVKPNVLVYDGPAPPDGEDDIPDGEEYPRKSFGHINPPEYLPSTTTPSTDPATPHR LIVRAPLTNVDLDILPGRDNVLSDFVTKVVFKGGAVAGVKGVSAVKVDVHGVGGHVEV DGLPVRGEFFVGRQRG L198_00872 MEPPRQSTFKWNAHINYFRRCLMALPTAAEGHDSNRITIAYFCL SALDLLGALQDKTSEEQRNGWIDWIWTLQARKSLLTSSTALELTRELIQLMENASTSP AHLPSTYTALLSLAILRAPLDRLDRAGLVAFVKSCQSPNGSFSPTADSYTLGGFQSDA RMAYCACAVSNMIGDMSGIDVPLLRQWIESCRTWEGGYGSRPGVIEAQGGTTYCSLTS LALLEQDSSHSTLSLSSLDQQSQDDTTRWLVSRQIGGFQGRPGKLEDVCYSFWCGGAL NVLGHGNLVSHSENQSFLLSSQSPFGGFGKEPEDYPDPFHSYLALAALSLSSLESSVE QASLGLQELDVKWNCSCETAKYLTKEIHRITS L198_00873 MATSTPSRPSSKPPSSPLPPVTPLTAEQYTLNHPPSETGSRRSS LGTRSSTDTRFKGALDLSAHPSGDQGGDRPSLDSPPPTGSTSGQSQSSHSTLEFLDND LSDLDAELDIDNMDDLDYDEESMDTGVDEPLVRGRGSRPRRRARRKGWEEEKVEKGLI ELIPSIILSHPLPLLPLLALLPYNFLPAGVVFFIPIICVLALLSICAHIVIVYLSWYL KMPSFEDVFANVTGKYSKYGLWGARVALITAVLGMVVSWIETIHPLLEPVVETYLPSN KFFQSRIVWTIGGSTILIPSLLPSRMSLSLRRSPIFFALLLPIIAFLVIGRTVEIKKA ADQTGGDVGNSTESAVAVASNALGHLAKRRFGLAGKSSAGAGLTTLTIFFSPHINTLP IHGTLARSKRASFFMPCLFAGAIILVLALPLALVPYYLLPLSDGSPDTSTIYSPNTNA PSGIFSHLPADDAWLNLARILQVALTLGSTNIWILRGRDVVLKAMNVEGGDRYKAGRW VGLACWVIVVGLACIGGWVADKVELMGVLGVLIVGWFLPSLFFIIAFHVRSPLSIIFP SRQNPSTSQLPPPNTSTHSLPRRGQGGHSRSSSLSDPTTDVLLARKERQLQKRRLGRR LWQDLIVYLGILPVGCVTIAWTAGRFVGLW L198_00874 MLRHTLFILFAILFAHLCEGQRLATRIDDDGHTIVITGPNGFQG TSALPSDWNTSGYTFRTSAKVQSTTSASSAVATGSNSINKDAKWVNSTDSDNVTESAS QSLDESTSATATAINTINVNGAASAKSTTASIAQFSASSASAFQSENAGVKLAVQSHA SALITAMVVIGAVCMSTSLVI L198_00875 MPNKKSKAAKAAASTSAKAEQLVADDTVASPSNGDAQENENENG EEGEPAGEELVPETPRESYGSAAVDDDDLSPEERVKLLEEELESTRQDKETLGNQYRS LLGKLTAMRTTLGDKLKEDAEELDRRETQINTLTSDNETFQNTIQTLQSELQSLTAES SSLSAQLSQIRSQSDSSSSDVLSLTREMRELRGEMERLRLEREEWEEEAGRERERREE GEEEMGRVERREREMRGEVERLREERDKERERADNLQEVLSEFQAAKDSELDQATHEL ETQLRLAAASLSDLKLRAANAETQLSEVSSDAKKVAVLERDIKEKNQAIAKLRHDAVI NNEHLTEALRRLRKNQSDNNVDRRLVTNILLSFLTAPRGDTKRFEILSLLSTILSWDD TEREKAGLQRQGVTGKSGARGGEDKKKRQDTEKSAEEEAAMNESFSNLFVEFLLKESS HGQPVRPAGAEPHRTQSSISLNNSSPFSPGTSSMTFSPPPTIHSGFTSPQSRPRGLST SSQTSAGGERVAMGGRRTSYGLREALEHEQHGQGY L198_00876 MNPAISNLVLSLGAMQVARKIPMEDPQVVNYLRIGYVSAQIIAL GIYYFITLKIRRKNDLTVIKYVSPASPTNPDAKPELVTTTVKDYDLAETGKAMRSLLI SVAFMCFLHGYMKYTQPLFLQAIMGVKGALESNVAKLHVWNKPAEAELARPFKAAPGL MEQFTGAAGGPQTDQASIKAAEKAGGKAE L198_00877 MRPQLAQAARLAGKRFNSTSAQNPNVQKAVESANKAYAQTAATV KKVAGPVGEKIGASLGAYREPIVYNSKVVASIAKQVYQAEKLAPPLDLNTWARAYSEI YHKATNGGYWSTLLKTGAWAGLGVAVVEAYGIFKIGEIVGRRNLVGYSLKE L198_00878 MPTISVDKAELYRRLEKEYTTQEFDELCFEFGIELDEDTTVEVE EARKKGLPTSPPQLKIEIPANRYDLLCLEGVARALRIFLQKDTPPQYALSNPEKIQEV YVESSTSPLRPYFASAILRLARPLNQLEYESFIDLQDKLHQNLCRGRKFVAIGTHDLD TVQGPFRYICEDPKKIKFAPLNKETESTAEELMGIYEGDRHLGKYLHLIKDAPAYPVI YDSKGQVCSMPPIINSQHSKIVPGKTKNIFIDTTAVDKTKLDIVINMICAMFGEYCEV PFTVEPVRVHMPDGSSHISPPIAPRVTTASSSYINAATGLELSREQICTLLTRMSLSA KPSATDSDALDVSVPCTRPDILHECDIMEDAAIAYGFNNLPQSMPTTNTVAKAFPVNR LGDIIRKECAMAGWIEALPLILCSHDENFAWLNRPDPGNLAIQLANPKSLEYQVVRTS LLPGMLKTARENKALALPMKIFEVSDVAVQDAKAERQARNYRRLCAVYMDRKAGFEVA HGLLDRVMQILSVPFIGAKESQAEYGYYIASADDPTYLPGRAAHVFYRPKPTPAPASS NPLSNIASDLKAALPGATRDINIGSLGILHPSVLNNFELIRPCSSLEIDVEPLL L198_00879 MTHQDYNNIIIVGASIAGHTLVNDLYPYLSSEYRILLVDALDFA FWPIAAIRAATAPRLPLYSQAVTVPLTDDRVFPAGSQHRVIVPNRLVECKETSVVLEH PFEGSNEIPFWGCVIATGAKQQAPLVPDLSFTEEEYKQLLRQYQRDLKEAKDVVIIGG GTVGIDLAGVHIRCINDKANITIVHPRSGLLEPTPFNPIPASTTTIPTYSSPPVDPRL SKNLEALCRKLNIELILEDRVVIPKDGEVVGAGKWEGNYGKQEGVKVVGLESGKQVKA DWVIMAAGTKPNSWMVANKDEGALDGKLIRVEEYLKVGSSVLCRTTALMKAGHFDQRQ YYAIGDVCSAPGFKAARGAGLGGSNAAVNLVAEIKNKSRTKFSPGIIGLGIPVGHYEG AGMATLPWIGNVMVGGAFIRRVRGDATLIPKRFVTIFKGPNKVHIEFDDVLRK L198_00880 MSQLQNIVIIGASNAGHNLANALQSTHPKSHRILLIDALEYSVW PLAALRAAVVPGWEDKITAPLRDTTVFAAGSQHKVIAPNRVVELREQSVVLEYPFESS VEVPFFRCVIATGASQPSPMRPPSSTTEDEFKQSLRKSQQQLAQSKKVVIIGGGTVGV EMAGEIRAVHPEIEITIIHPNKALLAPEPLPKTANDSTKSWTNPPTAPKLSKNLQTVL EGMNVKLILGDRAVIPEAGSVTDFAQWDGTAGPQSQVKKLSLQSGEQVEADYVFVSVG NKPNTQLVESVDQDAITSGLVAVDDYLKVASTTDSSPLSKNSNYYALGDVSAAPGPKT AYFAAEMAKVLAQSIVNEVNGKSPTQYNPGTFSVFFVPVGPNDGAGSLTLPYIGTCVV GGGMVKMAKGKDLLVGMSWKPLWQGSEKVA L198_00881 MSDPVARTEDLIEWLRAKGGHFHPSAELRKDDTTGMSVFSKASF GADEKYVSCPFDLAVTCELSTKAICEIAKLEDKDLVWPAGTAKEGEEWSERMRICAYL GLHWVYEDKPNEEWPSALAHQEYLASLPLPEELTTPLYYNEQELSLLQGTNLLGAVQD RKKEWSAESEVLRAVLKEEGLTWDRYLATATYMSSRAFPSKLLELPKDGETSAQASSQ DRVSKPILLPGVDIFNHARGQPIVWLSALTASPISSDPIPSISLVSTKDSPADTQLFN NYGAKPNDELLLGYGFVLDPNPDDVVNLRLGSASLPPAVTEKLKEKGLDAAERFEVKR DGVIPKSLLEVMRVMLSGGEDEHDHDCEEEHGEDEHAMYEQEAKEMELELDVLGMLGG MLDDKLEKLEESEAGVGKVRADVVKACAVYKQGQKDILNTAMDKISERIERIEGLMDE GMGGCPCCS L198_00882 MPVPTTSSKVLPPGIYCPTVTFFQPTAEQELDIDQHVKHMEFLA KSGIAGVVLQGSTAEAVALDDEERKTLIRVAKETFRGLGHNGAIIAGTVGAQSSRQAL NLTTDAAEAGADFSLVLPPSYYPGAMTPDAIQTFYEELADAAPIPIIIYSYPGVCSGI NMDTDLICRLARHPNIAGVKHTDHDVGRIAPSFTILGGATDYLLGALAVGGQGAITGM ANVAPRVCAKAFELAQAGKQQEALEFAGAISTAEWGMGKGAILGTKYMIAWGNGYKGS AAIARKPLPLVPEATKEHVRSVGEEIVALERKLEAEGWAGAAIRA L198_00883 MSTTPSIEELKKWDQQDPFNWTRAEFEIPTIAACGGQGEGDSIY FCGNSLGLLNKNARKHMIEELDVWGTSSVTGHFNHPHNRPWKHVDRPLTPLLAKLIGA KEDEVAHTSTLTSNIHNLFTSFYRPTEKRWKIVIEKGSFPSDWYAIHSHPKLHDKVLS QAQIDDAIIGLEPREGEDVLRTEDILKVIEENKDTIAVVWLPLVQYYTGQLFDIAAIS PKVHDIGALLGIDMAHGTGNVECKLDEWNVDFAVWCTYKYLNAGPAAIGGMFVREGLD DGGRRLAGWWGNDAATRFQMDPEFRATPGAKGYQHSCTPVFSSIPLLATLDLIDKVGF ANMVEKGKKLTGTLEMLLKASPYYAKKDDPKGKLGFRIITPEAPFRGTQLSVVILPEE EHVMPKIFEKMLARGLVGDERQPSVIRLSPVVLYNTFEDVGRAVQIVEEAFKEYEKER E L198_00884 MSQESDLQPGQNLAHLLPPSWTADVQRWFAEDTPSFDWAGFVVG EEVQEAILWGKSGGVLAGVPFFDEVFKQVDCTVEWLLPEGSVVPEGTKTKVAIVRGQA RQLLLGERVALNTLARCSGIATVSRRFRDLARAERWKGVVAGTRKTTPGFRLVEKYGM MVGGVDPHRHDLSSMVMLKDNHIWATGSITAAVQTVRRVAGFSLLVNVECQDFAEADE AIAAGANIVMLDNLVGNDLHGAARQLKEKWAGKREFLIETSGGIVEGSLTGRVGPDID ILSTSAVHQSCPHVDFSLKIQPKNKK L198_00885 MVSPGWPCGRRSGRRARDETCSRGKSLLDGLAGVTPSTSNRPPP PRPAVDPPTAPFQSSSKAIKMKSEVDELVGSFGVAMGLRSEKSVGGECQRQPEHRVYS VNAWVKKIRSSVTSD L198_00886 MSSQLDYEKAHANVALSAQERRRAALAEIDEAKFSWFHAKACIV AGVGFFTDAYDIFSISIAATMIGYVYHNGGSSTTNQDLGVKVAHSIGTFFGQLLFGFL ADHLGRKKMYGIELVIIIIGTLGQAVAGRAPGINIYGVLIMWRFIMGLGIGGDYPLSS VITSEFAARRIRGRMMTAVFSAQGWGNLASAIVSVIVVSAYKSSIHSEPLTDLRAVDQ SWRLIIGIGCVPAVIALYFRLTIPETPRYTMDIERNIKQASQDVDTYLTTGEYVVDPI HNNERAEVPKASWKDFCRHFGKWENGKVLLGTSWSWFALDIAFYGLGLNSSTILTTIG FGSSTTLGTKQENIFQTLYNVAVGNIILSVGGLLPGYYFTFFLIDIWGRKPIQLMGFV LLTIIFVCMGFGYDKMLSTDSGKKAFVFLYCMANFFQNFGPNTTTFVIPGETFPTRYR STAHGISAASGKLGAIVAQVGFSRLINIGGKNKFLKHILEIFALFMLTGVFSTLLLPE TKGRTLEDLSQEDQEHFVQDSDIPAPLVSKRRPAVSSEPDDEKVMHEV L198_00887 MLAVQTLLASSLMASTALAHFTLDYPTTRGFDEDKEPDFCGGFT SVSSRQPFPLGSGPVHIDSHHTLATVVAFISTSDNPSSFDDFNTTSNGTSIPLASSIF QVAEGDSCFNIDLGGSLGLTNGSDVTLQIQYDGGDGNLYQCADLILIDGYEIPSNETC TTDASKASDASATSSGAASATSSASTDPSSSSGGHKEIVGFGIMSVAMGLAGLTLL L198_00888 MASSSTKPRRSLGAIIDAIPTQRDYVPDSCSEGSGSEDEGSSRL PVQSLKPIPLTPVASNRGPRVSGGLPNSGLAMKEREVQGGAERGQAPTEEYDSAMFFS VMWRKPQYKKVETWDGDATIKILGNRIAMLDEDGNQMAVTVVGSKVIKPEAEFKIGGY EIQVDHRIQAEEFKSSTSILNRPRGVNAASYSPATFAKPFRPPTQKAAASPMQPFKPP TSVTSHTPVAGPSTTSALPTAAAAQKAVAAASFYGSTTPKPRVERIVIGEKSNKERLE WGGALYNPKTPGAIVMPRPTEKEAKLKGTSLVDVVVDPTLSNIMREHQKQGFMYECVM GMTNAEGEGCILADEMGLGKTLQTIALIYTMLKQSPFANQSGIIGKAMIVCPVSLVDN WRKEFKKWVDRRVNVLVADGAYHRISSFVNNKHQHVLIIGYERLRKEIRDLASCVPPI GLIVCDEGHRLKSKDNKTTKMFDTLKTQRRIILSGTPVQNDLGEYWAMVNFACPGVLG KYAAFSKHYEKPILKSRTLNCLEKDVATGKARAEELAKLSREFVLRRTAAVLENYLPP KYEYVVFIAPSKLQLSVLSRLLDPKIVGKFIRGHGAQSLALIDIMRKISNSPMLLRKK DEETGDNGRTDSDLSTAKSAALSAIPASTHINDVNTSGKMLVLDKLLHSIHTTTDEKI VVVSNWTSTLDLIQGSCKAKRYPFLRLDGSTPAKQRQELVDRFNKDKARKDSFVFLLS AKAGGVGLNLIGASRLVLFDSDWNPSTDLQAMARIHRDGQKRPVYIYRFLTTNAIDEK IYQRQITKTGLSEQMLDHNSSEATTTNKDSFSTEELRDIFTLNLRTNGCQTHDLLGCD CTLVAREKTLQIESKPAKVDSDDGEHDVNELLESESGEDEDEEDEDEKPKFVSANDYD PEPTPKMRRKAAKEQSNKLAALKHWTHYDANESKSFRGVQDTLLFNMLLDSWEKDDAV VRDSETEDEEDQDVDEEVDELISDEEGATKKRKSMGGNKGSRAKKAKTSDVGAAVTSR KKHNLKTMAERGTGRVTFVFEKVSKSKL L198_00889 MSAQNNAVASPADAFADYLPQLTTALDSLTTDAAALPGKSDLDF QRTMDRSFGKDLDKASQRVLNLTERVLALVDESQRAAAAGEKKGKPVTRRRKLEDEDD VIDGYKGSVLGVVDGLLEDADTQMDELRGSKGKTNIAIKPSILAQAGKKLPGPFSKQV ERLPQNIIHAVGLSKPQLLFPDAPDNTRTDIPWAPTLSNKPHAMVPLGAKVKLDYELT AEEEEDSAKAAARLEREQKARHHPYYYETKHLPYPTSMFIPSQPIPPRPFDSTPFMFV DTPEKYQQMVEKLKAAKEIAVDLEHHDMRSYVGFTCLIQISTRDEDFVVDTLSLRKQI RDDKFGDVMTDPSIIKVFHGSDSDVIWLERDFDIYLVNLFDTYHASFTLNMPQRSLAG LLQLYCNFEADKRYQRADWRIRPLPEDMLHYARSDTHYLLFVYDNLRNALIEKSSRPP SPVAGEESVTLASARPNPQEAIRKVLERSADTALRLYERDTYDVEAGKGTGGWLSAGK KWLPKGGLDEEVGWVWRRMHDWRDGMARELDESPFYIMPQNMLAQVCTQGNPTNLGRI IRPDRAPIAAQYIPNIASIITYAKKEFKAVEEERRRQEKEEMFADGGIVIPEQKADKA HKQQAQAKKRIAVPAVPAVPSAPATAGNMWSFGQQSTPSKPVVKTQAKSGLFGSTIKS TPNFTPSSNSSLNKTKPTSGLFGKTLPRAPAAQAQPASGSSTSAREQKELSPGFLKVM DAMRVEMAPKQILKRGEEEAGSGTLRPESVPFVPAGQRKTAPASGAVSSSAKPAPAPA PQKATPSAAGPASKLSAPAPGDGVVQVKKSKKQPQKKREASVGVDSDGSKKTKLNNGD GASTSTTATPDASPSGTPAVAPKSEKAKGKKKEKVKPENIPDFDYSTQPNLLDQPQSA SSGADAKKKKKKNVKQAPKPGAFTGVEVPTFGARPARDMSQPKGGNKAGTFTG L198_00890 MEPLPLHASHAGPAADAVHSPIKLEQVELEDTASSSQQGSPIMV HAVKVEKEETPSKDAKVKKTRQSQSCDACRARKVKCDRPPPGTVTNAPTKDICSHCSQ LSLECRFDYVPRKRGPPNLYLKRLQEDQQAAASRKDMPYPSLPPSLPPPQLPQLPIPA IMQQGPATAMPSTATMGNPNGGWQTASPIMGMQPLPLPQVSYLPPMPVPPAPIPTQSY MSSPMSHRGHIPPPVEGPRPMLSSAPPSAHSSPAHLSPNTSFGQHSYEPRNPLDAVVP RPILYHIINLYFDYVYCLIPCLHRPSFTHDLDTNREERPGEEEWVALVLSVVASTLVQ LPRSFISMRRKEVKELVLRCHQKVRSYLAQDYQHPTITRSIILYINIYVYSLTDRMSM ARADFGTNYAYTIALQSHLESEYTNHNPVESSLRRRMFWLMYGADKTFSAIAALPLYF HEDDCASVALPEDLDDAYISTEGRTTQPEGCVSPLCGFRYVSYLYRLTGEALDKQSHD KLRPPSGVLLQMRLSEVSQLYHRVMSAMDGCPQPLVLNYSTPRSSVASLSPDWGERMK TEIHTMFVNPKGADMELVKDYYLVQQANIYVTQQLVRFIIIQYRDELLEHQEIEQRQV DPNDIARREEIKRSVKEGSQDEREQVVVDLLSILRKIPIKVLAVNSISLVQKVRLVAS SLLDSLNPEPEGSSLNGRMADMHTESRAERAQKNLWSFLSYLSEIETLYSWDDDVDT L198_00891 MTYTYTHLDEFFKLSTWDRDIKGSFGLQPPPGNWEGFKKRIAEL QGQCAEDESIKVIFAARHGQAEHNVIKDNYRVPQDLILSARHLFQKGKWATAHVLYPV LDPDLTPLGREQAAALGHALQREAGRGMPVPERYFVSPLKRAGETCGIEWGWIFGEPE DGGKGHGVDALALENLREHLHVHLCDKRSKRSELEKEFPTFTYESTMTEEDELWEPLE VRGRESDDNLVARLGVGVRQALDASEGATYLSITSHSEAIRGVYKALGVPPRDLVVGQ MNIIVLRIKKMAE L198_00892 MTRSGRRLILLELELQECPSDECIKVIFATSDADHNVDAQRHPV ARKLHLYLHLSRGSIVPGFKARVNCPIVDPHLSSLGRDQATALRHALQRETPRGMPLP ERWFVSPLKRDLWNRVGMANLNAEERTASGKRAWHEGHRIRGGVSLRILGHCLAEADV GCWNLRERLHVHKCDKGSSRSALQLDFPSFEYTPGTAEEDKLWQPQEMRGGETEDELT TRSGGGMDQVLDASEGATYNSITSHPGALWGVYKALGVPPRSLVVGEMNVLVLRVKKV TE L198_00893 MADLNLSSDEIQKLCSDLVYQVAYSFYDGPYIVLFKMMVHFNVM AEVDLAQRVGLSTNEVRKYMGILLMHRLVKRHVNKEKLPVPEWKRSYGNNNRNNGPPE LRTRDVHYWFLDYREFANVTKYRLAMMRKNIDQRIKSEVGHRGYQCPMDGRTYDPLDL SHLFDPVTNSFKCEDCRSELVEHDPTVDVENNSSLQDMMQRFNIATAPIRDALKAVEG LTLPSINIVAWIAQNVKTGVVSVDGKEVGEEGKKFEVVMGAEDDEDKEKLAQAQREQN ALPAWYTHSTVTGDATTLGINDRNQKAAVAERARLAAGGTEQTTSDESLAAHYEMLAE GEEEEEDDEEFEETAVVTGETSITPPEPDEATNGASAEGEGKMVTVAGQPKRVEDVTD EDQDLMTTEEYEAYAVAMS L198_00894 MSLALPRSSSALSTPKSGNQPLDRQDLDEADDVDDSDLMGVPQP GKRMVDADLDGKGDSDGDDDKDEDYDDRGEAGPAYQHMGGAASGGKGKGKKKDNGQYI EEKAKRKRAETYMLRGQMDEDQGRRFDTFSTVALNKNLNRDLYDQHCTPQLSQVVAGM AKIFVADVIEMAKELQPHSANPTGPLLPYHLKLAKMCLEQEGMTRSNVQTPANGLRGK KALFRRR L198_00895 MIGLRTGRAAVGRAAAPLAFPSVRPLVRPSLPSLSPLPQKHLRS VRLLSTAPIASSSIPPQEVPLDNYDLASLDPPISSDFLSEPATTIFDPLIHPLADTFL AIPHPLGYGASIIAITLLLRTSLTLPLALWQRRRAKKIQDVVIPELKRYNDTMAQHLA REYRSKNLSYFEYTIETKKRMYLAQKALHKKHNTVPWVTNWVPLLVHLPIFLTVSMTI RRALDIPGSSFAADSFFWIEQLGQVDPYGILPLVGMGVAFGNAELVGARRRAVDAAKT MAEDSVAPEGEAKAAQPEPRPTPVRAASPVQPVQGSSTPRSSSLLARGKPTDSPKSPK SSPPSRPISTTTSVLARPHTKWRAPTNAFSEKLRQEKKANGMVTLEDEKPSAVSPERQ ADIKRDAFAYILRFMAIGFVMLGSQMPSGVVLYWFTSIFYSFVQNFIFRIVPRWRDTK TPDSASAY L198_00896 MSQETPSWAKEVPFLYGESREGWTTKWRQSCFCKKTAFVYDRDP LQVKVCHCTGCQKLHGAPFQQAAIFPKDAVRLDTSPDHVRFLSASADFHALSSSPTPI PRKISCGSCGTPFMDEGRNMVLAFPPTFEFARGKGEKGVPEVFRPGCHIFYGQRVMDC PDGLDKWRAHKNESEKMGDTQGEEEDPKEEEVGAQGKEEQTEDREAKRPRIS L198_00897 MLRPTPKLLRMSTTGWIGLGAMGRPMALNLFTKTYFAHQGSDAP PSLSQGGQKLTFLFCEQDDGRADSFVRDLRDRGGVELAERVERVGSGKEMVQAAAKVF TMLPSTPQVEAVYLDPVQGILAGLWELPGNTPDLPLATAPQSNVSGNTSRAVDEQLSF TTTLSSGPPPNNPHTLLIDQTTLDPTVALAVSTRIHQETSHEALMVDAPVSGGTVGAE KGELTIMFGSPSAVATGLVVPLLQRMARVGGVVECGGSGTGVGVKVCNNLVLACNQIA LAEGLSLGHSLGIDPSLLGSVIDTSSGSSWSSRINPPISTLPKTPASRGYKGGFQTRL MLKDVGLALQAAHKHSLPTPLTWASKSVYEAVCEEGNGDWGAKDFSVVYEWIKKKQRD GVEKGWKD L198_00898 MPRSPSPPRLPHRPRTYRDDSPPYPPRDDYRFAREPRYRNTNYD RNERPDWRDRERDDDRDWDYQRPRYPAGRTSWFSRDRERDERMTARSPLPRRPVAPPM DRERERERGRDERATSMAAEGSAKARSEGTPEEGQITSPVHPPPAPPVLPPISSMAGL PARPHSPPIRSPAIRRRTRSPPPFRRVERDSWRDRDREVRERDWDRDRFFRRRSPSPY SPPVRRRRSPSVSSASTPSRSSRLSPLKRALSPVSDRRRSRSPSEKRSRFEPPHSPSP PLRSELNTPSPPPATAPEVSAPAPAPAPARPVPIAVPLSGLNQFAKRPPPTGLRSMGG AAAPGFAPPTGPRALAHLYGGRPPPTGPRAYAPLSTASLASAGRATAMIPTPVSVTPP REEVELPRASRAPSETPSAHSTSTKDAPPSGPRLSWSERRTLPAQTPTPGPASGLTLA PVAAVARATTSPYAASPNVNVNTVRTPYNQPASSSPTSVSATAYPVSAEQEDVKPQVE EPVLSEEQLAEMRAKEEEAMVLAELPAVAVPFGGTQWEIDLANHHHHYTSLLQNTLRT HSIQRHAAMALADAEAERTAAVERRRICEGQLMAGMVGVGVMFGAV L198_00899 MTQERSRKALIIGAGPVGALTALSLHRQGWDVELWESRDGKYYH GRTMALTDNLLDPRGEDAPSNLRSINLAISSRGLSALQSVDPALATDFLEEAIPMKGR MIHHTDGKEESQLYDPIHGQCINSISRPILNQRLVQALPEGIKTRFNTKLEHLDFKAK RAYASTSKEGVVPGEEAGEEQKVTGKARGEGTAFDLVIGCDGSWSKVRAAMMRIDRVD FSQSFIPHAYIELHMPADSSRPEGFAMDKNHLHIWPRHAFMLIGLPNKDGSFTLTLFL PFDSLDKIKTREQASAFFKDNFPSAVDIVGEKLLLDDFENNPRGNLVTINCTPSAWSS HALLLGDASHSMVPFYGQGLNCGLEDVRVLSTILARHKISGKTSIPLGKKDDGLELAL RAYSVEREGDLKAICELALQNYTEMRSHVLSPMHHLRRHLDTLLTTLFPTLSRSSLPT TSLSSLLASESSSTAFPTNKVKGWTSMYNMVTFRPDVGYAEAMRKESWQKEVVGWVGY VGGAVSVGALGLGVVVGARLARTWLERR L198_00900 MSYRLPIAPLRRRIAPSSLITVSKRFASTSAPRPGRRYLSTTLL LGGGVLGLAYYYDSRSLLHEHVVMPITRLVADPEEGHKLAVRVLSWDKWARPRDMGVD GPELQAELFGHKLTNPLGIAAGFDKDASAIDGLFDLGFGYVEVGSITPEPQPGNPTPR FFRLEEDDAAINRYGFNSLGHGQALNRLRERVVRFSREHPSLFPAPLPANPLPPAGLP RSLRPGQLLAVNLGKNKTSAADSNEDYIRGVRTFGPYADVLIINVSSPNTPGLRALQG RKQLESLLGDVVKERNRLGGDGLPKIAVKVTADLGEEELADVAGAVRSSGVEGVIVSN TTIRRQELNLKSANQHQIGGLSGKPLFPYALQSLRTLRPLLPPSIPLIGCGGVSTGSD AVEMANAGASLVQVYTSFGYRGVGTPRLIKDEITESLKSQGGASWSEQVGKDWMGKEG GVAAMGWDENRIKHEAELLKTEAASLASLLKEAGEKQDLGRLVAEAEKALGLRKGEEQ QLVKEGKATEEQQQVGAGQAQKQVAQSSIGEALISDPVGKVDLGPIVVLDEQKVKESA LIEEKREREAEWSREAKQGAKRLV L198_00901 MSATETTPRTRRITVLISGSGTNLQALLDAAGTPRLPNAAITTV ISSRSNAFGLTRARTHSPPIPTSVCALKTFQNRNPGATREHYDAEVARQVLESKPDMV VLAGWMHILSDRFLDILDGKKEPPSAPALPPPAPSSAPTQAKPTPSNPTTAPVSSLPE PPATQTFPIPIINLHPALPGAFDGAHAIERTLEAFKQGVVKCAGVMVHRVVAEVDRGE PLLVREVEIKQEDKLEDLEERIHGVEHGIIVDGARLILEQLDKEQ L198_00902 MAPAAWNPSSWREKPITQDVAYEDKAHFEKVLGKLRKLPPLVSP VEIDRLRAQLADVSAGKAFLLQGGDCAELFDDCSQDPIEHKLSLILLMSLIILHGSRL PVVRIARIAGQYAKPRSKPTEVVELPVKGGKEGETEKKEVLSFRGDNVNGYEPDDRAA DPDRLLGAYFHSTATLNYIRTLLSSGFANLDNPVDWSFSHVRSPELQSAFGNVIESLQ DSLEFMKVASGGSISGGGGERGGTETVEVYTSHEALLLEYEEALTRSWGAPQAAPSSQ PDTPILSRSASRIRDSSTSSYPHSPVRRARSPKLSESFHGMSMSASDLREVEVDGGKK WYNTSAHFIWIGDRTRQLDGAHVEYFRGIANPIGIKVGPTMEAEEIVRILDIVNPDRV PGKVTLIGRYGAAKVDQFLPGHIDAVKKTDHPVVWQCDAMHGNTKSSVTDPALKTRHF VDVITEITRSMEIHKEKGTILGGVHLELTGELNEDGFSVTECIGGSMELEDKDLSFNY RTHCDPRLNYEQSLDIAFLLADYLKSKRRGEKPHDILLASLRGRKGEAKQ L198_00903 MSLSLTAPRILLLLLLLAIALPSLAAPADEPPSKLQPRSPQPSR RMGATKRSKKVEPLKKKDYSSFLCPGGSVACPITDEGHQATPESAAALDGSLNSLADW FKIGFECVELETELNSCGGCLALGSGQDCSLISNARATGCESGSCQVYSCFDGYVVSP DRKTCVKKGTATPATPVTAINIEDEAQLPLRRR L198_00904 MDGLLNAILGRYGYEMTWAIKSGAMGKPQRVAAEYILSHFPGIE EHLSVDQFIDEGLQRREELFKKVEPMRGATELVQGLHAAGVPIALATGSTMQNFIHKT THLPHIFSLFPPSSILTADSAHVKRGKPNPDIFLAAAESLGRDVGPAEGEVSEKQKEE RRKGIVFEDGTPGVLAGVAAGMNVIWVPDPELLALNPEEKFGASEVLLHLEEWDPIKW GLPPLPGFNGTPAQ L198_00905 MASLSKASVPYTPSGVHFHSSLPSHLATELAFLHTFIRRAAAQH RTQLFLQRMEGTLRMGKILLVYLKGCGEKREGQIEDKWKHRGMCLVRKMIKSLYDAEF IVSQIVELHHFLPLQTAALSIYARIFTVTLNLAGALGMDLEGLISSAGSGKRTKSKKT RPDLPEDTPNGAAGGKSNAGKVEGRSLDFEIGEKIERSSVPLAAVKDLRKAANSQLDQ LSSVRSPAPAPVINSSSTPTRAQSSQSPSPIPSPESPESCPEPEMALTPMEVDINDLP AGSLLQPKKKKKRVNDEAAAPGVVAPSKKQRSKASEDHVTGTPPLSVPTVTNVSSEST VEAPKKKKKRVDEEGASSAKTKVKKKKKKDAMDDIFGF L198_00906 MSRPNTSLAYPEMKELLNRNLRWSKRVWNKDPEFFPHHFPGQRP EIMWIGCTHSNLRQTTIMGCDPGDIFVHRNIANLYSPQDDSLNSVLMIALLNFRVKHI VVTGHTNCVGCLTALNVARLPATPPTTALQRYVRPLATLARTLATPEGPPSLDLLVEE NVVQQVKNLLASDVIKNDWKKRGPDGVVIHGWVYHLEDGTIRDLNVSVGPPGHVPGKV INGFF L198_00907 MSEPPSNPSGDSSTSTAAAPVPKPCKICLAPAKYTCPRCSSTTC SLACVKLHKQNDTCSGVRDPAKYVPLNQFTQGTWSDDYKWLEDGRRQVAGWGQGVKVE EVAGGGNAGRGRGRGRGGPAGRGQKRSRTDGMRRELLKLGCRAEFMPEGMGRKKMNQS SWNQKSGQLHLTIHLIIPHTLLAEPTTSPSSSSKTIAHPRVLFASNDDSATLPNLSSF LPPLNTPVAELQLLLPFYSTPSHPAPSHELHQQLFYPPLDADKPLKEVLQGAAWVEFP EIRVMLKDEWEKKIQKGEVVIIGREETQYDGIAGVAERTRDSGWGAKRSGAPLTAGGA EAAVPAKKAKVDAGTSLLALGDYASDEDSDEEVGEEGQIEEGEDEGEDPSLEVMEAVG RALIADLGEA L198_00908 MSRSARYAAPSLRPLLPRHIDLSHIKPPRTKPPPAVPFFRDPQH TIPTKWSLYRPLLRFARGYLGDDTAYPSVGREVKRLWKSRRSWTSVPQVRTFLQGQYD ILSAFQDNDISELDELEARLANNHRLHDDRIATKAALEAAKPRRPRPRIVGFLRPTLF NPPLPRLKPQPPHLGAMIHARLRRRERRMDRRKEYASLRPDMKLEVAFWKNVLGREGE HLTENTLSPGGWDQLLREEVEAMDARFVKENKRADMVYDETMYERIESAKKARSEWWT NKKAELKAERLEQKSQ L198_00909 MFNVCFIVKLTGINEIRVSHIIQRDTSQNANTYTNRTAGASLAI LMAAMARLGPVDDNHSMKATFARVNVGANEQDQAASSAAYRRPPPALLDGQVGSSDPV LSTESVVGLGDRDTIRIFDEDLGLVPPTSHFKALSALRPANDIPLTLPPDFRLKQVLT KPYAHHTPPLTSTASSVSHIQTLQFGTFIGTGALWDVYELPDAPGHVAKFCSPYGTLF HRGYEHLDVGDIVKERETDTLHSQILAPSGHAPVTLGLWRGFWVDPAHVTYDKGGEAK GWLMYVSIQEDMRQDGEWESVDD L198_00910 MAPAHKHLLNTPQTLVVDSLKGLATVNPNVKLDEAQRVIYTPAS DRVALLSGGGAGHEPAHAAFVGPGLLSAAISGSVFASPNVAQIRRGLELVTREKGGLV VVMNYTGDALHFGLAAEQHRSAGKVGDVRVLLVGDDVAVGREQGSIVGRRGLAGTILV YKVASALSDKGESLDAVEDIAKYVASRLGSLGVGLEHCHVPGTRAGESHLSAKEIELG MGIHNEPGTSKLDLSTVANLVSHMLTQITDTKDKDRSFVPFKNDGTDEVVLLVNNLGA ISELELGGITNEAAKWLQANNIKLRRVLAGTYMTSLNMPGFSLTLLLLPSASEKSTYS SEQILEYLDAPASAPGWSFYAAQEPGVIASDAQSLLSAITRACKALIAAEPELTEQDQ IAGDGDAGLTLEAGAKGVLKAIEQGRLSGKNVIDDVRVIAEVVEEDMGGTSGALYSIF FAGLDTRARPPSRTLVDPLEAFIASLPSKGLSSSAEDALAAADKTKELVAKAGRGAYV NQEDLKKREVPDPGAWGIWRIVDGLRGFEV L198_00911 MLDLFLVPKHGNAGGRSFPHAGHLGVSPVLVQGKIVTKRPSVVP EPFLVRSIFLRVKCTEFRAGGAFEGELQNTLYEQTQTILVPPEGDEYISLGDWESPFR IIIPTDAAIQGRSSMTIREYKVVWRMEAVLEHKTIPYVGNGFTKAYALELQNYQSPPL MPLSPPSPLFIGSDTLCSRVSINVPHGAFGPGDSFDVAVQVRPENPAVLVKKVWVVFE RLIERVDPKSAGGRRESFNGHSPSKRRHTQSPRAHSPTQTTSKLSSIIRRSLSPRPPF HRLPTEPVAREPESAAEGHVIRDKILETASTGVEQGSNGAGNCTLAVNIPRRTGKWAL GETHRSGLVAMTYQLKVSVTLKGDRRASASKSFTCPPIPIVISSTSSAERSKVVMQAE TRRRKLAGGKNGLYLHEANSSVFDTPESFHRSQEVLSPITGVATNVKPILLSDKSSPA ASSQSISFIFPSPPPHDSPPKSHLPIHSLLNPESSPPTQDNEQLLSPPPTRGTGAELD RTEFDPEYKSILNRFHAQASSGRRISATTSEEEEVQPLRSRQKLNPEESRGISEFDYP SLPSLDALGLGLPHVPEDARPRQRRPNTAPIHSTFSMSRSDQVPLPLSGGLGKTSSVG AGRPVTSYGVLGRTQRGTGSAVFGRTKTEGGSADTFAFVMPREKSVGSDE L198_00912 MVKAIESYDEWKALTTNAENIVVVDYWATWCGPCKMISPHFAKL EDKFPSVKFVKVDVEEQEDVAKEAAIKAMPTFIAYKDGKPFKTITGAAPAKITVSAVV EYLGNGG L198_00913 MSLPFRSLESDYPGTMFCRVDVDKHPDIVAKVGISSLPTLVAYK NGTKIGDYVGDNPQGLVAQLETVAGAR L198_00914 MVSAINSHEEFVNLVRPSLPSCTLGAHSSHKTNGSDAVVIDFWA TWCGPCRVISPIFESLEARGHHPGVKFHKVDVDEQPEIAEDVGIRAMPTFIAFKDGQK VDELVGADPERLSVSSVSALL L198_00915 MDTSPDGEWLYKATWYEAINDPEYMKEHPELESLEPFIPAYKGW VERSSYVRSETPASSRRGSNVSSSHKLGDMVPKYGKDGQIVGYKPNGADKYTKSIIIS NRTHGLRDVTVADFKLGTRLYGPLASPEKRARQDATTAESTSGSKGVLYAGGTTWLPS RECHVFSDDRFSRTLNDDTLASAAACVFPSIRDLALPSEYVVAQEDEQPDLDLEECRE KEQDMLNRTRTALQLLPKLSEAIQTTGLDFPGTSALIIHGKSVEDDTPEVRLAFVDFA HTHQHDEDMSPDGVLFGIQSTMGILREQEAALERSLAALDNDRKPWNAWGSDAEEEEG EGWNAWCSDE L198_00916 MADGVRQRKANILASDNISSGNSTALLKVNAVPTEHGQEMDKKV DEHQEYEFGGPLGVLAMMVFFPPLMYYLWICLWFYEGSFVYPTSVDDIQPFFGRMWDH IVEGAYPTKFGFVTYWGLTAIQLAFAAFMPGMWQNGLPVPSLNYDTLPYKCNALASWY STLALSFILHKTGIYRLPWIIENFGPIMTVSIITSYSVSLIIDLSGRFFHYGGKPLRL SGNVIYDHFMGISLNPRIGVIDLKMFAEVRVPWVLLFLFALSATVKQYEEYGRVSYNM IHFLIATGLYINACAKGEQMIPQTWDMFHEKFGWMLIFWNMSGVPFMYVYPAIYMSRT PLATYEFPIWASVALFTTLITCYWIFDSAMSQKSVFKMQQQGQYVRRKAFPTVPWAEV ENPTYIQTKHGNKLLTSGWWGFLRKPNYTADWIQACTWGLCAGLHTPITMWYPFFFLT VLVHRCERDFQKCERKYGDDWEEYCRTVPYKFIPGIY L198_00917 MSLFRDIPAPPPSSSLFASPPKQQPEPPVKNHPSSGSISRNDLF TTSWQPDIAPPRPLQSEPIGNSAGGSSSDEDGGSRTMPTVTSPGRISGYHANTKPSPM RRREFTSLDSRGPIASSPSKASALASTSPTVDEFGVSRPSAIPPSTHSQGLAKEESPR TSSFFDVATDLPAPPPPAPRLTSSIPSSSANSHMGSPVSGLSRSASLRRAGKTLPAPL PLSRTGSNGVGPGSIAHAPLSFGGGEKQRSWDSYTSSHSSTPSASGSYVPAADDPLSP LHQSPQLPPSGVGLTDDWIPPLPPSLDDIELRLIPNKTYLLGQGRYSDVYLGAYKRVR KKKIPVRGKDLLGRPSRLSDNIISLDKKEEGSDMELEVNEDGDGLVGGSWKLCAAKRP AADRDAQTMGLREAFFLNRLRATSPAHERSTFPRSTTRTRAVSPLRDTFAGAQAQRKP VRNGSVYIAKLIAVKEDFDNPHFSSHSRSTSDAVKGDKSGSHLSVSGTLTRQRSSTML PFQTPPNAQIQSAANKVSAGVSKPIPSTEITNLPSFPSYPSLAEAIRQEQYSNPPLPS ISRLVLVLEHAPLGTLDRLLRTSPQLVGKKLFARWAREATEGLEWVHANGVVHGDIKP GNILLTADLHIRISDFGSSLLIHPAHPPTDGVGLGTLPFSSPELVDKSATFSFPVDIW ALGATLYQCITGREPYRGLRIMELTHRVKSGGLWPYEESERLSRVGQGVEVDGTPFPS AWREPVCSGSSDFATNSVAGIRRAGSLRVPSTYSTLGKEPSATFSGRPRLNRMTSAES IRANEDATSSASPAAIKLWSKWVKGGAGLDPVTALLAEDSTPAEFWEEMQASGGVSRQ SSLRRSTSLRRDEDSDGEIEEGTRQRQALKIVTQPVPYSSPALATPTVNTLPPSPAPT PSPCKLPQTEGFLPLQPPAPQPQTPTRRQTLTEAYSDGSPAMLYLDQSERVSEEVRDV IKGMLEVDQWERLEAREVRAKWDELGIGAGDDEIGEMEVDEGM L198_00918 MQPQPTDPVPADPETCSLLGTTGLVVQALMGVMVISSLVIKKQL EKRKRSWRIWLLDVSKQLAGQAVVHGLNILISWLVASVAHNNPCSLYFLNVLIDTTVG NLKLFTWYFTSYLGYDGFISGKYGNPPQAQFWWKQLLTYLMSIITMKLLVLLPLTLPR ISDFLLRLGHWLLDYLSPSAQVIFVMAVFPLIMNIVQFCLVDQVIKASPQPDEGRGDE VRESGEGGRRRRGGDGEGYSRVPDWEADLESGEGPKPGAVDRALPPSSPLLSAGGQDG YGSTTPSPMGSPTTSHSYLPESLNGGSIWSKITGKAGSEGPKVGEAGSSTWWEYPEAA EEGRLSVLPERANRSKAPSPDSARASKLAFTSPIPPPSASTDPPIPADPSSSATSSSS SARPRQQSRDWGTNSSHSQTQRSVASRRLTSDLEREARLTLSPPGSPVVKEGAADEVG MEIWRA L198_00919 MLRSAIPRANALRASTNRPLLARGFASSLAIAEELKKTPLYDFH VAQGAKMVPFAGYSMPLSYGDVGQIIAHKHVRASAGLFDVSHMLQHTFTGPSSQEFLL TLVPSSLQSLQPFSSTLSVILNEQGGIIDDTIVTKHAEDKWYVVTNAGRAAEDRAWIG QKLEAWNKAHAGKEVEWKTLDGWGLVALQGPQAKDVLQGLTETDLNGIKFGQSAFLGL KAKDGEVVECHVARGGYTGEDGFEVSIPPSKTQSITDAITAAPEVMLIGLGARDSLRL EAGMCLYGHDLDESVSPVEAALSWVIGKDRRAADAEPAFPGKSRILEELAKGPSRRRV GFEIIGSPAREGSKVFDNSGKELLGVITSGIPSPTLGSYIAMGYIANGSHKKGTEVKV EVRKKLRDAIVRPMPFVPSKYFK L198_00920 MSGPAGQHYDFLIKLLLIGDSGVGKSCLLLRFCEDSWTPSFITT IGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLIYDVTDEKSFSN IRTWLANIEQHASPGANKILIGNKCDWEEKRAVTLEQGRALADEFGLRFLETSAKANE GVEEAFFTLARDIKTRLIDSQPQEATPVSLGAERGKVDVNKTGDSSSGGCC L198_00921 MASSSSHRAPSARPPPASALSSLLAQANSLNEADHDPELPQIRF GIDDIERMSEAVAGRGKRAKGDRGEAFNLLSTLGVNTSQLTHSIAQLPSETTTTAGRP RRRRAQAQKVESIPEAAYASNDGDIGTWGRNWHEMVILSGIDNVCKLTLAQTVNSFQK QFQQRIHQNWQLEKTRVLQDELGVTDDELANIVDAARTASSTLGGSTLGRSTLGASAR RFPMSQSTLGKSTLGGGAESREGGLVMHTKMVRYERVVAELNQKRLRKEPFELCQALS ESTKSDSKHPLLSQSFNLLAHLVYEPSLRDSEYHSVPSSGVAPPVAEPIQERQYAAAY LGDQQTHQAYLLRGRLIAGGQKYLERDFERHVDETIARNPKEAALGGIPGIRNKIRAF VDVTLRTKESRETYKPETVNGTFLWAQAYYLVRCGYVDEALNLIAENQSHIAREDWSF PGAFKMSMQSSERRMNKTQRDQLSNDYNAHIRNNPATDQFKTALYKLVGRYEIRKQSK VTPTTEDWMWFQLCVIRENKDDEPEGGPYTLADLGKSLDKFGSDVYDGKGTRPLVWFN LMLYTAQFERAVAYLYSKPQMRTDTVHFAIALSYYGLLRVPPKGDEADLLGYNNTGDV AYLNFPRLVKQYISPFFKLEPQTALQYAYLVMLNSDAPPPAGPKQRQLCLELVRDIVL ASRSWSKLLGSVRADGSKETGVVEKDLKLLKLEDEHDYLRQVVLSAADQSSLDASLID SIELYHLAAAYDKVVETVNRALGHSLSSSSTYSLIPGSDPTSAPSAVGLTGAFGGTTD LYSLAQRVYAVYDRDYGKKSRVSSIHWETLETLLRLKLALAQFAADRPDLALETFKST NLLPLETDPSQITSYAQKFRDMLDQPVISNLDDVIVTTMKCLHLLSQQLKQSPYGDQG RMVQLQVYKHQAQCLIQFAGTLRLRLGPDVYRQLSSMSAFF L198_00922 MFRARSFMTGRRALVLTTSTTVAVGSYLYARQQNRVQLDWQPGS KTDVPDPLWNPPSRSQMVDHLRTSGLHIKRTQEGGPNPAIDVPTTDVEEKDDDDVFDL LIIGGGATGAGAVLDAASRGLKVACVEREDFASGTSSKSTKLVHGGVRYLQKAIMELD YDQWKMVKEALRERKIFLDTAPHLSHMLPILLPIYTWWQLPYYYAGCKVYDFLAGKEN MESAYWMGKGKSLEAFPTLKKEGLVGGVVYYDGQHNDSRMNISIIMTAVQQGAIVSNY TEVTELHKKPDPSRGGQERIYAATIKDSLTGELMKVRCRGVINATGPFSDGVRRLDEP SAQEIVAPSAGVHITLPSYYGSQRLGLLDPATSDGRVVFFLPWQGQIIAGTTDSPTDV SQNPIPEEKQIQWILDEVRHYLAPEIQVRRGDVLSAWSGIRPLVKDPGSSNTESLVRN HMINTSKGGLLTIAGGKWTTYREMAEQTIDAAVKEFKLKPNGPCQTHRVKLIGAHSWN KTMYIKLIQDFGLETDVAQHLSESYGDRAFTVATMALPTGKNWPIHGVRFHNSYPYIE AEARYACRCEYAVTAADFVARRTRLGFLDVQATVESLPRVLDIMGEELGWDRARKEVE FDEVVGFLRSMGLPEVSQVSSSNDQVLRVKERYIAQLDLQQRRLLPAIETSLSSKIKN QELKLIHDTLQETHLRLRDVERNAGHIGPLGLAQKEEIEVIASNMPASHPEVKGTNFH FQ L198_00923 MFSRRIPSALRAYSTSAAPEASSQATHHLITLVRSPIALPERSK RTLTALGLKRLRQSVVHPFSPLVAGRILKVKELVQVLNVTEEEGMALSQRRRGEGSGV EVTGRAFGGGKGNVEVGI L198_00924 MLATRSLAQEVAASVPRNAARRGPNMVKHNIGLKRATPPPHLLT LADLSTKQLTNLLANAAALKFVSKYIDPRAIPKQLSSRTVALIFNKRSTRTRVASETS VEALGGHPMFLGKDDIQLGINESLQDTAQVVGSMTDGIMARVNGHEEIETLAKYSPVP VVNALSDLYHPTQILADLLTLLELYAPVPAPTDADITTGKTYTSVLKHFQSNIDPIAT LKGKKVAWVGDTNNITNELLVTLPRFGMEFSVASPKGYDKVDDRVWSRVTDANTQGLV TLTNDPAEALHKADIVVTDTWISMGQEEEKVARLEAFKGYQITNKMVADAGANADWKF MHCLPRKQEEVDDEVFYGNKSLVFPEAENRKWTIMAVFE L198_00925 MASNEDFTKRKLWGGRFTGSTDPLMHEFNQSLKYDKRMYAADVK GSIAFSKALLKAGILVEAEQKEIERGLKVVESEWAENRFKVEADDEDIHTANERRLSE IIGKDIGGKLHTGRSRNDQVATDMRIWLMEETKIVESYLKDLLNVMVTRAEKEVDAIL PGYTHLQRAQPVRWSHFLLSHAQSFLGDLERLRQLYPRISVLPLGSAALAGNPYSLDR ELLRKELDFESIGENSMHAVADRDFIVEWLQWASLTQVHMSRMAEDLIIYSTAEFGFV QLSDAYSTGSSIMPQKKNPDSLELLRGKAGRTFGQMAGFMMSLKGVPSTYNKDLQEDK EPLFDAVDTVSAALRIAEGALATLSINPEKMAAALTMDMLATDIADYLVRKGVPFRET HHISGRAVALAENTKVQISDLSMAQWKELDERFDETVLDVFDFEASVEKRNAIGGPAR SMIARQVEVARQRIGK L198_00926 MARPFSLPSTLRVSVGRSVAARAPLIARQRHHDAQTLQEIKDED TDFILSILKASPSKRDSRSYLSSFAPPQPKPQPSAILESDSATPATTDGSQNPPKEPQ DNLVHSLLNPVYRRPALVKVQGPFTDPQLDSICRGLAHLRKLGLVPVIVVDRDDLPAT DPADKFEAHRQRAIVRHEVERVVQFLTRHRAPARPVFSTVARISDPELEPEEAQKGVF VEDEGLDHIRRAVGEGEIPVLLPVALDSGCRSRRIQSNKVLLALASAMSATSSRPVDL TPRRLLIINREGGIPSYARRSFPHLYINLASEFSYINRTWLPQWNASHPTALANLSLA KGCLAHMPRGASALVVSHRSPTALIANLITNKPAHSASLPHALLVESEGRITRHTPTL IRKGLPVRVLRTMDEVDEEKLTVLLESSFGRTLDREAFYQRLKDDLDFVIVIGDYAGA AVCTLEGKPSNSSAPPFQYPPEHPEPICYLDKFAVHPSHQGDGTVDFLWVALRDETYG LGQLDASNPSIGSLRGVGRGRDLVWRSRGDNPVNKWYYERSSGFVRTQDQKWKVFWCD AEQRLNRIWEQREFGGGRLVRVLEKEEKGRIEWWEEVIGAIPSAWAK L198_00927 MIVKVKTLTGKEVDIDVQPDMIISKVKDRVEEKAGIPPVQQRLI FGGKAMNDEKAIQDYKIQAGAVIHLVLALRGGRA L198_00928 MGKAARGKKRTAAAGLPKKAPAKKGKAAPALESAVKAAETPKIT STRASARLAAQTHFNQPPQLADESASNARKPSALAHTFSRVLSMGDIQQPRNPPVLQG PEQGGYDEHGFWKAPKSPPMPTSAQPSKHATQAEDLGSTQSRGAPLPEITPSRKTRHL SVPSSSSASPTPPLTVLRTPLDKDVLNRPPTSSRNNGHFFSTQGYTPPSHAREELFRT KSMILPPPSHPDLDFFRNPRTRNTVRNPIFISTAPAVPIPYSQRLTERADEGTSDSDD GEAVASVAQSDTRRPRLRLPGGEPYVSSEGEGGQEDEEILGVGERVEVSQSSSQPADK DQVSHNTTPTTWRDPFSYIPAANTQSAAALHAHGMDQSQDISPETPELVQATASDCPP NPDEELGSEDSRSALSAQSAETCSDDLPLQLSRKSMALKNKTYGKGRSHAYKTGLPDP VRNAHRISLTPPIHAPTPQLDDLPAADSVPDSCLPPEADDADDDEETDDDGQEEGESE LWSSQEVIPTKRGPMAPMTSRSFASPTKAGHEAALARGSPKKKQRLDQADQPSPSSRG SPLKLSRSASCQVRLKSYVQNIRSQKASRSSIRRVSVRRARALEGNTLQLFTSTPYSA YISQYRQNPGPLMFPTPSSLLSPGIYVNPRTQYASPGKTGKGRQYARRRTPPALYPTQ GDPQWEDEIDCAVSVRGGSVPPGGRARKTAGKKKRVPKLSLVTAASAQHSPIRTQHPA KQVQRQSPQKAASTAAQPTGNGKASIPGGGVVHHSPDNTRIIQRRNVLPTVVKQQHML SAQRAAADRTPLPAKLISAPTKPVSFTRKSNVERPNTPSTPAISSSLQKANAPAANPT HVLTDVSTSKSFQSGVNNILAKAPPMKASWPLRKAHQSEEIAAFPSPSGRPTHIPLSI APADSSTGKASRLQTQVLGSKEVVPCPGQMYESIDAIENASGDASGHVRHRSGQKMSG LEMTQHLMAGNTGNRKRTSSIQIYQGTSEARVRGKSQLDHRLPETVGHESFGKRKRQE TDDISEDGRVSPHSARHLPATPAPARARKQSLVIPSSTQVRPLSALATPAGSRVVKKG LSTMITPTPAQKQITMGPVKSQAAVSATHSKLASRQPSSTQHMPHPQGRPALSQYPTN TLERTEMGSSARARMTQGLEKTEVGSSAAAPKSRRSQGAWTQQGLIKTAAESSMRDRD VGGTPGLGLRLEKTRLDMENPPQTAPQARRWNMEDSSPISDRAHLAPDAAFTQSSPDP SSLPGRRSMAHVSDSGREATLSPEAPPAVTQTLQRLRSRSLGRRSLGLRAPRSSAFLS SPQTPHAQRSHRTQIPPSSQKTPNVSMMPSRTQKTVGPTQTQKSRASRATQIQKVTQT QHQVYSQKQGGNPFAQYRSPLKGV L198_00929 MPRHNVALHLPAPLPAPPIDPRPSPSPLQQVVQSTLQTTDYDLV SLPLSNQKWQDRWEKLCLHPVFDEDAISPAELDKLEQERRVVDREADLWRRDGGLKRE EVNISRIEEVGGVGSEGRVLAVASEWLEMDSADEGIRFDSELALKAEYAQALYLSLPT LIIPAPSPLNRAHLPSYARAIMGLLQMGGDKAVTEISVRIPVTDPMELIKDVQTGGAI SPIPFNQPPPVQAQTDKKHKRLSSLSTRPQSMQAQMALFANNTNQAPMPAPNAAAASQ RITSGASSMMSAPLSVAGAALQAPPTRTGTTQVEEMSSTWEMWDCIRTICGYHPRLSV TLDLTNPLPPSVGALARWIAEPVRHVWLPATSFIPNAKGYPVLSKATQAFIRGMSKKN PTYILSQTTAKRHPAGGPHAYLQYVRHITNTPPAHTTPQSTSTPGHPSAHMAFPSNGV ADLYADYTDYLQAPLRPLEDDLASETYAGFEKDTVKYERYESAITQALMDLPANKKHV ITVVGAGRGPLVDCALRALLHASRQATIYAVEKNPSAFLTLQERKELEWRDNVNIVFS DMRSVDLKEAGSRVGDAVELCDLLVSELLGSFGDNEGSPECLDGAMRLLKPTGISIPT SYTAHIAPISTTILHQATHGQYTKPGTSETPHVVMMSQVNLISGDNNVPGVSWRCGER VQQCWQFVHPRRDIAVDTKGLPLTNSHNTRASTHTFHIPHASTLHGLAGYFEAHLYGD IGLSIHPDNAHVVSPDLFSWFPLFFPLKEPLYLPSGSELVVNVWRMGDGRGKRVWYEW SVEGYLPVVQAAVSAPGSASLGGGQRAASGASGVMGGGQPSPLMDAQFSPGLVGRSTG GVGEMGRVKISQSSLHNAGGVHSWVSL L198_00930 MGLSRQARIKTLLAIDTLFFFIELITGYAVGSLALVADSFHMLN DVLSLVVALYTIKLATSPSSAANSYGWQRAEILGALINSVFLVALCVSIGLEAVGRIV TPPEISNAQLIVFVGSLGLLSNIVGLFLFHDHGHSHGGHSHGAIALPGGDVDANEETP LIRDDVSELYQHPAQTRAQVIETAQEFGYGSTQVASSFDSSAMGKSPLSAHGRAPSAS RRSNRASISRPHGRMNSTTRPIPGQNADLLPVGAEVSSTGSSTVVDAGKPKDHSSASD HSHAGHSHAEGEHDHAHDHGKMAKNVDDAEAGHSHGHGHSHGSMNMRGVFLHVLGDAL GNVGVIAAGLVIWFFEGRWTLYFDPGVSLVITCIIFSSALPLCKSASYILLQGVPSHV SLDAVRQSIVDVDGVDSVHELHIWQLSESTVVASVHVLIEHGKDYMEVASGIREKMHS HGIHSVTIQPEFYDEADTSAEACLIRCPPGDCEGDTCCPPASSSKPPSESGEVAHNHN HTH L198_00931 MNTHNASDDPLPGIPATIPTTIPSSEYGPPQPINPLSLHLLPHP QRGNGVFTDRAIPAGTLIEESPVLLFSKEEWEEKGLDDTVLGSYGFCWSGGGMGLGLG LASLFNHSPKPNVNYIRSPQSRTIKFLASRSISPGEELCICYAADQSKLWFVPADERE SAARKEENGEDSEDDEERIMAGSLQATSDSDPVSPPIQAPRPIRAPSFPSLTSSPPAS RPSSANNAAHAIPANALPAPLHSSAHKALSRRGTPTSKQRAGLVPDLKWSDDEWKDAK GRDNMPDGKVEGVDYGETIRIKGPAESENDGEDKDLIQVWILEFTDPKLTKTALNFSK EVWPNDVNERLRHLKRVCRRKENDLEICRIALCPIDEHSSESLSALMVAFSPDLGTLS PKTWSVPATGARTQEQLKYKHHIWPVSFSPAPIVPSSSSEAGWSLTKKAWVASGVKRV LELALEAKRNGEVPVATFCISPPDAFWPKSDGGFIAPTPNLRASSHDTRNSESHPLRH AVLNCVANIAHLRTVPPFADTTPARNGADYLLTGMCLFLSHEPCVMCSMALLHSRVKE VIYVFGQRRGGGFEIGSGDSVGFAANQGSEEASHELSPDAKGFGIHARRDLNHRYEVW RWDGHVDEAVRAELEIHEDLQL L198_00932 MHPAGPSAFYSPYGSRPPSRSASPAPHSPGGVVQPPFDFPSVSF PPRLKNIGRQLSQISNDFFSEDEGETMTARREALKKQEAGGTRPQTPVQKGFKIESVP GMGEDPVMCPFCEKPLPPALFLEHTHHSDPKATPKSVKSKEKQQDAPMPKTPKVSSED LATTVKEGDIANHNFAEGLVSADDLRRWASLAGITLSESAIPKPATTATPKKASPEPV KPAESKAFPLLPPPPPPLQAGSGNPSQKAKPSRQDSGSGRFGFWKSSKKEDEESSDDE GGAVGYQKIGAGDEESDDEEKKVSEVSSKKDKETAEETVAVKDFVQDEPVEVPEKTDS ESEPKVEPVVPVDDVFPASHDDLKIVLAEVLAKVGQMSQSQNALLTSHSSLLTSLKIA RSNLAMAEANTEMLEAQLARGPTPVVPINQRTVSSPVTSTHPSRPISAMGDKQRPSNL QLSSLDPAGAPASAPANGSEKSSWFSNNKKKLGNLNLPSAVSIVDSFSSPTTEYASKG RSSGDYFGGSAIPYGAAMPNERPGVSRTQSHSSVSRSLGGTTTIARPAQSADITQLRQ AYSAAVAQISALTTEVADLKSGKKEMEEELESLSQELFEEANKMVADERKKRAEAEEN LKEVREEKDALKETVKVLGGRVDSPAPGVQEEPAENKSLPGSEKLEPRDLDKHYAALR KSIHHVSSPPTSPPATQPSFPDVLHQPLPVLPLSKEHATMSIVPEQGRSTATPPIDIP SSRPVSTQIPAESNPWASSLESSEGEDGRPEFKMSLMTPSPQPEEKEKEVE L198_00933 MSSPVTSQGSMEGTLSEKGVILEQPTEKTFMAPAPDQGPQAVSD SSVQSASSLPSSMGDGEVGGEQLLNHNPPCSDCCCSYGCEVSVQGIGECCDIMAECLT EALQGCLSCMTCDLD L198_00934 MATETIPKDVEGGNVAGDVKNGHEALDLAQTSEVSNQVTVDRLE QKRVEHERARQAQRAKFEQQMRELEANQLAEERQLLSTNTPGHSAGEIASAPTTPPGA LGAAPHDSTKEAPAPIGPPTGNTQREGLNGAKSMPGSRRTSTYGNTFGMEKLSLSGMA DGPRREWRQEDDDVDAEGAQSSVKYLGMNDDDPFPGIPKEPKRLSTASAALDLAPLSN TPPRAFGTRPFDTSLKTSEWPQFSAAPGSAAAAAAAARAGVTSPLQATGLMSDELREP TLLTSRKTSPTAALADSIASLPPMPSKSVPGTPFGFGSNAAGAGGARADHDGLSQAQR GYSNPDLARAFGKVGSGFAMGAERPPYNDIYNSFSPVPASLPPSAAVAAAAFTPQVAY DPYGFDEDGYGSGSLYPGGSMGLKNKRADQDREFNRFAGVRIEDLKGELLSLCKDQHG CRYLQKKLEEGDPQHRDMIFNETYGHFPELMTDPFGNYLCQKLLEYSTEDQRSAIIDS VANDLVGISLNMHGTRAVQKMVDFLAQPRQPKQIRTLILALSMNVVALIKDLNGNHVI QKCLNKLIPEDNQFIYNAIAANLIEVATHRHGCCVLQRSIDHASPAQRMQLVTEIIFN SLYLVQDPFGNYVIQYILDLNDARFSEPLIRTFIGNVCSLSVQKFSSNVVEKCIRVAD PEIRKVLVGEVLNRSRLEKLLRDSYGNYVIQTILDYCEIGQRMVLVECIRPILPSIRN TPYGKRIQSKLAREDASFQPYNGYNSRSERGDRGDRGGGRGGNYNSSRGHIGRPQLQH INALTDIYGGGGPFMQYGHAPAMHPGHPGAHPHQHPHAPPPHGAWPGGPRDPHGAPLG PTHTGVSYHAPGPDGQPWLHLRGGPAGGPAPNWHLAQQEGMPAQMTGASGQEEEGRWQ DPSAGGYYNGPSMPLM L198_00935 MSSTATQSTAVLRSRLKELINAYLRHRPLIQRALTASFVIYCLL STYRSLTGKGAKGSGRERSGGRRGKGGKSVTGSINDPKFHVRLKRLMRVVIPSLKTRE AAMLALHSAFLVGRTGLSLYVAELDGRIVSSLVTANPTLFLMNITRWLLIAIPATYTN SMLEYLQSELGLAYRTRLTKHALNAYLDPPEFRIEGDVSGEQLFYKLANLDDRIKNAD QYLAVDIQLFSDKLAEIYSNIAKPVLDVILYNYQLSKNVGAEGLVVLTILVQASAGLL RAITPPFGAYAAHEAKLEGELRFTHSRLLESAEEVALYHGEEFEKNIIERGYFALVKH INRVLRIRVGHGMAEEGVIKWLWGSLGLGICAIPVFGREFLGMKGGDLASRAEGFVTN RRLLLSSSDAFGRVMYSYKELAELAGYTSRVSDLFEAMDDVKKGNYQKRLVSGASVED NAKMLQGRGNIIESEEIRFDKVPLISPNGDVLVKSMSFNVEIGKHLLVIGPNGCGKSS LFRILGGLWPVYGGTVYKPPASQFTYIPQRPYLTSGTLRDQIIYPHSHSDMLARGTSD ENLIKILEVVEMGSVVEREGGWGVVREWRDALSGGDKQRIAMARLYYHKPKYAILDEC TSAVTLEMERIMYDHATALGITLMTVSHRPSLWKYHSMVLQYDGQGGYIFTELDAEKR LKLQEEKQELEHKLLGVPKLKERLEELKVIKAEREKGQK L198_00936 MNALSKSKGKPHELHPKYTPSISFQSVPAESATLQQAQQAGEGF KSKLFGSFPRGEVTVRKNDSILLDILGKLGLNPNVLFSPADWASFQYYDTKFCGPKLT LSDHANPIALHTHLGLMRYFDNTMLHPLNMSIGDKEALWSSAMKSCHGLLGRSRGEDG KR L198_00937 MGTNDVTQETFGSADLLCGGAFAEIKADDKVKESHVTDTSKDLF PEDQDQLAFPSQSSVLQNSPSSSVDATMKEFFSQVICEAQVNRRIRGIVLLFSICSVV RLTKDNEIHISHIVRRDAPVPGNTYTNCTASASMATMMAAMARLRSLDPHHPMQVIFD RRLAGPSVQIPTSSSTHLPSTPDKKQGSGRQMRESQSDHYMTCVFNSKLRFVPPTSQP SFETFRLEQVIPPDVAPDSSHAPPASSTHASVSNSHSHQIESTSSRATASSDKTIIPK HAGHFQTLRFGKLMGRGALWDVYELPDTPGYVAKFCSPYSVLLQRGYDNFDDLDIILN ELVTDTLLSSDLWPVERVLGSGKYDIW L198_00938 MPYQPNHIFKGVVTKVGVMRKTATVTVERTFEHPKILKEVKRHK KYLAHDEGEVSRLGDKVTIIHGTRTSKSKSFRLHSILSRDTHKFPNDPIPSVIPPPAL SGRQIRKAAAAEGGSGKVVEALKEAGL L198_00939 MATVPLGAHLGVSIHLLPPSAELISMSHAPAPADLPPPPPSADP REPSAVASARRSGARTPQRARSPISPRDVSLVRNSNRSPVSDDAMLQPFPRASPAVGT PPPQIPLQASLGTLGHHLSRPSSPSSIHSSGSAIFERDIEHPPLPSLALGANPPHSPH TLNHKPSRVLHLSHGSNLDHTVPAVLDDAVEALTLGGATSRGLEGLEIEAPTGNPAGV TRPSSTSLTSSAGRKLSTGPSAVWYPHSRSPSPVSSNSRPSSVASPHQSPPILAQLSP SQTFTAPPATFGAGRDSPASPTSPTAATASVPRPAAPRRISTGPQLPGGWVKDSTITP GEERSSDVPAPTAVPPLSGSPSPSAVPPHLSPSKSKPHHRLSFVSYNDILLSVPTQVT SFGEITSGTVSPDHLPGTVSPSLSSSSPAVGQGQVPSLNGFPSAANSLPTRQDAGSPV PASSLTSAAAAAGATGEPKEGWEAGRGGSGALGLGEGEWQREGLGKGLEQRLEDLAQV EPQGQAAPLA L198_00940 MFRAPLPQQAQRIQPTEAGPSTAPSLSADGVVGTDGAPLPPAPG ASAEGDSLINRMMADKQVLPYFSAGAGLMGIGVVLTALRRSVTLGATFAQRRMLVTLE IPSKDRSYPWFLEWMAHQTAAQQKGKAKAPGLFGFGQGLRSHELAVETSYKQHENGAS EAVFNLVPGPGTHYFKYDGSWFQVKRERDSKLMDLHSGTPWETLTLTTLSTSRNLFSN LLSEARELAEASTEGKTVVYTAWGVEWRPFGKPRRRREMGSVVLAEGQAEEIESDLKG FLGRGKWYADRGIPYRRGYLLHGPPGSGKTSFIQALAGSLNYNICLMNLSERGLTDDK LNHLLGLVPERSFVLLEDIDSAFNRRIQTSEDGYKSSVTFSGLLNALDGVASSEERII FMTTNHFDRLDPALIRPGRVDIQALLGDAEGEQAKRLFIKFYGNTPSEIEGAVKGRIM REGEVPLSDEEVETMGNEVQGIIENEKRQGRAVSMASLQGHFIRNGAKESIGGIRELC RPLEQE L198_00941 MSDSNQPKAPLNIPSGNSQITPEPQPLKPDERLTGDKLQDFKSA FGRIKPKNDLENLGKMPCARNSLLYGIAGGTGIGAVRFLGSRRPWSAANWAVGSFLAI TLFQW L198_00942 MTLSPPAKPGSGGRAWVTLITNPSYVAGLLALHRTISSLSDYPL LAMTTPSLPESYQTFLKSYGFKLIPVSHLSPSTSQHAGFDPDMVRLNDAWTKLQVFGL VEYDKVILIDADMIFLKDMDELFDLELPGRDWIGAAPACVCNPLNLEHYPKDWIPENC SLSHQQSPTPLLSPEIPSLDAPRTAHLLNSGLVILYPSTTLLDSLVSFLETSPTVAQA LFADQDVIAEAFKGRWRPLPWWCNALKTLRGAHKALWKDEQVANIHYIIDKPWAARPA SLPPHRPSSTMPSPYLPPSPSSVESTHESLPSHAYLKSALTKRRTLPPALLEIVRNTP EQESLTDYVEVHKWWWVVYEDVLEEMKTKGVEWKMVDRWVKR L198_00943 MTPRSFLPQVVGLFYATFDPSLGPIVQYQVPENLIADSTSEDSR SRSHSPSRSRSRQASTPDSYPSASHSPLDNYRSRALLNFVPLSEYVIPKKSLHGRLVT LLTTGLDDNADQAEYRIMGFPNVMTAPEEGTYTRNEYMWNLCFVFHASSSLEAFEPIV RKCARILRSAERDSSYLSKPSSSHIALPAVLEQLFEDLNSYSETSIPLDGFNSLELKL FPFYPNPPDCEDWHVPIALVDLNAHKDDNWDITAARVCQFIDGVNHVKKIAELADADE ALTREIFRHMLYYQVVMMVDIFQYSNMYTLKPVISRLAADETIISECADYVTRRGFPR PEWPILLHLYSKLQPGITVHDWIEAHEVLSLGIDPRRFVSFGIIKGFLRRVNRWAKLV ERREPLIQVPEQRKRVGFDESARVNSSGFHRERPQTYLNHSTLGANESALSLKNPGES TFTLRSVGSNASLGVSPSSMANFTPPSIHNRSPRRGPFGAASAAGTNSTHTVHPRSHA SNADSQTPSHHSISSRRAIGGSTTNTTLTTSNTASGGLHPGGSGFNFRYGMSTRQREE AHAIKKAEELEEDLIMFLDGSHHADEIQVKFGWSWGQLEKILGLDEVEDGMGRKGVTV VYR L198_00944 MSDGGNSSATAPKDRHEPRGRKPNDKLPPSRAREVQRAFRLRRA EHLASLEERILNLETENNQLRALLSLPSADRGRIGSGPTGRGKSLKEGGVPMSERVRA RKEARERERRALGLPEVDTTDQSESERVGRDSVTLSPGASSHHHHPHPHPPASSSNHA APSGSTTNPSSVPPLFNTPADVSPAAFHYNLPMPFNIPVSPGPQFPDFTNNLDIYKNN SSSSANNFSNMFNMFSPNEGGESSQAGAQNSVNTSIPTPPVAKPSPISPPLTNPQTAA SPAQMDLLTRLKSCCHVSDSHVVNDPGLLVFATRLCQSFGCSFNGAHTEPHPVSDAEC LTLEDAWRVLKATLDPGGDADGENRINTGKMAAELVVRASHSRGPGGWITCRYREGLS VKRSMIQALVQGLGGKLDS L198_00945 MPADTINIASLAVHLPNGLGPSAFHLTPPPPCPVSISLTIHLFP GSVKATSEGDDMTGLGVNYSAVSKAVYALAGGQEKVWGDAWELMRAVSEIPLDLPDVQ SVDVRIGLPKALLHAMEAVYTAMYTKTGGLVEESRSSEIRDLKVVCIVGLHPHERKEK QRLEVDVRAYDCDWNAWGHKGFADQVYEFVSNSEYGTIESLDHELGHSLLSSKYLGSG NTPRLQITIRKPSAIPFATPGITIHRTHSDYSPTPSTSQECTGSERVFIAVGGNIGDT VSNIKTAVGLLQSGGCNLIATSRLYESEPMYVEDQDRFVNGVIEVTTSLEPLDLLRLL KRTERATGRTKTFTNGPRVVDLDLVFYGQRVLKIGSETDAEDADGVRWLECPHARLGE REFVLRPLADIDASFTHPAVGKSISQLLDSLPDPSSLGPMIPLRTHSRSLRLSSPATP RIMAIFNATPDSFSDGDPSRTSTKHALEECLKLIEQGGADILDIGGMSTRPGSEPCTE TEEVERVVPLIAAIRQHSNTKLARIPISVDTYRASVAEQAVAAGASIINDVRGGREPG MLAVMSAADVPVVLMHSRGDSKTMTSAESQDYTALGGVVEGVKKEMAETVELALKAGV KRWNIIVDPGLGFAKSGSQSLTLLRRLLELVSSSSPLHNYPLLVGASRKGFVGQVTGR TVAKERSYGDAGVSAWCVGSGGVDILRVHASGEMGDVVKMVQAIRDAE L198_00946 MTGKSTVSKLLSERHHIPIIDADLLAREVIAPGTSGYDLVVSHF GPDRVLQDDGVTLNRSAIGDIVFRDGEQRKWLNGVVHPRVRREMARSILRYWLKGEWC VIVDVPLLIEAGMWKWVGDIVVVYVNERLQLSRLLARHADDTPPLTQTQAQNRIASQL ALSSKLNYATSVIDNSGSFTDLTDQVDRTVAKWKKQQGGSSGWWWRVCWLLPPVGLVA GALCLFATWRNGTRKSRRRGRGEVNSRAGKGDPTAERIELMEMRGGRRRAASRSITDE D L198_00947 MPTTGRDSPARPSIRETIAARRAELRKTPKSQRTGDLQTYGSPA GRRAGTPRGFVYLDGNGVDQINDKSVEGQIRKARKSGKLDIAGLELDRIPAKVFTELL GLDPKGLSNPPPPPSPKPSLLAPKARPASASPFATEDDFQNDALKDDVFGKPLEKEEV WTEPEEELATFRAGHNQILTVDKEIGMFGGLKILDLSRNGLTAIPDSLSDLLRLTSLD LSHNKLTSIPRSVLLLPKLQWLDLSSNSIASLSFDSPIGPSEDGLGYGQGFFSTAISR AASVKTERPVLPALHHLSLGNNKLSIEGLRALVQIKLRGMRVLNLERNAIKGVVIAED LGVDAKAMPELSQLVLSGNTNFRGIDGELSSAVMVETAGCNLRQHTPAFSREATPAAE DTSFTTPKSAISSPKKPTGPSLPVPEPDLTIVYKNTPAMTFDSEPLAIDFDIYLPTAP AGPSGHPLVVWFHGGGLLQGNKENLPPHFRRLPSHVYHGEDGKADESVVVISPNYRLA PQVPIIDILSDVTSLLDFIRTKLNDKLVKEGQSEHKVDVERICLSGGSAGGYLALMAG LEVPKEVSDEDAGGFRGGSKGIKCLAPFYPITDLTDKFWSTETIPVPWMDGTIITHAQ AKPHIDTKAAHICTAVSGGPRSILYPYMLQHSLFPSLLFRTQRVIGNGYDSFRPTPLA LSVTNRLELTAKSSRAQPHVPIYFIYGTLDDKVQPMDHTLEVLNKVEGELLVERIEGG DHMYDEDPAVECEALREWLGKTLL L198_00948 MHKNNPYSEKKPDFARLASRYPSFAPFVTVSDSGLPCIEFKDPR ALMELTQCLLKEDWTLDVQVRDDRICPPVLLTHRRLDYIYHLLDIEPWLPSSSSKSLR VLDIGTGATAIYPILLHRIRPLSHITATELDDTSYQHALQTLETNKIPASSITVVKAP SPNPILFPLLKAKDEEAWDFTMCNPPFFESAEEMQKGIDLKEESAHAAPTAADNELIT RGGEVAFISSMIRESTIIGKRCQWFTSLVGKYSSLEPIIALLKELKIDNYFVKISQQA KTARWIIAWSHTTTRLPDSITRPSEVIPNTSFTQILPPSNMYMLHAQPGISIDALRTT LLETLASIELEPVQSEAPTATGSQSDGENVIVLAPKANTWSRAARREAARIAASGEKP AVPSESSQSVFRTKIRLVKGSDEGSQLEIDWTDGEGKDRETWESFCKFLLSKMGLTRK KIAGEEQREQVSGKQEEKWGQKGSTRGRGSASRGRGHARNGNESAPRGSYKRKTFQAS HQERDSGYAQRRRLE L198_00949 MSLPAAPDRPSWPSMVLRKCKEQPAVPLGAGATVVALLGATYHL RRGNRNRFNQFLRWRIYAQGLTVAALMVYGINEMKEREAAGIIERTPNNKIMVYPQTP QPRIVDQVEPIVIKPSTPSSLPASLSTVVDSDAEDKTASAYPLRKEERMSVSDFAKRL RAAEALHKEEEDAKKA L198_00950 MSDPHYASPTAASTQHHINEQHVPTGRGLKSFFTRVTSPTPGEA PAGRSRFKSFMGDAASKTGPGSKLAPTRQGNAGQPIEALGTRRRTLSKDVHGRSSVAD QTRKGSQLDPSVPTVDMRKHIRFNPNVLPRLQKGDDGKWQPPSLSRGSMSTSALHQMQ EQDPNVVPTINPKKGPQHALSGLFHPSTRRKSSVPATYKKAEDDLARTTKSPTRGLKT KVTVEDLQRTISPGAVSPTLRAPRTPVSPMSVPVPPTSSVAPPSHRPPQSPPLSKTPQ PASQPTVPVSQSTVRRPTSLPAPLPPPDVPTHARQASDIAALPPAATPPLSREHYLLR LSTSFIVKFLTPVVRGSSFVQGDKGSEIRRLADERLAVLVRMERAWGSDWVKAAGTLN DSQPGQAQAATAPMETRIRAAYVGERSKERERKAWLEAMRDGILLCFLFNQLFPYSPA HITRVNISEDGINRSTNVTRFIAACQTAGLQERESFELSDLKGGSELGLGKVAASVVA LARIAGPSRSKSPVGSRPASRPGSRMSNATPTNSPPPTPKQPIFEIASPSSMMSAKPA STPTRPSPFPRSTTQPILSPTIRPKSPPASPISPVRSTSSRSTTPVGGTPSLQVRPVL RPRNITGSRASVSFASNVSTSVPSASSDTGNRSSQPSLLTHSRERTPSLISSDSRPTS AWTRSSGTFSVAATVLGGNETNAVDLTEAAVDQDGARMRERRMSGTTLQNARQKILGT LLSSEDLPEDLRNSVTNNSMNSAEDEALMNSLAALEGRRASPLVKTDPTPPPRPSNRR CSTREVARQEVDPVKEEDEHTQSSGSPRIKPSLTLTPTSPERPERLARVVSASGKFYI PKRSISPASNVSTPTGVAFAHLSSGSPTPELSSTHFSDRLTRGTSPPPQIVGRAVPPI ERGTRHHSFTFVPASQEPISPVRPRQPRNSSMVDVSSKSMLSREASPSGAVKRPRTSQ SLQVLEFHEKGEPVVRYQLGDCIGRGQFGSVYRSLNLGTGQMSAIKRIRLFGMPENEV KDVMREVELLRRLSHPSIVKYEGMSRDDQYLNIALEFVENGSLGQTLKAFGTFNEQLV SSYVAKILEGLNYLHSQGVVHCDLKSANILSTKTGNIKLSDFGVSLNMKALENMKQEA KTAGKAGARHASEVAGTPNWMAPEVISLAGASFSSDIWSLGCTVIELLTGKPPYSDVH NSMTVLFRIVEDEMPPLPSGISDDLADFLRLCFTKDPDTRPSAAVLFEHRWLTSLNPQ LTVLRSQDSLPFLSRVSMDFRRTSSTQLFQQSDATPETVAPEPPATPVQKEANTPTKA HVLVKTTFGKAIACRLCDSLVKKGVLCQDCGMIAHTSCESVAMSKCDVHEQLAILKRQ QEQQTSEIRGGTGTPAPRASFDGRDGHFGALPGKILLGLKRSRAFSPPRQSQIDLRAS LSASPRGQDTASPSAKRYHQSSPRESTDTSEGSHNDSLQNRSSFYSSTSGYGNLEEGP ARGVTSGFQGDRRNSMAKDNRKSQEMERVRTLSGVERESGKSECVIQ L198_00951 MKGARFLLPALAKTLFLPPLIVFLLFRALPFVPLALEVVAYISS FPVLFILRSQLSAELSARSARQLKAVDIPRVQGRWPLNLDVLWDWAKSGSEEEVGRMM VLMERRYGGTYNTRVLGEDQIMTTDPRVFRHVLIGDFDNFVKGQKFKDRAQEFLGDGI FNSDGQMWRHHRSLLRPFFHPTSIRPSRFSGIIDDYISSIQPGKPFDMQAELGQLSLR MSIMWLCGTDLTPELGEDHIAEWKDAQTNIGQAMSDAQRVVGKRVKIGTLWPLFELAG DPLKKPLKIIRTFFGPIISQALERKEKLSNAKDDSSYLLIDRLVHAIDNRKDIEDQLI NILLASRDTLASLLTFCVYALAIHPEIALKVRDEVVSVCGLQGEITKDQTREMRYNRA FINEALRLFPPVPLNIRRTLRPSILPTPIPSYMPANTSIILATILMQRDPKVWGEDAQ VFEPDRWINGSELQREGFTSWNIGPRMCLGQPFALTAAHSFIAHFSRHLSTANATLRL SPEAQPPGSTIPDSWKSEDGGDGRLRGGRDKVWIVADVVLAVKGGLWVVAEPDEKARE D L198_00952 MVRFKNRYLLVEFLVPGSLSPSLTPAGPSDLNASSTEVPAQTGD EDESEDEDELLPIPTLPFMLPAVLPELKTGDKDEGGQVVYRAIKNLVLSLFGDEGWGR IASSFRVIYHSPLTTLTFIRIARHHYRLLWSAITLLTTLGPNNVPIIPRVLAVSGTIK KLQNTGTAYHRAVVGQLVSAGASGAALSGNGGLNWERDVERERQDIRRLQEA L198_00953 MACGNLPEGQGTENEEQVNMPRRRQVRRVDKSICQKCQQVRSLY IFRNITYCKPCLEAAIFARITKSLHPPLKPQRSTSRLAATSGHRPPPQSGAALVCLSS GCGSTTMLDLLLSKQYIGKGAGLPIDRTKGEKEPIWSKGYVVYVEFGGALNVEERTKD IREWVEQRQEGLEFIGVRAEDVYDPAFRSRLRQLGGAIDGEEVSDVQPLSINLKDSDL PLFPVASSASTTTPLDQLRTLLASLPPASRPQILSHILSSLITSVAHALPNISHVLIG ETSTRQAQRLISGTASGRGWQLPLELSAVRTEPPVAGTDSRGFVWLKPLKDLTTKEAA VYCHIKGLSQWTRNDRKWEVAGPVGKRDARGKGGAASLEMLTEQFIAGLSVTHPSTVS TINRTGDKLVFPGKEEERPHCPVCQLPIDPSALEWKSRTGLTSLPTKLNPTPASTLST PEATLAPLLCYSCLTSFTPPTVVSKARLAEEGNVENVALPLWIREGVERRNGGGAGMP VGREAMKEQIKDFLIAE L198_00954 MSSDTRKHDSPPQQPLKRRRITVRISLPALQLPGSLPPIPAPNF PKVLTQPIIESVRQVPPWRYSSAPLFPAAQCAGGTNPSVCAPCADFGSDCTYNRPMKR RGPPAKSREGHAISNAPLARWSLPSPNDSWSYREIATHEQIESLVDAYYAIVYPIYSI FHWPTFIANIRRRVYIAYPAFHALTMSVCALASARLRDGAPTSPNARTAAAPNPLEAN GAQPTSEQFYQAAVASFPRDLTKAMEFDYKRAKPLLATLAIQYGDIPSVHAHVGDYMT MCAIDGFHNECRWPCDLNEIEVQERRRLFWLAYQLDVYSATTWGGIIRHRESQSTVLY PTEVFSDEEITATGIVTSTSSNRPVSFLRGWNFTVDLYRILEHAVSRLRTRNHTFDAG NQIAAMFLDGRVGSHAELKPSELLVMVEKLYNDLPPELKGTSEMTGDVERDRYGFQAA NILVTMQTVKMVVAGMADWSVEQRCAIACELLDAFATVPRAYIQAINTPLLHHLAGVG HLLASIIHSPLSPSGYMHVRSVLLCLADLLRSLESLLTSTNGIAPKLREHVERIDKYM ANAAQNGGKGIAATMAFNDQKNKHDLVPQIKAATNHTPFPLRNPASTAASKSATNSAP TPPSSAGILASNGSTFQVSSLSASLPLPFSGPNDLGLNKSQGPVLQQRREQPVYTPST GSNTGSSTHSTPPQFSPAFNTLPLNQSLHAQYQTSDHPPPLPSPASRPSPSAAPTITP GPLSNYFMLSPGGAPSQDESKSQDDSNQALQLPNDLFVDWPFLFNEFGFQGDAFDFLS SGVGGQGGGGATSTTGASGTTLGSSPRAATSITSPPLAEGLGNPPADTSLTDWDFLGL LPDGGHPGGNANSVQQQSGLGSNGLAGGIGRIPEVGFGQGISE L198_00955 MTVTIARRKILGIGYPRFAIPEWQALAEAYDIHYFVPDEREQVV SEVKRLCDQEGPFDAAYVLFGTAAYSPFHPDMLSPLFDKPGHCGLFAQGGAGKSPIRP PAISTDTAAGYDDVDYPYLAANGCYLSNTPHAVTEATADMAILLMLSAVRGLYESELN AREGRWRKDLALSDDPTEMTIGIIGLGAIGKSMARKTKPWDMKILYHNRKPLPKEEEE ALGATYVSLDTLLAQSDIISTNCPLTPDTRGILSDKEFEKMKDGVFIVNTARGAVIDE PALIRALESGKVKRAGLDVLTTEPCVSSPLYSMKNVTLQPHVGALTKGTILRGEREVF ANVKQYMETGVPVNPVNKPVRVHKSS L198_00956 MSFTTYVAALLVLVAPAAFAAPAAAAEPTLEGQNFGAPGGAGSE TALIGDTTEDHEREFIERVFPDEELIVKRDGSIVREPQTVLDDDLKPDASFTPDDWYL FQFIKDHNPSHVRHSPGHLRTRFGLSLYFNWNVMTHLSRWIAAKEHWVSDVGSSHWSL GPDETLGSADWLCKRAFGVLRGECEVKQGVMWKRLSRHSFLKTKMSWSSPSGAGNGET HPALPCIPPSNHSFANPFGTLSHLAFEHLQVGTIVKELEMDTTLCQVLTPSGYAPVTL GLWRGFWVNPEDVSYDKNGKARGWLMYVSIQEDMRRGGEWKAVGCWSDVASETREGVV EWLKTVHEMGYTNGDFEPRHLYVAKGGSGWKIIDWGKGRDRSVDEDSLWKEQMGDETY DLRHISYATFSR L198_00957 MSHTNEVPLSPRRSIPPNDPPSHSRVRRGPDTAASGIDAEHLPR QQFFPEGSDGDEDFDEEDEGDDADVFAFRRPATAAQPGGVKASGYGTAPAPVAARPNT GRPATGAVSWAPSPLEETHESTEHVGSSTGPGTISYGDVPTLPLQDTPRSVNPNPQEK DNVPTPTGVIDIGGHLPYTTYDKANPPPFSGRNNPNNSSFAFNMSNPPKARRPRTGQS LLDKIQRRRKPETGESSITTTTGLTGGEGEHDADGFSDIASLPGINDIVPHSARRRSS NTKSMMSGSSFVTESEVTSDDRHTRRSRRFSRGSIGLTEMTGDMTVPDGKTTWGDGYG GRKGEEAEGDSMADLDMDMVEEDSPYPEVRASVSNIDDPDMPAMTIRAWFLGILFTIL ASACNTYFHFRTPAPYISPLIVQVCAYPVGKFFAWCLPINDYTLPRWLGGAEFSLNPG PFNIKEHTIIVMMANVAIGPAYALYATVSSELYYGHNWGIGFSIMFLLATQMTGFSLA GICRRFVVWPASMIWPANLVVATNLNTFHAEEDGFTGGMSRFKFLIICGSSAFIWYFF PGFIFTSLSYFSWVCWIAPNNNIVNELFGVSTGLGMGLLTFDWTQVTWIGSPLTTPWW AAVNIGVGFVLFYWILTPILYYTNVWQTAYLPISVVQLADRFGSTYDIYNVLTEDITL NETAYAQYSPVYLSASFSVTFMVAFALSTALIVHTALYHGPRIYRAIVNVKTEADDIH LKLMKMYPEVPDWWFLALFLVVFVLAVVSLEVYHTELPVWGYIISVLLPMVYIIPSAF IYAMTSQQVTINLLAELIPGYIFQGQPLPGMIFKVFSVQSIVEALSFIQDQKLGHYMK VPPRATFIAQLTATTVACFVQSGMKELLFHVVKDICTNAQKSLLTCASTKTFFTSSII WGLIGPERLFSKGSVYYPQVFALVAGAILPIPLWFWVRKYPRSIFRNMNFPVMFSGSL AIPPASGINYSSWIVVGFVFQFWLRRKRFAWWSKYNYVLSAALDVGTALSAIALFLFL DLQGASLSWWGTNVHQNTADWDGIGATYLTAPAEGFGPSTWKL L198_00958 MPKDASHLPRKRGRPRHLYKDPKKVDIPATHGHLTAAFQYLSQD ANAQDDGHLQAESSSIFIDPTLDSALTNNAHITEAELSRHLTPLDAQNQSQADAIVRA LTLHEESHGQLVTLDYQQPPPAPQLQQPIQRHTSYVNGTSWVSRLLSPERVAERQALW DIVASCDYLPDSSSPKADLSAEKLNGPGLEMIDVPPNSGFVKPTRQKKLDGEEYMREV IEVEDIPRYFDGLPHDYNALSRARCSSYLKIVCLDPPGLVPTDIRSRHIHVSVDDNAL RDNPDGYVHRIIFRCSGICLRADGEEECMGVAGEGGLPWMGEQPENFAQSSSETKKRR PPACDSLLAVEMTARQAADGQCTIIRRRPEYHPPGPTAALRLSPYIRSVLHELASDTG MPNTRLRSEYENRLRTAAYPMWVEANFPHRLPKPQHYLSIAVTIARAAKRAGHA L198_00959 MSSAAVDSAIKKSANDLAKELEVERVLKAFKLNPYDILDLPLSA TESDAFDFLKKAHDHLIDLDKRKDIDMIMTHARTQVLKTILGSGFSTNVADDDPRLAN LSPPFEQQVRAQGREILVEDELARRRKTKLAYANEGAEKAKAEAEIASRKRKLEDQSK WEGE L198_00960 MPSFLSCLPSRSSAAVQDEPLLPTADASKRVVKSCIFCNATREN GFAVIYEDEEFVAFHDRTPRAKEHILVIPRAHAASSVRDLTSQDIPMVRKMLALGCQL VPPSPPPKAGFHIPPFSSVPHLHLHIFSGPHTFIGKFKYPITRHGEGEKGYGWFITPD QVVRILQKGGKIDLGRG L198_00961 MTKHQPFKEVEATRPDFHSDVDPSFTKTPNPDFKPGQGLNNLPS AGDFSPTEKGWKSIIPENEAGADVYKMMISAITPRPIAFVSSLGDDGKANLAPISYFN AVSHDPPTIMISIAAGQRSDGLKDTSHNIKDTKEFCVSIISEPFIEAANYTSIDAPPE VDEWALSGLTQRSSETVSPPHVAESAFSMECEVSHWHDLVGSQGKTTSSVILGRIKRF QVREFVVDPEDPMKIRTEQLRPVSRLGGISYSRSTQILELPRPVWKQVQDTPEVKEAL EQGAKKQGAPAK L198_00962 MWSPKTSVDIERRPSPQNLPTNSSRSHGRTKSLSALTNFNNAMN LNTGSSGGPGFNGAQPMSPSTRIGSPIVGQGALSSGGAGVELQWAEIQARTFCRWLNK QLESQGLEPMVDVVNDFSDGVKLIQEIISEESLGRYVKSPRLRVQKAENAAKALNFIR SKGIKLTNIGPEDIVDGNSKLILGMIWTLILRFTIANITESGLSARDGLLLWCQRKTA SYNPDVDVQNFKSSFVDGLALCALIHYHRPELLDFNRLDKTDKRTNTELAFKVAEEKL GIPRLLEVKDLCDVEVPDERSVMTYVAEFFHKFSSEVVDKAETGARRVEKFAELMQGV WANKNDFETRLALLLSALATTEQAWSISPTPESYPDAKAHLAQFNEYKRTLKREWVKE RQELAALYSNIQTKIRTYALKEWEPKEGLGLEYLEKRWAEFLGAETARSRAINGAIRD IKEALKESFAQAAEDFVQRLQQIEQAIGSLSGPLPEQRETLSQLSSQIPRLRDFLKAE ITALNTTCLQAKVEENDYTVLTVDDLDYELELAEAGLKKKMAFIDNQMVSAQHTNITP AKLEEFEGTFKHFAWEETNTLGMWEMHSALASLGIVYAEEELETIFVELEQRFGCVTY EAWLSLLVDITQDDASSPEQLREAFRGMAAEKPYVTDLDFEYAHLPKETMKYLKEAMP VEQGELEGLEEGMERPVQEGQNAYDYNAFLESTFLQ L198_00963 MAHQTQPLKILAIGSPLSDIAAAVSKIAAINGKHGPFDACVIVG DLFAEGTDGSEVVGHKFPVPTYFTLGKNSIPQSVQDKITETGGEVVDNLVFLGKSKVF TTAQGLKIACAGGSYSPETYDAPEDPFSPVITRESVESLMKHPLLGGPPAVEAGSLAA AKKSASALPSPFQGIDLLLFSSPPPSLSQNSTSFPTSGISLVNPVPPFEEIVKAARPR YLLWGNGEGFWEREPWGWTGPAGQEERWSRAVKLGALGGEAPAGGKKARWFYAFTLQP QTPSTPVPTKPAGSTVNPFAPPSAAKKRGHGEEDSGREHKKGRQEGAPPPDGYVCKIC SLPGHWIQECPQKADRSKPPPGYKCKICESPDHLVRECPKKEDRPPGPKPPPAGYVCR ACGQNTHYIKDCPMVKDREQERSKKKELGPAECWFCLSNPKVTKHLIVAIGTESYVTL PKGQLIPTDGVKSLVPGGGHVLIIPIAHHPTLLSIPAEDAMSIISEIEGFKSSLRACY ASYGAVPVSFEIGRLTGRGGHAHVQIVPVPKELVDKVEDSFRKGGEKQGIDWEKEPER ALARAGSGGNYFKVECPDGTKLVHMLKGNFDLQFGRTVLGSLLGLQHRIDWKECAMSD AEEKEDAVKFKKAFASFHS L198_00964 MSSGVQPTQECLEKFQELKTGKKLTYVLYGLSEDKRSIVVLKAS DDKDFQTFVNDLPEADCRWAVYDFEFTLPGGEGIRNKLAFIFWSPDDAPVRSKMIFAS SKDALRRRLDGVALEIQATDFSEITREVVLDKATRK L198_00965 MPSLNAEGDDSKPEMTANPPTQCPSAALRLAGDCPHCQRVFCSG HRTPEAHNCTGMQACRDAAFQANKDRLEKERTVNNKIAQT L198_00966 MSPPPPDLPYKPYVPRRQRSLRPDRPLPPPPPDEPEGDAEQGFE DVYRSPPSPPQRVSSLRLQDKELPPPPSTAPLQSPSYQSPRATSSPPNSAPAQTSDAH LHPYHSTLPTALDPPTTLTPLRAHYLKKTLVALQVTHELGLITDPVLGANALGLLGDP FVLDERSRREALQRTSEVERAEGQVGDLPFLRFMFHQFLLPFPFLASAPLTFWSAKVQ PFLSSFLTTTGISQQAAQSEEDRQVSESLMSKEELKEAQERKKLWIKVEKQLAMMFGL GIKVQGGEEVVRIGQAELRRLEALQEERKQKWMAKHGGHLPGELQNTDFEVNVIGVRV VVEKGRVRNRSHEEFIIRTSRAGVPDVFVSRRYGDFRRLAEEASTLRLAFPDSLIAFP PAKDKSTSTAPTAAANSYNYGTYNPLRAIYGSGPAAQGSSSSLQDQDPVSPTSAPAGS PLSREKNRLTLRAYLNTLFSLPFIIESPILRSFLLSGPTTLTPPEAVDCQRRLEADAV REEGRRRFKMEAEKRIEALREGLAQFKGDVLSKEGGLKNVFEVVKRVEKVENLPPAEA SVLEWGRISLAATIFQLFVASDTASETLASMKRLHGLMPYFVLKGILKISNPMAMIRG VLDLFLARPFGGQSLIQRMFSSSLTEDVRMLEEDIEVVQDKIDDPVLCQKIEQYAKAP FEVQESYRRDAASEGVELLVTILRSPEVPSLSRPQFQRVARAVRAYKEYRSSQAELSD SDDDEGPENEDAWLCEDLNILLKLWLRKREKEGLLALIFEGVTAELLKDIITIFYTPL AQVYKAASIADSLGDLQAFINDMIRTIEQVEELSQEDPGRTVQTFIDLVQRHEQSFYS FVHKVHSKGHGLFDSLMAWLELFLNYARSGLPGEPIDLDFILPASEEERKRVMREVDQ VATYHYKLKVAHEEKVRRRFKTADEGSGALGEGIDDEAELLGSIMTSLNIDQTAIGEA DDVADEESEEDDEDERELADLDEEQEDRSNTSPLTSARRGSDDSNSTLSPLANPSGRR GSHSSNHGLHKVRSALHRNKKDKDHAQPSPSLSDRSSGRRRGGGSRKKKTRAGETVEV LSAPRTDEIEALRPLFVEMLRPNLRPKPLK L198_00967 MATAAPQKTVPPRARHAANNCKYGTPLPILFPQPQSTSTSVLAS YLPSFAAKAELLQAVGDYDPITQSVWVIDRKGMDLLFQKGFFGKGTLSRSEPSWRERR VALLKGGDALGAEQMREKRRLERKQFKQDRAQAMLDAAKKAEAIVAAAKAGAPVPLEP EEDEQEEDLEGDVSLVVDASEDVSRPASPSPSVAATTTTIDHTNVTAQTFLVRPTRPD ANRNRGRNAFRRRPPQAQAQAQTPGTAATPVARPPPPPVEEDDEEEDDFFDESLVEEM EHLQLSAEEAIFCSLAIGALKVRDPSTQDILPPGPALLSLLLPPPSPTPFPSSIEEHR SILLPDNPALVSYVVYHHFRSLGWVVKDGIKFCCDWLLYRRGPVFSHSAFACVVIPVY SDPEDQAKSPYGEEDWYDERLSWKWMNTVIRVNSLVQKNVIAIYVTIPSLSEFSTTSK LADGTLDPKRNDLKALLQRYTVREVSLTRFGATRRRD L198_00968 MSDATLFLRKNKDKQRRRNASGVNRVASSSSVPGLASRPKPSSL PASSSAASSPSVKPDPTPDVKPDITEIRIMSTSPNNPLRFNLMRLNHSKTIDPADITQ PILMNRKKPGPPEPPIYSLDAEGRINGRYLYDEAGKPVLDEDGKHMVDKKETIDMSLV GQAPSDASGSKRKRTKRGTKEVFHQDIDIMRLRREEALPWVLESANPQERPPQPEQWT GRMVEQGALGQVLLFNDGTGDGFELIPAGRTYKFEPERPFKPLDADAANKLFELQAKH KIHDRWALRPADGASGSAPAPTKVKAEHKELENRAQRLESRIQLLRGSTVDRKPKVEK YEDDFKREGHRVGGSNLEGGVGEELDFDEAEEFQDDDDNNTFYNDAREQEEARELEER LKKEFRLANANVGDRPQIANDDEDEDDLFGDNNLDDEGKKLRKIMRKRMRENGEDYDM DEDSDSDSDDESVEEKKEEKKEKEKETEAPKTSSEPSSRPTSRPPTARTASPSSNSNA HRFPSPKKGKASTAPPGSGASLLAQRAASRGASPRPGSHGSAAGQATSPLGRASSPGS GSSPGSRATSPVVRGSSPASGANTGRGGSPASGSASREASPASTSGPQPKRNPSPTKN PSAPGTPSKRKSSPGASDSRPKPPKKSKKSSSTTPVPQPTDIPPFPGMITKEDVLSWF RGNQKQAIPMNEAIGAFRKRIVGAGPNRDANQKLFLGWIRQLADQEQGNLRLKAEYRV L198_00969 MSYATLPHQPTIPICPFSVSIPDSDIQDLHSLLKSTRIAKQSYE NADSAAKENNFGLSREWLVNMRDEWLNYDWRKEEARINSFPAYIATLKNKDGLDYDIH FTGLFSQKKDAVPIILSHGWPGTFLEFLPILERVREQYTPEALPYHLVVTSLPGWIFS SPPTQDREFGVKDVGYLWNELMVGLGFGDGYMSQGGDIGSYVTMELGSTYDQCKAIHL NYRHVLHSVPPDAPPSDISLPPPPSTERLFELFQNFGYFFQHATRPSTIGLVAGSNPL SLLAWIGEKYVSWTDETPGVDTILAFASLYWFTDCISTSLYTYRYGAGIKRKESRAEQ KYQSCPTGFSFFPKEFIPVTFDVVKASNNLVWYKQHDAGGHFAALEKPDVLWADIEDF VGTQWEGCSKKTGRV L198_00970 MGLFDAFNNDASGRDQVYNIDSNNEEHKAKLSHELIGGAAGFEA MRAYEKHQAANGKPANHAFAKELLAGFASAEVDKLFETKGLDAYDREEAKRHAKQQAE QALNQSGEY L198_00971 MDLPQNGESSKSLFHLTPTQAQGDEMNAGGERRDGDGDVEMSDG PGEEPVSGADTKEQKRGTARLILPQWDIPPPKPIHSSQDLISLLNLDTLYNAYVRPFA DLPGESDQPNGVGGEDGKGGGKKKGPPGRRKMEKGYQHLVEDCIDPIPLGTRVDAPSF LPLLNDVLHPPAPPPPFAEGIVEELPKEAFKVARLEAGSQEGYHGGQKAGVREAEEKR RRKRAARTATHDPMSPIQSTFPPNIQSTGGRSSTPGTPMLPVPPPINVRPYHTPGTPR RGTAPPGAGTGQGVHPFSKLGPGSGAGGRPTMPSSKQRPASMEVPNSRKAASRSASPL PPSSLGPGAGGTANQQRPFAANRNKRPGSADVQGGPGKRAKENGSASPLPGLRR L198_00972 MVSVLPVATAGYLPYWLLLTSVAGTYNAIQNYFVIWQSKEVYAG KADEMTFLAGRIFGAWTMLASLIRGLASYNIHEPGIYSLAIGTYALATFHFTTELLIF KSVKPNRASIGPLVVGWTGLIWTLTQREHYTQ L198_00973 MPLLSLTRPSETVWQISLNSPPDNRLTPPLLAELAEALDIVELE WRNAGGGIQDPKKRSTYKNKGAGALVLTSGCERFFSNGLDYVGSLKVDNFFQDVFDPV LWRLMTFPLVTIGALNGHGAYHHYRFTFAGGMVIALACDYRIMTSGKGWMCMSEVTFG APLPNSFGAFLPVLIPNPQHLRDTMLARRWTQPELLKIGLIDEIIKPEQVLKRAIELG EREGPKVAPGSWGLIKEDLRKPIIVASHEPAGSRRLDSGQIFFDRIAKDRKKLEAKAK L L198_00974 MAEDTPLLPQSTNDTPTWWTARRKKLLAITLLSLLLVGAAVSTV ITVVVKNREPKDPLERAKFYLKKTPVIDGHIDLPELARSVYGNDLDKFDLHKSTPGHL DIPRLRQGQLGAFFWSMYVQPRLCGCRNTLEQLDVANNIIERYSDTFAPARTADDVEA AWKSGKVASLFGLEGGHSLGNSLGVLRMYHQLGVRYMTLTHSCNNAFADSAGIFGDVE ERWGGLSPFGKELIPEMNRLGIFVDISHVSDKTALQALELTEAPVILSHSCARHFNNM SRNAPDEVFSKLGDGKDKNDGVVMVNFFPVFASKNPDEVDVAYIADEVEYIAKEAGRA HVGIGSDYDGIESTPKGLEDVSTYPNLFAELIRRGWSRSDLAGLAGGNVLRALRGVEE TSKRLKGEGKGPSMAKYEKRKDLEGGGEL L198_00975 MHPILTTPLQIQFNDQPDVYNRFLDVMKEFKGQVIDTPGVIDRV STLFRGHPSLIQGFNTFLPPGYRIECFGGEGDASGLITVTTPAGTVSQIPGNFAAAIE TREREARDLAAAQAAEAARESRAESSAPYPAPTPANAAPAGQPLPPINSIQNHLAGGP PPFQGVQHTSRQSTSGAPARSSQNQGPGPLPLPPHAQHPLPPSGPSTPSAAQFLASGG LSHGNSQQAPQPQQGGNRAPILEFNHAITFVNKIKTRFNNDPETYKQFLEILQTYQRD TRDIAEVYEQVTKLFNNAPDLLDEFKQFLPENGSGGALAGFGGLGSFMQVAAGTTATN EKIGGQKRGAAKEGKETAQKKRRTVDSGAGKGGPGKRARQRESPIDDTEPATLTPQQQ TLASPDEVAFFDKVKKFIDDKVVYHEFLKLINLFVQDMIDTKTLLDRAQLFIGDAPEV WATFQRVVGVDSEGRIPPNPATTQGGYGFGGMIGIDNLVVENTPMLERVKPDMNLPGA NQVGPSYRQLPRSEINLQCTGRDAMCWEVLNDDWVAHPTWNAEDVAPFVSHRKNQFED NLHKSEEERHEYDYHIEANLRTIALLEPLNNKIQTMDPEERSSFNLKAGLGGQSKSIY QRIIKKVYGKELGPDVIRALHDNPVVALPIVLERLKAKDEEWKRAQREWNRLWREQDG KNFYKALDYQHSGTKATDKKKVAPAKVLINEIELRKTEQQNERSGLIDPRMWRARPQL EFSFADVDVLKDSMKLIISYLDRMQSTTLASGDQVRVEQFLREFVPLLFMLNKEEFDA EFGDGAVQKTPDDDSDESDGEEGSADDGDTASTTASNKGKGDKRHASDLRKKLLKQAA GDTASREMSVTTPGPEFDVQPPTEPLSGDATPLTENGDRADTPLPAPVDPEEVAEAVE KDKAGAEASEQTWVKIDGLESQPLSRRSSVGVEQEKESGKPKRKANFFANTNFYVLAR YFQMLYSRLTTCKAIAAKFAAEKRRPVNPLAVKLGLVDPVTQYFGILEGDNPAQHYYT HLLGLLERYFDNEIEFGAFEDALRLMFTNEAYLMFNLDKVIGGIVRQIQTIVGDLKSQ ELHALLQRDRANPRTSTKNQIAYRMQAEGVLGGNEGLYKMEWLPGKETLTIQLLSEED RTVDDADNHQERWAQYIESFALTHPTEGLQKRVDAPFLRRNVAKTQEREPTISPSRVD AKDGLEIKIALGNYRMFFTPGTEDFFHREPSNVKAKSPEKAPAALAPEPVENGVEVNG EATGEPMVVEEAVPAPEAAPVEA L198_00976 MFAIFQKSSYLAAYTVHSPATPNPSSTHLPSLAASTSAQKTFNV GLWKVIPATHKTTGKEVSLWMLEKRVLDGIRAGTAFGGIPGKDWVIEQLKKEASALSR LRHPDILHMVEPLEDSRSEMTFMTERITSSLSSLAADASASSGSYRSGRPPTGEERGQ GELDLDEVEIQKGTLQIARGLSFLHTQAKVVHLNLGLEAVVINAKGDWKLTGLSHTTP LSQPDGSATKYVFPEVDARLPPQVQWKLDYLAPEYALDNSLNQSNDLYSLGCILYAVH MGGKPPFENRGSMQSLRENIENKLVTREWASGSRWDRCSSELKDLLPRLLTRHPSTRL SLPSLPPHPFFSSLAISTLSFLDPTTFASKPREEKATFLRGLVRVLPGFSERLRKGKV LLSLLEEMKDSYLLPFILPNVFEISKSLSKEEFNTVLVKLQPLFALKDPPQNMLTLLE HLTLFEEKTTPQAFRDNIMPLIYNSLESEHLPVQERALKTIPHLTSILDYATVQNVLL VKVAIVFRGTRVLSVKVQTLECFGAMVGTLDKATLTGKLVPLLAKIKTKEPAVMMATL AVHEAMGSKVDREAVATLVLPQLWSMSMGPLLNASQFAKFMAVIKTLGSRVEEEHSQH LKDVGRIEAQTASLAAANSAALNGGSGHNQGGEVDFETLVRGSNSSPALGFNVNSTST PVADSSTTITDPWDDENWLSQPSDAGLSSTFPSLSVNNTASTFPGLSAPASPKPPATN GMASLQPTKPIGAPKLKAKPVPAASFNSSAFAPPPSAPSTSTTSQPTSMSSLPPLQPT SSSFGTLQPQQASRTPSYTSTTAGSGGGGGPNYNISLSPQPPTQSSYQSSPLSFMTPQ PAQATRPLQPSQPLQPARPAIPTMQPVVSPPPGWSSGVMQPTSAPKPTWGKGAAASGA SSDWGDFDPLK L198_00977 MAPDSNEPSIVEDQYKVNIGPQRVELPHYIRATEKPQIPLLDLF ALVFELAAFEAGCHRVGATLLMLPKFTLAATGPGKIRVLIVKISFPVESDVPGLKYTI VYGCAGNIPDFVQMGGRDGARDGNATKAFVLAHKTLLGGLEQDDDSCGDEHPLTGTVI DRSELAVENRRKLNEKSPATYRLLNPPKARSNDLPGESPSMGSSPINAGLQGLPDTTG PSRRAVSSAAAKTFLVRPKPPKDPAITQLKLAPEHLSSLYQSLEKLEDRLLWADKLPY SSFPLKDRFDV L198_00978 MAPKRSAPTSKASAAPKKAKSAASKPASKTKAPKGAIDTVKDTA ASLIDTVSSAVDVAGDIVLDDGAPAPMEEAVKETVDVPALKKKGKEVKGKAGKKAVEA VEAAKPVKGKTTKAAKEAATTVDKAAKDPKNRKRAEDFMDAAGSAAKNVAGKVGEALG ETLQQFGEASGMTEATEGTAKKGKKQAGNKAVEVKEAGKRKAKEALAGAEPVAKKTRS KTAAPAPAAEDDDDDVSMHGFSSSDGEADSSDDESDAEELAVAEAGRAVDMTNLPMVA RDDKSVQARLKQAAKKKDTQKGTLYVGRIPHGFYEEQMKEYFSQFGDVTRLRLARNKK TGASKHYAYIEMSSQSVAQIVAETMNNYLLMGHLLKCHVIAADKVHPQLWVGANKKFR KVPRARVEKMRHEKERTEEEQAKANKKLLKKQNQRKSKLQKAGIDYEFEGHVSLRCCA PVDGG L198_00979 MTSTTTSTPPGPLASKSSKLIIVGGGGTMGSSTALHLARRGYTD ITIMDIASADDVDGFAGCTAMCWDAWTTDPLFKPFAHEVGKVFSLSKGRMRSLNTKNK YKRMSDLGREDIKRLDNVDEVRKAAPHLAKADIAAFTCTRGGWVAAPDALDAVGRELQ KVGVKAIFGSSGTFDSLTLGNDDETVKGVRAKDGSVVEADLVVVATGAWTPALIDLEG QCVSKCWCFAHIQLTPEEAAELKVIPTVYSSVYGFFFEPRPDNHLLKLVNEFPGYTNF QSCQPFGSSTVQRISVPRSHADHPDDTMPQPCLEEIERLVQKTLPHLWLICEHPKWKG VVVAPGDSGRTFNMLPVVGGQIADLIEDKLSDERRHAWRWRPGAGDPKGKGRPGPRAL NLSEVETTWQQD L198_00980 MPPAAVTAGVGGNKIAGKTAVPRAPGEKRPKQSFSCAECRRLKL KCSRVWPCTTCQRRGCAQICPSGEAKTGKGKRLILANTEELHERIYELEMALAELQAK ISTAPHPLLATPYLYAPRDPKESSWATVQPQSASSTSGSVAPPIKKHAPRDQEHEDRL EAAFGMLTIGKEGQAKFVGSFAGSEYLREEKESEDEEENEVRVGAPQQGLRRSSSGQH TSYVGQAFTSPIQNPQIPGMNPDQTPGLQYSLLPGSEASYDIEGLRAQLPDYDVEGRS LVESYWENVNWQYQPIPRKMFENDHILNAYDTESPVNAHKLACVFLVMALGASYDLNR VPYHPRSEVLYLLGRACISTSGTQQASPATVQALHLMGTYILDDKSGNGAEVFWPILG TAIKVAQSIGLHRDGSHFGLSQYEVEERRVAWWDIVSIDKYQSLCFGRPSSTSGRWSD TKLPEVLELIEDETGFHRSRYQLMKLMERAIEIQTQIEPVSFSVVIDLDHDLQNYKKN LPANLMPDVPILDMPTDSQGESHLVIHRFAIRLQIAQTRLLLNRPLFARALKESPQDP ARNAKLGESFLALFDSALEIVQLVKALVIYNPRLIARWWFFWFHAFSAAVCLAAIAIQ APTCAYATPAFQAMSIVCDISAAAREGCRARKGLPMLLRLRQRALNSLTSAVPVFAMN GMSRQGPPLPARAGEEDEDDLSHLAGGVKLRRKGVAGLDRWSPSEEDFSPGLGNAIKT VAALFEGGPFESNTVPGLYAHQASTMASFGDPYSFQQSGSNLNTSPTAHAAEFTTEAQ SFGSESLLQVPNYGPLSPGMDLSMSAAFGMGAGIGMGGMQMIDMNNDTGFGADWHGDQ VFGGNAWAVDGERGVGGGEDGRGQPPMFDFDGFVGKMGI L198_00981 MRSSSLAVVGAFTSLVSGLVNAAVIEVRVGDSGTGSTTSDVFPP NGTQVNSQLFRPESQVGYAGPTPTGVEPGAAATAAIYASNTGATGNFPLVVEDPLEES DSDFDVFKHWGNLSPWYSVPSSDFGLDDTSPLVPGNCSITQVHIIYRHGARYPISGAA PTLFAEKLANATNLTAEGELDFLNGWTYKLGAELLSPFGRLQEFSLGLANRQQYGILL NNFTEQGTLPVFRTESQDRMVKTTANFAAGFFGVPEYLDEVNIELMVEADGVNNTGAP YSICTNANNFRGKLGSTAAAQFAQSAFNGTLDRLQGQLSGLELDSEDIIAMLQLCAYE TVSLGYSVFCKVFTEEDYKNFEYYYDLTFYYEQGFGSPVAAAQGKGFLEEYVARFTQT PITTSDSALNSTLDSDPTYFPLNQSIYADATHEVVVLDFLSALNLTALYSTGPLPVVE CSDLAPTKQIRFILNDDVVPIHDSYEGCEWNKDGLCAFDTVVSALQKRIEEIDFAYDC FGNYTVEVGKDYNGRAPRE L198_00982 MRTAVLLALLPVFADAAVVEKRSAEANVGSATSDVYPPNGTSVN SELFPPESAVGYPGPTPTGVEPAAAATASVYAYNDGKSGNFPLAASPPKDANSDNFDV FKYWGNLSPWYSVNSSFYGLNDTSPLIPEQCEITQVHLLYRHGARYPTSGSGPTKFAA KLANATAQNGSFMAKDDLEFLNSWTYKLGAELLSPFGRLQNFELGVAFRQQYGSLLNN FTEAGTLPVFRTESQDRMVKTAENFAAGFFGVPEYLDQVSIEILVETPGVNNSGAPYE TCPNSNIASRGSLGSTAATEFSEDAFSETVARIQKLVDGITIDTSDVLSMLALCSYET DTLGYSAFCPLFTEEDFRNYEYQYDLSFYYNQGFGSPVGAAQGKGFLQEFVSRFTQTP INASDSALNATFDSDSTFFPLNQSIYADATHEVVLLDAFTAFNFSALFSSGPLPIHKR DDSASFVASQIVPFATHMVVQVLECSDQTPSKQIRFIINDAVLPLDKTYEGCEWNKDG LCAFDTVVSALQKRLEEIDFDYDCNGNYTVEAGHDYNGRAPRN L198_00983 MSHFDTLSRTTSRAGSSVSRNQSLIKKNTAPHELKPSDILIERF QAWKGIVKMLIAYFEGIADIEANTSKELTKLGAVIQVPFRPGNQFLGEGGMQDVFYTI RDKTRLIADSHASLARTIESSIVQHLQKLRTEIKAHIKNVQNDTGKLATSVAKERELS TRAIADLARAINSVNNTPMQVGSKEDPFAVNQAVLKQLQRQVNEENALQKSIIIMQQN SAHFEEGIVRSIQSAWSTFDEWQARMSESVQETWRNLGVNMAQLMPDREWISFAARSD HLLDPETPLRNPDLIDYPGKSDPSVTPVYSGMLERKKRFTKSYKEGFYVLTPAGYLHE YASSDPSTATHPVWSLFLPACTLGPPSSAATAKSHKFHIEGRKDGTSAYGKTPGGRGL FRGSDTAFTFRARSHEEMMEVWNDLRMLVARYLVASEQMEREGPITQAVRAVGYGDSE EELEDDEEEEDDEGSSVEEDEEEELEDEAHTAEEEVPAYSHGGAPVEVGPNGYAVEKK EKPELAPEAEVASDTGRHLSRREEKAPAREQPTTGEAPSTAPVVQEEGAGVGSSVAGE SVGPDGGPAEELETPPAEGKGLLSRFTENFAGGKKE L198_00984 MPVFGPYVILPLLAAGTWLGGILALLILWVVAGKPRYMSDEASV VFISDVGATHQTLFICICACVAAFYISSLVAMRWLRHISRLPADMRRREIFFNWAAIF WCVVGSVGLILLSAFNAFTHGTVHWIMTVVFVVGVAISAACHCIEVLCLHHEHPDRKS LRRNSIIKAVIVVFAILLAISFGACYGVCRGNSSAYRNYSADTCNTITSAAASLEWAV AFVLSFYFLTLVADLWPAGKSSPRYMRQVAKWQERHGEGHDFTGRRAFKLYPERWQDK EKVMQEEMLARNNPRKHSPPRYPEAAHPAAGYGAGIAATSSDNMVMGQVNQAPVAYGN VPPGAAPAAGGYNSGAASGAYGSAQGPYAAAAGYSSNGYPTHAYMPQDNQSMSTVEGD LPRASMAGSEVPMVRQGA L198_00985 MPAYRLELASTGRALCNGKKPCFKTKIDKGQLRLGVWVEIPGRG GSFKWRHWGCVTPEVIKHWKEDFSEAEELDGYEDLSEDLQQKASVKNAWAEGHVRPED VPESAKVEKVEEGAEDAEGAEAEPKAKKPAAKKGGKKAKKDEGEDGEDAEEAAPKKRK APVKKSKKEEPNDEDVEDDAEEEEVPKKKRKAPAKKSAKAAKEEAEKEDDAKATEEVG EKPKSKVSHARYRAFGELWSTKMSGKMSGKMSVM L198_00986 MAGSTTTTDPASLPLHPSADPQAAESTVPEPLLVEGETVPDDPE ETLSSEDSFPPAAQALIASLRSQLGASEQHVSTQATKLSSLADLAVEHSQLKDQHAFV SAAKEAVEAQLKEEVKKREFAEESVEMLRGQVEQARRGVMALQKQDADRKRMSLMSGM NGLGLGVPQQGEEEVLASTSEQAAKDVKASRRTSMMARSHRRISSQSEPSEVSASLDR QSLVSPNMAGQARLGGLRELRLSSTPPTATHPSPSPPAQPNTTQASYFDESVTQAALQ SAVTAKAAALEDANQLRSQLRQLQSNLAESEESRIATEVCLKALREFMASHEGGEGGE SAAEALKGISLPPLPTDRDTDEPLQQEAKGSGWGFKLWATGAKSPLQPPSEAISPGRS RAASIATSNTHISPLPTPNEEGTPLGLSGFVSSWTKGVSAASPQNATSLNAAPVPAPA NAKLSVGGGGMGSFFRRRTAESVNDKELPAPPIAEDAVDISSPVLRTEEDKEADRRRS NATTLSDLEAELGTPHGSLGAKPDGELEGAKVKFGELERLDEVEI L198_00987 MSGYNPKKSLKSSFRASPRSIAPIYTGGPVLLTKDGQWLITTMG EEALVTEVHTGLAIARIRGDGTAISSLALSYHTSPPILLTSHMSMTVRYYPLPSSAPL TPTPKPPFLTYTRLLNKAHNAPILVSAVSPDNTLLATGSSDGIVKVWDLEGGYVTHMF RGHGGPVSALHFNFPTIAGEERRRMELLTGSTDSRVRVYDLRDINARVVGGGNSVKPK AVLEGHVSVVRGIAVTPDGKWAVTGGRDKVVLVWDMLSEEASSSKKGKGKATGPKLVQ TIIAQEQIESLGLLPQEEDVHGSSQGRWLCYTGGDKGLVRVWDVLKGVQVAAMKGVEG VDEVDDDEDEQHGVLSVLYSSTDSSLVSTHADQNIIFHSLSTLLPTRQIIGFNDEIVD VAFLSHPSAPSSASSSLPDTSDIPHSHMAVATNSNQLRIYSTASHNARLLPGHTDMVL CLDVSPDNQWLVTGSKDHTARVWVPTMTLGGDGFTWQCVAVCEGHAESIGAVAFSRKM DEPGRGKFLFTASQDRTIKMWDLSPLSLSSPSSEPIRPRSMATLRAHEKDINSLDIAP NDKFLVSGSQDKSVKLYTIDYTPPKSEGQGAEGGFKNLGTCNGHRRGVWTVRFSRNDK VVASGSADKTIKLWSLDDFTCLKTFEGHTNSVLRVGYMSNGQQLVSSSSDGLVKLWNI KDEECVKTLDNHEDKIWALATSSDEATILSAGADSLLTIWHDTTLLDQTEANTKLVEA VQVEQDFVNYVALKDYRRAILLALSMAQPGRLLNLFSTVINGRQPDVSEEEQGLTGSK EIDQILETLPGVDLVRLLKFVRDWNANARTAPVAQIILYTVLKLRSAEDILEAFESAN KIPTRADDDDDEDVEIEDADAEKKKKKKARPDLAAPISIKDLLEGLIPYSERHFNRVN NLVQESYMLDYVLGEMEGGLFGEELMDVEQ L198_00988 MSSDEAHDQAIQIWRYRKLLTMLANSRGAGTSCITLILPPRSQI SQAASMLTTEYGTASNIKSRVNRLSVLSAITSTQQKLKLYNRVPTNGLCVFVGTVLND EGKEKKISFALEPFKPINTSLYMCDSRFHVEALEELLENDSKWGFIIIDGNGSLFGTL AGNTRDIVHKFTVDLPKKHGRGGQSALRFSRLREEARRNYVRKVAELAVQHFITNDKV NVAGLVLAGSAELKTDLSGSDLFDPRLLAKVVKVVDVSYGGENGFNQAIELAADSLAN VKFVQEKKLVQKYFDEIALDTGKYCFGIVDTLKGLDMGAVETLIVWENLDTIRNTLRN AAGEEIIVFSNPGDKDRERFMDKATGLEMEQTAEPQPLLEWFAEKYREFGAQLEFITN RSQEGSQFVKGFGGIGGILRYKVAFEDLGDNEDDEDEFYDSDSDSGA L198_00989 MSNFTEVASPEHFQELLSKDLNRVSVLNFWAPWAEPCAAFNKAV EQEAKQFPSALFLNIEAENLADISESFDIEAVPSFLLLRGHTLLARHSGSDAALLHSL LTQHASPASASAPLSTSSAQPQAPEAAQRPRTEAEIVARCHELMNKHKVVLFMKGNPT APKCGFSRQTVGLLREKGVEFAWFDILSDEDVRQGLKKVNDWPTFPQIIVNGELVGGL DIVKEMMESGEWDEVIEGEDDDEKAE L198_00990 MAHNQPYRPQGQQSHGLPARPAFAPSNAGPSTQNVYPQAHPYMA YPQQGFYQSQAQAAYGGYQANMSGGYPAGGFYPMYNPLFQQMPMQPVANAEGYSYSSA YLGSQTSAPSDTSAAVDPPAKKQRTNYPQASTGQSTKAWRNCSQAGCKFVGPGDQVEI HEEDRHLIYVPGKKVVRSEEEERFARQKGPLPPIQGTSITLNTPEDIEKWIAERKARW PTAKRLQEKEEEHKSAVERGEIPQNGRGKGRKLAPAVMAEEWGREARPMENAGVSRER DGMRGRGRGRGGARGSGGRFGGDERPDVPGGQRQQREIIPTTSEVADAAKLPALGGYD SAAEGSSSSSSSSSSSSDSSSDSDSSDSESEAENDDKKSSPAKAPAGPTKPICKFFAK AGRCKFNDKCRFAHVASEGSSAPRAAPSQKPELDKKQAKQPLQKRSNPFDRPSILGAL LANPIQNTVSQLSQTIRFLVANDMLRNVELHPGQAEDEERERNKVTVVGEGEQESDAK LEEHINEKVARKDEKPEKSVEDERLDGQAVESGVEKDLE L198_00991 MSVDTQPHPSLLIPTNPAYAPSDAHPRGGILRNGSAHARRPSDP FLIDTSHSHTGPASASGSGSGSNHSLAHASSQPVRILSPPPVSAGGRSLDVSGSPATS PVLGSSASSATHSKIRFAPLPDPRYQRSLSTGRNVALRSGVDPDGVERRHLELQNMDD EYAVDDDDDDDEDEDQESRMKRGRSWSKDMGLKSGWKGTKKLLRGKNPISSKDKEDGG YQGEPLTRSSSTGGIMGSSPFRWTVETERKKDMLSTTPASARSLLSANRPDSLYKIQT PPSPTLKPSSSGHHRTSSFEARPSSSLGASPAPVKMLNGRVYGSRRASEAAEREKEYR QNLEPAFVEWGSAGVGAGAPVVAKTSGRGAFLGDEDAGGGMAWVKKRREEREKRRSAE NALQVAGEVQKTESASHSGGLSPSSQSSKISFEEDSGKPALDVRTDIKTPDIDPAQLP SHSPTIVVSPHDGTDSPTNLSRQAEEDGRGMTISETVNEKSVKQTMVVPSDKAKKAQD RVPFTDPFSEDHQFANERSGSEDEEDEGGEDEEEEDGDFDDDDDEEELDAVRTTSSAA GVEVISRHKD L198_00992 MSTPSSVNTEYLSSSSPTPTIRNNATPARPPTSSQVFASAVGVS PGHTPGGTPSQHTEQRRTHSGQQQQQELGKGRPSRNELPPPAASPSTGRPALSPARAS YSSADDEDYLGPHSYPHTAGPGNTSFESTPRGWSSARGRPQQTALGSSFGSPTTLFNP AARENPGGSNRLGRGSRFNSAREVSQTTGGGTGSRSASRARPPLFHHHSSSRERSEIQ NGEEEDVDRGAELIKQRQKERRAKKKRQQQEVEGRRLAEEGTTPETSNPPTGVPGQGF KAQQGTLHRAPSSRVREVSSTRKLAGDGDYFPYPGSVSGTETPRDGRFSPRDDLRPAS IYSSVADEDEDEFDERASLVGDIVNDVIGVETGDRECNSDEDDEEEIETDEGVTLRDR QDAINIEHPFGLPIWKPALYRKSRSVTRNAESALHSIPSAAAERHLLPGNIIWTVVFG WWLTLICLFVALLVSGAEVAGGGRGGYGKTLRGLAWYIGWPFGKYVEGEGGPVDDEHT EGDGDNEDAEQGNGQYGAVNGSGSPVSKRAQRREVSGASSGITVKDTQRPDMDGTSSP DAPSTSTVRAEPAVSFAPNVKGKDKDNGERTALLGGGSFRRPRNKKAKRLGMLVYWPT FLLVIVPVMLFVCVLCWCFVVTIPMAKLTWKLLNLLYTRPLEINFRAAPKVPVPTPHP SSDNVTEESDPLADESPAFTLRRARLHAGQVAPTSGPTSTVLLCTYRAVGLQYYKYTV GGVNILFINLLPLVFFTIIDGMLLLPFVEHQEHIGKPITPLLRFLTSQALIFVLALAS VIPLSYFIGMAVASISAQSSIGMGAVINATFGSIIEIILYSIALMQGKGRLVEGSIIG SILAGVLLMPGASMCSGALKKKEQKFNAKSAGVTSTMLIMAIIGTLTPTMFYQTYGSF ELHCEGCPAPTNGTTGHTTLMKPEDVWMCNHCYYEHPDPELDPFYQENVKTLMYGCAA ILLFSYLIGLWFSLRTHATQIWQNPQQLLKPEEHQALGVHPAVKATLTQRLTPQALAQ HLLPLHQQQKPPHTASPVIPVSTTDGQPIPATASPKGGTLRLDPSGFPKDDDGQPSAG PYTVPGTVKSVPNNNPAFTLPAGYTPYLEGLDQAAKQNNLTPMRLPGTLTTEDFTRAV AVATVSALRHQGSIVGSERKHRHGEGGGVAVNEAAPGAHVGNGAGDEEEGGGHEAPSW TRGVSAGVLLGCTLLYAIIAEILVDVVDVVMQGSGIDEKFLGLTLFALVPNTTEFMNA MSFALNGNIALSMEIGSAYALQVCLLQIPAMVAFSALYKPDKMGDVIDTFTLIFPRWD VIAIILSIFLLTYTYIEARSNYHRGSILVLAYVVLIMGFYYAPVRETDDPTRDEVLTV DALKVAGSLGMEMTKLWT L198_00993 MEQVPFQALRGHTHGHGCSWPPKIVSTNRDLDLTLCFEHAILLP APLVITILLGLAQVIRISRKLKRSEAEGGLTWIKRSKLGERICVTKLHFLGAAALFSV VSFALSLKDVATTPLTSVHYLLLSLTWFTLIHLTNFNHHTSRTSSTIALLFYPLYVAV FLVRLRTIIITGQLSSGLTQSTSGRFILARESFWFISIFVGLGSFLLELHSPEKKWQK WRAPWSKEGKIALLDEDEDEEADIVNDLQGGGAVYGKNVYGDVESPISTANIFEILTF SWLTPLLSLGTKKFLGEEDMWALPHEDSAESLSNLVASSWQKEIDSVKAGKKEKPSLK RALFSAYGLPYAIAGLLKAIYDILNFLQPQLLRLLLAFVSSYTTKHPMPPVAGYAISI LMFAISNLATGVLHQYFQRCFTTTMRIRGGLVTMIYRKSLVLSNGEKAGRTTGDIVNL QSVDAVRIADVTQYGHIAWSGPFQILIAFVSLYQLVGWQAFMGVAVMVVSLPLNTWAS RFNKRFQRQLMKIKDTRTRTLNEILNNIKSIKLYGWEQAFSTRIFEIRNAQELKMLRK IGLAMAGTNFIWQSTPFLVAFVTFATFSLTSDKPLTSEIIFPAISLFQLLSFPMAMFA NIINSIIEATVSCNRLESFLAAEELDPNARTLIRPSEDPQGEPKKGDTVINIKKGDFR WLEDSTEPILQDIDLDVKKGELLALVGRVGDGKSSLLGAILGEMTRSDGSVTVRGEIA YFSQNSWILSATVKDNIVFGHRFDKGFYEQVLDACALRQDLAVLSEGDQTEVGEKGVS LSGGQKARICLARAVYARADIYLLDDPLAAVDSHVGRHIFDKVIGPNGLLAGKARILC TNAVTFLPQADSLIMLRKGIVLERGTYADAMNNSASELYKLITGLGKQSAKEEGSGAS TPTVVEEAVIEEPEGVEDSEEAEIVTGGESPKQRKAFRQLSHSIMRRSSVVSLRTSKR DALRDLRESAKPKEHSEKGNVKREVYKQYINATSKIGLVAFLLFMALGQGLGVMGNFV LRDWAGSNTPKSEAEDDGTHHISKYLILYGLVGFSGAIFNVLSFATLKLVCALRASKT LHDRSFSALMRSPLSFFELTPTGRILNLFSRDIFVIDEVLIMALGGFFRTGVSVLSVV VVIAFGAPVVLLVFVPLAIIYRMIMKYYLATSRELKRLDAVSRSPIFSFFGETLTGLA VIRGYGQKERFIANNEARVDRNQACYMPAMTINRWLAVRLEALGSCLMFSTALVSVAA LVNSSGVDAGLVGLMMSYTISVTGSLNWLVRSASEVEQNIVSVERVLGYSQLPSEAPD FIEDTKPAQGWPQQGSIEFDHFSMKYRPELDFCLRDVSLKFNGGERIGVCGRTGAGKS SLTLALFRILEAAEGRVLIDGVDIANIGLHDLRSIVSIIPQDPQLFEGTLRNNIDPTS ASSDAEIWHALEQAHLKDHVSKSMGGTLDAEISEGGSNLSAGQRQLVCFARALLRKTK ILVLDEATSSIDLETDEAVQQILRGPDFKGVTTITIAHRINTIMDSDKVLVMSQGRVG EYDTPKALMQKPESLFCSLVTEAGLAQAV L198_00994 MTEQTSSESQLTCCRNPSPPSTRPSDDNPREQPSDNKLPVPRPI IKTSVSPFSDTQQIIYDSPVVLEMDSGVGNGYAHYHQSDPYNAARSRRKSVSAPSPIS EDEELLNASGSGEKRRKGRGSFSLPFHGGRRRTQPSGWFTIPQIFRRRRSKCLLIFAL FAALLYGFVLWKRTYEIQLEFSIFSRKWIAEEIDSLQPLKGCFNNPSPRYNMTQHLAP RRHMLSPGTSLKRGMSCYDFASTIKPEPEAALEHVYYHTYWRSDLISFGERQSATFLA FLATQPLTHSTLIVWTNGAESLSANTHVKPFLERWGEYIQIRQVHLAELAQGTGVENV IKGITGDGGIYDERAWVDGDAVRLLVLWNYGGIWMDMDQLLTRDLHPLTEEEWVTQWD CYDKPYLSLNGALMHFHASSPYLCEAFNIMSTSPLPKPNTFTWGSHLYAKLHRHLIAA GVRPFAVLPWCFSDPRNCRLDNRFPDPFLPDPGSFTGKKWDDGEGGKSGRQALEERTS HIYTIHLHNQWAKKFPKGGWIDRLLEGYKAQVYRVERYAESAGLVKGGKIALGGR L198_00995 MSQAVPPSWRDLGKSSSDLLLKDYPIQGTSLEVRTLTPSNVAFK VAGTKDAKTDAITGDIEGKYVDFKNGFVFTQAWTTTNVLRTQLELENQIAKGLKFDLA ATLNPAKSTKSAILTAIYKQPSLHTRATVDLFKGPTFTADTVIGRDGFLAGAEASYDV LSGAITRYAGAVGFSAPEYAVTLHGLGNLSTFAASYYHKVSKDVEAGAKAVYDTKSTT GGVSLEVGAKTYLDNAAFVKAKINNAGVLCLGYTQALRPGVKASAGLAIDTTRLNEPT AGQAAHKVGASIVFNA L198_00996 MPPKADMAPVSRESRPSIVGPDSPEDPYPMQLEGAVTKGFGRGA RFLGIPTGKSPNLPDESLDPLNSLGMTGIYYGYARIHPSTSTPLPSAFPTPTTSLPGS KASSPPPGGGVGGEVLEPLPTVTAPYPPEHHAQRWSKEDEKVWPMVMSVGWNPYFNNE KITAASLSLFSSDFYGHHMSVLVLGYIRPELNYVSKEALIEDIKTDARVALNSLARPA YAALAKDKFLTKEA L198_00997 MSRFRTATRYITSLGSKRSLQTATQSLPLSLHSTSPLIRPHHQH HIQCLAKDKDFAIYTDFLNVEEQEALISMGLAKLGRARRRRRGRPIKAEESQEVRDGI QRLFVGEYEFEEGHYDSVIHHFRESLVSILPPSPSPTLLRTLAKLYNIFPLLPSPVPS DPSESDLPPPGTHTHFLHLSPEGDIGPHVDNLEASGRVILGLSLGAERTLRLVRKKGE GEDGWDVRLPSGSVYIQR L198_00998 MVSTPQPGHSNAHHPQSSKPVSLLSGVTILGGITAYARFSSVPS LVGSLGIGSIMALSGMRIRDGMDYGLETATASSAALMYPTIRRAVKTKAPVPATIAIM ATASAAYYLRETIELHAHKPAHLS L198_00999 MAELIEENKSLLRKVNAQQKIINAVSGDQGSSHADELRHQPFYP ESATYEPKQEVAEQDAERGEQPDYLFTIREVYGTAPESPKLIFTQDTEAARRSLLAIV PTQYSHYLVRHHCKVLHWVHAVFHIPTFLSTHDGWIASIQRGQLTDQSYDFLSLYFAI ISASLYFLDETEAMGLGLTKDAIKALPRLWFDISINCLHLGQYMVRSTIPILQTICVL PLVAHSFGMSAYLFSLLELAFNMAKDLNFHLLADESIYAASLGNVDSEMGKRIWTCLD VAHCMHPSISQPYALLYPTSRTSPPANVDDAHFSDTVPAISRPLYQTTGVTHLISMGQ IASLYRGFNTEYNKETTTYARFLVAQKYGQKAGTILDSMPEHQPRPFEQYHPIVATGE AFDYRPWSRFLYSSLIPQCRIHFFRWFLRPAYGDDRFREARKICLQASREIIELRCRP IPPLYQKNWHVSVNTIMAATVIATELLHGGHEESVKVGFRTEINTAIEILRHTKTTNT IIPRGIELLQRIDFSG L198_01000 MPVATTTKSIYENRSTPPPELPPFKFAERFDKPVFGDWRDELST KGYAVVKGAIPADQALSYRERMFQWMESFPLGFDRNDPTTWKNEHLPVHMKGGMFHGY GFAHLDFVWDIRCEPGVIDAFAKVWGTDELITSFDGGCLMLPKRTDVKDAGKWEHMDQ SHHRVGFYCCQGIVNLNHNGPDDGGLMVLEGSNQLVEEFFDIHGRDTYKTWGPFDWYG FTDEQQDWFYSRGCKWTKVCAEPGDLILWDSRTMHYNVRPNGERDRVVTYVCMAPSSL LSDEDRQVRKVAFENYRGTTHVPFAAIYSRPHEPKIREDGQPCPYDTGIPASLPKLNS TLLKLAGAELYS L198_01001 MSSPVVMWQSIPNAVDVTPWPKNRGLFQLFYYFSILCVGELLIG YDGTITGGLQALAEWRGDLGNPDASKIGLLNAAAFIVGICMGPINSWVVDRFGRKLPI QWFSLTMIVGTIIGCIAGAKGGQTGYALFVASRAVIGAGIPPFMMTALIMNQELSHPR YRALCSALWDCDFILGSTMASLVTFGTSHITGSWSWRVPYILQLLPATYMLVAVHFMP ESPRWLVANGREEEAFEFFVKYHGNGDPNDELVAFEWQEVKETIALEEANRRRSWAEV LRMPGNKHRLCLAALMTFMPQMNGSNIISYYYSVVLTQSGISGAGTITGIGAGLNMWG FVCQVAGVWALHSCRRRTMVLSVWPLLMIGMAAMAASNGVFQVSDQSNHAAGVASVVM VWLYNGPANFVSPLFYSYPAEILSYSIRGKGMAVWNTVNQAWGAYGSYVNSIALANIG WKYYCVFIPILAVQWVAAYLLMVETRSLTLEEIAIAFEGADAAVAVVDERLRGLDDNK SQLAADDEKKIVPEGSGNVVISEV L198_01002 MTGGSSHVDWRSIPNAIDHTPWPKNRGLSKLFFFSSVLYMGQFL NGYDGTITGGLQALPDWNKDLGYPSAGRIGLLNAASYIVGVCMGPLNAWVVDKFGRKW PIRWYALAMIVGTVIGCVAGSQSGNTGYGLFVASRAIIGSGVPVFLMAAQIVNQEMAH PRFRPQMAAYWDCNWVLGSTVASFITFGTSYINSSWSWRIPYLIQLLPALYMLVAVQF MPETPRFLIANGKEEEAYRFFVDYHGNGDEEDELVKFEWREMKETIEMEKGEKLGWTQ LLKTRANVHRLGLVALITTMPQLNGSTIISYYYSVILTQCGITGAGQITGIGAGLNMY SFILQCLGVYSLRYFGRRSMVLSTWPLLILGMAAMAATSGVYQHSGQSDKGAGIANVV MVWLYSTPWNYVSPIFYSYPAEILNYEIRGKGMAVWNTVNQGWGAYGSYVNSIALDSI GYRYYCVYIPILALQWVLTYFFMVEVKGLTLEEIATAFEGDNAAVARVDARLAEGVSG NIGEGDDDEKKLGLSGASVIAPVI L198_01003 MPKSHLLPPIPSDFPDITTRQMLASFVPEDMDYGSISTAATRAP TDHTKDIFKRTVGNGVRFVLDPSLNSGLEEALDDAVPLHKLAPRGLAYKFSLKQAFLA DEENEEDEEDEEDEDEDEDEDEDEDEETGDDYEGCEEGNHEEGGEYTIFNEPQLSSRM GTVFWEFINMVTQSDALSKRFRGFWRTSSMPKSGNPDWVHMVYSLTPSCSPSSHPPLP KIKLETGNTGASIARASGKKSLASKKTAKPEIGTKKAFRAG L198_01004 MPQTLPPLSPLQPLGDMPRVPVRQIMAEFVPDAIDFGSLDEEVS RDSTVSSVDVLAETEGNGVRIIKDPHMNFLAALDNHLPAHILASQQLTTAIFWKQALD EFYDEGKNTKPIEPIFIERDPSDTMEKTFWRYVNLVLKSKGLDKNLRGEWRSCESKNG GARSWICVRKEKYRLFDTANIMLLSSSEIFLPLERDRSAPNILRVGLPIVRDAGMTGA GDGALTCFELAIASTLSLPTPSHVPPFLPLPKLKIETASSEGEGGTLVGVFTSMCRWA GIRGSKEADNQRKAKRRRVSKQGQDLSEDRFESGRRSSGSAHPSVWARLHFHGCSLSD SGRSLAHHFFGPVGLSDSSIYGWIDKDLAHPHFEDCTSISSSPPLLPLADPPLTPRAT PRKEAPITTAITLSSSPTFSVSQPIDASSAVDLEFSIGSMIDRGRLSDVYSLTSKVPA NHPHDLPPLVVKIMRPSTFDDAKGWAGYETGAEAVNAWEAEVELYGGALSGLQGHIVP RCFGAPRGLMYVSREWESREINFMILERVGSAVCAKDKDLPLLPLQTKLAICSLYEAL HSVRIIHGDIKRRHIRRRADGSLCIIDFEGARRASNGAWGDEMLADEMEEVKAMLGFG NG L198_01005 MKHGKILRWPFGRCLRNRWAVAGETEKPAWVWIMGMTWKLTSTF CLACAQCGYPAAKLRSFNWGQKAKRRKTTGTGRMSHLKDVNRRFKNGFREGGAATKKA SA L198_01006 MSTLPPLKSFSLTHILYDPAHPLSIPLTLLSLSPIFLFVSYFTL LIFTRRLTIALLAGGQLINEVLSWVLKRIVKEGRPYTGHGELSTGYAWPSSHAQAAGF LVAWGLGYAWTMDKRSTKLRSHSSETIRRWRTGTYVLGLIVWSVGVSYSRYHLHYHSP SQIVAGYLVGNGYGALHFLLSEYYPLYHSTSLLARLRRGVEYVWEGVGGVGGWNLGDA EGGWGEGWMLAEEADKKRT L198_01007 MTKQSAQQAQQPEILGTEEYKTDAKWLKLEKINWKDQDGKERAW EVANRSTRPKGGVDSVHILALLHHPNKPTSTIIIEQYRPPVASAVIELPAGLIDEGED AATSALRELHEETGYGGGKHGGEAKVAHISSVLAKDPGMTGANMYLVTIDVHLSENDP EPEQHLDDGEHIVRKVVPLKYLIRHLQDYARRGYTVDTILASIATGWELHRRFESE L198_01008 MEALSRREEMQVMEDAKSQALKNCDSFVKDFADCATGRTFTLPF ACKGKLGVMQDCMKGFMSTERIDSMKLDFIAHRSEKGREAVEAFRKTKREKVNRMLGI KEEQSPL L198_01009 MSTPFKRAVARSLLQRQPQNGGVHLRRALGIPARSYASSPLTNP KPPKFGQPHQSTHPHLVRPGELTPGVPGEEYERRRRKLMESLGEDAKVVIMGGTVRLM SQSIFYRFRQSTDMYYLTGFHEPDATLVLESKPSIPRGYKYTLFVPPKDAHDSLWEGE RAGLEGATSIFGADEAHPNSSLSSFLPGILSSGTVYAALPPSPSPSPSSQPFQPPSSR RRSSLLKLFSPTASSTSPSSEFQASDPPHLLLAGALSSGRVVPLDRYIQKLRLVKSPV EIALMKRAAEISSRAHEKVMRAAKAGGREAELEAVFEFECAMKGSERQAYVPVVASGA NALVIHYTRNDCTLDENDMVLIDAGCEHHMYTSDITRSFPVSGRFTAPQRDLYEAVLN AQKECIKRCQVSDRVNLSELHRASCGLLLEELRQIGFRLSVGDVERTLYPHFLSHHLG SDLHDCPTRDRNATLVEGNVISIEPGVYVPYDNRFPKAFHGIGIRIEDEVAFQKEGPL VLSANAPKEIADVEGACQGLLE L198_01010 MRLPGLPWAGFNLLRLVGVVFIIWAFVAQFIALANNLSANSRSS VSTTSLTTSESSSSSSSDGQLVTASSTIDSQWGASPSSPSSTTSLALATSGSIITKGQ GISPANAIAEDGKSGGQMIKRAKETDDGNETSANWGMSSIPDQPGGITFTIISRLMMA IVLGLLLCGQSGWPEMFLYKYVPWLGPQSTPIWLGLVEVVVAIENLRVYAKSVVLISA WGLFAVGLFNLLIGAVLLYLGRRLPKSPPAPLYFNMSQRPLFWTSLPPCYRQLCENAY EIGLDEDEEDEEKSIKSNHHSTTHNLTLAPAAPLMGRPKAPHQDVTRGGYPTFSGGGP ADPGRQVPTGFLERAKDGRLLKFVNENGQEVSPQVQTNPRPEELGAERGHPRRIEPSG SGGDRKEVRVIQNRLPPRTYSKGRTPAALNLDGTEKAMASRNAPDQAAPKPPHVRPIP RHVQQARDEMTNSLSRVNSKRQSTLSSVSTISATKDLGPQFPLPPTRSFSADSISSLG SPKPAVTQPSGSATTARMHSKRGKGPPPRELDLPKPKERGKSRPESTVLTPKSPAMSV REPPLTAPPLSPTHSQSGPSIPRPLPQHKRSATLQAHLSGPPGNLPLRSSMAPSALTR SESSRSAKGTRLAHGVRFMDKVEERSPSPPCTGQTGTTTATTVTTEGYSDDDEITAEL RSPARRGVRVPGTNFQLPALSWPGLGSARRDSFDHDSTRGSVLSDSSLEDLNTSSSDD DSLPYRKETSAKPKDAQDRKRANPSASKRPKTVAILGGSYLDGRKETRERRPSSMSFD LRSSLG L198_01011 MSSGIKRPHADDAHSASGRSDRSDRKKPRDWRDAFLDDGAPPRD RDRDHGRRDRDQGRGGYGDRREMNGGRHGGYGGYYDRDRGYDDQRGPSGGHGSTRDRE DYREREPSRGEYSRRYGDRNDYRQDSRYDDRQRPREDDPRRQQGQQGQQLRGGPPPTH ASRPSPSAPQISVKLPPAAPPQGKAGMDQFFQAEPEEPEPEPEVVEEEQDPAKMLEER RRKREEIMAKFKATGGKPTVPNVVVNEVGGGAESVTSGGTRTGLQTSTGKSLACSDKS LADSPIAATPMLNNLGIQSSNPPSATPTITEQPSLAHTPQGKAFDLAKHPFASNDSAP LPPDAQTPSGVHADMTVSAADYDPTQEALADHEKRQKDLEAVKPDPQNATAREIADGV GEPVTVEDDDSPKEEEWEEVEIEVDDEEDDEFDMFDAFGDDEKQKKKKKVTVRRLKNG GQAGAREEIINKPASTIAPEVVDNVDDADGYYRITPGEIVDDGRYQITISLGKGMFSA VVKAKVLKAVGQERRQDVVGKEVAIKVVRSQESMYISGRKEAQILKKLNDADPDDKKH MLRLDRIFEHRGHLCIVTESLSMNLRDVIKRFGNNVGLNMRAVRAYAHQLFLGLSLMR KCEVVHADIKPDNILASHSTTTLKICDLGSAAEITEGEITPYLVSRFYRAPEIILGLP YDTAIDMWSIGCTLYELFTGKILFPGRSNNHMLLLMMELKGKINHRMIKKASFGTMHF DEAMNFIGLEKDKITGQDTLKTHVINKPTKDLRARLVPSSSEQLKMKDDELKQLLSFV DLLDKCLHLDPAKRITPRDALLHPFMTGH L198_01012 MTSSNPVLSDETLASIRGKYAAKAHENKGGKRRRSPDYGQESGP STKNSKHVASSSTTTHQPPSLSPLSTTSPSSLLTIPGSSTKIPTSSVRVSSNTQAEIG PAASRSSSIASLFAPKNSEKAGRQGLSLYMEDPITCGFVDEANGREFSMQKLADKTLF VFDVHLHTYEYVRHQPGFLFCAILAIAAKFRPDMMDPMTRQRCLALAKDHMLRVFSDY EKTEETIQALYIMTEYKEAEDEDGYLLLGIACRIALDLNLVQPRPDFNERQNRSRIRI WLALYAADRRYSYLGQTAKPSMMPHDRIPQLCKAFTKSPTCLDIDHLIANNVTFRHTL ASHMDAIEKDNSDSIPGEWKLDLREEYYAIEREGEEWERGQPVKELETPHANLAALLA KVIIAHRWVHRSFRDIGSSRDAEAQEKERREALAVCIRGSLGLLETMTRYPVDVLKYG SDSTHLYFAYASFFLQKVFDTQIASIMLDRPSFAYMYDLFKRCAERLEQASISSTHTA AFHAAFLRRLMRSCKDMMQDVNEQGHTGQGSTLGGGGEPDVGILPEAPSNVPLESFER EVPHSTALRSYIPDPVQLHIPTPQDLLPPTFDMLLEPLTNDILGDSITWDDWWPMSDM FGGAGGGSGPFDGSGSGSGVW L198_01013 MASGVLTIAGVWALQDIAVSSPDALKDPKNPFAASKAKQAAH L198_01014 MAARSPWQRTLRRLFFLAGTASTFYFLATYLRERLNESRLRARK EKKQNELLKNHFTSLISNISFTLYALLPTLRPQISQVFPVEQTSQSLQNFTSGDSSLS DSVSIVDSSRQETTAENSLHLHHNESDSKPEQAQVTPGSTQSSPKHPGSIDRRHSKSS PRVPSAPGVGESWASEFQRAEGGDSETETETESGYMVGGSVGVPETDDGLSSIISQSI SLPATDISSNSPSPPSELSQSSHFHSPSPPHHHLSPPKSKKELWNDLKIQSIARTMTT AYLVPMLYLLTSSQLAILARNTYLKDVKTSITAQGASAEAGEEDEEDGCQTPRRNTSE ATLTGLSVDPPKKKAGWLSSFSIENMGLSDFVESTTSYIPNPTSYIPSTISAYLPSIP LLSSSSGDKNQAELVGEMNPREMERLKKEEEEAAERVFLTYSWWILNVGWKGVAERVS EGVQKVFGSLPLKKELTVQDWEQLFKDVRAEVEMNTTSSDETPKLFDFTPNILPTSIP PATRTPFPRSPTDHSPHLAALFSESLSHLHSADGRYLLEKGISSLTQSILVSLRDQLY SDGGSGEGQEEKKRLAHCLPVVSQWGKGVWEGVPDGGVEGLLGVSEFEGFAALVFGDW AGK L198_01015 MPSNIPPDAKVRMANDLRAAVRELRDRGLMVAAKWASELLASLP KEYRSTPNLVFSPPPQMSSLPPRSPPGHARPSIGDFLPSPGPGAFSGGVKGPGTRGRT MHGIEIEEELDILDEDEFQLARGYFDLKEYDRVVWVLRDARGNRARFLRYYSMYLSAD RKAQESLSHFLDTKEERTALYPAISALLSELKDETDPYLTYLRGLCYMRLDRKPPAMK SLMESVRAKPYNWSAWSQMAQLVSSADMFISLKEELPSTPMLTFFAICCMLDLHTATD LVMSMIKELLEVFPASVHLKAQRALVYYHMRDFETAEREFDNVQKLDPYRMEEVDIYS NMLYVMNKQAKLGKIAHEYAEIDRNRAEVCCLIGNYYSSRSDHTKAITYFKRSLMLNR EYLPAWTLMGHEFVELKNSHAAIEAYRKAIDVNAKDYRAWYGLGQAYELLDMPMYAIE YYNQATSLRPYDCRMWTALATLYESLHRLPDAILAHTRALLGADKVQTMTILLKLAGL SEGMGEWEKSVGYHKKIIALGEKEGMGGPAEMAGSYVGVAEWEMRIVMELLEKERDNA NGEELERLKLEVRDADLAIAAQYIERVAQTNAPQRDRAENLLRALRGYEMRNVVG L198_01016 MSIPPPGLGGGVTRTGPPPGFGGGGLVHPPPVPPVNDASVAGPP RSPGTIPLEDVLFELGEAMTQDDATVETLARRWWTPWLFEGTPDQVRKKVTEETCHVV HGLCEGVARGRAVDLHGVVKGLSAIDAISWPDVIKSFDTPMTIAAYPSSLPLLVSLIC IPPQIPVPPMAGLLPANLDSPSWENISSLLSVLSHLTNLAPDAMPIFTMPSAPPSTLY ARIVDPPATEQVWSKAARQQARDLQGAGLWNTLGLIQILVHACALAEADHHSEREREE RAEIGRRATEILEKAAKLAPELVLIALEKQPKPLPSPVVVQQTRLLAMYLSTKPTDIT SSALVFHQMWEINPDNLLSVLLEFYGEDENNLGRIVEIGSELKILGRLLAIEDNFHFV LDVAALASNRELLNLEQWLADGIEIKGEEFLQEIFDFVEHKIRLEFEHQHQPETAPPL LFTLGPTVYSIFIRVVRNAPNLAREDIGRFKNLRTDILILHPRLLNLRPSSKQEQGFA EAKFAKDVVDKVDEIYQRMYGGQLKLDEVVDELKSFQKSDDPKEQDIFAHALHSLFDE YKFVKSYPPKELTMTGLLFGAIIDYRLIKDTPAFVATRYVLDACKTPPHEPLYQFGIN ALSVLRNSLVDFPGLCRSLLEIPALHESHPVLINDIQQALVEREELDLQGGVKLAFPA LKLPVLIEEGDDEFKEPETGKKDAIMFHVNQIAPTNYEDKAKSLLELFENQHSRWFAH YFIDVRVSLEQNRHEIYMQLLQKLDSPILDRHVLWETYRKARELLNSEATINSASERA VLKTMAMWLGRITLAQNKPVRLKELSVKDLLIQGYDTKRLIVAIPFVCNLLAACKDSV VFHPPNPWLNAILRLLSEFYHFGELRLNLKFEIEVLFSKLSVELSSFEPSNLLRMHVP PPPPQEEVPNRLDAELQRATSELMNGSQRLSDLPGNEAYARIQQMQSEQAVQAAQDAL ARRVDELIAQLPEYLIFNQEYPIFTAPTLKRIVHHSIDRAIREIITPVIERSVTIAGI SSRDLIQKDFGTEPDAIKMRQAAHMMVQNLAGSLALVTCKEPLRSSMINNVKSMLEQN GYTDETMPDVMIAGVVNQNLDVACSVLKKAAMEKAAKDIDVNLAPQYAARKAHQNSRS TAPFWDGASFGVVISHTALPDPLKLRPGGLTAQQFRAYEDFGEPTRMISSQATNGDYL SSAYQNLALNDGLVSSDIKPAPSPRPVFPEAVDEVASPQAIPPQGSIDKFHELAAEIQ KIIAQSNVQSVAALPADHELRALIRGIVIIANQSIHRENTTLAIAQKVVQLLYRSSLQ LAREVYVFLLQQLCDLSAKVNKEVKQWLIYAEDVRKLNVPVTVTLLRAQSVSVQEQDL ALSHIVLRSFPPEVIDFITELIRECSVSEQAFVPRQAFSHSLASLLKAREAGHSTAAA DALLEELRGPREPQSADDDDKSKTVVDSKLQERLAHYFLEWVRVFSTGKSPEVAFVPY ITYLQKEGILSGEDISSAFYRTAINTAVDFDGAKLATDVPPKFYGVDALAKLIILIVK NYGDKSGSTSVSRAVYYYNKIITIMSYSLVQRQLAMGEDFNQRPWTRFFTSMLSELSA FEYTLPETYLGCLKHLANNLGITQPTYAPRFAFGWLSIISHRLFMPKLLKTQRDEGWP EFHRCVMWLLRFLAPFLQSDEMTPSAKSIFKGTVRLLILLLHDFPEFLVEFYHTLTTV IPPHCTQMRNIVLAAFPPTEVPLPDPYRRLDQLVPEMQKFPTVRSDYIGALADGNVKA AIDQFVSSGVPPLPAIVAELKNRIAVKSLGPQGTSTITWNHTLMHASVFYLGTTAVTR KYQQTGIVEFDAKAPEVAMLAGLAHAFDAEGQYYMINVISDQLRYPSAHTLFFIHFIL FLFGMSIHPENSSAIPERIARILLERTIAKRPHPWGLLVTFIELLDNEAYGFWNQPFV RAHDDVFRLFGTVRQNVAALRELQ L198_01017 MAGISYDDSGSLASYFGVTCLTLFLIPWTFLSLRPKKKHTSKPL CPCPECRQSPARIDKLKKSSRRSSGIKRVFLLLAAWTFLGYLIYNLAIAPKAQGGTVY NPFEILGLSSASTEKQIKKHYKKLSLQFHPDKLKLAENQTQEEAEEKYIEITKAYKSL TDEVTRENLAKYGNPDGPQQREDKIAIPKWIVEGNNGILVLAVYGIVLGGGIPWIVGR WWFTQRRLTRDNILNATADIFFQHLKEDTDFTNLIAIIAASLEVAAVVGGSKVDKKTK KAKQSKVEELEETITKVKAENFIQEDPIMRKSSRVPVTSGADRRARALIWAHLLRIEI TDSEMRVDQLAVLRVLPPLLNALNNISQTRSWLGVSLRCIELQPALVQAVPAGVYPLA QLPGISFEKAFEEQVVRKAEGDLWLEKWVSSREGHDEAFEVAKYWPRLEVVDAEYKVE DSKVITPSSICNLVVKLRYVYPTTPLSARAKPIQMLPPSERPQGAKVDDDVASIEAAV KEASENGTPSVKDVKEKVAKQAAPAVNGFAHTPRWPQLRKPQWFLLLGDSKINKVMVP PIKITDIPLPKEDGTPGETKEFKLQFQAMPVVNLYSFVVHLRSDTFLGGDVQVPLMLK VEEAPLESDSDYEGDDISEPDEDTLAGQMAMMRGDKVKPSKVHGQYDSDSESDLDDDQ GDESESESEGEVDGDPRRGKAYNVDTSDSE L198_01018 MFRAAARSVRPAVRGFSTAAPKAGENAFVANREATRAHAAETTD LWRKISFYVCIPGVIVGALWTYKIEAAHAEHLAHHPEDFSERPVYDYLNIRNKNFPWG RQSAFFNPAVNVEVGEP L198_01019 MAESSKPTNSESSQTRKPAPNGIAPVSGGPSRPGDGSYLLEQTT RAENGRRQGQRGRAPTRNGRQGERKKQGNGRETQQGTAEGSSASNSRGASKAPSRRNS FARAQGKKGKKPAEFPVDSSSAVSAQISPNVEQGWTEAIDRSTETPGPNGDGVSDSAP PKLQIDYSLNPWEDAGSSTNGPSQTPSGERREVNARTAGPQSRGQNGRYPQRHNPQHS HPTGYHGMQYSVPSFAYDPHADGEGATNGQQSHVHSRGCDHSGPSNSSKGNMRPAGAS NGFSEEVVELRSPQPFFPNAAVNNTVRPSPALMRSLNSVRVPQLREAAPLDAAALSFV PGAALLATDLDEHPSSPKTAQREEAKTTGKKGKKGKKPNQGVDTTQQGPTVATGRRAA FEQSTKLTSMNPKGGKTMKPGQKEDPAQAVVRAEQQAKKSAGQESDDLVTRLTKGLKN RPFVECPICFNSITPSQQTWCCLPPDRPPEPSSSATLHVNPITGSTATSTHYSACYTP FHLECIRDWANRSLGEEDEKIRSGLKEPGEAQWRCPGCQKRRTDPPGQYKCFCGRLSH PPTSTIAPHSCGDSCGRKRAKCGHGCPLPCHPGPCPPCNVALIVPCPSHNIPMTVKCS SATSNNAALSPVCDDICDRQLHCGHRDHACQELCHYGPCAPCMEMESVTCYCGEEEKQ VECGWGRSNVKICAKILEDGIETTWEGRFDCGKSCERYYDCGKHKCQKTCHPHPVAAL VCPHSPEIITHCPCGATPLSSLPGFPRPDCLAPVPTCKKRCPQSRPCGHPCPKNCHTG ECPPCHEEVMRTCRCGQNQLVVPCDILRERMANGTGEVTCERVCKALRNCGRHECGRL CCPLWGQVKSQKKKRNDDNDIYTNDDLHQCHLTCGKMLSCGRHTCPKPDHKGPCGRCL QASYDELICHCGRTIIYPPVACGTQIHCPFPCTRPSPECGHPKIAHQCHENEECPPCP YLTEKSCACGKEQHVKNVRCSQDRVSCGQACGELLSCGFHRCQKLCHKPGECDPCAQM CGKPKSICKHSCTAACHAPKRCPENEPCQAIVTQTCSCGNLLSRTSCGASTLKPKSRE AEQLKCNSECAVRQRNARLADALGIKQPGDKNAEVYEDELKNFALGNHKFVKMVESTF EEFFKGPRQSMVLPHMPESKRTIVMSLADHYRLTRELIDQEPNRSVQIRRRIDTRIPK PLLSAAVNPSQAGQTRLVTTSASPWGRTTAGSSGTAASIVAGSGSGSGSSRLSTTVTP VAGGSGLNSTVPSRTTTPVIPAPFVPSIPASVSAKRSEAKKREEGGEGENKPVGQVDD DDDWDKDDDE L198_01020 MAVTSPKSASPPMSLLDWDENAVVSYLDSLGLGQYDNAIHEQGI TGDVLAALDLETLQDLGISSVGHRLALLRAVYELKEDQGIEIGEEEWRPQEVEEQKRQ DTERLVDMVREQHERLSLIERDHERLKAILEEKGISVRYGEYDDGDTLSGADSKKLSG LGLGRSESLKWREMKQNGEYGPDTSKPRPDQLFPASLASSSNFTAPLSSHSTTFQDSF TPTTTNTSSYNVESPTPHGMERKDSRPSTVSRLISETAGAGSGSTATSPGTTPPGMSQ SLSASSSKQSSTLAPTSSSSLPNAGPSPNLSVAPSDKEKSRAKDDARKAAKSFRVTLE DPCWKVLPAALKKYKINDDWRLYALFICYDNTGESTERCLSYDEKPLLLFQKLKETGH KPVFMLRHIKDIKSPVFVAHTKQAQKLGLPASTTASLLPKIKPATDTSVSPIKSTTLK PAIARIEDGHTPNGAAFPELPSPGLRDGDGSQSAGGSRTTNGQLIDPDGNTINVTYGV AIYPYCRDREDEFDVPVGSSYIIRSKSKGWYIVNRDIDGTGSPHSFDQGWVPAGCILE LSQPVSLISPSPDGQISAFPGLLPIPPSNITSSSYAGFALMDYKAKGDDELALEEGEK VRVYKKYCHWSYVIRNDTGERGWVPAWFVGKTPNTISTSLRDADVSAKAKVPATSTSL SGGAVDGEGGDEKTADESK L198_01021 MSILRGTIRPRPLHWAFTRHNSQLAARLAPSAISGSASPIKQLY DLREIDKLTTSVAKSSPSNVNHPSPLVMRALPAPWLSASQPNLASQGSKDDLEVDAKS VEEAQKSLGPKHMSESYTTFDLPLASDAGLYDRYVNTSGGFRMGKLLEHLDSLAGAVA YRHALPTPTSSSAPAFHEASAKAGLYLATASADRLDMFGRLNKENVRDLNFSGFVTWT GSSSMEVVVKMEGSNTDGSWETLMLGRFVMVCRDSKTHKARKIPPLAVDRDEEKILWA IGDEHQKRRKTTALNALDKVPPSSKEAGDLHELMLKVQEAKDGKIDGQEIVQMKDTEI ETVQLMHPQDRNLHGKVFGGILMRLAFELCFTNASLFAQGPLRFLALDQITFKLPVPI GAVLRLTSKIVNTTQPHEGADGEAKVHIVVKAEVEEVETGIRRETNTFFFTMAKGGRE PIGKMVVPSTYQETMYYLEGKRRLQLGDEMRKLYLGERAGAVGDAY L198_01022 MVAELDLVVVFSAWKAAPELFVENIYVKQEHRKKGLGKEFFAEM AAVARDKGCARIEWKTHEDNPPGITFYENALGACRSDTTDIMRIEPAGYEGIIERFGG L L198_01023 MGRVEVAANAKTTISLPQPVPSHRDMMRGNVTVKEPCVLLENPS GDHFVEELRHMVMGNHVLSESQKLPGQYLAIDCEMVGVGPQGSESALARVSIVNYHGH IILDTFVQPREPVTDYRTWISGVRAQDLITGRDFADVGKEVAELLEGKVLVGHAIEND LKALMLAHPGPLTRDTQAYKGLREKLNNKRPGLAKASQQLLGIQIQTGAHSSVIDARV TMAIYRLFKKEWEKSVWHLTEAYRNKHKGAQPEAAPAEEEGSAEENSTSAPELFPSSS SHTNPKTNGQRTGKKKSKQQEFPGGGRKGISSGLSVIVRRGGKRVGGTGRGDGSRRMD RDRGAEAGRTSSGGRGGNWWESA L198_01024 MSCADDLTTEDLNGNVTAEVLERTSAGEGSTTNLWSHIDRDNVT GLNLDDPLSAPKLIKPWDNRLDEEQIVESGVDDELIIHIPFTSSVRLRTLVLKPPAAD HPHRPTRTRLYANQIHCPDFSDLESMTPIMDIDTSQPAAGVRRLPDGRRDVEEWPLKV QKLANVHSVTLLFSEAATSLRSSMYFVGFKGVAPKHEMDMSKLGRVQNENAADKPVDG VAERQGASNTTTTR L198_01025 MSEKRDSMVGNKESWAAVDLSHSQAQAKKKQWYHKVIPGTVYTR ILLATIITETVIDLTIEATILYRFNEEVKSTSSTDLELENKRRLPIYLIIFGLAHLWQ LVLVSIAIRMKNTVQVIAVTAFNFAFLGYAIIQIYELRKILGGTSLSEGLKGNDTGST LMTIPLNVLTALIIAVVAVSSVGLLVLSVLLRREFGRVSRNWQRYRFLGADLMIREYY FKFQIFECICYFGAFFCAGFGIQFIWLVLNPSDVEYIITWIAFPLLIIFLVIGRYAAK YENVYFMSAFIVGLLTGCAYFIFKLVRIWQQRTTTYNHLEKSLTVFDALSLASLISCA VWGGTVWWNFGKGLKQAVLARPGARTFWGGVVGFFSNDSVESLQSKEQDFTTCYQGIV VVNLSIISSNIKGHTKKTTFSSFYYVGYAVGCIVGPQLFLAEEKLLYRTAMRAIAGMY GAYIVLMLLFTYLCWRENNRRDKLAEEGMEEAQPKQAAHLDNQWGVKDLSLRYVL L198_01026 MSASPKPEINLTPPAASPSPQPEADSQPEQAQEQEAEAAPSPAP AAEPTDTQNAMYDAVFGGEGSDDDDSDDEGARRVRRERRDEEDEEGDVRMDEDEEEEE GGEGETYVPATATSAAKIPSFKKGRKSAEDDDQEDEEGDDDRERRKSKKKSEKRKQRE VEEEDEDEVALDAETQRRVDLERRIDAIGKKPKAVRKKKKGDDEVDVVDGYHDEICAR LRDRMLAAADKDEAANKVKMPGTAKLAMLDEVMNVLRNTTLWQSIVDNGVLDSVKRWL EPLPDKSLPSVGIQKAIFEVLPKMDLDTTTLKECRLGPIVLFYTKTKRVTPSINRQAD ALVQAWSRPIIKRPANYRSKYVETQNEVEQALGGDSRGEETGYGGGGSKGQKKVKRFD FKTALAENANKKGARLQVVQASTSDCLDIQYSVVPEPKTQHHAEEMAHVSRIQADNKK FNRFARQIKSKR L198_01027 MFPKDHPLFPPFHFYKWRAVDDRVRGGSSVSHLDPVKIDVHGHV SSVAQDEIEEMEKKGHGGKDHLAGRFWGNLDIKTLGGAGFASQAFRYGPAPLRFPRHK YSGISITALADLLRSVDEKHPHPENFTFVLKTSPTAHIPKHPKTPAPPRAAQLTYQVE FNISHGGASTRTPGEKKFTFPWGEFKATYRGREIKKGDPQWVPLDTSNIYEVSLMCRS DFGQQEGDFGVIVTDISAVEKGQEEEDEDEGCWSGVVGWFKWAIGAERGIRLEEDEDE LCEKA L198_01028 MEVIAPHISIHLVSISSQQLSLSEENGRYIIPISQASRRAKDSL VVRFSSKGKDGSVGEVALRTAPGKSLKKNGWERLRVDCGYSSKGTEKIRAAHLDRRSR WASSNGGGVEENKGGYHAYRLLKGGRDILMLFDEPNTADFMSLIPDETPLGLLTLAGT HESCALYGYPISQCQQSSTTIEQQLLDGVRFLDVRLRVVDDQLLMYHGPRSQRSSLPA LLSVLHDFLTAHPTETLILCLKEESPPFHPSFSARVYSAFEPYIEKFWFLQERIPKLG EVRGKGMLMTRFDRDKEQGEGQWPAGMGIHPSTWPDSKKEGFEWDCGGTRVRTQDWYR VHTFLSIPEKFATIMAHLKPTLTAAPVLNPSFTLSYTSASYFPLSLPTTIAKGFGWPA WGLGVEGINTRLSRAILEWMADGTRIRGCLAMDFYRQCAGNEGLAGLLVQMNFMSR L198_01029 MPSAKPLFNTTRLEGKTVVVTGASGGIGAATAVLFARAGANVAL LARRQDPLDEVAKQCKEEGAEGIKIVTKTLDVTDRSAVDGLLPALKKEGLESFDVLVN NAGGAIGTEKVGADDDIALSDIDYMISTNLTSLMQVTQVFLNEMKQTNTGHIINIGSI AGREPYVGGSIYCAVKHAVRAFTTSLMKEVVATGVRVTEVAPGLVETQFSVTRFRGDE GAAKKVYQGMKPCDEDIAEEVVWCALRPPHVQIAELLVFPSQQAGATIVARDSS L198_01030 MARINTDNSPTTSVGSLPSPMSDDEFSNLSDSWMEVETSSIGPS TFDGLSDSSFDSNDHDSRSEASSFDSASNDGREIHSEPEDVEAMSEVEGETPSLISGS YDLASSKLDGSKMGSSVDTIQQYSTSSSQVRLIFPDPGSSFSAATAGSHSGLLSGQSP ASSIFAPKRPRSRGQSTTESDERLQESILSNASAKRRSSSPLKSESRERSSKLWSLDK GEYWLLDSTEKLGEMEKMKESKTVVDEPEALFTVKAAETEKDIVRELETRSKKNAILT VCAMLALGVAGLYTGYSASMSRPSAGPSVLPVQAPTDMARHNFWDRLSASILTPSATE APHIPDSTNPVKKTEEYRLIKQALSTLNEAQHKAHSVFHTTPPPSAQSKSAGGQDNSL AVRNENVALMTLELTRKEVMVHKLLQKVVSASRPARSNIASAAVNCTCDLSTYYSTQI LPQIMGSYTRTKALALSAYDTLMRFFFKEVEEVFDVGRQMFQASDAAASLVVSRATRG ARILHTNLTPYLHLPSLFTSQGASNVTHEALDALSEYVESTMEGLVGTIGQETRDMQD KAEKSIRKAKKGLNRVIKEKRLEKERKVGKEKSLVNAVDRKGRDLADPRSLCVKNGGC FKKFKGTQQQP L198_01031 MAQPATLPKAGRTSSRPRNPPGQSVLSRAYAEGRASQDALERPQ VTHPYAHPQVVNPGLPSHSPPPPPSQHYSGYSPNLPQNPPAQHAATAPLKLRPRMSSA PVTQTQNQGPLFSTTLDSPTEGPRLKPRVSSRPRAPGSKSPVVFVGREGRPSTDMGGR PSADMGFMRRPSLDAADVLDHRSYPAPGRKKSHPTDYGQPHNSGKQQPTMVPLKEMTS DRPSMNGRAMSAPPADVPSSPPRHNHFPAESSTTAQKKWKKTQQGALGVGHGPSRSTG APSKSFDMERQVSGTKSLDVVRDLDGGLSGDEEGRRPSGSKTNMKKKSTAALRALFGR GASGKSKKMEEAPPMPEDRYESRVTSNSSQREWEQSTTGRSGRTTPTPKLYDGRSIST FSSNDGGKTPTAQSSSSPASSRTNPPARELPIPPRPSPSPSPNTLSAPSKTPPASPPA SLHVPRATTPVFDPSTSPLLSLSIDESPLFASSSPKKRRPATADNKPRTPPRLPSAQR LQLPQLDLDFGLEFDFYGLSPSSGSRKSSPRRERNSPLASPKHSPARSHSYRSPGRPS TSPGTLQRSWTLHERGSEAGSPLALSTVSSRSPVPGARRQNDEVFGGRLAPVSEMPTQ SARSPSPSAVPFLPNVPSSDDITSTDSTHESTSPALPVTPRESNATLGDVAINVSSSS ITASSTTLAPKSVPELPPKEKSPVAPTVTLPRIAPPALTLAPPVDITPPNPIIIAPPP PKAKPIARQRTLALLSKSTPVIPDKHLTISALASKAEDIRVAFKYPEAGVSPSDRSAS LRAELVPLIAEADRRAYDVAKEDDYEVLRSVFLRWINLLISELRFDRPVDERGAVLES LAALFESICLSEEALQLSDDHQSKITQMMVRCMSFVMEKLGGRGVFQNILVFSGRFLA FAFFRVPHVAHQLLTVLSLPKGALMRFAGNIENVDCPAEVQPKYPEHLQPLIFDNVMS YNSRLNAFTAEFETDEEREAFLFPPGYWLRRWQSDDSELFPSFYRAYHRQLAHYLGPV VKHFEAQNKPIPVGILMRAPGYAHLSTAFAKKLYSYIANTVNAVTTCSTSSNFDATES ALQAPNAKPPVLVTANRRLTETLLTFSEQRISIPAGKVIIDCEMSQLYMGMIDLWTKN LITKTSLNAPKGVFCLFDLLDGIIDPPYGFIPGVPTRLDTVVDVPFLINVLRIILTET EHAMTLVKAIAFIFSHWEVLTARSEDRKELCLDLLLQKELFERLLLFWSHSVRSYVLR LVVFRLGHLEAANSKSVGWQIEVQTVKVLETRLEGIKRRYDELEPGAADSEPLPDKRI SHVSPREEIEEPSMPRSRSTITMVADEPAPEPNEKGSQEKAERLLGLGMGTIDAPRGN VEVDPVTGSNKFGKAAKWLKKNFKNKDKTNSKNKNKDKSVSLGVDGSPTVSSDGDSPG QEQGELSEGSKPSPLVPPSTPPKSSQASSATASTAAESTTTSPSTPPNRKPKPPTIVT TPSGERAERRRSRGAFTFEFDLPAMSPRSDAFDPPPTPTSPRRNSQPPPPSPRKPQSP HMSKSFSKRSSLLPPSTANALEAMLASEREKEREKKKAEEKLRQEKGYDKRLHPYAIR MLGELEDAQKEYDEWWSDSGYGKLDGLPPRLTVAWPFHENED L198_01032 MAPVNFIVFAIAHPSELESLKKQIIWKDVRPIEDFTEEDAAGII DHYVTDGLCLPSAENWLDNIWKLLDIFFLSSSNLPGARKQVAELLFQQAYDHAEPLSQ PRNQLVAQVIVPFLEKTLAPITDDYFRQLALEVLVKAAVKETFERDEERRQARATKAE SEVQEEDASAIPSQEVKDAAAGGSFHTIRNMIISLSSEAMCKEEPAAPLKREMEALSS PPLSQKSPPSLPKPFPQGSSAASVPKEPPHPREQSASQSSSGSALKGLMSALSPPSRT KELPSVAAPTPSLATAIADDSPEDISPPRKESTPSPVPPHADCHSLLAVRALITIFNR LAFSPPHPSSTGSKSIRSPASSRCITIYRDLLGLLHPCNQHGAGDATPTSRVATVRAK CPRARIAILQWLLRLRADPKHRIYLRTNIDNTIRPFAETLCRTKEAIEAQKANILADA EEARARIKARQMREFGVHREGARESMRERTMTSSTTSSSRNRSRSRPPAHIDARVADL YNPLWQVPESIEFDLPADNLPSEGLLTYDPNHPSLRVKDAPAVEGVWLPVSEYVACLN EVLRFETDWEVVSYVLCFLPLQLGNKLFFRGARATKEVKKLLKVLCDTIPQDNRIERR CKHHAFIKRPNVNAAVFQALTILISHKDVLDSKECDTLIVAFETCLESNTIVAKPCIQ ALTLCIFELEQPIGKRLLSIISKMRDINLTSGIAVHLLEFILALGQHTPLFRNFTDAH YKDVFTLVIDYIAEHNARSDELSDMTADKRESYTLSQHVIGLAYHSIYVWFLGLKLAL RPELVKHIIFKLLQSRSQRVAVDEMVEVCLDWFARYTYRNADPKPASSFFSEIVLQNP SPVLTPMPESGSEEAAAQPPADPIKRQSWFLDGAIITIITHAPSGWASITSTRPTGAT EVMAKIDNVPLSGLEEKDVDVFALTDELVDRRQEIMEDEKIAVSSLTNCGKQNADNYQ TALMKSKSTISETDDSSEEGLSALQRRKHDAVDPAYLAIELLSSYADTGADSPIGKFV PDESRFDRSLRSLEGTPVIDTAKFGVLYVGPGQTDEKDILANVEGPSLYQAFLAGLGQ LIKLKGQTDVFTGGMNREDDSDGEVAYAWWDDLVQTIFHTSTMMPNNALDPKFDRKKR LIGNDYVKIVYNDSGQDFKFDTIKTEFNLINIVISPHTTPETHGPVPQPETLAAAGAN HPGGGPEGEGYVVWGRDDYFKVILQRAPGIPDFSPVGKFRIVSKEMMPGFVRHVALMA NDMAARFAHIRNARDPTDAEYITSWRSRLRTMNRLKAA L198_01033 MPIQIIPATRDDVPELLGLIRELAIYEKAEHEVKATPELMTKAV FDDKYAECLIARKLPKDDAAGKGEAIGMALYFFTYSTWLAAPGLYLEDLYVKPSERNL GLGKRLFGELGVIAKEKGCQRMEWRVLKAGFHCSYPKSFRADQRVQWNEPSIAFYTQC LQADPQSEWEGMRIEGDEKIQKLIDDFKKP L198_01034 MPFVKVQKNSAYFSRFQVKPRRRREGKTDYQARRALVSQAKNKY ASPKYRLVVRITNKQVICQIVYAKLQGDVVFAHATSKELPRYGIKHGLTNWTACYATG LLVARRALTKLGLAEKYEGVTEPTGELELTEALGDDEPRPFKVFLDVGLRRTSTGARV FGAMKGASDGGIFIPHNEKRFPGFDPEAKEIDAEVLQKYITGGHVAEYMESLEEEDDE RFKKQFSTYLADGIGSDDIEEIYSAAYEAIRENPEFKPTEKADLAKWKEESKKYKTKK LTKEQRLANVEAKIAAYKAGKLDAEDSE L198_01035 MSPQPSEQHTLDAPALDKLFAAAITYRDRAYAPYSKFRVGAALL GSDGQVYGGCNVENASYGAGICAERTAITKAVSEGQKKFLAVAVTSDIPSPAISPCGI CRQFLREFLEPHVPIYFISGTYSSTFHSGGYPDWLGDLTGEEAKKHVKMMTMEEVLPE SFGPDHLGLAVTDQK L198_01036 MMEAGHGPIHPSGPIYISAQAQQPPPHGHAPHPFVHQASLPQQQ HLYYPPQPARPASPEELNGVPCLQVTQPTPTKGRKYRTASDAGGSTSGESLYMASPTW RTGPMIPSPGSAGGSLDVSVEPLQHYAGPPVRASRPRSPDVEQFREGSEDGKEAKRKQ RAREMGRERQRRKRERDKKAREEAMKGKSQSRDDVPSGRRTSNTSYTGSQNSLSITVP SPASAYSPLGTSSSYFSISPSGHQLGQKSGQSSPGAFFSPTVSTPSSFLESNGTSYWG EGKAGAGGLDLSANKPTRPVRPSRVSSGNVPTGATLKISSSTGLPVPPNSNSTSQPIV GPAPPTKRRKSEPKPDTFRATRENSFGRVQSLAALDWAKDDRPRLQRTSSDGAVLRRI DTKEKSRSLTPPPVPLLPEQFRHQSRLSMADTGELTGASSEGQYFASFIVHEAASSNS EDAVLMRERLGLGIEEMSSMKDNIAMAYDKWKLERGMSKISLGSDSIRPSTAPSASQS PRGSRTSLPDPNIFTPVSNMPVRYARVPNTLPMSTSYAQHQPQDSPLTSVAQGRGRSL SSLSMTAVIESAQWSVSDTPGAVPAGAMVKDDTGSPNTSSIHTPSTGQGAFPIMETNA PPKALWTDPVGGRMYHAYHNAPGVQSIQSRDEFNQKFVFGGEGQLLDSPLSMTGQVEG MRLDMPPPPPSAGGVHLPRQLSMSAPSAADRPTSSSSRHERHASASVVSTREAGHFRT PSGPRSAGLRNVQTQFTPPTPLSARGSGSIQSQQNTAPGYAADEQMDGMLFYTGVFGT APQQSQGPQETQVKEALPQQQQQENFSFMPSQPYPSAPPVTQGSFQTSQPLATFSSQQ SENQ L198_01037 MPLALGTGSTDCVLFLARSLSLYQVSVESGVCALSFVSERLARS SCSLSLAGDIRCGVLWSVNPQATCKCTRPLSSLPARFGGLGLLPFNKIAPLAYKSAQE ASDSFLAKIDLIHLLDPPPTPTPQRVRCAKLWSEQLSSFMEAATQPERKHLVENASKL GRSWLRQIPYFELLRLSNHEVAAGLHYRLLTPASSPVCSACANESDLGHDEVCRLRET WSIRRHDSINRVFQSYLSRVAGAVVSLEPSTQEGRRRNDLRVRGGGGALRNADYDLKV YGLEDKHMYVVDGRGKPSGMEWLDWVQGRIVAWLSKRDEEVVKKAPRIYGGAFRPLVL SAGGLMSEATAVELRSWRKGMEKEVWQGMQSRVGIELVKARARTLWM L198_01038 MAATRNIKCVVVGDGAVGKTCLLISYTTNAFPGEYVPTVFDNYS SQVMVDGLTVSLGLWDTAGQEDYDRLRPLSYPQTDVFLLCFSVVSPASFENVRTKWYP EIRHHSPGTPIILVGTKLDLRDDPMQVDKLRERKQSPITFSQGSAMANDIKAAKYLEC SALTQKNLKSVFDEAIRTVLNPNRRAGKAKKGNGCVLM L198_01039 MALPKSLQKSLTPDELTFLAEQDHINIVPLFSMTPVRLVSGIYG PFHPPSAARVPIWLGLSLKKKRKCRIVPPEWLSVGKLTVENLLQVLKNEKENSEGFER LPRRFMEISKVLLDVALDDISQATQVRSLLKDIREVRQAKIRFGLQSEDVLQSDYLQV TNLTPLELSELKPFLIRSMSLMQSLKAQNEEEEDEE L198_01040 MLREVKVGLDGGSGRPGTFYGLSHRIWLLIGIVIGIFTFSRLLL PTSDSSASDALLLTPKDYINASASDPAPFDFCPVFGPGDDVATRRGQWGLLRSRLHMG SGARVQKVVQKAMAGLPVTISVLGGSVSACHGAGDDPVSPKCYPARFFNWWNSIFPHP DSEITNGASRKTDSAYFAYCSQHHLPDQTDLVILEFDAEDPNDPEWLSHFELLVRSIL VRPDQPAVIILGHFAPQLQAQNGYAGPELLHTVVAQFYDIPHISAKGILYHDYLLDPQ DARGKFFADPMLANPDGHELISDLLISYMQTQICSGWAATMGHAFDVPYMGAPPPSSG IDPVLAGNSAVPGEADESEGGGAAAKQRAVKVPSAMLTSRPSDILKFREVAPYCVSAN DLLNPLPPSHFYGSGWHAYHPSKGAAQEEKHYWYAEVGGSSFRVHVTLSAGDVAIYYL HRNPEETPLGRAACWVDDNVAGAVELSGISDVHGTTTTLTLIDENVAPGEHYVECTLI GKEGQKTPPFKMLGV L198_01041 MVSTRSSQAHEENAESAPALDYHESSGEESQNEEEEDVKETDKK KKEKRGSSNEKKRPAPSGEGVASPDSKKHKNAYEISNSLEGKVATAEDAARMDNDSPI QRLYKALEQQEENKEAGESVVYWMRMEDLRIEDNIALSKASQKAKELGVPLLVLAVLS PGDYNWHDRSPRRIDFMLRNLRSVQSKLDKLNIPLIVKSYDKRLTIPRKVITDILPSL SAAHLFGNIEYEVDELTRDIKTVQLAGKEGIEVKLFHNRLAVPPGRIFTQAGKPMQVY SPWQRVWARILEEEPKLLEMSRLPEANDSAVRKHVKFSKLFDTKVPERVEGFECRDQE FMAKLWPEGTEAAKEATQFLDRFLHTKAQQNNFGDTPPISPDAENSDKDSRIQNYGEG RNLIDGNNSSKLSPYLASGVISVRQVLNEAKKLGKSGKLESGRETSVGMWVQEVAWRD FYNQASPIELDCNHNLTYYQVMTTSPRVSMGRPFQEKLADVQWETSESNLQAWKDGKT GYPIVDAAMRALNARGWMENRLRMVTANFLIKELMLDWRLGERYFMQSLIDGDLPSNN GGWQWVASTGTDPQPYFRIFNTITQSEKCDPEGSFVRHWVPELKDVKGKAIHDPHNHL SSAEFERLGYPAPIVEHKEARERALFRYKNVGEVSDEE L198_01042 MKLTVLLTAALLPLAYAGMYAQPVLHLDSKSFKKVMANEHAAMV AFVAPWCGHCKNLGPEYTAAAQSLSPLIPFYAVDCDDASNKPLCAEYGIQGFPTIKAF PKAGKGAAKDYNGERKRGPLVEYAKSLVPERVKKLRVQGAVEPGLEGFLGEKTELPHV LLVHPSAPSIPFLWKVLAHRYSGKMHLGFVRDTNSHDVLSSLGIFDPADATRDTARVV AWKAGADRAELVEYDGILKFNSLLEWLQSSFTSSSSSSQDTPDQKAPKSESSPKPKAK PDQDETARRRAKLEEAERRDQVRRAKAEAARAQVKAVLENAGKEASPVEAAPEAEPVE EIVKVDEPVEDEEGAAEPVPEEAPGAGEVESENTGIVHEEL L198_01043 MAPKKKVVEEKKIRLGRPGNNLKIGIVGLPNVGKSSFFNTLSQT DLGKAANFPYATIDPEEARIPVPDERFDWLASVYKPTNKIPAFLTCIDIAGLTAGAST GAGLGNAFLSHVRAVDGIFQVVRAFDDAEVIHVEGDVDPLRDMQIISTELRLKDIEWV EKALDGLKKVSKNLGSVSLADKAKKEEIVTVEKILHTLVEENRDVRKGTWSTKEVEVI NRLDLLTAKPITYLVNLSERDYVRKKNKWLPKIKAWIDENNPGDPLIPFSVSLEERLV RMADEEEKAAEGEALGLGRKNPSALGKITTSGYSSLDLIRYFTCGPVEVRAWTVRKGI KAPAAAGVIHSDFENKFVCGEIMAYEDLKEHGSEAAVKAAGKLRQQGKPYEIVDGDIC YWKAGQ L198_01044 MECSFGITGKDYVILASDMGAGRSIVRMKSDENKIKALGPHLAM AYGGEPGDTNNFAEFVERNMRLYNIRHHFPLLPHPASAWIRRTLAESIRSRKPYAVNL LLGGFDTTTSKPHLYWIDYLGTKAVVPYAAHGMGVYVSLSTMDKWWNEDMDQREGVEV LRKCIDETEKRLTIKFDFNVILIDKDGIHHVDLSKEDPIANIEANPKDTQVDAPRPPI EVGVTV L198_01045 MESQMDLEPITLLLQEVQAITSNMRRNQRWANSTASYGSHQSSL QPALNNKLLARAASRRGRPSAEHGEKGDLMDGFIELRRLLSAVKDITALPPIDLIAPF LALIRSPSTSGPITALSLTSVHSILTSILPLYLTPAPKTFSQSMPLQLALAHTTSALS QCRFPSSSPQQDELVLLRLLRVIEALTRPIPLPSDGPTPFELGNYLDYMGDESVCELL EVGLGMLARARLGEGVRSTAQNCVQAIVRNAFRKLRSMKQKDVEKLMKDAKEYETKIE ARETALKEAAQKETTAAKEAERQGESDAEPKEGAQRISVTIEKVDNEQPKAERSGSEG EEPLAPMFTPYGLPTILELLRVLMALLDPHDNNHTDTMRLSALAILNTALEVGGVHLG NWPELREGVRDEGCRYLFQLTRSDSPSLLAQSLRTTSTLFSTVLPHLKPQLELFLSYL IDRLTPPTPPPQLLNVRPDSQPGTPGVGGPTTPGLETTLEPSSAPATPKALLLPPAPH ETRELMLDTLTQLALRPSFMVDCWVNFDCNTDSEDIFERLITFLTRGVYPQAPPKADG SSPFFEGLDSTQFLSLEILLAFVASMADRLEQGDAPWPESAPRAEDLTSAKERKSVIL AGAAQFNVKPKKGVAFLLEQGVIVPDPTGEGSEEEKQNLAVAKFLRWGPRLDKKLVGE YISGPDKEPLLKAYIGLFDFQSKSVADSLRELLETFRLPGEAQPIARITETFAAHFFS FAPPGIADEDSVYVLAYSVIMLNTDLHNPQNKKRMTPEDYKKNLRGVNGGKNFEEAYL DEIHESIKEKEIILPEEHVGQHGFDFAWKTLMQRSRTSGPMIICNTSIFDEAMFKLAW RPLISSIAYAFTMSAQDEHVIQKAVTGFRQAALLASTYHLPEVFDNIVQSLSNATGLL DDTEDGYQMDNYPVVKKEGQELTVSPLSIKFGQSYRAQLATVVLFTIANGNGSAMREG WLQIFEMFQTLFLHSLLPAPMLQMEDFLAGTSTIPLKAAAPAPAPERRPEGGLLSTLS SYLLSPYGQAPEGVVMEASEEDVENTLVAIDCLSSCKLEELYAEILNLPGEALLPALR AIRTLAESRTTDKLQSPTEQRGETGSPVLGARFEGQLPYDPACVFHLEMMVSLASKGT QHIAETWPIIFEYISQLLSSAQSYSVLLIERAVVGLLRLCLVCSDHPELRDQLYIALD VLRSLPSTVLNAVSEQLMAGVALVLEKDATVLKSQTEWNLVIALFRATVAHPEASKVT LEIVKKMAASGSVSGDGKGAGVTVDNYAGVVALLDEFATQAGAAAAGRQQQQRRPSLG GPPSGSLGPTVERGLSALDSLYELRNVIPALMAASDLTEHQAFNAFWLPPLLVIGKQC INGCREIRQRAITYLQRVLLSPQILSGDESTLPTVFDRVLFPVLEELLKPQVYERDPK GLAETRLKAATLLCKIFLQYVVKLVESGSSEAVAGLFVKVLDKLERFMRGERDLLNEA GESLKNVVLVMNSSNLLLAPTVAGQPEVRTSAQIELWEKSAERIERVLPGFLREAIPP TALPAEAAPQQAAPAPEIKSEIAE L198_01046 MPSGAVVSEHSHASAIGTRILAAGGSAVDALIATTIAVNTLNPY HSDLGGGGFAVLKEASPEGAVKCLDFRQCAPAAVTAKLFKKLPAQATAVGGLAVAVPG QMRGFAELHELYGALPWKRLFQESIDLAENGFAFGGDLYEVSNPPNSTNLRDTWLDKD PSYASLIQPDGHIIPQRSIWKRPELAKALRKIAEEGAEAFYKGEIAEALVKAVRDRDG LMTVDDLENYKPIWREALSTKYKDFTLYAPPAPASGAIWLSAMSMLSRFEPEGYGTVT DLHRLTEALRLAYGQRTALGDPAFVDDAEEKQKEWLTKEYIERRKGMIDDKETKDPDY YKPAKVEIVTDAGTSNITTTDSSGLTISVTTTVGLNYGSHIMVPGWGFVLNDSMDDFS VEGRPNQFGYEPQVTNYVAGGKRPLSSSCPYIITHPSGRVYASGGAAGGSTIISSNTQ IALSLLAYNHSAAQALSNPRLHNQILPNVSTVERGSNVRGVEVKGFTEEQVEGLRKKG HKVQWVEKAFSTPCAILWTGDGWEAEGDPRKHDSGGSVYIEG L198_01047 MSQPFRTDSLRPSSLPSATLGRRRHYEPRASALDAPDGSENLTL EERAQVKLDKEHDRWNERIDKDVEACVDGLKELVQLADISNTQPNSLTATTLPLHLPV KTSSLIRSALNVRDMAHELKLLLLLSDDIGLVEARDKEKALLREEISAGRKGVLEAAM EGLGKGQTEEGAKGQENEMEVEQGDAEAQVDGEKGSSTGEPSERLPIEDAAAGEEGSE SGSDEFEQV L198_01048 MLLKRLHTKLPPNLAPFIPELESAGIKTTESLIFTAPSTILALT STITHVQLQQLVSVCIRLTSGGIMAANEMEYGDVEGPWRGFGVKGLDEMVESWDGVGV LEIAGPRRIGKSLLALHAVLRTLADIPEASCVWLDTEGTFAPERAVKILELWKVSDPH HILQRISVTPCFQLDNVHGALESLRIVVLDTIFTHFKDRLTNTSAQGHAELVSLMEDL SALSFAHNLVVLVINSTVTSFPTNPLSGFNKTNIKPALGTAFTYCTDATLLVQETGKV FGMMDEEERERVRRAPGLRALVEVVRSRVSPTGRWAVFDTVRIIYAFEVGADFGS L198_01049 MVSATSIVNAIRYPAETRAMVNYAIWMDHRDITDVTELPTTCYD RPTMRKCWDFLDLTSRSFARVIRELEGDLARTVCIFYLVLRALDTVEDDMTISNDVKL PLLRIMHTKLYEPGWTFKESTEKDRIVLEEFDNIQIEFTLLQPAYQAVIADICKKMGA GMADFAALATPEAPVAEVNTIADYDLYCHYVAGLVGEGLSGLFAASGKERSFIADQLT LSNSMGLLLQKTNIYRDLHEDVVDGRGFWPRAIWGKYGFNSMKELIEPGREKEAMFAA SEMVLDALRHATDALDYMTLLKSQSVFNFVAIPAVMAIATLERAYMNPDILRKNVKIR KGETVRLIMRATNPRDVAYVFREYARKIHAKVQKDDPNLLKLSIACGKIEQWSEHHYP SFIEVAGQGQGHVKSAIDPTSNDARAALFLQLAKKAQEAASKARQDKLMADLRAKGLI KGDGGELDEDEEARKRYEAIQSQQTTPYLMIIGVVLGMLTLMAALGGLTIWVVVKAFP EASLPNSYIGLHTNIDRYVVNKVRSLEGFFSVTMRMGYLDRTPPSTDDLVHLITPLAS RSASNASLALTGVSSILKASKVVSHVVPQHVIRSKGGRRNVVWNWVRRLLVEEVYAGA RVMWPDRVEVEDRESTVYISVSANI L198_01050 MTRITTLFIFCLSLFLLAGPASAAIFDDPGLSYCKCVCFTNSTI IPLYRPENPKKPCLSCTRQFCLDQKLAICKGAEVPELDTDVGTGTEGDVEARCFKRDS PRDQLFVTFFLLIVVGLLLYAAIRSRLRKAIEERGRPTDLRQWAEALIPEPLQQYSMA FLPGAQNRGDRAGGGMRSAGGSAGGYTPVSVGS L198_01051 MAERKVLNSSFPPDFDPSKIKRRKMPKDPQQVIRLMAPFSMRCN RCGEYVYKGKKFNARKETAQGEEYYGIKIFRFYIKCPMCSSEITFKTDPKNADYTCEQ GATRNFENWIENDPSGKAGKMPDAADDDDYDSDGNLIEGRGDGKDAMADLERAQEQSK REMEIMDELADLRQRNARVELSTADKNPDALLAALHAEKISAAEEARRKADEEEDDAL VKQYFSKVSSGPAPPALKREDGTPDGETGPADGDIEDTALPQLTIKRKIALGKAGEPT VASILAAKGKAPDTTGPSAAPAQAKRKREGMQKLLGIKKKTKA L198_01052 MASEDDALSSVTFGLGRILQDAGVDPSSLSSFLGDNSESTKGLT QVELSDDDNNAKFEDDISDAELEGGEEEREQRARDGEARKREEERWRKKGLELMKQSM AKEKAKKEKRKLEGAEVQEETELDKVLKIWPNFAKGKPLRMTEVVYETPAELGMWNEE RKKRRIEEGGKEKQAYTFTVAPPNPSLQATFLQSSLPPIELPNTNAPNYSKPIGRFFD KKWVKEAKERRRRDMMVQPPALKPEAEDDVEEIRRDLDVVDWEAGIVFNPLELPQKQI DILAPRNNFLESGDWLSDIIWDATRVVPELVESEDEDADQASKIPSTKTTKKGAIIAA TKDVVLDPFNISNDILYKASEGAKHIRQTFGAIEVFHSQPAKVLQLPFYKTTLTKSEA RAWHRPPLQFPTGVTLTFSKLKHNVSAGANVKKKTVSDPGEKFKTTKDLTLSEQGTFV LLEFSEEYPPIMSNYGMGTTIVNYYRKKDDNDDNPPKLEFGQTSVLNPGDAEPFLLGY VDKGKTTQVIHNNLIRAPIFEHKPETTDFLCIRQTVNGHVTYHIRPIKHIFTVGQTVP NESEVHGPHARKNTNTAKMRLMIIAWLLINKSKQKRFKIGKLLKYFPDQTELQMRQRL KVKGNEFLMYARAPGPNQGYWMLNPDYAFPDERQQVLEMCPPEHACLYEAMLAGARHL YDAGYKKTAEGGHEDEDEASLDIEQRLAVWSTTHNYKLAEAQKAWLMVHGEGEPTGRG EGFSFLRANMKNYFLRKGETEQGRRLEAEAKAGGNVVKISNAEQNRIYEEEKRKVWDY QAAALSNPTPPGITSDEEEAHRIASIPLMPGLAPKVVRGRDSSSRAFSRGTSLAYTPR DFDSPRERTRSPSTFSMDGAESSHAGGNPWMGKVLRIKRMVKGKAQFDIIRDPAVIAS YMKRVEEKKIDYYMNHPDELAPTGDAEEDAIRKVALQMLLDKNKLNQQRRLMRKKYQS KTLETDNMGIEGIDLEGKRKCGACGAIGHTKANRNCPMFGVNTGPASVGPSPSNTHTP GAPNSGYGPYTPIDSGAPSSQPQQTSFKIKLGGGH L198_01053 MSNSNENNKVVLITGTSQGVGLGLAKSYLERGWTVITAIRSPEK APKLGGKSILVKIDHSSLTDFKDAVEELKTKHNITHLDTVISNAGANDSGSLLLEADA DEFDWDYKVNTRGPLLLYQATRPLLKDNGTFAVISSLAGSITRDFLWEKFGLYGASKA AVNYLVRTIHHEEPALKAFTIHPGIVDTKMGREGGSNRGWDAAPSETVEGVVPGITKT IDDATKEETSGWMWMK L198_01054 MPDPAPLPVYLINAFAPTPAYSGNQAAVVIFPSDTHPKTQDEAF MLSYAKDFGFSETAYLVPMPGNGEGGVGKYGLRWWTPGVEAALCGHATLASSQAVFST NPDITSIEFFTRYSGVLTAKRIEDSIVITLPTLSKDTYAAFGSESAKDPKKLEEAADV FGLKTGNVESVETYSFGDRTSPIVQLSPETDITRIDVVWDKLLAFSSGLIIVTQLATD QPDDRLHINTRVFAPGLAILEDPVCGSAHAYLSGYYLASPRTSELLPQKFLEDPSKVL IGGNQPSPRGGRMTCALGEGNARLIGKCREFGRGTLSGV L198_01055 MPRQFVRLADRFSISPPSTYIDKPFLSLLPESIGADSKLEYKQV NLGNVEKHAELFKLPKEWNGRELYGDEVEKGFDVVFDLTGDTSFDKPELIQISHTYQV SFSLSTSAAALSPSLKPKAYVRLTFPFYEMKNLPSSSPGHKEEADLKPDGVRGVWWHE VLRGLGRLAKKEANGELGGLKVGVVRCGAWYGRGTWDGEVVPRVVAGHVYQYLKEEMK FLYNSDLRINTVHSSDIAQALYLLALYLLQTPYATILPSSPSIPFPFAPPSSGNSIFS SNSKRSSLSETWKTVSTIVPKEESVRLPLFNVVDEADSTQGSLAKVVAETWGIKFGFL SSTVATLVQQFAKTDFSEMVEDVNEMHVQAWSDMLSKSNPPIPSCPITPYLDEHAFRK RSICLDGSLAKTTLGFKPAHPTVDVGEIKKIVKEFQDDNLW L198_01056 MSSQVYILSASRTPIGAKDGALATVSAPQLGVTAVKHAIEKAGL EPKRIEELYIGNVVQAGVGQSPARQVGIGAGIPESSDATTINKVCASGLKAIMLASQN IQLGQRGVMVAGGMESMSQAPFLLPRNNPPFGAVTAQDSLVSDGLFDVYNKFPMGNCA EHTAKQLSISREDQDDFCLSSYTRAEEAWAANAFKDEIAPVTVKGRKGDVVVKEDEDY KKLLKEKFRTIRPVFQKDGTVTAANASTLNDGASAVVLASGEVVEKEGLKPLAKILGY ADAACAPIDFPTAPTLAVPLALKHAGVSQDDIALWEFNEAFSVVGIAATKVLGIPREK INVKGGAVALGHPIGSSGCRIVVTLVHALKKGEKGVAAICNGGGAASAIVIERL L198_01057 MSPPADGKLGKRESGTARILGSGASGIAELMVFHPVDTVAKRLM SNKTALSGQSLNTIIFRSAAQAPIGTKFLSLFPGLGYAAGYKIAQRVYKFGGQPWFRD VIDKNSGGWFKSTFGNKWGGMLMHATAGSFTGIGEVVLLPLDVLKIKMQTNPDAIRGR GLVKLVTEEGIASLYRGWGWTMARNAPGSFALFGGSAVTKEFLFNLSDYSSATWSQNF VASIAGAVASITVAAPLDVVKTRIQNANFGSQVGGATIIKEMIKTEGMGAFFKGLTPK ILVVGPKLVFSYTLAQSLIPFFGKYGTSLSPHLGKS L198_01058 MLPSAARRSLAGLIPPKIATPGASSGTTSARTAQVIDFYSKLPK GAKPAAERAGGIRGRYFEGKNASGKPLVAAIGTLFLLGYTIDYNMHLKHHKNGHH L198_01059 MSYHESDSRSPPSTSPADQSHEDNRSTLSFLFERTSLNDSDDIS HPANYNPNQRTPYPRRRESGADSPTWAGGEPDETIWMSYTAEGEPFVQVTSVGSSLRS PPDADPQSSPEEEAVHIHLKPVSEPDQVDNGQSVKPDPKRPALSRQSRLSQRYVSTLA SMGYTYSPGQGTSPTPGSSAASIRSNGRGREVEYQSTPNGAILDDPSDSEVLRNLWGE ERSRGTGGPAQILHSCVIPDVTSLVTVFI L198_01060 MVKTVPFQDIQVPVPGFGAMGLSMAYGPADDTESHKTLQKAIDL GCTFWDSAVLYGAGHNESLIGDFFKKTGTRDKVFIASKCGYDDPMTTICNVTNKPEHI RAYIEGTKDRLGSYPDLYYLHRIDPNTPIEESVTTLDELRKAGKTKYIGLSECGVETL RKACSVAKIDALQIEYSPWETTYEENGLIAAAKELGVAVVAYSPLGRGILSGSQSLDD LDETDFRRMLPRWSPENFPNNIRIVDEFRALAEKKGTTPSQLALAWLIAQGAIPIPGT KIRTRLEENFKGGDVDLSEEELKELRALIEKAKPVGERYMDGALQQLGH L198_01061 MTSNNQDKVILITGTSQGIGEGIAKHYLKQGWTVIGVNRNPEKT PKLGGNIITVKADQSSLTDFHDVVKELRTKHNITQIDIVIANSGTGGPGALLKNASST DLDYVYQVNTRGPLVLYQATRPLLKDNGTFVVISSLAGSLQRTFAWELIGFYGASKAA VNYLTRTIHYEEPALKAFTIDPGSVDTKSAREAWDAFGKPPVEASAVDDVIPGIVKVI NDSTKEDTSGWMWNP L198_01062 MLGSAPSDLQAHLAGIINPIDDQTFQYIWQTLVIQSNIEVILTA RPIAVVSEVDPKGKGKATDDTETLSFKTPIVVLDKVDGLQYNGIGNVAVSDFKALKEK WGSRLRVRCTTEEIHYQLTGKHQGTLNLSKDALSLLQLVAMARGKGIRYMSLVGLLGG GDWYSRMNMLVDNGLVAKVEFLDRNASSNMIVHFRYLHLNPQYLSFCRLNPQLQNTLP PDVAASLRQDLQREEEEEIAGGEEDEEHAEDEDVGEWDLGLAPISDMDIKSPHMVQDR IMRILDHPKLTNHLIRYRGLIYAMGWRSKTIQKQHRAIRKVITNMVDAGVVENLLVGD GKKQVLCLRLAKYGQNQEVVGREEVQEEQKSIEEIARLPLYKPMPGVMVTRTLERQLL ELIANAPKGIVRGELKRKLNSVYLRIVEKILGMICGEQGNRFPDQFWALAVYGAVIST GRQSYINYLTSFHALAFFAEHDHQHKVKPEPSSSGHFLDLPPHYYRSTQQFIDYVERG STDSDLEARMPNVPGKTKSAPKKRGRPSKRETQGGQATKSCEPENRGRPKSYVVVYND IKGGGEHGRERRVCGDMYHHPDVPDILLFSWTTGLVYPASDSFNPRTKQPPPPYEQWQ LDQGRPPAFYDQYPHAKKRSATLRKPKWLLEMEGSLVGGGGYSDYGAVGDGVRGDGIQ EDGDDGLRASKRRKTTMSYVEAGEEAESGPSGTTADDQSQSPPPPPKKPGKPPKNKPA TTGAKRGRGRPRKNPLPDLESAADPTVTLPDTGDMSQSAATDLQNSQTLPVAETTAGD VIPIEASGATESVKPPRRRGRPAKVTPATGASNTPDLDQPPRRSGRPSKSKGQDIGDG IPSIESSLLSEFSPHFGTDIESETFAAERISVEKNANVAEPAGFASRSIAVKELGRKR KAITPQGQDQEDDHGNSSVAVTPLQTIQPLPASPQTPVVSVVDEEVAAQSATRTKKKR KVNTGAEMTSRGTPSIGDAIRATQLYDAIRDFGGAVKKRDAPQVLHDYLAKTQGVDKA FITTPTYAQRMFNILIGDNRIRETKTKFPDHFMRHIDQTFYYVSDLPDDTLNAYIREQ AAKRQAPPKPGTKEPTLINAKYSDFARPSHPTKRYDGQSVEGSAVDFTDGRQFHLRES AHVVPQLYGYKLQRYIRTAILHRVVVEIASSPDSQCMISRSPPIFETAKLIGDIRIGD WFALLRYTAYQEHVATWLEDPAHREIKVRDVPAEIDTFSKPFLNPMGSQRFTVLFEIL DALNCVTPLVAAAGGAKVVSAGDADFQRSTMDLSPYYLLHSYVPVYHLAIEPDAPLLG IVQVKTAIEVERYWKYLREAALVALPIDIVQRVDPSSVQEAMPFIPVYPKVYKVTPKF PQSIKNAKKWEDKPWVSLRQETALKSAIDHHTATLREKTIKQLAWDVALPPDVAEAKL KQLMQAQVQVIAHRDEELRRIAVRATERREQARQSIQARLAEEEVATRQVWQDRVKAS ALGQGIDYTLELLDFLTERTKGVGKKESVPDAQVTYWVKVWEMCRGMSGEERQSLMAS RKVSLKLQGKDSAPKVVRQKKGRGERTTKVDDSFASGAGPSAASGAKRIRRKWSSEDD DLIIDGEAIIRARSRTNNYRGRACMTALFPEASSQTLRNRLTHIVSEPGMATYLSRLE QAWYEIWMKHRGTEVLPDDNIHSSVAFDIKAHLEYFRKSVDKRNLKLLATSVPVRQTE YAPNLPADIASLLQQHDYSYIKTKNHTFDTLADSQMAEDLHVSHLARTSFVMPRVQEE SIMDLALVENDRDTGKLTATLKSIIATPSISYDPHVGQRLLKFWQEPVYEKIIADLVD KTVIRKIGSGSVAGTGGRRYDFTHQWQQLSDGPLPGSFFTEAGQMEKKLEMAGAEGIE WPLIGKPGELGALLNDDAYEFDFKVTRVKAAPPLADIPLPRPDACKAPAKWNIVPDID DSIVTSVMGAITSAGQEGISKPSLLALLDLVPRQLAHALARISSDPMSRIFWGGYDTA RAIDCEYWSAWCIETRPYESKDRADADRSTEPRRWVDVYGKVMEKEWRRGCNAVIAHL ASRPGINQRSLARRVSSIFDRLELVDILDFLLQKGALTRRWVDVHMDTALPPVEATSI EEEEFVGWWPMTSALLGTV L198_01063 MDIDEEAAMNAGPSTTPTTAGDKSAAGLSKKAQKRAAKQAKMET LKPLKRAAEKERRRVRNAELHEGYAAGTLSEADKAIVERRRQIERERKEAKKRLDKGE QGDDWKGGVVVDLGFDELMADQEIASTAQQLGYLYSANRTAQHPFRTVIHTTFSPTAS PRLWARMGSSNWDKWTRCHWWNEGLDVLQGQLQGSEAQEGQTQETSLGAGGEAEGNTM LEKTMLSRLTGPNLPPDLIPGKHTLVYLSADAEEEITTLSEDEVYIIGGIVDRNRYKN LCQDKAEKLGIRTARLPIGSYIANLPTRKVLTVNQVFDILVQYLKFKDWAVAFEAVIP TRKYAATGGRTKRRRARLSKHAGEEGGDAEGGEDDEEGSETDEEPDGEAADES L198_01064 MLIRTIQVLTLKSTLISLQSLLCEPIPNDPQDAQVAKHYLSDRA SFNDTAKHWAQAYAQAPVGKSQGGAAGSGKKASDAELAGLTEENVASFTDMGFPRDRV IQVLKSKNYRGNNVNPANYNTVSELRQ L198_01065 MFGFGSSSSEAEIEKPAPTREERKQCWASRDIYHGCLDKNKVLQ AGDEVKRDGKGNVVAGSVCDGERQAYEGSCAKAWVDYFNKRRTLELRRLATIAAAERS GDAAKVDAWKSVPGAAR L198_01066 MLARQAIIRSSQTLRPIATSSFRPLVLARSFQTTPFFRNEAPST NASSSSSTSAALAEEPAAPLDAEYEALLRGQAVLGEEGELEEFVGGRERWYHVARSEG GELPVYSKFRNGGQVTTLIRKIETLRDQLNEFFLANHLDPFTSPPRATLRPTNGHIQI KGHYVEDVKDWLSERGF L198_01067 MSTYHPHTLNIFDYYQTARVSSAAVASSSTSRPIPSSADPATST HNDEAAYTGAASSATDYGPKTSASIDGSVANSTVTSVTTSSTPSTRRPPLTIGAAVAI AVVSSLVVVGSIILIVLYTRRRRRMNKMPGNVAVPKRKKEKGGGRPLERIETPVPKAV AQLRSERSLLPQPSPPRMSQRETIGVVLPTHPRPAAYPIPSYQSPFLDPPRSSLTPAT SRHRSESTYTDDSEFDMLAQDGSSYARTLSTYSEGVNSEYSERDLGTFVPMTSSSAHG HFSDTIAMSARPTLAVDTKSASGPSDEGTWAYTAVDSSSAGGSGPGSGTSGWNPLLTA TTPYSSTTRTLVSPFADPPSLPQPNTGSGSFMGPYLNHPSPSVVSDRSWRTEDDALLI ARGAALDRERSVREGGLRRGTTIVRHLDGGSVPGREEEAQDDEEVHLPPAYGELYPH L198_01068 MEHTNAEASSSKHPAPSHPRNNLSLSLSLTVPRDNSPRGSRGRS ASRRRDARNEPASARGSAVDLVLPQSAVSAGTARSGGGSLRVSERALSPKSGSEAGGS NASRRLRTVPPMAIFEPPTPPPSEQPLPPAEGQSPSAAADPFPTITTASSRAMRYRYS DSSSNQSSADDSSFDETPPWWTFTQRGMARIKHRTLKHKQGQSGKESDREDEGGLTEG VTEAESGREEGRPKKRTSYFSASRKSSKDTPGPSGRRTASPSRILGYNRNSAYRADSV NNTTPSLKLSNAFKKGSTTRLQPVATHLRPIKSNHGNESQTFGRRKPPLKRMDSAPAA TSSPTSPVFPSSPSPGLATLVDQPLRDPQAPIVLGGEVSGASPTASPTRRTARRQLTA PAFPRFFRSRDTGTGDEQSEETDHELSPLARPRPRSTLTSTSIPDPAQTNFNLTPGQD PNDPSNSPGALKYKRKGSNRLRINLPPPLTQHFANNFQNGWPHAGSWQDALYGYYEEP QKMRQGSTPAGGFAFGVTEEGAAGAGTASEGEGGSRKKKKKKERRMSSKAPGIQSIPP SPHEGDIESSAEQQPPVTAGGSKRTKAKKQKRFEAMVPPTPSGLGFTPRHSGEGLGEY PWNGMNGENDRMNGSGEKAGNEANEETLRADQPRGNTLALLHPDPAHLRNNANLARHT SRATITDTTVDGHDSNSSAFGYSRGGRNGFRLFGKRQRPNEKRMDLNLSWKKRWKRVL FLDARVTIWVRLINLIVVVIALGLSIQIRLNLISLSLPGVLGSSTTLIISYATTTIFH GLMAIYREYFGKPIGLWGLSSKMLWVCLDLLFVALWSSALSLSINDLIATPLECSAGA AWWRNGLASDYASLIAELEESAEAVANATLGDNSSSATTAISDNLLGVTSPDLISTSL GITLPTSITASHLARQVCRHQAACIALSVLALLLYGGNMVLSLFRIFETVRRTGNASR AVIV L198_01069 MAAVSLTPPSPTGPSNAALRSRLASPTISRNPSSSSVSSTSSIQ AAPMRPPPPETRTAATDKSQMGSRNASDAEDDAHYDGPRTGPAGGRGGGWTRNGPRTV TSAANLSQSPQPRYPIPPSIITSSASPSPILTNRSRPRHEGAGGSPTTPRGASFAAAP DATLDGSAPLRVTISIDPEDPHNHTRHPGSGAASPLDTRGRLTAPSSPVNSNRPLDGK PRTLSVDAGTSRSHGNRSRSQGRDRRQSHSSNGSGSHKPGPEDWIKEEEIGSGAFSTV YRVAPAHEATFPTSPRPPKKYAMKVIDQSFLVKHKKVKYAMVERDAMIRISEPIPMRG HRRGISSSSSAGNSSSTTVKPRKSSVNIIAPPSPNLSGHTLTGTTPTSGGKSHSRDRL SIATTSSAGSSPVLAASTGTNAQPSPGSGKALAGRRPSRSADPPEMVPEVNEVLLPTP IISEMEGRSMPPSPVREESAEGHESLHDSPQALQNPVVELGPALPSLPPSAMDSRSSS LATSDAPPLTPGARPPRTPKKRRQSLATSEKSAKSTQTVVPSGRARQHPGFIKLHSTF NDRVALYFVMSLAAQGDMYGLIRKWGSFDINTARYYAAQMVDSCEFMHQVGVIHRDLK PENILIDDDLRTKISDFGSAKIFIDPPQASKDIDKEEQGSKRSFVGSADYVSPEVLRD DPADTAADIWAIGVILYQMIAGKTPFRGATDYLTFQRVLRKEMEWPEGFDEDAKDMID SIFNISPANRPTATALKSHPFFKTIDWSTIWTCPVPDIQTGMRAPTATLANVDMNDIF GGVFDDDGDGFEYEYDDVEPGIDHHTGLRHSPFYDAESAARAVDSFDNPDHRNVYTPT NSNQLDPPRPTYAYNTSKPLHSRDSSEASKKGNGRKLGKGRGLSHGSESSGMTRNTLA AWLDSLRFEKKAGLHGMRRTSTSGFPEHLHGQKPDQGVGRGLWGVEPLSDEEINKWAP YLHPNENILCTNRLDTRAVNSRLPAFTGSWKPRQVLLTDFPRLVFIKADEDPAEEGEL LTKGKSKEKKERREREREEMKLSRGEAVFGDKGSLATKVSSVVSKNTRTLVVYTAAVD FWVHLEDAEQRDQWANVLRRLDEYMPLRFVD L198_01070 MRSPNSDMIVAQVYYARNAAVQADGRRPDEQQRYDYYSRVSAQA ELLRQQQRQHTKPDATPTQPEAVTSFSQPNNPYSQTNTTEPSPTRQPDTRPSYRSRRS AQAIARLKELGYDPQSHVFPPPGWKHIPQTDRTGLNHVGYFPMVNNAGVPLQREKASK GKKREQESRAKVERQAWWINTTSSGQ L198_01071 MLSLIALLPLLALTSATPLPRSDKDFYQGVKLKNEVGNFAWCLT PYNEHWHDGTNLTSNYCSEGELWDIHRGSGSILVHGTEWALDSGTAKEDGDWFKIWTS YPGIDAQSWYYTDDKHITVLGGTQCIQETIRGPRSFACNDDPYQVYTIVYPGDEESKE L198_01072 MSGRLASLSTPSRSRSSPSPSPSPAPATPLRQTETTHHRMLKLV ITEVKNVIRTWDEIIILEGYKAAKGCIDETTEMDNLLDVEEKPERPEIGPHLSDLYGH RVALQAAMAKLDNNLGKLNQLADQADKVLLGACSRETSDFIFVEPLWLTWTLEHFVNS ISSLIPLHTSHLAELTIITTTILDPATSFDDAKYSIEAWRDLASGGERWDGVREWEDL VELELTRGELVEEDEDEDDFKKKGKKRR L198_01073 MSTARTMLRRLPRPYLHNRTRPQLNTRVVTTPAARHVHVRAISF STIPRAVARAFRIPLYGAAVGAGGVGYANYKLEGVRNATSEMLSSVQDTFSSAYGSLA DTFSVTADLGSQLGSSIQGKLSDTASGVQNGADSFQQGTKDWWDAFTSQFSGGQDKSH SGGGNEGGRNWRPGEGPGEPNNNGPSGEEALMGLVGAAAVSKAEEEASDPFSSGGGGE HQLLQLTRKLIEVRSVLLSVDQSDALKLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGD NMVTRRPIELTLIHTPSSAASSSTTPAEYGVFPTMPGMGKITSFSTIQKTLTDLNLSV PPELAVSDDPIQLQIHSPHVPDLTLIDLPGYIQISSMDQPESLKDKISSLCDKYIREP NIILAVCAADVDLANSPALRASRRVDPLGTRSIGVITKMDLVKPEQGAQIIRGERYPL HLGYIGVVCKAPPTSGGVIRSFMGDRESPNVTGAVLKREEEFFGGENSRHFNDKQLFG TDTLRRRLMDVLETSMASSLHGINNAVQLELEEASYQFKVQYNDRRITSESYMAETID ALKSRFKEYTTQFTKPAVRSKLKRMLDEKLMDILEQLYWNDPRTVDLTKLGDDRRVTG DDLEPYWNYKLETASSLLTKSGVGRDSTTLVADGLRQLISSIATGEPFTFHPAVTDRI TEFSLGILRERMGITADQVENCIKPYKYEVEVDDREWALGRDRAEEKFGEEIKRCESK IGEIRGRVGGARKLGGLLRHVGELEKWEEERRRRRLSGGATEGEDTGDASILDAYKYS QAQIVDGRNALLLSNRLAMLKVRQQALRSRRCYQGPDQSAFCPEAFLAVVADKLAYTS TMFINIELLEQFFYQFPREIDSRILYDLNRDEIAKFARENPKIKQHLDLQERKDKLEQ VMMSLQSLVNLQKDTKPSATKREGLFTKFF L198_01074 MPSNSSVSPTKHTLPLPLHHAHSSASGHPHFPHLSHSRQTPRSA SPVKSSTPSATMRKEHSGTSGRGSVVEDMHGGLEPSPTLHRRVSIGKTRSKDKREKNQ EEERSSAYSSSPTKSPKRRPGVTITTSKDGISPTLRRKPSKGKERDMDREAWMRQVGS ATISLRAGAVEGLEDDDDDDHDDGSSHTSRTSRPNRPASSSFSQAQSLHRIHNSTFSA RPRARRARSNSLSAASRPTSSMDGSFYLPHYSMDQEYSLPKGKGKGKDDKTPKLELGL GDAFDNSFGEALRLGTEGKEMPLPKEALMMLSQAKEQLENTTGVKQGRKGSIGMGLFK ESHAAAGLKAEIKKIEKEKEKEREQVIEETEEEDVRRPRARAETTSSRNTDKTLGADQ SPSSPFPICGPSQTHYPPRSPSPSSSAGHVSPTEQGDENYQYVDDDEQFDEDDESWTT TSTESFESDPDARDWMSDGDYEDGEREQEDRMTVPLLPFGHAVGGHSSIYKFTRRAVC KPLVSHENIFYEEVEQLAPALLPFIPRYLGVMMVNYRRFYLSYSGYTTPVDSPRNVGS PEPFSSPAIAPRPMLHQALTGFSTASHASGHTRESVEIPEVSLDFNRHVVPEWLFRGE DRSRGRSGSARPWETSDEDSSRKMPRPSSTKSQEYARYGSGSPGSSWHSSTFGGGGSP SLRAPALSSPAVPKVIKENVVEEPQTPAPSPSTSLQQRGAHLHHASSTPAFHRSQTSD PTLSTTGLNSPHPFGGTGSTTVNTKLKDHVFATILKKLRRKGLGHRHGDDEADDEGDE GYGGSLRGSRRSRRHLSTSTSGGGSMDLRQQLQGDDGIRRTKSDVILTDHRNPLAMST GSAREQQRRHARDDSEERGMFDMEDLDDELPTLEIKKKDRKVPLGNALHPMTTVKDDG SSTKLAEPEAASAPPSLPRSAVPFPSITQPNSPTESQRGELKKELFIFMEDLTGRLKH PCVLDLKMGTRQYGYDATPLKKRSQRKKCDTTTSRTLGVRMCGMQASILSVWSNEDQS FASQNKYRGREVKTSEFTSVIRAFFSDGDTLLPDHIPVLLQKLYDLAAIIYQLDGFRF YGCSLLFIYDGDKEVQEGYSRKMGADRLGSLPEEDEEQGQRGKASAQDIQRPARDGIW QSHEQLKGQGDGRSRTASRRASSRSRSRGQDQPHPLSSSHSSARHQRRMRGEVNVRVV DFAHTTTGRDFVPFPADHVDPPNVGKGYDTQIDKATGLTMARFPPKHPGKPDMGFLFG LKSICDSLGEIWSEVKGEDGEELHVKENADVFEMAFPTGADLSI L198_01075 MADVDMNGSGKDFSWATKSVEGWIISVTNLHEEATEEDLKDFFA DFGPVKGLNMPLNRRTGYVMGYALIEYETRQEAEKAIAETDGTQFLEQTIHT L198_01076 MFDKLRAKINSHHDTHPLSKQRAEFLAIKGYTPLERKAHFTHEV VGAAAAYEAFKAFERNGSHDAAGTKITHAKSKEVIAGLAAGYVVKLVEERKLPFTSEK DKAKFIANAQIDATRDAKRAVRESGLYEHHELEAIDKDEKHALKVL L198_01077 MSPINMFTISLAPHQPYTLSYHLSTPSNQVAETIDPAYPTILFC HPPWIDGYIFYPQFEEPALHENYNLLAIDLPGHGSSHVDSAPSARYEWHRAAEAIYFA LEALNVAPVHVVGVGSGCLSALRLVLAHPESCENLALVGPLTEHEPVALDEAFKEWID SLKAAVAEKDKEAIYALNKFSIDFLTDQEGNPVIADLAEEYGQMAEARLDSGEMEFAL PYYCDLLEARNELPTQEEIEQLLCPLLVIEQSFIDNPTPPANIYTKAIDAINARKFRE GRVCSASRLLIEGTAPSRWMSLTYQEPVNLALAEFISTKTTLSLPPSPASPSVARRPS TPRDITGFFIPPPPKLAPGRKTLGEMMDELEKRGEGVNVEVEVLVQIGE L198_01078 MSFSRTLAHRSLRALTAAPLPVRATPLLLAKRAFTTAQPRRMVV SQTLHEAADRKSKPSVSEWAKNPIVSYEELKPITEQPSDNILVVDVREPDEVALGSIP SAVNLPLSKLKDALDSNFNPGDFQRAFAFPKPSPDQNIIFFCRSGKRSANAAELAGEK GYKSIRNYVGSWLDWSKKESQNKDED L198_01079 MVAANRRSPLTLSLAAVAMFIATLFFWLSAFSVPFIKGIHYIHT RENDVKFGNFGWCAGPPNTGYPGTVCYQHVGYDWSPWFPGNEHTTGALMLVALTAAFG TLAFFSLMHSIMDLRSGMCSFFLTNLTTFLATLSFLLVVIIFGTAHHRFKRDNLDPHY GAAFVLVIIGWLILLVTPPLVTIGWFRERRYRNRPEGYRA L198_01080 MPPYALITPFLIRALLHLPFPQTFFQPDEFYQALEPAHQKVFGY GYLSWEWTDLPRRVVEAAVGLGGQDVVEKWWDETVVGGRMRGWIWPGLFTGVYKILQS AGLDQGVMLTLAPRIVGVIVAGLTDYYTYRLSSKMLGHGSAPTALFLSLTSLFNAHLL PRALSTSPETLLTLMAIYYFPLPHPVPTHIEDLKRGARLIPVGNRGKSAKEAEEAEME ILGVEDSDATFWGVNELDYVVMDRTGPAVERWPVHKDRLALSIGLAALAICVRPTMLS FWTFLGINLLYRSFRSKGLLSAVTVAVVAFLSFISVFAASTGFDYYMTGRLYFPLLTF IHQNLFLDISSFYGRTTPLYHLTQSLPLMLFPIWIWWAKGFAAALLPSSILPASLSKY DRQEGMTILARAITFTITTLSLSPHSEWRFLHPLLPPLLLFAIPSFSLSYTPTILGAY FPVRSFRQYLRMDKIPFYTVLFAGVVPFVYLNVWHGAAQVGVMDVLRSGKLGEMQGLV VLGPCHSVPWMSHLHEDVPGWFLTCEPPVGVDASTYQTEQELFYADPVGYLYSTFPAP PSSNALTNLTSYPSHVILFGELLSRSGFLLTDETDDTGLQMKQSTVEQAFEMLGYGQV WEGWDGFDLAQDEDERKGGVRVWRKE L198_01081 MTGTSNNQDNVIFITGTSRGIGEGIARHYLKEGWTVVAAVRSPE AAPRLEGKIITAVEELKTKHNITHFDIVVANSGVAASGAFLAQASPTEFDHIYKVNTR GPLVLYQATRPLLKDDGTFVVISSLAGSLNKDFLWEYIGLYGASKAAVNYLTRTVHYE EPALKAFTINPGAVDT L198_01082 MLRDSLDAIGNPPVELDAVEDVVPGIVNVINTSTKEETSGWMWN KDGTKADF L198_01083 MTSNNQDKVILITGTSQGIGEGIAKHYLKQGWTVVAAIRSPEKG LNLEGNVITVKADQSSLTDFQNVIEELKTRHNITHFDIVVANSGTAGSGALLTKASPT EFDYVYQVNTRGPLVLYQATRPLLKDNGTFVVISSLAGSLQRTFFWEHIGLYGASKAA VNYLARTIHYEEPTLKAFTIHPGVVNTKMARESWDDFGKPPFEPETVDDVVPGIVTLI DTSTKEETSGWMWNNDGSKADF L198_01084 MAPVSNAFIYLTALFRPTLLRPHLRVPSIANVDFQALKREGYNA VVIDKDNCLASLTLPNKDYIWQPYEQTAWKDLLATFDRGRVLVVSNSAGTSKDPGGIA AEAVSLHLRAPVLLHATPKPGCSKNILSYFDGKLGKPHTLRHDIASAGLKLLEEEKLD EAIVWERLAKQLEGPLLGAAPTNGHEEKSEGKKVVEGGVDGFKTSPSQENIQPQIVKQ TPSPEELRILVIGDRLFTDTLLADRLSRRLRPSLSKDTIPHPLPSVLSIYTTSLPKPQ DVRPLRWLEEKLSKGQTQGDFTRFILSEKADTAALDVSEAIKPSRLAALRWLTPTKWR EIEVPPMTWHPKTWKLVPVAAAFGGLTGTVARILWTQGKRGANFTWIKARRWVAQRKE DRESLEVAKELETSTASRVSEAREEKVAPTASS L198_01085 MASTRTYSEAVSLLNTCQSNAATIEAIRKSGGRLNEYAVAEMHD YLRRIGYKPEDLNALNVVHITGTKGKGSTSAFTERVLRAHMPEKKIGLYTSPHLCAVR ERIRVNGEPISETEFSKFFFEVWDRLEADPKPLTERTPQYPVYFRLLTLLAFHTFLSL GVSATVLEVGIGGLYDSTNIVPKPIVTGITSLGLDHTAVLGNTIEEIARNKAGIYKKG IPALSVEQERGGEVLEETARANGAIFEVVPTIEPTPLGLPGAHQRINASLAVALSSKF LTLQNYPFQPAQPPSLIPASFIKLLASAHWPGRCQLVEQGKTTWLLDGAHTVESLRSC GEWAWGLEKKVPEVLIFNCSGGRAAESLLVELLEAGARTRGRNRDEVASKFDSVIFCT NVTYTDGHFKSDLDSKAIDPNDLSQLATQNALRDAWLRLNPSFPADRVYALPSIQHAI KIVRDLEDKTVLVAGSLHLVGGVMEVAGLQEALSME L198_01086 MTTTLTQNYMAPVAPVLSKQPPWTEQNFLQSRTTVNNMVRTLDP SAQLPYVPDMALPTPPATTASMLSTDDAHPALANVVDMPVHQLIQQTIATSTGVDLDE SAFFAADLSAVYQSVQRWRASPIGSRVEIFYAVKCNPSPAVLHLLSLMGTSFDCASSS EINQVLALPSAPAGDRIIFANPCKPASFIRTAAQKDVSMMTFDNADELYKIKRIYPNA KLVLRILTDDSKSLCRLGLKFGAPLDSCPGLLKLARQLGLNVIGVSFHVGSGCKDPMQ FADAVWRARKVFDMGKEAGYDFGLLDIGGGFEHETFKEMTEVLNDSFELYFPASSGVR IIAEPGRFLVSSAFTLATSIIAARRAIGAEAGKEQAQAVAQEEAKSADVMYYINDGVY GSFNCIMFDHQIVHPHPLTIAHERAMVEPPFPPLPNVAVDVDLPVQMGYTDVETASVW GPTCDSIDCVRQLVDLPRGMDVGDWIGWSEMGAYTLCAASTFNGFEKSPVLWTTGGHS EDALAVRAILDSYNATFLR L198_01087 MGGDHKCPLCSATFTRPQHVGRHLRAHTGDRPYACKECPLKFAR SDLLSRHVNKAHRSPDVGPVDKKTSKKGRRKSVPASAHSSLERDKIIAEQQQQQQQQD YQRQQALQQQQQAHAQQQQQAARERSRSESHVIQQPQLQAQRMYPHHPLLAVHPVPPA QPGVPQESWNANPSGSVGTFGMMTMSPQPTYGPSVGRPMPVNPPVHSATYPLQPSFDP PFTAQPLKMSGSMDQHNPSQSMYEWDTKKRACDQCNHSKVRCDFADPCLRCKQRNLTC SYRKPQRPSSCMGPPLPPAQVAPVPSSSPSNMSISPHSSNQPSPQFTQMQMPSIAPTE PVVYRKPSVSSLPPNLGNVPNPNPSPVMSHGPAHAIGGWGSFPQTTVGGTWPSNMVAQ QSLSFADNTAPGSITGQAFETSPNSLDVPLPAPASPIPPNANASGKISPGRMGLLQTP SLVSNTDSPSEMDEPVERRGSHNSAISAASSSAPAPFWTKGKGPEGTLGFQPNMPNIH THQDISPSHSQSQLPFLAGSGSQLNDPQGQQQWHMRDSFSGSDDTSSILSSSVTSSCM FDPNSSVSGASAGHQPGHARRRSSQTAWAHALEQMTLGESQKQALAASMREGSESAVS AHSELSPEGGRQPAQLQPEGQQIMPTLSDVKDLWKVFMSEPMDLTGLAPVAEGDGSGM DLDHTHEITPRPGLGKRTLSKSNSMPDLQSPMINGPNFFSTFLNGLTPKASEAHASQS YIGVQVPSEQGHGQGPQEGGGSIPDIGSWKDQIQQRQSSFSLGAPGSRLGKSKLKGSQ SALPLDTAVPPPSRPLPSVVQRTALDQTLAPERIPSFGLTPGLEMSTNPHLASKLLQS TTPALTGAAGLTSAEAQAGSKRMASSSLANEHGKRASFSVWGEEEPVAGDEDVQDPAT GEPLYAGARDKVPLAGQQQGLVQGWAAA L198_01088 MKAARRVLWSSHAELSELYDYLFAPGADLDSRRRGLARMSIYIS SPSCPAFIHLLHSLVAAELLPFPPPRGAEESQRMRMMMAMAIVRFVNGLVDPLQTGSY ARPISHLAASLGLPPSLIALRHRATHEDLPPLPLLQQAIAQCIFYLHQNSFLPLLSLS YNNGQNLNGVMSERDVLAKKRIDSLLRRWKKVMKTRLREKEVREEDVSGLEMRKVRRD LEGEVSGVDGIIKSLIEVGSLVPLAKRQVKRASPQSSLPPTPSLKIWLPLLTHLSATT PSFPSFLATSILDLLLNPSDLSTNLPAGRLGFNAQAEMITLGEQQEDDAREEEESYRW GLGVWVLYLWREDAEVEGALGLDAEEKTILYRRLAIALLHKHDDQILVRLHESLANID ENLYDVINSLSSALPDPEEDKGLDGLAMDVDDDELEKGLGEMEKRLAEFERQNPPARQ APRETTSRPSDSLPGWKRLTTEEWTPSPIGSAMA L198_01089 MAITHAKGYAIDSPKDYPNFYLKEYELEPLAEDRITVAVECCGV CGSDHHTVCGGWGPFQTKFVVTGHEVIGKIVEVGDKVTEFKVGDRVGVGAQVGSCGEC KPCKGPNAENYCVKPVHGYNSHWHDGSEHHGGYSTHVRSSERFTFPIPEALESTRAAS MLCAGITVFAPLVRNGTGPGKKVGVVGLGGLGHYAVLFGTALGAEVTVFSRTDDKKED ALAMGAKNFIATADPNFAKGHELEFDLIIVTASSNKLPINDFLSLLDIDKKLVFVGLP EEGLANITSQALSGNAASLSSSHLGNKSEVKQMLQLAADKNVQPWVEVLPMKDATKAI KAVENSTVRYRTVLTQDIE L198_01090 MTSLPAPSPSRNPFAKPALATEGASFAKSAILPTSTKKATLVPG DCQTPIEKRVPNDFGSAQGTRTSWRLLWRGGLEIGKEGWRLDGITFFAQLSFPQPTPS KNPFAFPTPPPSFSPAPSSPFHSLPGGTTDLCLSLESMRGRKYLQVRDVVDLPDGEVL EEDEDVAGSGVQVSISEQAPLLAGYVIGLLGRDGSLSANGRTKKAIVIGLGDEEVENT LKSTILVYGQLQSSLSKESSESTLRLFVGRRKPPPPPPSEKKIRPGEPLPRAPLFIPA DPKKPFRPFARSFSRTSSTSQTQPSIYAPPPSASVPSIGMGVGVGKGKSGQIAPVSGR TPGRRGEKRARLGEAGREEDRKRRAGKIVSQPRLDDRVERRDREGSVRVKEERGSSLA PSEHSFRAPSLSRQSVPPVSAFEQGPGDEEGDEDDIFGKRASSMAPSMSRVSSAGGRS EVGPSVHEVGTAEASGRKRVRVPQQVLDNKASIRKQTLLLLELRGIPRTHDLFKDIFG VTTKGVYFVFRDQLQEYPVSKTDIQRIIHGHLDMYLQSSLPSIPPLPQGEGQEEALKS ILEVKQEELSKSGSGVTLHLHEHGDGRVKLEAVVEEEEIEHL L198_01091 MPPKANPWSLVELKSGVTFNGHLVDCDSFMNVTLREVYQTSADG DRFWKMKEMFIKGNVIKYFRIADNILEQAAEDQDKARAASRQRGGGRGGRGGPGGRGG RGGPPGRGGGAPGRGGGPGGRGGFAPRGRGAPRGGAPRP L198_01092 MAKVQVSPFRLALLQLGGLTSSKSSNIASARSAVAAAAASHPKP QLIVLPEIWNSPYAVSSFREYSERVPEVGSKWSEEVKEGGEGETVRALREMARSSGCW LIGGSIPEKDDKTDNIYNTCTVYDPEGTLVATHSKVHLFDIDIPGKQTFKESDTLTGG SHLTTFTTPFGKIGLGICYDIRFPEMTMIAARQGCIAMIYPAAFNTTTGPMHWTLLQR ARAVDNQIYVAMCSPARHPDAAYQAYGHSSVVNPVGDVVVEAGHEPETLYADIGNDAD PEQLATTRRNIPVTLQRRFDVYPDVAAGKE L198_01093 MKFSGSALIATLFLASSAVAQRFTTTLDVDDGNTLVLSRHTNAR GATVTSTISTIGGDDDTSTSTTTSADQDTTTQRIVASTTSDAPMKTTTYWVDTGNGYW TYYTWTAPTTTIPTVGTANVAQGSVADFESYQSGVNAAVYSSAAAQNDGHRRAQPVGM DGVFGAWMTLAVGAVGAGLGVLAL L198_01094 MPTSPSHPSLVWPRSDGDPSRWPQVSAPSDTDWYFQEVPETDGR WVYYEQKTAEVLCRHLGLDVDVTKQRMPLPDGYKVYAHRKRQGDGSLRTDYYVYGSTT VLRFRSTREFEQHAIWLFDPSIPLEEHEKCGCPYGKSKRSSRQSLPATLKRSRLSAAA SMSSAPGAAKRPRRSLGAIEYRHHNTPRKRTQRQLTEQMSDLDDDIEDPEGPEIPPTV PDRANELLSGQRFRRGELIWFKCGPFTAPAGTDTQGVGPIGLWPGLVSSYDHRVRTLS TTTRNGDMTQVILHYIEYHIRPLGCLDPKTEVRRDAKDLMPWLGGTGLFEGGLDQYDV IGDQAMAALRRGANAAAEQILSTGAKTRSEFEQMIKLLPGWGDKWGKPLKFEELEDWD VIVMRLAYGIRLASSIASCWTQTDKITPLEDDLDLTEEDHIAVKNHELFLYQGLYSGG ERIWLEDMVRIKKSRAELFSESHMLKGSNGSDSRCMTLIIRKICVVPQLELSAEGKRE YRCIVYGDLFELEELESKSDVEKLVTATGEEGMGQKPVVEAYTPPKGFRYRKLNPDDS ETMTDVCDIAGRMYPDLLDYDTQNWLIDPLNPEVSKGRQTPDDHHWSLMGLRPGLATA SISATWKKDLANVVFFAPRTTWEEMTKYYERLVRDRLELDKLEG L198_01095 MIGLKGVLNVIDNTGALTVECINVLKVKTKLKSTGFATVGDEIV CVVKKARPIPTNEVVKNPNASSNIQKIRKGDVRRAVVVRTKKTLQRPDGSVVRFDDSA AVLLNNKGDMLGTRIVGAVAGELRKIRGGAAGAGGRWGKILMLAPKVSLCRV L198_01096 MAVKKTTTVAASKKPAAHPTFLSMIQDKSQLTHSLLQECITNNK EDVRKGVSRPAIKKYLAETFKLDMSSAANINNLNSAIKRGAEKGELILPSGTAGKVKN APKQKKASDDKENVAPTTKKAAPAKKATTVTKSASKPAVKAAAPAGKKPAAKKASTTT KAAPKAAPKAASTTKAAPKKTVAKKAEKPAAKKTSAPKKAPAAKAAAGAKKTSAPKKA PAAKAAAAPKKAKKVATKA L198_01097 MLSLIPKTEHGSVLRKVAVLLYSGQVEASLKKLRTHYIDLLYVY WWDYSASIPEVMLSLKDLVKSGKVLYLGISDTPAWIVAQANEYARQNALTPFAIYQGN WNVGKRDMERDIIPMCRANGMSVAAWGFLGQGKFKSPDELKDQTYWRDGVPPTEAELA VSQVLQEAADELGEGIRPANDMLNTPDKWQGADGLDALKIVLTETQIQKLNNATPSAW GFPFDLFGRDPHYLPGGKPESYGMKSVSWNLCSLALSLLITM L198_01098 MATRQSSSNTDPGVANESVFDESAEDSYEGDQERPTQRRLSSGV LARLEEDAEMPAEHAWDSVWPAAVVVRHRSSTLAPSSDAGPSRFPTAPVSPFVTPSFS PSVVSNSTVSSAGRRPSSPSHDAYHRSSQDNTTSPTRIMSGQSSGGSSRWSPRVNEGL VSPTFGESGEELKGDRRRRRSISSGEAAPLHAPSPSTGPVPIASSSTSKHGRSLSTSS SNTPSASGSTTPQTAASQSFASSTLAAPANKGRTRGHSLSSVRNASSLSLPVESQARA PSPLAHLAHSSHPLHFNLSPRPSPRSSPRSSPRVAPMGSPPIERPKMNDRLGSGQESS SLPPIALPPSIAPHIPPIDQSVHASPVHPRSPSPPLFLPLARPAVLRRAISDFDDGNK LRRGAGTLGGSGPPVLQRALSDTTEGETEKVRRLTSESLSPGMGTLPLPAAGGSTSLR KEPEGLAQKRKSPRRSPTVLPMLQVPQGNEGFESVVESPITMNLQERAELERRKDQEG KEKLEQAIGEGTIEEHPEQEQEEEIYAQEKNPFDQWPGEPVEPDHVGDVSLMDEGDVL PSADDIPQMDVTFDDEGLNTLERIFLLSKSEYSFHRAYVARVLGDLLNEVDPCESVEY VLPLLSGFSMDEDLSVRMAFAEELHRILWYFYSTCRLVEEEVDAEGYGQEADHAEEDW AAGYESASSVKPYPASAASPQPVSASASPPRKETVTVTSEGVSVVPTPTPAEAAEEAV VVEPKPPSRQASGSIPANASAGPSSQGSDKQPQAGNSATPSPSEEEFGTPGSTLSEET AYSPGPIIKPYADDGSGVSKNELGVLVDRPALQVGFFTPLIGSLLLSADPADKDFEIP TAVRSGVVALLSRLKGRGEQGGDLAVETWGQAALAKEADERRTFQTQTGPHQHDLRPF TREDREMVERELLSGIIIGMGQLSTEMPADFDFTQSSEGDLNPPDPEAFQAQLLHEAT TGRATSVNLIGQVCEFYEEAEVVGHQFVDEVLRSWDGDATVRAEAAEAMSFLVKKVPA EQVSQMIPLFEHFCGDENDYVRQSVCRAIPPLCKRIESMDDRRSFAVKAMETLTNTRV APDSYFAPGSSGQDDYVRVAALEMLGEVIYIFHEDSRGPPKALLDIYRDDSEVGQDDH EAEWDLITMFNFPGVCLTLGSDGWHEIRELFHRLVDRAGDRVLRIVAAFLHELAKILT PAQVVQDILPVYTVLLVCGEDIRERVFDHVEIIVDAVPIEYGWELFRNLAQAWKDGTL GGWRAREKLALHIPSFLKTFQYWNGVSEVLEMMRSAFVDPFAAVRDAAILGIPAAYQV LNVEVHSQSAENFRQILLDLWSSPSFRQRLTFVRCLRQFCKPPPNQAAFEEFFLPQLP RLAKDVVDVRLGLAQGIADLFIVGAYYELGSEIPDIIKQLAKDLSMDESADVRNAVME VEVERLEKGKGPEVLHPTDKPENHVVDRASRPGDHVSPTASYNNSISDSKSPGSRMAP VSATATPDPRTDSPPMSARQGPTINIRRPTEDLSASKSSQSSLSSSYSMSSSADTMWS MPEDSNEETPRLSQYEWPARSPKSKDEDESSYGGVRVRKENDPFEASFSQEPDDL L198_01099 MPLHLPKIIHRRSHSGTESPFINNTRSSSDDSQPLPGTSPSASL QSAGSPVLASRSPRPVSFMEQSRRESSQSGSQLHGILRNGAPSVNGSDSASGNGSSGG SALASLHKRMGSLTFNRTNTIETMFSLDGDTDRDEHPRREDSTEPSSPSTAATSVSSL SQFCPLPDTQGKGFPFFMMTLSSVSTLSFVALPPLLRTIVLDAVNRAWKRGVSKIQEV DYQPELMRRHKEKGCEGGVWEVTFRGEAWMPTSSEQVSSKRIILNLLTEFARQGYSLT SSFRTSAKDSGKDSLVFLRSSAPPDPEPVFFAVAFYSHDRIWIIDAEAEVGQAVEEGI KSWWMDGVRDARVRERHCRELRLRGAPWSAHSTQGLISSRVIHLTIMKIITQRAMGYD FVGSVDMADKEEAEMPVTFYRRRWGPSTRAVWGEVSEETTG L198_01100 MSTITEVEQGLVERINPEEIPGIFQEITINADVVKTDKTQLSYH TQSFQPLLDEPETDGYDFMRGSIAGSRMFNDNRRVRLVLEDPMPPMSSVNGQFPVYEE RNGTSVIDFELPTLGEDSEQDDYPYTLQSDIVLALPHEKYTIPTDVTCFYSQLTPSER AAREEAQQGSWIKAVETYYVSREKLEVLSDMPVLKPLLDDIDGLALEGLASGALVIAD GHRSNDYGIEQENGRFQISDDITLTRVDEDGLRQVHTTRATYTPYQDSVTRPDASDDF HHVTCATSGPDTISRLTMLVPQRVSLDATLAEGARERRRRLEEDVAGGSCAADLRGAW L198_01101 MLTQTSTPPQSISPEQHAQLTSSTPASFTDIPPVLRWEDDAEVE LSSLSGGWEAWGSQGTKAGGRLYVTEESVAFIPSASATPGFNLPYVYLTLHALTPASA NGPAHLYCQVDESDAPGSDAIQVDAPVNGNGAVNGEAEDEDEDEEVEGEEEFTEMREV RIYLPDASKLESLFAALSQCSALHASLLPNGEPSNFFGFGADDSDGEGEDGQWDDADE EGQDETGRIDKRIKVATAEKVEDKKAGDEEGEVVDQMERFLNMVDWGNVEKPKGWDEA A L198_01102 MSLLARTIRPTRTISAIPRRYLTTTTPLFNTNSTTSTEESSKSD QPKIQTWFLQNKTPSPSQKTTNQIPIHPLPPTAPAAVQAFHAFLTEQAPSEASEVILP ETLQFFDTREAVAALEAAELPIGLEVDGLEDGLGDVGGAVKLSGIAGTPTAPGALDLE PAPASSFPRETRCPSGSKNREAYFRKKGSDVGLPEDGDLIDAEEGSGPAWEWVGVVQV KGRGRGVVRRADGVVRRWLMKHPLASHVEPITIENPKTPRIDPDADWSIISVKGTRIC LNLLTEAGREKWRLEDLWGIKP L198_01103 MSFLRSSLNLARTAAPRRTAFAATATPLRAFSRSALVMNQKPGD DGYEEHREKVEPRIAHVDESFTFEHPDQFVDKHPGHDMQQGDYGRHTKRTLASFSMEG KVCLVTGAARGLGNMMARTFVESGANAIVLVDINEAEAQRSAKELEAWFVENGQAKPN EIESIGLGCDVSNENDVKRVFAAVKERFGRLDAVVTAAGIVENFVAHEYPTEKIKKLL DINVMGTWYCALEAAKLMPDGGSITLVGSMSGSIVNVPQPQTPYNFSKAAVQHMARSL AVEWALKGIRVNSLSPGYVLTNLTKVILDANPVLRDEWLHRIPVGRMADPSDLKGAVI YLASDSSKYTTGTDMVIDGGYTCL L198_01104 MSSETDVIIIPTFPPSPPTTSSRQMSSSPEWFTTPVKAAYKPSP LSISIPLSNFASYGSQTARRSSSDDETLSEVPSTPPLSPASTIGSFDMCRKGSNSSSC SSGSDGDCDEVLVTPGPRPSTPRRTSGYFDLEAPSRKSKKTQRKFPGVPVTGLIEPKW RMEEQMAQKKTSPTATAKLLEPFKMALPTQAKPEPKYTLDEHSFVLAHVPAKSVSLSA FRPRMIQVPRWQRPIVIVVMSVLLFGTLCMVSFFQQSIVSAERAVVIKQGEWLAKNAL MAQAESDLMVESEPGYKSASPKHHSLKVQAKLAKRAAAGQSPMRVSLEMTKAEELAAL MNFIVGTTANTLPEIDLEDSTSLEGFLPFNPRSRNAKAELAELVRSQWEDYPIMVLGN MRDPKMREARALFKKYNVKPSPFYVDIDQRTDSSVLSSTLEHVLGKQEGPYVLLAGKN IGTTTKLVEHEKKETLIETIAKTGASIAKRLKRNKHQREEERRENERVLGPKPVLMA L198_01105 MSPAANIKPFLPSSRPSGPVFIALNVLRALSMIALILVFASNTA TMVSDIKAIKNPATDDDDCDYIEYSSVPDQIGGAFWSILNRIFILFECILLVMSEIGW PRRLFEYYIPSLGPAYGLGCLGVFQALIGAQVLSHYCDLFPQVSSWILFIVGCLNILA GIFLREKAKEKRLIFSWENVSSLTPQTRMAATAWDMVSEKRKPSAKDPETNLSRHNTT ASDTPLVPDRTTPGAKFGGFGFGKQGEKAAAERGWKISRPTDALPRYAI L198_01106 MSILSYFTARSPTIQVFLIALATRIIHLSILHLLTRLVPLFDSS PELLQHSPLPGLRWDAIHFASVASRGYEYEQQVAFQPGWLGIMRSAGEVVRWVRGAAV VDIGDVVLGGTIVANASTIGATVVLYKLSTHIFDPTYAFITCLLYLLPPTAILGSSYT EPLYALLTFTGIYMLAIEKQMFISALFFAGASSIRSTGVFNALFIFAYYVCGSVALDD PSDLRLLKGVVLRTAETMPSNLLVIAPFVAFQWYTSVGFCTSEAMSADTARPWCHNDP RLSYSFVQSLYWNVGPFKYWTLAQLPNIALALPILYSSFLGTSTFLRSFFRSTIFPNP GRTLPKPARPLPELYLVHILTMALLLFASHTQIALRVSLGDPVVWWNVVGLAFEWDKR EKDGRLEMTQLGRCWVGWTVVWGSVAMVLWAGHYPPAW L198_01107 MLETTIGQLPARQIERPFQTQHDLRQRQERYNQSGTEDLESEYD FGDEDDMGELSDSYSSVHTTSRPVTPSHPPPTPVHITSSSSSSSSPKNDIFKLADKAE KILGLTPGTLPYARANLESAREQVRRTAAFPSPEPVPLSLSPPPTGEDAEPKSYFDMG GKSKKQSKMTRARKAMSVTGLGGLAPGKIASVVIGTGGKEEEERHERRRKATDGVLYW QREVARLVEEDATMNATLKRRR L198_01108 MSSFARFQRTFQRFRPPPLIPTAVRTIQILATLHLVSSTLAELR ICSGFSMLPTLSQHGDCVLVSPLSYWSLLSESHKKTRPQRGDVVVATSPMNPSQAVCK RVLGVEGDLIEIEPRRGGQRKWIDAGGHGFLVDIPDEEAAMDNVLVPQRHGEGQWVKV PKGHVWLVGDNLSNSTDSRKYGPVPIAMVKGKVLARVSSAWAVDALA L198_01109 MSSNYGPSSYLKKSSKAETGGSVSEIGESVGKQLEALDQKVADK TDELLQELDKMSNRIDALKSSTHTLVDEPIDQQQ L198_01110 MASLSTRLLQRPPQLLSRSIHTSRALSAPKPSLVPPPTALSALA SRLSLQPSPAVSTSLITCLTHPSYLAEQTEDANAEIDFSETSESNELLATVGNSLIGL FASEYLAALYPYLPTQALQSAVTAYVGPAACVSVGRELGVAVQGGGNAGLPGTGKGAN SAGVVVRWSRTALAEKNWRDNKGKAMEGLKVPVGRRFQKFLKEQEQEAAAEAQGEARG KKQQSHEDVIASAVRSFVGLIYQEEGIHTARTFVHAHFLSRALDLASLINLNNPLHVL SSVVSSHLASAGVPSSSFETAIQPRLLASTGTNSQAPLFLVGLFLPSGLKISEGHGSS KAMAKHRAAVNGLQSIWFTRGDQPGAEVLGIKGLGKPFGEYGEGLPSSAHEDWVYEEG KVIIGEEESEFESIEWGGKEVLPGSRR L198_01111 MDPPEQPPPAQQPPEQHPEADPPQSFFTMTIAVPGLPPPSPGPG QEGDEPAGGEHNGPGARAMIYTFQIGAGPPPGEGEHGNANHPPLPPDFFSQFAQPPGA PAPGGNAPEGADGAAPPPAGGPPAWLFAPFLEFLLPRRDPQPNPEKAAELLRSLPTVG KRLLKRVDNVVAAQDVDSYEDEEERGWKCGICLEGMSARQEEEVKDDKKVDETIKRGE EKGEEKGEDTTDKKEKTEDKKDSQEEPKKTTGVKALPCNHLFHGDCLEPWFATHHTCP TCRLDLDPLYTLNSPPRASRTARTESIRPARTGAGSTRASANAHPYARGDRSEGSERP QPPSRQASSSSIPPAGPSTTTPGAAAGDGSEQAPTEQRQEDGQPQRPVPRRSQTSHHF FIFSNSPFPPTPRGEQPPAPATGAPAQHSASGSVPPPQTANTESTSSADAPDASSPAA ASTSAPSQPEAASLERSSAPEPSSRPGSPHREGHEDNNGHNAAHDLLRQLDIPSLLDG LFGIERPPAPPSTDGAPEEGGQEIRASSAEASAQPARSASAPVEGSGPAPEGNTGALP ADANPAAPNGAPEPDAQHRAHMQMIQSTMDLISRMARAGGDRPPPLPTGQQGGPGLPA GRPDGLPAGLPAGLPSFLFSGPPMPRAEGEGTPGGSRSGTPGGEFPSMPQQPEEPSKP PFVPQSLESWTEEKEKVLGWRCEAPECMYGPTIGEEDEDSEMPAVEGEDKQDKEMINI FSPLQPSISLEAAKQAHVDDHQFTILACSHRWHRGCLEGAARSAEREIRDDGEGKGWV RCERCRNDGWVVPRELEGKGKGREVPIKASATTSVVGKKRNREDDDE L198_01112 MAPILETAVSLLALAGTVAEATPLLKPGRHASPGVANILSSSKR SLHNLLARYYGSTYGFTKPSPLPEKRDTSLPDGWSVFGCVAESTDERLLQGFAFSSSS LTPLLCVNECTKLGYTMAGTEFGDECYCANSYSGNGGGLADDSTCGMGCGGDSSETCG TTWYLNLYTYNSSSLASCSSDSTTTSSVASVSASSTVSELSASATESATSSLDLATLI ADASSTESASSSQITGTETASSASATATSSEAGATITASATSSVATSSSTSTASIYED SDNASEWYALGCAIDSSNRVLSEYSVSMSDMTVNSCLSACEDLGYKYAGVEFGEECYC SSTLASAVTYDEDQCNVVCNGDEEETCGGTWAIEIFELISSAVSSSCSNSTASATSSV ASLTSGVSVSVTASASASSSAATSISETLSESASETVSQSASANETESATASLTVSST ESVSASTTATASGSATTADSTTVPSSSAEHQVWAHHMVGNTYSYTSSNWASDIASATA AGIDGFALNIGSDSWGSSRVSDAYDAAGSSGFKLFLSLDMTSLACASSSDAANLVSLV SQFASESAQATYNDKVVVSTFSGSDCAIDWQTSFVDALTAAGIDIFFIPSLFSDVSTF SSNTWMDGELNWNSGWPTGSTDITTDSDESYISALGDKVYAAAVSPFFYTHFSPSSWN KNWLYRSDDWLYCTRWEQLISMRETVKLAEILTWNDYGESSYIGSISGDLPSGSEAFV DGMTHTGLLSLTNYYATAFKTGAYPSITEDELVMWARPHPHDATATSDSIGRPTGYSY TEDYLYAVVMATEAATVTLTSGSTTESFSVEAGLTKLRISLAAGSISGTITRSGSTVA SYDAGSDFSYTTSPTTYNYNYFVGSSSS L198_01113 MSLRTALSRSFRPSTLAARSFASSAINMSGSVRTAAQWSDFGRD HVSHGLGRMKDHVIVKGEGLHLITADGKKLLDFTAGIGVTNLGHCHPAVSKAAAEQVN NLVHLQCSIGFHGPYLELIEKLIPVMPHPSLDQFFFWNSGSEAIEAAIKLTRKATGRQ NLIVFQGAYHGRTMGSGAMTRSKPIYTQGTGPLMPGVFATPYPYWHSLGLVPSATEEE VVRAAEFQLELLLRQQVNPTDVAAIFIEPVQGEGGYVPCPPAFLKHLRKVCDKHGILL VADEVQTGFFRTGKYFAVSDIPEFKPDVLVFAKGIANGFPISGIASTKELMGTLDVGS MGGTYAGNAVACAAGVAAQEVYASGEIEKNVAARSEQLFAALNKLAQGEKTKALIADV RGLGLMTAIEFRTASDALTHEGLPAGTKIPKDIGKRVQAYCLEKDLLVLTTSCFDTIR FIPALVVTEEQMKEAMDIFTEAVEKVALEG L198_01114 MASPPPDSGPRRSSRPKRTITVAESDEENDIPKPAPKKTKAQKA KEAKYVIVASDDEEEAFSPDVLDALKGDSDEEGIEDDDSPPPKSIKGKKAAPKATAKK EKAPPKPRKKKEVTPESESEDDEADGPTLKLGDKLPSIKLKNEDGELVDVSTLAGDKG VVFFLYPKADTPGCTNQACGFRDMYAEIAAYDYEVYGLSKDLPTAQQKWKAKKNLNYH LLSDPKSKLIERLGAFVPPKNTKRSHFIFEKGTGELIDAEIGVRPVEDPNNVVAFLTE KYD L198_01115 MDPVQGGDRRSEEGFTDKIIQSTTFLFSFFFSDWYTPVHPLFPQ TLLIPVKMCGIIGLLLHDPLNTQTTLAAAELAEGLSLLQHRGQDAAGIVTCGSGGRFN QVKANGMVRDIFDEAAVAGLKGWMGIGHARYPTAGSSAHAEAQPFYVNSPYGITFAHN GNIVNTPALRQFLDVDAHRHINTDSDSELLLNILANNLQKTGKFRINEEDIFTAIGDL TKTCVGAYACVAMIAGFGLVVFRDPNGIRPAGIATRKGARGGLDYLVASENVVAQGLG FSHWEDVKAGEAIIITREKVTRRQVATPSTFSPDIFEYVYFARPDSTIDGISVYRSRM AMGEYLAETAKVELAKAGLNVDVVIPVPDTSRVAALQLAQHLGIPYREGFVKNRYVGR TFIMPGQTQRRKNVRRKLNAMPMEFADKVVMLVDDSIVRGTTSKEIVQMAKEVGAKKV IFASCAPPIRFPNVYGIDMPSPQELVAHGRNTEEVATEIGADLVIFQTLDDLVTSCKQ FNPSIPQFDCSVFTGEYVTGGVDDRYLEHLTKLRNDKAKAKKTHQAFEQAEAEYGCSG PMNGSDALGSSPKRQDAILGLGNHSPKIGPTAMSSPNDTVGLHNSWFGS L198_01116 MSPATPSGALEMESDPSVIPPQLAQGIPMLKISSRKIKQVIFKI RNGCILWSSKKDSRVPINDIRDLRLGQPPTDTYNSTRWITVVYVREQQWKVLHMIALT DETYSMWVKALKELVSITLDRQVSDVTPTDPDMIWIRQLWPLGTRAINKAKAEALCAQ IGLHINQKLGESSEVCSLALITRILLMIPQETFDMAAFHQLIKASQTRPDIQRLFTSL SSEGPLDLDRVQTFLRDVQRLPVDTIPAIFEKYKTDEGVWNLEALTSFLSSADNTPNI PQDMTHPLQHYFISSSHNTYLVGDQWKGESTVEGYIRVLLAGCRCVEMDVQTGDFEPV VYHRKTLTSSVSVRDICRAIMAYGFVASPYPVIISAEIHCTSEQQTRLAQILKEVFGE RLVTTPLAQGFTELPSPEQLKGRILFKAKPPKELKSPRIETFQLAAPPAESPSSTDSD SGFVRLARRLSIQGKAERPNAFSPQLADLLVYTQGVKYQGFSKLNEYLPSHQFSVSEK TAAKIIKEDKGTWVKHNMRHISRVYPKATRLGSGNYDPVDAWSAGCQLVALNWQTLDE STLLNHAMFHGSNGYILKPLALREKIDEQPERYHLTIEVISGQRIPLAPDLYVEATLN GTVARRTKSLSSVTLNPTWDDTLRFELTTKPSLLMLNFLHLEVKNKSLQAQWIRPVGL APRGYHHLPLYDSLLSRFVFANLFVNIRVEKVQRVESLVQV L198_01117 MPAQSPMPDISIPQARRIVVDTTGAQIVQEESPATRDSRGIYLP HYTEPVSHIAIDIGGSLAKVVYFTRSNQPLSTSPPSSGTTSPFLPPSQFVAQPAPLVN GEGSSHSPTDSPALNPHTHAPFDPSPPEQRRPTLNGALTPATLAENDGKIALPKSKRK ARKAHERHSSTAPLPGGLLNFARFETENIEELITFLQELISSSATANRVSLEKMKQNV KVMATGGGAHMYHDVLTEQLGVEVHREEEMECLIRGLGFVTRVPEEVFWFSEELVYKV SHLSTNADNEVETTESLTIPPSELPRPSPTPPAYQVTFAPTPAEDDPMPHFPCLMVNI GSGVSIVKVDEDGKHERVSGTSLGGGTLWGLLSLLTDADSFDGKSHSMLFPK L198_01118 MLMLSEKGDNSAVDMLVGDIYGQDANIFGLKSSTIASTFGKVFK KGSNADRKKRFKPEDIAKSLLYAISNNIGHVAYMNAAKYGLDKVFFGGCFIRGHAATI STLSYAIRFWSKGTMTACFLRHEGFLGAIGAWIKNVGETDERGSPVSRVRERSNSTDG LDEKTNGTALGAKGEEQQV L198_01119 MAAVSTRAYLPLEDGVDPLDYMPSHSHVFLPVFSQRTGNVIPQI FGGYDDTLSYPEASKYYVLFTTVVMEDCGRYWDQSEDEEVLLNLSTKDKLPETYLNKF AQFAYSEAGQPLPHEFVTFVKSFNALAGFRQALCLDPFPEAIIDAFTKLHECGIVHQG CTWPRHVAKHPIDGAPRILDFEAAEYVGLEKRDSRRADFNKEMLAVWRMVGEDVKGQD GTERL L198_01120 MAPSPEGVSVVLGAQWGDEGKGKLVDILAAEADICARCAGGNNA GHTIVVRNDKGEKTSYAFNLLPSGLINPTCTAYIGSGVVVHLPSLFNELDTLERKAGL KVAGRLFVSDRAHLVMGFHQIVDGLKEVELGGSSIGTTRKGIGPAYSSKASRSGLRVH HLFDPTFPTKFRKLVDGRFKRYGHFEFDTEGEIEAYLAFAERLRPLIVDGPTYMHKAI SSGKRILVEGANALMLDLDYGTYPFVTSSSTSIGGVISGLGIPPFALKKVVGVIKAYT TRVGGGPFPTEQLNSVGETLQEVGAEYGTVTGRRRRCGWLDLVVMKYSTLINGYTSLN LTKLDVLDGFDEIKVATGYKIDGVEIEGFPADLDRLAQVEVQYTTLPGWKTDISSVKK YEDFPENAKKYIAFIDEYLGVKTQYVGVGPGRDQNVIIF L198_01121 MVIPSLLFQHLPLRGLKSLPRSPRAWSQHIRNVHSLRDLPRPTE AQLARKPRLVPFEPPEAESSAMAEARFTPPSHQTSKWTSQPDTVLLIQKKDDPRTTAA MGEMLHFIRDNYPHLRLIVEPHTAHDHPSFDNLIVASQEEQPLLPLHTSLVVTLGGDG TILHVSNLFSQGECPPVLSFSMGSLGFLLPFHIDSLASALGNTLTGPVSLLNRMRLAC KPLDVEGNLLSRLGENGWQVMNEVALHRGRHAHLTVVDTYFDRQHLTEAVADGILLST PTGSTAYSLSAGGPISHPETDAFLLTPIAPRSLSFRTVILPGRGEVKLEISPLARAAA ELSIDGRDVCNLTSKESVVITKSPFPIPCVERSGGESGWVKDINSLLQFNVGFRNKSV MGHSS L198_01122 MLPKRTPSPPPAHRAQLPPDHFLALAPSFNQGNYEFPSGAPSTA SAASNGLAPNTASLASADFDVDVRTGFLPPSKNVARLSGYYEMWEEALDAARGSGVGD GLQVGGRREKDQLWRAGVASLPVLPTSDLCASLPHLRRAHVVLTFLAHFFAHTSPPST AEPKEPVPIPASISVPLLEISRMLGLPPILTYADTVLWNFLPVNPAVQSSLPGNPPRD IITTFTNTRSEEQFYLISSLCEIAGAEALGLMRRSLDELFVADATAIRRLTVYLRKLA AQIDRVGDITMTLMKEVDPEDFYHLIRPWFRGGDGDGPGSAGWDYLGLASGEAESSTH EAESASTQGQRGKLFSGPSAGQSSLIHAIDVFLLVDHSPTEEERREAMEAAENRPEES GASIQMDSTPVGATEEQAPAHALNKPKSEATFLQRMLAYMPLPHRSFLIHLSTHPTPL RPLVIHCAQSHPALAEAYDGTLEALRRFRERHMRVVSKFIVQQARRQPGERVRALLGV EEPEEEKIEVDTGELRGTGGTALFKFLKRCRDNTTKAMVRPTGAGYELK L198_01123 MTDDKPLGRYQDIELGRQQDNSSHASRDAERKRVDRDEKNVDIP DTDAHVVVLPWKRRDGWAGWMGDTVTADSLVWSLIVQAFSTGLLDATTTLDFNTFASN QTGNTILLTVAIVRLSRHQLLLTGISLASFLSGALVFGHLGHLFGVRRRAWLLANVFF QIICLILASIFLSPSGPSLARLGSKHEWCIIMLFAAMSGAQVAAARQASVAEIPTAPM TSSYVDLVSDKYLFYGFTHEKAGARNRRLGYVFAMIAGSFIGAVMHKYTASWIAVVIA LGFKLVVMGMMAMAPMDPRKEKR L198_01124 MSTPTPRGAFIVFEGLDRCGKSTQVERLVGQLEKQGRKARLQKF PDRTTQIGKMIDAYLQSKAEMDDHAIHLLFSANRWECAEGIKRDLAAGITVIADRYAF SGIAFSAAKGLPFDFCLHPDTALPLPDLTLYLTLPPASAAQRSAFGTERYETVEIQQA VREQFKLVQEQVVQRHGEERWVEVNAEGTIEEVGEKIGVLVAGVAAGREGDVGQLWV L198_01125 MSANSISTPVQLAPTITATTPAGQTSHLDVEEELSFYFSYHANR INQVIHFFCIPQILWTWLIVAAHVALPGAKVYLLADYFAFQLNLALAFITSYNAYYIL LDPIGGVTYLPISIIMYISATFLATSPPSSLPLTDPAAPSAIPLALVVHAGAWVAQFI GHGVFERRAPALFDNLVQALVLAPFFVHLEALFGIFDYKPDMHKKIKAKAGVKIRNMN RTRAASRAEKAEKANETSPLL L198_01126 MGNCVSAPSSSSSPSDIPPPRRPALSTDGSSNPSPSPSSQGPQT PKKGKGRALSHRSGLSTSSIMASHPLLALRHYATLSNPQTSLPANKLLFANYNTMAVF DAYPKARYHLLVLPRYPFSSQSDPDGDESIVPLEALDDLKSLLLKGGEYGREEVLRAM EETAREVEEMIRDEMLKTEGFAWRVDVGFHAVPSMKHVHLHVISDDRISPSLKSKKHY NSFRPDLGFFIPIMEVRRWLQNEQTLQERVDALAATTSLLKTPLTCFKCDEPMNNIEK LKTHFEKEFNKERKDALRYITRYGHQKGSDDDIF L198_01127 MPHSHHSHSGQFCRHAQDTLGHVVLEAIRQGFHLFGLSEHAPRY RIEDLFPEEADLTPADLSTAYTSFLHEAASLRSTHAHAISLLISIETDYITPLDCTNL TSILEEHHEIDYVVGSVHHVNGVSIDFDRPTWLRALGDQTLPELQPSHTPSPETLIPF LSNYFDAQHTLITTHTPEVLGHIDLCSLWTPGLDLKAEGMEGVWEKVERNVRAVVRYG GLFEANAAAIRKGWGTSYPGKDILQLIQELRGKICLSDDSHGVSYVGLNYPKMRDYLT SMGVERIWYLVPASQRKEGDEEVGVRRRVVARPMDGWAEHPFWAKLEAAQADRS L198_01128 MALLPTDTKHILIVPAAMWGHFRPLLHLGINLLTLHPDLRATFL ITPSIAPRLEKDLEQLVADLTIGTTPVAERLQTIICVPKGSDASREFKAEDMGKEVVE FASVMPEIISGLYDANYNSNGTLNKFEGLPPSLVLYDMFQTFVPDIMVSTLESLNITV PPLVMFAPCSPASVYHLFGPEEKGGSFARLTRLAEEDIAMGVDPIEAYAKYAFRGTGQ VLPLPGLPAKFDYEWWPHKDTVPISGHVVSACIPALKAFLHKNTVAIAIAFAGELESE AVSALEEVFSDKTIYTVGPQFPEEMWEGDFSVKAGSDDDKRVIAFLDQMKEKHGSNSV CYIRYRLLTLAWPSTNAPSPSFGSLFFPIFRPELIRYILSTLQETGVPFVFAYASGIA PVPIELIEEFAGLEDACFVKFAPQWAVLKHPATGFFLTHCGSNSTHETITAKVPIVSM PFAADQGQIASLLTDVLHVGIDLKQTKTFINPPFNKLYDGTVIEGTESAIKDEMRTVW KRMKGKEGEEMRVRMGEVKERLRKSFNDGRGKQDMIKLGECRL L198_01129 MLFSNTIIPILAAVPVISAIKLEPAHAKRAGLSHRDIAAELRRS DSPVAARNQNKRLVRKAKNKKRSCQAKFSGSSTAASTASQTATGTASATWASASSTGS SNGTNSAATSSSWSLVEEWSGSSFFDNWSFWSYTDPTHGTVDYQSASDAWNSGLVAIN SDNRAVMSVDTTEVVSTARNSVRIHGNKVFTGGLVIMDAYHMPVGCGTWPAWWQNGPN WPEGGEIDILEGVNAFDQNQVSLHTGVGCTMPNNIQDNMMGTLTTGDYDSYDCSASNT SNQGCGARDETSDNSYGASFNSNRGGVYAMRWSRAGITVWFFQRGSIPSDIDSNTPDP SSWGTPVANFVSDSCDPYQFFYDHFNIFDISLCGDWAGGDSVWNNAGYAGQSQSCAAS TGYSTCADYVLNQGSAFTEAYWEVASVKYFNSTTEV L198_01130 MPVALSKSAAAVTAEIGGQENVDQLNRVSRDFRSDTVTIPTDNQ LLYALKATRGDDVYGEDPTTAALEKRVAELTGKEAAMFAVSGTQTNQLAIRTHMKQPP HSVITDWRAHVHKMEAGGIAMFSQATTHQIVPENGVNLSLEDVESNLQLGEDIHIAPT KLICLENTLSGMIFPQEEVVKIGELAKKHDIALHLDGARIWNVAADLTENSLTELIAP FDTASLCLSKGIGAPIGSILVGPKDFIQKARWFKKAFGGGIRQAGGITASADYAITNH FPKLAVTHQLAKRLEQGLREAGCDILAQVDTNMVFFQPNKIGLPLDTVKARLAALPNP ITIGRERIVLHHQITPEAVEDFIACVAEMKQEKLESGELQTEIDAEAKRMAERYATPE GEGNTSKSELRRRAALGY L198_01131 MFSSLKSAALVGLVALSSLGAVSAKGALGVKAAKVSVTSPDGLN DATYTLKEPAPISAPIDLSESSIFKLSFTVVDTVSGESVYPQQASLLFEDPKGDDVTL PVTVKSNGKAQLTINAAKPHPALLPTHGKFHLTLLLSALDEYAPLAYPLGELSLPSSV LQPIPRKRHDLPARAGEPAFQPEQELFHTFSENPKTVGWLKSGSGVAITLAPWGLLFA LVGKLSPSLSLQTPAVSSVIFLIVLAAIETLIFVYWVGLKLYQLFPPFLALCAIAAYT GLVALREMRVQRLKAGGVP L198_01132 MSPFRKPSLSTLPSHLELPTTWAKFRTILMGSMNFYRIHLIAFT VIPLITSGIMYACNTEIHISYIDCLFCCMSAMTVTGLASVNLSTLSVVQQVILFVQMI IGSLTFVSIVMIMVRQYFIRQSFKHVLQERQNLRNRLSKTLTRVATVAPPLSALRKKF SRKDKDGVDSPKGPPKRSSTPSSFELNPVAPSPRKPEEHKSKKKRHEKLRPDMIKRVE GGGVGLVNNMGWYDAQREKLATPAPTPERRGSPGSPLPTGSTMLSDSGKELTQALEAA VESGAVPGHQVEDNGEAVLTESPTGMENYQRHDGERRERLRSILEAPDINVQTVSTDT TEHYSGEEDQSPSPPHSPDAFPRSKTIAFEDTMDDSHDFRERATSTQRESFFPHSATF RSNQGQSTNQDRLPYSATMQSGAGNFPRTYSLRPTNSNRRRDNRLQGFGGFPTPLDIG KKVFRKVFPETSKNLSKSFTMPRTNTYSGKNTMTATGTSDGKEVPYISFAATVGRNSR FQGLTKEQMDELGGVEYRALRVLLHIVVGYFIFVQIAAFIIMAPYIAAGGRYQHVFDD QPRQVKVYWFALFQSVSAFTNTGMSLCDLSMVPFQRAYLMIVVLIILIFAGNTAFVIF VVQCEWTLYKLVPVTSRIHESLKFLLDHPRRCFVYLFPATQTWVLALVMLGLTLIDWV SFLVLDLGTEAIMSLPVGTRIAAGFLQSAAVRASGFSIVPLGALAPAVKVLYVVMMYI SVYPIALSVRATNVYEEKSLGLFDEEVEDDDVSEEGEGAQAVAKYIGWHARRQLAFDI WWLGFALWLVCIIERGHIDNDQEWFNIFNIIFELVSAYGTVGLTVGLPYDNFSFSGSF RKLSKLVIIAVMLRGRHRGLPVAIDRAVMLPKDFTAEEEAAFEEERSRRMSRSRRGSS FYQEEPFAPRSRPESFGGTGAYQEVNFTPGPVTAIPIPHHHQHHVIHSEQKAEQIGSG PGGVHKFGHFRSNSTGSNSHGPESPTFQPRESEDRWPHNGNRALTPVREGHMSSAQSY PPVYTTDSALEV L198_01133 MPARWTIGGHVSNASGGSSRASSRASSPTRGPSLTSRPSQIMLP SRRREGAEFGADSADHFSSAQPSPAYDSTPVQTPGWGASTPGWATPSAMSTPGGSNYG SHALDIALDNDHLVLRGQGGDMEPAYLAGRVELNLSESTNIKEINMTMTGKAKVQFAD AGNAGSKNRHFTHPIITHDWSFLQGGKGHAHTLKAGYHSFPFSFTLEGNLPSTLRTYS GDAIIVYKLRATVVRSGFASNYTAAKEFSLTRMFTTEALEFNQTLEIENTWPGKVMYS LTLPYKAYAAGDDIPVNVKFMPLAKGVRVTNVVSVLKEYTLVHTRHSSHPDTRVDSCI KHEIKDGRAIELAREPIRPPQHWDGAVSNRGSASTSRHPSPNHTPVASTRGRLAPNTS TRPPDSYFPDAIGNTGSSSAASTSAQPEASSSNADLASLAESSRTDIEIGDDEIDTHF TIPIPAWVTPSHSIHPVFITHKIKWSCSISNPDGHTSELRCALPITILSHSLLDEARS ASASTRGLLFGGNQSEEQPVDLPSYSNHVYDRIAVADSGSATGFFPRSVAATPMGSPH DDTPPRSRAPSRPGSPVRGRSGDSTPAASDVPPRRQLSNFVDSELLMSLGALRPHSNG VSPNSTPPDSRASSRPLSRRGSRTNLSGWSSMSASRAGSRAGSRASSPERGSGNSSTV GSYNEEGAHMRPNFDRHRSTGLHGLFHLPKGMRPLSHLGSGGGSGSRPILRNNGTSSN LSLPNSNVIPRNASVPGDLEAAGAQGGAGANGGNHVSFAPHAVTFEPERSTRFELGAP DTPDADDDEDIDPMTQVPSYDIASRGFLGGGIVPIDSRLPTYDASERSMQRTRSGTEI GGSGGLLRPRSDTALVQMGAQAAADAEGRAAEDDSGAPSGALNALGLGDRYDRA L198_01134 MAPSKTTSGSKAAAKPQDVKAKAAKKAALKGTQSTNVRKVRTSV SFHRPTTLRLARAPKYPRKAIPHLPRMDQFRTIQHPLNTESAMKKIEEHNTLVFIVDL KANKRNIKDAVKKLYDVEAAKVNTLIRPDGKKKAYVRLTADFDALEVANKIGFI L198_01135 MQGWRISMEDAHSVHLYLPPASDDSKPIVSAPDIPQQPEGSTVT SNNAGETGNALFGVFDGHGGQAVAKFAGSTLHTRLAGLPAYKDGDYEAALNQAFIKTD EDLRADPSFLSDPSGCTAVVGLITTDGRIICANAGDSRSVLGYKGEAKAMSNDHKPTN AEETARITAGGGFVDFGRVNGNLALSRAMGDFEFKQNFSLSPEKQIVTVVPEIITHKL DSEEEFLVLACDGIWDCLSSQQVVDFTRRGIAQGKPLGQICEEMMVKCLAKDSSTGGI GCDNMTVVVVALLNGRTPEEWQNWVKERVDNKVGHDTPESIPDIFPEPTGPAMGGFGG SGFRVAGGAGGLANIASILGASGITFRPNYDDNDDDDDDDEELQIIGDSSSQSSGVSF LDDKAVDGETKPKSITGQLEEEGDDVVLRGVGKKGSVKLVDEDGDSAMDSDDSDSTTT GNDPSPQPSAPAPVAHGAAGSPIPPSFFSIGSPTVPTPSALQGHHAQKEEEKVEQLKS EPQGDEASGAVKVEGLMDTSESPLNL L198_01136 MCVTFFTLAQPGFKLILAFNRDEFFDRPTLPADWHNFAPSPTAN GSATPLTPTVLSGLDRGKAEGGTWLGISRNLKVGLLTNIVRYPAEDGIKPLSPPPSRG KLLREFLLPSSSSQAPSSGDVQSYISSHLPTAGNYEGFNLLLFSLSPSLPSPSVGYLT NRPKPASLNLDHLSRKTGEDRGCTGLSNGPLETVDKWPKVESGEGRMGQTLKEWEEKE EGVDALVERMYDVLSPTIPVHSDDDLLHTTTVQPVKLSPQMTIIPSSASSSSQGRWKG TRTATVILVHDNGKVVYVERDIWELGENGDPQKGNGERRFEFSGDVE L198_01137 MFSNLGLFETAKCPDPKCARARCFFAHGQAHAVSPVAGPSVWSE AKDGCGDSGRWSGGEETGRAKLEARSTGVYIFDDSAKASTFHVLNTLKSFFLCRLWTS AQSTTKYQSNPSASHRSPESPIVATLFTQFSKLYGPIIHLGPSLAHDTTLSQENEISA SSSSLRTYKTAIHHAAVSISRRPPPTSLSHPSVGTVKESREKGEKAEKEKSERLERRR VERYFLKKEDFAKWRYPLPDDPELVGEGTGSKPSGEGETHNCDRCKISFTVSSQNLAA RFGECRYHYGRTAPERVEGRRKWIYFCCGKERGEGGCEDGVHVFKEEDDQALARREGF KSVRTCAEESKRDGKSIVGDGFGVVAMDCEMISTTAGLSLGRVTIVDENGDILLDELV RQNRDVNTRFSGISPGQLDSALMDLPAVRSAACMFIGPETVIVGHGLENDLRALRLLH DKIIDTAIVFPHDKGPPFRRALRDIVKEKLGYFIQDRTSDLGHSSAEDAKATLDALKW KVRDDNE L198_01138 MLAPQITTQCSRTALRSASQRAPKLAWGQSSLRYQSSTSSPAPP SAPSTTPKTSDAKAEFRHNELAKIIRDTVKSTGPIPISQYMQFCLSHPTYGYYSKGDV FGQKGDFITSPEISQIFGELVAIWFLTRWMDKGSPSRVRIVELGPGRGTLMDDIIRTL SNFPGVASSVKSIHLVENSLAMREVQRKKLEPRIEGKDIALNWYTGINEIPETEDAYT FFVAHEFFDAMPINTFEKTDMGWREVQVTHDPSFDPEISTPSAPSGLRFALSSSPTPL STILPETSPRFSKLLSGSRVEVSQDSYKIMRQLGEIVNQGKGGCGLVIDYGADKAFYN SFRAFRKHEIVDVFQDPGNCDLTANVDFAYLGESLSNLSTPLGPMSQASFLQSLGFHP RLAKLVEAAPEERREEIEKGAKRLVDLLGMGTQYQVMGVVSGVEELKEGIYPFSLKKE EEKQVASRVLRP L198_01139 MTSSTTASQAELQAARVPLGWRDQCSALLIPLNVCRHKELYMPW KCEDERHGYEKCQYDDYVRRMKLLVKQKKEAAEAAADDE L198_01140 MSSQDDQHPPLNLASQQPPFPAAGTAHDSPPSYTQQVAQSLVNT VAQHTIPTEPPIAQEGGQPPSDSQPDPGVADSEAPMGDIMKSDSPPPDDIGLPAVEHP QSQSQEVESTTSPAISYAPKDQAPEGWHDETTPEPTSISSGYEAQPDLSDMGPPIVPP GSDSQGVRFPASSPISYAPRELLPEGQTLEDTASDTASRPSNYEARPGFGISKEIGSI RMGASALVSALNALPWDDEDEEGDEQENDDLAPSGPGRGSASVMQETVRRPKLAKLGS SLNTIRPLSNPATPLPTPYAHPSHNLHFPFRQNAIARKARRPGTTELDYQFAASPPRF GHERQGSIASEVSSDGEVPLPKGFKQSKRESGDSSVGSITGEEADMLNARITQEQGIA DEEERVEVLKTAREEGVSEIELGKAISTRGSPQDLNAAAALREEDLEGEDVIAKSLET DESEKRLMRKERLAERLKEVFGLEEREEVLGEMKCWLLRNVMLKGYMYHTKRHICFFA NMPDENNLLVKSGPLYKNASRTKLNTKFWVVLKNDVLSWYESTSNPYFPKGNISLQYC ISVDPVRVTKFKIRTAERSYTFTADTEGGRDEWVKVVKKVMFKLQHEGETIKVIIPFE AVIDVEKSPTLEFAETIEVKCMDPEDQMSIDSYFFASFPDNDYAFEILSQLVRDRSPD ISRASSADIPRISSAATITVGQESLDTSYATLKTPVDKPASPSPTGSLPQPIKKLSSV LKPLVSRTGDEEAASQEDAPSQSTSDTTGTSFDGYPPAQVGPPPASMLEDKSTWGPSW IRKPAAKIFGSSPSGSISSHLGRSPTDSLTTVVEDPRARLGRGKQHSVTEVVEAPLKD LLHDTEGEESDDDFTGHTSQNSSFQSAAEKRAARSSWTSESSAGSQMVHSRSDFSMLG SDNGHSESAEMVKKFRSFFALSEKEELLDHFPGYLYRVLPISGRFFVSTNYFCFRSSQ LLYKTKASSMIIPIRDLYGLKAQKAFRFGHSGLIIVIKGHEELFLEFSNPDRRKACIS ILEERMETVRLSVSSGQTPPDQNRIKAHIMEDLDESHPIEARTPLGSGMSSPSPMFGS ATSTSFLEFKPEPMRITCLTIGSRGDVQPYIALCKGLQAEGHRTKIATHGEYKDWVEG HGIEFESVGGDPAELMQMCVDNGMFTVSFLKEGLQKFRGWLDDLLNSSWQACQGSDLL IESPSAMSGIHIAEALRIPYYRAFTMPWTRTRAYPHAFAVPESRRGGSYNYMTYTMFD QVFWRAISSQINRWRRHELSLDNTTFDKMEQHKVPFLYNFSPSVVPAPLDWTEWIHVT GYWFLDKPDEKEGDTEKKWCPPDGLVEFIDGAHANGKKVVYIGFGSIVVSDPEEMTRC VVEAVISSGVHAILSKGWSDRGTKARGESTLKVTSGLGRLTVNHSAIDSIDHGWLFPK IDAACHHGGAGTTGASLRAGIPTIIKPFFGDQAFWAERVESLNVGSAIRKLGSEQLAK ALVKATSDEKQIAKAKDVGEVVKRENGVARAIEAIYRDLEYAKSIIKPPPASAIDDKQ DNLNRVSSLLDPLTSPDLSLKNIRSRSRSHSRSHSKSHSSSFSHTASPRRASISSVLG GGGDEEEWSVIPEGAGMSRSGSLSPSAGVRGSPEKRGGMGLGMGAVLGREVLRGAAGL PLPNPFGKWRSGEE L198_01141 MISPSPLPLLSLFLILAAFASAAPIEPEPLHKLVLRKIPTARDG EHPLEVFERHQEAAIKRMHYFKRMAPPDKEFFRKRHMERRQMIEDNPNLDKRMWIPAV SPDEVKANNQKRTYWPDGGWTPGNTSTPLGNAAKELSKGSATQAAAAAAATATISASG SNDQGFSQAAVDALNDNTLTNSSSTLITGGLDYVIESNDIGYLADITLGTPAKTFLML MDTGSADTWVPSTECGQANCGAHQALGSDSSTFQASNTAFQVTYGSGAVAGQLAADTI TIAGMTLVNHAMGVTTQESVQFSGANVPFDGLMGLALSTLPNQGVSTPIESLKSTGVL QNTVLGIALGRLNDGDNDGELVFGQADDAKIDAATTQTLKLTSTDGFWQVAMSAVSVD GQSAVTGKQAILDTGTSLMIAPEADATAVHQQIDGAADVGGGMFSIPCTTNQAVSMVF GNVAFNIDARDMIFQPLTNDLTGDCLSSLSAGTIKDDDTWLLGDTFLKNVYMTTNADD GTVQLSARTDTPGSSSANNAAVSAGTSGNGTTNNAAGTSGSKSAPSSATRAAQTAAAA ASTGSLLGALAALIAGSGSTL L198_01142 MTLWMETVVEECEPTDNRPVLGLPISPFGASKVGGYEKEVESVY DGGEGDATPRALTPSSPQTPRLISPRQLFPPHESPDGPSSDRNPSFSSADLPDFPEPP TASPKFYARRASWSSSLPPSSPTPEHRSRVSLDAPRPLLMPPAVLRSPSMQSRLLTDD EQTTEEGSLGASPTGSLATRRTTRSGSPPMTRYGDYIAPSAPSASLNFTYITDPPSKR HSVQFVNEDLRSAPGVIGLGEGWSGGPQPLNRPTGWFASKPQSSTSDPLVLWGMEAEE EDVQYLDSQRRSAASPLTQIWNRSLRSLMIAESTPDLDATRSQTPDRGSRRAGTGILK RSSSPSRALSPRVSPFTLRQPSFTSQSEMDLSARPQSTNSSSTIHSLSPQSRTTNFPP PASISSPARPTSAQAYQLSQQSRSSFARSEGDLHLPLRAKKGGLPPPWRPPSGHAGAR RLSTLIVEGEEDRSATVERRTSPSLLRPSSYSSVHMSPSRALSPTLPTAPEEDFDGGD EEYERPQPEMRRVESPLDFYHDNDEHEERGEEAKPGKRSSFISKVKAVFSLRRPSAIK ESTTSTPTLKKTTKRPFSSTFSRSKLKLSSPSVPALSQAAQDPPYERARSPWQALQPS RISKRFSAMSGASEVTVRQPSKSETPKAQEEREHRPRPLSVGGWHSIARSNSKSQTRP RQGTTAAAPSSSLSPLPSQISNLASVRLGHNHMGLEDRVVDTQKRGTYGRHLHSSSSS SSAMSMDDLLLGQRSAPRSASMPLGLGNRNSQYPYAPQISDGSGKAGIDARRQPSSSG MTPEQERASVSTGSSHSPVSSHAPVTPAFHDSLELAPLDESYVIFDKAEEAEGESEEE RRNGSRFSTASYASYASLDNEGEVQQGRAVKVNNVLAMQKQASVVSFGELMDRHFESF GGAKV L198_01143 MKTGQSEDADEEDVDILEDEIATTVERIVELKAELSARSSSLVA TTPILSRPGSVISGSDRPSIPPKPPHPPPKEAPTPTANGATKSITNLDQWNADPVSKN PQSDDSIEHALTSGPFTVSRQSSSNAIVGPSRLGQSREVTPPNPQPEDIDMEEEEENW DQYYDEVIVPQSSPERPLAATRHAGVPAHTSELPSDFQDIPYKEIFSSQGSPYRASEA LPIPIDSSPPRAIQPPPRSSSSKTRDLSTTANGKERVVHLEEQYPWSHEVRQKLRHNF RLPGFRKHQLEAINETMAGRDVFVLMPTGGGKSLTYQLPAICDGGKTEGVTFVISPLI SLITDQVGSLIEREIPAIAYTSDLSQADKNLAHQELSRRKPSVRVVYVTPEMMSMGGR IKSILKGLEQRNKLARFVVDEAHCVSQWGHDFRADYLKLGLIRKEYPNIPIMALTATA QGKVVEDIILTLGIQKCVRLQQSFNRPNLQYEVRPKKSGTILKDIVNFIGAHGAGESG IIYCNSRDTCENVAKQLREEYNVGAHHYHAGMSKSDRRMRQEGWQAHEFEVIVATVAF GMGIDKPDVRYVIHHSLPRSLEGYYQETGRAGRDGNPSTCVLYFMFADGKKIINQIES NKELSFEQRQRQKDSENEVLRYCDNMVDCRRAQVLAFFNEVFDPAECHKGCDNCANRD KYEIKEEDVTEDAVNILKMIDSLKTNSRITIVNAAEAFRGRRSNPDKGLANNAYFGKG ADWEKHESERLVQRLMIENALEEFNVLGPAGFNLGYLRIGPKANDYLKRGKRFLMAFG KMPITAALQNAKDKQKQQNKKQLALQRSNNNPIERKRSAQRIQAQTDEFDNSDWGDSH DKPKEEDDGESQDDPIEGSGEDTEVDDDVPLKLSKKRKSMDPPAAVKKRATAKSTAKK NGAGEESLQSQCFMALEKLRDTALALDTDASLLTDEMLQMIATMMPSNGKALMEIEGM TSQLAKKYTTKILGICIKYKPDDQKPDVLSSEPSKSKSSKSR L198_01144 MSITGSLLCRKSLQSSARSLLPLPTFLAPSVSVPSRVDRRHKSS ASTPGAPEKKSLKDKYNYNTSIDFDGEPDPDHVRWRRVTAADLVARKEPPTRVKMLVR DFIDDSLYNPNYGYFSKNATIFTPPKEGFDIPTFRDTAHFQETVAEVYEREYGLEQTE GAKGGLSRQVWHTPTELFKPWYAVSLISAIIQSYKLYHFPSEPLVIYEVGAGNGSFMV DAMRYIKENHPEIYPKTSYRIIEISAALAKGQKERAEKEGFGSKVQVLNRDFFKWEGV SNGEQPCYVVALEVFDNLAHDMVRYELDTLEPRQAVVGIDASGEFSLLYEPVHDPLIN RVLSYRRLLPPSPATSPPLAKPLLVSESLRKLYTSLPFAPNMSAPDFLPTKAVLFAEK LREKLPGHRLLMADFDELPEAVEGRNGPVVQTRYGNSMVPCETFLVKQGYFDIFFPTD FEHFRDLYSVIMNSPSVSAPQPPPPSAFNPASKISRDFFSHSSGVKGFRRRNVGIYTH AEFLEKYGGKDVLDKTRVRDGGNVMTGMYANAKMLF L198_01145 MVKVLAILYSGGQSAKEEPRLLGTIENQLGIANWLKEQGHELVV TDDKEGNSSEFQKHLPDTEILITTPFHPGYLTADLIEKAPKLKLCVTAGVGSDHIDLN AANKHKITVAEVSGSNVVSVAEHVLMTILNLVRNFVPAHEQIVNDDWNVAAVARNAFD LEGKVVGTVGAGRIGYRVLQRLQPFDCKELLWFDYTDLPPDAAKAIKARRVEKLEDFV AQCDVITINCPLHEQTRGLFNKELISKMKPGSWLINTARGAIADRTAVKEALASGHLR GYGGDVWDVQPAPQDHPWRHSFNPLDGGNAMVPHYSGTTLDAQKRYAEGTKEIIRRYF QGEEQDPVNLIVVDGEYASKAYGQRAANKKEVSQEKAAK L198_01146 MFALTLLASALAVNGIAIPRDTATNTTSVPDPHSLAAWAGSNTT LTAIGCVANTDLANITSNTVSTKAEARESCATACLEEGGSEIAYFRADTQECYCTSAD SYPSSGQIVYADDDLGNCRDEDDASVNYFVSPYTLDECYYTVNSNSTETFNAPSPISC LTGCTSTGLTIRPELDQSSGEYVYECACYDEEVQGGQSTDCGFGVESVFTHV L198_01147 MLSLELILLTLPLGDALSIPLSSPSFARAAAVEQQDPSPQFMGC TDRGYIQQITNDDSAIIPRLSNEACTMYCSEDSTNKYAYFNDKDSECYCSGEDYPTSS DFRDANNSVGTCQPSQTTVTYLTSPFIFTHCVPSDSYSRPSSNDKSFTASSPEYCLDT CNTTPSSTIAHVQPTYDAEDDWWVYDCRCVSSRQRTKASGGLFVLDNSQRAFDAGWAP EEGAKCGFESVFVYERPVLQ L198_01149 MLGAGLHGLKRALVIFPFAYTGIWLGYYAYTDTLRRHHIRDRNA KLAKTLSILPSSSTDKQLPGPDYTQPATEVEKEALKERYGSLKFAGRYWNPYVEWREQ GAWEWAVWKGFISIVTLKLFYDGQVFLMIPGSMSDSSIEVYRPTDLYPIFLSSRQPPT SREPGSGGSDVAITDKFTSTWLGQSTTYVTMDNLAILTDPALQHRTIPSKFAPERLRP PPCSLDELKRVDLVLVSHNHYDHLDPLAIKELGDSCEWIVPIGVGPFLREHGATRVTE LDWWQETQHTLSRPGQEPKSFTITAIPNMHWSARSPLDTNATLWSAFHVKSNTPSPET KPKSFIHLGDTGYSPTLYHAVGRVLGPIDHAAIPIGSYEPRWHMHLQHTDPEGAVRMA LQMHVKKSVGVHWGTWLMSDEAYNKPPLDLEIARKKLNVEEEQFCVLPVGKTIVLEDD L198_01150 MSRSLTTRAVLKASRPRLALLRTYSIAAPDPSKPPVPKLQPHQY AAFEHLSQSLSSTQPCFGARGDEVELLNGPTGFYKRLIEIIGRAKRRILISSLYIGAE EGELVEAIKAALTNNPQLRVVFMLDYHRATRLSTSNPDLPPSTAHLLLPLVEKFPERC EVWLYRSPKLRGLMEKIVPARYDEGWGTWHGKWYGVDDEVIISGANLGHSYFANRQDR YIHFRSNPSLLSYLSSLTRLFTQYSYLLHHSPPAHISPSNTVPLLSPEDTDSSSPPHK PRASLIWPSSSINPRRFEPHALATLTAFQNSWRASNSFRSRRVDVDTWFWPVLQAGVI GIKEEERAMGKVWNAVEESYQLGGGKVEGEEREGDGQRVEVDLTSGYFGLYENYKKAV IQSPAPVKIIVASPKANGFYGSKGFSRLIPEGYTLLESRFHRDAVKAGRAWDDESQEG VRLKEWEREGWTYHSKGLWVSPPGSKPFLTFIGSSNLSTRSLTLDTELSLLMMTSSPT LRRALESEVQGLDEWAGDVGEETWKLEERKVSWLAWALVALGVEGML L198_01151 MSTSYTIHVSGLAPETTEDKLHDFFSFCGKLTSVKKSGSEADIT FEKLSAMRTSLMLNGGTLDGAHLEVTSASDIEKTPSVLPTTGSTPIGTGLDSEGVSQE DKPKAAIVAEYLAHGYVLGDNIVQKAIDLDHKQGISHRFLNFFNSLDHTVGQKVVGEN QTFSGRIQQHAATAVAKGREVDQSKGISARFSEYYSKVFGTPIGQKVVAFYTTTQKQV LDVHEEAKRIAEDKKKTHLATVPADEAGSSLPSSDVKADVASSTTPAAAATSIPTSTA VPTIPISTIPAPPQI L198_01152 MRFSSSTPPPLQANLLLSRSPLLTPTPNPLETSYHAHSRSIAHA LSNPLPTDFYFKTGSLPLKRHLVREHQYETEIYGEKLAGKAPDVGEIPAETDYEEIAR NHWEQADAQRGEKSLERKPEEEVFCVVKTKEGGKWTFPGVEAGRLEPMHEAVERGITG VEGSLGGQGMDSWLVTRKPVGLVKDGESRTFFLRGHILAGEPTLSPSSPYTAHAWLTA EEVEERLKKQGDDKIWESVKGMFGVSKE L198_01153 MLTDILKRTRRHSSDPRPSPHPLRRADSRSTRRSSSTVPTTVSD AGSLGEWEEGDPEEWDGVGPEPHDPVLKAIKKQAEPMSPVEVVLFVMAAWLIYQILSR PDDLESSTPFFPHTQSQAPQPHPQSYQHPYQPHLPNAISPNTASIPSPETSYWGFALG LATYCFYVVIAVLAIPLSWLLRGLGLVFQIVAALLYPFTAVGRLFLRAFVFYPLNLAK DVLDAFWPAITFVGTTLGVGAVFGGVSGWVGSGLVRRYVRWKEGDAGKAERSLRRRSS KSRRRRGESEGFEEIWGKKERRASKSSSSRRRRGYSPDSESSLDVPLLGKDLVHDRVS LFAVRSRSTGEGVAVGTAREPVVIGTRRRGMREGLAG L198_01154 MPQSQHKLLHPPHLSAPSSSRPKSASSKKEGKTEWDVLRENHRF IRDDEEPGEVGWEERLARAYESKLFKEYALIDLKHYKSKKLALRWRTAPEVVDGIGEE SCASLRCHFHHPPSNSTSFLDEGRGSPESYRPDGGSFQPPGGSRQDHEQDWERGSQKR RREEKIPRLSAFELPFVYAEAGERKEALVKVRLCPKCTDKLLWKPDEDEGSKRYGHRS EDRDREKRRSHSRRDDMSDEEGRSHRKSGRRSRSRSPRRHEKRQ L198_01155 MPEKKYALARVSICDPRLVPAGTPPKDIKWESYVHCTISATPSP DGSSLQLEMRTSSTSLVSRKFLPLSTLQSPFRRITYTDKQAAFRYDWPAESPQPPNTK TMQECFQLIFKKPTDLIALLESLGPFFSATPSTIKPITLTVSQVPPSKSAPKATLKKS SAAKKKIAPKPKSNGKKGDGGDAMTVHRVGGPANPSAVDDNRTRPADSTTLPSSNQGP SRTPLTPSSLALNQAGNQQTRPMVPLHVTEIAPRPSPTRPPSTSTSSALSTPLGGSKT TFPEDPDMASLKRKLLAGPGLASTGPKVAHSKFPPARLAPAPSVVYPPFTTYKPQSAD NAPSQPQQPSSQLPSSMALVKPPTSPNLICLSSPLISTAPSPPRTALKSNPLDDLLDL DSSCMEFVPIMTREQEELETKRRLEEEDLDEEYTLPNDGNDIPPAHQAFQATVALVVA FGSPLHELGEEDMDDLISTCMASDGFDLLYRKVSYLLSRRDSLQLCAPATPSLGASFD LDDMPSSRVLTPPTESYPLSFFDQPSAFSQDRSGQYYDFSQTDTQPSFVPETPGYSYS SKRSYCGDISEYDQEKRMRMCLEEEEEEEDVYDDLEEEDMRL L198_01156 MSSKYSGLPDIASDTAADIFETVDEPDTLLKPSENNTGDADAPV KPQSEAINAKGLPSRRQAERVFARGARRPENATVSFRPRLPPLSRYGSSSSSDSDEPS PLPRETPAARLRRLKAELAEVEAQLGSSSQTQSHPVPQPQAEGKRKSVLPHRQPVDVV SELANVRERLETMEMRDLPAGEVESRGGEWSERLSKLTAAEKRKEVSVGQSTAGEKDV KLSDVDKRLAALEQAVGPIGDGIDQTNTPLLPSINKHDHLLTLLTQPRHLDAISRRVK LLLVDLDRAATASRRGPGGSAITQPGGGEKATGTDLALTQAEYNQLQSLFGVLPRLDP LLPILTPLLARLRSLSALHSEASDIASALKKLQTRDRKSVEEVKELEVVVESVQKGLG EAVEGIRRNWEGLEGRMKSLEERLQRVERQ L198_01157 MWHGKVQGLAVGYIVEPVVLSHASSSYQVIGAEDCTTVFNIPNA VISVTNRHYRLPPTSTKPTSQMSRLPKAKLEKEDILTFALKPSELTWKWGYPDSKDIS LFGDTAGSTPSGEGERQRCDRCKSIYTVSSDLAGKERECRHHYAKAKPVLGRGKIWRH ECCDRQQYTEGCSYGYHVFRHNDDDKALAKREGYKSVKEYAEDGEKDKDWVDVVALDC EMIYTLAGLSLARVTIINTAEKVLLDEFVKPTQKVIDYNTNFSGITKSNLDGATLDLE GACAAVCKFIGPETIIVGHGLENDLRALRLFHDQFVDTSALFPHPRGLPRRRGLRQVV KEKLGYSIQDLQDKLGHNSVVDATAAVDLVRWNMLKRR L198_01158 MASASQSNLAIDADDHKKLSQDVEAGPSDELHYGEQGDEEVLTG VSKVEAAAAVWGPKSQWFLFIGIALSAYIYSLDSNTTWQYLSYATSYVLEHSVSGTIS TANAIIIAVGKPLMAKLADVVGRGETFIIVAVLYCIGYIVIATANDVGQIAGGQVIYS FGYTGLQMLQQIVIADMTNLRWRGLVSGLVSAPFIINNFVAAEIATDILPNWRWGYAM FAILVPVSLAPIIVALLWAQNKAKKQMMIKYSRPPITKGWLVTIKDACWEMDFVGLIL IAASLALILLPLGLAPSASNGWKTPSMIVMIVIGGLLFPVAMLYEAKVPKKPVVPYRW LKRGPILGACLIGFFDFVSFYLQYTYLYSYVYVTESWSTRDLTYFSSTQSLGLTIFGI LGGIIMFSTQRFKWMLFTGLLIRLLGVGLMLHARSANGNTASLVMCQILQGLGGGFAA TAIQVSAQAAVAHVDVATVTAMVLLITEVGNSVGSAVAAGIWASYMPGELAKHIPSAN ATTIASLYGSITQIALYPTDDPVRTGAIAAYQAVMFRLVLGAVIVAIFPPIFCFFLTK DIKLTRAQNAIENKTIGGEKTEEVADEKTASEAGITTRA L198_01159 MESYSQDYSKYRSYDHLTPLGQRQNSYKTSGGSASFEPPGSVSL GSIELPFAASTSRRAENMYGRGEAYGWSLGEKGVPSKSDRPWERDSPRNGKDESEPAE EDDSDHETKPEVVLPSSGPTAKRGSKACVACRKGKNRCEWDPSAKKTTCRRCMLNGTK CVFEKASEKSRGKSATVVELQGEADDRVRSLENAVQALTDSHNQMQTALHHVFKLIPQ LSSNGPSPGMAPSTIFTSPISPPQIWPPAGPRLVSNERNNVPGRPKSPQNIASGRKGS VSVKKDKEDFPPLPGYAAPSSHRFGTYGIIPIPSAAPSAHHSPSSSRSSSSSRSPKEY PSALPHESLTAPIQALEALANAADQAATLEGGKEKRGEGSSDEDHTLRAKGKGNLRDE VGEERGRSKKRKRVAIDVTEKNVHSRLMKKTRPDPTPRNPFPDVITKGLVSETEAREL WDVFFSGCHYFLPIFDKSYDTFETFVDRTPFSTNGLFAVAAKIRAGNGPPGQTFESCL AEGQGIARSTLFGPVVRKEAVLALVILSVWSQNGWLPCGHALRAGLDMNLHRALDRLA IKDEERSEFEERDLVVSARIWLNCYMHDHLVSLGNGKPLMLRDDSSVQRARELISHPM ASETDMKLVASVELVNLRIKILEHLTPLHGKVDTSTITFVKSSFTSLRSWYDEWYNFH KQRGYDDECVLIKLMETERVYAEMWTVCVALRGCAWDKLSKEQQDLAFYARDSAWRCL EIFLRVDNFRKHLKYATHEHLVSVAFAAVFLLKVAILYPTSLSRPLLISQVTELAHLL SNECYAERYALTLRLMLANFRRSTGALSTVPGSPRLAGSASAAHVQGFGGLAMSTLSE LDGGLNSFLELPAGTMGQNGNGLDGVSGMGQDVNGAAVGMGMEGDAGIWNMNSLDGFD WSIELSPSFIPVWLQDGNTTDLGLPADGSDSLFLPPELANMFLPANNQQNHMYQFSMP DSGNVGAEAW L198_01160 MSDSAEPSKTNGQINSIVGTGKVLVGNVIESGYATVGGSTEPSS FTTEGQKQHDQGEAEITAAQAKNYVEGVGDRLEGKKDSIVGAVTGDKTQQTSGNFQHD KGEAQMNVNKPSS L198_01161 MANTRPYTRDELKSLRRADLQTLFKVHKLKGATGTSAVLIDHLV DFFASPAYQDAYPPPTNSQASQGTVQGNAGKREIMYKALPQRTAVAKGAAGGVRRKAE GATVRAGTKGAAPTTAPVAAPKQAPRAASRMAGTVRKRGQPQKEVQEEVVQEDASAGQ EEASIPANQPPVFTPRPSAIPQPISSSPPSIQEPAAPPLTLADVQTILRENDEKWKDQ LQAVEARLGQEVERLRSEVQDVRRKCEELERAERARAPRPSSTTPGHPSILGGQNAPY TSHQRSASVPWSGSYSSLGKRRHPHERVESEDAEAKRVRFNANRAGEPASDATTSDAQ SQPRTPSPRQAPSSFPPNFFAQPALPATTSSQASVLPRTPSPSRQGIVPDNSQTPRPP SEWRAQYEYAPETGPLRTPYNIERTPEFATTPEPPLRGDISPSFDGGVLGGRLTPGHI RQNLPSTPDQPDEEDFAPSGIALSTVTDLERIDESEELPPSRQTSPASHLRAQAKAVL GLGVGATPSSASPKPQPRSISVPNQPTTPSLLGQHSLLGPPAFIARTRAGSERPSFSA RAQTHSPPPRPRSASAVHRPSGGGPGRRTPSNSRHASPALLATVIPTERDESRVRSAS ADYMRIAKYGFETSWDTIDDADLSPTALPIHRPTPTFSTITLPAPSSIATDTPGPGGS GSGPAGAGAAERTRWIKKDEVATPGQRTLLGTERYNDTRFGDMPVGFWAQPGVDLGTP GTPF L198_01162 MSSEAPSNFTIRPALPSDAPAEGGPSGKDVVRLSILKSGVSTPG VSMPSAIKLRRFYVHSSLHGSGLAQRLLEETEQTVKDTGEHEGSWLHVRSENGRAVRF WDKEGFKRVGEESWVVGDSQLELITMEKAL L198_01163 MSSTTITLSTRPTSTTKPIAIPSSKSHSQAQTKLRSVPSLDSGY FENNSPPPSPPPTAEERLSVPMLADEAIGLLEKQDAKVGFFPLSCWGAARLSRPHLES AYRTPGSAQVACRVSSHLMA L198_01164 MGRLRRSRTHHARRDVHRAARTRVRTKDLDQIEMDLRPTNRGKL EKQPIDEDKPGLGQHYCVECAKYYESDLALKNHTKSKVHRRRLKDLKEPAYTIGESER AAGLGSDDKQKGVDDVIKKFEGFSGAAESSTAQQ L198_01165 MASISLSTVPLTDGTPVPSSIPPAVQSWGPLAIDAAAHGAIAFC QIYYEAYDEPTRRPEEIPILYLPESKIIWNGNPISSEPTALAEFLRSMPLSRHDLHTL DCHPVSSEEGSPPSLVMNVTGSVLHGPSVLQPSSDKPAEKDMPRKFHELFMLKVVGGG EGNQPRYAVHSSNFRFIG L198_01166 MALIPYPPTTDVKRQGVEIPGTRKEGQSGVWRSAIFDRAILSAP DEPGTLYELFERSATTYESRPLFQRRAEHPSSTSQNPILTKTLIATSYAEVKIKRDAV GSALLALEREGSLRNASSDAPGTKATPLEVTHPGVPHYGDENRKKGGARRGWAVGVWS KNREEWQITDLACQAYGLVGVSLYETLGPDVAQYITNHCPLSVIVASRDHLTSLLKIA PQCPSLQVVVSMDPLSSSEHNVLKQWADTVGITLLTFDELLQKGSGANAVQPGPEPGE EALELNRIATISYTSGTTGDPKGVVLTTENLLRTTISNGKGVNPRLIGQEWQFLSFLP LSHIYERFVELVVMYAGGTIGFACGDATKFGEDAQIYRPHFLVGVPRMWNRLHGAVTE QMNAGGLKGALLSKAVNAKLARWRETGEVIHPLYDALVFRKIQALLGGRVLFMGSGAA PLRSDVHEILKVCFSCEVVQGFGMTESVGTGTKGLGWDITAPGTCGAIQPCNDLKLVD VPDMGYTANDKPNPRGELCMKGLNITPGYLHNPKATKETIDEDGWLHTGDIGEIDSVG RLKIIDRLKNVVKLSQGEYVALEKLEGLYALDPLFASFLVHGDSTRSHLIALAVLEPD QAAGLISKVKGANVKAGDLEGLEKAVNDKEIRKAALRNLGKTARKYKLNGFETIKGVY LTVLPFSEDIMTPTFKIKRNIAAKRYSREIEEVYERGEGEGNEALKEAKL L198_01167 MSSSHTISKGQLVLVSGASGFVAVHTVKEFLKEGINVRGTVRSA EKGDYLKNLFKDLPGEFTYVLVKDIAQEGAFDEAVKGVDAIAHLASPFYVTNVSDANE LIGPAVQGTTGILKSAQKNNPSVKRIVVTSSVAAVTSPITKKSGLIYTEEDWNVDSIP YIEKNGVDDGGSQAYMASKTAAEKALWYFIEKEKPSWDAATINPSLVLGEVLQQVDKV ESLNTSVALFYQWASGQKSESDLPAPMYNWVDVKDVALAHVRALTVPEAGGQRFITSN GKFSGQDFVDSIHKHFPDVKDVPVGKPGSGAEATKDAIFVDGSKAQKVLGFKYRTLDE SVKDMFESIRTRFGTI L198_01168 MSSSHTISKGQLVLVSGASGFVAVHTVKEFLKEGINVRGTVRSA EKGDYLKNLFKDLPGEFTYVLVKDIAQEGAFDEAVKGVDAIAHLASPFYVFNVSDANE LIGPAVQGTTGILKSAQKNNPSVKRIVVTSSVASVASPITNKPGLHYTEEDWNVDSIP YVEKNGVNDGGIQAYLASKTAAEKALWDFIEKEKPSWDAATVNPSYVLGEVLQQVDKV ESLNTSVALFYQWASGQKSESDLPAPIYNWVDVKDVALAHVRGLTVPEAGGQRFITSN GRFSGQDFVDSIHKQFPDVKNVPVGKAGSGAEATKDVDFADGSKAEKVLGFKYRTLDE SVKDMFESIRTRFGTI L198_01169 MSGAGPREAIFPTRMNLTLTKGRLKGAQTGHSLLAKKRDALTTR FRTILRKVDEAKRLMGRVLQLASFSLAEVTYTAGDISYQVQESVTTASYQVEARQENV SGVVLPAFEGVIKNSKEVNLTGLSRGGQQIQKCRETYIKAVGTLVELASLQTAFTILD EVIRATNRRVNAIEHVVIPRLDNTIKYINSELDEMDREEFFRLKKVQGKKKRDAATTE ERRAGENEEFEEAGGERHADEGIGGGAAGGGDMLDEGKDEDVIF L198_01170 MPPRTAPAPRAVPPQAARMSTPMLFAVLIPICRLFFLFTPLSQP SSLNLQMPFAPSSPIAPRNEEGKVDASWKPKALVVTAHPDDEAMFFAPTVHGLGLAGW DVSALCLSDGNGNGLGHLRKDELYAGYKTLGVAEDHVTLIDNPDLQDSITTEWDPALV ASYISDSISANPVDLIITFDGQGVTSHPNHKSIPAAISHLSPASRPRVVALKSPETVA KFTGPLHIVYLHLKSLPCLPIFQTNPAAQAVLSQVLAMFNLSPGEQQGLCTAERHVLV NDVRGWVTGIKAMREHKSQMVWFRYLYLLGSRLMWVNELEEVKV L198_01171 MSGGVFFLDEERDHSPAPTAEDTTPSPPATAPKPPTLQIPSSST PLAAPIPTPAGQPLPQHRKHPTPFAATTPSFPSPLARAVTVPSHSDTSSSSDVSSPTH SDEERDLTEGEPERERRRSDQASDVSGSGSGAGTPRRWSAVRSTTPSSSIHRSPSLLR AGSPTSRPPSPVNNTTRPQPLTPGALLMRNKRSASISKQPSPTQQIDPSPVSSTRGRS GSSGSSQQDHSVSTAASSPLPSASSGKPVAIPKPQETKEANSLGLGWGWEGNSVAGFI PASASVSGSGSSLGSAITGGSLRDKSRNRERGDSISGAGGPPSPRRERGDRSSISSSN LGPAKSRRASDFLSTSASSKMPSVSGRASFGGNMAHLSTSASMVSPLNPLPSPWPANQ NTNLTSMGNHGGDGVMGTSLGSSVHSESALSNTSGNAGSSAGGSGTGAIKLNRVPTSV RLASDLLRNSNASSPGSTLDFVPEPAEKDDADDGSPVLPTVAPPPVDPARPALSTATP HPSLRGNVPHASDLSPKAPFKTPMVPLLPRPRPSLPLQEGTTIDPVKVHKYRTSLHEP PVTPFLSTSPRDRKQGSGSATVANSPTKLDSATSPFGLGLSLPPSPSLPVTNRDFLAP SSALTERPAPSLLTADAAMLPQIRRLSDKGPSREGLGKGPFGNDAASHADVIMQSRQA KIQRWGVGSGRDKSALPPAFARSASAGSPAMLAVRRADRQMEWGQLDRSVNTAASSPG ENPVGTGAGSLGALFKSGGRGMSIREDHSPKTAGPNTLTVPHIPLTPAPSSQGSFNPD AQHHHAAVPAGMGDIEWVDWLDCYRGYKEMKIKAEKDAEQRRASDGSVKGEKSPEQES ETTPQPPQAERTESDHDTTPVPPSASGLTSAYPPSSFQAPNSQQGQQSGLTPTTSRDS HMSSGSHVPMANNGSLGSRQGSLRKRSLSFKSLSLVDPRLSPTLGMKRREKSRQVSGD SLAGSATGSGSAAGGGEKKKKNLATKMEGWWNAVKSNFVPDAESTSQSHLKPSFTSPK KPSATPSMASVPHTSALIPHRSRSPVYLSPSPTRRGSPSHGLSLRQAISHNELRHRRD KDDIETASLTGGASPTKRDLLSVEDPDRQSVEGYRKSIDGWKEDIRLSRNSSADTAVP ASTLMPPPPLPSGPVRAPSTVIEESSPPSRDSNEPPSTGLPGHFSSGLGGSLEARRKQ PNLRLELSPHRFNSPFTSGSSNSASASVPGSGPIPMKVPLGQPLRDSEASSRSSVYGQ SAGSAASLGPGLTPGVPKWDQTPSPVYNFGLGLSQDDGGLLGPMLSGKGLAHGLGLID SKMGGELENQPVAPGVELTVGSVKSHIRRRLNGAKEACDQSLRRTVNSITKFVDGQRE SQQGQEDVPLDYFEKLNLNESPIVDTEDTGSELGGFESEGQGSRSRAVSSSRGPSRRP SVSRHALSPQAITSMLPTSPSRFASRRRPSAVPRSYAPGARNISISSKDRTRSGTSSR STSRSRSPMPGYRHPSSSMALPDSADDDRHFLEALQELIVLATEVIDSSLHALNTSGP SSCSAIIQRIQKTGGQWDSHSDWPGREWYVEILLAVANLGRVLDWWEAEKIFWNFDAE TENEPLVFVMKPSREREESRFEQEFHAAISSNRTASGSGMGVWGLGDPNYSPASMGGS AEASGRASVVGDVPPMLSLDVPSAELESPQTARPEEQGKGSPKAQNLDDLRFMADHAR SVNIVMELSLHDEVVEYVNDAIMEVTGREPEEVLDHPITDLLAPGDASVFSEATQKLV EDDNNTVQLRFRFEVHNFAQEEHEQRQIGPVYIELEGVGMLMRENNEPSHTMWVLKPV PATMVEAITDAAFPRDGHISTEGILCRICEREIVTWFFEKHNETCDALHRLEAEIAES DDCLHELYQTVVKLKSEIDNSAPSQPSQYEGVLFFTLPDSIAATEEVSTTQYQGVEVR KVAHEHLQDVLNVVCAARQIETPYVREEEADLSSTAQHYLSEDAESKLYSISRWQRPK TADSALNLLFTHVEEQLKRKQKAVARMQSTVRYSEKTRHEWEDKVNRMLDEQEDGSDS DSVSGSGSERSSSEGSPHEPTTAGNGDGPDVSPPGPRKIAALARLPITQGHPHRRLSA HADQSTQTSVAPTPTVPETLGLSSEPSPPSIPAPMPDTSRHPPEVSAEYRSSPVKGAR SSSRSGQGTPSRPESARPSSISSSPLLVPFAHDKHHRRVSASSRGLRDAPLSPRIPSA ALRSSVGQTSIKDFEIIKPISRGAFGSVYLAKKSTTGDYYAIKALKKSDMIAKNQITN VKAERTILMNQARSPYVAKLFFSFQSKDYLYLVMEYLNGGDCSSLVKTLGGLSEDWAR NYIAEVVLGLEYLHDRNIVHRDIKPDNLLIDSRGHLKLTDFGLSRIGLLNRQVGGPRA AYLPNLRGSGRQRPLMSRTLSNTSSIDSNMLSPSPDIGMPQVLTNATQSYFTPVRDIG SADDSSGSESAGIIPKHVRQMPAATKFTSGNVNSPSASSGREPARFVGTPDYLAPESI LGIGQDDAAVDWWALGVVLYEFLYGFPPFHADTPEKVFDNVVSRRIDWHEEDIDISPE ARDLIDKLLCADPHRRLGAGGAGEVKSHPFLATINWDTIATEEPSFVPEVTDPESTDY FDSRGATFLFHDDDGPAQVFKQAVGDNLTVPLASPSTTGEMDAIISDIAEQDDFGAFN YKNLPVLKQANDDVIKKMRTDSMVPLAQALEGKAPAKTKPRRPSAKDRSRRQTSDGAD APFPPSPSTSTSSSVSTPSRVNMPQPPLTIPSGPQHPRRLSELNALERVKTSDADLEV AKRKDVKQNRIRTDSGSSAGSASAELWRQRRQASLQLENPGKEWEAAASATGAPAGSD EARRTPAETRGLDVLIAEDNPISQKILETLLRRMGCRCICVDDGPGALAATMGNIKFD VIVCDIHMPVVNGEQVARMIRSTSNQNQDTPIIAATSYEQHQSITEEGTLFSAVIAKP VTKADLVKCFTKLGFVVSSGGSEASPSTSHPSPAHPIPTNTVV L198_01172 MPSYLPPFPPPPFPPGISPNANPGTPGQYPPQTPMPGMMGMPPM PPGMRPPPPGMAPSPARPNHPGLGSQYQPHHGLPRAPNLAPGPPRADVKTTKVFVGGI APGISDETLTNLLNACGPLYELKRVIGASGKPQAFGFASFENPEIVLRAIRCLNGVEL PDITPQGKADGAPKKKLVVKADEKTTDFLNDFEAALGRSDSDEQADAITRKSIQHIVA LLTDPNAQHPDGSAAAQDSHVIVPPHLQDLQEGDLPEDQRVAVLDQIAIFRENAAKRE REKKLMEEEKERFKAQQNQGQGGYGRQQPQSQGQNHYGNRGSGWQQEPPMRQFGTPQG RNSAGPSRTFGSRDQGQQRDPQAYDKPVNFVAAQTVEGKVESGRTDEEEEQFRRAAKQ RDLDIALRDAERRVEARERSRLTTLNNELQHRQQTSSSISSLASLQSALYAALDDLDP SNIRLPPNLGPRDASFFNDRNAWRARRQRVRANEYQADLRDRQAEEDERVRADRESEE FLRRQMAELKELEQRQRARGLLTEDAAPIKLALSAAAPPPQPKEEKKPVPHARPGVQF DEDEDDNPAKKRRTFVKLDDDNAANGGEAGLSEAEKMARRIAKLVEIKKDVPRDRRGL WRVPVEWAAISDRLNQNKIKPFINAKITDYLGEPDVDLVNFVLEHLQGKKGPDELRDD LEPVLAEDAEAFVILLWRQLVFESFAYKAGTETGDMMI L198_01174 MPDPCCINCRRSYGQNILLDFDPSGFWACPRCGLVDESATSAQR LVDFNEHGIQVDDNIRGTKAASWTDWREAKFEEHVKDLLDLYLGSKDPRTSILGTPGE NVRTSTRKWLTRILEADRQLFQRLQGHKKASPKTKFFVVIALKMALDENAVAVLHNRL RAAGFQTEMKSAWAGARSDERLPALSLSQLVARLSQFPATSFGYTDREGYLNLLHRRF TKLLRNALTPLESTLIFLTSISQRLRQIADASNEDRTSLLLTRPPAGKGTREWEAEEF SFFEDVEWEKVLPEAYRLYQIQECVYLWGNRSSPSIAIALLFWSIQSLKSLVVPSFSI LMEELSAPYGQQRWVALERFKEMRNLLVAWSTSFPEAAIPFPLLPLPPKGFIGDGVSG YGSDSRRGIPEIDMAVTVAPLITQHWRKLLQARSRTRKDVMPLADEIYLARKMFVVRA GDYALLLDPKATLPLHLRNVERPKLGRMSPAVKKFKVFADQARAEIAGYVPLWRVRVD DEAVKRRLEGLQIIPDGNDEPASQAKADKASLAASCQSIPAPETSGHGVYFQRAYPAP PARPLASSSSSDDHSGILMREREEYIKFRYATYLEAGHIVSPACATIMWDWLREKVET GSIPATITPEYLASIGVKADPRQGWDLGNWVEQKKDVWSPVECLLRAGVKPSEIPPHF VPQSPTYLKVILCHYHERAALLGLVDNNILAPVGKFEDEIALLFPQGGEENFEGMFLD DKERAKRERDYKAMEVVGKDEDMDAWRKERSTRERDALSDEEASDSEEEGIPFPPTLA RGEPSSPSSPSYNPPPPTRSRAELKHLAAIFNVPSHLRSKDQLELLAQAIGTSDDPDL HDVGNEDDSERFDELAFSSMGPSLAGVELGWGFEEAGDSEEDGEGDAPIASQLGAGSQ RKRKAGNEEKEKKTSKSKK L198_01175 MGQEQAPIASETTVGSLAPGTYLSLLPIVDEILAILHAQTVSPE RISPAQSSDQVAPKAKELSQALEGMKMSALSLPGGNLSTEDIGKLLDVLESEGDKRRR ILSSFETLSLPTVEELASAGPETKTEGERLM L198_01176 MSSSSLPPLTSSNPSLLPPDPSSTVSFPSDPPAKPLVRKTYGRQ KHVSPPPEPQPSSSPVDAPGPSPSKRWTSASDKMLASFASLDPGAGADKEDEDEVMDD QAVKRELERMRKSRQSRSNPEPEPAVSAPEEHSPSNAPAPEAEGAVLEPTQGDDSDKD DTEDTPKAAPRKDSLYVTVNGSTHSTPSLPELQPSSPPRGKFPSSNSLSNGEEGRSSQ SGASADTFMIQRERQERNETLSPEKQAKKGLKKKRVVVESDEESEDDVPIRAPRDRSP ETPIQWEDNGDEPADEPQKGEYDLGAAMEEVAEEEEEAERTRTRNEKREKELSRREDP LKGAGMDGLFDDDDEEQEKKSKKKASPQSSKGLNRQDKKQMHKDIDAAERSRTVHLAR PRTDSHNTMADWMRSYAESQAGFSRSHPSSGPAESISEFDTPVTERIAPAKTGSEGKV PSSQARLAESIVTSSPSAASNKLGRNGVSFKPSPRATPAPVGDEVDAGEEAQDFAAFA ADLDAQDDAAEAASALAAERAAKQAALYKRKLALVAKAEQEKSKKIRKKVKEEEGDSD VEIVDGPNAKKGKRPLSKLPNGATLHHKPRPSDQKISETYMAHAGKGFAHAHERSFNA GSRPAGQKRGRDRIISQQDLDKDLHDRIARQAAFVRKDREMIFGKGRVRVPERQEVDL DAIQQELSKRADEEVKDEDEEDRDEDDEDYVEEEETEEALYSGEEGDSVEEDEEEGEG TQEDEPGVKPVEHEEDEENVAFPKPKTRPSARRQIVPDSDDEDDAPASTFMPPPDLPA SATQRPTQTASRISVNLADDDVPMAPADAGGEEGLGDNFTAGFGDFDVGGFGDDAGGG FSQLFNETQVDNAEDALAKLRKPDASGLAPTLAALPEVEISETQMARDDALVMGVMED AAMDNRRESEAPKKMYLNTQGMFTQTRPNDWEDAPDPTQRPYDETQSTPYSHSQRPPY PSSTQQDTDDTPLSTSERDAADVSPTQPKLRRLKRRSSPATEAEDAEEEDERVATPEL EETQMSSAPRNALTALMDSRNRRGPSKPAKSKKGKGKETEYIQRQAEESDDEDMGGWG KTHDDEEEEASGDEDQIVDGLVNDEQRSEAERERDEELGRAKLREIEKADDEKRTKAA KDLTEGVHRKHRRGEDFVDDDEEEKGQRWYKRINKKVRKIVNEKTKEGLIGNESTDRF QAAYEEEQDPESDDETQAYHPDIFEGARGPSPELQRENTPPAQVGFLEGLKRLREMGR KNGERNMDTLDDDLDKEIGLNDDAFPGARKPLGDTFDNAIDADSAAMPEPEYSIARGN SKRRSGAPEGPVDDFVRNLT L198_01177 MTPKPPTSPTSHDPRQKILVLGWRKAGKTSCIKTVFQQVPAQEV PHFGVTQKIEQIDYNSIIPLQIWDTPSNFEIDQLEVPLSSFSTIVYVLDMQQDDSYHD SIRKFVHIMVRAYLSNPAIRFHMFIHKAEMLSEDYRGENYAEIQRTTAEEIEDFRYGD FQSLAPGMTSAHDVSLRAAWSKVIQGSMEMLPAVESLLLDFTSHSSTDNTFLFDMKSR VILATDNRHRTDELTEQVTEYLASFLQFQSLYKAIAQRPVEDNEDGEDGEDEDGEGEE DGGDDEEEEEEEEGREWWDDEDPDAPWMTQSTRLLPSTTLAMWQFTPYLALVVLLRTD TWQVRRGTIEYNLTFLRQGVREILTVV L198_01178 MPSITQGSNPSRQSSSSSSPSHDSHDSEQTLYDPRTLAHLPTQS QGPPTSEQGSRAILEYPAPAHSPSRLAHSTSRTTTHLPSPTSPQPSQRGPPPALEERE AWSKPPVMPTLRAHARLCAVLLALFIALSGLIAGWTVFMIHQLKTGSSSDSQGSDDDS ASNGQQEGNDDDDEGKWSGGVSPVTMVIDGIFIIAIVLFLALLIRQTSLVSSLFRPPP PPHGPNPLSNPSAHSFLPPWLLPRLPSYVETIGGRGAGTGDVEDRYIVGESLPVYGHN RGSKLLLRSESRGGALRQGENTYSPTDSVGSNHSRGEPLSYDVSEAMTPRPGMMGLSD QQRLELARDQERMVEEERRAGVRDIVEKSHYVR L198_01179 MPPRGRSRTPKSLSPSPPRNRDRPPPAGRARSPSYPSRSPSASR SRSPPPRGPRSDGGFKVVVVSGLSKNVQVGHLEEIFGEYGRVVRVDMPLFEVSGLNRG KAALEFENSSAAEKAKKHMDGGQLDGSVLKVDISEHPLPPPKAASSARRRSPSYSRSR SPSLDAPAVPVHPRALTTAAGHTLDPVPVLPRGSTGVDETRSVDLEGMVVVQVLADEA VDEEVGEGMEAVIEEATADREADEIKDGVDLTGVPWFLCANGASVVLLVERRLNTEAE AEEGPEAGVSADRAAIQEA L198_01180 MTTGKTLPESDVEATLVKLRSADPDRKVDIIQYFTVQLDGVTSL PESTIDPFLLLLPPLLRTPHSLLLNTVLSTFIPEFLPLIPHQPTSHLRIALLQVFPAL AEKLNDAKERVHAAAGNDIFVLGEISWKADPPLPTSSFGPNSGKSALSHGTTSKLGSS GPKDKETLSALWERQMKDVLQGRAKIAKVEAMKVLVKLREAVGGKMGLKGWLSVLVDL LEDGDGTVRDQARETVVALLAPPTTPPAARSELKKLIVAKNVRKNIADNIIARILGGE VTSGRSTPAAKSEVLKDDAVRSGAGTPASAQGDDVDIVYIASSHDLANEFASILPHFE GKETEHNWGPREAAVKRVRGMIKGQAHVKYQDTFVAGLKNGLLEGVAKTILSLRTTVA QASCVLLRELVEGLRTAFDNFVEYLLPVLGKMAGLTKRIIADRSQQIVTAIITHTNVH PRIFIAHIFSGISEKNVQIRAYCTNHLRTFLKIHAAHAKHQIESTAGLGEQLDQTVRK TLADVNPGVREAGRLAFWGYHAVWPAKGQVIIGTLDNQAKKSLDKVNPRDSNLETLSA TSSTSASSSAATAATTTSAGSGRPAGRSSGLAAMMAERRKAVKAAADKKAQLGESDGF GISPRIVSNPIQGSPNVQAGLPRSNSSMLLASPVPQKPTQLVRSVTSPEGSPLTAKAN PGLLASPTPDTSTSRRLSKSPSASPSPLDRQQNPRTRATSLGPSSSPGGGVKSPPPRE SPLRQSSTVPIGRSVESGRKGLPEFDGDGGLGLGLGTPVRGGPSPSSTPAHTPGMPGT PARAPSATPRNGSLAHTPAPSNGHTAQTHLFRTPINAASSRKAWEDSPRPEAVTPLMM EKLKERKHERSWWIKRQELMDKASPLKPTTPSPASAIVPDLESLSSGNIELRNLQKLA LFSSSHPVRADPEEEEDLSESERDVITSERKLWEDDSLFERLLDSLLMYLRPEKDKEL LEQGLVILWEVVQHQWCLVDDVGKLCQTLFRLRASQDAVILESTNALVSLLVQISDPM LLLLSLCSSLDKFLAGHPPASPSAPRLSEGQDPLTAALSQLSLGPEKETPEERIRNSG YLFGLTSVGMCVLRLSAPVVVSEGPKLGRIVMAAASNPSSIIRQASQSLLLSIQCILR NSNKTLSLVPSLSKGQKDLAIYYMAQNGILEENALHKQLDNAEGKEDIESEDGEKKEE REREMMNGELAGLMARGVARE L198_01181 MSLIPEHAWEAIGHTEYQLILYHPASHALQVRNQPHHDHFLSDN ITGSTISAGENLEAEDEQVTPILLCPSCARPLPTGAGNVPEGLAGGSRHPAPTNYFRV LEQVHGSTGAFADETTPPPFQNAYDDDPDTSQDLPSRGYYARFFHEEKRLGMGAAGSV FLATHHIDGDVLGQYAVKKIAIGRSKSYLHKMLREVRLLGALRHPNIIAYHHAWIDTT RFSKQAASPYHVYGPDVPALYVLMMYASAGNLDSYLSTRSYSHLPTNHSEGVADNESF DNLPKEERIKEFKRRRQSLRRPSEGGQASGNWSRRRENRAIMLLGWEEILHLFSDIMD GLSFLHSQCILHLDLKCSNVLLHWEEGQLVPRALLSDFGTSEEMLKGNRERSGHTGTM EYMAPETLVHDAVGHYRPSDSYADIWSCGMIFHKLLFLRLPYGDTEDYDALREEILAY PGFIPSEDVLWSLERRHMPPGVVDLLYRLLSLTPEKRPMAYRVKRLLSDIQEYTSQMG LQDVDSALKSETGMGEVALRRSIVDIPSDVNVQPFIPPRTILTRIKSRVSRSLRVATR RGYLRPHQPILPLALFSLKMISIQPAIFGANVPAWAAILLLVLALGDLVGEGKYWSWL LVGVHAGVLYALPR L198_01182 MSLMEKSRIPNPQFVQFMISNGLSCSGPDVSRIPNYAQKELLRK MFESVCAVWRVRRQWGRYPPGGIMVYQADGLCDACEARYFATLMRARHY L198_01183 MPGVRDISADAFITAYSSHLKRSGKLEIPTWVDIVKTGPQKELA PYDPDWFYVRAAAVARHIYLRKSVGVGALAKLHGSKNRRGVRPSHHRDSATGVERTVV QSLEKIGVLEAAPAGGRKISQDGMRDLDRIATAVLEAEREEEEEEEEEEEEDEEEEAD EE L198_01184 MFLTAKRTFNLLLHTPLVPLPTTATQPIELATISSASSPARLRV RTLSSLSVRGYDPATGTMGMSRMGGQRRGVSTEKGSREELYADEAGKSSASTDEISHS DAAFNKDANPETAAKGVEQETGKDFTKESPANADESLPLGKKGEKDGEHSMGTSRHPE KR L198_01185 MSRLIILNLPNSASPASLRQVLAAPASLSGCTITDLKFVPKRHF AFVGYSSDDDARKVKEWFDGTYAFGGGGKVKVDFVKDENLVIGEKKDRQDRKGKDNKE VKQPEQAEEEAAPRENKRLAEFMNVMKGVDPTINPEASSSKPEKKEKSKKGKEKSEEP EEPEADDDAEWLRRRQNAALETAAPQLSADEQLILSTGRLFIRNLAFIATSSSLSSHF AAFGKIDECHLPVSTTTGEPLGTAFIQFHSPEEALEAYKKLDKTTFQGRLLHVLPGRA RYGQEQGASAVEGKVLGKKDEARGEVKQKVDARRKEEGSRGVNWASLYMNSDAVAASV ADRMGISKSELLNGDSGNAAVKLALAETSVIEETKSYFENAGIVLEALQPRVPRSQTT ILVKNIPYGTTAQSLTDLFAPHGVLKRVLLPPSGTLGVVEFENHMDAGRAFKALAYRR LGNAVLYLEKGPVGMFKDSASTTTPMSTQQRIESEGKMLAEKVTALREVPDPSDEAGS TLFLKNLNFSTTTPHLQAVLSSLPGFSHARVQTKPDPKRPGERLSMGYGFVGFKTRDE ANKAMSGLEGFEIDGKLLEVKFAQRGVEEEKEKGKGGEMEGKTKSTKLLVKNLPFEAT KKDLRELFSAYGALKSLRLPRKAIPTSTGSQSTRGFAFLEFTTHTEAARAMEALKHTH LLGRHLVLEWAKEGDEVDVRGLREKVKGEVRGMEDGGREGKRRKLDFSGKGAEENDGL ED L198_01186 MPQPSPAIPALPGYQQYAQSPAYRPQQQVQPLQRPAGPPRTSYN YTQPPRAAASPTPYAHAAPSQRPAPVAVYNHPFTHAPGPLPFFTPPPGQKTTDPSLST APTSQALYTTYSSRLRTGVTNLVQPEHTTGSSKDREAFLADIERQISSDVADGKGSGA STPRYDSPAPFSSRRGAASYGGSSRRARTVNYAENISDDDDFESPSDGEGSDEDVEYR SRRRRTTGRRDYARDNQSTMGGQEAMQSQKVYKLRRRAEEMENGWTWLGDRTPGDRVR SQTAALTKHIYHTEEQLAQEAERPEHLLPITIDFDVNAAGDTPGFRVHDRFLWNVNEP FITPHQFAVIFCEDLSIPVTPYANTISDLIKSTIEESQNVAGIDVGDKDVGEDDVVWE EEKAEEEKAAEEQVAKDKIAEEKQDGVEGDEEEQEEQEEEEPEESIDKKSRARARKRR RVVEEKDDRVWPEADCRVILNLEVQITTHVLRDRIEWDLSSPLPPSLFAKQYCTDLGL SGEAIPVVAHALYAEVLKHKKDALDLELFAHTHPSEQAKWEPLKGAQGTRVNWRSGAK RLVGVWRDWWEREEYGPVLVEMTTEEMVKRDEARMKDARRIWRSANKDGRRAGRR L198_01187 MSEKHEKPQPKPEAAQDVQHSEASLDNDTEVDPEHFMLSKENKQ LLADNHAYVWRKDEQGNLLVKSSKGDPSDPRSWPNWKRYAIVGLASLLNNLVCLCVSG YSTGVRQMEEEFGFSAEVGTVGLSLYVLGFALGPMCLAPLSEFFGRRPVYLVSWTIFT LFQLPLALAKNVATILVCRFIQGFAGSTPLANTGGVVHDVFAVKEAGYAVAIYALSSA DGPALGNVISGYLAQEAGWRWLFWTYLIIFGTFLVIIFFFLPETRSTIILARKTKSLR AATGLPFWAEHELVKKDPGHLWKVTIVRPFSFLWNEPITYLCAGINGYIFGIVFLSNE AFPLIFGSGNGGHGWTHSGTVNATFGAYAVGAILAFLLTPLQESQYRAASARRGTSDP EARWWSSLWATPFMPLGLMIAAWTSYPHLPWIAPLIGMTMFGFGFYIIIAAILNYVVD GYGHYSASALGGVVFVRNIVGAIFPLFSTQMFKGMGNQWALFLLSMVSFLMVPIPIYF FFRGKGARDRSPYCAEHANED L198_01188 MPSPPPTRPLSRELKTSPHINPDGRFIRIVEVSPRDGLQNFKGK VVPTEVKKELVEKLLWAGVRSVEVGSFVRGDWVPQMADTPKLLPLLPAYTSPPPPPDP SSTSLTQHIPIPPSAQSIHYPVLVPNMKGLDNLLNLQTSSQASGGGSLTDEIAVFVSA SEPFSQANNHSSISSVLSSLPPLIAKAKENNLRVRGYVSCVITCPFSGPTDVEQVIDV AERLLNMGCYEVSMGDTTGEGDVEGWGGCGRL L198_01189 MSKVAAHCHDTFALALPSLLSLLPAGLQTIDASLSGLGGCPYSP GATGNIPTEDVVYALHKAGYETGVDLDRLVQVGRWLCGVLGVEGESRVGRGIAGRREG REREEREGRGETASGEETEDGM L198_01190 MSDSYKVTFQWGAGAQTVCVAGNFNDWSATATPLHKQADGSFSA SVPVPWGEKGAFKYVVDGEWKVREDEGKEWDAAGNMNNIYQAPESEAASSTAAPTSTA TTGASPTDSKHSHSGAGTAVAAGAAGGTALGGAALHSRGKDSTTKDSTPLASSEKSVP SKSVDTTSPVTTTSEKSAVPVQETKTEPSNLLAANVPGSAVGAPLLGKPLTGSETSTT GGALPVASHTASENDKVVPIATTGTVPSPSTPSKAQDIPPSRLVADATPSKSTPTKDA STSSAVPAAAAATGASAATAASTKDVPSAKSTSTDPAVHTGAGVSPEAGKIDAVNPRT AAASDAPVDSKAATDKAGATADGEPKTLSPGPVPVVVPAPTAAAAISGPDATVVKTTD PAGGVVGTAPEATPKEIEQVAKHANIGEAPLAAPVAVAEGEEQHGITERAAEYGAAAI GAIGGALGGAVVAVEKATGVDIVHSSPLSVEEAKAKGIDVSTLNKVDGASDSTVPTGQ APPASAIAALDEKVDELKAEQGPSTTSTVGQGSAGVAAVPLPGGSVAPNTGESSLSAN AAAFQPRKQHSIPAQPETIPDNHQSIPAPVFTTVSPKDPHKDRSLNASEGNKLQDTAG QKQVGDDPAVDAHEVKREAEAHPGGASSYGQTGQADVVGEGKPEVQAAKKSAAPDTPA NNLGVGREGDASKLAPQSEGAGNATVSKAGEGAVAPGSDTEASGKKGVTEAATGAPTT STAPSTPAKKTNGASTPSTPAAVGVATGTSTAASTPAKAAKTEDGQVNKKKSSFFSKI KHALKKDKK L198_01191 MALLGLLLLAVVLVSAEKQEVSDIPEEIAKAMHGGHHGAHEGTS MKKVFATLFPFESPAWNSILATFYISSVPNFILLAVPATLDPTSLNTMISFATGGLLG DVFLHLVPHAFFGEGHGVGEDGVKSVVVEEKRNIVIGGAIFLGFAAFFVLDKTMRVLS SSAGGGGHSHSHSHNHGHTHAQPEESTATSSAIAPSAELRSRNTSTSKEPAQPETAED DKEKVNPSLKLSAYLNLFGDFTHNITDGLAMAASFYSSPALGAVTTIATFCHEIPHEI ADYSILIKSGFTKSQAMGSQFFTAVGAFVGTFLGIWIAETSGVAAATAGGAVSEGQGF FGTTVGGAELVIPMTAGGFLYIASVSVIPELLEESRSGKQALKEYAAMAFGVFCMAVI AWNE L198_01192 MSHAANLTNHNADNYHTNASFVFSAANTAPVLGLLQAKEGEKII DLGCGTGELTKGLKEVVGEKGVVVGVDSSQDMLDKAASQSPSSIPYIQADIQSASAFA AAHPEYHHAFDAVFTSATLHWCKDSPEGVIELVGWLLKPRGKFAFEFGGFGNVCGIRA ALHHAVRARGIDPIPLDPWYFPTPRQYESVLKAADFTPSNVHLAPRPTPLPTSLQGWL TTFARSSFLSSFSDTDAATIMQEAAEISRIDNYWSAKNPGMGVKGQEGQEAQEEGWEV MYVRLRGVAFAPSS L198_01193 MVKYILVCGGVISGIGKGVIASSTGLMLKTAGLKVTSIKIDPYM NIDAGTMAPTEHGEVYVLNDGGETDLDLGNYERYLDVSLNKDNNITTGKVYQHVIDRE RKGDYLGKTVQIVPHLTNAIQDWVERVSKVPVDETGDEPDVCIIELGGTVGDIESMPF VEAMRQFQFRVGHENFALIYVSLIPVVGGEQKTKPTQAGVRDLRGLGLLPDLIACRCT EPLLTATMEKVSMFCHVSPRQVLGVHNVSSTYHVPLLLQDQGMLKYFWERLALSTVEI PTALKAKGEEIANKWESLTSGVDKTFESVEIVLVGKYTTLEDSYMSVVKSLEHAAMRC GRRLELHWVDSSDLEPAAQTENPVKFHNAWKAVCSAKGIIVPGGFGLRGTEGMIAAAK WAREQNVPYLGICLGFQVAVIEWARNVCGLKDANSSELVPDGPHSVICFMPEISKTHM GGTMRLGLRPTVFEPNTENAKLRKLYGSKDVAWERHRHRYEVEPKYVEQLEAPGNMRF IGKDERGERMQMLELAEHPYFVGLQAHPEFCSRPLNPSPPFLGLVAAACGLNVLEEHI ANNEKNYVDPHPQAAKVVPASEAATEAGKEKSQTGVEGVKVADEDVAGGEEA L198_01194 MIDQDQHVLTDTFSSPAGRNGGHCAPYSFSALPELTKPLSEGGA GLSIDDALDVLDLERRVLLWVEETVHREGWEIDLWKGEKVEGAPGSLLAIAQRMDGLY KKWAEARNKSEKYKDIPLEWSWTWDEKKAQADTRFKGAVAYSKGPAGSIHPHKLASAF LRSALKSGQAELYSWVPVQGIQKVDGAATVEKQSENGLWEVNLGKRGKLRARNVVICT NAYTPGLLKGTDIERLYALFHSTRRRH L198_01195 MDKKEIYGNWNDSYVQPAAEKWLGDFCKKNFEGWDEEAVGEGRI RAWTGIQCATQDTLPLIGSVPLQQLQDEKQGNEGLYIAAGFQGHGMARIVLSTKYLAE YITTGQWNDGLPSSFIITQERLERGNKAPPYITPGEKIGGVRGWVVGVVDGVQSLQR L198_01196 MPPPSLLLFTTSQTPLPLRYPHPQKPTLALPPLLHRSLHTALDR DDPSLRWQDGAICILDPQSLGRSEPLPVENGHAAPESVHDEREVTVKVHLVAAGPEDG PGSASTRAGYVPEALALLQTTKGLGLPDNLLVGFKGIDYKGTKTGTKDVAGPEKPSSP SLPLPAAPSTTVIPPELEAQVLAVWSHLFTSKSSLLQPGGKLGSMYAPLNLLKKLVER GEGGEGEGEGVEANVLDTPDCHHLPGEYAGYAREKGVQLWAGGGREGSDPIPSPHLQN SLHEFLPLLRSSPLLPIEARQLLSNKKLDKQVAVTEDGLGFVESGEGGVEVRWALSYT VISKTRNVVEDKGYIIAADFLP L198_01197 MAHHRSLNQSMFSDLVEGAQVDKHDLNALVLDYLLIEGFSDAAV EFARETGLPVDVDHDLVNERLRIRQAVEDGRVQEAVLRTNELDPEILDNNAPLLFHLQ LLRLVELIRFDNLDAALEFATHELAPRGAQNPEFLSDLERAMALLAFPDLARYADDSS PSDKKPALAPDTATLFEEAAFDPIKGLMKKAHRVHVARELNMAILENQGYGTDTKLSG LIRLMAWGEQKLVESSGVPIPEAEQHKGRAWADAVLGDGLEC L198_01198 MSGGYEFSHLFSASTIAFSPGTTFLATAHHNRIVVRSTATLQVV RTWACTRPPAPLAPSNKIKPTSSTVQDPSLDEFPIDSLQWSRDSMYLLVYSSQVKMAW VYGIAQEGESARIGGLGVEGLLRVEWGRGARDVLSWQEFDTKINIYDLSTGSTSVIQN AKSSSCHTYSHDSRYIAVAEKHHGKEYIGVYDVLDQYALIRHYPLHTTDVQGIEWSPC GRYIAVWDSPLSYSLHIHSALGPILAHFTPSSPTFSSTPNEISGLGIKLVTWAPSGRW IAVGGWDGKVRVLENEGWRCVCVVARGTRAVERSSTIWREPGDWLRDTRGRGIVQFDR QPHPCTFPTIRPDNTKPTPRTGISQLTFNPSSTLLLIRLDSQPNIIHLHTFLPSSTSE QPTVTHLASGIFAREVRRARWNPRGNKLAVATGGGGVYVWDEESGWVEEDSGVGDASV EAGRGGNMEGVGIPTRTEFSALDLQWSPDGTALVIQDRAQFCLLYDGDSAEEAKEERR DEESQADRSSFMWDGAGEGLHDVLEEGDE L198_01199 MVFFIIMFSDLECDYINPIDLCNKLNNFVLPEMLAHAFLTLCFL LSGQWLAFLLNAPLVAFNVNKVLGKNHMYDATEIFRTLSGHKKESFIKLGFYLISFFY YLYRQVSSDVSSLNKQANGV L198_01200 MANPRQRNKAKSSRSHKPSLAAKRRMHQKLRKAPPLKGPEVLQD KWDKKKTVFQNYAALGLLPSIPIPQQASSRSHRVQLPEVPESAQDEEEAGPVKVGFGK IIRDEEGNVIDIIIEGEEEEEAQKKAEEEMEVDEKSKKVEAKTEVVRQLELLAATSAP VVRHTSTSERTWLQQLVDKYGDDTARMTRDKKLNVWQKTEGEIKRMIKKAGGVGKLSK L198_01201 MPRRAPPAIAVAPVNTLLPPPLPSPIIQSLRKDWRWAAISQFVY TFSDAFGLLDWEIEALENDIDGDETVLVPTLIAKLLFALTYNRQINRDNAFEHLRKQY LKRLPDNNPFGTLEEPIEWATLGLGKKVEVLHQLCEWQMEDPARFRGLLKSEDDAVSW RIDPIGWDKPGNTYWLFDDNRIWIQRPLPLPPTQAKKSSLKAKRALKRARAQPPMKKS KTKPIPKKQAPPARKPKPKTPGEKKAKKERTPTPPPVELTGSRRRTAVAFYGNPTPTA LALKRASGGPAATPSRVGGRATRSSGRFSAVGLDEEEEKPASRAIRSRAAAPAKAAPR AGVRSSRRHRAPTEEEEDEQEPLPEKPSRFTRATRSNKEVVEDQAADEDSELSELTDE DEPLGPSSAAAVAEEVEPEPTDVDSPLSSIPPDLDAELPKEDEEEDYEPDDASSGESE NGEDEAQEEDGEEEDNGDDEEEEDIVKAAVREANAVPEGFVEWEAICITLYDYRTFPL QFASSKHPDEKALYSLLQKSICPPIIELLTAQEVEKQKAANFIARKRSSRIAEKESER EEIERRQNAEKEMVERMERTRREEGRAKREADAAEYAERSREERLREREERAQAREEA ALKKAEEELNAKEKAEKSREERKRRRKAGEEGSETPEVENQPQPQEAQQYGRGHRRRA DRWEVACEVCRTHGWNIDGDRDMVSCDQCGRWQHVECHDRLDGSVGKVRRNWDQVEFK CQDCAHRAAKRPRIDTHSNGHSHSHSHHSQSSAQPLSPYPILPGSAPGPPPPIDPAHP PPPPLQPGQFYLPYQGHQGHAGKEERPVGYAVYYPPGHPNAGERRISGGMDGRRVSDG YGSSGQQPPEGYRRTSQGYAPHQQSPLAQPDYLPSHGHPQAYPLGHSQPHSHQPPSHH YPPPGQGYASQGQSPQAPAPRLTLPPLHQQLPGQNLPSSRYPPALSAHPHSQPQHHGA PHLRQHPGQVYAGEGYPPHGAYGHGPGHNGHVVAYAQGQPRDPRLQQSPPQASVHAQR PYP L198_01202 MSNIIENVVGGVRELVTLAEGYLSPPDADESASEGSVPSRRPSP AQPLSIPTASTVSAATTSSTTTQLSTSKFSLPRHASLIQTFDVPSGWIHLPATYLFGD GGGDVGQRQVRSFGLRNVGGEGRDVEVEVESDLKGQLVFWVGDDEKSIPSSSSSTSSL STTTGSPSLILHMPPSSTTMIHLAFQPLLSNRSPVPSSPSFSDNDGGFTPRVKPVLDL TDSGDSGRLSPVGLDDAASGNGSDGVSVSAGSSQSIAGSLNSQIIPESTKRGEPIHRA FSVHGSISIRAVSALPPPAPPSELSHQMIALPFYATVCRSFFTAGAISPTSGLVSGPQ QSSGELVLDFGGENVVGKRYHRDVLLVNRSEIELVWGTMVVNAPDKEKVWFELRDLDS ENVFGVDHSAGPVPLPALSSRHLRLSLHSKLPVQDFSFSFLLTNPHQPGNTLTCLALG SILPSPPDESLQILTGPTLDFGKATDKVWSRQVIAVRNTGDRAVDVRFGKEEGYEVVF RLAGVAGEDVEEEGLVPIDSSGADGSGLGRVPKPVLARGTSRDKYSSTRASSRDHPSN ASGATISETAYSSSLQPTTEEDPESLASGSPSSRSLSRTTSRGSSSQQAVGYDGFGHE SEGEEVEPPFFGGSDLPFVVGGSVEDGDGNSAEREYTPTVPKPTPAPTTEEEIIPNQI EEVTMRPGTEYRIAVLHRPRPPSAASFSSDGENWEENAGKLRKSSFKVFLDAVPSSVR SNHAHHGSNPPGKSRRTISCSIMSCTSLIRLSSGNVIDFGQVTVGASKTTTIKIENLS ELEARVEIAAMSKVLSAEKGLIMIPAKGTVEEKMEFFPRRINEKYEKQIFVRNRLNRA NDQLVEIKSRNVDVYNLTLHSHLYRILTPSGSNFLDFSSVVINYPTVRTIHIENLSQA PLVLDLSASQPEDIGLFVREEDSIAKVRPGLMGRYAVEAQDYEQTSADGSGNGGNGGG KGKEGKGGELKERFMEELNEKSVNGKAAESKGKGKKGREKSETGGPKKEEGERPVGVS VGAALKKGSRGKPVQLYGNSVVFKDRSLLQPHEYLDLASGPPIASHRFSPRSKRAQLL ESIEWEDKSKLSGQHPKVPKLDFAAGAKATGSVGKEGKKKKANGTAISGGGKEHQHQV AKTAPTTGEHTPTTPTKRPHLGSLALLNKLLPQTSPSLKSPALTAKRVEPLLELPPAD TADVGKMSIDQLLAAVEAHDAKKTSMTHTTLEEEEAFVKRTISLRKELQGLVGSGKLV PARTLSVGPGQSRAVVVIMTPNPSIRPHISTRAKRADSRIFIKLVEFDKSLLNAARIN AAGSRPGTPGGDLGMPEGAELPIRDLIIRSSCVRSVLEVQQSSINFGACDKGEVKSKT IVIHNKSDTIGLFRLRTSGSIASGDLKLGLGRYGVISAFGRKQFDNFSFTPSLVGNYQ ETITVENVLDSWNDQALAVKGIVRKVPAFRVEPVSLDFGVAEKEKDQDKTQSFVITNI LRHERTFLVSVQQLDPSSFARIHLTRDEHDAGTALSKSEEEELEAMMQKLKIARRKGK AEKIVKYETRLLELGVPKDQLGAGMDGEGEEKEVDGAKSPAKKLAELATSVLVPAKEE KINIPSECITSLNLNLLPNQKTKILVDLIPRTALPPAEGGGEEGETLADVQACITVHD RKNTDETVSVSVMAARTGKPLGSRSIEASGSGGNEDEPSSPETASQTSFDPVHLALIR HCLKLTLNCEVSPTAFCVGSTLFLPAASQYYGVLKPYFEAFPQSVLGEAKGLILADGW SRQIPGNTHAEANALTNLQARFAEISGEEGEEDDKSVVTLSTLSLEIASDGEEGKKEE KGKGEKMPRVEEVLKDAECVATMEPCSYRTSGGPSCALELVKAGVRAVYLGVEEPPDF VECEGARILQSGGVQVVRVVGLEEECLKAARRGRS L198_01203 MSIDTTSTRVGQPEKSTSTLESKPLSSYTLKKRLWRPYVTPFEE IIAKKCQGQGTAEDPYIVDWLPNDKEDPQNWPSAYKWTTIFVVSWMTLAVALSSSAYS GGIADIMMDFGASRELVIAGIALFVVGFAFGPLFWAPLSEIFGRRIVYIISYAFLTLW TGAAAGAKNIGQLLVFRFLAGLFGSSPLANAGGTITDVLNANQRGLGMAFFSAAPFLG PSLGPITGGFLGLTQGWRWIEGFLCIFCGVLFIVGILFCSETYAPMLLRRRAQLLSKV TGKVYRFRGDAKKPLEIGSMFKMSLIRPWKFLFLEPIVTILSIYTALIYGILYLFFAA YPIIFQSGHGWNTGIGGLAFVGVLIGTILSVLLSIYVSNPQYVRIAKKKGGRADPEDR LPPAMWGGALIVIGLAGTAATDGPNVHWVAPIIFGVPFGCGIIVVFLSILGYLVDSYT IYAASVLAANSVLRSLFGAAFPLFTVQMFDKMGVHWGVALPGFLSLACIPATYVFYKY GAQIRAKCKYSADAEKQMAMIMAARMAQAKQEDEEARAEGKEVVYQPAQGDGVDTQPT EQEGGLGRISSRAGEYAPRASFSGTRPVSVPNPENPTSTIVGSTSATATGATGAPSTG APLSRVATHQHHDWTTYEALAGRDENDLEDEERVRLGELHEQFDGRLKK L198_01204 MRLTIIAPETIHEHEVSPELLVEDLIALVEATAELPPSVIVLTS DSGTPLTDPSRTLDSYGLNGEHATIFLTPTEQPVASSSSSGPLAGSDADIERMRLQAL GNPELMESLQERDAELYAAVRKGTQEFKTALQASQLRQRSREYEKEQQIAALNADPYD IEAQKKIEEAIKQAAIMENMEHAIEYSPESFGNVTMLYIDVEVNGFPRKAFVDSGAQS TLISPRLAEECNLMRLADTRFSGMAEGVGSAKILGRVHSAQLKVGPLHLPCSFSILEG IKVDLLFGLDMLKRHQCCIDLPKNCLRVGDVEVPFLAEHELPSEKKREEDRKVAEDMR DAAAMGKKVEATSSAAAGSSFPGAGQSLIGLGAPRDQAIQLLEASGGNVDVAASMLFG L198_01205 MSPPPDVDLDESPTGSPELLAHDTLPEDAQVPEVSMVEETAVTG VTFSPELWMQRRQWALQALRKEGVRSVLDVGCGAGALLETLMMPASTVYEPPIKPARQ QKGSQLPSPVDSDEDGFDHESELFINRLGGIDVSRDVIAPALSIINPQSSSNAFPPPR PRWEPLSTELWLGGLEKYNAKLEGYDSIVALEVIEHLDPNVLSRFGVVTLGTYRPRLM LVSTPNFDFNAKFPRAQEDGFAQRGFVDPTGRTQRVFRHSDHKIEMTGAEFRTWAETA AADWGYEVEVSGVGTSSHPSFYPPDDKHNANQPIFASQTAIFRMGSGMPLRSPRSVRT TPLPFMPASKESSHPHKLAGRFSHPRTAPGDGAKADPEAVRAKVREFFGGSSTPEVSL EELWGVLAIAAVCGGSKRWLVGSLGGWGDCAPLGQGGQADGEFEVTKSRGDGLSVKWT GWEPVSEEKEKDWGTPMEDVEADTGKGW L198_01206 MSSLASCIFCKIVKGEIPSQVHPLSLFDIFKLIETESILAFMDI GPISRGHCLVVPKYHAAKLSDLPDDQMSEILPALKKLAIATGAENYNILQNNGRPAHQ EVDHVHFHVIPKPADAGDKEGLVVGWPGQKTPMDEIKKTFEEIKSKL L198_01207 MSEYWHRGLRHLNFFRVHILVFTFTPIIASGIFYAANGSATGNA NSTLTGLQKVQYLDCLFMCFSAMTTTGLCTINLSALHPFQQFMLFVLFIIGDSSFVSL IMVLVRKRYFRTHCEQLLANDRSRRGLPEYSTTKSTVKRLKGKDVAFSGPMDGHKIES FGDFGHEEGQNGGVAQEEQVMSDSPVEMTFEESHRRSAESGEGRDAPYQNVPSTVGRS GDASRTLLNTGATVSRADSAQGTTTALKQPQPIIIQRAINPLFDARQRVRRQTRVNSI NLSPNRPTFIVNDRLPHDIHQPLVPVTSHVSHTSHLTHATHASKAAPNIPIPAAHDPK NTGMGGFPTLWQVANRLLPQSTKGRLTRPTRRLHIINHPAFKHEFAQGEEPGAGPVPE HEESWREELAGSVAKWLPEGLGRIVVGRNSRFWTEELEDEELEQIGGVEYRALRLLSY LVSSYIFLYQVIPFAVLAIYFSQVHSWDSAFEANAGTQAGTVNKTWFSLFLSSSAYAG CGMVLTDQALIPFQTNYLLIYIIMIGLLVGNHALPIALRFIIWIGTKLTRTGTRNESF HFLLDHPRRCFLYLFPSHQTWYLLFILIAFTIAELLSFLLLNIGLPVLESLGGWERFS VGLYQSLSVRASGFGIVNVASMAPAVLFIYIILMYVAIYPIAMSVRSTNVYEERALGV YEEDDPSTSSDAEPELKGGRGEVFSKYLMWHMRKQLAFDMWPLAAAVLAICVFERGKI VDPEKSEWFTVFRILFECTSAYATIGLTLGTPNNNYAFSGEFGTVSKLIMILVMLRGR HRGLPVAIDRAILLPKEYSRITAPANGLQPVQSHVSHISRISQQINPFTSSREEPNGG GQSAAKPVEEETKAVGTEAEWKEMKAAAAKVARGVEGAEKAQ L198_01208 MALAIAKQMDPTPDLLIVELAALFHDVADKKYTKPTDPTLSQTL TPLLSPHLPQPKIDLILSIIPSVSYTSELSLTRATPTRWTWQQTCAELHAVQDADRLD AIGAVGVMRCAAYSSNVRRKLLVDDVDGESAEGHFEEKLLRVRDRMKSVPGREEAERR HQTMLDFLAALEGERERML L198_01209 MSDRGSNPPEEKPKPEEPAADPNTLNIKIVSTNGEEVFFKIKKT TKLNKLKTAYADRVGTDAGSIRYVPSPLLLLPAGYRSLCPSLSLSLSLPSSFPSLMRH IHLSSFVGWMGFGINRLIFDGERILDNQTAADLDLEDGDSLDVVLQQVGGC L198_01210 MSPKPEHELTVPAHLPAPVLIIAMGPASCGKSTIGTDLASSLHI PFIDGDSLHPKSNVEKMSRGEALSDEDRLPWLALIRSTAERVCKEESEKGEGGEDGAG AGGIGRPGVVVACSALKGWYRDILRGVVEADPPPKDDLVEDHPAPNAHHRATSNLKTY FVYCCGTPELLQERIAARKGHFFGAQMLEGQLAILEDPRGEEDVVAVDIDGTREVVGQ RAVEGVRGLLE L198_01211 MHATRYKHPPAQRQVMRVLLMPAVYSIVSFFSYRYYTEYEYYIL AETAYEAVTLSAFLMLLMELVSMGTVDMHIGSVLAEKDKNKFPFPFGFWRFRASKPYF WHALSFSVMQYVVLRPLISIMGIICQYYRVLCPEAYSIHYAGVYLDTVDFVSISVALY GLIVFYVLCKDELKGKKPLNKFIAIKLIVFFTFYQSFLFSILQSHGVIKATAMWTATN VSDGLAALLCPPPPLSPLSLPPYHCCKEYKLIKNFPPTNSTDPSKNPHHQRETRSNFK TYLQAIWDTVNLSDFGVEVYRACRFFVNYARGKPGTHSENTMLQRTFMPDSIPYQSQE IGMINKHFSSNSSTVDASGNASGDASPNAGGGVGGGWGQSYAQEGGKGKGAAPMAQTQ PSSYAYADDQGQATQGQGYHHQSPFTQHQHQDSQGHAMHPSSSYEADSPGPGEYRRVE MDRGDPFVTATATDAVFPEARPTGTAGTAGTAGTGQEEEGGRGQTLQGGWSGETQVEA RDLGSGSAHWPEARPLGKWE L198_01212 MRLTTAPRNIPLLSLLIPVSLAALILAYLWALSTRPDVPENARI SDPLRWGFPAWYGESESPYDKVFKGTEVVEEGKQVLFFIDLPYDSLQIPRALSTLSTL RQNPALNITIISPFLSHWDADLPPVENLEAARCSEMDWVWRIGGSSRGVGDACQGAVW IREAVGGLEMDKRADVLLLEEPQMLLADEINPSRVEDKTVKGWELGLISYVRPAVRQP PLLEPPAVFYPLKKVNSPQSITYFPSSTTPTREIPSIPWKRGWSTFSPSRGLPPPIRA GHDPFRAGSTYLKYWHKEEKRRAKAMRNSGICLFEGWQDGRVDARMVEAFLSGCVVAT FPPQTDYDTLSSLILPLPKPPPSPHQTLPAQALTTLLSHTPIAQLRHLSLKSFISARH LFIPPSRLESIHQVVDRWEKGGRGYDFTHGFRWDCAGGVGAPWCGDGGDGA L198_01213 MSNPTSALPPRKGAPPTGTPQPKSTKPLTKDLLESFHPSKRFSG IDNTNPPSDLSGGPTEHHITSLAFDASGMKLICGGDDDQVFLYDALKGKMTKKLYSKK YGVDHVRFTHKSENIIHASTRRDDAIRYQSLHDNRYISYFRGHTSTVRSISMSPTDDT FVSAGDDGTVRLWDLRAAACKGLVNDIGGSSIAAMDNTGMVFAVACTSAQTIMMYATK TMDALPFLHLPLIDIALESISTPPPVPIFTSLSFSNNGHYLLVGTSSDVHYVLDAMEL IPLRRLVGHKGLERDEKGNKTVEPRRGISGAEVGWTGNSEFVVSGSATGEVLVWDLRP VDGAEKLNRNDYMGGSWDAPGQEAPDWTRIRIPDVVPTVVLNNKSDTPGPSRAVAFNP RYNQMAVGGRDLSLWIPPKMDEARLAAEGY L198_01214 MPHDDPSKSRRKSKKSKGKDRAHSHHDQPAFPTTRRDSVSSSTI YGGQTGGVLYDDEQASMGTYTQATTSAPFPNPLNKTHNFGIQATPGPAQYAQGVHSFW DATVPGSATGENMATAFGSWLDGRAADARATLTREDDYTNHMRDVDVPTQSAVTNQVF SQATEFLPLLRTAVDQSGDPEAVTRFICFAETLRTLSDELRYERFLRDEMTNAQGRAT DAAWKGWKMTRRGASLADGHASLDDARALWRSHEDDKATNSQDLLTDEEGEWDSMMRA IGDTPTEDILLSFRA L198_01215 MSFEFPRRAPTAAEISYLHQRQSAQPDPLFSQMFSQQSQPSFAS AKQQGPWNTQSFINTKCSAPPQQGEGRQFYPPPPDTLRYSQPPSGQYASTALTTGPPL GQNVSVHQVPRQSPWASQARKTYSVPPASIAQQQTTRQSQPAFQNTQTSAPPDTASVD VSVHNFGMTSKPASRRYDEKKVFWDANVPSTHEKTTSAYSDWLNERATEARITLEEKE EIVRKKRDREVKRQSEMTDKVFVDATKAARSLQNVVSQSGDEIAIYQFNDLVYSLRDL SAELNMERDIRNTVTNTQLEATDAAWQGWKVTKRAFDVASGNASLDDARSLWKAHDDE RKASEKSHKDEGVWHSMMSNLEGTPTYDILEAFEEALTSNPTQPSFYPQPTAPSHNSL SPTPFPSQFRSNYPLHPIIGIPVQQQQYPQRSAATNHLTPSMSSSFYQQPRQQQPNSQ GFASSIPPY L198_01216 MELVSVPYEAERHKAEVTKEDRDDDIPLGREDIIVASFVSYPLD QPADLLVARQFDIYLGYLLIVSGTSPWEGHILYVHRFTFETVEEERGARSRNAHTVQR TTSLSLPTSPPVTRSVHSFGLGFKPASRRFDENQVFWNANIPYSDERVTSACTDWLDE RALDARITFEKEEQAVRKKRDGEAKGQSAMTAQVFEDASKAARGLQRVVSQSGDVHAL SEFNSLIQALGQLSAELHLKRNGRDEVPEAQLRATVATWAGWRAFDVATGNASLDDVR SFWDHHEAEKRVTSQNHELPAVFTGV L198_01217 MPSKPPPPALPPSKIHALSAKYFTPFFKPQRVYTDPKPPSLAWS KLPPPAAEIDERPRGRVRRFRVWGQAAPELRVVVLDPRRRGWLVRYRSFVVPSPPDAE YGRLTPSKRPFVIAPQPFTRPSTPAPLTSPILSKKERARQHEKLLEHKWVLDMEHERR FKIGMMTMMKVVMYFQETNTTEFFKAIRKNSNNIFSYVDPRYLAPVPMRDEVHKEVKR YEKKEKKRKKKKEEKKRLEKERLEKERLEKEKEEKERVLVKERLMVKERERERDARKA AVKLDAQDRKVLTMSKGYEDLPMVRVTRSRGSPRSSAPTSPRPSRSPSSSSVFNDPIL KESRKLIQELPETGDVEIAQLVMSLCRLRNTRADLLRRVDEMERRKEQMRSNEAEDQR RRGEAKIDLMGARRSSERGTSSVTSATETLSKVESTPSPSSSSPSIIAPTPRHARRPR HHTRTPSLSSSSIQLRPGSLNIDDDTALPSSFLFPEMEPEPSMAGSAISFPYSDRQRS DVDSLHSRASLSEGEDGAGWNTEADLIIAREGGYGEYGTLDDGEILYNLTESAITI L198_01218 MNPGQVYTAGYPQGQPQQQQQYGDPFANPSPQRPPSAPLYNQQQ PWAEPQGSHHHASYPLQPISPISPNHAPRYSVPTAQPLPYGVSPAASHAPIPAVSSPT RTRYHSNPSYLPPPQHTTPTIQHVQAPAYSYPSGIGDRLTSPPPLMPHHSSHSNVGLP ADYPHNSYPPESYGNAPEDDMNDSHPLLAHAAPDPRFGIAASQSAMAMHPPPRPGTGV RYQLSDNGAGDMGVPMYATPGRAEGQAGFHAGDGLGHDNEDDYGNVHYGPIPSRIVRR NRTQKRVQLFRGHLVLDVDVPSMLLDQCPLRQGNEFTKMRYTAVTGDANEFADKYTLR QRLYDPPRQTELFIVITMYNEDDVLFCRTMRGVMQNIAHLCTRSKSKTWGENGWKKVV VCIVADGRLKINPRTRSVLAALGVYQEGVGKNMVNGKPVTAHLYEYTTQLSINSSGKI GPGGSGTVPIQMLFCMKEKNQKKINSHRWFFNAFGDCLRPNVCVLLDVGTQPGPDSIY HLWKAFDINSSVGGACGEIVALKGMFWKNLLNPLVAAQNFEYKMSNILDKPLESIFGY ITVLPGAFSAYRYIALQNDEQGNGPLKQYFIGETMHGSGAGIFAANMYLAEDRILCWE LVSKRECKWKLHYVKSAYAITDVPDTVPELVSQRRRWLNGSFFAAIHSIFHFGYLYRS SHTVTRKLFLHVELVYQTLSMIFAWFALGNFYIAFFVLTQSLNDLGSAWQYVNTPLHY IYVGLVLWCFLLSLGNRPAGSRIGYTLSMVGFALITVYMLFAAIYLAVRGIQSIEAEG DITASTIFGDRIFRNIVISLLATYGLYIISSLLALEPWHMLTSFLQYLLLAPSYVNVL NVYAFCNVHDVSWGTKGSDKVSDDLGAVKSSSDNKDEVDVDLPVEQKDINAVYAAELG VLSTKAPKEVTVVSEDQKQEDYYKNFRTNVLLAWTMSNGALTAGILQSSSGTSSLATT YMGVLLYTVAGLAFFRFLGSTIYLIVRLFAGE L198_01219 MLITNPLHSLQITALQLPAFLSIPNTSLHHFPLLIYHSAFPPQT CTVDDVTLQLESVGVVEPAWVYSFPDDGGRFHSGTHEVLVIISGRGRMSFGGPEYAPD ELKESEKNLARTELVVAQGDVVVVPAGVAHGLEEDLDGDFKVVGAYPLGLGNWDSLTL DYEKFGEEGEEQKSKPDGGKRKLRTREEVEETVRAVEWFEGDPIYGDVGPVVDVKKKM ED L198_01220 MATAEQQKQATRKLTYWLESERRIVSYRVLSRELGLRVNVAKDI LLKHLQSNPSLSSTYLLSGPLLSSSSLTQTQLSPSYGRGGGGGKGFRDLGEGMVKIVD MDERSDAGSDAEADADAEGEGEEGKVNNGENERLGDDEDTRMDGVGNDRGAEPGDGGL GGGEVIGEDGHGVRRERVDRWGVVFAADDMLEEKKRLFNSGDVSVHVYSLSPAPISDP SQFIIPNTSIRSHPETQYNTEVYGTLTGDAFKPAAQPVAAGVKKEAVQGKKESSAAAV FGAKKPDAKGIKKEVGQSSPEKKPTTKAAPRPSNSKRKVVTSDTEDDEPVPSKPAPKP STSSTSAAPTTTKPKAKTAEEEDLAALEAMAGMDDSDDEFSVTSFAKDASKGRGKDGE RVGRIPGKRSLSGRKVRRVKKTKRETDAKGYMVSKDYYTDESYSGESEPEQKPDIKPA PAKPKPPIKAQGSSSSTGSGSGAKKGGPGAGGKPAGQSTLMGFFKKK L198_01221 MSVVTKVHARQVDITTAKGLFRAEVPSGASTGAHEAHELRDGGK DYVGKGVTKAVKNVNEIIAPALIESKLPVTSQKEIDDLLIKLDGTPNKAKLGANAILG VSMAVSEAGAADKAVPLYEYLAELAGVKPPYVLPVPAFNVINGGSHAGNALAFQEFML LPTGASSFSEALKMGSETYHTLKKVITKKYGIDAANVGDEGGFAPNVSGAEESLDLLT EAIKQAGYTGKVQIGLDVASSEFFKDGKYDLDFKNPNSDASKYLTGEELANLYHSYVE KYDICSIEDPFHEDDFDAWAAYNKTATIQIVGDDLLVTNPQRIKTAIEKKACNALLLK INQIGTISESIQAVQLSQSNGWGVMTSHRSGETESTYIADLAVALRTGEIKTGAPCRS ERVAKYNQLLRIEERLEGNSIFAGAKGLSRGTTAPELHSA L198_01222 MQALRPTTLSSSQTPSPQYVRPGDSVDNAPRYSRPIPPSWAQQP GWKPKGKGPMSTRHGLDELDLRNTVYNTNIPYEEPVGSSTAPSMPFSKPSSTPTSNGS SANLQSPRSDPPTAPQTRAIPTQPTPPPAPPATSSSYAPPPMREPTPPPPEPEPSDLY RAYSHLKNLSSRPENYSNPALSGVYGSSVGVTLPSTSGGQAGNVRVVNVPRVPGQGVG AGQGTGTGQGGSVWEQKIGFEKPMKMWDEPETMKGIWEQWLESHIEDVDDEIAQWTAN IGRNLSSVRETYHRQDEAWGDAASALNDTLKSMSTYDPRNPAVQGMKQGLADVMGSMN GLKSEMDKGRTATFEWLHKSHLERYKAAQRGRVYGDYLVDTERGDLEFEEAEEIWKKE KKAAFRSDPSGQREESPFDQYMSSRTGTSPSSVHPSIVASHLATLFSQNPLLHRRAQM DPEMMKRLYDDVAQTLEGQQEDDESTEEEMGGWFAQQRQPSGQSFPSNNGASRFGQGQ TSFGSHPQAFFRGPGVSFAQPQTQQQSPFNRFRPPSPEMPPPGRSAPSPGNVSQETIS VLQEVLRTNPQLRTAASQNPEILRNLVSQIESQTGSRQQQTTPGPSQTSSFGFPDSQF GRTQPTRPQQQNFNSAFPGGRFAQPEEPYFEPQSKPSFGSNPSFGSQPSFGSQSQHQS QYNSGFQPSASSGNPPPQSKPQQTQSKAWTYIGRAATLINQMMQNNPRMQDTARKNPS VVETLVHELASKFAREASQGEESQQDEPPGRYAQPPSTSSFGGFSRPQTTPQSQSSFN DFASSTPSYAQGGAGRHYTDEASEKPPTSRFGSFFGRRPAAQQPPPAQTRPQTPSSSF GGPQSGPSQFQPQSQTQSQYSKPSFNSSGFTGSTAGPQQFRSMGGPSVGRGGGVWGSK A L198_01223 MWALHELAEKAKSRRITPPDGPSSSASVPAKRPRSPNLNKQPTS PLAKDGRKKHKHTTRENEDEGTKHQSKPSDRYASDDDQGVPPWGFSPEIVDKFPALTK RNFWCIQRHSATALHYDLRLQLDGKTVSWAVPKGLLGMSKSGESRRMAVETTLHSIEY TIHEAIIAFGQGRRGGTQLWDIGEYTIDYLPSTSDDGYETEKEREAEIWGVEEAQSRK KDNLIRQPDGRDQEDLFRQALYRPITQGNSRSIHFTLENGRKVPYILVLSSNTYGKTV ISSDGKEKKTWFISLPRGVNEYPWDRGGQDGDFYGRSVKSGMTFEQVCEGRADT L198_01224 MFSSAITPLPALRTSSHQIPAFVSFPNTSLVGHPLIIYHSAFSP ASATATSVEKHLRKVGVVEPRWRYTMYRQHHYHSTTHEVLVVTNGGARLCFGGPPEKA NEGRVEVRVRRGDVMIVPAGVSHALMEDEGGFEMVGSYPKEAESWDMCTGQEEERGEA WDTIRGLKWFEKDPIYGEEGPVLSSKDDKD L198_01225 MLSRHLLRLPPPPSSSSRYIHSSSSLFIGGARSVLNAPGNDRPW DRRGSQKAPRQYEKSPSKYSPEALKNRKLKGVQPATSSRRLLNFDTQDLEARPRENWR KHDVGYPSHKFRLETPVMPPNSKPQSYNRDDNIPETYIGELPAYPTPPKLSTAEQAAP TPFREFALEEGLIQSLEELYGPEGKTSPIETISFKHFTQPSLSSAPPGSQRVILGAET GSGKTMSYLIPLFHHLKSTDTGPSNDPSLDEALFPRALVLSPTHELTRQSTQFAKLLS HHTKLSVSGLSSTSSGRIDRRGVVDVLLGTLGSVRRGFGMRQQTKEQKDTEDYIRGKT IWPEDEVREGLVKGEKVEWVVIDEADVLLGGDFYKDTIAVLERIPHANLILCTATLPP FLLNLLSTHPFFHPSPAPAPFVHLLSPGLHKLPEKLLTRFVRPSQTGNKHGDVGHQVR LTLAEDAKAAKAAGIQLKDGEESKIVVFCNTDKMVEQVSSVLGTKKIDCLAWTGAGGE RLRGKNGSLDDFLLRPSLPGRPSSTQSLVPASDASDSQSGLEDPSSPTSSLEDETLKD LTSLPTPKHDDPLPSSRPCHNHVKPQPKRRVLVTTSLLSRGLDFHPSVSSVFLVQPPR DVLDFVHRAGRAGRAGRPGRVVVFGITGDHILDKTRRGPSNSVAPRIPREANSRENKS KGGVLSTKIKDLLRESETMSALGKTSDRERRAPDDGPSYRGERGERYNGSEARRSFGG RDGERGSAGFGKRGEGRGSGGFGFGEKRASGGSPGFGERGSSRGSGSFGRRDDSRGSG GGKKEFGKRY L198_01226 MFPFDAWTPGPGPLYTALTTSWAALNLPNPPHWLTTWVPGESPL STQKAVVAAIGTYLLIIFGGREIMKNRPAFKLQIPFQIHNVYLTLGSGLLLALMLEEI IPMYLRHGFFFAICNCQAFTPRLVSFYMVNYYFKYVELIDTIFLVLKKKPLAFLHVFH HAATAILCYTQLEGETSVQWVVITLNLLVHVIMYYYYYATAGGAKIWWKKYLTTLQIS QFVIDLFIVFFASTYLFSHRYTHNQYPNLYFPISPSTYSHMASKTTLPTVGDCAGSEG AALFGCGLLSSYLFLFIAFYKATYKKAAAKAKQAKEKATAVAAEVVGSSKSKVVVQNK RGTVVVTEVDERQ L198_01227 MGLSPRSLLLLALLALALPIVYASSGDRNPTFQHCLRGCSLTYC DPSQPPIPLYLRLFGWTCAENCAYECAHSFTDHIRPGSRYHQFYGKWAFYRLGPFQEP VSILMSIGNLYVNLQGVSEVRRRIRPENKLRPWLLAAGYVQVNTWVWSAVFHARDTPT TERLDYFSATLTISFTLLYALLRIFHLQTPLLTTRFLLPTCATIAFLVLGHFTYLLSF PLGSFPYGYHTLFNLVLALVHNTIWVLWSFSFKFPYPSFSFSRYTLSWPRSYPPHDAY PSPSPSQAGTPALLVALTTLAMSLELWDFSPLWRLIDAHSLWHFATIPLAMGWWSFLV QDAIELEGALMGQRGMGVGEKQEEKEKRLREGEVPRTPTFRELASGSARLASPSPSPG ARVRASPKAERNE L198_01228 MSPKSKLRAPPPPAETLDTRSSSSSDDGEELVMAVRHPEGEESD AEEEDGLLRSEIDEREERQTWVLEHSVGPHRRNGRITIRGFSLPKPLLYVLCLLPTLI ILNLIFSHSISSSPRHPTLSNGTHAYHPTTLLISLDGFRPSYFSSHADLLPNLHALAS HPAGLRAESMQPVFPSLTFPNHWALMTGLYPEEHGIIANDFWDPDFQGGKGGQFVYTD ESKSWDGEWWKGEPVWSVVEKMGRKAAVSMWPGPPVTSEGVSASYFNLAPSPKVDQIL QWLDLPLSHRPEFIASYFPEIDQAGHAHGPDSEQVEDALGSLDGMVGDLVRGLEGRNL TGVVDVVIVSDHGMTRTSNDRLVFLDDILGEGMKDIEHKDVGIRFNPSLPPSTLSAHI TTLQNAAAQSNGTFGVYTRQNNIFPDMQAIFFTRGPLARALRESRVGLVEGGESGVPV LQSFKNLEIFSLCTKLLGLRDVEPGHNGTEGFWERWLGLDVSTSIEDRE L198_01229 MVAAVSTEGSGLSSWGDWFKKDYRRPIAICALISICPIAYGYDG TYFTSLLETPVFVRQFGQLNSDGEYELSSGNQSLWVSIIQVGEIFGSLAAGPIGDYSG RKGGIASAITLLAIGVVLQMIVVGSSALLTVGRLIAGAGIGIISNAAPLFLSEIPPME IRGACVSSWQLMLAVGQVIGAAVGLGTHTMESTASWRIPVALNLVWVVLLVGVLFIVP ESPRWLLYHGKEAKAEKALMTIHGGSDYKDILVSEQMAILTKSREEEAEASSSESKWS DLWKNPVERRKFFATVGILVSQQISGVQFIFSYTTTFFALVGIQDTFIITIIVDCIEV LGVIASFFIVERYGRRPLLIYTNLMERGSGIFMFITLIIVGCMGAVAGQGDKFEPYLA AHPSLGKAVAAMICLYVFAFNLSWGPLAWVVAAEMSTGRNRQKHLSIGTALFWFSAWV VTFTLPYLFQPADAGLGPMIGFIYAVGGFLSVAFVFFYIPETKGRTLEEINFMMEARI PTRQWKDFDLATVVAKDEKKGLRGQVEHVETAAGGKPVADEIEAVGGERPGNKRFKSM WRDDSA L198_01230 MDYRPYTRDDSPPATDVKDTCTSWINGVPHVTPPGRPQLVIGDI NVAQSIQEVRPVPPVEELWGAGDAGIKDTSFKCQAEI L198_01231 MGLFFANDAVDLQPVSPPLGVHLNHTAQKPTTLVLKESVFSWTG DNFSVKDTEGHTVVKCSGKAVSFRDRKEISDAHGNFMFAIRNKLIAIHKTFVGEDKEG NDLFKISKKWGVGSHMVATFKNASNGQDTTLVLRGDFWGGSADIKVDNGPVVAQITRK VFNAREYFGDKQTYMVNVAPGVDLALIAAVCICFDEAKNENEDKD L198_01232 MSLFPPSPAPGFLRPSLFDLLAQDQLTDLFHPVLRYILSYLAQQ YPRYFLRLVNHHEETYAFLLLLLQRYHLKKHNASISEHFYGLRLAPHPSLSSSHLPHL SSISPLRSQGLSRRQRWLMLLFLVGLPYARARAQDYYERLANVDVGEDASRDEGLGGD PRGLTIVRPSYISIGKSLMNHQTQLAFKRIYPYANTALDASFLLNDMLYLFERTEYYR PWHRWLKLRVLRATPEDQIQTSSISSYLPPLLPPLLLLLKLSQWWYSPSSPRTSSVLG TEKNTTSKTHAAILPPRPLPILPSSSSSIVSIPSSSQTTPLPSDNSPPDIYGSENGEK EEIEGKEFKVDKADYGKCPLCRKKWQNPAVLPSGWVVCWRCGWEAVEGDDDDDDEEEE GEGAGEGEGDDGLKEEEEEEQEKSRKGRRGRCPITGVAVGPGQLRRVLV L198_01233 MSKNPAFPPTHTARTQPSRPNSSFASLSKFFKFSKSSSFPDELD SEQDDHASPPDTEEAEDEQALMWDAQIALIEHQPNLAMKLYAKAALPPHASPAACLAL GNLLIRGSAVMEATSTLSMERPVSPAASTRAAEKPYATSFWSRFFAPSFSPSPSTSPS PSSANALSPPLSPSAARKKHDLIAAGWHIPREGKRAVRDVEGMGVAGAWFVLGLGWAV ERAKERQRVVAAAAAVAAVDEQGEYLVGRKGSSDEEAIVFGSKKGKGKGMQMPLAVES PSTPGLAASSGANTSATDDSIETPREPAGGLFDKVDDVDAELLSVIYELLRPLLRLYR HGHIQSHDPVALPPISLQQLPPALKPRTEMEKGRNVWALGRVVCGKVKELGLVCDRRE KGKGSDQVRKAVMVLTDYILAMTCPPFQAAFHFRHIASTSLIGLEVADDLIVQAGKRL KTIVTGTPRDEAGLGGFPFPALTPTPKRAENEKERTASITSLASMLSPPRILHSTKST ASLVALADPEPDNELQSPVPLSSEAKAGGAVETLKRVQVKNGVWDGVEISAGEVDGEV EQGLGLDVPNKTIRAVASSPQLPSLLSVPPSHTNRRRLPFEPSQHDAIMPIDPALAEA ERRSALTKNVKCGVCMMKGVNFPECRRCGMTFCGRECRVGEEKAGNGKRHICGAWESR KLLSVPTAAPGRRSGSNGGLATSPRVAKVY L198_01234 MSASSETLESLLAMGIEPVLAREASSRFHTADAAVNWCFGDGAD WKPEVARDAAPGYSTSFNPRHSEGTFIQHREVVDVADSPAASPKPSQSQFASNNPFRT SPSVPARPTTSTTLAAPGSSAREAISVDDEDDQLKKAIALSQGQGQGQEGRGRGRADG PPPPSPSGGVGAEPDIAPLFGPSTKEDTGNMSLVPSGQNDHGRSKEDAEMDKAIMDSL MTASFHSASAQQEKDKPVPKQREEGAPVVFYSESGKYTPLAHILQAYGAIRELREIYH RVALPTSDGVVEPRIESLAGLFDKNDLDAQSYTDADGAIKSAKNGASVTLLPPLGSAL GTFLPGPYCVYPDDLAEFHEMLADEFSLHIKVKTLETFETTLTSAQTSQASYVQLRKG PGGYDIYTQLGNLFWPPSSSSSEDMGLSIIEPADILFVGLGYAAEAKMGELWKLDKEV VLDRFLERNKLWAANRRGLQGVAEGDLRRGEEKMEKLLKHEHLDTTITSSKSSRAQSQ QILRDKVSTVYEALKARRESLEVELEGHRKAASAGAFDTDDPEYLQHVYDLKAVLFHD GALVGNDHLYVYVKGDDGRWWKIKEHECTQVSWEAIRDDRTGIWMEGGPYALVYVRTS PRPPSPSSSTAANDTTTSTQPQSTLQADIPSENSSTDSLGLSLGESAPTPSALSEGGK EEKEEQLVDFGDDTPPVEGKGDEKGGNEDLVDIVMGEERDGFKLGEGGKWTLLGKAGE KDEEEVGRKEEKSAGAEAADDDTLMS L198_01235 MRLQPAFAALLAPLALAQQLAMTNPPSDAIAASHGPLQNLIITV NLSNDKLAEVKTHFKQVHVYGDGEKVPKEIAKEVEVWYSNNLGVPDYIGVDDVPELKL VQLTSAGANLALKGPLLGDKDAQKRIAISSASGIHVLSIPQWILSQTINLYQHIYLQT FNLRTTKKYSRDVPELPIPFALSGKTLYGKTAGLLGYGHIARETARLLKAFNVNVIAA NSNGEKRDDEGYIIPGTGDQDGKYWWRSIPSAYYSTNDPESFKTFLNQTDILIASLPS TPQTHYLLNRETFSQLKEGAVFVNVGRGDLVKTEELIAALDDGSLSGAALDVTDPEPL PDNDPLFTHPLVTFTPHTSSNVEGYFDVGADLLVENVKRIREGGKVINRVDPEKGY L198_01236 MQAHRQQHATPPPLPRLNTRSYSSSTASTTHSALLPPPSPLGAP ASIGMGQRSVSNASSASGFGLTDEIDRLSYLYSLRTAVLHHHLLSPNPGTPKPSSIRS NSSSRDPPLPSPPLTGTSPNGSRFTSFSFHSAPSYNTAPKLPDTPTTPTTPPPNLRRK SSAWTLGKGHKPEGEGSKLPKEFLLEFWGILGGEEGDQGWKTAVGAFLGMIKKGTKTP SGLNLREIPTILEAFTSNIPPPSPTCAPQHTHQSHLLQLLYNTLPRSSHFSPMSRAQT DKDRELLSRLRSEVQSYMLAPSPNPAGDGASSNLTTPVATTPKKSPVGLGRPSAQSVD AVRRKPSPIWDGDVNEMINTVGQVWGVRRDVMDRDILDIKSHGKSVELLYMNDLKRAL TAISSQPPPLTSSQKSRQAYLSQAFTNIYNDFPSLNAPSDPSEYASPARDVPGGGAGF FFIPSRTVEVFGRLASRCAEAGHSARTRDLLERCREVWGISSRREKEKELEGLVGRWG DSIGTSDEIPLSRTIADGLKLISSDLKAGDPLPSVMIQYLHILLSLTTSNLLTIFPSS RPPPPPPPSLLILFNAAPRLFASQEDSRKTLSDAQDELVGAAIGEYIGAVEEYMGGVG GGENKGLVEGLEKVAKWMEKEVRGVKKAWGRGLESALNPAAIILSRQLPLFLAELQAL SHPSPSGQASDIFPLYETTGKLLELWEDLVRDKEHDFELDVFFEPFVRGWLKETEGSE VEGWVARSVGMDSWVPEGENKHSQSVIDLFDFIRGSASIILHDLPLSEYKRAIYLIDY SKTVSFAVNQYASTVLALFVHDINPERSLSPSAEPAYQGMLSGKASSWLAKGQQAVKS LEKKKVDGFVVPPAACVKLTDMGAAKTCLEDLTFALEAAHTARIVKSHHLDTPHTERN VNHVFTVTVVRGQGLLGRGLKGGADAFVVVLDKGTGERRIKTKTILGAEDPKWEQAFE ITVGAIKFLELQVFDRQLVGKHEPLGTASFKLDPRSFTSTPTRDIVLPLSPRGTIHIR ISMEGGEKNDVQYHLDVAGRALDRAEGDMRRGIVEKMGEFIKAQLSVGTIQALMRPLK DKKRGKVPLSDDEIETSLLPLYDYLNDTFSIFSVTLTFDSRIRLMLYIWHRIIETLIS LLVPPLSEKPSHAQPLTSPEVDIVFKWLQIIKAFFNASENGVEHGVPLSQLQAGPYRD IVMLGQYLDLPTPSLKERCSAAVRAAGKTGGSAGRIEDGMRGMALDEGQEGERMAEVL LRIARTRPDTGDFLAHEIGLLTKARVEKQAGVL L198_01237 MPRESEANLPPTNEGYGTQEYWEKRYAAEDDGRTFDWFLSPTYL IPFFTQLTSNIPSAGKDGKDARILMLGCGNSALGEVLYDHGWKNVVNIDYSKTVIDQM RERHSEARPEMTWLEMDILNLQFADDEFDLVVDKGTMDAMLTTKGDPWNPSEKDVKTC TQEVTEAIRVLRKREGSKFAYFTFGQPHFRKRYMQDRPGFTLTYREIGPPEGFAYFFY TLAYTG L198_01239 MPASTFHVPPSPSLSLPPFYILHLIPCICLYSHGLTPTSPLPPR PSSLAPPWLPLPLRLPLLGSSSPARPSSRLSSLFPPLRPPLPFSQFSLLSASRPFVPA LPAATSSAPWIYDTGAGQHMTGDASWVEGVCPPTNPTKDALRTLYESDSEQDALCCTI PPSSPPFELGSDDAAAVHEPQPAPTKRIHSKDEHTPKEHNKKSRPTRLSASKTFPGTL GSPARVKRVT L198_06010 MPKAPPEDHRPARACLAAPYPLPYVFTRGPPDAESNGKSGPSHE PQSSVAVPSLLHSTPLITQPNPSGQISFPPVDPNWRKIQPAFPPIPYPRQDHEEIVRM RVRRLKVLKDVKDGSDSSSEWEVRSKAMSEAGEGNDESSDMLNSVQKSAMGILKDGQE DGVSQRDPITGRNNLLMYAFISAASHNTRDPSISPPSEGIRTPTQSRHMVPLISNGSG SDGEITPKARNQTLAVEEESDEAEEEQGRPGWDVISAASSFFSEGSDAEEADQEWHDD SPASARLVTPAAIARMTRRLHRPSKGRFLGKTNQLRFTYPTPKLRHQPSQSSIGDSDF TARPYHPALIGAAGGYVSESGSARTAVQIRRGRYSQMLEVGRKRRGGEPNTPTQAPRP LEHAHITSHGQSFQQTSPQHAIPQPSMLGLEGFTYANIPAMHHPSEFLFASSPSSSER PVKRHASPRNERWSSPQPMSVDPRTAGTSAAISWPHPLPPRELVPSDASTSQLVAMSQ SLGEMDVPMPTASTAGHVTFGKACHDVLLSKKEAARRRKERLRRDEGKDRRLRLLMPP PALPSQTQQSYPTGYQPYFQASPWAGDDHDARFAPQMPQYQFHPQLGWVPQQQFLLPY QPQNGQPVQYPAPPHHMRGNTPSYTQLSQLSIPIRSSPHEAKPARKRRRSLSPSSAYD TVPTPRRSLQAQTPTPTQALQAGYTLLPAAHISTPQMTGPTLRSPQSRPVQGTPPRGV EESGGDLWKAALQGGYESMGEENRKIARRDRWVQEERERTRAMSRGRSVKPQ L198_06011 MTSHPTSASLTTPYTSTFRHHLSPEHVLPKSRSAGPKFISQPQR QNSSPTPTEKEWEEGRLIDRPQLGWVEGRDWTPPMQIRGEHMEGRLLGSLQHSLGRRD TEGSASSMEFSGSGTSSNSLLSLGRSSLEHHPRPSIVTKWDEETAEMECEEGTPARDD DENVSPTSKFSMSQTFSPFSEDAGLPSPTDMSSLADSQATIQSFSVESPFSLNTAQSS SHSRSGSRSGFLPRLLMNKRKSSVTLREDSARNGPRAPNVTEDEDMMTDVEEQSPQRS RSRSFWEKAKAGASRSPFDGGLMSGSFGLGIELGPRRASKTVTVGEENGLSISLASDS SNDSDFSPSKSVSSRPSTNILARPPSIATLPPLPSFNRRTSAPDAVTRPALPRRTSAV GAMLPRLPRTSSPSIPTVNALRDANRPPLRRGATEPQKKQRPSLLSADTALNTPTSMP FADIRPSPSVFASAGLVKKKSRFSGVEIPKFGSEPAADHKRKKSFSQFGNPMMNPPSP VSPIRPMGRPFVPPLDSGVGKIISSNAAAALQAAQRTRGLRKKRSSMFKSGSSISSLD MIRGNSRSSLQGVSLSPVTPTKPDSMRYGITTPSPVRAAVVYPFASANAVDMDLFSTP PSNRYARPGALDAPIAERYRSMLAGSPIAEGRVVQLPMVRTSNPMLAANFKSAAPITT PARGQATESLASCAGKFGGDGITRLETDFTLVDNLGSGAFSQVWKVREKKTSKVYAVK AGKPYTGIKNRLRQLEEIAILRQLSLDPHPNVIHYVDSWESHSRLYILTSLVECGDLS SFLSLLSDHGGIREARVWKVLDELSQGIEHIHKHCFLHLDIKPSNILIKSDGGLVIAD LGMAVVCGAGPDGRMLGGMSPALPERDQQGGFVWEIAETPMDDGKAPAMVPSPIMDRD VEGDREYLCPEALCEADMIGKGADVFSLGLLLLEAALNVVLPSNGDAWVQLRNDDFSD LKGIYIPRSVTSSPPHNPPADDPNMPVLSGDILMVIKGMMKSNPNRRWGLEDVWSYPV VQKVRGMERGKALVEESEEWLKKILGEAL L198_06012 MDGAPPPEEDFSQIPLPERSQHKNWKARVSAYTDVISGSAKTAS DTDPFFQPFIHDPGLLKKWCLDSNAVAQEKGIDAVLALVQYSGETSARLRPELIPSIV EKALGAARAGTKKKGMELCAMFVEVENNGEGVVNDVLGGLSAKLPKAVAGSITCLKEI VEAFGVPTLGNIKPLLKSLNKIFGHSDKNVRAEGTSLTLVLYTYLGPALLPALADLKP VQMAELQKAFDSMGAESKGAGSGKPTRFTRKAQRDREAAEAAGGEDQGGDEGAEAEEE VFDPTSLLDPVDALALFPSNLEAQLGSTKWKERLEVLEECNKVLSDPKNAKLVDSNVD SYGPLAQTLGTKCKSDANVNVVMEACKVIEGLAKGLGKPFGKHRAALLPGMMERLKER KATVVDALGKALDAVFSTTTLQDIVDDVLTSLKSKNPQVKEGTLKFLQRSLQTTLDAP TKDQVKPMAVALVALLGDSAEPVRSTSAECLGVLMKILGERAFNPFIEGVQELQMAKV KDAFGRAEIKYKVGGPKKPAPAAKPAPVAKKPALKAAPPTSPLIKASGKFGGDDVDLN MEFAPPKRGPPARFARPGAAKAPASPASKPAATLEEDAGGLSEMAPPKRGPPARFAKP AAAQPAPAAKAPPAAAPPPKAAPSGKPGAAKTLASSPTEPVKFKYTPEDAAAQAAEVI PADFRTKFADSAWKIRLEAGEEMVKWAEEEGAEQVDAEIVMRFLGKTPGWGEKNFQVS AKVFLVILTFAQKSAAFGKPAAALAIGPLTEKLGDMKLKKPAGDALAAFAEKISLAFV LAQAYEPLSKQKAPKAQADALLWIKQQLIDFGIAGIPLKDLISFVKTALGSPNAQVRQ SATQVLVTIKVAVGADISGFLEDLNPSLLSTINSEFDKASSQTAPEPIKGQADLKEVA AGPGKGGKAGGGDPLDDLIPRVDLDKLVASTSVIAGSKSDAWKVRKEGFEALSNVLEV KSNSRLKPNMGEIGGVLKKAMGDTNLAVKMLALGIISKIAIGMGPPFEKYFKLLTPAV ASVCADQKVTTRTAAVNTLTVMSEAVGSLDGMYGGLGAALETANPALRSSVLAWLSER LQSEPPSSSSDLAPLAVPIIHCLEDRNGEVRKGATAVLPFVVASAGYDFVMDKTSSLK PASKATIVPLISNARGSAPAPSKPSAPAAAPAPAPAKAAPAPPGSVARVRAGVSGRAS PSSPAPAAHSSLPKPSGLTRPLAMKALSSAPSSRPGSSLHHGEDRPSALPKSRMAVPR PMSASSHAPSSAVSSSPSASTKVVPFSTYATEARAARLKKDSMRWVLDPSPKASADLW EYLQHQIEPHAAPELFALLFSKDHRAEEDFMSGLSMIRELYDVQTSGSMGLSDEEVTS LQLANVDLALKYSALKLLGNNTQLSNRCLEVVKEVVDTMTRMNERFSDAEAKLFVPAL VFKLGDTKFSARLAPIFESLDKVIAASQVVALLVQFGLEEKSSGKSCKNESLALIEKT YKRRGSILRTRDDRGFYEVLAKCISDSGTRNAALNVMALLQLQGESKSLSAVVQAMPS SSKDMLANRKATMAASKATGTLAAKVSLDSLAPAETASPRLRRPGGLPQPRVNAIASG SSGEGSPRPQGSPRPQGSPSRAIASPTSNLPRTTDSPSQGRSLQAPSALHRPAAQPSQ SKLQRPGDVFGSSAAPTAPAPSRPTALQPISRPAASKPRNGHSGPSVLEAINEIRHDD LDKCVDALKNIQSALNQNPNMFVDNIETLADTLMDEMEFAFTPPENLRDPRYFRVVKH LIQSFSGLSSNQDLMRRMNYEQLYAVLNCLSLRLVQADRMGGQIQELSRFINLVLVQC LSTPDRLLVFQVMFRLLLDLTHTFSSTQPDPESELAAHADLVIKCLWKRCKILEDDFK SGRLRPGPILAVLEEFMQGVGPKEYRKRAQQGVTLGDMPLRTVKTIIQRLLVYTRDAG LEIYDILVEQFGDDAASTVVYTYVFRLAGHEPSRPASSRSRSPLLPSHTGSERPDSSA SHQTASGVEPPAPAPEAKEDTEIEKMIKDLRSGNQTQRLDALYAFTKANPDKEGEINA ALAAHMTPTFQTYVRRMLELRKNNENPSPIREGSSSPRSPARPESMPASLKPLPTMSR KSVGPRPSSLALNVDRSAPLDAQLAQYKNLFRNQALNNSTRSGSGSPGKEGEQSESIG HPSEEIENDSRSARGTPRNSDVD L198_06013 MSRPFARLFSSSTRSSALLSTTTCSTSSAKPAPSLPAIRYHIFK EPLPYPVGLKLQNDIIDVRLEAKKKDPVGSKGLGDVLLLLEHTPTYTTGRRDNSPNPN ELHPEEKKVQNVGASFFITKRGGQVTYHGPGQLVGYSIMDLNVMETPTRCYVEYLQAM LGDYVRDASRLDDIYAPHPDGHVGVFSSPTEKIASIGIHLRHRITSHGFSLNVTPEPI TWFDLVVACGLDDVRATSLHELIKRAPLSEGNIPACLPSVQGAAKKIVPRFAEMFGRD VEVLGREGEEGEAGKIWELVKEAEQQAREENAKNGGWASEPDLNKR L198_06014 MPTPPLPDYAHWRSPQQQQTPLHDSTALPDLLSPRVTDSPALRP GKKDLMHPAFLPPCEHGGDGDMHAHAFDLLSLSGGPPSQPRRAHPQTTASTPQAIPSS VPSRPSYLSATKPQAPIPDKAGKENAPRQRRMSSSTTGVLGRGKLGLTGAGPTEGLGL NGVGKDSRAPTVTAPAGAGRRSTLGSSPAPQLFDFARTDRRPSMSKPAPSAPLPGRSQ SLATSVPTRASLRRPSQPAPVPLQDDIELDMEFDGDDDEDDGEIRSGRSGSADIDMED DDDGALKPEYEKLALGTGSGGVKGRRKGMVFKCETCNKEYKHPSCLVKHRWEHSPHWK EPTALSMSKHQQVQMLEAAAILAHLGPAKDTGRSLPSDKSLWPSILSPAAANEALPRR GSRTARDISLGRSPSISSAAPLTPSSLRDSTTLDTLVEGKDRDDREGSDSTTSSMGAS EPYIPRRGSISASHLSPRAVPNGLGIRSPPTSSPYARPRPMGLSSESRAPSSLSSSIP GPATPHSIGSLPDMNGLKFASSLSSSLTVPGSGMSPIPGRNAMPVYMKTGMVGGGMFG VRTQVPSSSVRSGAGVEEEDEEDSSEDKAREDEAENWGVKENKEREGEDWGMALEMEL L198_06015 MPTTKLPPPKPPLPTGPAGHTHPIKPLQGVSKTRAGPAQSGKAG YPRNVIFVTRNSALGGLMGKCRSLVVDEGYTSLTFHALGPAISHALLLLHALLDLLPY PKGDKGMWYEIKTGTVACVDEVGKKKEGEEEEEWLRDVGGVEAEAPERKTRLKSSTEM TLHISSRSAKRPAREAKSKQNRPSKRARANRARRLRDEEEEEEEEEEEEEEEDALNA L198_06016 MTLPAPVGYLYWYTTSIILFILTLYNVLYKLFHHARSKGFIRTA SSHDSRIGRVWRTGRALVDKHVLLAGIRRPPLKFWKKRPSKWQIMPSTEVWWRVGYSV GFLVLAFYRTGWDRHTYANQAAWLAVAQIPLIIALAGKNNLISFLTGIPYDKLNYIHR AAGNLCLSGVWIHAGGHWSLSHGWSKASWSSALAHWGFVGIFSITLLSLISIQPFRRY VFEFFLALHIALVALMLAAFVMHWKAMDVWLYPGVGLWAADRILRLLRIVYYNHIYPS KAILPPEEPSSPLSPKRSSNCKTHTTAQITLLTPSTLLLTIPRPSPRLSWNAGQHFYI SIPGVSRWPWEGHPFTAASVPGMMEEDGAENDQLAFIVRVRDGFTKRLLRYVEENLPG GGYGRKGMEKQAVGVRAAVEGPYGPRNEVREYDGVLIFAGGSGISFGVATLLQIIRDA KKGKSRVKHVTIVWMVTSRLHLDWITPLLSPHLKNLPPSLNVTLKIHITRPPIPLSSP CPSALPPPALRTSTLSNPSEHLHAQTELEDYLHRRVHERSEKHRRKRRWSVFSWASWG PRMGRDSAKSGVNTRRGTHAEIARGAVRKESVSEPTTGSQTSSTSRRSSHGEIHHPPP TNFAWSEHYTSDRMPNAQYISPIHSDHSGSPSATPMRRLSTAPMPPVMEGDELVLSEA APLPGIEEVPGKGTAGARSSSPTSGEFTEEGDLATRPRTLSPPPFPERRRHVSISDAP PLISPSPRQASSASVNFDRPRPSILLTSLKLRNHRSSSHAPGLLLDPELLAPPMSRSS SAESVDSTTSASSGRSLASFTAPDTPPSIPDLLPVLGLGQDSTTEESSLEHISDKEEE DVQRPSTPTLLLSQLSSATDTSPEEVLQGLALIGSIPDPQKRRESLEQWIGGVEGDLR KKANVLLGLDGEGGVVRWKTGRANLRGEIRGMMDIVSLPSGQSFEKKGASPQKDAEKN QPESVGERAKIWVGVCGPRSLLDSSTLAVREELSGKAVWGGGVRIDFHAESFGW L198_06017 MTSSTITSSPSPLHGSQPAGGSDSQQAVADDKLSPPYPYSLEPS QHSCQEDARQHQDLHMTQLRKWSLLLVFSLALFIDQWCLAAFYIFTTPIVDDLNVPFS QQSWVITSYAVTFAASLLFWGRLSDLYSAKQVFSYGFFSLGVISIVISFLPEKYSFFI FRAISGIAGGTSVPSAFRLIAGIFEPHELKKAFTLYGMAGAIAATTGNIVAGLLQLIQ PTGQMAGWRWFFRLMAVLIIPVSIGSLKWIPKIRGHDADVKNKWERLDLIGSLSVLVA IVCIILALTLGATNGWGSAGFIAPLIISLFLFPFFIWHESRLPTTHALLPPHFWRYPN FTLWIVFALLIQGWFAVEQLPWIETFMSVKGESSLKASVRILPQPIMAVIVTILAARF PQMTARPRITVALGLGCAIGANLLFIYAKDYTGLGYWKYLLPGYIIGPGACMALFNMN NVGVMTTVPPESAGVAGAVIQVSVQLGNAIALGIQAGLFTVFPDGVYNFKNLQVSWWV ELGWCGVWLIMFLAFYRNPKKQEVEDDMEKGKEKVVMGH L198_06018 MGKSQSKLSSEELAELQKNTYFDKKELQQWYKGFLKDCPSGQLN KEEFKKIYRQFFPFGDPSQFADYVFNVFDEDKSGTIEFKEFICALSVTSRGRLDEKLK WAFQLYDINQDGFITYDEMLQIVRSIYKMTGQMVQLPEDEDTPEKRVDKIFRNMDVNK DHQLTYDEFKEGSKLDPTIVQALSLYDGLV L198_06019 MNDFWTSHGFVSTPETTDAGSQDYFQPQQTFHPQPLQQHFFQAP THPQIQLSHVHPHVLRNQRSTSSLSTWSTVTSSSDTQSSISDFEDDAASISTFPTSIS PNSLRSVRSHGSTEKTLSLSIDPKLFRPLENRENGGDDEMLETAIPRTARRAPAPAPR APASEASSSSGSPPTKANGKDGKKKTSHARKQNADHIPRPRNAFILFRKHVVDAKLIP TSVEMRHQNVSIITAKMWSEAPPDQKAHFNELARIEKEEHLKKYPGYRYQPVYRRTNV IRRRVRKDEAEEEKCKNIAELLSKGKSGEQLEAEIKEKIANEKTKKKSQSRKKDTAAA SELSKGALRALRAQARQRQHQASGDWSDVSRATSIDPDTRSISTGRSSVFTRQSQSPE PHALAYGLGQDQQSIGGSDVMAYAHPGFGDIPMESQQFTCPIDTQSTYSQSSQSFPSE SHQQFLYPQPDSQFHPSFPQQNQHNLAGEFYSHDTALPFSPSTSQFTFPASSSSSWHD VAMGANRFSFDMFDVSHASQQQALQPPISARWDKGHLLPPSSDVPLENLPFDDGMMMG DFEAALVQADEFVAW L198_06020 MAFQSPLIAIPRKTTQDVDWTGPIRHVIAASYGEDPNAYQEECS VLQRVRQDAVRGAGSDFTGRDLLYKYFGQLELLELRFAEIKVSFAWNDAFTTKLTTQT SLAFEKASIIHLISAILSSIAQSSSRSDPEGLKRAYYNTRACAGMLTYINENFLHAPS TDLGREVVHLLIGIMMAQATEIFTEKLIEEKKSPALVSRSANQTASSYSAAVEEMKEF QGKGVFDRNWLNVLQIKSKLFASIAQYYKGTADNAAGKHGAALVRFRLADTFAQDAQR QSSSFNYTFVATATPSLPNDAGSNLHEIVKAHTTVCKEAKEQATKDNDLIYNEVLPSE ASLPAIEKLPPSPPITIQEVYANPEVTRLIGPDIFIKLVPLAVHESASVYSEEKAKLV RAEVERVESSEGEIRAALDHLGLPGEILKWRKIVEDDSPQGEVELSRELRQLVDTSGD ARNVERELSRLSNERERCERELRELSSALDNESRECERMRAKHTPQFTQSPSGPQTSN LRSNISANLSALSSAAASDSQLSSLYQSVQPAIALLSSGQSQLESIASQVAKGKPQNA EDRGMSLLDLQDEDEGQGGLSAKEKESLQKAIKEGTEKLERLGKIRRERDEVLKDLKE KIQADDVSNLLLLNRRSSNVEPQLFASELEKFRPYQTRVAAASSAAKSLTQELEMLIA QVQRGRGVKDLSRKDKEKSKRVGQWEKGLIKAGESLAEIRAGLGKGLSYYESLARVIE DLKREVRGFVSSRDQERSRMVSEIEARQRLGGAASPSTSGTRGLEERLSSLSMGAPPP PSRPGVSSATPTLPAPPSRSAPTPHYPPPPPPPKSNPYDFSSLGGSSAFSSAAAPSPP AHQQRQGSYGLYGYASPTSPSQGAQPQQATQPLQSPYPAPPSQPARPATQTYGSYTAP QAVYTAPPSQPGYTSPPPQQSYAPQGYGQSGYQPQPPSQPQAQGQGQYYPPPPTRPAY TSPPPQQPSYGGYAPPTSGYASQAPSGYTQQPQGYAAPPQTQPQPQSQTQGQQYGYQA YGYSDSQLQQQQQHGGYPQYR L198_06021 MLRGTTVIYDFNPDILSQIQAAQRRDQEEQNRYSSLITLGALQA NHSGDSRTRTQRSSAGPPTSTRGSGGNTATSSSSSAASWSEYLFTTPAAAIESPAIHE PRSQSRRHSILHAPAPRSTSTPPSASSRNHSHNLLHRILPSGRTHSRGSIYASEGQHY FPIDEETGEYILPPELDARPPLPLPLSRGTLGPGASTDTLHDSSVPPSLIATDESRLP EAIIEAAMSRHDRPLHIALPANGASYSPPGSLVSTPPGQQPGAMATSPASPRHSFLGF MRTRGRSVTMEQRAAAVPSNGNSQTTTSASLDAAAKGAGAREQSAPGRAEASTGGAVT RTVSTPLSGTNPSSSSARSNPPNNASSSSNSSPAPAFPDPGSNARPDIKTFRIRLVPH LESRRSLPFEPVIREMYPVAVTGRPGTTPIEAGSRVADTGSMSTVGGAGRATVRGKPV ALVLKIGRFTERGDRLPVPQPASSAGANGGWGGMGGIGGEGTLNPDIPHSAPANLSIA GGGGDMTSGKAAFRSKVVSRSHAEIWCEEGGKFWIRDTKSSSGTFLNHIRLSSPNAES RPMMIKDGDILQLGVDYQGGTEEMFKCVKMSVEIGREWQRGANEFNTNALKQIKALGG GDLAVLETPKGAATPGKKQKASVTDCCICLFSVTVCQSLFIAPCSHVFHYKCIRPLLM RHYPGFSCPLCRTFANLEEDVETEDAWEIASRRASVISRRPSNHSIIPSAAAAVNAAP PIPAGPPTENGTSTGLVTGSQSSAAVNELLPPTGNETLSPVATLTRQETVVAEPDPPL TNSPFEVGPAIATVPENEEMPITSTGPSAGPRPILQPISAESASNANFSSEAATPMND TFLSTLALAPGMLQRLELAEEMSSHGGTASGTAPASAAGSGESRTGSQDGSRRVSEAS DGIRGDIYT L198_06022 MFRSLRATSNIARQAAAPRGFASSSVARSYEDTIKNILVNRDTK VLVQGFTGKTGTFHAQQAIDYGTRMIGGTNPKATGQTHLGLPVFGSVKDAVRDVQPDA SVIYVPPAFAADAIIEAIENEIKLIVTITEGIPQRDQIRVYQALKSQSKSRMIGGNCP GIIADGCKMGIMPGHIFQQGKIGVVSRSGTLTYEAVNQTTLVGLGQSLTVGIGGDPFP GTQHIDVVKVLLADPKTEGIVLIGEIGGSMEEEAAAYLEVHNKNSANPKPVVAFIAGR TAPPGRRMGHAGAIISGGKGAASDKTAALQAAGAIIADSPAQIGDLMLKAMKAAGKA L198_06023 MYGDLALQLVTASHRTTLATTPQLPLPKYALPLILSICLETRQL GASITAIAENHGPMSLTQDRGLVCNLTVQHLAARRNKRCLLAYLMTRVGGIKERWWDA GGGLAYLLSPAASANVNPEADAPDLRSALSPQELDFMRGYNSLLLDYKSEFLDVLDLT AGIEKPPGELMVDVRVVKDAGEVFLDSGERVDFRKGQRFRLERSQVERLVIQGYLEEV Y L198_06024 MSRVAQGEVIQDFLDGGNYIRDRLFKSLDSTKERWDTKEEGQKA QKALEALEVSKGANHVKAEDVDCISNQTGISKEDARAALIEEKGDLVKALLKSVQPRP RARSVDGGAIPK L198_06025 MSTVSDGILLADPYLQYQPGLADSLPIQLVVNGITGTLLCVLLI HLLFTTQYHYPLAPLNYFLQLSSIVVVLISVIIKLVVVLDYCTAAADKWPYNLDYVAV TIPPTSWSTGQNAAWFLLQALNAGLSNITHIQFLTLLYPSRTEARLIIFVLGPLALVS SALVFTALTKHQTVLDITDAIRNVFNSTLLLIFTISLAIWGIFVNRRRAWRNDGGTAV FGVGSLFLATVSTSFNFVAVAEDGIDWLQHLLFAAVLWQIWLGWWWWVGSGMGIGEVE DIMAKAERKKRKQAKAAARARSANAKSREGLGGRTRTNSFAGLADGITTGVTSILRSS RTGTITRRVARRSTTDESLAEEGALEMDDLSRRRRPLESNIPGQTIEEGEPHVEFSQP SNEHTGEGSSTNRNLQQSTNSETSSTSATPSLHAPRTVGEVFSYPTTWLVVYLSGLRR AHQEAAKKAAIERAEIRQRVFEQGDRSDRGRDSARLEAGVANGDDVGWGLGRFGIREH EESARRLREAGDNLNEERLLGDTRRSGSRTRAGEGEAEDTPTTRPARDGRETTDTEWE DLDTSSDSNEVRRTRKGKKRRRRGRATSEDEPDESGARDGRRGQGSGWSWWGPLKDWR LNDRAVY L198_06026 MDDADPISNFFPEATASDQSSILYAALSLTSTATPEEIRKSYRR LALQFHPDKHSSKSDSEKEEKAKQFQRIGFAYAVLSDEGRKKRYDKTGRTDDKFAGAE EMGWDAYFESLYKRVDRKVLDDDKLKYQGSDEEKDDIISAFNHTSGSLPDILSYVPHS THQDEERFVTLINELIDEGELDSTPKWKKTSTDEKARAKRAKAGEKAAKEAEKAAKEL GVWDEFYGTGAKGKRQGDKEKKEEGGGDGSLQALILKRQRDREGALDAMEEKYRKLDE EARATKKAKKGKGKKEDAGEPPELSEADFEALQAKMTGKKDSESKPKKKSGKTKA L198_06027 MSATVSSTAAAVANTTVSAARASLKAKIAAEKKIMTARSLHMVY DDWIGIAILFGICWFFIIPRFIARVRAPTTPRGHSLTRGWFLRKGTDESIDGTASETS SQTVTDVGATTTPPTHVKPLISYIPGGSTLFFYRPFQNLRWGFKSFAKMYDVYLLALY LLLVCFTIAWRSPLKLKDATTGYVADYKRSGYVAIAQFPLCIALGVRNNIFGYITGKA YDRLKIYHKVTGRIMFAATIWHVASYLNRWVVNGVFYRNSAKHYAKFGWLAFGAFLII MFTSLPWVRNRCYGIFKAAHVIGFILMLVGLGFHVDREVSVPITVSSGVVYGVSMICS LTKTRFASAEIVAHPGSDVVTVTIPALKSGWRAGQHVRLRVPATGGVHFLEGHPFTIA SAADTEGAVLMIKNTGDWTRKLYKLAAKSAGDAEAPGAPLKTTVIVEGPYGGMGNTLP ESFSSVLAVAGGSGITHSLGLASELIMAAPSGAVRPRTVDLVWMVRTTAMARPLLPTI HGLVNEARGWEVKCLASKEQTVQPTALRVHIHVTQAANAADIDVMNFHSVPALENEKV SVDAPLAPSADAAEGNVTPPALSPADEVDPEKLAYMTNHPGSNSQLASTVLSGVVIHP GRPQVGTFVHGIVDETISRHAETGAKANGVCVAMCGPDELLVDILKAGWQVEQSKQKA CGGLEVEEERFGF L198_06028 MRTSVLLALLGTTLALPSPKISSVRRPNRFGRPPQRREIITNDA NTTASSPTTSAPKDNIWNFLTNDEAAGVIRFLHNQTVLNLTAVDDAGDWDNTITVVDL LPPNKTDALSYMDGDGAKPDRYAYASILFGATEEPYAQDFVVGPLPVSSATTYSPYTY GSHSEDAKIRVYDLDDKTDFLSDITMSMSDIISDILNATIDTADDLAETFDIFGIDPL WHQLDENGNDRVIFWAGFWRFPESIQMENSTIYFDGETLLPQGLYIQCDITGRDQSMW GLMGILYGDEYYSSVDEFRTAWKQSDFKKYAPNYSGDWIGTDQTGETMPLESQAPPLS VQPAGQRFKVDEENRYVEWMDFSFYLTFTRDTGMRLYDVKFKGERILYELGLQEAIAH YAGNDPVQSGTSYLDTYYGFGPYAFSQVPGYDAPLYAYCMNTSFHAAELSKSHRCGIS IFEADQNHPMQRHSNSAYVSATKNIALTLRSISTVGNYDYSFDYVFYLDGTMETVVRA SGYIQSAFYANNTDYGYQIHDSLSGSMHDHVLTWKADFDIAGQENTLVKHIIEPKDIK YKWNNETRSTMHLVRKEITNEDDGKMNWSANAQEQVVVVNKNATNKYGEPRGYKMMPS RGGAGMHLTITNSSNLFNSQGFATHQYYVCKRKDSELRASNAWNDYDTANPIVDFSKF FDGEDIEQEDIVVYFNLGMHHVPHTGDLPNTVFTTATSGMMILPHNYLLSDPSRQATQ QIRIDYNDNRTTDVYTWGHETASGTVNLDQISWDPYSYYGDVAVRKFPYDPQNPFNDT GSVDVEAAPLQQDAPCSKGRKSAPFVRRGLIVLTFLAASIWLSPPIGRTPSLTHYWSQ SATPLDTQCDTLLTASAGTHTVRLETLVSSLPPSTVWVAEPGASVSYFIGSFSSEEWW LSERPFLVVVGQSSNGSPRVYLVTPTFEALRARLLELPEEVRRIVRWVEWREDQSPYQ VLGAALGDDVESFVLDPMVRHFIGQGLRKVLQEKTDEEVLASVSLIRERKTTHEVDLL RCANQKTLHAIRQTRIRMYLGINESQTSKILEEEMAKTGLIGGEGLVLFGEDAALPHG SGTNRRLGESDLVLIDAGGKWGGYVSDITRTFALPKSKIPQHHIDLWETVRQAQYAAF EFLKGINASSPPKLADLDRSARNVVSAWHRSEPSSSSPAVDSADDASVPDFSIFTHRL GHGIGLEGHEVPYLVQGPLGERRVQSGQVFSLEPGIYLPQNGEEVHGMRGVGVRLEDC FVVTEDEQGWLGGEWLSGPMGAWGDEL L198_06029 MSEFKEYGYGYSNDNKKAEDYTTTEVLAADDIDVESPGIDDVPH DDDGLEDKYKLKRGLKSRHMQLIGIGSAIGTGLFLGTAPALADGGPLGLLLGYALMGA VCVCLMVSVGEMIAFYPVPGGHIRLAERFVDPALAFTMGYNMVVSSFVSLPSELSAGA VLINMWNTDISNGVWITCGLLLVIALNLFGPGVYGEAEFWFCSIKVLCVLGLMLLGII LTAGGGPNGEVIGFKYWKDPGAFVQYEGISGSLGAFLGWWAVLLRAGYAYLGTEGVAL AAGEARNPRRTVPRAIKTVYVRIIFFYIVTVFLIGMVIPSNDSNLSLSSGNALSAPFV IAIRRAGIPALPSIVNACLLTSAWSAGSAGVYTSSRSMYGLAKLGIAPKIWMRTNRYG TPWVGVLTVGLFGGLSYMTLDGTASEVFNYFTNLTSACGLLTWWGMSFTYIRFNSGLR AQGISRSSLPYAPIINYRALAAWFSLFWCSLILFFSGWSNFLKIDGESNWSTSGFITN YMPVWLYPMWWIGYKLVKKSKFVRSNEMDFVTGIAEFDVQDYKNEAEMAEKKPWYKRV FNVFM L198_06030 MSTEEIPKSVLPYPPIHKDAQFVVLSDWDGTITDRDSNDLLVDN LGFGFDKRRALNIECLEDRICFRDTFKEMLESIDKPFEECKEYLKQHIKLDPGFEKFY KYCRANGIPVVIVSSGIEANIRAVLSTLLPGPEAEEIEIIANDVKFTDSEGKGDKWDI VFRHPTSGFGHDKSRAILPYRDLPHRPTLFFCGDGVSDLSAAKHADLLFAKTMPSGHS DLQTFCQKQKINHLPFVDFNKVLEKLQEVVAGKTVDDILSEEGKKY L198_06031 MSQPSTSQAPQPNDPPVDSPTSQTNTSLHRAKVNASYLLFGSAA AVAPASFATRSALTTTRYALKYIIRRLLRYTKYALIGGAVAALGGGLIGTLGSGLAFF AAPGIGVGMGIGVITATVKFGWRHRGNHFRGGIWDGWAGMKERAAEGRDPAQDEENDA KGTAEQVKVENQAKRADVWMRA L198_06032 MNKGRPTTVIPRTVRPSNKRGVSTEKKTFVKSVIREVAGFSPYE KRVMELLRNSKDKKAKKLTKKRLGTLLRSKRKVEELSAVIVEQRRAGH L198_06033 MFLSRQLSPASSLASRSFCSTCRLLQAPKRLGEPSSQPLRTRSS DGTSSAIDASSERRPRTPKRDRQVDKSKSHARQSGSSEPKLWRDKLLLLGPSIDSGPL RPKRPIPDGSSRTQRSPRALDGSAPRDRERDSRAPQSNAGPSWDSIAREKDIRFIGID LGTALPRIFTSRPTIQYTTPLFAIRLFPASALPLRPAPPFPLHAIARKRQEMKAEAAC VYAVVIASKAKVSKLAVERNKVRRKVMEAMGKVVNAPGMGKNLVSPEHAYIISANPEV YDAPMETLVNDVERSLVTIRKKMDKAGDVKSGAELWLPEPRYLSKDGKRW L198_06034 MGLRAMRSREEEEARELEAEKAGPDPYQVQFEPGENINPKNWSV LNRWFLTGIGSLLVLNSTFASSAPSGIVTDLMEYFTFSQEVAILTISLFVAGYCVGPL LWGPLSESYGRRPIFIIAFFCYTFLGGTFASAPLTNSGALLADIWDGKNRRTAMNWFA LAPFAGPSIGPIVGGYIFVAGASWRWVFWTLTIFAGVCLVLVVALIPETYAPKVLANK AKRLRKETGDDRYWAPLEKSDTSFKGRINDILLKPFIILAKEPMLQAVTLYMSFVYGV VYLLFEAFPFVFVQIHGFNMGENGLAFLGFFLGGVIAVMLFITVFEPRYQKAALKRTP APPTPETRLEFCVISGVSLFVAMFWFGWTAYPSIHWISPVLAAGLLGIGTLGLFVSLF NYMVDVYLWSAASALAANTFGAVFPLFATQMYKKLNVHWASTLLGFVALVCAPIPLVL IKFGPKLRARSKFSANKVAA L198_06035 MPPRPPLPSRPFRILALPLARLPRQPHPPPSTTTPHPALESLPA TPGEQPPPPLVLFHTIQPDPSADSTPSLINKALGKSSETWLKLGTKPKDSWMFWFYEK GEKLMDRIEFEEWALKAIREGEGIKVEKDGSIKPEERKVVELLYPKLETPLPPLIPKL HRHLLKRIPYHKKHMTRALVLSPLTWPFAIIPVIPNFPLFYVLWRAWSHYKAWQGATY LENLVKAGLVVEKESAQLTGVYSSEGRRIEGGEAVSAGEKGGAVDVTSTPEEMISTSS TTEAEPKETIVHGNLGQPLPPPAPGPLSSHASHPSLVILPSQIQHLTEAFDLRANEVV DVTRAVEQADHRARAGDAEREKVEKTE L198_06036 MPKAVSSPAPKSTKQPKVKGIKSRTYAGFLPLPLILPSPVSIPS SSGSSSKSTKQSKHYIYCREHKPKAPQSKKGKSSAEGSSDDLPAGRTLFVTNLPADVT ERDLRTVFGEWGVVQDARISGKETGDVLEGVVRGLSAEEINEEDDDSEASEGESEEDD DEEDEEKDERENATFKGDLLTKKQRRANRRRDALLESIPPVSPLPSLSPRSVPFGQSG LAAGYVVFLDEVSLSRLLASGGKEVQVAKYAQEPTGLSYYDQLYTSLRPSLDSVREFA NTSMAHFDHLSSLLLSSRARAQGAGALVDADGFTVVVRSGKYGRAGARGQGWGEGKGG VGVATRGFEKKKGKQGVGASALPDFYKFQATDRKRQDLADLRQKFEHDKARVEELKKS RRYKPY L198_06037 MFTPLSKGSERAQDKLWDKSSPRRTRVRPSLPNVPAAATVQGQK SSDPSSRQAPWAILRGKPPPGGKNKGKGRGNSGLLGAPDPSAVSTGVGIGSSSAAVMG ASSGSIGRSGGEMSGTVRRGLPYLTPTSPYSTPSGRTSSFPWYPTVSKTKSQSQITPS RRRPPRPRRISSVGQDEEDDTWASIFLNPSAKRVEGWMDTFWKRHFVLVILPCLAVWV WVAVPFPVSDPYKDNPFPDIPSWPKKPSDDGEDSGNDGETETRLPLDVNFYFFLFCMY LAAALLFITNLFSLYRLNWWPSKLGGKVSYALSWSITLAIGLAAHHLDFFYLRKRWDG RERDDVDWERKSNFLAMLLPAIACFSKLKRDKRHTYRHPIPAVYQTFFGQAFSRRFPA SWNRFLWFMACLMIACFSVVAGQAYASLFLSTLPHTSLDAGTWVWSWVITVQILGQIS IFILSAKVRSRALLFIYRLFFQLVYHVFYRNLFARLRSPQQFATVQMLSSISTIIIFP LQMSKPWHRMLQIVVGYPNPWEDHVESVAMGFFCRGLAQNVTMVGFLGWLAILHFGPN SQIYPFFRFHPTPEDPYTFALTFFASCAVWAFELVSSFIARQIMSFAWGVDVSQIGRE EIKEYPELVPACGWASVHVSMNILLFLIKLNFR L198_06038 MSNHASTSATATAAPSPPSLSTTVAGSVKKDDDLEFKGQKEVET DGIKRAVQVVLDQKSGKELLRDVEKDQQYTQPRWRHSLPFVKPAHPPPPAPLSLDDAK VTPEVDANWFDFLFFNWISPMMALGSARPLQDTDLWKMDDGRSAETFSRKLLTAYKQR TERANDYNAHILEAPIPRSKRILYSVLPNGEKREKAYREKLQKKRASLLMSLYDTFGW FYMSSGVIKMFGDTCQAVTPLLIRRLISWSGEYQAKKNAGLDLPSRGDGVGAAIGLLL LLVVSSMCQHHYFYRSMAVGVYARTAIVTGIYQRALQFTQKSRGQIPNGKLVNHISTD TSRIDFAAGFAHILWTAPVQMIIIIIILIVQIGYSALPGIAFLLIMTPLQARFMKTLF AFRMKAAKWTDKRAKLLQEILGGMRIVKYMAWEFPFLERINSIRTMELRYIRLLLIFR SGMMAFATSLPILAAILSFVTYSLSAHNLEAAKIFTVITLFQIMRMPLMMWPMTLSAS ADALNALKRLEAVFEAEVVTEERRVEGDMKEGIKLEHASFTWDAAPVDDETAMMKQAK GKHAKAIGGKAQTAPVKKEKKRMGWSKKMKKVTVADEVQAEAAGEKDGMGEAGAVGQG QSAPGAPGLDEKAEAKADKAIFHLDDITLSVPKGSLTAIVGPIGSGKSSLLQGLMGEM RKTSGTVTFSGPTALCAQTPWIQNATVRENILFGQPYDEERYWDAIRDSCLESDLELL EDGDGTQIGEKGINLSGGQKQRVNIARAIYFDADIIAFDDPLSALDAGVGKAIFFNAV IGALGDKTRVLVTHALHFLPYVDNIIMMEDGKIGQIGTYQELRHGDGPFARLIQDFGG EDKAEEDLETEQDAMENAGSKDVHDRSNMVTKGKAHELMQAEERNTGGLQNGTFFGYL KAGRGVILVPTLLFAVALAQAFTVITSFWLVWWEERKWPQSNGFYMGIYAGLGIGTAL ATFFQGFANATINYFASVRIHDNAIRRVMFAPQAFFDTTPLGRIMNRFSKDTDTIDNT LSDAMRMAVATLSSIIGAVILLAIIEPYFLIAVGVVSLLYAHNAMFYRRSSREFKRID SILRSSLYSHFSESLSGVATIRSYGETERFYRDNIHFMDTENRAYYMTIINQRWLGLR LDMLGSLLSFSVAIIVVCSDISASSGGLGLSTIVTVQQSFSWLIRQIAEVENDMVGAE RIMHYATNLEQEQPHQIEETKPAIEWPTEGKIEFKDVRMRYRPELPDVLKGLSMSVGA SEKIGVVGRTGAGKSSIMVALFRMSELSQGSITIDGVDLSKIGLNDLRSGISIIPQDP LLFSGTLRSNVDPFGTKTDAELYDTLRRAHLITSSPGDTVSDSSRFTLDTVIEEEGGN LSVGERSLVSLARALVRDTKVLVLDEATASVDLETDAKIQETIRNVFKNRTLLCIAHR LKTILSYDRILVMSDGQVAEFDTPENLFLMNGAFTEMCGKASISLGDIRTAAGLRF L198_06039 MTSSLLSPNNHTRHSHYLAPNQPAASHNGGFKASLRKIPSALFH KSPIRDRPTKGQVSAEPSVSHLQSMSPSLRVPKSALDTDAGTKSKLKNKNKPRKALAE LFGWGHNTNTQPITSPAPAPPSKPINQGPTPRASSTMLRKVNRPPSTKSTSTSISLRP AEPLMPSRPSMSDDPFMRADVGAEVVDNVFRHGTPSLRSQTPMDRRSSVASSKALSYK TVSDERHSKEVQERPPHMTTIRATQQQRHISAPLPPHIASDLPPAPTSLGRALGSAPL VFAPLPGVPEASPVVVGETLKKTLKKEKTKSKVWGLLGRSKSKKSKAPVEAAPPVPPL PTKESWAQSSGPAQSTTSLVSKMDEKYAFATARTNYSSLRTSRVPVPAINATARSGST LSGPRSEEGTVIYESVVGSTHDRPSTDGPRQAIEALMGPTRLPKRKSLTGLFGLGSRK STDKLKASSPGRAASSPPRMMGQPTLRSLKEEREDVAEPFRPAKTASLVSSQAPKIPT PKADVFTCRFGSLPLNIAARAEVPKNQGGIRRVTSATDKLLNLVHAFDLSPASSRSPT LHHMSSSNTLRADVNGSPTPLRKVRSAMLGRPSSNSLKPSSANISPLKLALNRSQAAA NSLKCRKAPELAENPAETMAPTPSPPRQSLVRKGMRNIFAPPSPVSNAAERPMLTPVV FSSAKLNDETVGMGINIFRHSSEPTVKVSDGSDLPFDLKPVVANTDAAKAQYSPSKTA KLGLPAAPPQNRVTPRRTGFAPPALSLPPVPNVGLPELEQSTPAPEATAEYNNRDSMF SDMLTAGPHDQHRDTFDFTSEYQALDQGTQRHSFVEALRKVGSVQMLMGGDLLPLPIL SHETLKVSPTEQVPSFHISKPSDGTSLDNAHDDDSDGEEDDEDEAFGDDEGLEETAEI HHVMGIAKTSPVRREPFKGQVSFQQQMTMRTKASVASFGAPEPALPEELPALPAVPDA FAPTHRRGQSSVATMSSIGSVIGVGTEREYTNYFDYAVPQQQSHSRAPSVTETVEEII DDLPERSPSRQAMANFKFGAQLNTRPTTRRSHHRRNSSIASVDSVGGVDLHNVIHGGP PVSMHNKNRSSYVSRHRRGMSGESSFGRPDWAAHRRNTSSVASTSSNVSISQIVRPGL GDRMFTNLDGGVKLTSITGSPPDESKGNTSHQRTASWDSLFDATQAQMVQDSIFDVSR SKMVDDSLFGGQDSQRDSFLSSGDSSRMSADADSLFGPGQSSSNKNFVLRGLRPVSTI STDTSNSAVDDTFADSGNVAKKIEEYERCLQAEGEDVSNMTPLGKRMAQPINGRQMLG SSMSRPAKPNRRRPHQLVFSDVPMDTPGLTSPSASESSSRVSLDTNAASLILGGARAR GHCRQASSAQVNVGATIHEVPSIATLRPKKASNSPEHTRRSVLSQHEPTIMGVDMLES DNEIDRVDSVRSWVQWEREAIDEFRKTKNIWRDSEESKMAISDWKMPQTSDEIAAFLA QSSQAYKPLEQEQLPPGRTAHRRKSSLQDARSMCSPYGLPLPRPAPVNKPKMSLTTKY EKKSSTGSKASTAPSAFSFAFFPDDVPEAPSTAPLPTTAQVTSPLASVFAPFARETPA SPPPTLAPFKPVSPFQLPTLDAFGLRKCLEDKTGKVNVQKEEVKKEADGEKRKRVDSK ARRQALGWGRRRDSDGPDKVVAIGHAKMASEVPKKPTLFKPLQNKASVEKKAKGGKDK ENSFRGEKSRIFTVKGQDSAINRPQPPKAQAGNRSPTKRKPAPRYVASQPTGLRI L198_06040 MDSSQHTSVMGDSYSEKEATSEPTAQYTHPLWRHSLPFVKPKHP PPPPPATLDDAPVITDITANWFDYLFLNWISPLMALGSARPLDDRDLWKIDDARSAKA YSAKLLGAYRQRTQKANEKNARMLDSTIPLPFTRRILYSISPNGQRKEKEYREKHANK GASLFMSLGDTFGVYFAIAGSLKLFADVCAAVSPLLVRRLITLAGEYQASKQAGLGEP SKGDGVGAAIGLLVLLLASSFALHHYMYMSMSVGVWSRTAIVTSIYQQALQFTQKSRG LIPNGKLVNHISTDTSRIDFAAGLFHTLWTAPIQMVIIIIILIIQIGYSALPGIGLLL ITTPFQAYLMKFLFTYRGKAAEWTDKRAKILQEALGSMRIVKYMAWEAPFLDRIHGFR KMELKYIRQLLTLRAGIMAFATSLPVLASILSFVTYSLTSHSLEAAKIFTVITLFQLL RLPLAIWPMALSSAADALNALSRLEGVFGAELITETRRLDPKMEEAIKLEHATFTWDA APEEDGGKKKGSGKKQGKEAKVVENEEKPQKAIFQLADITLDIPKGSVTAIVGPIGSG KSSLLQGLMGEMRRTTGSVTFSGSTALCAQSPWIQNATVRENILFGQPFDEERYWDTI HDASLEADLELLDDGDSTQIGEKGINLSGGQKQRINIARAIYFDADIIALDDPLSALD AGVGKAVFFDAILGALEGKTRILVTHALHFLPHVGNIITMEDGKIGEVGTYQELKGRP DGAFARLIRDFGGEDQAEEEMEEEEEAVEAAGVKRVYNRKDMVAKGKSHTLMTVEERN TGNLTKGTFWGYFKAGHGLITLPIVLFAVVLAQAITVITSFWLVWWEELKWPESNGFY MGIYAGLGFGTAFSMFFQMFSIAMLNYFASVRIHASAIRRVMYAPQSFFDTTPLGRIL NRFGKDMDTVDNTLPDALRMGVGTGAQIVGAFILLAIIEPWFLIAVAVVTLLYIHCTM FYRRSSREFKRIDSILRSSLYAHFSESLSGVATIRSYGETERFCRDNIYAMDTENRAY FLTVVNQRWLGLRLDLIGSFLVFAVALIVACSDISASNSGLGLSTIVTAQSSFAWLVR NIAEVENDMVGAERILHYANTLEQEQPHQIEETRPPTSWPSEGRIEFKDVRMRYRPEL PDVLKGLTLSVGASEKIGVVGRTGAGKSSIMVALFRMSELSQGSITIDGVNLSKIGLN DLRSGISIIPQDPLLFSGTLRSNIDPFDTKTDAELYDTLRRAHLITPTDGIAPDSIRF RLDTAIEEEGTNLSVGERSLVSLARALVRDTKVLVLDEATASVDLETDANIQETIRSE FKDRTLLCIAHRLKTILSYDRILVMSDGQVAVSFRVI L198_06041 MLHPPLSSPPQTAETTISAFTASTISSKLSFSNTALTTPDLGSP TKQKATLEPLLQVVERPEEESSRAGTPSDGGSVRSAGYDQKSQSSETRGLGISGGRKV KDVASRFEQMRSGHTPSPTPHERRPLTGPRRLPTRPASPLVTLPEPFKKKGMSSPLHS SSPVRPPIHRKNESKMSKESPYSGQRRTPLSVHTESNRMDSVSSMPVPSPLSPASTLR PPTYRTDDSGMVRENVHLGSRRMPLSIHTEPTRVLSSSSMATTRPIASTTTSRATENF PIAPSRRLPIPASFLDAPPTRNTPDHAPKETPTRLKRERGSTKKMIQKWETQTTISPT QAESLQNAQGLFTRDYLDKKPLPSPYPNSPINTPPRVPPTFTPSKSLRDPPPPLADGL STSPSWLRTPIRSTHKHGDSLAVSPSRSQYCLSESPTGKKQKVSPLRAVSNFLGKKKG KGKERETRRDYGDIGVISEGEGRFGLVPQRDRMGSAEMRRSADFKPTIRSNPVIWLIE TPYMPSSPWGDWLPSWAHLTSDSLSVTYCPVFSTPRNAHSGTASRISNNKSSTKSVPF SAITPPPLDTPADKVLHVMNCLDIKSLKKDDLKARGVPLAPDGNGGEVLEIVWENEVR SYLAVEGVDGRNAWLAAIRGIIPRTPILPPITPAETPFATISSPLRQASLPKVPSHRP SVGTLHGGETGFGSLQLVENEWVASRPLSGPLSGYGSSEHGSPRRPLPVPGPVKEDSP LRNSITRMFSERKFDTQEKEQAKLEDVFGMDETPMDIPKPFSHEKQRSSSQSSEVSQR IIDWQTPVQTERDLSVFARSRLPPIPTTEPFYPHIDEEDSGEHLDIPPSETMLSFDSD DLNPSRSASQVGRADTVIDGDGDEAEQEREKANGDVKSRAAMWESQTTEKDSSYISRV AFPKPNFDSPSSKAKVYTVGLVEHDMPTPLFFGKGGENDMAGAGASPSRTMQLALQDL PPARPISEFMTKPLNIHKEGPADSSTDTLVNTPGSPRTIVLGNLPPPADVSRVNTPSS RGSSMDSPITIVPLEKNIVHQTMNVPRRGPRPISTSTFGRRVSSGGKPSPHSADQQTT PPARVVTPAMVAQPSTSRDLAMGAVSSPSPASASDSLPSRGKSRRSLLNLPVPSLRSS SPSSLASEDSVVAPKSVRSELFGASVADLIRRGDTPSTPSPLSRPSSARPASAISRPS STLARQGSGIKGQAGPVKVLTALFEPATSSDAPVVNSRPSSRSSGYVKKDMATTETEA PKVVPTAEDQYTARNERWEEPIGSISLPGRVPRGIHRPATTVPALLGADPGKGAFVAA DLDQVPPLPTKDGSSPTSELVLAQMQVLTDRTREMSDPATAPYPTALREKLGALHQDL DLVKGLVTPAPITETTVVEAVHLQDKDVEPNASAMDLSRVYTHLENLEGRTSTLVDSE TAPYPRALEARLNGLYEELAAVRRLIEEERLQQPQAVYMADVPLRSRHMPGGNLPYAG AAFSAQPQRGYDEGTATVAKHDVPVTSQLENDVPGEGEAAKFYKSLPEPPMEEHSSKA INNTPTRYSHASGAFNIPRRAVPSSAPTPKAATQAQNIPNTPAAVAPASPAASAHDAT GLLPAAKVTEPAEVKNMTVSTGGDLQAEDSDAIMAGDVPAPPHRILNVTDRPRQRGPS PDSRPRPATLNMPAPLARQLTVREPSVDGQHPEPDVTARSVTTGDILTPTASHPPTIA EQNDKVNGRPGSAATIAMPVPYSPRPVAQPDMSEIHKKLDALTALYTQFVGTQKGAPV PDTAAPSDETRKVETNPVDQGVTGAIDNDRNPGLEAGDVHDKPSLPRLLTVMRRAVET PVADKPKENSSKKDKPDAKAVESETPKAEPSTKELGDAKTSGDKAAPTTTAGEANVRE SEPKAVEHDEAAAAEEVAKIMGNEDGENKILKQQDVHIISTTPPAKDSAADPPTSIAP AIPEETLKQMDEAMEMLKGLNEAKDSQKIQAADMGKYLGELNAWLEKFVTGSSGHLQQ MSGRLDTLFGPEPDQAGDAPTGLPALIADMHKMLVDQQGRNEAEGFMGQRLDSLVSMM GHDQKRQAVQEAATGQILHVLEKQRQDHEILLRAIATDLTEEIRGEKMKFLESMQKAT TVNVMMHIEEFKRLLNVEVNKSMGELGKVREEKRALEQQIADLFALKAKHGFEPRGLP ATPAAKSDKAAAKPPPPPPNHP L198_06042 MPDRSGPTSPRIAIDAGQPQPRSNLTSPNNPLTPSRTAPAGSIT IPHRSSMSGRSYRPDDPDARERQTQQDIESASAMSMSRARSGSINHPDSSPPMARPSQ MHFPSTSPIHEGRFPMLSEAEEAEMDRARRLHGGRDNESDDEHGHGGHYHRHVEERAE EDHGRRDSIGDGSGYDSHSRLLNRRGSDGDIRHLDLSQLGGHGMRNTFDFAAMEEFAN KEKENSFAAEGAWGAGNDGPRRRSVPHTGAGASDENLTQPGSYETALDRTNTMSAFGD EPDTAFSPERGHHDTHAPTFQRRRQRKLSQSNPVLRQKKLALFEGFGSNGVNDGESAL EGASTAFKAPRQKTGSFAPYSDSAPGHDRPYRFSFYSNAMPVTIHARSLAELPAEGQS FEDLFRGRNLSGQATPAANLDTGTRAALSETPSKTPAPPVEPSTAASGKTQPSLLTKA VGAAMAQQGTGGPGPGMEDDDPEQSTWWLDVLSPTDEEMRLLSKAFGIHPLTTEDILL EETREKIELFRNYYLVCFRSFDQDPYSQTYLEPLNMYIIVFREGTLSFHFRGTPHPQN VRRRIKHLKDYISVTSDWISYALIDDITDAFGPLIQGIEFEVDSIDELVLILKEAEQS DMLRRIGTCRKKVMGLLRLMGNKADVVKGLAKRCNEQWLVAPKSDIGLYLSDIQDHLI TMTQNLNHYEKILSRSHSNYLAQISIEMTDANNQINDVLSKLTALGTVLIPMNLVTGL WGMNVHVPGQDIETGYSWFGGILGCLCLFAILGAWATYKCFVVR L198_06043 MRTSTTLLALSAAAGAAQAVDISSVESSALALLSSSCRSAYQNL TDAQSDIGKCLKTQAIGSLLQSKGSIIPGVNSYLNNICADDPCSSDALANATQTIQTE CASDLTNYGWPNETVQYLVSSYPLAREVFCLKTTDPNQFNASLASNATTSSNSTASGV SSSSSSAAGNATAPVSSASTAVSSASSSAESLSSSVTGSVNSAAASVARRQEASDSAT TASASLTGDDASGPTPTASSSASSVSESSSASDTASVTSSAAAGVSSANSTTAGNSTS AGNSTSNSTSEDTGSFCVISVLEEAFSYLGYNLSVSDIATIVIGGNASAVQTLLAIPP SALCSDCVFGALDLVETELPDFGTWVTVDNVTLNSYLDTTCTATGLNVSSNGTLPTNV TSGTSNSSFGHSFVNGTATYVPTSTAPAIPAASILGASGNVTVGNTTFGFGNNITANE TFSTSIGTNGTSSTVGAVGSAAESATSAAGSATSAVESRLSSVTAGSSSAADSASASE TASATAAVRRGDPALIKARWVGEQ L198_06044 MSKEAALETFPLRDYNFVERESQPEEDHSVTARLKRLEAHYEES GVRRTVEAVMVVTVRGFPHVLVLQVANAFYKLPGGYLDPSESDSVGLITRLNEQLGVP IGTVAPHKGNDLPQNIWLAPEGGRDWEVRDCLSTWYRPHYDTFFYPYAPAHVSKPKEC KKMYLVNLPPSKTFAVPANMKLHAIPIFEFYDNAARYGPQFAGIPYILSRFKLTGPEV DGVDEEVARL L198_06045 MPLRRNYYRAPSLDSEDDDYEPLQSIQNRQRHPARRIQPPSRAN PPTAASRPSAISSSRSISHGIPSAPAQAAPGPSRPAEGGPPPQISGRPNVPEDEPVSS RDRYAVARRRLRRRVEDDEDVVYTGSSGAEDGQALAGPSRPRNRAPNHHIQRLNAALD ARPRRDPDADRRQSLSNDSDLEDEIDLPPARPRIGLGGAVFRRGGARRQFVLGRPPTS PPQQHGQDAMAAPGQQFFLGGNNVGHFMMNALGAGMGFHIGLGGVGIAFGGAGPARAE ADIETILRSVEVPKYPAPTAGFVANFDMEEAQSKQAIEIDDNGVVKKKRKQPILVCAK CKDPLLISEAYRTPADRIWALRCGHIIDQKCLDEIQAPRSIMEMASVDKHPDVLDEQP AKRRKGVRKAKEQEKPADEYTWKCPVEGCGKRHMSKDIGGSWVMGEGEGALQVYA L198_06046 MTLDTHTPVSPDTRGPQATPPDLPGKEESDSWAVHFEPGKSINP KNWGVGHRWFLTVVAGVLVFNSTLASSAPTGIVDGMIDYFGFSQEVCTLGWTSYPSIH WMIPVLAGAFIGIGTLGMFVSLFNYIIDVYLWSAASALVAVTIVRSHFGAAFSLFATQ MYEKLGTQWASTLLGFLNLLMVPIPVVLMRYGHLLRAQSKFSPNRAGIVDEGGKCRRS GCEMTIAR L198_06047 MSRDPLALGQEIVPSSPAAPHDYLASQNTGGSHHDESPTPTLAG PNSAHGTHGYDPEKNDDRVAGLGAAEVGTDYEKTKEIDEKGEDPAPLARDHQTTSGTT HAPPAPTTSDAKTVEGLGMVSLSRQFSTPPAVSPFGGVAPSGTDAERGLRAMRSREEE EARDLERGAKGPDPFAVQFEPGEDINPKNWGVGYRWWLTCLAGLLVLNSTFASSSPSG IINDMMAYFGFSQEVAILTLSLFVAGYCVGPIVWGPLSETYGRRPVFIATFIVYTGFQ VGCALSKNTASILIFRFLGGTFAAAPLTNSGAVLADIWDGDHRGKAMSVFALSPFAGP SIGPIVSGFIHVSGTSWRWMFWILTIFAGVCLAVIVFLVPETYSPKILAKKAARLRKE TGDDRYYAPLERADASLKARAHDILFKPFIILALEPMLQAVTMYMSFVYGVVYLLFEA FPFVFEQNHGFNTGEEGLAFLGFFGGGCASVIFFVTVIEPRYLRHAKAVAPEPPRPEM RLELCVISGISLVIALFWFGWTSYSSIHWISPVLAGAFIGVGTLGMFVSLFNYIIDVY LWSAASALAAATVVRSLFGAAFPLFATQMYGKLGTQWASSLLGFLALLMAPIPIILMK YGHQLRAKSKVSPSR L198_06048 MHFSSLPILQADPDQATGTDIIDDPQEGGEPLPVDEFDLGAEDA EDNEEYATIASPGKYFILLVLFVVAPVGAGVYFYGGGKERVKQWRGQGYEKVEEERV L198_06049 MASKSLITFILALFVALCFVLAPGADAAKGPVITNKVYFDIEHG GKPLGRVVMGLYGKTVPKTVENFRALAAGKNSEGEDLGYGYEGSKFHRIIKNFMIQGG DFTKGDGTGGKSIYGNKFADENFKLKHTGPGTLSMANAGRDTNGSQFFICTIKTSWLD GKHVVFGHVIEGLDVVMAMEAVKTGRGDKPVEEVRIAASGELPIEHETDESGNQVPFR LEL L198_06050 MIPPILSFFASLPSPPGPHPVGYAHITCPPIAPFAHPFPLLKST SKPAFSLSHVGYAVFYPCNTDYEDAKGKHQERKKGFGWVPEPMSEIIKGYGKFAGGKG AVSWFVRPLGYFASRLLMPVYPLAPISPAQRPSAGYPLIIFSHGLAGTRHTYSQYCAA LASEGYAVLAVEHRDGSGPAVMLPPDKEGGEPKVLYYTGVDDIQWEEGEEQPVNHART LQLEMRSREVYEVYNSFINLTSATPNPELEKTVVIEKLEGNDKQEERQAWINGLKGQV DGDDLRLIGHSFGGGTMLHLLQTSVPHPYTPLPTTQALVLDPWLDPLPLPSDILSAPP FPSAEHKTLPPLFVVNSPGFTEWGEHFSRLVGIAKGWKNGEKNRAGLVTLLGIGHQTF SDFPLLSPTPAHARSMLLSIHTLSAAFLSSPSTLASLPEIQGQKPDGGQYEHEEKVNG EGERLMKGKKGRDGQVVVHLLADDQ L198_06051 MSSIDAKSLKVAELKEELSKRGLDAKGLKKDLVERLQNAIEEEL NPKPSTPPIEDPTDENVKEPTEDPKKQAEATSRPATPPAPEVQDARPSTPPIEHVPVA VEGVGSVMVDGYPESVQEPETAQNGAESARVPTPPLDTEAEQQVLDTEPKIFDSEVAE VIAREEEKEREAAAAALTPDLPPKSLSPLPPSEEKALERERESKAEHGMEVDEDKQEK KEEGDEMQIDRPLSPAAPPTKRSLSPSSPPRPIKKSRASPSPPPAPQATSSASTPAPL APTASGEHPPTTVLYITNLKRPIPFPGLHELLYDDSPPSSHPSSLPPLRKPFADEDTP GIWVSGVKDHAYAVFPSSEAAAATASRINGIKWPEETGGNLSVEYIPEDRLLQLVERE EKAWTNGRQKLVLKVVRDGEGFDYVFEGQGKLGRVPSRGAPVPSGPQARGPPGFPTGP RNAFGANTPPLAPGQRGPPRAVPLTGVNAIGVNGPGAAGGIPPCPGRGGFGARGRGGF PVQGGRPWGPGANGPGPHGGPGYGPRRDGGAPGLQQGERMRPTRTQPRLFWKKGPGAV DGP L198_06052 MPNDAPPPYTTAGSAQPATQNGNLAAPAGPTRSPSAISSTSDET TDDDSDLLATEERRDMDDAARDLPDGWIRCFDPKQNHHFYVDEKTKRSIWVHPYDDPE FLRTLPPTHPAHPDSKQAKAVRQRSEDEGLAKSKRKEIKNTKGTGAAGLASGSAQGGS AGDSSNGDGNRNWFQKRKDAVIGTKEERVKAKEEKRRAKAERERLIAQRDAEYRKKRQ ELVEAYTKQNSTRSAGVGGLGSGYAAPMTPISRSGYYSSSPYGYGYGGCYGRRGYGGL GGGGLGMPLMMGGGMGLLGAGMLSGGMGGFGGGFGGCGGGGCGGGGGELTD L198_06053 MAPTHDPPPPYVLEDIDEDDQARLLLSEERRDLDDASRDLPHGW ARCYDARQAADPSRQKHHYYVDESTLRTIWYHPLDDAQYLSTLPITHPAHPESKQAQG IKKKIEDARLRRQKGLDVKVAPKDETNSGAAWSTDEKKPIGEELAVTASNGESSKGGW FSRTRDSFAKSKQDKQRAKAEKEEGFRKQRQTLLDDYAKEKMAKTLAARSTDNRYPAP DFPITRTGSFSNTPYAYGKSFHSSCNGIAEATAHAAMEDFETQRCLEEASVGSGLGLR GRMVQAVVSILMYRCTIRRNAHHLSSVAGCGGAG L198_06054 MSRPPPLRKPSNLSTRPPSPQRQNSNGPPITPTNFSYPQLPGSS HHALYSPRRQPLPPSPTQSNVSYASYASSGKASSGVGTDMPPPLPPRDKRMPASSQGV QASPYRGRAGGYASNAGAYDNKLVSSTLSHLPPLPPLPHSPTPSNTSHSMRPAPSMNH IHASPRPSLSNASTSHLPSNQASSGMVVFPDGTPLSDHAQYPTTTSLLSGTGAHGHDL GVLGMGGGGKAESLAMAHTDPSGPWTLLTVHVLPLFAGSAMKTPIEDLNSLCRSHIVT TSQRSPPARIVALLSSDLREFIASGMLTLRAKFETLEEGKMVSRAAEVWSFFWTQILP YVEGVFLPFTQLQSVPTNNTSSTSAPTVRITSSLPAIPVRHLLLSGFLLHILLPLLPR LIPLVYPPPTPFPSPFNHPSARSSPMPSPGSHLRAVNPPSTQELSRLLQMSLVLSTQA KYTSFFPPTSIYNAQDRDRDEEVRSNVEGLGQAVRWQNRRMEMEAEAAAAAALAGGGS ESTPNSAGTTMPSVYSNPSARNSLNLQRRPSLPGGGISGRHRRRGWRASSYMGQLGPG GIGEWGQNHMGGPKSGTMSRQGSGYAHGYGYPVEDDDDEDITPSQTIPAQGPHAASSR TVSGRERTGTTDSSSAYSYHITGSMMSAQTGMTSLAGQSTATGSTLTAPTMAGGESLA SYGETPTPLAGGVLRGNNGGRENMVYGRPAGLRTD L198_06055 MSTGAKQYKAIGDEIWKRTDKVNAELFTLTYGALVVQLIKDYED YEEVNKQLDKMGYNIGTRLIEDFLARTGLQKCQSFAETAEVISKVAFKSFLNITPSIS LPQPSSSSAPPSAPAPTSASQKGPQEVIFTFDENPLSEFAELPRDAKEGGLWFSNVLC GVVRGALEMIQMQVETRFISDVLRGDDATEMYVRLVKILEEEQPENDE L198_06056 MSRLIVETENNPNQPRFLEGNPLLSYLECAAEYGDMDESLRAQI REKECWPALADEFGQDRILQTFLKTTQAVDIFNGGIKYNALPEMDFFEATQNTLKRLD KILRPLAAEYNMSFASFGETPTTDENLIQLDTMGIRLEPAPITLPTGHAWDLMGGTIK HIFPGAVVVPSGMTTFADTQYFWNVATHIYRFAPASLEIIKNYHTVDERIHVDASMST IQFFYKVMRNSVGWQSP L198_06057 MASRRSTRLSNELDTGGKAVNGKRKSTAGGRDDEGDAAQPKKKK QGSKKGFQPQPVSLPDSSNTPPEEEIPLNLPPPPPSTKPARRRDAARRPASESPPPHM TLQPGPNPAKKQKVGDKNSERSRGKSVEQSSRDVRGTEDDPLAGVEETASMRQSGKAP AVPHLSFKRDKPRFSEARPASPPRRTFSSNPSRAGSSKTPASTKTAMGPPVGFVRKTR KSIVPQARTSEVLTHYAAPLVESETPVINRNKEYRQETGRRGSKDRGGRASTSFGRGD ITMPHKSIDYRMLYRHLPSGLPDPHRARILLTWNANQATREALRHKSSSSKGKEKETD TRRTDGGDSFIREIMDDFHHKMSKGTVETNTFSSIGDETEPFSISGLRPHPRNVANRR TEAEIASVVRRFKEEGAHWSAIATAANSRQQDTIRRLEKKMMANADPDMSKAPTWMQQ ILAVTEEIIAQPDLDPSLPLASSSAEAQAEASDNASKFEEVEFKVDMIHQTSHVALQY VMQSSRFLDGIFSSLTADLRARERLGLAPALPPPDEDGPDTVALLAAARQSAAASTTS APKKPRLDAMSLLRSLATAEAKTQSEETVAAAAKVAPMPAMSSMTPRRPGTGVAAGMT PRRTGGLKSVMTPRGMKGYTPRGDKE L198_06058 MSGQAADGYTEEQRAAAYYAQQGYTEPAAAAAPSSSYASYSTGP YPASASTSYGASYDADMLQQSSVYTPQGAAEAKHRAPGKRETVIRKGNGKVWEDHSLI DWDPKWFRLFVGDVSNDVNERTLDEAFEKYPSYCKCKVVRDRLSEKAKYGFIAFKDPE DFLKAWKEMDGKYVGNRPIRLMKVKDDKYGSIRTVEISGKKAKQLEKIKKNHGKVGNR PAPF L198_06059 MLNLALLIFLAVLFTQVVSWVGKSVLQEIAFAVYSKIVLSSTAR KQKALRKQVLEDKAELGRTSSQDQFAKWAKIRRKLDKGMADLEKINTTLQTSRSSFTS RFSWLLWLLTTGAQFVLVWWFRKQPVFWIPEGWVPYPVAWVLSFPSAPLGAVSSGAWG AVCKKVLTTVKDVVQGLLEPTPAKAPVPNAPFAAEKQEAKIEPLSIEHEKLD L198_06060 MSAYPLPQIQKPAPNFAGTAVKEGSFEEIKLADYKGKWTVLFFY PMDFTFVCPTEILAFNKALDQFSAIGAELIGVSTDSEFTHLAWSQTNRKEGGLGPDLK LTLLADRNHAAAKAYGVLLPEEGVALRGTFFIDPTGTLRAMHVHDLPVGRSVEETIRV VKAFQFTDEHGEVCPAGWEEGKDTIDTANKDAYFSKQ L198_06061 MGMPAMSPTMTEGGVAEWKLKEGDSFAAGDVLLEVETDKATIDV EAQEDGILGKIIIQNGAKNVPVGQIIAILAEEGDDISNLEVPKEEASPSSSAPKEEKK EAASEQVKSEGRENPRDERKPHEHKEIKHPKPLFPSVSRLLQESSLTSEEISKLKGTG RHGMLTKGDVLVALGKIKNPYGSAEKLVTDAMGASGRRASENKLTEAKNAPGEGGEKK KEAPLDGAALRRLIVKGMSKVTQPAEPIVKHESTPLPRSDDFEFDAILAPYASLLPAP KPSVKLPSEDDLKAAAPKKDEFAGLY L198_06062 MAHFNQPYQQYPHAAQPSNLQFYNAAPYDARPEGSMASAYGGNM GSMGSMNLGGSSIDNGRWWEAFGTGGFDGEPSLMEELGINPSHILQKSLTVLNPLSKV SGNIMDDADLAGPFVFCFAFAFFLLLSGKPQFSYIYGVGLLGTTAIYLLLNLMSETGI DAYRTASVLGYCLLPMVGLGGLGMGVGIDSAVGYVLSVVSIAWCTHSASAIFVAVLRM DHQRLLVAYPIGLLYGCFALLSIFNVKK L198_06063 MSARILSRAIARPPAPRVLKPSLARNLAAVHPVTISADPVTKTS TLSNGLSVSTETIPGASTSTVGLWIDAGSRADAANASGTAHFLEHLAFKGTKSRSQTQ LELEVENLGAHLNAYTSREQTVYYAKAFDKDVPQAVDILSDILQNSKLEETAIERERD VILREQEEVEKQYEEVVFDHLHAVAFQGQALGNTILGPKEHINSISKGDLQHYIQTNY TADRMALIGAGSIEHETLVKLAEKNFASLPVSSNPIPLGGQSHTPADFIGSEVRIRDD TMDTLNIAIAVEGVGWKSPDYWPMLVMQSIFGNWDRSLGASSLLSSRLSHIISSNNLA NSYMSFSTSYSDTGLWGIYLVSENHSNIDDLLHFTLREWTRMSISPTIAEVERAKSQL KASLLLGLDGTTAIAEDIGRQLITTGKRYTPREIESYVDAVTPAEIQRVAQKYLWDKD IAIAALGRTEGLFDYTRIRADMSSMML L198_06064 MLFCPYCANNLTIGDREDSADKCWICPTCPYQFIIDRQISMRTH LKRKQVDDVLGGKEAWANVDKIDAACPKCDFRKAYFRQLQIRSADEPMTTFYKCVECS HQWREVRYPQLCLDV L198_06065 MSNLNLRPVQASSSSPKPFLTPSVLKPWDFTYQSSPDGKDRNLL IMFHGLGDTKTPFFNLAKQLNLPSTAVLSLSAPDPIPLMDHPSYSWYPAFDPFFNPLP PASQNPSKPLPSLRSLLETLISPEIGWKLEDIHLFGWGQGGTVVLELGVDVGKKGLKG KSDEGEGEESGSKRLGSIVSVCAPLITHPTSPLNIPTPVLAFTRQPAQSAVHQKSLNT LKRAFREVEVAHGTGGGESMPRGKDEWYSVMKFWGQVLGKADEGWKGEGEVYEVVR L198_06066 MPSFLGLAALPLLGLVSAQNITQTTSFSFSPTPVTTSVPSPTVP LNSSVDGQGDYPAIQALCDGGADVPFCPGILLHDVQLARIFADSKPTLESLNETQAAW EALTSNSSNVTVGDIQRFVEDNFKGEGLELSQVELQNFTDSPAFLDNVTDPVYQAWAK VVHGYWTLLARETNQSALCSESEEGGCESSLIPLNHTIIVPGGRYREIYYWDSYASTQ AGLLKSELYDYAWALLSNFMDLIDTYGYLPNGGRKYYLNRSQPPVFVQMLDAYIKVTS NVTILGRALPIASSELEWWTNNRTTNITSPYTNTSVLIAHYNVTNSAPRPEGYVEDYE TANSAGLGPLNQTEKEELWAELASGAESGWDYSSRWCEQPLLNETDNNPALRTLKVRS IVPVDLLSLIMADERDQLANLYELYENSQSEGGDNSTSSDNSTSIAKRQDDSSASATE SSTDSASVTESASSAESATSTESSTIADSTTALGNSTASATAASGNATSTASSGNSTE SSSASNSSTASSTASTGNSTANSTTSAAASNASATASSGGGIISGNSTSSGNGTESSG NDTASKIEYHRALSQQYAAAILDLCWDAEKSWFYDFNITSNSRSEIYHPGGTWPLWQN ITPPGLENNETAALALVSGQRYLLGHYSGVPSVASLLQTGLNWDFPNAWPPHAYTSIK SFETIARLLPNASTLPNLTISFDEVVPSQLGLNQSDLQPQPQETIGNVSLTTDTSKDQ PWPLALSIEFANRYLGAAFCSWYSTGGQIEGLLTQLSISDLNATGTFTEGQSGVMFEK FNVTDTDAAGGGGEYTVQVGFGWTNGVALWAAAEYGQYIPSPTCPLIPIIEANSTEGS NSTSATNSTDSSRGPTANDNSTESGNSTSTSTTLFAGYRIPRE L198_06067 MDNSVDSLLALLRSTQDGPPPSQPTTSNNNTSNTRQVPSSSQLS DLLTSLNARPPPRPPKQGGADQAQGRARKDILEPFGPVGVSARPSVSPVKRSREDTGR GGAEEEGSFGRVRQVSRGESFDGGQVKSARVEEPASEEMSFGKALPIITRLLEDDNLK NELRKMKQDQDSLERRLWAKQEKLKGDHERQTKAEREIAKIARKPIPPEKTKQWSRSL STALSTFYTRQCIPSIDGLALRQRQRLKELGVPGLGREDGVVDEKVKQRIKRIMEVLE GSLED L198_06068 MALPLPVSTAAPISPPASKLNLQASHHLASGALSGLSSAIVLQP LDLLKTRLQQSQGGVANKRQRLRGVFTQVLKDDGIQGLWRGTVPTLVRNVPGVAIYFY SLSHIRNRLSHIPYFAITVPAAPICDSKSPLGVKTEGGSRSAIVKLSSGGNLLAGAVG RTSVGFVLSPITVVKARFESSRYSDYNSIFGALSSLYRTQGIKGFFQGFTATAVRDAP YAGMYLVFYEKCKEVAGKLPGVPNAAIHSGSGVMAAMLATIITSPADVVKTRMQVNPA EHPTLKKAVERIIQERGIAGLFSGTSLRISRKAASAAIGWTVYEALLIFLRDRKDHEG QTTQLKAL L198_06069 MLVQILYLLAALFLSSVVHAGDSAWHLDNIWSLVNEELDPIISP NAQSSHMHAIVGGSKMAAYYNYADYAAAKCSSLEVQADKSNYWMPQLYVVDHDLSEFV PVSAKVRFYYFLAKNSDNDPVSAFPKGLRLVVGNPNNKAVTDVASFTCQINSDFSDSV VANDFNFDRDCPYGMKTELYFPPCWDGYNLYKSDGSHMSYPSNGVRDGMCPWSHPIRL PAIQLEYTWYTSNYNPGTALSGNLAWANGDTTGYGVHGDFINGWDLDVLNAALNDTSC NNIGNTMSDCPTLYKYFDATAAAACTPEKGTLTEPTGNANNVAIDALPGCNPLWSSGT KPTCSPAVAGLDASAFTGTDGSLIAATEDQKKFVWPTTPGFKNIACLHDISSVVGGTS YTDSYLTVESCTAHCLASGYQFAGTGQVGTGWNCVCGTGISSSALVEPGMCTTACPGN STENCGGSYIFSIWYAPNGTVADIGKDRDDGSKYLGCYDDPSTVSDGLLGKATYSFSS NSMTTEVCIEACAQQGTSWAATLSAKNCYCGDEYYYGTGALMPTRYCSVACAGNSSEY CGDYYKSSLYNITSVKVTNSSAGYMEGYQGCYQDKSGHLALTNNSWTSTAMTAAQCVN GCSELGYSYAGVESGNTCYCGSKVSSTVTVLPASQCQTACTGNTTATCGGTQALDLYT VEGAVNTTTSLAAARPTGYLGCFKDMGSNLAFSNYYTYTFKSMTVEICKAACVELGYS YAGVENANQCNCGNNYPQTSQMVSGLYCTKSCAGNSSETCGAGGYLEAYTLSNSSSSS TSVMAGTSSSAYVGCYDNSNRGLAAYSYADSGMTVEVCRTTCAEFGYSLSGVYLGRYC GCGNSWTGATQKYPSSSCQNYACSGNSTEWCGGLTQLAMYNTSGVSTSHKKPTGWLSC WTDSSSSRSLTSYSYSASPMSAKACRTACNQQGYAYAGTENGNQCHCGNTLAGEKAPT SQCKMTCTGTTANETCGASGYMDLYNATGASSDNGISGYLGCYTDDTKLTGNSFVSDY MSVEVCAQWCLARGTTYAGVRNGNQCKCGNTSPSLVTTLAACNTSCTATGATSEPCGS SSAIGVYTIADTTVTSGEFPITTNSSGYAGCYKEGSTRMLPSYSFSSNSMTNDLCRSS CKTLGYAYAGSQYATQCYCAASLNATAGGYQIQESNCAMDCKGGVGKCGAGGVLSLWT TSGLNSSTATVEGLQGCYAIGSFLRSPGLTYSGDYMTTDLCRRTCRFGGWSIAGLTNG NGCYCSSTANYGSQVAPAVCNAACKGQTNQTCGATSSGAFSIFDTTGAGAQPPSGYPD DYVGCMSDGSPRKLSDYSFKNGGMTSAMVRKLAIAGGYPSYGTESSNEGYMGYSKLSP PLLPDTYCTSMCPGAPTERCGGGGRLSYYYMASVSNTTDTSTSAAATSTSVSANATST SPVSTTVNVSVSTSASSSASSSRVSSNAGSSSAGTTSAPSSSSNSTSTGYLSAVSTSA IVSIGTPSTSSMPSVSANYSVSTVPAQSTTTSTPVGPSLFASNSSVSTIAPALSTSPV ANTSSVTSSRSSSAVSSSVSANASTSAFSSIVASSIAPYSSASPTNTSSAVSSTAASS SASTQAPSSATSSSSSSTVYSTVYSTVTVSIGTSSSTPSSTSSYTAPSATGTSLGCYT GSSSSFANSKMTSHENLTPSMCQIWCNANYYTYAGLSGGNSCGCASDLSGLTNSTASS CSTSCSGDSSQSCGGTADSVYSIYQAVAATRSQKRSESVEPAKRRTAVHGRRVINRMA NRAWL L198_06070 MLRARPPAGLLSQRLSVLRPMPPQASFRSFQPKPIPVQSLVVQQ AKPRFFHSQHRPTFRNAQRGLVLRSLHIPSFPPDSFVAKYPYFSILVRLILSSVLGLV VLTGVILCHDAFTYSERHVDRVPCNPLALTPRRGGKKNLPIIEANLDSEEDDTKRAMR DKPRLVIVGGGWGAVALIQSLPAHAYNVTLISPQTYFSFTPLLPSACVGTVEPRSLVE PLRKLIARVRGHYLMGSAVDLDMAERLVEVEVRGDEGKPPSRCYIPYDRLVIAIGSTT NHHGVKGLEHCYQLKTVPDAQAIRRKVMGNLELASLPTTTQAERKKLLSFVVCGGGPT GVEFAAELADMMAEDVLKYYPKILSNEVNVTVIQSRDHILNTYSEKISQYAESRFARN DVTVITNARVQEVTPDQVTITVKDPKNKEAKPETRDIEAGFVLWSTGIAMQPFTQRLV EVLPNQYHSKAVEVDGYLRVQGAPMGTVYALGDSATVQTNLMNDLYHLWDKFDINKDG SIDYDEWQQMVKYIKKKHPLAHRSLSKMRDVFTEYDRDKDEKLTLNEIAELFAHLSKK VTSYPATAQVASQQGKYLGAKFGKLAKQRETLAKNGIMDLDDEAYYKPFEYMHLGSLA YIGNSAVFDYEGWSVAGGLLAMYAWRSIYWSEQTSMRTRLLLMLDWVKRGIFGRDLSK F L198_06071 MAGSIPYLVSSLGTIVLARQASIASAAETINPDDLHAILSNLHT LEHAQITYGATLLSFLGAIHWGFEFSKYGGEQGYKRLALGIAPVLFAWPTTFLTHGVA LAAQWCGFTGAWFLDQRAATAGWTPPWYSTYRFYLSLAVGFSIIATLSGTSFYGAGAG SDVDPDTKRFYHTTERVSPLERFNRLKERYNNESARIEGKVKGDVYVEEDKESFLRLR NPVKEEEQRKEAEEEKREEEEAAKKKEEEQKKKDAEQAKKSDQKGNDVKEPKKDEGEK KEEPKKDAGDKKDAGADDQEAKKKEAAEQKPAAGDPNTGMKGNSFG L198_06072 MNRSLRLLKPHPAYAFPARPIHTALPTNLARPYASPVSPLAKHA AARLGLSSSLQRSMRGYATEPPKDGKDTEYREAQSKTPSAASEVKNLAGGFAQVIAGN SVEAAAAGAREQSNPRVAGSLHDDFVGATQGLAKEVPKSAMVLGKCIRSNMG L198_06073 MGDPPPQSSPVAEGPPGPFSRLSENAPTPLIHPEPEPEPSQTLD IDPANVGSAGAPGTPDTGEEDSKPIEAENAPQIPPASGAIDNDVEMHDASEEPSSSGH ADFTPGPSTAASSPSKMEAVDLAMSQSNGSSHSGANKKSLTNGLKSATSSAPSSKKGK GKTKVDELKGTRDGIPDSRSATPPTPTTPTQYTSPSGAPVDGRAVYCICRRPYDEEED EGLMVGCESCDGWYHAECVGLDEDKVDLLDVYICKSCERSTAQRTMYKQACKRDGCYK SVAGSNSKFCSARCAYRYSQAALGAVTSKQSLKQLTKGLANFPSPNLGVSIIHHIPPT TPDVSQSPYDDVQFQLSMIQSQLESTLKAINIIQKRQAVLSAAIEKCDNLTPIVFDDG APPKKSKKKGGGPAPPKEDKPCGWVVVLIAEDEEVDQGVKGHGAGIVVEGAEEWQVCM LPRRKCDRHQGWQKTIASRTQNSLLECQRSIEEALETQRISTEARDSFVAKRDSVKRP L198_06074 MSCFFPGPRGQIQLPADEEINEKQPVAADDHDDLLAPPPPVCAS FVDMDKLFTALESEDELKKRLKVVREKVGDAKVDWYVVPSEDEHQSEEVGDSEKRRQC ISGFTGSAGTAIIPSSFDHEALLFVDSRYWIQAEQQVVEGWKVVRVGSKGGSGRGDVV GGWVEWAVNEAEEGSRIGIDPKLISLSLAQTIQSRLTSIDSKTTLVPISQNLIDKVWN PPARSTGPINAYPLSLSGEDTPRKLARSRAALAKAISGNNSTSQDWVYILPTLPAIAW LLNYRCPDDIPFCPVAYAYLALTPDKCVIFVDRKKITEELGNRWEDEGIEVRPYGVEQ VGTFLKELASAQEEKSKLHILAPPECSWALSHLTPSSAPIRTLSPCPIDTIKAIKNSV EQQNFRNAYLRDGHAMVRWFAWLEKALMKDGKKVGEWAAAMALGRERRREENFAGLAY DDISASGPNAASPHYVPHRGTDRLIDPETTYLIDSGAQYLDATIDTTRTHFFGSNPSP EIKRAYTRVLQGHIAVSRAKFPRGMPADRLAMSAREKLYEEGLDFGHGVGHGVGSYLA VHENPMFPKNSAFEPGHVTTIEPGYYKEGEFGIRIESVLLCKPVEVEEGQPPSDFLTF ERITQVPIQTTLVDWALMAKYEAKWINDHNRSVQDALEPLLQADEDKDALEWLKKECK GHKIWPWDGI L198_06075 MANFFETEFGLFDPSSRFESDYLSFASLSSALSPPPLDPHGYDP SDGAAGFLSDSSSPAPSDSFDSYPGLFDPATPPSGDVVGIFHTPPKSAGYESSSFLQA QGSPESSYNTFSKIDMPSSSSSSFPLSIPTDEIFLSSPPTGSYSWDEAAPVAASSSFQ APLPSSKPLRVASNPTLGPSRNTRHVKHVTSMPELQEQGQGSHEWLNPSQYSADPQGF QQFPTGPSSKESLVENFDSVFGDYGLNKNNSGTTGFEFQSPTDQSYSCDIPTYYTALP HNGETQWGFGPSTNMPAHVGLFPAFELPQQVIHAAAPAEQNTLTINPTAVMKGDAEDD ASRPWTAPGKSGEKVATLSAPMSEPMAKRSLSSSGYKTSKYTAPVRDLFSYAPHQHSS GDRPLYTCDPSPTYYRSASSQHRRAMPSTPTPQPRAARVISDMFSAPQEGQFSRYPTA YPPTPNSPAFSMMSAVPPLFPAPVTMQRAETTPIPAAHQTSRSVTLNPSLLSGKSTRA HDDDDDDVERMRPRKRSRRVSMTEPRVMQHTLRRTTRQNHPRPLFASHPQIHPPPMGS SALPTAPLVTVHPPPQVHPPPIEYGSGGAPSTPRRVHPPPHPGSAGRPIARLPSPRRQ SPIVEPPTPLMTAPTPRGKRRATPPKKRTPSSSSSASGVGDQQFRFGNAMFVNFTSED ADLLLAGVAPSGAMAKKKRDREAALLEQEQERYRVKRSRS L198_06076 MSSCCRRGGGGGGGDYLQMYKWHSNKWHSTRSHLHA L198_06077 MSHYHIFLPPPPACVPPLDSFHNTPRVAPPPLEFHTLSAPPRHD ESFQNLSCIEEEATQDSAQESLSASARLEPPSAGLRRSRSPTPQPAFGSGVLGSRGFK DKLADRKNTQSKEEEIVQMPPPSLPLPWMAQKKTSQGEKRPAEVSEGSDRPGKKVLLQ PRPPRASTLLPVLPSIISQQSQANPSPHDSEDSRSDHSLGSSFNTTATSTSQAPLPPT AVDGNTSIANFPAWSVPIAKLSSLQEVLRARPEPAIRGLPDAGFNQKRYTLIVCIVSV DSAVERQRKANQGPRSRGIGTEGGTFWVGKWSITSPPVGADPEMSCDVKLWDQCARQW GDEKLKRGDVVLLENVELKPASAREPPHLNITPSTNPKITILFRTIPSFTTASRSDYI YRRPNTILPDYGEKKEVVKGDRQLRPDLRLGKSDVGIRKVGDVVAWFEEWLGVGNGRL L198_06078 MSPVIILTGASRGLGLSVLRILLDTHNARVATLSRSLTPELEAA AKEYPGRVHPVQGDAGKPEDNARVVKEAVEKWGQLDGLIVNAGSIDPVGKLGSVSLDA LTPYIQMNLLASIYLIQPALPHLRESKGRIVLVSSGASTTGYQAWGLYSMAKAGMNSL ARTLASEEKESGVSTFAVRPGVVNVPNISQMQLFLRTHGPGEMSDTDMAKFQGAYEKG ELLAPEQPGSVLAGLAVKGPAELSGEYINWADERLKHLQL L198_06079 MSRAPSGSHPHYTQQQQMAYPPNVHPSYYQQNASAVYPPDPHNP HAHYGRPHQVNGSAGAWQGGASAGQMEGYSNAAASSSRGEPYPPYPQPQQQPQQMQHR DSPTAQGGRPGTTGGGYGAWGLPSTPSGRGGVKLEDLVSSDPRTGNAVATPQQVQQQG KSQPLSSMPLGGTFDGRNPSNAADQQGGLSPQHTGGRKSIGGEDKGKDKKDDKDKSQQ GPSDFIKKLYKMLEEEQATHGKGKKAEKGKRGPVGWGADGTSFVVWEMNDFTTKILPQ TFRHSNFSSFVRQLNKYGFSKIKHIDKNSGTIRENVWEFQHPDFQAGGKSNLESIKRK PVQPKRPAPETSNEAAASPVQAVVMNGEDAQRINLLENRIMTLEEALQRSMAETAETR RAQAGMMGLLRDLVGHVAATENVINLIRSVEALTQTPIYGVQQVAPMPFTPSQPQNAQ AFMNTAYSNNNPAFSGGTVAGAQAQASTPTDGTNSRGSFSGPSTVDVRPPSSASRLRA VSNASAMGMPSVPNPPAVDPTAPIAGPSAHAEDPADDVIEIPNQMETMYEGESLGLTP VFVETPAWLTEGTSVPLTMYRKQSDGQAMKTIYEAFATGGNKLPEHDVEGSGLAAGSA TAGIPGQTPLQSYGNGSSMGIPLSLGTPTSAESTPSGKPSKKQGKKPRVANLKEEREA KLKPHWSQTPRILVVEDDVVYRTLSKKFLQKFGCETETVENAQGAVDKMNGAKYDLVL MDIFFGPNMDGRKATSLIRQFNKYTPIISMTSNAKPQDVDSYFQSGMNDILAKPFTKN HLFTILDRHLVHLRHAQLYEKLIPFSVGLPPLSDQHVQEALAVSAASLQNGEGMIGFG AGLVGGGQLQVDNGQAADGQNNGLEIGIRNPLAGTGWSDEAYQLVLGQFLQTGVMPDI HTISSISTIDPIPNGNPTPNPNEASGSGTATIGTNVVFGESSGFNRKRSIDAMTEDNW EGQVQAQAQVQAQAQAQAQAQVQAMQEQQNMAQAMQMPTGVGIGLDEMEMNGLGGGGN MGAGQAQQEADIREAKRARGMTQQGM L198_06080 MPDKSLSTYRTPFVLATILFLAVLAFLLGHTNFTFPSHPLKDLG QTIFGDTLLIDAMQSPKRVVGYFVNWGIYDRKYAPQQIPHQHLTHINYAFANVNKDSG EVALSDSWADVEIHYEGDSWNDEGTNLYGCLKAIYLLKKQNRNLKVLMSIGGWSYSPN FAGITNPQWRANFVQTAVKLVEDVGLDGLDIDYEYPKTPDDASAYVALLHELRQGLNN LAQGKGKNPGQYQLSVAAPCGSEQMQILRVQEMDQALDFWNLMAYDFAGSWDSVAGHQ ANLYADDPNANSVDKSVRFYLQAGVHPSKVVVGLPAYGRAFANTNGIGQSYSGTGEGS WEAGMWDYKALPLPNSEEINDHRLGASYSYDANKKLLISYDTQAIAHQKGDYVRHHGL GGVMWWELDADKEEGSGGSLVRTVRDAIGELEQRENELDYPGSKYDNLRRRME L198_06081 MTLLTPLLVAALLSLAPSPSSAVTLQSIIDAYGLDSGSYNFTWP TETLDSSDATSWINQEWSTNGNKVDWGGNNIVFTADPSTTTSTLVRRAHHSTKTGSSS SAATSAVTYPTITDLSGEAPALRIEYPSGSYSKQTGGTQFYAQPLNASSSDASDAVGN SSRNGELERMLLAYDIWFPTGFSWVRGGKLPGLRGGNDPHGCSGGSEADGETCFSSRL MWRTSGAGEVYAYVPTSQKGFCTETDVTCNSDYGTSLSRGGYSFATGRWQTIYMLVIL NEVGTANGQIELWYNGVPAVAHTDLIIRSSDSISSIGGLYFSTFFGGDNSDWASPTDQ YVYFRNIQLYGGQGASNLTGSNAESGAAPSAGGSIWALGMGVVLGMVGVWMGL L198_06082 MVSTKRSPSPPQNDYELPADYKPYVPVAKRRAQMLSQLTAKHSP VAKRVKTQEELEKEVEDEIREQAEDEERAREKARRERTLLQAAQEVKEKKALADAEKT AADMEAEKEARLLAEMERAQKKLAGAQELAQGVSYTESLKTSWRPPHYIRSRSEEEHQ AVREEYHIITEGDNLPPPIPHFADMKIPKPILSYLKAKGIKRPTPIQMQGLPTAFTGR DMIGIAFTGSGKTLAFTLPAIMSSLEMEAKVPFVRGEGPVGLIICPSRELARQTYEQC QQMCTALKESGQYPEVRSLLCIGGINMADQGDVLNKGVHIVVATPGRLIDMLDKRKLN CDNCKYLCMDEADRMIDMGFEEDVRSIMSHFRYQRQTLLFSATMPRKIQDFAQQSLID PILVNVGRAGAANMDVVQEVEYVKQEAKMVYLLECLQKTPPPVIIFSDNKNEVDDIQE YLLLKGIEAVAIHGSKTQDEREYAIRSFKTGAKDVMVASGVASKGLDFNEIQHVIVYT MPKEIEDYVHEIGRTGRSGKTGLATTFVNMNTSEQTLLDLKYLLMEAKQKIPDFLLSI DDPRAAYGGSLKGCPICGGLGHGLENCPKLEEEQRRKQAAQRTYDGGGY L198_06083 MEAILDFNQDLDVGLIDQVVTAFYTSTGETQQTAQRVLTQFQEN PDSWQRVPAILESSASINTKYIALQILEKLVQVRWKTLPSDQQTGIRNFIVGATVEIA SDESRMRREKGYLNKLNLVLVQILKQAWPKDWPQFIPEICQSSQSNLSLCENNMIILR LLSEEVFDFSAEQMTQAKTKALKQTMCAEFGEVFQLCNEVLDKANKPSLVEATLETLL RFLNWIPLGYIFETSIIDILVGRFLEVPDFRNVTLKCLSEIGALNVGPEYNSKFVALF QVVMTSINRMVPPSTDMAGAYASSDDEDQQLIKNLAIFLSNFLHTHLRLIETPENTEL LINAHLYLVKISTVDDREVFKICLEYWAKLVTELYEEIQSLPLNDINPLMNLNLGGLG GGLNGAQGMGLNGMPLRKNIYSDILSNLRLVMIEKMVKPEEVLIVENEEGEIVREFMK ESDTIVLYKSMREVLVYLTHLDVVDTETIMTDKLSKQIDGSEWSWNNLNTLCWAIGSI SGAMNEETEKRFLVTVIKDLLGLTEMKRGKDNKAVCASDIMYIVGQYPRFLKAHWKFL KTVVNKLFEFMHETHEGVQDMACDTFIKIAQKCRRHFVMQQAGEQEPFIDEILRTLHR ITVDLSPLQVHTFYEAVGYMIASQPNKPTQERLIEKLMELPNNAWDNLMQQAASNVDI LGNPENVKIMSNILKTNVSACSSIGSFFLPQLGRIWLDMLGLYRTVSGIISDDVANQG EVATKTPKVRALRTIKKEILKLVETYVKKAEDTQGVYDNLIPGLFDAVLGDYNRNVPA ARDAEVLNVTATIVSKLGPILSPQIAPILDAIFEPTLTMINQDFAEYPEHRVGFFKLL RAINLTCFQALLEIPPAQFKLIIDSIVWAFKHTMRDIADTGLNIAYEIVNNFAASSPD ISNQFYQQYLLSLLGDVFFVLTDADHKSGLKMQGMLLAQLIALVETGQVQAPLFDPAQ VNDAGMTNALFLKGYISDLLTNAFPNVQPSSLATFVNLMFENASDHNKFKLTIRDFLI SLKEFSGEDSGELYLDEREAEAEKREKEQREAASRIPGMLKPAQIDDDAEL L198_06084 MDTTAPSPSFYQHNYASTPQQHQPYPSPLPTHVNAPHRTPLSIH RRPPPVHYLHQTPNGSSPQLPMTPPYAAFQYPPNSIPPTTHQTSPQTPAGSEPLPSNH IHVIRTTPQAQPPQVAMFRSNSTMSTTSTNRPPALSNGPSPTGGEEVIADMSEQSYEG FPPGTSQQHARMVQQQQQSQRGEQVPVGPGEPQSAPGRWQMAPGQQAAHLWQRMLTGI ITSLQLYSLRPCSMEYLLHSPLSPIPTAQLMASYSFSAVSPPRTPSKHASPDTGADAQ TQLDSLLESLPLQPTSASPDDLLNALDCIARESSGVQAHPSQEPLPQLEGGAGLRVIV NFIEALGQMQGDDLNQWTSFILHNFEDSARFQFVVTGSTTHLYDIPAHYLPRFFLTLP CIPHILFTDPIESSFDPPSSYERTILCSDMEWKILEKKWKGAFSAVVGRGRRMERMEV VLREGEDGPFPEAGLRVLRVAQEMESFALIMRLQQEENLAPKDALARFTLPEEQEEQA PDDS L198_06085 MTAMLRLAPTARLAVRQLSTTAVRREEAAAVGTVGAVPVKRPVG GFRSTNAPSQFFGFSFASALSIYYLQEETKVATGLLTSSIEQLQQGTGKITTHLDRLQ TVEKELAALRANASVKEDVTKVRGEMKKVYDGLHLELLDLRAHVWGVEQDLQNVVKTG G L198_06086 MPFPPVPLHRPLAKHHRAAILIHAILTHPPPGSLTPFLLINRTF YTALAHLPYTSVQLHEGNVLGFYAGLGGDCGGGAERGDGGKDVKKLGDEDIIRRPSRA PSFSTPLLARKLLLISRTVHLTMLDAPSFLLTIHAISLFRELLVSQLNAHILHYFDSQ NKRLALFQGCQWLVFGEQLWKRIQEEKRGNKVMPRLLIKMGYGWHNASLCVPVTRDKV SRSLVTALGQFLEQDSFRKVVLHGARMEDWCADFGESAVEAYLCEDTEEGEDKGKGSK RDEGVKVWAKWIHDLLEHHLDKTTHRHRYLSHQTLLLSYPSTTQSLGEAIMSIPEGRY MTRVVVKRGGLSKKGKDNGVRLKEGEKRVGLEWEERGRRKCGGCGRG L198_06087 MSSTVSSFNMGAAHRSTSFESCVSSEHHSHPSVKDINVQHIAAF NEKNTTPEAVPTGYIITYTTPGNWLLGSHFSNTHTHIHVTSNPTSPTPATADTVDLLS RVLKRGFCFAAVSESPRRVESTLERDIERIQAEDEEYRGFVHVQCVNRLDFMIGKRLA NKMAAGI L198_06089 MGFFDFLPCCGPRPGKSSSPLSRETTTLLPPSGPGREGSFLTAS DPGLSLTLTLSGGAASGGGGGVYGATGGERLTEEQLKKIQAIRESTQGYMLPVQSMPP RSHLIMSRTSTAHNHPSSLSSSSPSPSPSSSRPSSRPSSPAALSSQTVRSPEASPYQP TGSLPGSPEGGEGEKGDDVVRKQLFMGNTPMGRGGRKPGGGAKAKAKKGKGKN L198_06090 MSSAGQVDAYLSPKLLHPSFGAHPALPSFQTKARAARLPPISVS PLQGQFLSILALSIGAERILELGTLAGHSTALLSKALPKHGQIDTLEVNPRHAAVAQR NFEDAGLAPFPTIHIGPALETLRNMDKPEGGAYDLMFIDADKEGTVEYVKEGLRLLRK GGVIVVDNAVLHGTIALSRKEEPSSIVEGMRRLYDWIEEDAGKTVLANVTQTVGARSW E L198_06091 MTTPTPVWKPDASYERRDMPPTDGGEPSSGPTAGQVDAYLAPKL LHPSYGADPALPAIQSRALEAGIPAISVSPLQGQFLSILALSIGAERILEVGTLAGYS TAFLSLALPGHGQIDTLELDPHHAAVAQENFLNADLFPFPKIHVGPALETLKRLKKPA EGAYDLVFIDADKEGTLGYVKEGLRLLRKGGVVVVDNAVRSGKIALSREEEPSPNVDG MRRLFDWIEEDGGRTVLANVTQTVGAKSWE L198_06092 MAVPPPPAPAIDPYSLLRPTPLAAKRPLPAPPPVSHEEKKKPAV IRRRSSLKFLPMPVTWDEKIPAATHLSPPNTPPAPAPRQNKLQKKPPGNHVFFLEDVS RIPLTPEGHGHEAGGYTYQGEGYKKARVEGGRKEGRGVKKKRSFGLCGPCGPRPMMGE HEREYHYLEDGQGQGGMADVVPASGVSGVKEDPSRPLPSPPSSKTKYPTTPMPLSPIS NASSSSSYQHDEPPSPVVSEAWKHTSYFPEYTPLLPVLKAEAEAKAAKEAEEKAATAP PAPPIPPPPLPPPPVIPPPPPEIPFASYPYPYPYPPYAAPPAENGPPMLPPWTAWQGE GGQKAEWTSAQRYYPAGGWNTEGNGGWHSWAGGYGGHGA L198_06093 MTSPQPTEKSASPAPPSQKQGTITSLTPSDVEANRWMDERKLKK QKPLTEFAIKELVWMEIFRRAKQDSRLSGVNVKIETPGYWKNRWYHLARDWYQPIVDA RRKYGHDFYDGMLQLTEKEWEERIKANSAYKKLRETPFHHFDAMHYILDGQSASGVNA GPTFPRSSGETPSGPLSLQRSRSLSLSPSSEPSGRRAAQDYGAEIVLERKRKATEQKD DSEDDDDGSEEDEHEESQVNKKEKRARRGWPRRDIEKKEEEEELAVDAMARAVLGMQS SIDKYLSEQRQVANPRSSRLSTIASWLEKREDFDDPTKRRILDKCLNDEGCAEKVMLL ASGCEVKLLEERILEQIRSW L198_06094 MTPLASLKAIPAQDTVPGVITREARRAYLAPVFLKSQKIVAGDW LLFKSDNGSTVAQAWPKPGISEDSVGFCPVQMDNLAGPKVEAYRFKAGQSQGRIVSVT VKEIPIVDAPSSSKGKTTPVDLNSPREQVWCKAAVKEALTSIYYVRSGYSVIVGDAEK VPRKFQITSVEISAKDIEKRKAASLEDALLDLKIGGEEVQVCEMHWKTAIILDGEEKA GQGVVKGEKDGKITTKGSSKQFSDSVPSYINLFTPSQSPDSAYTFLGGLQSQIDQIKT LLDLPMLHPELYIKFGLNPPRGILLHGPPGTGKTALARAVASSAGCSCIVVNGPELTS AYHGETEERLRGVFTEARKRSPCIVVLDEVDALCPRRDGGDGGEVERRVVATLLTLMD GMSHESLAGERVFVVAATNRPNSIDPALRRPGRFDREIEIGVPDANGRREILNIMLSK IPHSLTEPELASLASRTHGYVGADLFSLVRESASSAIARYSSTPLPSRSADPLLTNTD ILTTLPSIRPSAMREVFIETPSVKWDDIGGQGEVKQKLKECIEWPLTHRETFMRLGVE APRGVLLYGPPGCSKTMTAKALATESGINFIAVKGPELLNKYVGESERAVREIFRKAR AAAPSIDEIDALGSARGEDTNHSGVLTSLLNEMDGVEELSGVTVVAATNRPDVLDSAL MRPGRLDRILYVGAPDRETREDIFRIRLSKMAVEPGVDVGNLAMLTEGCSGAEVVSIC QDAALSAMNEDLNAPFVKGIHLTQSAMTVRRRITPDMMRFFEEWRDQSGVRSA L198_06095 MRQYELTLLNRQVLLEAYVSRRIGGVVIIFNLSFVVKVTGRDEL SVSHIFQRDAPENAKPMAT L198_06096 MSIKGSVQLGSVTIELLSDSALSSPSTWSSLDPLKLQTIKNLAS PASGPKVDLLSQAFRDPGLGLQAEWRDIGNGRTARIRCFITPSDVGGSIWKQVLWRGR EAVIKGLLNSLTTEWEIEDNGGRTILQLTDEDNHNMQDIYASVPSPTDPAFEPTESPV DQEIHRSLQHYENPEGVKAEMYLYQIRSAAKMLQMETQPKRLIDPLFTPLKEAGADRI YYVNLSNWDIQRHPGWYDLPRGGVLCEQMGTGKTLMCLSLIVATLHQSTQPPTTEIDI TPTSTEIAERSYPFTAYSQLRSLTGFPTSRTNFIFPSLVELCANVLSVHDPSSISSPY LPPHLTALLKRNAFYCSLPPPQECVREAKRNAMRRDVKKTYLAKGTLVVVPQILVAQW KGEIEKHVEEGVLNVYEVSGELPPVDKLMEYDVILMDVARFAHEESSRRETHKLPPSV LLQARWKRIILDEGHVAHNKSTNGMLFARQLSIERRWIVSGTPTRHLQQGGEIELESM DTSSPAPSVLTNAQPQSRRAWSKRDMEDATRLGTMIGGFLAAEPFKSEGKFQQLVAAP LRRKEGPAFGAVGRMKYLLGGLLVKHGPKVIDLEAQLPPSTTIKEVIQFDPMQRLTYN VLAALVASNVYTSGGEDADYFLHPRNAESFHQVVTNLHLACFWYSARDMDTFGCLLRT REWLEKHPDRPVHIRERLEEACWHLERAVKEEGWVEWMGNAISMPCDGSLLPSILKEG WSDSFDTKPDMVDIHSLNILRELNVKGASLQGLHMAGWASRVEKCDWYWEVLGKGYTT TQIRELLGDKAPKAARPKKEKKKEDAKKGVTATTTAAQAPREAPKSPSKPRRGKKSDG DEIDSRLDEAGRNARLAEALNDNRPTPLSLSIQTKTRSAKVNFVLRTILAAPEKDKFV IFGNTYELGHLTEGLDMCDVTTCFIGHTLDAKHRRQALDRFESPEVKVCLLDLRLAAR GLNLVVANRMIFLAPIWSPDIQAQAIKRVHRIGQTRPTTVQILITEGTFEEDIANRAK SSRSDDEEKMYSRAMIENPRFAYAEKEEEERLEVRFCPKRDWALDREKREKREGPASP PPPAKRRRVMFASPTPLPEPPTNGHVKSEALKKEAEDRPKEPKKKARISFA L198_06097 MTNRLEDHVAVTPHPSNPMSSLSQNLWVPSGARGVFGGQILAQA IMAATATVSPKLGLHSAHCYFLLPAQKSPTIEYKVEKLSDGRSYSARLVRAWQGDSDI FVLMASYSLPPTALPANLGLAAYSAGEGKSKHSVAFSTEVPRPDLPPPEEGRVFPSFQ TPFPDDVCPPSECEDDADFLEKWVQQHGDVEKPWQKKFFKEYISERRSSPVSISRGRR KAGSPEENAPYPSSRMSWLRIRDLGPEPPNVETVKAMISYISDFQFIGTAARSVGMNQ NSTPRLGMLASLDHAIHFYPFPSNFDPAAPLLHVMESQSVNLSSGRGLARGRIYTWDG VLIATTGQEGVTRANVKGKQTKGAIEGGMVDVDVSKVKAKL L198_06098 MASRHALAPASAALSRLLAARSSSRLSRGPSVIPSCRRVIGASE GRRWASSSVSGDERGDKEVMKSVREELEKRAEERAAAEGAEASPSSASASESTASSSS SSIPLSPPPLSTDTDTGSITQSSPSSRFTSPATTTSAPDRKSPSLFVLPANITIPPEV RERLTKWGALVMKHSQQVVQEAQKKFIELGFKVNEMTGYLEVERLKHLVFTKEDELQK LRDHAKSTKAAYDLAITARSSAQSSMNALLERKHSWTDSDVSTFTTLVRADHSSSHEV ARTAEEMKKAEVGVDRAFSGLMQTILQRYHEEQVWSDKIRSVSTWANVAGLALNLIVF IGAVLIVEPWKRKRLVEKLEERVSSMMAKVDQRLEGVEGHLERVVAVGSGPESAKALS AVEERAKVEVVQEEQAPLELASSIPEIVSPSLAPSLPSPSPASTSGPLSLPTIHPFFT QTLADLPPSLDPLAKPSQERDLALAGLVGAVGMGVLMGLGRVVFG L198_06099 MASPNEKESLPVAHTPVPQQPPKKTSSWAYKFVSATAVILILNS LLIFSPRIPLLDDTLHPAASSSCQQADPIFPKAVDHSELVRGEKGQIISWLSDAVKIP TEVFDVMGEIGEDERWDVFYEFSEYLEKAFPLVHQHLKRTRVVTHALVYEWEGSDPSL KPLLITGHQVDVVPVLPATRDQWSHDPFGGEYDGTYIWGRGSSDDKSGTIGVLAGIEL LLKSGKFQPRRTVILGFGIDEETGGKVGAYHIGQWLEDKYGRDSIALLVDEGNGVQEV WGQLFAAPAVGEKGYFDLELRVETLGGHSSVPPPHTSIGYLSLLIAAIEEEPHKPYLS PNSPLVNYISCAANSPAQTPSDLVKAIKKVDSSISRGGAKGVDKKALKEVEDWWVEGS WEDGTLMKGQGKAMVSTTQAVDIVYGGLKVNALPESVSAVVNHRINIASSVAELQSHL IDVLSPIAKKYNLAINAFGKDIQLHGCHSSASSEKGPKAGKIVLAEAFNSALDPAPVS PFTVDSPAWRLLSGTVKGVYATRPGMNGTSEGEKEIYMAPSISTGNTDTKRYWNLTKN IYRFAYQLSKDFNNVHTVNEHISADVFVEQVRWFINFIVNVDESDEI L198_06100 MATSMRGLTQYISDLRACRVRELEEKRINREMAHIRQKFKDGNL DGYQKKKYLAKVVFTYILGYKVDVGHMEAINLISSQKYSEKQIGYLALTLLMHEGSDL ARLVINSLRKDLEDHNEVYNCLALHAIATLGGKEMAETLAENVYRSMISATSSTFVKK KAALTLLRLYRKHPSVMPTTEWAERIVSMLGDRDPGVVLTVTALVTAMAQSELEAFSG CYQKSVDMLDKIVFESHYPPEYIYYKVPNPWLQVKLLRLLQYYPPSDNPQVTDIVNGI IQAIIDSSQETPRNVQHNNAQNAVLFEAINLAIHIEPSSQVVHNASILLGRFILAKET NVRYLGLDAMAHLAATSNSLEAVKKHQNVIIQGLKDRDISVRRRALDLLYSMCDTSNA KVVVGELVRYLHVADYNLREDMVLKIAILTERFASEYEWYVDTILQLISAAGDHVGAE VWYRVVQLVVNNEGVQDYAVRAVYKHLQATACHENMIRVGGYILGEFGHLIANDPGCS PVEQFQALHSKVNLCTAPTRALLLTTYVKWVNLFPEIKEHLINVFERYTHVLDAELQQ RACEYLALARRSEDDDLLATICDEMPVFPERESALVSRLHSRGEKAQDKRTWVIGGRE ENSQRELQRFKTFRKGTGDSGGVLANGSPVAAAAVPAPVQAATPEPRQPPPRSSSVQT DTLMGPSSGPTEDIMSSLADLDLTGNNVQDQPLLPDATGYASDLATLSINPTGMPLQP DVTGVNGGKMVHNATLGGVNPALLAPLTVADGLEKWLERQTYANEGILFEDSTLQIGV KAEYHGHLGRLALFFGNKAGSPLTSFHALIDNPSPSALNIHFHDTPVAEVKAKAQIQE MIHVECKDVFAREGGTPLLRLSYFVGEDRKILVLRLPVFLSKFVEGVQLESAPFFERW KIIGGPPREAQKIFPIKVSSTGAVDAARNARIISGNRLSVLADIDPKPDNIVFAGVLH TSGAGKVGILGRVEPNKDAKLCRLTVRSTNELVSAEILALTSKPLDVDVAASL L198_06101 MTVYGLPLEIQRMGSDEVEIRELGTLVVVVGKARNLPNKSRFGK QDPFCTLAIGEEKQKTKPIKRGGQHPEWDEELRFSIFEDVDDVIQRSDSQSEDLNGSL NGKPAPLPKDSGVITSAALASKSRKGKKKGGKSMRIQCYADDAKEPELIGDCVVDLDE ALKKGEVDEWYEFQYRDKYSGEVYLELTFFSNDAPPVRRNVPRPSIPGYSTAGSLLAS GSSMSLNSVAGKGSLPSLGQGGSISGANLYIPPYAAQAARVPSPVPSSNSFGDLGLPP GHRPGSALPINQPPQAGYPPRHTPSHSLGGGNSIESLTRPMTSMSLNPPAQYPPQSST PAPPAASASSLGHSYGAHRHSFGGPSDAPWGSMLPQSQLAPAPTPHPRPLSTSDAMSW EQQQRLEMERLRSAATPMPRPTSVQSQGYGGAPGLIPPMTQATPSQDYRAASPIPASL RPGGPPQLAHQPYSQPPPASAPTQYLHQPPTPAPPPLSHSAPPTRPPNGHYGGVPASS YGGLAQPPAESVRAESPGPTYYQPQAEPPLSQPVTAYQSPAHTVPNGYGSQPEYGTPT RSDSYPAQDYQQTPPSAQGYNPAYAPPQPSASPVSYYSTPHTQPPPVQVPAHSYSYSQ SSTQPPRQLSPAPPVQQQQQQTNEGGYVPWYQQTQSTQAPQAVPQPPPTPSYADQYGQ SVPRQSYGRAPPQPQHSYSLPPPPVPERRPSTLPAPPVKPSVGYYPSDELYAQPLDSR TPTPTPPGGLSQGQQNWQGQGQGQNAQQQQWQTPPAHQQVAQHSHQREPAQSWQTPSQ QATYGRTPSPQPSVAQDAGYAVQQAPYPPTPQPPQQHQHRPSQNWETPPQQTAYGRAP SPQPPVPQHPTYVGQQAAHPSQTPQPPQPQQSQAPWQSQTQGYQPPYNSYPPSSTPQP VNDRQPSPQPPQQQGYQPPHPAQSYVAPLAAPIQQQAVSNTPPAKVDWRTYMQNLGVA GDSSGAAPGRAASPQPPPKDGVVSHTLVQAAAQQQHQQAPQQTWYTPPPSLPNTMQPP DGWQSTLPAQRPW L198_06102 MAKNKGNSKQATNTRRPSAGPLIPTPDSAHAIAHVPTHSTDEKR DLKSANGTGANTPEEVEDDVCFICAEPIQFWSVGICGHRTCHVCAIRLRTFYKKDHCT FCKTELPTVYFSRLPTAGLILPGTLDLGAFPYVDDKLGVVFEDEDMMEATLTLLRFNC PYTDCGFQAVNWASLERHTLSTHGLVLCTLCRSQLSRFAHEQTLYPPHLLPLHDPSRV KRGQKPPKTRNAQEADLVKSWEAPHPVCEFCHRAYFGPDDLFKHMRIDHEECHVCREQ GNRHVYFENYIRLEHHWRDDHYPCSQPQCLEDRFVVFGSELDLRAHMMEVHGNQMSAR DRAQARTLQLDFSRPSGGESSGGRGFSLGGRNAGSSTGPSRMRNDGPQVQALDDTPAM TPAMIAQQRRQMAVDREGGTAGASSNQSARRRGFDGGLTRPAGQATNGPARSGPATPV TPAAPREDVDDVTVSRHEELLSRVTMLTGDSPTKLASFRHAVRSLKTNESSPRDMIDT IFNGVFELDLDVTVAIGREIAKLFASEGDKDKEKSILEAVSGLRAEQANEFPSLSTGS SQTGYGNHYAGVASGTVLNVKRATRPSAGQGRAVWDRVQQAAERGAASRPAPRATTGV GGRWVPGATTSRASPGSEAFPSLGSSSAGPSASSSRQTWASGSAGPSTSKAPSALVPQ IRSVNNPSNPSTGRKPKAPNLSSFPSLPSSSKPKKTTKEERLALIGKPQGRAAAAEQP ELGPREAMEGLSIGERPEGQQGGGKKGKGKGKQTLFTISARPQ L198_06103 MRIHLPLHPSQFKQPTTSPSDSPLVQLGGDLVLVELQGELSWEG EKSGGVVGVIGLDRPDKPTLHLGPHHLLHGKFAKLQKPYAVIRRVVGDPAASSSILAS EKGKGIAVQGAADEEGSSDEEDEEDDDDEEDEDEGPLFPPTKDGTPERENAHPQTSSP FLPPSTPRDYSSDIEMSSPIAPSSSKRPYSDSEEDEAEAEERRKRQKLADARKAKKEE RKRKRGDGTERTRHYTVVGIVRKKVVFSLRPEPLVAPTILPE L198_06104 MGTRGLIGYILRNNKHRAMYNHLGSDPPCLGHQIAQFIIGLSPE QCAEMAELIDKIEAIDCSSSLISSLTEIARYMAVDGIWPHRLPYGGPQHWFQNSDFEG LPEKEKEDKIAEET L198_06105 MVTPTLALFSSLLAASSALAHIQMSWPYPLHSSLNPATPEALKD YSMTSPLVTDGTYPCKGFITTADDMGSNAEWSAGSTINYTLVGTATHGGGSCQLSMSY DNGDTWSVIFSHIGGCPMEEASMTTDVTIPSDAPSGQALFAWGWFNLQGNREMYHNCA PVTITNGGSGLTNTDDYPTPFVANADVNDCVTIENTAVVFPNPGKTVKYGGDYSSSQP TEAAGFTGSNCVGPNASSSNSSSGSSSASSSGAATEASTAASESTAASGVSASAGISI SLSASLGVGENAQATSSSSYVDASSPSSVSVSSSAASATTTSSSTSSGKSCKAKRALK AADNARARHVRRAPKRFAASKASHSSSKRDVSPEAVVQKRDGKRYAASKASHASAEKR TEGAGFEGRAGVKKSAASKASHPRDVKRGLVGRGIFETSS L198_06106 MGTKFPAFIATGTALTVLAAGPIVGGGLGLFGFGAAGVTAGSLA ASIQAGIGNVAAGSLFAFLQSAGAGGVALPVLTGVVKAVLATALSAVGAKSVLKLTRR HVVAAVRVARVALLREHLIRRRGVFIGGSGH L198_06107 MSIAAYRRYSTATKNKRVITGINVRLDNELRGIKAGIHAFRSTV AREKASQEASRKASIVEASRQRRAPKWVPVVGERVRISSMGYEGTLRFYGATEFKEGV WAGVELEGGFKGKGKNDGNVGGVQYFSCPPNCGIFVTAVKLSQPTTGASHPPLPHAIV HKPPTLYPAAPLPPCLAELPLPDLHLSPQAVPRVPSHQ L198_06108 MGDNIVNFFAGQPPLNRIAFQRHELEKVNHHLHNPETQFFLFKD FKPLVKKGEAAQPLYLKKDDVKDLIGEGYRGPSPGATPEISKISEVTRLSLPNLIFLG IDDRQDPTTNKSSAVDHLNPKGTPYFALDATGTSFDEAALGGEWGDPRASGSAFGAWD AGVFAEARALIDWNGRNKFCPACGSKTYSLWAGWKRSCTTALNPIEGQEPCFSTKGLH NFAYPRTDPVIIMGILDSTGEHMLLGRQKSWPKGMYSCLAGFIEPGESFEDSVRREVL EEAGIEVGPVRYSSSQPWPFPANLMVGCFGRAKDGQTIRFDLDNELEDAQWFPRSTIL SVISNHGSSNYTRDDHKKLEDKSDADKATAGALAPSERTQEDLAKKTEGKDVKELKRV PPESAIAGVLIREWAKGGLDLVSKL L198_06109 MAEILSYLFLSGTGAGAYLTTLAAGTGSYLLSLAGFGQAGVTSG SLAAWFQSYFLGGYIAQGSWFAYLQSVGAGGLPVLSWCQSAFLGSFTGFLTYLGWGWK EPEPEWGWFSPVVNSWRAYWYP L198_06110 MFWRKKQAAPPSLPNYLPKSDEPPRPILKKNSDDQAGNGLRFAG GTKADSPLSSPGVYPTRPQPPVQSYSPENENATRRVQPSTPRVVVSSYGINSAPSTPV TQHPTSPSNSSPGAESISPARVLSRRSSRATSPSVTSFGTRVRVSTEQVRSASPYGAV STPPQQSSSPVSAGFSTPPSQYSPSSYGATPPSPYRRTNDREGHSPSPMATFVEKARL PVFAGPFIEEDEADESDSDVPMLNIIPATPQDQEEAFPPKVIAPEARILDHGVNLEEK MRAMEREMVDVSLDEESEEERSWEPEGVPTIDLDFDFAPLESVIDWSQPSEDDEDSQM YSDEPEGYDTYEDSGFSHDRPPSEPLPPSPPFYSYPSLPSLDSETSLSSPTMPNSSSM SSLASYPDVEQVLGSMLASLSESSMASTTIDTPREQSFDFSNEIPLDFDALQAETTAP LSLSRPSSRVRSHHIPSPLDLSNSKYGQVLPQETQSAPLANHRNHRIRYYPNARIHPS ASPSGVFTTVTPSSSESSMVSCDQRSGATPTPTVAVIPASASESELGSMQSNRSSKSY RDSCSGSEMSDDDEIYTASIMSVTPVVIAGGRMGGKTAGASTPQQKKERGIVFGGHFV KERMEMGCGLGLGLEVETEEVGLAL L198_06111 MPTVLLTGITGFLAAHIAHSFLKHGWTVHGILRSNSKRAAVEAV PEYAPYLASGRLKLFAVGPLESADWRAAIEGVDSVVHTASPVVFGQDDFRENHLKPAV DGTKGVLQAAAKEAGIKSVVLTSSIGAVGEWRYHPTELKGRTFTEDDWNPYTLEHNPV FPPGMLFYMGSKKYAELAAWDAQKEAKAQGSHWSLATMNCVLIWGPPIQPLSSLSQGG MSTEFMWMLAGGKDKPIMSSLIPYYVDVRDAAEAHYEAAVRHAQGRFLISAGHYDFQE YADKLRDFYPAQADRFALSSPGKYMYQDPGVYDVSNEKSKRELGMTYRPHDETLKDTF DRFFELEKQGLQ L198_06112 MASFDILFISRQSLATYPFSTSSRHACRSSDYGITGFATAHIAL SFLKHGWTVHGTLRSDAKRSAVEAIPEYAPYLASGQLKLFEVGPLESADHREAIKGVE SVAHPASPVEFGDEKFRETHLKPALEGTLGVLRAVGREGSVKSVVYTSSFGLVGQYKF HPTELKGRTMYSYSTVHE L198_06113 MSSMSVSSSSSQSSGIPGVPRVGAVRCYWALLKPKYTPNPDPAI TTPRLDLEFVHFDPILDAHLSKQKMSMMGRQVLEFIHPHEREQARKDLTSAISADDLQ GSVTRVRFARMSRIRTILGCLPEENEFPEGMDEVFEDDMYIIEDVVLNWAKGMLLAFF HSIKDKDPVGNNDPKRAHEEWSNWCGTKYMADEQIEALYRDVLNKITIPPNTGRPPTR VFQLHLLPPDFSPETPTQLIFSWPPPRAQGSFATLDGYHNALEYCDMMKHVDMDPSQL TAGPGELRTNCTTRYGAHHLVTTEGLHRTVASVFIPYGKLIFACFQTIKEHELPIGNG NGQQGNGWGHGGLHPPTGAPSHTPSPAPTPSHLPPHSTHPAHQNNPHSHPHQHPYSHP HQNSHPHSHPHQRQHHPQDWSTDPSLLPHRQESGSSDFSDWDPHGAYGMMDSASLGLH DHGQGQGQAQAQAQAQGNDLHLAPSNAYPTPASATSSYGYYTPAPDYGSSLSSGLGTA HPPPGAPGAQGAGPQTATATADRHGSVPVGALASAPVSGAGAGAGASKSGKASSRPLV RPPGDIECCVMCGTKESPEWRKGQTGKKDLCNACGLRLARQVAKREGRSKPRKKKEEG SSTPLKGDGGATTGTKDINGLSGRS L198_06114 MPTVLLTGITGFLAAHVALSFLKHDWTVHGTLRSESKRSAVEAI PEYAPYFASGKLKLFIVGPLESADYGEAIKGVEAVVHTASPVEFGDEKFREKHLGPAL EGTKGVLEAAAREASVKSVVYTSTFGAVGQHRSHPTELKGRVFTEDDWNPYTLEELDA IAAANKSDNPNHPPGNLFYMGSKKYAELAAWDAQKAAQAQGADWSLAAMNCVMIFGPP IQPLTSLSNGGVSTEYLWMLAGGPEKGVMEAAFPYYVDVRDAAEAHYQAAVKHAQGRF ILSAGPYDFQEFADKLRHLYPAQAGRFAQGTPGKYMYKDPGIYELSNEKSQKELGIQY RAKDETVKDAFDRFFELEQQGLK L198_06115 MTPTPARSSGEGDTTPQMHPDHQSHTARRRRTGKLSQFFGETID LNKSPAELSSTLPRSNSLTRKGSSRRSILPTSPPSPGFGGGAGGKWKTRRETMDAVLG EMWRSVQDERGKGGMKLDEVDRLGDLMSVLRDRRDQRERMGSRRWGTQEESIWSNGIA STIWRLVGKENGSLPVTDWLCPAAFGMVKGDGDVVIQEHVEGTLEEFLPGDQGKVVFT VRDYQLQDPSNGSVIHEAYINRRTWAIIILFNISFVVKVTGADELRVSHIRMEVC L198_06116 MPPHKKRPLSLPLNPFTDHPESEMVQSGTPRTEHAAVVKQSPAP SPPRPARPSHSPFDPQEQQEILAPRRPTAKPQADESFWRWSHATSATPSAISSPAPTI DTYAPTELQFPASHLPMMDSEGNIDSASVRTTFYSDFSAPSTVPDVPDMATLPGLFKR GQGLSSIPEASVSVVSTRTREKTQKDGRVDSPEKRMSVGTFGRYNSLYSSTHTSPDAP PRQTPTSSPPRADNPFTPPRRRPLSFSSSPSSTNNSPTKGKDHTTTESALEKLGAGYK LGMRTPSDRADHREEESERGIEAYLWRQSRVNERRIAPSPLPPSPPYPAGPAGLEPAL EIDQDKALVARDREREGKQNQDRKNGFRRSKVDQMLGEGAEYARYNMGLDRKVLEDTI EQRNGPLPPMRHKPSASLPVNSPPRLSPLPPLPLPTRDQSTTTIQALLSPLEKTHSPP PRGAGFHGRSVSIDSLPSLHPSLSDSVVAMNTLDGEKRPLLKRSLPPTASETSLRLVK PAFTPRPSNISLIGSTSTTLTPSQRTLLVKRTRKLEHLLGQPLPEAQIEQSLIEPLNG VREYDVQVGEAWPESPSPGSSATARAAQDDERGRRVPEWEREDCLVRRVPAGLGGGSL PSLHGEDDLAKPVKMSLAQKALAAFNLPAPQKSDELKVYVSRQMRVTETVSRGTSSSV GVNREQVPPTSPMSPMSANTTSSWESQLREESGESEAVKKGRRVQLAKLHRLLGVPIP PELVSHTRAPTPTPAPDPGDKSRSSISSTSSRQTDSPPLEGLSFLSLDDSPNHTSGGG GRGKGNKWNKLKGLHRKMASRSGSPSPLPSSSLVGMGEMGMEGGDDGASFMDLGEGKK KMSKEEKMVFRKRAAKLEQVLGDKPPSSSIYIPSTLRSTPDIPEERLSSFESYSASLQ GLLYLVDHDETKLAQVIARASAPGVCRFWAYLACNRVAHEREERKEQKEREGEMEGRE E L198_06117 MRPPASPDPAFNSKLTTALQRAKEQGLTKQGIENAMARAKVVAE GGGQTVVYEALAAGGQVALLIECNTQNASRLVKRVKELLSKNGAQTSAVSFLFEKKGS ITLTPNEGEGGGGEKGFEALFDAAVEAGAEDVREVENDEGGVEYEVITTPSTLSPITQ LLQPNPAYTIQSSELIFVPNEPLKVLEEGQEGQEGEGVREEVVESVGRLVDVLEEETE VEKVWTNLE L198_06118 MSTPPPPPIPIPSPTILTHLTALSHPRTALPLSLPHLHPHAPLS SRPAPSTLRPSQRGGKDKSREKTEGGLVAELKEGVKFWRGVVEGQGEEGRLYQALREV LTHQSTLLSTSSSLLPLPPPPNTLPTITPPNQQQPPSTLLQTLSSLAGLQTFLEDSQF GLQQASLAIAGERVVVDVDLGVDVAEGEGAEGEGADGEGEGEGGSRMGTPLGTTPLAA STPAPAPAPAPAPSAGDAGPPTGREERPPKIKLLKLEASHVTPKGETGQSGHVKATLT KLVEEYLELYNVFPVVPTLPTGFPNTGSQAPPLPLTQHDEEGGNQAEAMADIIRHLEN ELRSLKRIDDVACAEGEGEGVVDWFEEVEKVARGVDALFGESLEHPVYPAERPCIFPS FRLLPPSSPSSSASTSTKEGGRVEAFNPTWQIRPLLLHLNESVPSLLPSPASHSLHSS QDEHGEGGEDVTMDTQEHEQEKENEAGGWLGSDWVIECLDPSGLTEMRGTNPPAKSAR GSKVYSQYRPYQQPSFMPPQAQQQAFPYTLPFVQSSQPAHQKQGEGEGEGEGEGLEQH WSIAHPGPGGWVVGRVGLGRNEEGLRRAIVALRRQTVMNQLFCQIFKTEYCRPYDVDV GSVPDPNGETNPLNGEGEMKKEGEDAEMAEDEDDDGDDDDWWLSASQKSIPCSLTILP SSYIIALPILSSEGKIRDTQVTVKPSLVSEGGYVYVGVKVDGEDVGVFGDGAGDGAGG RRDLVGIVDEVVRLVRG L198_06119 MTLYIQQSKKAGFAGYVGKKAGITGQLTLKGGEVLHAVQDAGVP FRSIAEAVAGRLGIPTKSLILEEAAVHYYAFPCRDVLCARYGGFERAYERVVGLAAGA EGVGARISRLASGALVQRPCPSIESMESSMALE L198_06120 MVNVFLTGASGFVGSHLTPVLLAAGHNLTALARSDASAYQGITV VRGTLEDTDILEKAAAASDGVIHLGFIHDFTNMPHAIKVELAALEAFGKGLKGTNKPL IMTSGVLLTSSPTPNERTPASTTGRGQAEHLAMSLASSGVRTHIMRLPPTTHGDGDQA FLTFYIQQSKKAGFAGYLGEGENHWPAVHVKDAAELNKLALENPKKSLKGGEILHPTQ DAGVPFKDVAAAVSKRLGIPTKSITPEEAGATYSWLGHFISLDFLADSALTREWTGWE PKERALVEEIEKTEWYFAEGTAAKF L198_06121 MVNVFITGASGFVGSHLTPILLAAGHKITAIARSESAAQKLVDQ GITTIRATLEDIDVLAKAAGEADAVIHLGFIHDSRTYAESVEADLAVITAFGTALKDS NKTLITTSAIPVAGVPAPTELSPGKIPPRAASEKLTLSFSSPEHSIRSHVVRLPPTVH GDTDRGFLAHYIGQSRKAGFAGYIGEGENHWPATHVKDAVQVYKLVLENASSSLKGGE VLHASQEAGITLKTIASAVAKRLGIPTKSITKEEAGQTYTFLALFLGIDCVASSELTG RWLRWEPVEKGVIEDLEGSGWYFEETSVTKF L198_06122 MSSLLSPLRPSAPVPASVPAPVPAPSPKTEKETASIVSTLSQLS QYRNVRGVMVIARPSHLYKQHGVESRVREGERDGGIVQISGQAFEGEGGERYARVVER MVAGIEVAVDECEEGDELKLVRIRTKKHQLIITPDEKYVLVALQDPGE L198_06123 MASKDLFKPQWSTSLSLSSTNKPLIITSCTLVTGIPNSHEWSPS LVPPMAASERLTLFLSSAGIHVVQVYKLTLETIHLKGGDILHASHESGVLFSTVASTV AKRLNIKAKSITKQEAEEAYTWGVQMMMMDCRTSSELTRAWLGWKPEGVGFGWYFGDD CVYRG L198_06124 MTAESLAAQRQKDLQNSRNSHARIKRVAQDHKALNHKIADLESR ISTVQTSLTMSEEEQIELFNAWAQAKAERGKEDSQLEFDKVLDGQTSNDN L198_06125 MVNVFITGASGFVGSHLTPILLAAGHKITAIAKSDASAKKLEDQ GVTVIRASLEDTNALAKAASASDGVIHLGFIHDFSNLAHSVKVDFAAIEAFGKALNGS NKPLIIASAIPVTGIRNPSELSPGTQPPRDKSEHLTTSFTSSGVRSHVVRLSPTVHGD GDQAFLTHYVQQSKKAGFAGYIGDGETHWPAVHVKDAAEIFKLALESTTLKGGEILHA AQDAGVAFKDIAAAVAGRLGVETKSITAEQAGETYTWLGGFIAADTVAESQLTRQWLG WEPKEEGLVEDIKSSKWYFEPGTETKF L198_06126 MNDPRQSTVAQRSLQRHRFQQRQTRRRLIIGEVGQVIGAAAQVV IESQKRYYVKKPLHNSPFGGHQLVSGHRLRHLNDNEPSAVEESSQEEQDEDAEGEEVE RSSVLAPDSDDEGEQEDSGDGELIEVAPPPNQKRHSAVATPKKGSPSRASKLSGPEAM QQHGQHLKARLDCLAMSLNDVERASRNELSSLLEEVSSSQLFSPGGRDDIYEMLCSQP LAAPEKEEIDEIFGTLAEKWDITTAEEMEQVLGIKIERKQSAKTFHLSRPKNIDELTD KFPYITKADRPYQSSLYPEQSSLPSTTTRRRRIASSTAYSVPGGSGFVAVDRCGWEVT EKELAREADERIIVSYKSRDEGSRDRTLAGEETGIERKHKMAESVAEKSGLKKSKHHC LGRRK L198_06127 MVGTSTPTLGYFGSDGISRPILRHRPSSLVHAQPQSRSSSIPSA RSIPRRTSSASLTSIDTALSTIAEPARLSQRRDNWQSLQEVAGDEDDEDEEDEDEGGG GPGLGKSHVSIRRLSVLPSVGAKSVRGLFGHQASPSPLRATFGKRDPSLTRSCSSILD GDLTLSPKSTTPRQLEDGPDDGGIFDSGQLSPKSTGKSPFRAQTVEEDLPFSENVPKP APDPKPSKRLKNTGLWPPSPVTISVFKCAVAYFIASLFTFVPALSRLLSRESMTDIHG RVTWKPADQAHMVATIVVYFNPAKTLGNMFLSTRYCIVLTFITSIASLFCMGTVLLFD HFSPSHGHSWDFVSEMGDWVMCVVWIGGTLGLLAWGKLYVGNPSWNGGCSMAAMLLYN VVIRDGALPKLAETLEIIAIGVCITNLVNYTVFPLSATSRLQSSISKTLSSFSTLLDI LTSTFLLEKTIIKEDRTSIKDAIKSHAASFKTLKSDLSEARHESAVDERIRGRKLRLY EAAVASLGRLAQHLGGLRSCTRLQESLIRASKEGKVSWDFDTQTNIDSPIFSISALPP VDGPRKESVREDDVETSVRLFLEFRKSAGAQMISLNDCCDEALETVAALSRSDPTQDN LSAVRTKLADTLRSFRFITSRAIKEVYAGPVEDDDEEKETEKGREQGQRSGSDLSQLA DGPNETVFWIYFFLFTYEEFAREIIFLLDTMQELAVSHTPSIWDHIKHVFGRKRGRKE GRGEYIYKQLHNLVPIDPSKLQPPLCPGNSRDSTNSSSNATPKRAPLLERMKRAWWYI GERLAEPDMRYAIRTGLGGAMLALPAYTHMGREYFLEYRGEWALIAYLAAMSQTVGQT NYLSLARIFGTIIGGTCAVIFTKLFPENPIMLPICGFFISMPCFYIVTQLPAYNNAGR FILLTYNLSCLYEYNSRGEVSVELIAFRRSMSVIAGVIWAGIVSRYWWPFTARQDFCL DLSHLYSKLVTTYSKGVDTEGEDSGDHSSDDSDDEGDVGETTPLSAPWSVGHQHLSSS VRQFMAMELHLQSQLDYLRGLLSQTKNEPRLKGPFAYGFYHEVLLSCERVLDRLHSMR CVTTRDEWNDDMRQAFIFPVNKERREMAGNVILYFYTLSAGLRLRTPFPSYLPPAEDA RKRLVNAIRGLEVVKRRKLKSGGRHLLFFAYALAMQEVIAELDFLGKMLQDAYGVISH PTVGSFEDLFVSPKGKGQNRRRRGYERGNSRYGSLPHDA L198_06128 MSASKPSRSILNDPKHLLARLTRLESTIPGLDATLMLAQYSSPL IIALLLKLASIRARHPKVKLSQAAGQGVLKVDGGYGLVQLAEGWGRAGGSIGDARVIM RAFGMLPVLQSLLALHPKPLTSLLTLLSPDGLAKALQSPKAISTLQCLAILAYYPLEH VSWLATKGIISMSPQKVGKATLWSVRFWALYVALKVYELQKTYLALSSRTRALQHSKP DVSPTEAEGFKVQDEKTGPKDIQGKVEEKVSQAKVLGKEWLTWRNATISNAGYAPLTI HWSTPGGIWGSPLITGTMGSVAAVGSLLAEWHKGDIEYE L198_06129 MSRTQTTILRPLATSSRATRNTVARSFASSSRQQLATSSSAFAG IRASHGHELRRKPLALFSHQQRRTMFIQTETTPNEASLKFIPGVEVTHGSAHEFLDLR SALASPLATRLLTIEGITGVFFGPDFVTCSKDESLAWSVLKPEVFAVLMEHFSSGAPL FKEGSGETTAEDTRVLDTDSEVVAMIKELLETRVRPAIMEDGGDIEYRGFNEVSGLVQ LKLKGSCRGCSSSSVTLKNGIERMLMHYVPEVQCVEQVLDEEEQIALDEFAKLEARLE KEHGKKPDGKPE L198_06130 MGSSKKTRKFCPHGCGSRVTNIARHEANCRRLRITEIITQERIG ELAKEWSRVGKKRKHSSHVTADMIPTEDDPGSRKPRRPRHLDSYETGDDTGMLGIGED LPVLPPDTDDMAAIALCEDSSTTDSSTPLPTTTTPADEFNISRVFYGHAHAKALRRDE ESQSSSVQRSTDNAGDENEEGSDHEVCDAMIHEENPKARSGESESSDWAGHVLKHPGD GAPRIIESDGAEWVCTEKRVGREADSEEDMRMVGRGAEEEDEDE L198_06131 MSSPYTYTLSPQVYALPILHAAAHPSHTTLGLLLSSSSMSDKEQ TIDHAVPVLHNYASLSMVMEAALALVEEWGKGKGKRVVGVYVAREDGEGLGRVGERIL AALRTKWDGAFGLVLDNGRLGSGQFAYIPYLSTASGSKAITSSSPSAPLPFIISSESL PAQLLQLIREKKVHRDVRDFDDHLEDSSIDWLENTTTVSTLQKYIS L198_06132 MSSPPTSLSDPIAYLALLKFHRLLPRCPRTNLPVSYADLGDPGG VVVVYLLPSGCSRWVAAPMDPVAIKYGIRLIVVDRPGTGGTGQVPLNERIARSCEMVV SVLEHLDVKPAHMLATSAGIYYALHLLINHPSTFQTSLNPPPKLWLIAPWVPLLPSDD PDYWPFKWDWIPTPLIATQHITTPHLIKAAEQAQKVYNQGAKAYDTGKAFALRWYKSY FDPPASSSSSSSSLNQGSGVSSPVPSRSSKGYAAEGKGGEQDLGGDTQKLLNGIRGAG MGEGTEVRAPDPTEEGEGEGEEHDYDSGEWPPRERFWGKTPCCITCTISGYMQAENAQ GIGQEHLICLNRGLQNTGAPYLLTALSDLAATIEFAQSPVESEARTRLGKKFGMSVGE RLRDSETGGGGGGGVKWPLEVNVWWGWLDDMVPRKGQLWFNDLVGQYGDAIKLSIHDV PGGDHADLLARQEGIHQCFQLIQFQGNSTAPTLEV L198_06133 MSSTATLVNDDRDYSSAIANLKIRDIPNIPDISKVTQVELGSSP DSDEDDAGVNPFQYVGEVLGAGPGPNYPYQDLLPSNPTRTKSDPPLELQEFSDRGLKA DPNAARLRAFVQARGGKVKDQLIAIGTVIEGDIKLEELGEAERDDLALLVAQRGVVFF RNQHTMTIDQQRELGAHWGPLHKHATYAVPRRGDLDDVVVVYADHDSRPDLYAFSRAE LFHSDVTYELQPPGTTILRLLTTPEVGNDTLWSSGYAVYSSLSKPFQKYLESLSAIHS GFDQASSRTGITKIPRREPIETVHPVVRVHPATGQKSVFVNPGFVTRLVGVPKAESDT ILAFLKDSFAQQTDATVRWSWQAGDVAIWDNRIVNHSATFDAYPSLRHGTRVTPIGEK PMGVEEYEERTGEKAKDWLEERFRKLGIRGPAKDDGRTKQRAVRD L198_06134 MSINDTSTPASASALASATPVPVPVPAPTPAPAPITITIPASAT VPRSNKRSPRGKDALNRGGGGGGDVEMDEGVEKGTSNGHVNGNGDDVVMSTDSPTPAS KSHTSAVAAGQSRPGTPVMNGSAGAGDVGGSGRGGGGGSVGRSGRKRKVSDETPNAKS TRSTRSRLHTPSVHASPASTSQHRAPPPPQPEVGQPTRDDTLLPDPSLDTTTANTANN SNNPSSKAPSPAPLSETDPTLTPAPASASAPATGSGGLNEETDPIRLEAAAGYESRLR ARVPGGGGGNAKKDGAGHKIGVSASGRDVRIGGSARQAATALKKDKGKGKGDVVQPNQ DFCSACRGIGRFLCCDGCPRSFHFMCLEPPLRLDELPEEERWLCKHCRSENAKEEDPT PKKQKDLPAIPSVFRALSDKIEDENPEQFRLPTEVRKFFAGVSTGAEGEYVDAKSGRA KIDRKGFLEDRDPFRLRDGRQKKVACFHCGGSSLPKHSVLTDPEATWRQMISCDYCPS SWHLDCLSPPPSIMPSAGRKWMCPNHPEHVMPKRRTLNEGLEIIDISKPGQLNNGNIE IIVPETTAIGKDGKQFEFEDMVINRKKFRVPEKVVKLDFWNKIQRGKSEGKGKGREVN GDEMEVDEDEAEPEMDDINAAAMMMALAFSRHTAPPVASTRSETAVEKEREAGTAEQP NEHISAAPSLPESGAAMPESGAAMTQVGSPAPSA L198_06135 MSEILSPPPAPAGYITQSQKDLIGGSIGGIAQVLVGQPFDIVKV RVQTSPPGTYKSPLECATRLLKNDGPLGFYKGTLTPLLGIGACVSLQFGAMEYAKRFF RGRNGGGELSLGQFWLSGAFAGVTNTVVCNPVEHIRIRLQTQPDVVPKLYNGPLDCAA KLYKNGGGLSGIFKAQGATMWRDGIGCGFYFLAYEGLVQRHLKTTGLKREEISPIWAV TYGAAAGYALWFSIYPIDVIKSKLQTDSLLAADRKYTGMVDCVRKTWGAQGVKGFLGG LGPTLIRSPFANGATFVAFELAMRAMA L198_06136 MFSTSTTAPTTPDFVPQSPCFEDRTHPASMAPCGYHNPALLEFI RTDVSRELVYYLADRTTSVIGQATKDAAPLSPPTTPTKEDSDAAAGLPSLETFVAVVC EQSNVQVSTLLATLVYLERLRHRLPKVNKIGMPCTRHRVFLATLIVSAKYLNDSSPKN KHWCKYAQMFPVSEINLMEKQLLFLLGYDLSVGEQEILDNFEPFLSRYSFFSSPSVAS SPELPPTPVTPAHPPRRHSKRHQRTTSLGSRTFVAPPLDRSGSSSSLESDDGPVTPRE SPSPVVAHAQRGYACKSQAQAKAQGQRPTAIYEVPLNSSTYLPKSMSIESIRSISQTK VALAVVPSGKENFLQRLLRSDKRRKAPLEEIENQNQSISSLSSWVY L198_06137 MPRPSLPPIDPSTPPELICPCRKRVRPQLGASCEFCASKSKRQA AKRLEGRQKGKVARKLSELNEHPVFSTKVDLQTAAMSLLRAHHASPETFIHAAGHADE PSDEVISIRGSYQSPVEIQAAWSVPPGERPLNFGDAEQFLRRIGPTIAGVDTDSPYKF TYHDIPHSTDIPDTSTDYDCVARFICCQSLQSKRNGREKEKDPSKKARLGRTCMRRFD CQGEMTLRYDFALNALRLCIKHSIPHVTYQSVTFPDEALTVVERLVADGTVDITPIMQ RITFKFPHLTWISRAQVRNAVRETLSSRYLLDKEPIQSLRAGLAQAAENGSVGLIDID VPGVTAIAWAVLPILDEIKRRHLTVEEAFMDATCEFQERAVTSNTRDTNTQREKRRGK GRWYVRFYDAMKAVASMKHGEPGSSADVN L198_06138 MESHPSSSISQPNAQYGSTSTTSRPRRSSVFNGPGTEGRTGLGT LGVHDDKSELVGDWSNWQPLEDAVGGGDEDANEAPAPARNCLMAQNHFTSGHSDDRAS SFYPTYKKQQEPSTKRLRRFKSYWSAIWPLSPVSAVVLKCSLAYFVASLFTFMPALSS LLSTAAILDEHGRVIRQPAELGHMVATMVVFFNAGKSLGNMLLSNQYCLGLVTLATIA SLLSIATFQVFDRSSPTEGKGWDSVTEVAGWTVCFAWIGGTMGVIAWAKVWIGSLHFN GGCSMAAILLYSVVLREGSIPKLFEILEIICFGVIITNFINLAVFPVSSTTTLQTSIT KSLDSFKTLLDLLTSTFLLEKTVVKDARLHLKDAVRDHSATFSQLKNDLAEAKRERIF DGRIRGKKLHLYEAAVTSLGRLAQHLSGLRSCERLQESLIKATTGGRIRWEQGVDGRP RLVIVDDNSETAQTSGQDEVAEDSLRVLVEFREFAGARMDALNVSCDQALDAVQGLSR KDSIDTHTLVSVRSNLADALKTFKEAAGEAITKVYVSADGSHGGSVGSEHEEATLHEG EDSGNGPNETVFLIYFFLFTFEEFAREMLFLLDTMAEIVTSRQVSAWDQIKSYTLRGK RVMTEGQFLYAQLTNIVPVGPSKLQAPLHPVSSKDATSRLTERIQPPRTKFEKFMRAW GEWEERMEQPDMRYAIKTGLGGALLALSAYLPATRALFLHYRGEWALVAFLSAMSQTV GQTNYISLARIFGTVTGGLVAVILSRLFSESPIVLPLAGFLFSMVCYYVITQMPDYFD AGRFTLLTYNLSCLFAYNSRNHSDVTVELIALERTMSVTVGIVWAGLISRYWWPFTAR RELRMGLSDFCLDLSYLYSKLVTTYSKGSTDDEVVDDCNCDNEGSRPGETTPLLPTSS ICRTHLSKSVRQFMSMELHLQSQLGSLRNLLAHTRNEPRLKGPFAYGFYQEVLLSCER VLDRLHSMRCVTTRDEWDNHMRRTFVLPVNKERREMAGNVILYFYTLSAGLRLRSPMP PYLPPAESGRQKLVHAIRSLDVVRKRSVEGGGRHLLFFAYSLAMQEVIAELEHLGTMM QDAFGDTA L198_06139 MTQVPKVPILLTSFVLLATKARAESDTDDSSCAPGAAGEYDFPL HIASVFVLLVASGLGVFLPVVLGEKGSNGGWFGGVFFGLKYFGTGIIVSLAFCHLLQD SFETFANECIGELAYEPTAPAIAMGSMFVIWLIDFFGSRWIEMKHEDNPKDICELAKP PSPDGGKSPCIDLCCHSGCKPVEHFDGSSKRVHWDVQLLEGGIVFHSVMIGVSLGAQA DGFAATFAALVFHQLFEGLGLGARIGMLVWPATVASTWKKYLMCMVYTLITPIGIAIG IGIHQSFNENGHSELLAVGTLDAISAGILLYSALCQLLYKEWVVGDMRQAKTWRVGVA LGAMMLGAFAMSLIGKWT L198_06140 MLWRFNLASTSTLDSLLTREVPPTLEELMDEPDVLTECKGQNNR LVTFLSREDSAKSLLQWVVAGLDELDQAAADADDEIIAQAITSPDLYPAYKVPTPLVI PGAGPGSPPLERAKLGEVNGDKGRKEGEVEEEEDHGELDVPGLGQGLRRKSEADDDIS RSKYPTTATEILTCQEIWSIADTIIRNADALLTPFWDAVVPPIDSSTPTTNEDGFASM SSSAIFARQEAGERDRARNEFWSEKDEERDRRREIIRGLWMRVNAALLVKRGPEMVRF IQTLPNIVERLLARISSPAVQSLLITLISREEGNSAVTIDWLADEKLVPRLLNILSPE YPSSQHTIVSDLIKQIITLCAPSPFNPLGGNAELQAGQGQAGGRDNRLIRELVSEESV DKMIGYVLDDIELSDAQWKGKNGEDTEAAACDPFIVHPLPSIASATSSLVEISSILIE IIRRNNSDFSEPHLFHTLRNRLISVRMQEVSEERESEVVGEGEKPEATEDEQEEKERK HMEDAMVDMSSKMGIVHLGHLLDVISERFAKLQALVLQPRSQERTASVSNPKPFTLER FRIIELYAELLHSSNMSILNRLPGTGPIYNGEGILSGGLEGLEALGEAIVENDNDGAA DISRAEDDLVTQARELPVSDSTGGSLTGSEDEDVLEAVNDGTTPSPSPRSSSLSETPL TFDSQPPPPTVQVSERLRDMMEKESQRPSSSAASEVASATSRMAEANSTVAPSIASDP SEPRDETPHVSTKPLPSNTPLAPGDKLKEQYLINRVMPSIVDLFFDYPENDFMHHVVY DIFQQVLNGKLGQGLNRDLIVQLMGEGRLVERVLDAQRVNDELVKRPRGARLPYMGHL MLIAEEIVKFFARCPSDLFALIEDTFVHTEWEAFVNTSLREAKAKDERPLAGGKPEPP PGQNKDDSASEEDDDDEPEERSGGMRFGEPLTRTQAKDNFTPRGEFDAYADHDENGDG EDDEETMDRYWKNSGLGLARRGVADSSDEDDDDDADWLQPPAGNSWSASGDDDDFGAW ETGEVAHPGTGDDFDNDAWGNFASGPAGDSAENPFGDDFGDDNFAPSVVRAEPQRHQD GDRGEPLTPLDWAEQFDRAFREGGGDDTPPGSSPKQTAQDQGESPEKDVAQEVVPIVM PSLDDDEGDDDVSLAMSKSMEMSVSAGTNSWTFAGDDAGVDLPPTDSPIIPDIPKSPV SPARRRSSSLASSSSDSSTASTAATATPGGGPAPIPIPKRRGSKSHSRTASLSRSFGH RPHPGHPVTSPSSSPSSSQSSLSSPTSPSRVQRWGEAFSPPDPALIAAATQDSPLGPG VSPDTKIREDRLLEREVDGKQVRVPQDEIVEAIERNADEQETESL L198_06141 MDSENVPESTPQPIQTPATPNRSRTHQPSSTGTVRHAPSSYFSL PYSYSSPAGPSNWRNRIQDGGQSFRQRGALGSPALRGSLAGSVRSGGRADDLDNWQSL HEMVTEDDDEDEEPLSTLRTPGGRSMRSYFTTSSPHTATRPVAAASDRQDEQLISNQL SSSPGTLEGRLHSNPFDTASGEPTPRTEGITFLRSPTRLEEASEPLLSGHDPMSASKF SIKSTKSAALPQVTFKEKYWPPPIWVTNVLKCSLAYLIASLFTFVPQLAALLSTTSET DAHGRVTAKPAYSAHMVATIVVYFNPAKSLGNMLLSTRYCFLLAILSTLISLLATLTI HIFDHYSPSHGESWDWISEAGDWVVCILWIGGTMGALAWSKLWVGNPAFNSGCSMAAM ILYNVVIKEGAFPKLIEILLIVFTGVCITNIICFTIFPVSATSNLQSSISKSLTSFST LLDLLTSTFLLERSTTLKPTKTNTRPKLQDAIKAHSAAFKTLEKDLAEAKFERVLDGR VRGRKLELYDAAIGSLGRLAQHLSSLRSSTRLQEGLIRASRQGHINLDFGHERGHSKI SMSDLRRDMDGDGEGREVPGGEDIAGSVHLFMVFREMAGAQMDALNDQCDKALEAVQA LARVDQTPQINLSAIRADLTKALNDFSRYSSKAIKRIYAGPRREREVWEKSSSESEES TDSGEGEDRGCGKDVGEDNDAREGGCGKGEKKRLPVEGQEHLDDGPNETIFWIYYFLF TFEEFAREMVFLVDTMEEIVTADRVSAWDHITSVIAMKRGRKEGRGKYLYKQLQNIVP IDPSRLQPPLYPKNGRDSAGPIVVPSMTSLSWLGKVKQMFWAVGERLRQPDAKYAIKT GMGGAMLAAPAFTEFGRPIFLRYRGEWALIAYFATINQTIGQTNFMSMARIAGTAVGA GVAVIFTLLFPDNNIALAILGFLFSVPCFYVITQMPDYMNAGRFVLLTYNLTCLYTYN TRDRGDTLVESVAFRRATSVIVGVLWAAIVSRYWWPFTARRELRVGLSDFCLDLSYLY SKLVTTYSKGVDHGPIDTEDADIPRDEEEPLLPPGTIGHPHLSPGVRQFMAMELHLQS QLGSLKSLLAHTKNEPRLKGPFAYDFYKEVLLSCERMLDRLHSMRCVTTRDEWDNGIR DAFVVPVNKERREMAGNVILYFYTLSAGFRLRTPVPPYLPPAEHARQRLVTAIRSLDV VKRRSVRGGGRHLLFFAYALAMQEVIAELEGLGAMMQEAFGVISHSSTDDFEELFAYT PEAMLKRNRELTSNDQL L198_06142 MVKSEGTDNGRSAQRNKYYKFDSAGRGRGGGRGGRGGRGGKPSG PGREPLEDKDKSQVAAPEKVFVLTKYREKPLPEMITSPGIFISTTKDREKAAELELLQ YLETIADELYPETAEAAVKPDEEMDFEEMLKKDLDSMKEDGEKSKRFRLCLREGFCLL YVNVLPPLDPHRIVRYILEQAESTGKYPLKHCKRLVPIPETSGATLKQLSDLAAKIVK PAFDTPDHRSFKFAIDTNSRHSEKLERLDMIKTVAEQVTNLGQGHVVDLKKPEKSVIV EVYKNNLGVTVLEDFDRFKKYNPGSVATQASLRLSKAKAASISNPAEPSTSTSTTTSA SGPKAEQTQTPSKAQQAHERSRRRASQIAHAHPSPSAEFDAPPAKKTKTETGADGEGE GEDGEWMITEKDVEKGELVEGENGEQLGDGFEEVIEGGKVLRYRKDDSSV L198_06143 MSSTIQQPSNIIQPPPANACRTQDGNDLLWLGASSSFHPVPMTF HPSPLTLPPIHLLQTTHTLHSPAEKRPILPIPISQDGWWESPTDILFHHHHPSLSYSS CASMQEEDRSLGESMRSYPTKAALQTANKQEGRMEKAKLLRIDVEAGKGEEEVEILPF TPITPENLKRLGDQPTRLADTPQTPQTSRLMIINCHAFPATPTPNPHQPSHPFITTTK EPECTDESPMDLDPSQLQLQTAGSRKFDEMEIDKGTFGQKDSRDELVERTGWVAKRAR MGSP L198_06144 MSLLPWPLTLFIAFSTCFPPYTQAQEVTSSVNPAPLGSTCTPGE AGYYDLGLHIASVFVLLVASALGVFSPVVLGDRGNKNGWFGTTFFTLKYFGTGIIISL AFCHLLHEAIVAFFNPCIGELSYPPTAPSIVMASMFVIWLVDFFGSRWIAMKDAETAR NTPRHADPLSQSETPVMADMACCSTKVQAVESFDGASRRAHWDVNLLEGGIVFHSIMI GVSLGAQTKGFKPTFAALVFHQLFEGLGLGSRIGMLIWPPGIASILKKYSLCLAYTLV TPGIGVHQSFNENGRSELLAIGILNSISAGILLYGGLCQLLYAEWVVGEMRGASGRKI GLALGALVAGMIAMGVIGKWT L198_06145 MTVQEKVNLTTAVTGPCQANSGGVPRLGIPGLCFNDGPAGPRYT DYVTQWPSEFISAASFDRDLMWERAVRIGQEFRGKGVNVMLGPPLHRTKLGRRVLLLL IYLKGYLTDLAAFSPDTYLSSTLSYLTTRALQSTGLITSAKHYILYEQEPACDGPPDP NGGTTGCVDISSDIDEKTFREVYLPSFSEAVRAGTGSIMCSYNQINGTPACENDSALN RVLKEELNFEGFDFEAAHSTVESAIHGMDMELPTEHFYGKKLLKAVERGEVSSERLDD MARRILIPYLALQHNSSYPKVTYQKYNLQDQIEVDGHVFRNEHVDVKGDNHLWARKVA AESTVLLKNTGILPLPQGPKPSLKSLGIFGSDADYPSTLTGCGPDLFCTVNAKNGRRY WNGTVTIGGGSGAAYADYIVTPIEAISLRARRSHFRTDHILQDDPSHFLTVDTIAAQS DVCLVFVSVYLVEAWDRDDLRLDKGGEELIKRVEKGCKGEVVVVMHSGGQVLVEDWID LPKIGAVLFAGYPGQETGNALVDILWGDVNPSAKLPFTMGRAASDWPPAGIIRKKAAP YPVSTFPERSAIDYKYFDTHNISPRFEFGFGLSYTSFEMAGLELRKEFREEEVGGRGK KMSEVSEGEGGLYEILYVARVNVTNTGRVPGAEVAQLASNPFQFCYHVLHAHGRQYMT FPPTEADQPPKHLRGYSKPYLLPGQTKTVEFPLKKKDLSVWDVEKHLWRMPEGNFVFR AGNSSRALPLVSLFTPNGRG L198_06146 MSDEERLQQEARQVLQTITAVLFREEGEFQGILDRFMDAAGPQG SVPANDTVIEGLKGLRSMISHCLRANSKSVLCAKTTSKWMMRLSEYLASILSMTIVSY LG L198_06147 MADSRAVPRPCLQTSSHATTKVAKGSNGDQGGRGGAKTYSSSLG STHTSVAVGSSNLRGSVGGQGAPQNPIAALGGLDDPDPFAADPPRR L198_06148 MSSTPSSDTTKKTSIEGLALEENDPASAVPIKKYDEVFGAIKED GPDYRNVGWMAAVVLLLKSQIGLGVLSLPSALGALGIVPGILVLVGVSAIMTWSGYCV GQFKLRHRQVYSVVDVGEIMFGPIGKEIFAAIYCTFMIFVAGSAIVGASIGLNAISLH ATCTAVFVIVSTIVTFSLASIRTLGNISWLGWVGLTSIVAAIITLTIAVGIQDRPALA PQTGDWDKGFNVIGNPTFLEASSAVASLVVGYAGVPTYFSIAAEMRDPRLFNRAMFCS QVIITAIYIAIGTVVYFYCGQYVASPALGSAGVLMKRICYGLALPGLYVTGTIYLHLP AKYVFLRVMRGSKHLTSNSPIHWAVWLSCVFTCVTIAYIIASAIPVFGSLVGLIGALF GSFFCIMVMGATWIFDHQDKIRAKPSMKVKLLTAFNCFIILLGLYLMISGTWGAAKDI SDSFASDGGTSPWSCKDNSNTVE L198_06149 MTVDPETATQVKLLTLLNVSAVKRPRDRDLPGGHRSPSVRDQSV AQGSDAGEQPEKKRKSVIWGGEIGPSGSQFNKGGKGKGKGKAKGEANGKSAVIGKGAV IEEVQSGSEEELAVDEEESDNESQASTSADLFNLHFGAEPSALTPESVKAAEANQWKS ERKTLKGFGRAVEITPEGAVASGDVKTRITPSLLPAIKEASSSNPLLSTSLSHLGSYK DFYLHSLDGEADGSEIQLMSEHKEAMRKAVAIHALNHVLKTRRKIIRNNEKLAHAAAA ENPTSVPEPPRDQSFTRPKVLFLLPTRALALHYLKNHLSPLAPAGTQLENQRPFTTSF SLPEDEQDPLASSSAASEFPIDHLANFRGNTDDNFRFGLKLTRKAWRVVMMPANEAKL MECDILFTSPLGFKMTSEREDSTDLLSSLEITVVDGADVMQMQNWEHVQFIFNNINKI PASPHGCDFSRVKPWYLESQAQYLRQTIILSRYDTPESRGLFNRHCRNLQGKIRLEKT DFGGVMDRVRPGVKQVFERIDLEGPKGMEGEAAVDEVEKRLTFFTENTLPALLRAAIS RQNTLIVVPSYFDFVRLTNHLRKEDKVSFAAISEYSSGSEISRARTLFFKGKKAFLIV TERFHFYRRYKLRGAKTIVFYSLPDHAQFYSEFMDTPFQVSKNKSGEEVDVDEAEVSS RVLFSRFDVLKLERVIGTENARKLLKSGDGRFEFI L198_06150 MTSTRYGINAYPSKYNDPPFQKELLNNYGQRSQPRPGRAPANAP DTPSEYDRFAFWPDAIAMGSLQGRQFTQVFGAACIKGQDERGLGRSFISFDLKREILV IKATTKLDPRILPPALNPLSAELSFNDITHQGIHITKKPLSDAIGNPDLLEVTVTVSC RRPPKFFTDFDPGDDVPQYLQGTRYSLYRRRATAMDFTTSGVREREAGSIPAIPEGPC AYPTFWNTYRWVFRMDRKKYDRITACANKIRALAQADPEMDLMSLASERARWSVRVLT GAEFKQLYTPPDMSKLAFSTRTLLEGLIGHGVLKPANTSELIVTLRKVSTSTAFHNRI LEALFSQEIIKDIKALVPKLAAFLRRRPPCIQPHLVLIRTVLVTPTRVLVGPPQYEPS NSVTRRYSDKLDGIIRVQFTDEEDRLYVVDYLKDADNIDPAVGLMARIRRALQYGLII GGQTFYPVASSASQQKEHSMWFIDTRVIDGLSLRNWMGTVHETVVAKHAARMGLPFST SRIVNMKINIAGELPDIKRNGRIFTDGVGIAGKLVLHQAALALGERQGLNSNPSTIQF RLGGAKGVLANWPQLVGDEEIRLRPSLIKFTSDLDDLNVIRIAKYQVAFLNRQFISIM CANGVPHDLIIEIFQDAVADIKGFRDRVKQGRLSKADQQLVELCNDFPFMQLVRAGFN TNPLLLDVAAILECRALQDLKWRARVKLSNGVYLIGISDETGILKEGEVFCQFQENDE TPAKVVVNEVLICRAPALHPGDVRRVRAVDVPELRHLKNVIVFSTQGERDLPSMLGGG DLDGDDYTLIWDQRFVQTLKVYDPMEYTAPDPISVEEVSQKHLNENFVQYVLNDVLGQ VDNCHLALSDYFTPFDPRCLHLSEVHSVICSVDFAKTGQAAMLDPSLRPQEWPDFMDK DDAKITYESKGILGKIFRLVQRDPHFSPSDLERMGYPSDPRISRYPLHDSLLERLKPL KASYERDLQYDMRRYRVYEPEIPTGIAIRNKRRKRVRDQNLNEPLREAYSILVNNVRD AAAKILRDFSFKTTLTPMQVVARHCYALTFEEKYVKQWEQQQQQGAWGGQKVDDDDEE ILRPKPLMSFAWCFWQELLQIVNQPNPEVRKALLASLPADDSEEEEEEDDEDESEEQG QGQEATDTEKAKRPAPRKVSRIAPQLNHSVKKTPIAPFLPPEDSDEDDEE L198_06151 MKFQYRPSEARGGADHGWLKTFHTFSFADYYDPQFQNFGHLRVI NEDRVAPGQGFPTHPHREAEIFSYIISGQLSHKDTMGNVETMSRGDIQMTSGGTGIAH SEFNAHPILPTHFLQIWALPPQRGLKPAYYTRHFTDEEKKDKLVKIVAPVGTEGVVEE REVKGTTPIHSPVYFYASLLSPSKSVTHTLLPPVLKPTSQDKLIYIQLVQSSGYNTGA ASVKNGAAVKVIGGGQDQVIGEGDGVFIRGGQVGEEVVLENVGQKVGEVVLFEMDA L198_06152 MSSNLKGQNAVVTGGGKNLGALIAQTLASQGVNVAIHYNSPSSK KEADATLEKLKKTGVKAAALQGDLTTEAAVEKFFKDATSALGVSKFNIAINTIGKVLK KPLLETSEKEFDSMFLVNSKSAFFFLKHAGKNVEDGGTILGIVTSLLGAFAPGYSTYQ GSKAALEWFTKSASKELQPRGIRVNAIAPGPMDTPFFYPEEEDAAVEFHKSQALNGRL TKIEDIAPLVDFLVKDKWITGQIIFSNGGYTTR L198_06153 MPPATRSGPSSAPVPSDADTPTSSLTSLADPVETGGPSQAQSQA DPSSEVSNLGDAVVPQTLPAEDGTATDQSQVASGSRATDHPTDLPARGTSLAPSETPS SWHSDLQVLSQNQALLTRLLHTMHEQNILRAQSLPTSQTPPPPDNPSSQPSFERRRRL RPADLTKFGGSDTEDVDMWLEKLTAALEHADYPESELLSNLPFLLEGKALDWFTDLGP VRRDYQTWDEWRVVFKNAFRIPDFEGVMRRKCIARRLQPFESFADYFDDKRRLQRWVY PVGTSSKDLITDIVEGIPLVMRALIKASTPPGASLDDFRRIMLDLQPSLRSQFPTPND KPPRVHNDDQQDRSRTAPSRQSPQTRAAAPPSPCRACGEWHWREFCPLNSPRPFNTPP SDSYGRSQNGFDDHQRHDSQSRTFAGSGSNGISRPSDNGYQDQQDTRPFQSHSPGNV L198_06154 MLLHFLVLALALLGSVDARPRRTPNHRRSLRHLQKRLTNPSVSG WSYSGCVRDGSARALTGQNKGDASMTPALCTSWCAASNYIYAGLEANNQCYCGNSLNN SVGYSVTESECSDTCVGNTAYACGGSWRLSLYKSNSAGTWAGCYKDSGSSRTLDGVKT TSNSMTALVCNAYCSGLGYAYAGTEYYNECYCGNSLDSTRQDVDTKCQFACKGDSTQY CGGDTRLGVYALSSSSTSSSTTASTTSKASSTSSAATSTSSVAAASTSGITVVSGSTW IGCYSNSGIESAVSATKYSLSTMTVSTCISHCSGLGYSFAELQYYSECYCGSSAPATS RKNSDNTKCLYACKGDSSQTCGGAGNLGVYSIGTASTSSSASSTSTTSKATTATSTTA STTSSVAAASTSGITVVSGSTWVGCYTNSGIESAVSATKYSLSTMTVSTCISHCSGLG YSFAELQYYSECYCGSSAPATSRKNSDNSKCLYACKGDSTQTCGGAGNLGVYSIGTAS TSSSASSTSTTSKATGITLVSGSTYLGCYTNSGIDSAVTATKYSTTSMTVSLCMSHCT SLGYTYAGLEYYSECYCGSTGPASSRKNSDDSKCLYACKGDSTQKCGGAGNIAIYSIS ASTISAATTSTSTSATVSTTSTGTAPSSSSTSGVGSNPACSSTKYVYAHHMVGNTYSY TQSIWASDIAQAYAAGIDGFALNYGSDSWQTSRIADAYAAAKAQGSFKLFLSMDVTSL SCSSAADAATLVSTVTTYASHSAAAKYENKMLLSTFAGESCTFGQGSYQAGWTYFRTL LSNAGVSVYFTPATFADTSTFSSSSWMDGEFNWDSGWPMGSTALDTSSDTTYLAALGN KEYMAAASPAFFTYYGPSSYNKNWIYRSDDWLLARRLEQIISQRVSIDLIELISWNDY GESHYLGPIRTDQPNSQGWTAGMPHTAWLTVVSYYASAFKTGSYSAGSDQLVLWSRPH PKAATPSAATNSQPTNWNYTEDNLYVWVALKSAATVSITSGSNTVSWSLAAGVSKLSV ASSAGTIGASIVRSGSTVKSYSSSGSFTYTLTPTDYNFNYFVASA L198_06155 MAESPAHTALLTQNPAQNPKPKPQQKPQQPGEPKPKSAKDLKKE KRAAAVAARAVEDGAQGGPSGRPAAGAQGEGRSSPVVSLSGQSSSTAPTSAGHSAGSS APRRPPNASEPSAPTISTIQQNLFFSHLPRTTPTDTVAALDSGKIHPMIMRVGVLMSS GKLRGANARTIGMMSAFREVIRDYECPEEAVLWKDLPVHLSPMIAWLETCRPKGVGGG NAIRWLKSEINKLTEAEDRSEAEQKAYLVDAIGLYLRDRIEFADQVIADSAKEKIKPG DTVVTFARSSVVETTLLEAWTSMREQDPKASFNVVVVDCRPFLEGERLLQTLRASGLP CTYILLPLLSSYLPQADLVLLGASALHSDGALYSRAGTAVVAMLAKEHRVPVVACVET YKFGEKVVLDGVATNELGDVEGLLELPTNKPFALKKNGKALPSNLTPLHVVYDVTPPS LVTAVCTEIGFIPPSSVPTVLGKSSGVV L198_06156 MSSPQPPTPARTKKRVLNLVNPADPQEFSQQSTSPTGSPNSSPP QSIQLSQKEKASPPFPILPRLSELQHSHSSFGTPNGAKKGILRSSGTPGSGNGVRFFP KNKFRVITPNASIITETPVKPAPNPSPTSSSFFSQLLAVTMSPRRKEPEPEPETVEDE SVEVDADGSWEKPGEEGEISLIHSTGSGTSMIINSDEEEEDEDQVDEETWDGQPEVHC SPLGLPIVPDGNTSRDMSYGELELPSAEWSLPADMSNLLSTRFSEKGSFSMNDHPTDS MIESPDSESATHTFAQQQAAPSLAASSRSVRKEARAEADFWGVQHDESTMSALSREDS NPTIRADLQLSPLKDPLPLHEPENPTLPSPVAPAPSGQAFLTSSIFADMSAEQAELTW PLTRRANEDDTLSSMHSPARIASPVASPNQPVLQMETPKAGDVTQFFDCTMLSPPATS PLAHRSTSAPLPNLPTLLAHPTEKLLAAQTAHTQALTNELALYRSLADRLQAEVVERD EVLGKMNLRMLDMEVVCAQVGDLRNELREVRVRAEVAEAKAKAGSGEAPPGMGSPSPM TRTRSSEGASEIRDLEIRLAKAVSDSAHMSRQLEEVKRVRDQQAAELAEARAEARDAE DREKSRMVKVPRFEDEREEEGEMEELRQGMKELQQKVEEMEEEEEELALLREEAEQLR AELEEIRKPSEEVQRLREKVDLLRDQLDQATEHTEEAQALRAELSSAHHQLVDLESQS SELSELQAEIQTLRTHLAEMKEVKAADEEEIEHLVGQVDKLREERRETEGWKREMDDM KKRIEIESGRKAEVGELLEEHREISRKVEAENRELRKSLQTTREQLVHAQSGPTTDPS LEASLQAEINRLRAQSASKDLEIHNLTRRKVELKEDREMLNIALDSKQQEVELMKRKF GVRGVAGSSALTASQRTNMLSENATPKGLGTSTATTCRRRSSLMMQTPLPGGVRASKR NSLETPLALQGSNRHGVQLYPSTKMPTRVLRRDGEENVPPVPGASVARHGSLRRKERE MTLA L198_06157 MSVIRPFDPTDVLRFNNINQDPWTATYHNGYYATYALQWPDWCV SVEDAYEPSLKAYMIAKNEPPAPDPQHHGHLTALSITPSHRGLGLARVLMDILERLSA PGEMAGACDHGHGHDHGHEHAHEHHDHEGDCGHDHSHSHSHEQEEHHHEMIPINAGKD SVDAYFVDLFVRCNNKRATEMYEKMGYSVYRRVVE L198_06158 MPLKDLLAAPVLPSAAIRGHVGSFPSRVFTIIIDAVLLLKELCA ALLESDVNVKLVASLRSRVKTKGAGKTTTCTKLAVHYRRRGFRTCLVCADTFRAGAFD QLKQNATKAKILFYGSYTETDPVAIASLDTSGRHKQESELFEEMVVIGGAVKPDTTIM VLDVSVGQVAEAQSRASKDSADFGAIIVTKLDGHAKGGGAISAVAATKAPIISSLVPA RNGPSQPGQAKGSRQKAKFSMCNWKDQLSNIMGMVSISKIASMIPGLPAGMMDGGGDE EAASKVKRMIFITDAMRADELDSDGLLILITFDKTGSPTGLNRRAKRAARGSGTSLRE VEELLVQVKMMAGMAKQAGGQYGWMSATQKMQAAAGGKPLGPNGQPSPAQIAVNRKAV PPEIARKFRAAGPQGPQKIMADMMSGMGGGGGGMPDLGSFESTWWRRWGRGYGRDAKH GADARNDVER L198_06159 MLESNTSDKVRSLHEWMFMDPVMTGGQTHHLPELQNIEEEVPKE TASGVMEDDIIDNEDNASLDRAPSAVYDNPLEEDLLGHDEKHQAFNGKIQFLAARSVL RQAGTIFIIASKATFNRCLDEAIKFVHLYVHAIRLRLITRADEL L198_06160 MSDIGQRRAEAETIVNILLVVATLQQTLDLPDDFDSCDPDFTEY FLQLIILLRASSLPNWQSHIGWLGLFTPEMTLLAMDTFSNYLQYLQQTRSVARPLTYN RRQRLGENVWFVIFDHQ L198_06161 MSVPENWAAYSDSNDRAKFAATVIYKWLLEKQIKNFDKAKASGV QDRIQKMKKAFDEALAIQKPTGEGVTEDDLARGIETWEAKIKEKCPFFFTLLPSLKDR NANITAQSSVHQMGDREVSITPSMARRVSSRSDIEDQSVGMEDEADENDDIFSVRGTR ANSLSTNITSNNHGVADEPTSGARGAGSLSNGSRARASLNAQDTPTSGKGRREQLQGL FKDHSTANIKGRKEIAEQQAQAALALEQYKVAEQARRFEGEMTMKNERLKVEDTRYEA RLKIEQEERQAKRKRDEDDANWRNVKAQREELRAKDEMAAKKWEFERELIKEYVTEGD TREEAKRKAIEDTKRRFTYD L198_06162 MPKYPDPDDDTDSMDSDLTSSADAPLEQIMYLLSDQPSIDQANK LHLIGQYLKISGYFKGMSFPPKLIEDYYRSLAPQARDPKQLRASADGAQEAVVPGGGV VWSHGVLGP L198_06163 MDQNHEAPTLWAYLYVNWLAPDRWVLWARSSFEDCMPLLPKAFL KSGNFLGKSRARLDEVGHVLNTSVVPQYLQKVTRVGLQLGGRPRVSDLASWRRDLQTM LLAYGRMDIRPCNDVWKQNPASGTSHDSVTPPFWKSPSLPQPSQDLPPPPSSSSTTAY ESEDEEELLEQLEDGWMDGVSLSDTDTRRAGAQATQGAAGALGVAEAGTSVLDSITEA ERMRREAGEVGNEMVREVETLLKRMRIQVAQKDYRHVKELGETIGAMARKWNADMAKQ ERAVTSNTRDTNTQRKKRRGTGQWIFKFYDAMKAVASMKNGEPGSSADVN L198_06164 MPKYPDPDDDTDSMDSDLTSSADAPLEQIMYLLSDRLSIDRADN LHLVGQYLKISGYFKGMSFPPKLIEDYYRSLAPQARDPKILRA L198_06165 MAQQGYAVVDVDEEPSGSRGPGLEFQCPFWTLTTLILILYTTST LTKSIAQYLNSDPASSSLPLLSTATSTVYLYGLLVPSLLWGATKWLGLGEWGIAEVLG VYGYSMGVFIPISLLCLIPVGIVRWVLIILGGISSGYFLVRNIYPVLASAENKFAQLL IGAVVTLHGIIVIAMKVIFFSNSVVGPDPINDQLP L198_06166 MSNPQTPSTAGDFSAASTPLKKFKLVFLGEQSVGKTSLITRFMY DTFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTGDQERFRSLIPSYIRDSSVAVIVY DITNRNSFLNTTKWVDDVRNERGQDVIIVLVGNKTDLNDKRQVTPEELDKRAKELGVM SIETSAKAGLNVKTLFKKIAMALPGGSESKDAAATKIDVSSTPQDVPQASACSC L198_06167 MHLPVRVHMSSNRDSLLSADSAYPSTLFPLSMSALGLTDSPLPQ RHRKSPFVGSSPSSSPTPQKPVRATPSKASLRQEATTNAAAKVVHQQRSAESVRSSHQ RRTSQSGTKENQTAKAVGATKNTPTKAAPRASAPQAARTSTLQVVQPDQRVTSRPSRT PKEAPLADDWEEQLTRDANKLSLGTTPQARAREEPHQLPEGDKEWERLGQAMSDSRKK EDQSRREISRAVALPPSTPRTPIRAVVNGPDLYSPAPFFSPNAANSDLQTSSPHVARH GAELLQKAQKEYEEWNARKEEREGGMEAGSRDWEPKTREIARPSALSPYNTLTFSPDE EARNFEQYHPGKSSSSRPSPTKATQRQDMPSPRPDDMVDVTQAQGMAADQYMQQYPGA NDPYAYPYWDPSYWWAMYGGMDPMGMGAENMQSGHYSGGLNGQAGGSSELEEQQAFDL SSMMYNPYQWGGGMGGGMMGHGQNGYPGGQSMYGQQSMMDGNRSMGMGSPSAMGSGQT QAGGGGDLKAFDPTKMKQGRLGHYGYLEGREELAGPPPGYAPAGIAPASSPGPATATS HRGGSTVGPPSSAGYGAGSSWGDSSNNPRARYDGAAHGIGYVQADLDSPYPRAPSGLG TNAYSRYGADGSVMNGDARVSQRRIREGRV L198_06168 MPRLPTDRLQGADDHALVPRTGPARRLHRAPERSLFRQHVLNED TYTAALSHIIARDFFPHLPHLRATNGYLTALQDNDAALLSSSVRTLAALASQTDRRRR AADDIDAESIRRDTTGTPYISVPGARDMRTPVGARGWDTPQQSTRRRRNSSDFDSLEG DRPSLGSSSKRQRRPPVRADLSLDTFQRNFTSEDNASFAQIVQEDNRVKREERFGWAF EAEKAAETRRIEGETKRKAILDAATSGQWRVNGEGKRLIGGLAEGGKEKAEGEAWKDV KLIGAAPLSETGDTSQALVPASSSQALVLSDQPTQLPTEIPLPPKHPLAEALTDAGLP TTALISAKDGQIVPHREVATGSDARATGEEGQALEKRVMGEGDKETVSLGGSGVDLWK YKARNNLMYPADANADPYAKPPSIHTLPINGPRPSIAHANTRIPEEDAGGMGTRAGST RASSPSRSVVSAAVRGGPREAMPTVNNYPLVATDPSPSPQDMPSLLTWGSLLATPRAL DGNNDPLDSTPSFRLPETKRRDELGRKLADKAGRDIKERARGFTRPTSGIGGNRGKGD MGPPGTPRRQAGNLTPAAKRLLDRTVGRTPVVGSRTSSNDWAGKSSTKSTGWTPTPKK R L198_06169 MFRTTIRIALLLCDTPNDDVIAEAGDYHRIYSDWLAAALASYPD KAVSGSVHLLVDPYDVVNKQEYPSWDRLQHRAPDAYHAVIMTGSKHTAYDTANPFIPP LVSFISEIASSPETQHVKLVGVCFGHQILSIALGGACEPGQNGWEIGVHGCQLTKEGK YWWTGDVEGEGGEDKVYLEQMHKDHVPAVPPGCLLLAKSTRYPVHSFLKPHPSSTPEH PLAQVLTIQGHPEFTPSIVGHIVNARAATGVFNHEVTKEARRRAGGKDGTGGEGFGRI GWAVWRMLLQDLPPA L198_06170 MTSTTDGGAAVSSLVANYEKTLSQVSVKAVGSQLALMTGISIAT LLAFSFFRPREKKIKYQLPRPEDLSEDPDYEPPPPPISNGFFAWFSPVLHLKEEAMVA NIGLDAATFLRFLRMLRNIFSCVSVLGVALLTINIVYNRKNVDSSNRNALSLTTIADV EGGWMWPAVGASYVINAIVMFFIWRNWQQMVLLRTRWFRSPAYQTKIYSRTLMVSHVR KDFRSDAGLLSLMGLLKVDGIKIGPQIEATCIGRRLQDFPEMVEKHNDAVKDLEKHLV KYLKNGQVGTKRPTVRKGGFLGLGGTKKASPINTEMPVSNIAQDAIDYYAKEIKHLRD KVDAKRAAIDSLIRKERHARENYGFVTFKTIAEAHRIARTHRGKLKELFGASLQLAPM PHDIVWENISKEPAELGSRRTFGWGLIAIICFFNTIPLLVVSILANLSALTVYVTFLE SWKDAGNWGNWTFSLVSGILPSAVAAIFGYLLPLVIRRVSKYQGAPTRSRLDRAVIAR YFFFMIISNLFIFSLLGVVYAAIARVVVQIGKHQSFSTIIKGFNDIPDQIQGTYVQQS TYWLTWLPLRGFLVIFELIQLIKLALVSIKRFMFSYTPRDIREMTKPPFFEYAIVVVN LLFITAVGLIYAPLAPLVAIGATCVFWFSSVVYKYQLLYVYISRAESGGRTWNVYVNR LLACCVLMQLLMVLTTGLIRSRWLDCIAAAPPVLIIIAFKFYITRTSEHKFRYYKPTV EEQEQEKLALMSGEKKRTKHSDMEKRFLHPALQHNKLFTVMVHKQQESVAREVLSAYP WFAGKHEHDGVEIRAVREENLEYNPDRDGPADEIHQADWDARSVASTDMLSTLGSRSN YPSPGLEDYKQYPLPLVESSPSLHPSVPLDNPSTDRLLMDGSRSEFYGGPQRKASRPY PAPVHNRDVSDLDMADAASPLLGQDPWGGPNQGQGVPYPPSAYTQPPLGYVPPTMRRA ASGHSEEDVGVTRWDGGEREHRPALSRETSSDSVGYAVARGGQQGYNSRGYPSSGYGG YEGNSTR L198_06171 MAQYDLTQKLIPNLDRHLAIPLLTHLADTHIYPQEQLARAQYDL TKSTNMVDWVQQLHSQIGDAEAVDFDKLKTEATAKYNELQEKAQPVTSVIENPEAVAK LRSGGDKERNLELLRTEYKIDNDQINALYHFGQYKYSLGEYGAAADLLYQFLILSPSY DLNVSAQWGKLASNILVGDWESALAQLRDVRDTIDNSQGTSLSKPTAQLQARTWLLHW SLFVFFNLDLQEGQQGLLDMFLSPAYLNTIQTSCPYLLRYLVAAAIITRRSTKSSAPS RSSRDHIKELTKIVDMEEYQYSDPITAFLKDLFVDFNLDQAQERLTVAEEVVRQDFFL SGFVDEFVENARLLISEVYCRIHRRIDIRQLSKTLNMSVEDGEKWIVNLIRDSRMGVE AKIDLKENMLHITRPHPSATASLIDVTRGLAFRSQAVQFAIQSSVGGETVQRERGDRG AKGGRGKPRGQEVAA L198_06172 MGRSKKHSSKKPPPKQRPPQPEQPQPSSSNSTTLPPLPAFPLPP PHTLPPVMDSTNAHSSPASSQYHSHSPTHHNHNHNHPSHSHSQHQSMTPASLSAALHT WSANALKSMGLNMGGEGEGGMGLPTLTESQIRGLTGGGALGDGGFFMGPARPSGSGSG SAKNRDGGHNDGHHHTHTYPDPATGSPIDLPSSLAALFEAKLTLDREKAKLLKMQEEL EGQKEIQGLREMMDRPMPEGAFSLPLPMPMGGPGGMGMGMPFRIAEGGVGMVGMGVMP VRVGGKGDGQVVLEEGCTCGQCQMYIPEPEPDECFDCENDCGCDCHSYEEYDCEHEDD YVDEDDEEDYDDVDDEEYEYEDEIDDEGLYEERPPLSEDPERLDEYVGQLFNWVKTVV YATIEQKRFSAHLRQQANNAASSSSSASASIPALQ L198_06173 MLVPALNRAVCRSLRADAQATRFPHRAFSSAPSKHSFARQTPRP INLPFFTPSSRTSFFFPSHTYKFPRSLSTAAPKAKADPVYDDIPRSLPYWLYGCSAVV FGIVVIGGLTRLTESGLSIVEWNPITGILPPITKADWDLEWEKYKVSPEGIMMNANIN IDEFKKIFYMEWGHRIAGRALGMGFVLPTLYYLARYKLPRPLPMKLLLIGLGIGFQGV LGWWMVKSGLDEQIIKDNTVPRVSQYRLAAHYTAAVALYVGMLSTAIGIHRDMKLAKN PAIIQALNNPAVKKFRGLVHLSGMMVFLTAVTGAFVAGLDAGLIYNEFPYMGEGFVPP TEDLYDQRYAKSGSDKIWRNMLENPVTAQFDHRVLATTTFTILCTLPFAARRLPFKSS RRLAGLATAAAVTQVSLGISTLLYLVPIPLASMHQAGSVLLLTAILALGGSLRKPSKM MKMMRVAKR L198_06174 MSSRIHRNFAILAQREQVRLENSAAYSANPKEVHPFYSVKNSLA HRHDNRYSNILAYDRTAVVVNGNYLNANVVDDGKGGLWVAAQAPPPRAFDLFFRAIYT GAAIGKQPKDALIVQLTGWEERGITKADPYLDHKMDGIVPLITGRYRLEGLSSTLSEM VLQGEDRSVNLHHYHFDAWPDHGVPKGPGVQALRALVLEIEAKRKELDCEVWVHCSAG VGRTGTFIALSSLLTPGVAFHKSPLGLLPEAIHDDMVAQTVDTIRESRGMLVQNLEQY NLIYEMQ L198_06175 MPPSPYLPPRFTVPPLPPTLHRRMNLLPHDAGIILAPPGGAPGV LIGWGVRGKIEPLDGAEEGELVLGGVLGIARLWDAAYLFVFLPRTGTPLFPPHDDDEP SHEVYTLGDIHAIPLTRDGSAVSIKRLIALQESRKPKPKATSRWSLAIPISGAGALPQ ERDHLAASSDEDDDDDEPLTPEADESPIPTKARQWTKFIPKFRKRSESSAEPPKRQEL EEKIVRQIKREFENGFFFSYDFDITHSLQAKRHTVSQRAASGAALADLIPKENSLFPP SVASTLRSSNDTPTGHSTGEDFVEPDIYVPLWRRADKRFFWNEYLMKDFIDLGLHSYV VPVMQGWVQSSTFTIPIPPSPLDPTAQTSGVPVDIAVISRRSKDRAGLRYQRRGIDDN GHVANMVETEMTVRAKVEGKMSLFSFTQVRGSIPLRWSQSPYSMKPPPVLNEPVDKTY AVANLHFNDLVSRYGHITIINLSEQHGKEAPITNGYKQLTTELERDDVTYTEFDFHAK CSGMKWENIVELVDSLDFETMGYLWTLQGEALREQQGAFRTNCIDCLDRTNVVQSAIA RRVLVHMLIQLGLQAEGPVVENVFNDIWANNGDMISLCYAHTSALKGDFVRTGKRDFS GMLHDGVSSLSRMFYGAISDFFAQAVISFLLGHRNLAVFSEFLETLTSEDAASVIKLD RLRNVAIETCSARVLSEGEDKIGGWILLSPEAKSTKISSATEEKILILTQKAIYVASF NYSLEKVTEFTRIPLASITQIQRGAYILSTLQEASRDPKENAGLIIQFSPADESTRYS TYSLRNKAPPPSATSSEHPLHTPLPSVEHASIDPLAKEFFAFKALPGQSGDATCMETV KDIVSQLEKACKRDGLVVEKDIVSLTEAESSTTLLAKMDYAFKRALWL L198_06176 MVRIALAQTNPLSAPPGVPSLEKPHSTSPFPSIDYNLADAVQCV EQAVKQSADVVVFPEYFLQGILNENRQYLTFASQYLLAFFQELAKEHKVALVGTVVHG SRSHAPFPQVNPFSHIPLRSGSSTSAEHSKITPAQLEWAKYLEQHPLSTEEGVEPVAK NTAFFIDDEGKAVGEYVKQNLWHPERSYLKPGVEPRQVFDTKWGKAGLLICWDMSHPA AAQDLANLGADIIFAPTYWMATDSEPLIHKHQHDPDYETTVVSALCLTRSFETETVFV MSNAGGDTREGFMGGSGVWAPLRGRVGGCGVGKEVKIVDVDVGVLKDARETYKIKEDW SRKNAT L198_06177 MSSPILTPPNHSSPPYRSHAALTKSEEYLISNIPSAPSFQHIAR ERPGAMMLRATLPFRVQIPHPPSHLHHPVSWFREATHGKAPPRQPSSYTSRALDWDHG QGHRQDDP L198_06178 MADLEKDDKLGGSQYQVPYESEKVAEGNSLDPHAGELGGCEGNT YHPGENHVKRQLKQRHMAMIALGGAIGTGLFVGSGSALASGGPVGLWIGYILMGTMVY AMMVALGEMAGEFSLFPVAGGFVHYASRFCDESLGFATGINYWYSYAITIPTEIIAAQ IVITYWDSNTHAAVYITVCLVSIWFINFLGARAYGEAEFWFCTIKIIAIVGLIILGII LMCGGGPNHDAIGFRYWRNPGPFAQMTINGGDDVIEGTWGRFLAFWNVFVQAAFSFIG TEIIATTLGEAENPRKTVPRAIKRVFFRILFFYVGGIFVISVLVPYTEPNLLNGSGTA AASPFVIAIENAGIKALPSIVNAVLLISAWSAGNSDMYAASRTFYALALDGQLPRIFR RCTKSGLPIWSLVITGAFGLLAYMNTGGETAEEAFNWLYNLSTITAIVTWWTILLSYL RFYYGLKVQGISREDFPYKAPLQPYLSWISFVFFTAVILFNGFTVFLTGNWDTGDFIA AYITLPIFAVCWVGWKCWKKTKWIPLAEIDFETGRREFDELEVTDREKYMAQNKFEKI MDFIF L198_06179 MSLSEKQGYSSGTQTPYETSKKDGGVDSIVTPANGEQGGYAGEG NFVHPAEGGVHRQLKQRHMAMIALGGAIGTGLFVGSGTGGPVGLWLAYILMSSMVYAM MVALGEMAALFPVAGAFTHYAARFVDPSLGFALGFNYWYSYAITIPTEIVAATIVISY WDADTNAAVYITVCLVMIWAINFLGAKAYGEAEFWFSSIKVITIVGLIILGIVLMCGG GPNHDAIGFRYWRNPGAFNQISIGDGVIGGRWGQFLAFWDVFVQAAFSFIGTEIIATT LGEAENPRKTVPKAIKRVFFRLLFFYVMGTFIISVLVPYTEPNLLNGSGTAAASPFVI AIQNAGIRALPSIVNAVLLISAWSAGNSDLYASSRTLYALALEGQMPRILRRCTKRGL PIYCVAITGIFGVLAYMNTGGDTAEIAFNWLYNLSAITGIITWWAILLAYLRFYYGLK KQGLTRDEFPYRAPLQPYLSWYGFLFFSVIILFNGFTVFLKGNWDTSSFVAAYITLPI FAVCWIGWKVVKKTKMVPLDQIDFHTGRRELDEDEAADNEKFKAETKFQKIMGILF L198_06180 MPGSGSDSDPSQPPRKTLHRAQGQLKRNAACQECRRRRVKCDAA RPTCQSCIRSYHFLSRTYPDAERDAKGVQCHYGDEDYNTDRPQEPIIPPRPPKRKAGT AKGEAEQKIKLLEDKVVQLQKALEQSSPSKSPSVALENTSPGQPQTAAKESALPTNRP TPLWSEAQGTTPFPASANSTWSLNTAPANPYDLSQSFPDPQHFSVLKPADGRGSVKHR LDHFEQSLDPPAADAEAGRLGPAVLDMLWPGWPPTLPTPSMVDHLAEVFFKFVPSISR VINRQSFFARLSLPPTHSEFPQRALIHAICAVAARYTAAVSVRSVADSMKIVTEEAKR ANGRGAETDLSMETCFAERNAGYAMEFMKYNHMNARGIFDILQAMIVMGHWGQAHSRW MEGWVLMGGATRLVIALGLLEHTPLSQHLSPPVSRSILGPPKTDAEREERRAAAYYVM IYDTTQSASSGWAGTLPTAEMSARMPASKADFERGGAIPENFQSFHSRDLFYNHPIVD SFVLLVKGHVLLHRTCQFIRRCRAMDPDERELLRDGPEFRQLDRDIAMLSMSFPQALK EPVQYMTGYAKGVDADLISAHLIPRMAAMYLHEPFAHLDDPNSVSATRVLTEARACLD IVYLVISSNADISFTVTPITSLYLFTASRALLLFYQRALDTGDSAEATTIHGEISVFK MAFTSLSSRFAMGVRHLILLEKMMEHIEEDVLGQVVTSPDFSALFCRPSRAFPNGITN VDSATGQIPGIRGQDEIPGFASLGGEAVFPETHPDGMIAIELDRSAAQGRSKAGRKVL QAILDHKRNYVGHSSRSNSGSGSQPGSGGPSPNDAFEWLDKQQGMGQVPMQGQGQGMG AGYHPGPSHVQQPHMNTASSTGSDMMYVQSQSSMQGQGRFTMFES L198_06181 MPRPTPMPDHQWRACTAILDAVYGAKAGSRKISRIFQSLPDKDD FPDYYAAIPEPECLDNIAAQLESQAYATPELFFKQLHLVFLNAKHYNEEGSQIYADAT RLENQIHQDWVFAASSHTFSHTDPYHSSPVKPGRRPKRTSVTPAPSIPPVLLQTLSPI PEPSLAGSSRSPASASLPKPPQSAQPSPRSALPSMSQPQTLQQAYMATPNVPMDPAKA AQYAADQAVVAARDATLPRWSGPKEVLSGNPAPGGVPGSGWWGEDAPDYERTTGGPDQ WPFRIRAVVGAIEGYKDASGQRLAEILDVLPEAPSNPYLSYEYPLSFAKIAATADAAR YLTLKDFDMDMARLFEKARRWYHDGSPEYGQTLVLQRLYNALTAPYPIPLPPSGVPAP SVTQFASIPAGPGNARSLHEATQEMRAGASEDQVGYAITTFRVGTKDRIFTDEARHKG VPYRVGDYVHLINPDDPTRPIVGQIFKTFVPTKGQQTHHVSVCWYYRPEQTVHQPDTM FYEREVFKTGQFCDHPVEDILERISVQFYVKYIRGHVCNSRFNHRDYNIVRIKNWNSC IPEELRQTDFMSIVPFDRPIELRMLPSPFNLGVRGPGFFGDPKKILGGDTANDDDDDE EERPKRGRGRPPATPAATSYGGGIGVPGSARGTPVPQTYRAPVVSQQGHVPAPAPPVQ APPPPKPVVQPVKTFAGLLGGQQILDQVAHKETLPQEVAKLFPQDVRGNVLWFSGAPI VPGAISIPQQQAHSLAYLEYLTRRRRGEDWVPPKKSRKVEENGVFPNGQDAGGDEWWA EGKSGEEVRAELEKIVRG L198_06182 MSFPPYPYPTTNTELITTHALIKHFEGGYFAQTVALESAVPPTP SPANPHVPKSAVLGGREQYDSGPGAELISGKTAPRPEDGQDVKTDATQIYYLLTPDSH RGKMHMNLHSTFHIHHAGRALYTLIRPPSPSEPGAGPLVKKVVIGPNVWRGEVKQLFV PGGWWKASEIPEEDLKLVQSKGAEDGDVKERVGCLISEVVVPGWNPDQHQFIDEQKLK AMWEGKDGWEEYVKYIQAPDGLEYPEK L198_06183 MNFTSGADAFQLLSLDHIRSQLIRLEDTIIFCKAQFAYNKRIYE PGGFKNEINFEGSWLDWFLFEIESFHAKARRYTSPDEHAFTPLDKLPKPILKPQEFPS LLYLPAAEHPSVNVNNRILDFYIKHIVPGVTVGKGGLEDDGNYGSSATRDVEVLQALS RRIHFGMFVSESKFLSAPHDFIPHILSSPPNTEALAGLITKPAVEAKLLVRLANKARV YGGEMDADGKVIEVPDEEFALRGKIDLGSVVGMYRDWVIPLTKDVEVDYLIHRLDNVP QSQIDDWLAKGKSQ L198_06184 MPHVREQEYKVPSEDCELQYVEVIQRHHKRTPYASNTFFKEDIT WDCSNEGPYHYAKDRNGLQTAPVNWQAQTGTQNPFEYTVGPGSLNSTFRVSPLTLKCA SLGNQWTGWSGKGMDFEESSKLLDAFYEAGGNFIDVANNYQDEQSEMVLGEWMEKRGI RDEIVVATKFTSYPLARKEGPFEDIAINYSGNSRKSLNLSLPSLKKLRTNYIDVFYVH YWDHTTSIPELMQSLNELVNSRKVLYLGISIPQISGWVVAHAKDYAIQHLLAQFVIYQ VMWNVQIKKQANWRGGVPPTEAELKVSQELQEIAEELLGGVKLANVALAWSRHMVADV FPLIEGSSIEHPKANIEALKIKLTEAQVDKLNNAYPFDWGFPHNFLGIDPRYLPGGKP APNAVHLINAGEIEYTKLP L198_06185 MSEEAPVKAVQVKLVLLGEAAVGKSSLVLRFCSGDFDENTSPTI GAAFLTQKCRLENRVVKFEIWDTAGQERFHSLAPMYYRNAQAAVVVYDITKASSLEKA KAWVKELQRQANANIVIALVGNKSDLVSASTSPASPAEGEDGEESEEAAEASASGEED ARQVSTAEAEQYAKESGLLFFEASAKTGKNVNEVFTEIAQTIPFDSAPKPAAGAQQNA RRDGANAQEGGRVNLGEGQQAKKGGCC L198_06186 MAFVSKNIFDLLGDNDAPAAAQAPKPAAKKADAPAPAAARAVPG AAPRGGARGGRPSGNRPAHTTTRDNRAADNEGAETASGFDGERAPANKKANHVRDAHT KGPRGGRQNKTSGGHTSSGGAGHYKGGKVVAQAGERRQFDRKNASGTTDSVKKTEHGW GATTGAAELKDEVAGEKDAQAEETAPQTPAEETSAESGWGKDEPAAEPQAEEEPEEVT KSYEEYLAEKAEENARNAALGKKQGREVSGEVEGTAFVREGLNDFFSGKAKTAETKTK AKKEKVHIAIDGQFAQPSRPPRGDDRENRGERRERSDRPARGRGAPRGAFAGQRGQTR GAPRGGRGGRAAAPAINANDTSAFPALGA L198_06187 MGDDSPRSLPLPPGAAQPLPRIPSPAPSQLSEFASVNGTNGLYG STSRRPLPQPSPSKSSLAPNRYPNRPQGDTEAPRIVSGRRALPTPPAVSNGVVSRSDE APRSRALPTPPSGPPPPPPPRPPSDHTYMDTRAKPHLPVQPDRAAALRSGGSSSSMST GQSYRPESERSSIYTSEYAPSDYSRDAGTLPSSVSSHTAAKPPLPKVSIDNADKEWLP ILEKFNIPSGEGTLQAPSAAKDPNSGPGTPTQRDWESDYFQQPSGGRDRAASAVTMKA GDDSIYGPLTPRNDDASSVRSSVATPGNASQAMNELWQQDSLKHVETPDSGPSLSSPS VRHVPDPPHRQSSSTSSLHPSITSARSQQSQFPLSPSWTQQTQPPSHWVERKLQIHAS HRNSGHSFDDGFEEGPSGGWEEDEWEEGEEEGEEDVDEGRFFQPAFLSEMALQLRDRV ERRRHIKSGIAWVGSFTGKDIVTAIQSMLPSHTREGPNDRRFALTLAQSLQNQLWFVE VDWDIKPLRDSPDDVFRFMGEMEGMGSGGDALTTELPKGLMTMATRCYAPSCSGDGRC YAPRCPYRTGPNAFLPRKDTTPLPTPASSIRGVGWKEDLDPITLHGLSPQEITRQDVI RQALASEVAYQADLAAMETLYVNHLRTAEPPIIKDPRDRENFIQDVFHNAGQLREASA ALIEEFTIRVREQPVIRFVGDLFLQAATEFRNIYPEYTGKLPQAEAALSKELEENPEL RLYIDQVVRENDRRRDLKHLLTRPSSQLQRYPALLEGILNVTNPADADYDFLSQALQS IQSIMSLSQLKLFHASKGRGPAAKIMWFDLVGEEARAEIPKKEQKRQMHIWELVQGEM EYVADLEAIETLFVDGLRTAEPAVIDRNRLDVFLDEAFHNYRSLLEVHSRLLHNLQQR QLEQHPNVGMISDLVFDAALNWQEAYMEYVTHYPIAKAKVQEEEHKNPMFAAFLKTCL KDPASNRQDVYHFINRPIPRLLRYNLLLADILKCLKETKPADDSDIDQIPQVMEVIAD LGKATQKGVAVNEAKVELWGFQKTLDGGRFGRKMVDDLDLVNPMRELIHKGKVYRQPE GSITSGWTELSVLLFDHYREASRSSKKDQRQVRSIVNRRPIPLELLSLGSFGDPPRTQ RMAGRLFGVGGASHNDASADGSGTDTSKLYPFSISFIGGQERLGGSYTLWTDSYAARQ EWQEKLQHAKVLRNEVDDAGKVFEMNPLSVDTFYMPPSYALHKDKEKEFTGRVTCSCP FTTQDGRRLTAVGCQDGVWIGLRGDARSLRKVLHVKAVTNIAVLEDFHVFLVLSDKAV VAYQLEALVPSAGQKPVKSTTERISGPKEEISSFVVGKMADESTNTTRMLVVMMKIEA TQTVFKVMEPVAKETVEDAARQRRPFGFSLSAKSDWFRSYKMFYLPAETYGVHFLKKQ LAIVCSKGFEIMDLGNLKGGPIPMFDAAKVKEKPALAELESRCSKGRPMGMFRSTETE FLLCYDTFGVFIYRYGEPNRDYRAIEWEGKHDSVAFHPPYILLISAPFIEVRHVNTGK LLQIYTGSDMRLTWDGSGGQRNAPMLKPDKYGYGDETKIQEPQIHIACRASDQKSGKG GQPIGQVVYELSPTLLLNNPLMNPFNTHDSNYLPPPPLTSHVTPQIRQARPPSVRITH SVDQAHNAHGMYQNANPSGFIGSSNAASYAGHPPLQQRPSSLVHRESHHSRDSMSYPE SGSYGHGASSQDSAGQFHQSQQSLGVYNSERNHGGGYGGHGQQYSQEYGQYGQQYTQQ PAYPGRQASLGPGVPPPQAPQAPQAPQAPQAPQGYGGGYNDSAIRAWAQGGDYRGSNG GYERGY L198_06188 MLRTLPRARAALRPRAFAPLPRTSLIRNYAVAAPAVGSYSQVDG EPTLNSPSELARKISSQVLPKLEKPDVKKVLVVGSGGLSIGQAGEFDYSGSQAIKALR ESNIETILINPNIATIQTSHHLASEIYFLPVTPDYVAYVLEKERPDGILLTFGGQSAL NVGVQLEKMGVLERLGVKVLGTPIRTLEVSEDRDLFVQALNEIDIPAAQSTAVSTVQA ALDAAAEIGYPIILRSAFSLGGLGSGFAHNAEELENLASKSLSLSPQVLIEKSLKGWK EVEYEVVRDAADNTIICCNMENFDPLGTHTGDSIVVAPSQTLSDDEYHMLRSAAIKIV RHVGVVGECNVQYALDPNSKDYRVIEMNARLSRSSALASKATGYPLAYTAAKIALGHT LPELPNAVTKSTTACFEPSLDYIVTKIPKWDLAKFQHVERNVGSAMKSVGEVMAIGRT FEESLQKAIRQVDPNFCGFDAYWKPEDMTTALTENNDRRLFAIAHAMLNLDYSVDKLH DLTKIDKWYLYKLENISNVYKTLQNTPFEKIDKDLFTTAKKTGFSDLHISQLVNVKED EVRAARKAAGVTPFVKRIDTLAAEFPAYTNYLYTTYNASTHDLEFEENGTMVLGSGVY RIGSSVEFDWCAVTCSRAIRSQGKKTIMINYNPETVSTDFDEADRLYFEELGWERVMD IYELEGAEGVVVSVGGQLPQNIALRLKKSGVNVLGTDPEQIDNAEDRHKFSSILDSIG VDQPAWTEATSLQAAKDFAAQVNYPVLIRPSYVLSGAAMNVVWDEGELEAKLTAAADV SPLHPVVISQFIDNAQEIDIDAVAHQGKLLVHAVSEHVENAGVHSGDATLVLPPFSLK ERDMERLKEIAEKVAKAFDISGPYNMQIIRKPEENGQEAELKVIECNLRASRSFPFVS KVLGKNFIDVAAAAITGTNVPEPIDLMKEQRDYVAIKVPQFSWTRLPGADPFLGVEMA STGEVASFGKDVYEAYWAALLSVNGFKLPKVNSGILLGGDITRAELPSIANNLISLGF KLYTYDPRVEEFINQQPYLAIKKIFVPVKDKRKLREVLEENEISCVINVSRSRAATTA DEDYASRRAAVDFGIPLINNAKLAVLFTETLKQKFHQSPLPYVEGTNPPEVRSWREFV GEERAY L198_06189 MVDLGAPIGTHNASEYVQHEKAGFNHGVGGGHASGGIPTGTPIA DKFGNNTTGTTGASTTADKLENALDSYGSHGTSGTRGAGVGSGKAVDGQYAGSGASDG LTGQSGAHTTGAGYSSSTSAGVPGGYPSGQTTSGSHGARDAALAGTAGGAAGGAGALA GDKYGSSKSGPTSGAAYGSDPTSQSGAYGSGAGVGPNSGSTGQYASTTSSNAGGVPGS TTSGSHSGLGKGAALGAGAGAAGGAGAGTLAGHGAGTGVGATGAGVGAGAGAGAGAAS LTGSSGSGAGKYGRGTHNPSIGQPISDPKSLDTGGPHSLVWDAATGKYVHRRELTGGV GSTGEDVVKGAAKRAL L198_06190 MASTATPPPASSQEYTSDSAQGQPAEMSTTIRYDFGKHGVVSAV ARSHCNQAGQKQVNRLAISPNKAFVAAAGNNTVRIWDISSMSNTPIASLEGHSGNVVA LAYSALGKWIVTGGEDGCVKVWDTRTAQTQRMYTHDCPVNDVVIHPNQGELISCDQSG SVKIWDLAENSCTHELVPDEDVPIRTVCISSDGNTLLTISQGMVYVWRIIPVPNANAN LIPVTSFRAHTKYITRCILSPDTKYLATCSADHTVKVWSTAGIDYTLEKTLVGHQRWV WDAAFSADSAYLVTASSDHAARLWDLSLGETVRQYDGHHRAAVCCALNDINLA L198_06191 MVNNTEYYKILGVGKDANETELKKAYRKESLKWHPDKNPGDKRA AAEEKFKKIGEAYEVLSDTNKREIYDQLGEEGLKNGGGGGGGGFGGFPGGGGGHYSAA DPNDIFNTFFSSMGGGGGGHDDIFSSFGGGSSFGGGGMGGGRGPRARTRASGMGGGMP GGFGGGSADPPPPVGEIIKPLALTLEELYKGGTKRLKITRHLQSGGQAEKILEVAYKA GWKKGTKIKFAGAGNEDEYGQAQTVAFVVEERPHNRFERVDDDLVTKLNISLSQALLG PDGGGAITKEVEQLDGRRIQVTLPEGQIVQPGQETRIQGEGMPVSKASSAKRAGDLVV KWNVVFPTRISPEQRKELRKVLG L198_06192 MASVVGIDLGNLSSKIGVARHRGIDIIVNEVSNRATPSLVSFTP RQRFIGESAKTAETSNFKNTIGSLKRLIGRTANDPEVSEIEKKFINAQLVDVAGEVGI KVDYLGEPTDFSFTQLTAAYLGKLKDTASFELKQAVSDVVIAVPGWFTDVQRRALLDA ANIAGLNALRLINDTTAVALGYGITKADLPEDPETPRNIVFVDVGHSDYSVAVVAFSK GQLTVKSTAYDRHFGGRDFDFALVQHFAEEFKTKYKIDVLSSPKAVFRLTTGCERLKK VLSANGEAPLNVESLMNDIDANSTLKREVFEELTAHLLDRVSNPLAEALEKAGLTVEQ VDAVELVGGSTRIPAIKERISKFFGGKTLSFTTNQDEAIARGATFACATLSPVFRVRE FAVHDITAYPIKVSWEKEAGNPDEDTELTVFGTGNPIPSTKVLTFYRKGPFTLEASYA EPATLPKGINPWIGKLTVKNVEVPASGELAIVKVKARLNLHGILNFENAYVVEEVEKE EEVTVGEGEEATVEKKLVKKVVRKGDCPTVGQYTGLGQDKVNDLTESEGKMHADDKLV LETEDRKNALEEYVYDTRGKLDDRYAAYVQPQEKEALFKGLQEAEDWLYSEEGEDANK SAYVAKLDALKVLGDPIYLRWKETEERPKAAAALKEALNTYLTAAQGDDEKYAHIEEA EKNKVIEKCANTQHWLENQLFRQSEKPKNVNPIITSAEINTKREDVVYSSNAILNKPK PKAKVETPAPEPQQEPKAEEQPEATVEEMDVD L198_06193 MSCGMPSTLPLSAYGLQSLPIAVPLIPAHPSSSLSYSPKYAEYF AKHPHLRDEYQISVQESERTSSRTYHHRMEYGICDEAQGTAFKLREIWEKASIVTVDD EAPSETTTRTLPAQPPTPPPRNPLRALLQPGTTSSSLGESSGFHTTSPTTTASSATTP PGTHTASCASSTPSLARSECRPPTSLLPPLKPLVAANEEISDSGSSSSHYSDSSSGLE FHCRHCRPVSDTSSTQTICKTYGRYWCMALQGRVGVWKRVELETGDREWPVCLGSAGR KMEATRAAHGTCRRDDANRDWRSWI L198_06194 MSAATTNSTAPTTTAPTNGATTGTGSTSITQGVKDFFKGSHKAD STEVCTEHAPEIVQEHVRPQEHTQTAEAIDRERHVHHHQHRIQPIEHKVALDPKHVHV TGAPVVREHKEDMLPEHQETLHAQRTLHTNQQTTGDIEKSHAHIGTHVNTHEHHHIHE TIQPVIQRETVQPTVVHHTAAIHEKVHEAPIVHEVTTLPSIGHDQFLKLKEGLSGATH SDKSHSHQHYEGAPRVGGHTSQTGTTSSAAPSTTQGATTTI L198_06195 MYAPPGVDSDSSGEDTTPPPTPPPPKAPRPHFKFSSLFTPTRKL DLPPSPASTASHGKTTEKREEPAKEDAKKEEIKPTKKGVRIFAGELLGQKGSRAEGLT TTRGDRVEPEPPSPPVEDASFGAPHPEMIDVQQDHADASPATGVANEVLVLLRQLVAD THTKGKDKDFHGVGDSVGRPPQLKVDKAEPPATPSTPFSAPPIPTFQAIPPHICYGPG PWMGYAPPGWDGLQGTYPGFVGGQPVSAPLGTASQVGADVFSPTPLISSSPNVAGRGV QKEGKEKKTAGGDEGELSKKIQGQSTKDGLGERKIELTSIRDPTTSGTPKSSADYSTL KKSLDRYKTMYRNAVKRYEAGGEPEDIMKDLKAEVKMLEVKIKEIKAKMEKYERATTS EKTSAPQAAGVINDGTTDSPASNAAKPSKAAPPDPPSNLEADVTRYKSEYAKVLKKYK DGEGGDDMKSQLKDQLNKLESKIKKATGALGQSKKSLDANTTSETSQNVQKSKSDEPS GSTSAVRDISGGLPSKETKQRQESSPGIPPAPKLEDDIKQCKHEYSKAMKAYQNDGIK SEEKKVLKVRLKKLEEKIGKLSGTGEAKSTQDKPMPAEYSQDTSGDHKGTSQDGVKED ITSSSSTREPATDGSVPAKRLKTDKSSSDPLPSGISRSKLASDVSRYKDEYQTALDMY KKGNISDKEEEKRVKEQVRDLESKFKKALAMLEQANKELEATSDVVDQGSKEKLEPSQ TPALKVSSGATTIQSPLREPLPAESVSSTASSGSPSSMQLQSDIKWYKTEYDEVLKSY QKADLVENEKKRLKHELKRLEDKINEASKLSGQANDTDDREVTLKEGTAGAKTNQTPN PPREPAANSTALSPRAASKQPDRSDPSASTNDITAEQLKEKAAKYETQYRHIVKAYKQ DSLPEGEKSRLEGEMKEFKLKLKIINGRLEAGLATKVPKGLKQSVEEKAREKDENKAE EKTDEYAKVELEKRTDKRAEETVQSVDTSKRNTLAVSGLDTGKSHAPESGISPNATAQ GATKPSSSSPNLQEYVKKYKAAYALAVNAYKQDGLSDEERRKRKEKVRRADEKLKEAT QALETSTSDGETKHRPPEKTKQPSGGGASTSEPSKAPSKSSSPVPPTASDAERYVVQY KAAYQLAMKEYKASGLSDGERKDRKEKVRRAEEKLKEAMQAVAEEPKKIQEGRIAEKV PPPSGTNIEVKEEALRMDVKVQADPTKFKSQAGDQTSEASAKCATSSVSPKALSSRQP PTSPPAPQDPAEMDKLLKHYKGRYATLVNAMKEQSLSAEKKEAMSRQAEGLKARVKAM EVEERKGEHPREVKADRTGAQADLSESESKSEGKAVRNDSGALPVSPKPVRADKTTIL AHHKAEYARLTKSIKDDLTAHDRAEKIKEADKLKSKIKSLMADLPDSTGDKKQEILAS KEKAIGKAGTGPPARELTRDLAQVVSDERRDKQKGPQEETWAPLSITKSQSPADKQLA KSVSQVSPKQSLPREILPTSQSTWSSTLVSLAKCIDLANTILNTLHETTPEGSSILST LVSRIMTSLLMQQDIIQSMRKILGDENSEASEEFVRHLSVVKNFLEGLYLGHGTGRTK EVREENLEVISIQSIIEYLLGLTDYLTPGMIAVARRTFDAWRTDGFTLQSFVQAIMGV RKEVRAIHDLKAREREEDGAQKAKGLMSVLDMLLAFISPEPSQHDEREREELITVSQT REVHRSSKRLTYIKGVSPDTDSSKALGSSETEHHHTVSDILHLPSRYLDSSTSSTAPS ATRSGPKPIVITAEEHVHHTSKADISHSPLKLPQKTQDFLNGSDEPPQTLGQDTKSPQ NLTFNDDERHRRLHREGSTDTDISPSRVITFNSSTLNSSKSSVPNAPEDDIWSAPSRY SQSSASSSLTATPDGTVKSDRHAARLLAQADSGPLPVRHDKRDEVDDMLDEEQLEPSA EEVKRAEDVLYNKALSPGAPVRPARRVADRL L198_06196 MSSNAGPSNALLDQEFSFSEPPFSTLIDSRILVALADQKFASPT LVQAKAIPLLLEGKDVLARARTGSGKTAAYVVPAIQKILEAKADLSPASAEYQATRAV ILVPTKELALQVATFIRNVTKYCEGLVQCVNVATGGASVQRVLLNDKPDVVISTPTKL LTLLQSETISLSQLSFLAIDEADLLLSYGFKDDLTRIMDPTAGWIPKLGVQGCLMSAT LSEDVEGVKGLVLRNPAILTLTEPASASSLLTQHYTSTTERDKFLLIYVLLKLKLIRG KSIIFVNDIERGYRVKLFLEQFGVKCCVVNSELPLASRYHVVEEFNRGVYDVVVATDE GAGADAEEDEDVKEESESEEEGADEEEGDGEEEKEEAAQPVAGPSKRKASSPAPKPQS KRSKRAADPSSSLARGIDFTSASSVINFDLPLTSTSYMHRVGRTARAGHSGLALSFVV PRKDWGKDRVVSIKSAEKDEKVFEKIKERVKKDGGADIKEWDWGGRKGEIEGFRYRME DALKAVTGKRVQEARREEVRRELLNSEKLKSHFAANPLDLSYLRHDAPLHPARQQKHL KHVPGYLMPKIAALPTGGGDVGDHAGVGFAKRGRGGFRGRGGRGGKSARGGKKVDPLK FK L198_06197 MKLLALLSILPLLLAAPAPAAEPASYNPLFHHKPHSGSNHIPVV KRGLGSRAEAQQNNPEYFQKRDGSKVDPVKRGVVTKVVKRAASTCSVATYTELVTSVT TVTPGSTPTSSAFTSSAMGSSGTLTASDTLATGSASGVLAYVNSTIESSSATTSDYFS SAITSSATDTSLSASVTASASQNVSAESLAVSATGSVRSGSASGVASSTASSSTLISS SGSSTISSYPSASASSSAPLSSSLSGSGSSYASPSVSTSKKTKSKTKTTKSKASSSTA AVSTTKAAQYAVSSSTAASSATVTSSASVGSASSSAVISSTSLAGSSSVSSSTGLTST SAQSSSTSSSSATSSVGTSTVATSTTSSAAATTTTSISADPSGDGPYSGWGTWFYQNG VAGACGTVHADTDYVVAVSQTLFDNWPNYTGLNPNNNPICGHQVSVTWEGTTITATVA DSCPSCNERQLDLSRSAFAALTNSDYDIGLLGAEINGEAYNEDLVWSWIS L198_06198 MVRHASFQPDPVQAENEKSFSAHLDQKPEDEQMESPLHAVGTRT TEATDTEKGSTRYAESATGLTHKQESKKAIRKLLRKMDFGILPFAILLYLSAYLDRGN LANAKLQGLEADLLDSKDTNYSIALCCFFITYIVFSVPGTLLAKQFLPSRTIACGAMI WSVAATCQAAAQNKAGLYVCRLFVGVGEAMFGQAMALHLSFWYTKSDLAKRVGLFISA GAVSGAFGGLISYGRILFLIEGCPSVLLAICVFLFMPSKPEKSRYLNEDERTICLTRL NEEHNVESEQGINWGGVKRCLTDWKTYAISVSYSCMNLTLGSVSGFLPTIVKGFGYTD AKAQLMTVPPYVVSLVFMLFLTSFSDWKQSRGLPAASVFCIGIVGWAILLSMDADSNY SARYFAVICVVTAGYTNIPIIMSWQSGCTGNQSQRAASLGMLNTLGQCLSLAAAFLFP KVEGPQYTKGATVNLAMQSLGLVIVLCMTTYYRWENARRDKVEGGRPAKGTPINVRDD YDLAVGFRYVP L198_06199 MNMFEVTTLGQPLEVLKTQMAANRKQTMAEAFKTVYSRGGWTGF YQGLIPWAWIEASTKGAVLIFTSAEIEKYSTKAFGLNPAAAGMLGGVGGGIAQAYATM GFCTCMKTVEITRHKQASAGAPVESTFKVFLDIYKREGLAGINKGVNAVAVRQATNWG SRFGFARLAEDGLRKVRGINDGEKLGAMDKIVASTLGGALATWNQPIEVVRVEMQSAI KSQDPSRPAKKTVLNTLSYIYKTNGITGLYRGVAPRIGLGAWQTICMVSFADYVKAFI NPVAATPASPAK L198_06200 MVKHNNQLQKNHFHKDWQRRVKTWFDQPGKKKSRRVARSKKALA SGAAPLQRLRPAVRCPTQRYNIRIREGRGFTVSELKLAGIRRKEALSLGISVDPRRRS KSEEGQALNVERLQEYKNRLVVFPKKAGKPKSGDATGDDLTAHITREALPLPASYTPE APRAITGEEKEGSAFTALRLARAAQRNEGARLKRVAEKEAAEKAK L198_06201 MSSPPPPSTAYTLAPLVISPRLLRSLTTNSSATRTFAPHFKVEP DELSILRDRAGGLICGKTEYVSTISWPEGTWLSSDEKTEAGESVYPPPETSFTLTPLG MSRRLLSSLSSDEGARKTFAFHFEREEDDIWVWRNTEGVVVAGRGEAAGELGIFKKD L198_06202 MVSTSMQRHKTGRRPARSTPLPTDTSLSIPLAPIVVNSYDFAST PGPLPANAPLPTVPRHIHAATLQQLANTSSPAHPPLYVSIKVKVPLAPLKRISTMSMN GGEKCKSRFRFEMWSWDDEEGVERPRGRWGPGAGYGMEIVGGDEPKASNELDQEAARA MYGREEAYRTPLPAISYDLFSQLAIDYASDGSKRRTEEMIERPESAKRTRAVGNVGNA I L198_06203 MSDPSSELYPIHLLMDELKSEDVVLRLSSIRRLSTIALALGPSR TRDELIPFIQYQLDDEDEVLLVLAEELGNFVEYVGGKEYAYILLGPLENLTAVEETLV REKAADSISKVSVLLNAQDLDKHFLPVIERLSTGEWFTSRTSACALFAAPYPNATPST QQKMKQLFAGLCSDDTPMVRRAAAKALGPFTKAAGTVTDQHALLVSDLVPVYRKLSSD DQDSVRLLTIPDLIALASTLTAEETKTHLLQSMRHSITDKSWRVRYMVANEFVGLAES AGESIIREELVGAFVGLLKDNEAEVRTAAASQIPGFAKLVDREVILARLLPCVRDLAT DSSQHVRASLAEQISGLAPLLGKDSTIENLLPLFLQLLQDEFSDVRLNLIGKLDTVNE VIGIDRLSQALLPAIMKLAEDKQWRVRQAIIEYIPLLAQQLGVEFFDDKLGALCMSWL GDTVFSIREAATINLKKLTDVFGVEWAKNTIIPKVLEMGNHQNYLFRMTTIFAITTMA PSLDTPIIRDTVLDAALNLANDAIPNIRFNVAKCLETLAVVLAQTPEGQELIHRKVAP ALRKLQDDPDADVRYFATKASERTTGDGSEPMVLS L198_06204 MFARSLLRTASTTMPAARTMTTRKASTMSYEQNGITHQMGAFFK KNGVPVDAYPIVFITLFMASAGTFMLSKHIREDRDHLRWMPRQGGYKFQLPADK L198_06205 MDDSIDVADAVTGTEPVPSYRPDAKTRRYDRQLRLWASAGQRSL EAARVLLVGCDAAGCQSLKNLVLPGISHFTILSPKTTTSQDVATNFFLHPDAIGSNIA QESVKYLKELNPSVEGLARTDDPVALLASDPHYFLSFTLIILSSVDPALEDQLSELLW QASSTIGGADIPLISIRNSGFVSRVQIQLREHAVIDSHPDTTHTLRLDEPFPAVEQHA RSLQLADMDTLEHSHIPWVVLLVRAASIWKENHGGKLPDTSEEKAEFKEQIKAEKIKS DEENYDEALGQAYKVWQKSEVPWEIKQLLEDESVKNISHSSKNLQILLHTLSQYIIPA PHLPPTSPSLPDMHSSTESYVALQNMYKAQHQADLAKFKELLAQVLEKVGLPVESVPA DEVEGFVRNVGGVGIIKGTSLRESKDGKGLLAAELESFDEEYLENATPLSLYLAILAA EKFFDTNKRWPGTTTIEALKQDSAELEAIVKPLLPQYPDLPDVVSESIAEVTRGGFAT IPTTAALVGGVVAQEVIKLVTNQYTPLDNTVVFDLIKSGSAKYKF L198_06206 MVGRNTVQIAKAKQEERERLISEMESLLGLQSEHPEDEERGPQE IVWHLKGRKTGWTVERVMSVYIRAACAAHRKTNCLTEVLFKEALEQAKSHDREYATFG KAHGAFWGLPSSFKDTYNIKGVDSSLGCSPYCFGPILDAEDEGGLVKVFRAGGGIPFC KTNIPQTLLAFECRNPIFGQTSNPGASDRTCGGSSGGEAALVALRGSPIGWGSDIGGS LRIPAHYSGICGLKPVAGRWPQNGNRSSVKGFEGIKGVVGPMGRTVDDLIFSTRSVFN TIHEPSTELPGEQLLPIAWREVELPKKLKVGYWVDDHAIKASPACARAVLESVQALKK AGHEVIAFDPPDVPEALKIFAGLTSSDGYKTLLSNIGSDPMEPSMNLVIKPVKLPGWI LLILVWFVAKVTGDQLFADVLSNCRQKSTQEFLQYTHRRNVYIDKFRRAPYLLSSTTR LNGSLPSRNIATILFNVVDSTVGVLPVTRVSKDLDTLPSDWLEGSTGSKLLQERIYLG KPGKTDPAYVPEQMHGLPVGVQVVGKAWEEEKVLKVMKLLEGLVGYETR L198_06207 MPRDLGQRAQDFREKWLAPSGGWLGELVIFISDLTGVWDVLIIA GVFVCAWIVVRTSPMEISMVLAFVFDLTIPLQYYNNEYLRKKHAEHLENYWPSLMMGC LIEGVCFFLLHPDLFTLIVCLKTSAMTILWMIRDQDGLPIKVQSPFDPSGRRSSGGGS VRDSDGRSSSRRSGGSDSPPRTSRDSSRTSSTRPETSNSSSSRPPKPSSSSQAPDTSE RSESKPSSSGSSTPSNMPTSKNVSNPYKYLHRAGYSDPAIAGMILALNAAEKDRARKQ MNAWVQEKDRKEIERRDKRYSESAKKAGWPKKFEQGGEGSGGGKTTLAIPQEAIEKAG ASMKSKKVPSEERKKVMDLLKHPKSEKELQAAGKILKEMGIPVKLPKGGEGGESSSSG HKMGESDGHGGYRDIKLSKDTVQTLNQAMAQKQWSKEDHVKWLAYIQRPLSRREFERK VIPLAKSLNVYIGGGYTGGGPHRFDVKKLAEIKGKIKSRCGVDSGMADHMIVHAINQR TSKEAQDMLNRWVSKGIKRDEFEKLRRPAPDWA L198_06208 MVHTQNTPVATSSTPLLAPAEYSSSNPAPIPWRLLVPILLIRIV DSISYILVFPFITEFITSLHAPPDKVGLYAGLAEGCLMAVEAFCATRWARAADRWGRK RCLVWGVLVTGTGCALVGFGQSVGWIIFWRAFYGLTPAGVLTRTVVAEISHSSNRSRI FAIYSPMLSLGVIIGNLIGGELAKPYGKLPWWMGGSMKIWQHFPYALPCVVCSILSLS VVVLCWYTLEETKKSPEKDQDENAQGEARETNTFKAVLQVPHFMLVMGILCTSFAFEG LFTVFLWTPIKRGGLGLPVHFIGLTNSLSSAIYILVSPVLAPLIEDKFGLKGGLALTS GAMPLEALVIPLAQFAATKGLAWTGVMLGVQCLLKNFHCMGWSQGDNIIFAVVGAYPE LTASASAIQCIAGAIGRASGPVISGWVFSFSTAFPAVSLGRQLSWIVLFLICLPPLLL VTVIPRLTEDGKDDESQVEVVKENKVEYEVVGAYTVAEGYYGATGVELVDVR L198_06209 MSGDSVPGQQHPLPPPSNQNDSDEEEVLEGNACQAPPRKASLEP QSPVKNTPRKTYSSAKKSVGAVALTPQRRGRSSAPSSAAKSTTKTAVKAASKAAPSPT PNRKRVAPAEEINSEARLTRSGAKSAATPTKSKGKKAPSKTPMSTVQRGRNSLAGTPT LASGLRDGLPTPSPALRASTRKRARGEGVSKALTFGDDVFDDDEDEEIRAKKQKAEEY TREQFLQNEKQRKLREARNYQFTGDSNAPRTTRSGRAIRDTRDEVMDVEEEELDEYGG KPQDEEPLAPSAPLIPEFELSTAPLVPTSPPLALSPAPEPEIITGEPLPDDAEPVLKT ILNTLNSRGITQDPPPFLDEDKNEALQGIYNLIKGTVDRGEGNSALVVGPRGSGKTRT VARALSLLPSSAARTAPIIVRLSGLAQTTDRLAIREMGRQIAEAEGRVMEEEAVDEDE RGDDEEYAPTTLPSHLLALLTAPSPRAIIILIEEFDLFTEHARQALLYCLFDVVQSVR SGPVGTTPRGLAVIGITPRLDTLLLLEKRVKSRFSHRIWRVTSPLASVMQGGVGGVGN GTEGQGRGGWEVLLKMALIPWYPESVLDDPEEKWRGDWEYVVITTLGDERIRRYFDRL VGLTTDVRVLYRPFILPITRVITRLDQKLDSKILADQILAQVETSSFGLQNNKLRGLP TPCLGILIIAKHLAFAGREEFTYAMVEEEYLRFARTRLVGIGKVRWSVGVLRTAFSNL VRIALLAPTSSSTTVTNKQHPNFLKVRCTLSPNEIVEWFTEAGKDSVGPEMGTWGRTL GGHA L198_06210 MFSLDEKLAGLKIGKYRYNDPWTQVFIVGFVCFCSVGLFSAISG LGAGGTQDTALSDTANAVLYGCFAITGFVSGSISNVLGPRLTLSIGTTGYSLYVGSLW AFQLHGTRWFLILAGGILGVTAALLWSAQGAIMMSYPMEKDKGRSFSLFWTVFFMGNV MGSAIALGIQAHSTMPEVSTVIYVVFMIIQLTAILTSWLILPPHLVVRGDGTVVKIED AITPKQEFKYFLGMFKDIRMLLLFPMFFASNYFYAYQGAITTFLFNGRTRALVSLLTG VGAVAGAIFIGIVLDKMPVLSRKKRSLIGLGVVTVLNIIIWAGGLGFQVQFSRATDHT VWDWSEGPAVGPIILLMAYYIGDASFQGLAYYTMSCVTNDPFRLARMAGYYKGIQSAG AAVSFGMDAVATPFLTEHLVSWIILLISLPLCGYVLWGCSDSNYDKEGVVEVGDVDGG VIGAAAVPQGYRVHGEDHEEQHVPGEKDSSVSDEKEIQRVGVVSVPEV L198_06211 MAPIAIRSPVSSSPAVEPEAKKQKLANSQIPGSDLAAPKSDIED ILISKTTPAEAGAKRPVTMVVIGAGARGQVYTAYALEHPGLAQVVAVAEPRAHRRKVM SRLHSIPKEYQFDSWQPLLALGKIADAVLITVLDDLHAELVSAFAPLGYQILCEKPMA TKPEECLRIVKEVEANHTDVFGIGHVLRYSPYNKAVKEVIDSGVLGEIVNIQHMEPVG NQHFAHSYVRGNWSKEAQTSFALMTKCCHDIDILSYFLSGLPPKKVHSFGSIHHFKKP KKPVQAGQAMRCTDCAYEGECVWSAKKIYLEGLEGGRHKWADHIVDADVLDIENITDA LKTGPYGVCVYEAGNDVVDHQVVNIEYEGGVTASMTMSAFTESICDRGTRIQGTKGEL IGDMVTFDVFDFLTRTKSHHVPPILPGHHGGGDSGLAAAFVQAVSEKDQSVLGVTPGE VLDSHLLVFAAEKARREERVVNFDAFKKSVMVEGAK L198_06212 MDLATRTPRPEMLPRLPTPPLSPARPQLILCADGGGSKVCVVVR SEDGLERRGYAGPSNVQSVGYQAASQNILLAAYRALSLLPSTHIPPSLEIPPVQDISC PSSGYVSPVPQAIAPAPVVPVSAPAPSAALPISLQANGKGLPTPPSSVSSALGEPNLA AAMNNTLAPPLPPPSFRPNGNGASTPRLLPPLNVPLFRSAWLGLAGISSKNDEDTFGR YIAGSLAMDAGRVKISNVDVNLLAAPALNFPGISHVLTLVAGTGSVGRTIRLSSPTAG QKQTLPLDDVAVAKGWGYLLCDEGSAYWLGRLSIRLLLSLSDRLSSSSIYSSPPPPLL PLHQDLLDYFGVTDPLELIGLVSLGGEVGSLGMGVGEAVGKRNALIAGAARIVFRYAF PHDEQVVKDRQDKDRTRKAAALAADRGMRGWEGEGDVDWSESERGSISSSSSMLSLSP DPESADSEETIHQSHLLALQLSRQSSLPLIQLALSLIGDQSIVRPSSSCLALGGGLMM SEGYRGMLLEGLEKEGVRVGKVVVVSDAAVEGARGLAKVQWG L198_06213 MASPDGIVRFTNGYLALADGTAQQKDLYISSRTGKIISGQDAFY SRSASSAPFRTVDLKGNILSPGHIDIQINGAWGVDFSELDIDQGEAGEQAYVEGLQKV ARRLVRYGTTSFVPTIITQNRELYARLLSLLAPRETAGGASILGYHAEGPFLHPTRKG AHSSTLLLTADTLSPMKTFESVYGSPGLDQPGVKIITLAPDVPGVMECIPALVERGVT VSVGHTDATLEQAEEAFEKGARMITHLFNAMPPIHHRDPGLVGLLGHPTLRPYYGIIV DGWHSHPNTVRIAWGACREGCVLVSDAQSIMDPEAPDGVIDWRPGLRFRKEGLKVLVD GTNTLAGSAAPLAPLIKNLSTFASIPLPLALLSATLHPATCLGGRVERTKGRLEEGWD ADLCVMNWEGEVVGTWVGGKEVWGEGGWRDGGDDDGALRE L198_06214 MPFPFHPEAFALLIATLAEDLPAYRFLQNFAAHHRFPPLPVFDT LTYAGPRGAHAFQHLAARHTCTPEGHVDRRQFRAANLDSTRWFPDDLPFMNPVYPAGP VHNPDVLNHPAILNSDLKVNSSSSRRSNGDPSRAAPGPVCLPVIHELVEHLTALRDAR ILRCLLVQILEKRHHMLDDSQNHHRPHRGSRHNQVIQYDEEGARPSVVGSEAGDEEEE DEANR L198_06215 MRSIATLFPFSLSVLASPRTPLTYLVPFSTIFTLSVSPHTPLLS LLDEPRLPPPNAAQRNRRTLAGSLPPRSQAAGHRRPNPSPPHSTSFNVACSQRSSSQC ANRYGLFPEACVPQTHIRFVQLLLGFHFAHPLPSTSSTMQHSTNSRNWTPALHEWADT LIAFLTHDIPPYINAQVATEVAKQLREERRHVETERDYARHRWMSGVAGVRVGRRSRR AHSHTVFDSPLSPTF L198_06216 MPGPPTIYYGPPPGHPGHPGHPGGPFSFAAPPSGGPRPLSANGS GDSAAQGQGPWANRQLPRPQKDLPGHPAHAARRMTYQPPPSEPMAEYQEWKHWRRDQV LPQTSPHAGGLPEGSFVDRDGGRYAEPALPHMQLPPPSAKATAKRPRTESVLPPDVVQ NGSSSSSRKKHTVQQDSPEFKPAYPAVLTREKKQKACSNCRKAKLKCIVEPNQTLCVR CHSRKEKCIFYPRGHDDDWQQTLQTDLYNATNHLSQLSNAVHHILHHLTASNLIPPLY PPYERYEAPDREGYFPLDKKGRDNDGDKKKRRKASKSAEEDEERPASPEPTAGVFLPP PSGPSGMFSYHQPPHTAPLPSAQIISPISARLGPTQQAFGLPMPLPTQGHAQGSDTIE QEKETPEEGPAFGSPTNRGRLTQEGEYRMHQGGYPGQGHLTLSSMRDEPLPAIESEGM FVDENGLEIAVGSMDTRTDVIKKDIVSNKDALVLINFFHSRMSGFLYGYRLQLGHFPY LPDGPSTLTPLILAILCLVTCDHFPHLAHLRSPLQDEISSALNTSPAKSWQNFDATIS KDFGDLDGEGAIDAEFALGPEEIVGLCVVATWMVDRREAALIARVAFRWARGWIEVLK NQNRMMTVAEKVGIVPAERSATEQDMTRVWLFCYVVDSTERLRSPEAPQVTYRDALPN CNRLLPIATPPASHPSDPFDLLLTLHTKVIMILNEWRKQLGLLAEAVPEGNQSQMKRL VLKTNGDLEFWKANFDAVRPTGQRHGENAVLKWDYTLLTWLFTKLSVNMSLPDALAAR PQNFHSYSDSALQLSPTQPQYQAHLPYASATPQLSASDTQLREASWSKAADCAMQMLE VCASWQPREELLYFSPTYLFFITLAAEELRLVLGSLEERALIDAADVVSLLRTIGESL TMGDLPPKHQTRMAAQALFYHAEQLEGPQENVWLMLGDQRQGRQGVRQA L198_06217 MRLTIRKAKEEVGNYIAEYIVARINSFTPTADHKNFVLGLPTGS SPLPVYKRLVELYKEGKVSFKNVITFNMDEYVGIPRDHPESYHTFMFTNFFSLVDINA ENAHLLNGNAEDLYKECEEYEASIKAAGGIDLFLGGIGADGHIAFNEPGSSLTSRTRI KTLAYETILDNCRFFNNDLSAVPRMALTVGVQTVMDSREVLLVVTGQQKSLALKEMIE GSVNHMVTASALQTHPWALVVCDEDATLELRVKTVKYFTSLEFVQNEVEAKYGSLASK KFGIGRPTAAREI L198_06218 MSRPRFNSREGLDERLSEGSIPGLFLQIATLPELAVTDLETHLE GWSFQPRPINTGPGLSELDASLNETFRASRFHHHSRRMRLELQDPLVSQPLLNNDRPG ILEPYEDKPILAIDFEIPTAHPFAEIRTSPGSHEGAQVIDTTTFGDVPVVSFTTDVTC FHSSPVLVAENGPLHRWSDVYITKEPMTISSSITSCKNLFDYIENQRLYGREVETDLI APGNEQNLPFTARRCPEMPDITIYDADITFVRTDRNWDPRSFSGRVTYNPSLKPVTRP EVEDEYSFTTIDRSKPDFIQGASFLVSSEDLLREEGDDVA L198_06219 MSAEAPAPAQKKGFFHRLSVATPSNNPTVSPNKLGKKKDQVKAG PIYEELSRPLSGMDSGPSAYRDGAQGGVARSKSKDRSFWGGNKGRSKSKSRASTPNTI PPPMPTPPPQTTPIAASFARRPEYDSSPSSSYNNPAPRSGDLQPSRHTPTEGSSARRP DTNAKSNTGYGDDPGAPPPKQKLRQGDLQPTRQTPAPAKPLYPVDPSFMPSASASGSL GGPYPSMSGTHPYNQFARPVGQLGQPPMGSDNGPYPTVNRAPSQLQQPQSYQPQPAFK PFRTPSQNRAPVHPALASSPSPPPVASPPGSQSGHGHGPRSPTYGSPVEMQPGMVSSG MAEERRDRDRGLSRQDGRPHPQPLKPQPRSSSRTLTQSDLRSPPSTTKPLASPPPPLP GQGESIPPMPSVPGVSPRGASLGAAPGAAVSQEHGGRRSRPGSGDRPVVPPLVIPSDP HQPHPQASRQRTPQPPPETRPEPTATRSAPRAQPTSRGREDHRQSRFDDALTDDTHPL PDAPPAYTPSPTIVAQNPKERERYQPPVPTLEAHQAEASRMRGVVAPNLPPGAAAPEA ASPIGPSGQAWSLGNAMGPSAQTADPRAQLLSNPPAAAQSDRQMERIPPSQVQQVDRH QGESPRRKPVQPAQPHPHSRNGTPGPAKEMSEASKYAQSVAASIKHEGVSSNPSSPTP SAAIVQPRQQQAQVPSDRTPTHTPTRDKDMERRFRHAANASIRSVNSVGKDGSPNRRP LPQPPTSASGSPAVSPVRAPQLPPIQTQGQGQSVGQPNTGVAMGSPLKDMASPTRPNF AAPPSEVRSEMRSPVEERVSRRDVERGRTMRSQDIPPPVEKSFLAVAPTPEPKKIAPS IEPQPQQVTPIQNKHIQKPKESPLAFDFPRSPTLPPLLDPRNNPNSSSVATSPLASPS TAGLETGSGAEERDPIARWAASDEKERMIREMEREERERERQRKESERSGRSAASGRS GQSSLSRETPLVSTELPARGVSPQSSQSQLGYFPPPQQQVQPGRRTVSSPLNGRNSPR ITSPLSVSSGQDRRWPGQAPDVQGSRAVSQPLPQSSSIPTLPPLLSMDAFQEVKPRGE RPPATLTFILLYTPIQSNLLQYLSINSFLSLTGASEAVNRRFSGEMVGRWIMREWGMS VGKKKGSYAGNVAWPNLTVWEGFLESLLHDPASYSTYPEQWHHLLKHLSLSHTLVVLH LRSLPQDLFPSPPPQPFDDDAGSIAGGMPNLPFSSSRGSLSSQGRQSRVGSMVGLDAA SDSLKMPKAERIVELIMPEPLAAQPRDESPTNTPASKKFPPLSNSSVAAAKLRRRGSV TSLTSTASMPFLRSKKSSSGSSAASIANMPFHNPAEPMPPSGKASLPPVSYPTAKRYG FRSHGDPTSKRTSQSDSRPSSIFSVQSSASMSQLNNINRLGGSGASSASFAVDRHAPP VPGFPANLPFPPPIGGGAGKRGSFSSSSDLGKSSRRSDYGGNSPVDAINRQFYTPSPV VKRIEPAFDKPIPYILGRAPILRVFVPLSQTVQRWPSIEGAAAAVRELEKCGAMKRLK LGDLVANTAINHPKTTEHVLIYVPYAQHQLLPLSYAFSVTGTLPMNIDAFKLPPSYYF TFLPVTQIIYLDLTPYGRDALSSLRLAYDRRDMTVSSGARVNAKRYLHIAGFEVRAGT GADAAWEGFVSLEGEGTAEGKRDIEKRLVGDGRGGRPVIGAWEIVREKCMVGNIWLKL VKEDH L198_06220 MPVAPFPPENRGQTSTPPQDDILSDQTLAPLKRNHACRQCKRRK TKCDGAHPVCSPCLRSHAHATRSANRNGTTPPVLVCTWADNDVGDDSPVGDYPMANGY AGQGVPVPNGQHQPPQHQQFAPPSLPRTGSGSGNKRPAVSQGKRATRDEENDQLRQRI AELEVKLNSVTGRQVPSGSNFFKDQSRPNSSGRLPQDQNPWVTDINAGALGQIGSSLG AGSMHAGRPTLDETMGAFLPPAPAVNGSSDSSSRASASATTNPSELPKDATFDDFFMV PVDWPRDLPAPFLLEHLVETFFSYVPQTPRMLHRASLLTRIKLPPSSPEFPFPGLLHA ICAAASVHTAWVNNLAPHQVEPAVQRIMNLDQDLTKIEDFGVAQAERANRCIDMVASA CAMGGGELMFQLTQTCIILSEVYMSKGFPLKGWMLGGHSPRLINVLELNNKNYRKFYK PPLLAKAKNSREREERLATLWMAFIADASFATNSTWMSSMMLGDIAAYLPTSIDEWRK VNDEDMKANPQTAASPNVLQEHPIQDSFVLVIKASIIMSRVATWLRKWSQRDAKPDDH QDGPSTESFKTVVTDIEGYIASIPAALKNIFKLMDNFAPGSSFDANLLAIHILPHVAI AAMHEGFIQWEPTNTSYQIVQKSYEAILGILHLIPSNLDITMIFSPLMIFTMHTVGRI IADYVTHALSNQQYSLAVRYRASLSSVQNLLDRYGQRHPLGNTMSAAMENYVRLSGQR PMTSEEMCSGINRRITYPTDNPSALILGNDDKNSPGSASAGYPACTGSASDPCSVGSW SSARSPAVTTPATRTHTSDLSPAELAILPNTSSERDSHQDDSIPGLTGLPWDDWGREA VKAMTVNGDIPKVPSPMNIPGFGMSLPGESPNGGLAGLSSFLRAEDGYGESSRYTQRM TQEERVQTDGRQREPFELEGFEALHWKSMQAE L198_06221 MLFLSLLPALLLPLAYALPQSTTEKPSPSASTTPAAVEFAPEGS GKRQDSTAEFTPEGFGKRQDSQYEYPNINFLSTFPDNLSANETISLKWEGGDGLYNLY ERVGYPGEDASVSAGQKILVNSTLTELDYKIGNYPVNSSIVFGVEEHTQNLSIIVGQE QSRVIPIV L198_06222 MGFFTRKNDNLIPPVAPTQGQQQRDDPYANANAANTTGSSDPYA RSKPAAGAHSSQPSSDPYAANPSYQAGTGGDPYAKRVPAAKPQNPNDAARAELFAGFA APEKPAVERQWGYEGREMEEDFDEDEEVEGIKQEMRGVKQESLASTRNAVALARQAEE NARGTIAKLADQSERIANSERYLDMAKANNQRAEDKAQELKVLRRSMFKPAIVWNKDK KRQEEEDKINERHAMERVDRAKALLDVQDTRKRLGASANPAPSPYASSELPMPGQQKA KKDARARYQFDATASDDELEDELDENLDEVYDVTKRLKGLATAMGGEVNKQNGRLTNI TDKTENLEFAVLKNTERLKRIR L198_06223 MTTNDVLPQRPATAAPSLPASLPPRPQFALPQRPTFDPPRSIPE PSSAKRIDARDHYYRSPSPRRYREPRASTSRYRSPSPPPRRESRDYRSSRYQSPVRSP EPRGRTRSPSYPHARSPSPRRYRSPSFRGRSADFSRSPSPRADYRASARGGKTKSQLK CAHKSASKRNKQQRQQEQDETLSLHAPLVGFSEVQADSQNTFQKRPLSPSAQEEQPAK FQAVPAIPTGPRVPGQIPTGPRALAIPTGPRATSSAKPIPTGPRSRQPAPISTHTNTT LSLPTPTPTPTTAPEFKEEDDMGNWRRGHGGGGGGGKRNANNANPPPPSNNRPLSAPL HDEAYITQTYSNGLVLKAQWAENPKSPLANWIASNSGKGVDVNQMYAYLDGVVNGRKV YRVKISPTPGIEGIGDSSNKKEAEKLAALSAVFQLVSRGRLDRNTAKASAANDPKPIV SIVDTTSNSTETATLTDGTQISFDRARQFMEYYCHRYHFRKPDVDFSQSATKKQRGKT AMVWEAVMSVNDRRIGMGSGNNKKAAMITCYLDVTLYLESCDPDLWKDFMEYSKKDKS VKDIGLAPHLVFNMAGSIINDVEGLCIDIRRSQLWANAPAPGVAGNLHQALPMWHAGA GPTASQGELADKSSRLSDRLTHYETDQHMAKMRKTRASLPVFSRAEAMMEQIQVNDVT IIMAATGSGKTTQVPQLLFDSWIKQGKGAECNIVCTQPRRLAAMSVAERIADERGQKL GEEVGYQVRFDARLPQPNGSITFCTTGIFLKRMQSALGEEPDPVAVARMDEVSHIVVD EVHERDIDTDLLLVVLKRLIADRRRRGVPIKVILMSATIDPTLFQSYFTDTRGQLAPV AEIPGRTFPVQKFFLDDIFPKLERLPVMNGGWAFQDKSVKEYLNKELSGNANNFLPGT GIELEIPLPLVALTIAHVLSQSSDGHVLVFLPGWDDIKKVADILLDGRKALLGKNFKD ASQFSIHYLHSTIPAAEQREVFRTPPPGVRRVILATNIAETSVTIPDVVYVVDTGRVK EKRYDPERHMSSLVTAWVGASNLNQRAGRAGRHREGEYYGLVSKNRMNSLDPHQMVEM KRSDLSNVVMHVKALNLGEVEEVLAATIEPPEANRIVAAMEVLRMLGALDARQNLTPL GRVLLQLPVEAPIGKLCLYGSFFRCLDSALTLAAVLTNRDPFLAPPLKKQEADAIKDS WSPTAFRSDPLAIVAAYNAWSEIASKGDLFTARRFADRNFLSNPTLEQIKQVKQSLLY SLEQAGVIAVSAGGQVGRIGKYGSIPPVLNENNQSLPLLAALIALASAPNFAIKTSEK TCRTCQDKVVMIHGSSVNSRRREGGADEQPQFFSRAEKRLYAFGEKSRNVPIGGNPNS ATTTLRTVTRLDPMTYMLFGAYELVVTQGGLECDGWLPVTGNLVALDDVQRLKQCLDG CLLRVFEGLGKSLVQGRDKRWRSNDVQVQDGSSRMKEGSGEDENESDDEEDAAAKRES SRYSGALTHEEIKELEYLTTDIVRILDNFQAERVGAGGFDSIGNTRAPTRAPTRAPSP QSHNYLVPASSAQHLQSGSNGPQWVDVHDGSATWGGASGGREGPTDGGWAGGDSGNGG DDGWGDGPSGGSVYKPPQARSGGGGGSGW L198_06224 MPSKRAAPSSFSNSQPQPPPNKRSPLSYTGRAGPPKQNVQKLRE ELGLSAWTACNADQEAKLQYVSESMQDILGYTPDELVGKSLYLIFHPDEIPILRHIHY QALTEERTACVAYFRVLHREGYYVECCCSYYTVYDMSLALYTRAVWLADALGPEQALT AREIIEISPASQGQCAIKRWTPNSTSPLTSNPASIRLSSYTSPDRNKPKDGKEDKWPV PPKPSPRTFYILDRFTETSKVVYVSNDVIINGSSLLGQSFYSIIKPSDRPHVQQYIDA AKQSTPVMFDQERSGGHGYTTFHVLKIPDLPPSDERWPQGTDESERSMPGQGFIRVEG IFTASSDSLTCIIARVEDAPPGGSGSSAGPGSSGSGGSRGGGSGEAGRGVGGSSGVMP IRKLTD L198_06225 MPSELLHFPPLYIVVGLYRLLSDPSIRSPVFDKIKHATARGLIV GAVYAVFSWNFLNWVIRRFLMGGSWWKKGEEVMKESVDGTVRVGLGRWGVTLDVVLYT HLLILLPQLSSILRFFIYKNLKLARSRAYALTVSSRGKPREFWSQGYIEEWAQPPVMA GTIDKNGKRMRQSSVWLSWILWWPTQLVMRKYLLLPLSPTLPLLSPLLTALLKSLHTA SYLHQPYFSSKNMSDEQVWRWVEERKWAYRVFGFVAAWVESVPLLGLGLSIGNRVGAA MWAFDMEKRQHLFAHNIIQPLLPSQVGFYGMGRVDDLGVDIQRAEDELEVKWSRKKGE EDAADAGEKLEGGVSLEPKVGEKSIFELRGEGLGADEGGTDKVL L198_06226 MVHPDLFTLVVCLKTMSLLGVWMGRDERGGFVTSKLQGKGIAPQ DLGLPSKSSSSSSPSTSSSAPSSRHRRHRDTAEPESSQLSSSRSGKPPSRKPKSSDGG NEASQVGRRGGDEGRSADGSGRSRRGDEGREEPRRRERSSKRGPPAGDDASGDKTFST ERSNISEPDTSSDEGSDAAPAGGYRGETEGKNKDDEAKKKEGERKKKKDQRKKRSDGD SNTRSTSSTTPSTPTSSALSLSGDSEPRSEATTPTSASSSSSSSDSNSDSTPNSPATS TPDTPSSISSNSTDSQPPTPEPTPSPTSSRTDKKNGNRDGAPTGDEEERGYFPNRNAD SRGFKGNLLPIRKKPPEPREGARRHWGGDGRERGGGGARGGEGGEGGEYQPNQNADSR GFKGNLTPIRKRPPEPREGARRGQREGGGARGEGAREEYIPPANADSRGFKGNLPPIR KRPPEPRGGGRQPRPGGGTQG L198_06227 MSPKTSAPKRTSQTAQDFDPDTFLQSCASLMSKRQTRASSHVSV PIPIPASEHTPPYSDGEESDQQPPAAPGKPKQHPRRYFPESTQKNALHYIMAESKNNN SARELVSPSPEAPSPEGSGSEPVPATSSAPAPPKATPMRPSASGSSPPAPPYTHIPPR TYPSSYPQTSSSPSQWTAHHPTNALLPPPLPTHRAPAAHSAASPEWQSTDAEPEHGLT TDDDGPSSGAGKNTKTEKEAIIPRPPNAWIIYPEMREGKAGKGLPQADVSKVISYLWK HETKENKSHYERQAAIRKEEHALKYPNYKFKPVSKDLKIKARENAKRERELEKRRKKE ERQSEKTRSRARRHIGLTTRGHSRHSPMSPYDASGRYNAHNAQAGLGPLSAAGRYLVY GEPYPGSHEEVSPPSSYFGSSVESSSGYMMSHPEDEYRPFPLPAYAMPAMARPEGVEP PLAPAPGMAIAQEYMWHHAPPPPPAHSAPTPASAPAPRRAVPEMLAPPMEFQSSSDSL AQPPSPRTVPRDEEEDAFQRALVSINVEGMDAYQRPMAVLEMDEIPALDDAFFQEGDD INALAEKWHELGPEEGEEYERTSGLVMDEKNLDPMPAVFYELLPPDNGFYPTLPELSG AFTDPAPTSFHIAPPPEDPSLPQFAPAHHTYGFVPQIHPDDSIRFGSLYEQHPEYYRS DEYPEGPTLAGEMPMSPSEASAGATPRETTFAGAGHDTNRMPSFSHTVRTVSTASPAP RLASLSDFPLTPNSLDHIGLPFVDRSTSFAGAQFMSMNYGPMGMGMGDDFGEDDWYGD EKRKDEMAARESVFVTRRDSEMSAVDAGAGAGIPSPPASQH L198_06228 MKVAIDEILIRSSILHPSRVAKRQMFGKLTSAIGLTAGPLPPDH HAPARSKSHAALAHLLSAAHVPVSDTDCAACNLPCPSSPSSSSSSGEGAGVVVEAYGG KEYEEYVGERYGEIEGLPKGFDTDWESDLFGSSKGGKGRIAVISTGKSDWEKDHTDEK GSLAQYLSKVIDSAPAIKAPKGPDPSLPYITPSAFPPPSKPFSDPIAPPPSLYSSSLI SQSDDPSDQSVLVFPDWKVVHEVEQSKAGAQELFDKVLNGELGRAGKSGTDDSGVGRK RSYVLPYRAVVLLCSHKKRDKRCHIAAPLLRSALHTCLQTHDIAVDDTGSSLINLDGP PLEEVEGGEEERELEVGRRIGEIEAVHGGEGGEVGIFNINHLGGHRYAGVMLIVFPSG AYISYGRVTPQEIPRVVEDTILQGKIVPGLLRNAVGVERDGKGAGGFLS L198_06229 MRLAFASLSALTTLALTSAALLPPSGTSDGSVGSLLDTPDQLPF GHTLPLSAGEEDGEKVNVTLYVMSRCADARLCENTFGSVLRSPSIPSKINLSLQFIGS LNSSSPTWPSPWGVECKHGPKECVGNAHQLCLIQHLSGQQRGGGKGVEEWFGGVECMN FPSDWPERVGEIAEVERCAKASGGWDKVESCIVGSKSEQGDAQKGEDGLYLTKEAKKL LRDNVKKTEEAGVKTSCTIDIASTLVSGGRRTCVVDGGVWKGCDDGHTAADFVRVIEA EYKNLQIISQTTSQ L198_06230 MPLPHLPLLLSLPLLSLPALADHRLDLTRMGAAYTGLPLYRSGA GTNVLQIGVGTPEVVVNLTASTNVEFMLVTTDECDDCTEDSATYSIEDSSSVSTIQQA LIHTFIYPIGSTNTLSLGGELASEILSDERQDVDTTRPLALISDVQEDDEEGRLRGAA VELSDGTSGFWGMGVFQDNKNRSMMPNMILVDGNGAPSQEVSFTVGFDIANRSTSDTE TAGTVHWGGVPNGSWTGDFNWIEANRSVAGSWGFGLDRMRVEDEVIDLSGDYYASIDP AFDAIYLPTSLAEQFFSKVPDASRDSSDRTRWNIPCDTNISLTLTISDTTYGIASSQL VQTRGAATGRSCWSSVVAWGNGSVPETIGEVRLGTPFMSNVYSVLYYTDGAQYIGLAG KPNSINAQNLASSNSGSHPNMKLAGILIGVFLGLLLLLVCICYARNRNSFQSMWYRGI RRQQRATMNAMVRGATLPPPMMGPVGPMAHVGPPMGVGVGMMPGMGVGMGPGMAAGMG PMGPMGPMPMGGPPGSHMGMGMGMGMGMPPNANPALYRSVPPPYQQPLPSQPPPASNQ NPQSQPLLLAHARDEMDRERDQEKYQDMADRDRQQQGYYSPGLVETSPPTSAGRMRSP APAPAPNPPRASLIAKSGSQRSLRPKRDSGGMGYGAAPLPGSGNSGNRRASGRVARTA SEMSVGKTWDEFGNGAAAGHGDSGAGGFAARNGFRHSRQPSQTRPRETYDEPQRQSPP RSQLQSPPRSQQHQNQNYAAFPGSSPIPGEDHISPGQLHAPHHA L198_06231 MQWRTTVQVQGSLGKVLYGKTTHAVLTPRNNIWLSDASTATFRR ALIVPLAHAIVSNSSTRAIKALKQFRSRIHTDRLVPADPAKIGATSSTINQMMVTWLV RQALPAFAEIAKKSTRTHPVQIDLSVSRRVVHWDVVAMAGNRREGEEVGDEDIQFLRT SKYIDILIDIDT L198_06232 MSLPYDATPPPQSPPPAPQDDPMDGPAGLDQALDDYAPPVPSHV QDLMARMSRNKVYLVEESPAILHVDAMKERVRRDPKVKGLMQLLEEQDPSVWLGIEWL NDTSLHVLFETSAEALLALSLLSKTGFDPVEGDDPLMERSAHSFPIHLLPQAPQKPIE ERTIPAESSSEDAAVNRRGRGMFAGDGERAIASEISYELAPGVNPLARIAIRIAFQQD ADLKGRRDTSKWYATYGRDAGKESLAQPRRVGKGRSETRWESAPDAYESGGHELLQRV GRERKPYDRPEPRGDGRGRGRPTQDDLDRQLDNLRSGGGGSMDVDGGGASAYGQEDEG IVRKGRGFRSGGGGGRGGGRRERPKPGKEDLDKGK L198_06233 MASQTLRPHRSPSPSPSPEPPVNLHNPAKLTRQSLGPPTSGTQK GFGGLGVGSGQGSAAGGLASPSGASYPRHVSSSVAMGMGNRESLSPRPSMGAGRAVSA ATGPRPSSEYLPPREMNRTPEAEQIDQWFKHLASWENTLEEMAAASTDQNFTEELGAI EQWFRVLSEAERTAALYSLLQQATPVQIRFFISVLHHMSQSDPMTALLSPAPPASAGI ASQMESKLSSLNLKSPSAGGGSGFPGSPGAGQYLAPEDAKAKAKQNRISAPGTLQPHD RWQAGQLDQVMERGSSPGLESDASGRSQSKSPTPEPRPKSTDFSGKPRESLESLASNR DRTSYPRSPRVSGAGVGVGLGIGEQSPMSSPFHNPSWASMVNTPMAGAFGEGNKLGTP SSVADLSQALNMATLQLQNPGYLPLEDPRKYRRPSGLSSGQTSRQVSSQYNDDGEVVH PRAPQPGQPQGLLPNQFGARSPLIDQFGLNSAGLGMGADHIGLGGYGGLGMGGIGGLN AAQAAQMLAMQQQLAAAQAQAQSGPYSPFAASSPALSNNLNPGRHGLAAQRPQGGQGR RSPNPMKANSPAPGQQGGGGGAGGGAGVAGPEDVDERILEDVGGWLRVLRLHKYTTTF EKSNWRDMVQMTDQDLQDKGVSAQGARTKFLKVFYNVRSKLDIPHPPGQEEYAPGAK L198_06234 MITALAPLRMMMREVEEVEMDMGMIRKKKKKKKEEKKKKKEGEE AECMRRGLMLNQKNKKKPSKPPRTAQSPALNLTQEEQAHLHKKIKEQAQTITRQRLST LPPLPNGQPLNPQTRAQLKAPLFHTILKVLEKQQDKGSRAKGIQSIVPTLLIPMPGRE GREGKSVVVEYVGREGVWREVKGGKRPDFERYEDMVDGCPLDHIKQGSLVEEKTELSD EARTEKDPSPLVRHKDGISSLSQKAADISHRFLSSLLLSHPQGQLIHLKTRDDVEKAI YKAAKVVLKLYKDKDVGQLNLIPVLVLPMGNGMDIQGKWVSSLGEWEDKPGDVVVSVR CE L198_06235 MSTASDAPLERSSSSTSLSGMGIHFPPSSPSPLPPSLSPFPRPG ESKGGYGEGMQRSLSDDGSGKRWKGAVPDASGGGAASSGTAMAHSYSNAASLPPFAAP VPVHTQSSLHPTYTPTRPRTISPRPPSSPSGQHPQAQESSQQGFASSTAYGAMQSEAA RGRVISPQKDPGAPSPLDPSSSSSSALAYASANGYDASNPYSSPSSTPLRSHHNGGSS KDGYQSLFPLTGEESHLGSSPTVHQEKVKFTDSTTFWLGLYFLFNLGLTLYNKALLMS FRFPYTLTGLHALSGSAGCYIALERGAFVPARLTQRENLILAAFSVLYTVNIAISNVS LQLVSIPFHQVVRASTPLFTIFISLIFLRTRFSLIKLVSLLPVVAGVGFATYGDYSFT AWGLILTLLGTFLAALKTVVTNLIQTGSGGRLKLHPLDLLMRMSPLAFIQCVLYAWHN GELEHVRAYGATQMTRTKAVALLVNGVIACGLNIVSFTANKKAGALTMTVSANCKQVL TIALAVVLFGYTITPTNSIGILLTLVGGGWYGWVEFQEKKKKTKVSERS L198_06236 MSSFSAQPIVIDGKGHLLGRLASIVAKQLLSGQKVTVVRCEEIN ISGSFFRNKLKYHDYLHKRHIVNPRKSGPFHFRAPSRILFKAIRGMIPHKLSRGAAAL KRLELFEGVPPAQDKIKKMVVPAALRVLRLKPGRKFCTLKRLSAEVGWKYGDVVDRLE EKRKVKGQAYHERKTAALKLQNKAAASAPKADNLIQYGY L198_06237 MLVQYLLAILSLPAFTFAFPTTKSISERATFSDVMFTSTFPEQI VPGSTTLNLAWEGGDGRYEGVYHIGVSWSSYHPREVLLSGAFSGTLDCCLAWGSFSPS CVYQPGFIFHNTTETSVTWTLNSPTYGTFLQTRRCVGGNSRFGVADVNNNVDAEHWYQ LVGPIPVDED L198_06238 MSDFDIYREPSHSHALYREGSNQTTTSSYHLPTPDSMASFQHQQ QAALQRLQQQQQAQTQAQQAQVQMYQQPVYGNGGNGAFNRRRQESEDVEGDGRVGVED PRQDRQYTELKCLGDGSFGTVWLCDWHSGVTPDVTLSAMQCGAGARPEWSGKRLVALK RMKRVWENGWTQAKTLGELASLRNIPPHPAIIPLYDAFISPKSRELYFVFECMEGNLY QLTKSRRGRPLAAGLVASCFHQISSGLHHIHRHGYFHRDMKPENLLVTTTGLADYLTA DTLAAINERRGGELKYEKDVSVIVKLADFGLARAVDSKPPYTEYVSTRWYRAPEVLLR SSNYGAPVDMWALGTILAEMLNLKPLFPGVSEIDQVYRICDTLGDPSGEYGVDERGIG VGGGPWNSGIKLAKNVGFSFPKRKPMRFRSLFSEHVPQSLVDCIAELLRYNPRYRLTS AQCIDHPYFHETLPHLQQTPPLPHIPFSSGQPLPHAIPKPNLGAAPIQPEPPRQLPPS HAHTPHTDLPRPAFANDDLRTLPPPLSTPDSTVSSAHMHQHRMFFPQHVHGSSPHASS SALVNQLRELDLPTDDLASYGHRPALSPASVAREKEKARAGSQAQAHAAHLHHYVGDL NINGGQGGTGTAPSSSSRRQSTMYDGSVFEGSVDAGGRSTQAQAQAQAQAQAQAQAQA QAQAQAQQQSYGRSSANVAAYLQQQQRYAAEHGAHLQNPSQVSLNSLTSPSNPTIGGA RPEKLGVTGKKKKWGLSSVFGGDKSSANLSTVDEHDYSGTSSLKRTQSGHRPGERSEV HLAPAAASSMALGSSPLAMQSTASVINPDDPKKAKKEADRQAKELARAQRDAAALKQK ERARAVMQKRNQLIEARTQTKTKGEIEFSSGTLGLEAPYTGSTANLESTANLGSTANL SQMNVPLGSMRNYAGSHASNSLASVHSHDSGHSGRSGRSGLSARALKEYEERMASGDD EARHKARRRDDDDDHSMSSFGMGLGAGSLRSRSVLTVGTVDSDPGPRRSRSRSGWEDP LIRHSSRAPSASSTSLPPFQSPTNRSHPLTSRSNVSVESQLANDFKARANMGAAASST SSLGRLAHSHSHSYLAQVPVHGSSQASLHGPSASQRSLPLQGHGYGLEVPGGYPATVL EQGHESAPTSAYGHPKGAAVSLGAGIARHPGSSGLGRPPMSGGGTALPSISSWGEVEG EGSEPGDGQINPMFRVPPVAYEQPNQQTLPPFSDIASYASGHQQPR L198_06239 MSNDNPESSAQALERYILAQLEGLSLSAPQDDIEMMARFVEEEG LEREEKAEGVKGMLEGVVDGGELPEEGVDEMLDRVIDEQTRLHELDLEREREEAEANR SPSPEKFDASNILSTLTPEELAAARRQALLRQYAYVDGEEGSSEFPLPGAPDAEGRGG APPKGEKARELEAKRQAAERQRMIQEALYRDGRKKKHRKNEEVDLLAPNLNKEKAHFV MAAQREQQKKASQDRKERDKAALDKQRADQAKAKADKQKKAAKQERRA L198_06240 MSADTPSKIPVIRPLPHSRSTSSLGPHPQSPTSPLGGAMGRASP APGTPILGVGGRRLSLVNGFGTGQGAGAGRGAHIRTRQGSKAGSISEVLEDDPAHLKA QIQSLRADLDSTKLRLLQAEASRATSPTLPDVSVGTPLTVSLIEEEKRGDRNSPLDHE PPTRKSSESGSRPSSRPSSRGLPHSNGSYDLKVHADTPKDGRSRIPQAVVSHATALHP TPPISNSSRRNVSSPSPGPHSPLSDRYTTRSPYLAVDSPSPNPFPFSPLAHSTSSGLG RAFSPSPSPSSRKTSGSASTKVIDSLQTELLNTKTHLERVKSEVRAGQRRVEQLTRQK EDLQETKERMRVENEGLNNVIARKERLLQEVLERARTAESAFKEISSTRKTLEQSTKK SLTDMTAQLQEAKMREMKAEREAQSLKDGVQSLKDLWARDVKGVREEMKRAEEAEREK REEDARKREEVLKLVETQATERAETENVARDALSKCAQLTEHFEERFSGLRAEAEKNG KECQKANARAK L198_06241 MSFFLPTPYPNSTTFSTHRAVRPPPPRDNHFREDPCYPPPGVCP NPNPTAIQHTFTVQDCTDAQTCAQDTEGSTHHRFSRPIQMSDHKMHPSRGITYASTTP VPAHSPPGYAAPNSPPDYKPFPSSHDTTLLLAPPPSFHQATCPHSQAGTYAAILPQHS CPHCFDEGSSDPGSQPADKIAIGLVILNMVIWGMVFYAYWTEWNGAPPWSGWTGGASC VGGQGASGWGKICWGEECRWAFVDC L198_06242 MSEEETGPGSSSAKETDGPIGAATRPSPNPLELVNLPSELALPT SPIDQGHQADPTILKDEAKDEAKDEAKDEAEDEDDDVFGSDIVMDEALEEVLDRVERR YRVQSMAPPREAREGERVELLVDMEDLVPPPKPPTIARDGLLQNVGHGDGKDALESPP IEVDVADETEEGAEEGEGDGDGDKEEQQQQLAPFFQFRRKGYLSVSDLVGPLWCETQY DYRLRTLPYLPVAQRPSTITSTSGKLITVDTFRTEGKDRVMKRGGEIHKRLEREIHPE EVVVGTVSREDVWGLRFLNMFSAVEALLTIGKCREFPVVGFVNGVMVLGIIDEIVRRP LKPTKEKAPSKPPSPNRQTSLSSFFSPTKPRPAPSSSPTDGPKARTHKLFISDSKTRT SGFLPREDDSQAGRLQVMMYKEMLDAILLAPFRQNTSEIDGTGDGDEGQDPKPLDILP TQIHPSKAFSYPLLFAHLDLSPTALFSDQFMQDSRAVIEGNKLRFGAKKGGCLEDYLA VWEKYVVELGLGCPAPPSSSTPSATPSSTTTKPQAKSKPAGDEWRNLGRTDDRLELVY RRAGGKKKGKGKGRERKRRKGGLTGGSGSRREEREGKMRGAEEERLVRLAIAESLKLS GESTSEPDAPIPAESSTDQGENPLPDPFENTTLSPNQPLPPASFTLGTAASKKHPIDD EEISSKSEYFTADEDEAFVDSIEVGLGGEEDEDVSVASPKARVTSLPNSQSLASTLPP SIPAPNQAPAQDSDEDEDANPSSGSIIGKHTFTHSPEGLASHLESVLQFWMGEREPKG VEITEARRCGWCEFEEGCEWRLKKIEELKSRKHHG L198_06243 MADIKKPKDTKAFLTDFMMGGVSAAVSKTAAAPIERIKLLVQNQ DEMIKQGRLATPYKGIGDCFARTYADEGLTSFWRGNTANVIRYFPTQALNFAFKDYFK SLFGFKKNEGYWKWFAGNIASGGAAGASSLLFVYSLDYARTRLANDAKSSKKGGERQF NGLVDVYKKTLASDGIAGLYRGFVPSVAGIIVYRGLYFGLYDSIKPVILVGPLEGNFA ASFALGWAVTTSAGLASYPLDTIRRRMMMTSGGTVHYKSMFDAASQIVAKEGIKSLFK GAGANILRGVAGAGVLSLYDKAQELMFGKVYAGGSG L198_06244 MRPSTSYDANGFAEYESAPEAIETWEKVAMIFAGPLAALQGKIV PKCFGAGSGVMYASRERGRRDVYLWVLERVDDDVVANRYLFRSLHNVRVLHYRHLLCY HVSRRADGNLCLVDFEEARFVPPGEDGDEDLEMEMRDLESTLGLEGR L198_06245 MPSSGPSRCRNRPLFDPYPREAPIDVQSIQKDMHIPQRAFVRCR CPSCPPAGRVMQYRTWLNWKADHKFESASQPSITNHRPAEAPTFQDASSDVLSLVADH EQTASALGDETMDGLGAGDVGSDPSFYEGYDDLPPLPPDNDAIGETEDRLRSILARWP TKIPECLIPTYSGRNENPYRHHFELRTTDPMATMPLLYRVFAAFIVFLTAFAGVAQRY GDTARAIIEVIIKLAILEDRQAT L198_06246 MGDIAEPQLQRVLQELDRPIQSSASELQRNVKSVYKYLDINPVI IVNPKCPTKECQATFFDIADADELRKVPEACPECQTPLKDGQKFIGQHFPRQSLQAAL EYLFSIEGIERLVEDQELLRKQQDERDKANPPSYLKDANIGKIYRHQLDGECYQQGGA TADVHQRGCLVLTINIHIDWADPSKSRNRLPHSMGPILCQLADLPNQYRSKSTFAMLM GITPAPNEPPGCLLHRLLLALGVDILTGAYDGIWIRTPAHPNGRKVYIKIGAICCDRP AAIAIIGGGHYKSKKTPCLMCTIPASQLSSSSPFPAHLGSDHSEAMLNQQRKFLRQFD EAPQTSYQTHISRRAGRISQATQVYLEGVEKSVFKVPGHLSIFYLFPGFDKIRHAVAD PMHTILEGLLLYYIRRVLIMGRLCKMPPLGWRPEDEGDAESAVGTESEMDEEELREVH ERIVERSAASENPRQLEGYQRAAGRALKEDLDANPIIPKKLLTRLEAMMEQVIVPPYI DSVGKGFFTKQRKPTAAQWRTFGELFAIVRYTFQSAISEAQISRLHKLIDSFLELVIK LHPYLPARVTNFHVIRHIPDHIINHGPVYGWWLMAMERLNGRVKHVNMSGRNMFQEQV IAFRALLRERSAFLLLRRMLRSKGTNDQEPCMEELREGYKRGGIDSQLSEELETLGLF DNSGLDQRFSIDLLSRGPRTQAIEDKEVVIRLKRELQLNTETDFIDKFEFHHELAVRG YRFRPADPMFKSDWQIDPKVEIPRLITKKNAMSFLECRPAYRRFADHHAPARSGILWS VVGHKRRSRDGAMMTERLYAVTRWFKWQGEYGQANGYRYNQEATLDIYSYSDSVLDDP FFFEIRAATIGYLHPLALIRVPVEPNRPTGRQFILSIPIARHT L198_06247 MNPPALFQTLGSHFPRTINENQELINKVDWLVSSRKSSIAISRC YREALSGQSEEVAELKEQILALQQVVSTLNGGEGRKRKRGKAQTWEKNSDIESWVHAG VRRLLGMPETGSKDNFAPEKWPDYDKEEATNGYIQTSEGRALWRPDWGNLKNRFFENL VEAAIKSCLDNQAILEKAKVARPSEVEVRDRVVMYLESIDRKKGNAASVETEWKKIDG RTRSLVKFIKDKWDDLPLSKCREAPLLKAALTEYNGVLRTLYFIEWHETQNPLKVAEN VAEDGENIDDLEDAELDDYDDIVEPWWWSEVIRLAVWTAFELWQQSIKRGGRPSVDVF HLPPNYHKLRTTGFRLASGVCAEIEEGEGGGEEIIQPRIKCTKSMINWDVVRPVNSPL IPDESQIPGDVVSATSRHLPTLQQYLLNTQPGILTKVTDKHLKLPPCVESHCLRSMWK GRRRHDGVPYHMKINAARQGERFDNLQEFHEQESASTDRRGRVVWPTDGDAFNDYSDV GFGDVGDFRLDDDGDEDPPLPPTQE L198_06248 MPPTPTQHQRTPLRARSTNTPYGRSATVQQAADKSPTNLPSPSR PLANNRSGSLFKPPMPANICLPVDEPVAVVDSLEAAAGHLDALERSLDNLASSPPFPP GHSQR L198_06249 MQTHGTNPNDQAPSHLSRIQAALVDCQLWVVDFEGEIGCVGIVA PPGKGLWESAEQKKLRDNAMSTVSPAAKRWLETELDPHRQSKSHLPGGATASYYLNAL GTHPSFQNKGLARALLGKLEELARRDKTRVALVAHSDRAAKIYQNNGLRIIYHAVLRF GGDLGEGPYYLMVNDCGLSTEAI L198_06250 MGDVKVRLLQNPTDQEFERILDVYQEGFVHDQILNMLGSNTREF FRSRVQATLVDLQLWVVELDGEIGCVGIVSPPGKDLWDTEEKKKIRETVSPKISPAIK QWLDTELEPLHENRSLIPGGGPAAVYYLHLVSTHPSFQKKGLGSALLGKLEELARRDG SRVALETHTDSAASVYRKNGFRTLFKGVLDFKSELDTGPYYVMVNDCGLSPYLCHVDL TG L198_06251 MSDTPHPAQDPPQEDAQLEQDLDQQQELQFPAVVVRIPAPTCPR TVPKQDGAPTDRITLYPQPGETIQDIKLLINDWVGAYWLGPYSLRLPFVKGEDGKGQV YTKRKDFSEVRAGEKLNEWLEVADAFEHVAEGEERVLEAIKEPYGEFSARQSILRLIE LIAPAGTTANTLSNPLGLSAGATIFERVRDGLSADAHYEEVEVALPSGRKGKAGKKEV VKVKRAVTGDRAHAFADFKDWQPASFASLPVSSASSEIAPAVRSIQVSPFNPPPAHLR QQGHQLYLTVSLLEGETLTLVCTTRGWYVSKSNVNNFDATPRDAAAPIHSLVDLLHNI SPQFSERISALPPISLESPIADPISTVAPPQAQPAYPFLASLPKPATTAEQLRTQLAF LHTGAYGPEGVDSARDWNEELQGIRELPRSTMQERVMRERMLQKTIAEFDTAAIRAVL SISSGDVPPINPAEPAEAYMYLQSNIFVTPGYNDALDAYAHLGGDGAMRVSHGKDAAG IRAFNKVDVDGLYTLGHTVVDWMGKRWVCQSVLPGIFSNRRALEEEAEAKEAKGEDKD EKKEDWVDVTASPKTATSALKEGEEAEPELDNPMMIYGLDSERPTTVHWDALTHKLFA TYAALNKLAPHSLTSGTGEKHEFYASAEVKGLKGQDGRRYVLDGQRTSPVDVEWLEKD VEREGLGEYPHKLVLLRPELVEIYWDFELKRWAKSVSDKATKKQKESEDAEKAESGEK EEVKNDEKTEEKALVDEHSPAASAAAARRAEEDAPIDTSVIGDIKDFKLLFNADAFVP QPPAKEGCPAPVAINDESDPSVKAVRDASKYLRELAIPSLVYDVMIGVTSGVMDGESL SKHLHLRGVNVRYLGKVAETIESFSATKEGEKQEAKGYLQALQSIVYQEMVFRASKHI VNDLIKTLQPDQATQAVSHFLNCLLGSSLNPSPTAAYSPLSIGATEQEPAYVSLTVEG LRKQIREEVRMRFRYELPEAFLEGGIRRKQLLRELSLRVGWQMQQREYYFSKEEQDAV EEVKEDKKEKNKKSKAKTEVKRTTTFEPQDVLTLLPIVKSTAPSVSVAEEILAAGRNT INNGNVNLGLEFMLEAIQTYESIHSVIHPEVAAVYNQYAQAIHQIARIKIAQLAQKED ASPDEPLGVDVSTALKLQRQAVVIAERTLGIYHHETASYYFNLAMLENLEGNSQQALR YFKHVLTLWDVMYGRDHPEVNTVLNNAGIILQTAITDLPSSLALHQQAHESTSSIFGS SHLQTAQTLNQLVQSHFLAGNHREALTSAEATHTIFLAKLGEEHTQTKESLRNVELLT ALIDGAEKQKEREEMIKKDAEERLKAARGQSQGLAGRLAGRRLGQQVNGNVPSGVRVI DQQTLAALTAAAQNGQLPASAAAAVAAAGQALPNGQTSAEGAADAAAVQGQGPRIGER GTENLEELVNYIQGGSAGGAGGAKRGKNALRGKRRTGSKR L198_06252 MAPPYVPTPEQIALAEKRRAERAAKKAAIASGAVADPNKAKGEG QGEGEVALLKRDWVAVGPGQVEGGAGGQKGVRILTWNLLAQTLVRTKLFPGSDCLKWG DRKALLLAEMAHHGSCDIICLQECDKLSEITTSLPKHAFVKGTGPHKSHGLVILYNKE KFWAERKELVHLDFEDLHPPSQNGGEGKGEEKKGDGEEDSGEMKRRKGGSRMTKNVGL IVGLKYKDESGRGIVVATTHLFWHPLYAYERTRQALLLIRAIRRFQGVHGLEGWPVVF AGDLNTQPSESTYFLLTSPHSPLPASCAAQVESSRIVHDNLKKMSFTAPRTVPVTTHA DASIPPGTGSLPASGTPTPVPTKTDEEKDEDREDKKDDSTANSRPPLVSDGIPTTEEM VGLFRDVFPGGGCESAYGSTGWRVGRDVAGFGGRGGFGGSKEGEGEEGGGDEPGYTCF TPLFKLTLDYLLLLPPLSPSSDPKARFSKVLLPPRAEELGEGLPRKGICASDHVAVGC EVVW L198_06253 MSSQVTSQVWYANDTDYDEDTISINGILERSAVKSFSSRQPAGD KEKTAYVWTSNFIENDSKNIYRASFTSGANASRNTKAENDDYMLRANEVSTFLHDSLK DSASQWGDQCRTQQSAKPLRDAEKSTFDSLVNTMPDRYGDIGLGSVDRETMKQVLLYD ENQGDLSSGGNVVGANMEEGDDMKMVAGMPFVRTGASIGYGFLSQQPSFYHGDDRDD L198_06254 MASSQVTSQVWPVSNDDNCDDEACFSIGGILEKGGTQSPSQAGE EQTEKEKKAHIWSCTFIEPDGEHIYRGSFTTCSRASKSSKAENADYITRANELSMALY DSIRMTKSLWGPACRTSGNVDHLIEAEESTFHKLVEDMPERYGDIAWHEADRNNAVRT VMLYDEDSSQGTSSMGDGSDVEEVVAGMRLVLADD L198_06255 MPLPDAKRHKPNSKPSLPTPAPPSSLGSALKNKASMDSRPGPDY ERGIEYWDNVEASVDGVLGGFGTGPVPHIEQLSSRLLLLSLLPSLSPFPSPLTPAPLP PLPVHRRTALDVGAGIGRVTRTVLAPLFDDVVLVEPVGKFVGEAYRSASDGEWRDLPL PVHALPPKPVEREGNELQVESYAEAHRQASEAKGGRGKRVRFIQGGLQLLDPRSPGKN GVELGVVGQKRGGGEGLDGEEVVYDVIWCQWCHMNHDDLVSFLQRSRAALRSPDSYIF VKENCCDEGANGEPQEFMDEEDSSLTRSSGKWVEAFKDAGLRVVKEEVQEGMPEELFV VKTWALQ L198_06256 MPAHIPIVKKRTKHFKRHQSDRYHGVKESWRKPKGIDNRVRRRF SGQIPMPKIGYGSNAKTRHLLPSGHKELLVHNLSELELLLMHSGKYAASIAHGVSSKK RVEIIARAKVLGVKVTNAAAKLRTEEA L198_06257 MLEYIYVCRHGFRSNWVDPAIKTGPTGMNRDPPLAAHGLDQSAH LASYLSSPSRTAPYPTPEIVFTSPFYRCVQTALPTAQALGLVLGEGEDGLHLEHGLSE WYSPVYPSTGLHPRPGPPSSLIPHFPPGSINPTYSSTYYPSRKGESLKELHERMEGFL NAWVGRVEGEYPEVRCVVVFMHAASLIAFGRALTGDRGMEIVAGCASTSLYQRKPPPL PSPSTTQLPTPTTPSHPSHPAKARLPPCGTSQYTLLLSGHTSYLPSGLERDWSFADVV LKPGDGEVVCDYGDGEAHEGEVWEEGLAGGWEGMGRWVREGEQQGRGDFGVGERRARM L198_06258 MAPFGGRGQSSGGYGSRGGGGSRGGGGFRGGGRGGRGGFRGGRD NGPKLPAELLEQVDAKYGSGGKRDISRKEKRKAARDDKRGPAQRSRRASPSEGGEEEE EERPPAKKAKTAPPPVSSKGKEKEGEKKEKKKKLPELTLPGTDTNNDVEDKEIAWLEY MLKKEKKPKDEDDLEDGLDDLLDFTEGLGRKGSTAKGSMLDESDDGFDSDEDEEEMVD LIEDEEEEDEDQQSLKESEDEGEFQGFGDSDDAASEPGLEDEDESPPQNPIDPKAGEA ASDDQPAPTKYIPPHLRAAQLAEKAKGDKAKIEARLKLERKAQGLLNKLSEQNLESIL GEIEGLYRDNSRNDTTTTLTDLVIQMISNKANLLDSFVVLYATLVGALHRIIGIEFGA HFTHTLVMKYIHASSSSSSSSDDITEPKESIIQATIYETPDAGKEALNLLTLIAELYN AQVVGSRLIYDLVRGFIDSEGKEGEVMGEREIEGLLKVLRCSQLRSDDPSSLKDIVNL VQAKTKGKEKTMTARARFMVETLVNVNKHGKLRAGAEGEAGNEAAQRMKKFLSGLGKK RRLLTYEPLRVSLSDLTSADKKGKWWLVGAGWSGNPLVDLEQQREEARAGKKTKKNAK GQDAEKDNEAALLELARKQGMNTDVRRGVFVVLMTSEDYAHACDRLSHFKLSDVQQRE FVRVALHCCGLEPTYNPYYTLILNHLCANSYDHRFTFQYALWDFMRELENGSTVPKQK LGNVARAVAYVVARGGVDLTVFKAIDFTALSKPLTKFLTTFLPFFLIALQSISPIFNL PKAFKQVEFDEEIVKERFEKVLSHSELAGGWLWVLDREMKSGGRWEGEVGGGREREVV MKGVEIAKGVLGAAV L198_06259 MATEIPTPRYDFYQTPSELILAIYLKGYDKVKDDVKVDFETTSI KITLPPLPSGPSPETQSLTLSPLASVLAPGSSFRVLGTKLELKLQKGEAVSWPTLLAD PTKPPVAQQAGPSSSSAAGASAVSGGASKSKSEGVAQKKKEKTNWDKFDVEEEENDAS DPNAGGDAALQKFFSQLYGDADDDTRRAMIKSFTESGGTTLSTDWSNIGKETTPIRPP EGVEAKKY L198_06260 MYGNVYAGIRSSDRKSDMVDKLKSAFYGIKSRNDLQTYADVRVR CEAYAFGYTTESLNAALAQPTAVPPASGAPVNGAPPPAAGPSTAAAGSTGSAGFGVQR WQPQTATGGGSNGAAYPASSAPALPERVPPRTALMNAYREAAGPAAINHVPRGPILLE WKTSPMWKPVQAITNMEQLPDITAHESHTNRKERVTRFVLPNDVIAKLNMSHTSPTTT PHYSLRLFCASSDHYRPLNARTNPYGTNKDIPIEYPSLPDVYVDGVGLPFKEKGLRGK AGSAPPFDLEKAPARRGYMPGRMISVAFGHKGPTTGKNKSISKKFFYQLVLAEITTKE ELLTRLGNLQPTNAGDSLDQLRRQQDEDDDIVAGTASLSLKDPLSYMRISRPVRSKKC SHLQCFEAQWWVESNATHPQWLCPHCSKELFFDDLIIDGYVSSILKAVPDDYDDVILE PSGEWHTEDNKYASEVWRAAHPPPAPPAPAIPSVPPPSGPSSSTPGLETKPSLTQLNG NDSSNGEGAKRKVVEILDSDDEDSLRGKSSAAPSSRVSLSIPPVGARTGLNTPIIDLT LSDSEDEGPPPPPRAPAPATANPVTAQVSRPHTSMGTSASDMDGENAFWRGNVNDGQG AGINGGSSSLPASSTQSTPAAGAGRMPQMGTWD L198_06261 MSDAFSTHPEHALDHPELEAILEQAKAGPSSASLGDGAEGMSVE DAFEVEESVRRILEGGYKTIGLQFPDELLPTSVSVYRAIQTRIGHTGAQAYVLADSTY GNCCPDILSCLHLPADFLVHYGHACLTPTDALPIHYVFPRKKLDVEHAFGALSKASEE DVQDGKKGVIVVWDVAYDWLASSIAETFNKESTIPTTFAHINKPTAAPPKSDEKGKAP ALRTVEPPEGLALTDCILWYIGEEGRSSMNLQMTHAYNSLYLYSPTSQTTSPLHRTTS RLLSRRLFALHQALSSDIFGLIVSNIGLSSSRPLLAQLRADLRRAQKKSYTLSVGRLN PAKLANFVEIECFVLVGCNEGGVVDSKEFMRPIITPWELSLALQGEGHEWAPEKWTLD LGKVLEDARAKADEDDDKSETQSNHSTSSDEAPEFSLITGTYRTKKRFNDESAGPATT NQIESGIQDLTLRDQTFALSKLESAGSSFLASREFKGLEVRYGMDEPSLLEEGRSGVA RGYTEEK L198_06262 MSDKTYDQMTPQERTAFDFAERQREQAEQAELPYKWTQELDTAT VTVPLPEGTRGKDLIVEIKKTTLKVQLKKEKDAILEGQLFADVVVDDCSWTIDSSILT IELEKLSSHIGKSQWWPHILTHHPKIDTKKIKPENSQLSDLDPATRGMVEKMMFDNRQ KAMGGKTSDQIKKEELVEKFKREHPEMDFSNTKLD L198_06263 MFFEKALSEALLILLIAIGLIVASTSPSSFPSLPGSSSDRQHST TDPSGSTRRPEDDRPRSGDDGGRPGRREDDARGPGGSGTRGGEEPGDPRREAKKDPRK HKKDSARDTGRGESTSGGSEDGQREDGNDQRGSGERDPKKKDRKDRDRGDERKKDRKD RDRGDERKKDGHTPAESDTDSSASSSPPSPSTSDSSDPSTPTTAKTDASTSTDDRAPV SAGSQTDSDSSSDTDSDTSSDSSTDSTSDSDIVPDSPASPMHNENSSDSDGGNEGRRE GGTRNDGASDSDSESGSEDSETGGGGDSGGQRGYRGHRTDLDVQLDPYTRVKVKGGDK PYRIRYHP L198_06264 MFRATRVPCSALRPLKTSTNIFGLPVHQNPLPALTTIYTTTLAS LTHLPSTSVYRQATEAITKHRMSIVEQAQGNVEEAEEKLGGRVVEWVIEEAEREAALA AKAVEWKVWEPLVEEPAPQQWRYFEPLSDDA L198_06265 MASSPPAPSFLVPSAGLEHAGEYFDEESAGEIAQDGNETAETVR QERGISMYVRLLDEMINTVLESESYLFSPRELCVLKYILDLPYESHYLLTRLLLRVPG KIHTFSSLSAAYEQEIGEDGVKKGMKTLCQELKVPQDIVRDEPIWEDPGPSSRPSSTP LKRAMSSSSSIKTPTSQRPSLDKRPSSTKPWSNLSSGLTLEEEKADPQLAEALKESLW AAKVGRVEVVSDDEEISVEPRGGSTGKASRNASAKPSPSQTPTPAPSPHISPFSLQPL PSPPLTHFALSESDLSLSDLLSCMPLDELRKACREKKIPSHQTGSRDGCIAALEGLAK KQTILGFVPLDNAKGKEKQTTLPFEKSKETPKKSTKQTALPFGRPQTTETLLRNQLLH ILGSSLIRLTPELHALISRVNLIFSRTPPIAPGGASLMLPSILVNSKKRCYPDYGTPA RSKIWNTREELLVWERAVGWEATVTDALGEGWDQQRKNPNASLAGSVSFNQGQWLGRK EGAAIVKRIWEGVWDVWKGMVAAEESGPGDEEGREKNLVGDRFQTAHVLTRIVYKGAE ALGVLHEYDTECRILKALIAQRRWRRGKRGAWYDRLALVLMNHYNTTPKEKKAKLREA TQVCIDALLDEDTHIIYRPALSRRLTRLENKLNLPADERHISYASLLKCETRDLNAVR LPENRGQVRIPRTYSGGVKSENGESSGETRLVGKSLWMGKDGEVGVEEWVLEWWEKKG YKGYHSESSILTTVFTLLLWPVLFHPLPGAFETSYQTAPLDLGEDTFLPSRSALLEAR LALIASSSKKAVELLLEADDRERERATWAVGVNWEYGKEDLVEILQCLGGRGVSGVCR MLAEEYRHRASGVPDLIVWNAETKDARFVEVKGPGDSLSETQKIWIDVLLSLGIQVEV CRVKAIPATDAQLEKIEKKRKAALAFENANVAGGKRSRLGRGYWKTDEGEWEEGGHGA EVEGDEEDEGWEARDEMRFEDGVERRQGKLG L198_06266 MSHIIVLDNITDHSTVASRPIPITGKLATVLAEMQYLETLPKSP SSPTTGSGSRSGSGSKFMARFFPKLVSRHTPSAPGVEAPAPSSPEPSHTREAIDDGAV RRSNNAMPQSDNDPDWWVMY L198_06267 MADHPPDYAPTLPQILVVPLPDAASFFCGPSVQGEVFVKGLGAG EEHGVQALRAKLQLQSVLPEAPPIDLYPFPEQTLYPAPPSLDEESTSTARFPACHQFV IPLPATIPPPDWVDSSTADPDGEILPGTLNLSALSKGEVRYTLSVILSLSTGQQVEAT IPVEGTPQTLFATYPLSKKEVEHVQTKKGITTRLLLSSDTPHLGDLVHLGVEIKPSTL STSEQAGYQKGSTSAEQEAKGILRRMRRIRVEFYRLVTIHSSQSSSSTPPAPEQHYTL LHSSGKSLRYPGPPPLPAPRLLFTIPTAQTGHLAERTWGEINQRAGWHEVQFGVRVRV GLGDGGSAGPSGPASSESEDDGWTIEHPIVILPKIYRPPSASSSTFPDTISEPPSPIL DPEVEQQRAREAYRLKGRDIVGAQGTTRAEDVPGDDLPPPFEPGRRPSQAGPSASYPP PPVEQEPEAAGSGPSGLPTFLESQAQEERRRGSVSVVLPHRDTGKERESNDVTPAAVE PVREELRVGRDGLSGELATWVEYDGYETFSQPPPSLAASLHARGSMDPPQPGELVQVV NGVVEDVVARLGLDGAGQAGGAVELMEHLGLGDGTRVVDLQDDLPPGIDEPSLPALPS FNSPHSQPPPRTFQQFSTQTLPTNAHPLHSPPLPATPPPPHESQHAPPSFDASQAANA VGGVAASGPVAGAEEGGDVVSAPGAGPEGQGAPPGYDRGGLPPYSQG L198_06268 MSTHTITAPIPTYAHRALHRSWAAPAPVPHNHNSPSPPARPVHR PRTTRSVGREGQEQWFECHPLHHPAPRRVSNFAVHLRDVIDMIDKDPGESEEVGEGEG EGKKMSDFETPAGSPAESPVFRTSSSSSLGFVSRPSQLGLTSVVLEEEEAGGVEAGVS AERKDVDVAGLADTEPEAVTGGGKAVQSFENPAPRVPPPPRLSVFKRRAATLPSTPQF LASRQTQTQTQTHRPHTQPSLSPLPTRPIFEREAFKTTEDKIHNYIKHYQTHPWTEME EGPDYFLFGWRNEVIASWNRFYSKENEAKRREARGSVSLPG L198_06269 MFPSLALLPLLGFLALPLARADASVTLYTGASATATATSLAAGE TYTGLAAYDPARLTAPTPPSPAVTSITMSIPSNGDGVVAENLALSIPQKGNFLGFSIE LSVAPSIMGARSGTLKVPFLNFMANIQNRAGVGAIVRVGGNSQEGSTIFVDGLDEGAA MEKIKVSSGATDTPIINYSPELFYIMSNITSLVGVEWYFGLAFNETDVDLQIDNIPLA ATWAQEILGDSLLGLSVGNEPDLYVDHNHREAGYNVADYISEYDATLASIIANGSLLD PSIFLGPSLCCEVAGFEFSDLLSAGWLDDNVDRLSAVTVQHYPTNNCQVNGNVIDAQD IFADFLNHTSAQALVGAYLGDSATVQDRGKEYVMLEMNTASCGGFPGLSDSFGAAMWM TDYALQMAYGNFSAALMHVGGQNVYYNPFTPPPSSLSSTRQWTPGSVYYPTLVVAEAF GKSNNSRVVDLTPLAEVDANYIYHPVYGIYEDDVLARMVLFNFIDDATGGSDLDIVIN VQNQGSPSEVYVRYLQADTVSEQYDIYWANQTLGSSFAADGRLSGDQTTYQIACDQTA NTCTIPVFAPSIALVFFSNDFLEESSVAEDATSTYGTSVVGSGDATVDVGSLETSNGG TGNQGGGTSKGNQTSGAGRVAVGAGWAVACLGVVSLLL L198_06270 MRQSTRATRPRDVYEPEGPPPSTRWRTQPPSRRAAPVAAPRPHV DEATVVRQSTRATRPCDFCEPESPPPPVRPLLPWPSHRPVARPVPIPFASINGPQSSD SDFDDSDGDDGA L198_06271 MRLTPPYSVDEAIGATWTSIVHDGKQESPIHVYQGEMERFTSWA QPGSVRRVLRYDSDPPSPRDQPPSVHGTVESEPVNREFVVEGRLIKCFISRLENPLPS LCASLSTPPPSIVCSVPVRRCYGVEEEQRNGRFGGLGGHRLAYKSTVLLGYADEGMSM LLRSWFRDMENEQVAPPATSQPTLGAAASNPLLVASSGLIGAALGEEDMRFSSNEAEL AHELPPVAVDLSGTNALSPHDGIIPEDD L198_06272 MPFIPQRSLLSTMMAVLLFCSLPGGITALPFSDNSGHTAVQDRS SGDQPILPQTVVLNGLLLGAAKTKLQEGDADLQQDLDNLVKQAKKYLKKGPWTVTNNE MSVPNGTIHDYASQAPYYWPNNWETPESGEVCPYVKRDGYVNPEASLYTSKQDRADMF TASYSLALAWYYTDTPAYREHAAQVIKTWFLDEGTAMTPHLKHSQIIPCENDGRAIGI LDFSEQYTTVLDAAAILSTVYDASWNSETEKAFKAWNQEFLTWLTDSKFGKKELSATN NHGTFARLQIAGIAAYVGQNDLAAAMVEGDKAIIDSQIGTDGSQPLELSRTRSWHYSC FNLVAHARLADIAHQVGVDLWGYKGEQGQSILGAIDFLTPYATTDGKTWPYKELSFIG YGASDLVNAAADQGDEMAKAALPSLTYPSAGNQWPLRPSVERLDKTGS L198_06273 MSYQTGKGAGIGTGYKRTWVDEVSTWIVPTVIRPSQDGRRALVY LDRQWREARAVQELKSLPERLDRERADGEDRRGVWLWLVDV L198_06274 MGTRTTNDMGLVDSANASPDFRQPPPMSVPELDLDYVGGGEVGF ANGEKGVRRWEEDGEEENTPIPNSSNKSDPEEPVTRASLARMYKSSPVEGSSVAETSS GRKSLSESTIDTTTSSLSPNPNLLAHDESTTEGAIAPDLRVEQESAGMELRRKKKEKR ERKKAEKKELEAKQKEMSDAEQEGRVVQDDTKGESDASSSASSPPHPDASESPSSVPG RLHKVIAEAPRYTGDTKG L198_06275 MSFIDDIDWDSFLVETDLGEKISANVSPAFSASALSNSTESDQS VALEPEPQNYNFGFGFGAAFNTSSPTGMSHRLENMLSPETIQAQLTNFGLFSGFDSSP EVFPPQPLPAAPVQENTDLYAAITHLVGNAPMAVEPVNLSLPASPVAPVAALGAKRKA FDASDEGAPAPKRRGRPPGTGKPKAAVAPKRRVSKPSPASSSVSPASSLEALPLDDFD DDMSPVRLTATGKPSTDRPKSVVPAKFLKDGSAQAILGMTIPEIMSFPTYEELLKKVR PELVAGATEFGEKIADNRDKAKDAAKKSRDERRAKIERAEFLERRCEELEGKVSNMGS FLMSLVDLKVLSKEQIRAFM L198_06276 MSSFGTNPDTTSTPSDPTPSSAPATQSNDVTPSPLSSSPLPTST TATATTTGPKHRHNEHTLPDGTRVIEDERTWGGEDGGGVSKVVIKRWERPAGSHAAGE RGGGVGAGEGGVGSGGMEREHLLRDVRLNHINDALFSRFLQPPSDASEATDTRSKWPS EDFFSDAFFPLPMPSFGRLVFPEEEDPSLLPPHLSAPQAANNPKPHHTSSTTPSNPQI HSWSYASSGSLSGWGLVAGMVAVGAGVWGWRGRKRVKVLEQRVGDLTRGSQMSARANV VRPAQAAQATPGSAGSLAPNTSPTAAMGEIEALQKRVEELENALRSMGSLAGYGEKRQ GGRVLDELRKGKDDKTE L198_06277 MSDDDDRHTRNHTILNALLRQSYHKGYSAGVSALAAHQAIDPPS ASSSSSTPPAPAPVAPQSTMAKDLPRRYAPDSCSPGYPAELPPAGMRPTLIMTVLAGG SLWFALRTRRRVKGLEKKIRYLISEHHTQNMENSIQEVQGAGSGSLGGGGTRGILVKS PAKIGGDTLSDAGVSPTSVGQGLWSYWSGQQRSEEPSQDKTPSAPFTSSKSSTAPLIS TSSSSSFRIDETGNHINTTNPADIDRHRQPAPTHRPTTQPDTATAADENKGNERDQFE INPASIPPAANKHIYPMGDIHKAMAELRAQHTSKSQSEIEAENEDRDGDGDGIAKSPS PGSRHESVTTSKRQLARDINAARIEVEGEQAVGGTGTESTRDTSTLTTNSSSYASPKA NKAKTGDEEPLRKVLEDSIRASDSGLLSAAQEQEGQGKTMEDTEADRSSLRRPLQSPK EKEDGHLFSVGDIHTAIQELSGRHASSPSSSPSSSPSSSPSSSPSSSPSATTEAEAEA KKSVMKDDESAKDGAKTKTGKGKKDTEKITGKETERGDGNLRPVQPTATGTDAATAND APSPSSTAIPNSSNKSDPEEPVTRASLARMYKSSPVEGSSVAETSSGRKSLSESTIDT TTSSLSPNPNLLAHDESTTEGAIASDLRVEQESAGMELRRKKKEKRERKKAEKKELEA KQKEMSDAEQEGRVVQDDTKGESDASSSASSPPHPDASESPSSVPGRLHKAIAEAPRY TGDTKGESDLSDRPAQATRSFGGNDNMAQKEAAAGPKKCSTGIETLHINPHSNERWFF LRNSTSFTPSPPSYSAPSSPASPPPHPSVNTAGSAYRPGLGSSSYSSSSLYSSVSHPL GHLTSAAYTFRLPNYSTPSSSSLSFPTSTHSRQVSENGRSPGTESPVYGMPGEELLSV FRRRSETDLGREVFQRVAWRGIRREAALFYAMSYEIGKGAGIGTGYKRTWVDEVSTWI VPTVIRPSQDGRRALVYLDRQWREARAVQELKSLPESLDREEADGEDRRGVWLWVVDV L198_06278 MPTFHLFSKETKSDDAPGDSGGHCPAPAPASHPLTRTFAGTIYH KGYSEGAAHTHRSTLATTPTPFDRLAAFASDHPLLTATMVLSVAGLGLAAKPAVFRRG HISTKPQTPGLARLFSSPQGAPSLPAAARFRDLHIREPPPTVPAVVKVLENAQHDLGE TQAKDRKETRETEEAREARIAEVESRLEREGALEGAKTRGVTDISPDKSLHEAAEEYL GKTTGGILDHMNKMVSADDFVFPIERNTSTSINIHRGDSGILYANKSFTIISPHYHNI TDASSKARKNGQTHVGFYGLVRVYTPIPEQDISLQTRNGTTFKAHLLYSTSAERSLVR ISLDGYCLATHSAHLGDYPKLGHAVIGRLPDVSRECLKVQPGEQAGIDAAYERHFDQL QERLVSSAKLRFEGKEKKRKESGEEVELFTFPDVSTQPHPTYIARGDSGILYDKTRAI EIIRPDDDDKFVYRSTDGPIFVPGLVKVYKRVPDERPSTKEIQSGKTFKVRLRYEDVH RINGPSYIAIDGHVLALMEDNEQFVVERCSGFLTDREKDILEIKPGEVEELEAVCRNY LDENAWSIEDWEEEVYDDIRAERASEILAKETKAAPTFFTTQGYVFPSVTPRTTPVPA IPKGTSGIRYDSNKAFEIIHRYNPDSLRTHDFGFGPSFEDGIVVVYNKWNSGDAIERL EQGVMLKARLRYDHDSLDSPSYIAVDGFVFSTRRDASSNDLAIERCEEVIPEETRLAL NPNAEERAAMSEIRENVIQKQKTEIESSTAKEERDFKAREAKMLSNLKFPTTPLMPAS HITKSPAGIRYTKNTAAVIISPTWDLLPCHQKGYVTDTEYVVPALVVVVPPPANIHVD FIDQLEKGVLVKTVVQYKNDVAVRIAMDGCFFSLRFAGGDDVLHQFLGGLGSDVAELL EIQEWERPQIDKSIKEYYERLNEVDDPISFDSDDALPSPTFSTSSTNTSLAIPPPLGN VSSLKEWDFTSATLVNGRPAWVAKAYEERPEVFVGSAPSISEDELMDVIFDRNGHGLE FFIERSVEEGGWTLDTPPFGLKDAENEWMEERGVGIGLKGWERYHRWIVRVRGAAIAT HGAAFNGETRSFTCAVRNNFIQAKALYLPGGGDIGLKLGKSGEYTIHQDPQARV L198_06279 MKFSTGVSLALMAATSVIATDVLDLTEATFQNEIIDEDLALVEF FAPWCGHCKNLAPHYEEAATELKPKGIKLAKVDCTVEQAVCGEFGVNGYPTLKVFRNG SPTDYAGTRKADGIISYMLKQSLPALSDVTPESHDEFIKSDKVVLVAYGDDSHAIPEQ YKTFSSSARDSYLFGQYLSPSLPNIPESPSLPAIVLYKDFDEGYAVFPSNVEPTTENL AEFVKQNSIPLFDEISPENFGSYAEQGLPIAYLFADPNDAANRDSIVKDLRDVAKSLK GVVNFVYIDAVKFVDHGKSLNLPGDSWPAFVIQDLAEQTKYPLTGKATAKAIKEFVDK YTKGDVQASVKSEAIPATQGPVYKLVADDWENVFGDETKDVFAEFYAPWCGHCQRLAP IWDTLGDKYAAEKNVVIAQMDATENDIPPAAPFRVQGFPTIKFRPAGSSEFIEYAGDR SLDSLVEFVEENRMSDAAGLAEEEFEDEELFVGHDEL L198_06280 MLPLKHTAAARRVFSRALLSKLSSLQLQPRPTARTHLTFTHPSA LAFSSSSQAASGHLSQLAKKDDTVLTRLRAGEGQLVDEGYYDPDDKFNGPPVSYDPFR YPAQLQPHLPLAKLRAPSGRPYTPSTTANILCRNHSTTRQLCHETRHGEIFSMETFVI VYESANESDLQSLENGQTFRGRVVFADNRITHLAFDGHLFSVSHDPNGVHGTASLKQV VAVLAETEREMLGIDPKTAADILALHVDERRNGTTHDSSPTPTPSPASSIPSSSDPSS SNDPDELALQHHLESLSNSRKQALAESRSVLDRVRASLDHLVVDYDPEGNKQIFGSDD FKYPAQHHSLPLHTIDPPSSYPYTPNTSARILSPDDTESHEIRNLSKDGKVVTYDGIV RIFEREDDGDFVTQMNNGKTLRARLIFADGRITHLATDGHVFSLSDQGEGLDETVRLE RVVGLLGKVEREMLKIEPTLFESIYTQHIAEYTERHSKAKAQAQRRLTADDPPSPSPA PEEALENTHPSSVPTIAEWNLNPHTLINNLPIWAACALQNHPAVFSSPSPAAIGTDEI VERIEKGEGEGLEFFVERAVKEDGLPTRLDPDGKGRWCEWIVRVRSQSEAAQHPEIRA FIATIHDDGLCAKTISIGGTFVNLELLDGGGYVVGEEEEVGVGDLAGV L198_06281 MAKDVFSVPIFFIVFRETIEAAIIVSVLLSFVEQLMLTGNLSAN DMNKNESTAPSDGEAETDGSISSGVDDKERRAKLVKRMRIQIWAGTLLGLFIALCIGA AFIAVFYTKLDDLWAKTEQLWEGIFSIIAAFIIWIMATAFLKMDRSRIKWRWKLAAAF DQSQAKVVAQENMSDEDRAAAKAEGKSGKWALFLLPLITVLREGLEAVVFVGGVSLGL PATSIPLAVVVGLIAGFAVGYLIYRTGSTTTLHWFLVGSTSFLCLIGAGLFSKAIGYF QYYHFSQGVGGDVAETGDGPGSFEVKGNVWHLTYGNPETGSPTTNGGWQIFNAILGWN NTATLGSILSYVFYWILVALTLVVFKWKEGRITFFGKASASHARRLQIREEFAAANAN AGSKEAEVEGEKKINPDAETPSEERHVPALGH L198_06282 MFSSTALLASALSFAATTAQAATVEHWWNVTYTQANPAGLEERR VIGINNTWPPPPLTVTSGDVILLHLTNGLGDVGTALHTHGLMFNGTNWFDGATGINQC PIPSGSTLDYTIDTGTQTGSYWIHGHHDGQNADGLRAPIVIQPQNATGRSDNLTWDEE FTVVMADWYNEEYPVLETRDFLDWTNPTGAEPVPVAAVIYGAWTNGSYMASNDDLISG AAVSDNFAINFEAGRSYRIHMVNMGSLAMFWVAMEDHDLYIIEMDGVEVEPFLIDAVT LSVAQRYSIWVEAKNSTDRNYAFMFMQDTDMYDAIPDDLQINNTIQIVYDSANDAAVE WSPSSITTFNDTELTPLRAVELLTEPDVEIVLNAYFDTYDDGTNRASFNNITYQMPTS TPSMLTALTMGDDANNTAVYGAMTNAFTYKHMDVVQLTVYNWDAGFHPFHFHGHEFQV VHKSFDVTSDDLEVNPAIDENQSNPARRDTIVIPPTGSVTLRWRADNPGAWMFHCHID WHLSSGLAVVFIEAPEVFQDQRDQVPAQITEQCAAQGMGTEGNVVGTYSTTNFNGQPW GPFTLRMGWTPKAIGALAGCIITALLGMITIVWYASGELDERELEEEMRREVEQKMSK TPVWKKVLKTNTKA L198_06283 MASSNAYNEEVNSTDSNPRLNDCFTFEFDNANGDLEAVEWSVHA NPNNTETGYDVRGRNFTSGNTWTYGQQTIESLEWQYEEGCQRLYSALTGRAPRLPKQS EFIIPERTADGRRSPSAGTMVAIWKTREAEGERPTECALLISHYEAFEGFEKMTIDQV RMCATLDDDVGEAAKALLEEREREA L198_06284 MISAETLKRRATSRSAWVLPKEESCVAPDEVWSNKDMDPAPVEH RIWTSWTFFAYWISDLITPGGWATSSSFIELGLTWWESCLAMYVGGFLVAIVITSNGY IGAMVHTPFAVTSRSVFGYWGSKFVVFSRMCTACFWLSINSWSGGVFISLMIEAIWPS YANLKNSIPANQGATSRDFLSFFLFWLLQFPFIFIHPSKLKWVFNVKAALVPIVACGT LIWACIIASPNTGRALGSPANRAEGGTARFMAFMYAVTAAQSTWATLSVNIGDFSRYC KKPSASYIQMIVFPLLYAGLSFFASITASCVAYTYGTENSYYQPYDIVALWNTSAGGR CAMFLASFVWYLGRAMCNVTTNITANSISAANDMTSLAPKYINIQRGQFIAVTIGVWG FVPWKVLATASNFLTFMSSYSVVLAPIAVIMVVDFFFVKKQKLNVYELYKPKGIYRYS KGWNWRAYVALAVAVAPNLPGMANAINDSIYIGNIQYIYMVSNIFGNTVALTIYLLLN RFFPPQSAIIETAVHDVLAEPEYTTYDSTDPVAKEEAEVGGEAWSAAFSKNGEKGLEG ETDVKSAI L198_06285 MGTVFSLFKRSASAAMGAPKYVYKIVPHSSVDPRFTFPVPIPTS HQFILPLDLQDRFIHLSSAAQIPKTLGLFFKEAESVVLLRVETERIGAWKKLEWVMED QEKRTGDMPYLCAHAWPVPLEGEYVESFKEVHRKGGDWDAALAQGELKSWLV L198_06286 MAPSLTGADLTPHAPCSIAGRCERYTPPPLCSRGCGPTAYQAKL IMPPPHHLKTSTSRPITKPTKTTKPTPSIPPRFTRPEPEKQITVEQNLAAIELVLGRM EYGSMDGGGLLAPPAILSHEGYASRRPSPIFIPTPLSPYAPSFSFPIPFPSSSSALSQ IDTTIYELANPSLEVFLALKKKENWARGKTEGEGRRKDGRVEDSRGVGSGWGFGGGGW REMAVVKGMELGDGDGDGDGDGDGDGDGDEDGDGDGDGDGEDMS L198_06287 MLAPGTNKTKVTRSKNGCLVCRSRRVKCDTGKPECERCIKYGAE CVYPERKTFNSQKVAEKLHKRHHSSPVAESSASAQSRPRSEIQLQLQPEPSPTPRSVP SSASHHFHPSHMAHQSHHGKPTNVAPLHKIRAMDPFDLLMALCRDTRMGQFFSGPVDP PEFLKEAFPVADDLRCFHHCFTYTLSTFVVHEDPNPWLDHVIPLLLFASGDTPLCTGA LRLALLAVGAVHLASLEDKGSAPDTNGHTSRLGLSYRNEAIRLLRMAQHVPVELRSDT FLAASMMVSGADLLGAQPRWREALRLAHASVRFRGGCDAILFGDRGPEPPLPLRVCLI EYLVHVDLLASMTTGDPCVVITESSDWWEKLQSQDSSVLDSIESTTGYHRSIVKLTVR ANNILFEACHRSRYISPPSPSSTPTHRPELPQRIIKFCTDLEAWRRDVLPTITDKRTR DGSLALWVGVQILVHRELLGRRREEEVVQKYAVEALDICADVGPKVEYMNWPLLIACT VLYAPPQRQRAREIIKSFMYQCSYELDVLEKVSEECWRRMDEGMDDEACMWREILIEI GSAVMLG L198_06288 MGRPRGGKKNGRGGRGGSKAGHRDDPSNSGRGDWVNLTSAEKSN KAFETYYQEMNIMTPEEWPQFMETVKQELPLTFRVTGSRAHAETINDIIKTVYVPKMH NVEFEGTVYDPPAQISWYPAGLGWTVSAPKRVVRKTEPFKNFQRFLVGETEVGNLSRQ EAVSMIPPLFLDVESHHHCLDMCAAPGSKTAQIIEALNPHHTTSTGLLIANDSDYKRT HMLVHQTGRMPSKGLMVTNFDASIFPQIKLGDNQKLLFDRILADVPCSGDGTMRKNIE IWGKWGVADGNSLHPLQLRILERAMALLKPGGRLVYSTCSLNPAEDESVLCAALNNNP SFSLVDVSSQLPELKRRPGMNKWKVATQPGGKDGELVWYETFEGYQEALESGKEKERG EKGKTLPRSLWPPANVEDIHLERSMRLLPHDQNTGGFFVAVIERAAGASSGSAAASTS LKREASPSLQQADTKRPREDAPVADAPAPATAAAVEEKKKEAPAKKEKRDVTFKEDPY SYVDPEHDEVKAILARFQFKDTFPKNHLLVRNEYGTPHRTMYLTNEIVKQILLNNDYT RLRIISAGVKSFTRQDSKESKNTDISCKWRPPVDGVLELLPHLGDGILVRATLDELRN LLVDHYPAIDRFRPEWKAEIEGRESGAGVVVFEPGTVEADEERKLPGGELRLPLYLPI WKAKISMSLMIDKREKSILSLRTFGQDICKPPPVYPAPAASTSTDNATATDAAAVEEV KEGGDVQVAGAVGIALAAEGEAQ L198_06289 MASVANGRQRKNPNRAARPSKPPSIDSLSHLSTIPDADAPASPI SEESFPPPALSTQTGTGDKPIVTRRRRSSSVKRKPSPGVTPTKVVDWEIPRKTFHSSI GFVTLFLWYLDPPSVGPLVKVLTALLVGVSITDFLRLRYPAFAEVWEMVAGFLMRESE RDKVNGVVWYLIGVIWVLVLYPRDVAVVAILTLSWSDTTASTIGRLWGRYTPPLPAHF PGIRLLPFAPRKSLAGFLAASVTGFLIGTVFWWNGSGGKWTVLDVEDFGHGYWGLWVT GLVVGVGGAVVEALDVGVDDNLTLPILSGALVWGWLSITNYLL L198_06290 MDLDGAALFHTAPSLLKSYLLRRLYTVPPSLNPPPTSPNTVSTP AIVGSTKFSFNHRANVLDRDAVMIPSGWDSWGKSSAPPPRPRKEAINRPRRTIPCPAV SHDSPNPDRNPDPESNAPPPATVGKRSVGGLASAPWLAGPFLCSRRTSSPKAGKSLPL IRGTGLRDVLLLVFLFVGWLGLSEHKEYRPIGLILLPHVLRRCWWCTMPYGTRVFATS PATSHPAQPLAPSAITPPALTIDVGLPAPAPAPAPTQVPPEVQAKLDRMEALLAYQEE AYTVLPTSALWAWLVHCEALARVNLVLVFCLFRDLPVVSYVVLKLIESW L198_06291 MDHFIVRFQCYQQELGLAMEMLVDWEAAHHVPNTWSPIGDTRTS TLKNTWRLKPSSATSSSRYPQCPSPLFIYTAKDLFEFISDLRRQPLMEMLGDVVPDCE YYVFPPNFPIQDSETRLLNMEDEELQGVADATRDVPRAPSDSSRSGPVSALRAMFNKA SDCLDKVLDFRPC L198_06292 MPPKEIKPEEPAPGASKPFKALSVFFDEDGKPLNSDEASGRPIL RIAKEGTIILGPNPPAIDFTTHIRVDDGKRTSYQVPPHMLSGHTPTAPASDGQTSSQS VGFDDPRVILETIHRHQAELQCAQVDPPKLPMLSSLCTSNLGVVRHLHDLQKYFIKYD SRFPDSTPSGPQWWVGQANRAIAKVDAYHYWSLVTGTTCVSWEGWCDEFKKKALSPNW ESETRRVFEGLQCQGFTLAAWTAFEEKAGECQMVLSDCIGPSPNPTCVENSCLASPRI SLPMLRIALKTEALRLASYPS L198_06293 MMHHFKKFAEILNDLEQLGAPMPEQEKIQVFFISLGNTYPHIRG SFISRPVSEKPGSVSHTTSTLKPAYCSDATSRKPELNSSIW L198_06294 MKELLNTWAPSDTTSGKWSPYYCKLFADIHSFPPATVCGAQSYK TASCGLQAFNRAAQDHVCSFRCMIRVYNLPFVNLNYCTWFPALPAKSVLHTLPAVMHP APLPVPGLGSNDGSRADSSVASPTVRVCRPANRNAASGVSRVSSAFGALDLSHG L198_06295 MSMFFGLPFHATSADLAACSKTHWLCAASGGKHSRILMPWEMER KRKEMEMRRKEMGLSVMGEGMSVEAKVAVAPSEDEDENEGVGKKVAVGEVKADTVMES RPSAAPPLARREGFPVYMTTRSGRSIKRKRIGADDSVSDGLSEGEYSSDEEGSLVQQT EVKVEGRGGEVELDDDNDEVMTEDKDADNDI L198_06296 MSNVFTATDPFADDYDLDPLSNNEQTNTPATPPRRSPRRHQGSS NVSVLGTSSGQQGDVFDYTSVLRTHKTYQSLDEDRQDQIKKFCQVSITSDQVLRVWVT ALVHRAGDRRDHNRAGDRRDERVRSWKLLGAGERGGE L198_06297 MIPFTPPTTFTHVQQLPIPDSFRQPLNAAGVTTRLSLASGSRFP FNISTSAHRCYHIAPSLARSGILPRKCQNLYHQTPFAYQALRHTTNEGQQRPTSS L198_06298 MSDSFRQPLITAGVTTRLSLPSASLFPSPILTIHLEPSIAGSFP QVSLSYWLHGTASPDRPAHWTQMEKKPPPASMAT L198_06299 MVAPVPNTWSQGQGTAFLHSIDDSDRPAVKLFKSIVDTIEGDNP LNILSWVAPDSHHEPGDLFYFSGDIAHDGDASSALLLHSASEAHINTLPPSDPMYESL LPPIVWYVQCLGIVREEDEASRSFQVTGTTYMGKKGDSAAFVWRVEVPDTARWPYWPY KNGQHVFVSGPLEKRIDGVYCMTLQSMHSVGNFPSSASSSSPQRPTVVHRRPNLSFAH EHPAESASAPTKKSKK L198_06300 MQHAAFVGQLIVPRPSVDPEASLPASPQTSRLASSSTLSRPASS PSLVSAPPSVSTGARRSADEMRASQMANGTTEEQESGFEEEVTEGPAPKRSRRSKGGR GGQACRGGRGVRARRKGKQGE L198_06301 MVYQDTLLSHRPREFSLAELPSLPSESDPSSSLARRKESLIGKA DLATSSNATTRTLPPVPNGSEPPSASRPPESGTSGSEETITRRRRTGTRTERDRVVIQ SSNDSKGHKSSSRAAKPLEPQLPSAPGLLRAPASSMYFSSVPFYGSPPNQALRAHTGT LVGERIWIIGGVDRQNCWRGVAWYDTESYMWSTIETAGGQFPPLRAHTTTLVGDKLFI FGGGDGPTYSNDIWILDTSTHRFSRPVFDPNMSLPPPRRAHTTVLYQHYLVVFGGGNG QAALNDVWALDISDLDNLVWLEWKTKGDVPQKKGYHTANLIGDKMVVFGGSDGHASFA DVHVLNLQTCVWTLVNTDIKHNRLSHTSTQVGSYLFVIGGHNGQAYAQDVLLFNLVTL QWETKVPRGAFPPGRGYHVALLHDARIFISGGYNGETVFDDFWILDLSASAYLPQVVN NI L198_06302 MPTADSSNYAAVAKHDDEAASHTQPEAYEADLRVSVKALRIAAA VERSKTEYKPEHAYTERKWLKPEAYSEHPPASKSQVDRQRLGESSDFSPAQEYAASSL YFSDPPDYSGALELVLLKFDSSPKAKPLGGLSRELLDIGLNASIKCGDKEKALLLANS SKTIWKGQFAGVAAIAADAYMSALHYHEAVKPLLMTLAAFGIHYPIISRLSQALQRIL EDRAVIRPATNHLHVMVNRAMFHRKASFERPIFREEADPASKSDIASDQPAIDEGIAR DPLDTEAVALELEMDAETKAALKACWKRMARGLEVEMEPDKSVKEL L198_06303 MSPTHSLPPDPPSPRINPFHLLSHPDPSHPLPDLPARLQARASQ ADPHPHPESRSPPVHITKVSAAQVTLRSHAEATNHKTFLARVSGAFKRDSGESDSRFG TSPIVMQGAPPSPPPSDGGMGDSVVVSDEDLPATLSSNGNHSQTGDARPVLATSFTSE PSDFDSHVVLAPPIPAARRVSGSSTTSSRAGSLALVRSGRLAPQRDGENPAKTKDLPS LPNGSDVELERRDSAGNLLSPIPMLHRRSTVGSTIPPPPPAPRSPLMHSRSAFASPSS MPPPAQGKNGFLDSAIQEQEETIRRERFSRLERRSKKASATSDGEHEPKPEDISSQHY SPKQQAPPPRQPLDKEKEEARVLVGNLIGEDHVNYVLMYNMLTGIRIGVSRCQAKIGR VLTDDDYTARHKFSFDIIGNELTPSAKYDFKFKDYAPWVFRELREQHFNLDPADYLLS LTAKYILSELGSPGKSGSFFYFSRDYRFIIKTISHHEHKFLRSILKDYHEHVKENPHT LLSRFYGLHRVKLPRGPKIHFVIMNNLFPPHRDIHETYDLKGSAFGREYPEDKALQNP RATLKDKNWVNRGRLLELGPEKRALLTSQLKRDMEFLRKIRVMDYSLLVGIHNMERGN RDNLRNTQLSVFHPEVTTTRRKPSTHNGGHEASNIRKVVRRADPKTLDVTSQLPSEDA PDHADLIFYQDEGGFQATDERNNPLDTIYYLGVIDICTPYNTAKKVEHCWKSMTEDRH MISCVDPVQYGQRFFDFLRSVMRKGDLSLRPPGLEPIAKVDRLDVGQDTDRDPCAGID TTAKTSGGADQGGGIEGLNSAEVLRDAPGIALRADGAEAPEPAMVGHLKADQVR L198_06304 MARLAHLPHKHVLELAGPDAHKFLKGLTSKDVEYLAGGYSGFLN ASGRVLHPVFIFPRSPNSYLIAHESPPHHPAPLPALLPPFKLRSKVRIKDVSEQWDAW SAWQDTVPEGPSPLRTWKMGSGGAAESRWDWQDGIRTVGLSEQEVGCWDLRAGWNSMG RQILVPKGQSPSLSSSHDIASLDDYKLHRMLLGVPEGQDEIIPGSALPLESSMDIHGG VDFRKGCYLGQELTVRTYHTGATRKRILPVRLFPMDHSGSILDLISSPSPASSLEATF PLDITFHPPTSSASKKPRSAGKILSLHNTVGLALVRLEMAERTWWSSDNLATSASQWA QGETGRLTTQINGKEYGLYVGQGEAYASALANTPSSGLETSN L198_06305 MPDITLKNQPFDVEFHPTEPVLFASLLTGQVQSWRYDDQTGETS AGWNVRPSKRTARALAIEEGGDNIWMGGKGGGLYQIDTKDGQMTKERAGAHEVPVNRV HCVNPNLIASGDDDGVIKFWDPRQEDAIRSYSQHFDYITDFTYFDDKRQLVTTSGDGH LSVIDIRSNKSAPLSLSENQDDELLSIVPIKNGQKAVVGSGTGVLSIWNRKQGWGDCV DRIPGHPASVDAMVAITPDIIATGSEDGMIRVIQILPHKFLGVVATHEEYPIERIKLD RNNKWLASVSHDECLKLTDMEDLFEESDDEDEEMEEGDGDKSEEEGADSDSDMEVEKS KKAKKDNGMRDMGRKQMEEEDGSFFADL L198_06306 MDALRVARVDDVAIELPQPPQDTAARITGDLHLTPHHLIFSQSA PSTNPHPEIWIPYPNITLLTRLPQTIYGLYPVQIETKTFESYVLLFNKDREGGAEDVW QSVKDCTVKNSVEQLYAFFYVAPSPGKGWQTYNARAEFARQGLGTRTKAWRFTDINKD YSFSPTYPNKLVVPSRISDSTLSYAAKYRSKARIPALTYLHWANNASITRSSQPMVGM KNSRSSQDERLIECIFSSHHFLDNAYSSTSTPIYGATSTNLIIDARPTTNAMANVAMG AGTENMENYKLGKKAYLGIDNIHVMRSSLKIIAEAIREANARPTIPLDRGLLRKSNWL RHISTILDGALIIIRNVHLNASHVLIHCSDGWDRTAQLSAVAQIALDPYYRTFEGFKI LVEKDWLSFGHKFLDRSGHLSSEKYFSVTEGEDDLEEEGPGGGAQRAAQAFFASVQKQ FSSTSHLKEISPVFHQFLECVRQIQRQFPERFEYNEQYLLDTYRHLYSCQFGTFLFNN ERERQASHSGKPYVERTASVWDFIEQPSERAKHVNPSYDPSLDKSDVTSGTPEPGDQG VLLYDPKDVKFWFRLFGRGDEEMNGSPVSLAAGVTGVDSSSTVTGSEDNPVRVEGIVR RVTPVGAPPTDPLSVHATPLSPEPATSSPGPKSWNWSQISGTAFNAVQSAARDFRTIS TDAFAQIKAEADELEKHGWGREDGRKRLGSGVTRDSGPVAPSTGLSRMGLRVPSEANP WASESPRSPPLSSPARPDLAPSIPAVAVRPGPSRTTSNPWATAPTPIPSLSGLSIEDG ATNDAAVQENVEATKIRKDNDESWDPLGAL L198_06307 MENERLAAFQRTLHPSPIHLDVLRHLSSRGIPNHPPHLRPLVYS LLLEIVPPEKELWSKSMRDQRRRYHSLVDTLMNELDRLESSSSASLGVDALLSSIARD TRGLSTDFWHRPVSYRNSSPLRPLCSEDRMGQVRRSSEESDEESEDEGTPAPLLSRRS LFQRLETISAREHQAPIITATPPVAPPSPPESPAALLSPKITLSLDHSPASPILSRLK SRRPAPLETSGLKPTGEGEQPSPIVLHSPKPLPNGSTPHLPPALFHPDDNREAITRLI YVFSRTNPQWTYRSSLIDIASHLYIIYSGGMQSRTRSNDLILDWAEEYTFWALSALIG DIDTLVDEDGMYSTMNKFERRLEWMNPPLSSILRDRSVEPHLYVNRWFTSLFTKDISQ IRVPLLFDYVLSEKLSTPGSQAKVDRVVDIGVAMVLLVKDQLVKPPPKKPNRSRQSQS MWDDALDQDEADNEDISVALGRNLRLLRTYPLKDVGGLGAVLSEAEDLGLERMKSLQA GVDPDRVTLPPKRSFPVATPSKSATESSWGKTVGSLWSSFTTPSPSKQQSHSALRKLE LEASVFEHQSPASSTTSLPQSLKPRSDSMASTTSATLPATLQEKFASLSKHFSPGSKQ DSNKPETPSSLPRPLLLSGSAKTRRPSHSQPRSREGSLSGASPRHSPSIDGLPNSAYS PSRFSNTPSTPGSAELSDPLSPPQRGRFGNISSGYPSRPNSLHEHTPGGLYRIGSRQR SSLVQEPTLAKDITMALEQKEGGCGQSQGAQAAKDDRDPGDAEQCI L198_06308 MPPRIPLRPLARAHSTSPFPHGSTAPQALTVPNLHLRKHPKRPP PPLPPTDAPEEQQLLKPPPLNPISLSANPWAESYVVAEDDIEDSFIEGITVEDASSPS EGGRRKRHKRRPDEPIHEIKFSAHEKVVIYQNFVSFTSHGRSGVITHARLLDSCSCGL CRDKSTRQKNTTTGESVRESKRVKFVKGHVSYGPVQVPALLASWIGPKRNRNDGQSHV SVFPVSRLRGMIESDNNSYARSPTFVRQTWDGESLPLTNLRFKYSDLPRSLFKVLEQL QVYGIAVVEGVPTDTTGNDDCTLRKVANMVGEIRNTFYGETWNVKSMKQSKNVAYTSV NLGLHMDLLYFSSPPRFQLLHCLRNRVEGGSSYFVDSFRAVNDLPKEKRRFLSSVTIP YQYDNDGHFLRYRHPIISPDYAPNSLHAAVNWSPPFRGSAEALDMPQDDIVAAAKQES KVFQAIADFEERLSDPRYRYEFTMQEGDLVLFDNRRVLHARTAFYDKESPEGVVEADK DHDESADPTRWLKGCYLDGEVVWDKLATLRLESLRNSHNSQRRRRKWGGKKEARDEAV DGEKEREA L198_06309 MDDLLDLSWAPKPTAPSVPQPPAKGSTPTSFDFLSIQPQKARSS TPNYAPDLLPSRSSTSTPAPPPVSTPASSQAKPDAFSGLLSLGGPAASNDTKQMTIAQ RQAALAEEKRKSDEAQKKKYEAESQFWDNLGSSSSTSATLTGNAGQGNASLDDFHDFL QPSIKPHASSGPSSAKSSGLPSATNTSKASQGTFWSRFGNEEDLLSPSNSSGPVSRPH TRVHTPAPALPPASSISTSTDPFDFEVFESTMNSVKPGSAVKQAKSSEMKVPVSDFDL RDDGEGDLLGGLGRSAEPLGPARPPKSSPNRKPQRTHSPPPHIVGQIVEMGFSPTQAR SALAKTSTGLDVQVALEILLRSPGPSHRIAPRDDMGEAEDDVVEYERIKREEAEKEKR GRRRAGPSRDSVQPRTPAERENETQSQDQAERIIAQASELGQGLFNKASVFWSQGKER ALKVYEEQRKAMEAAAATDGRRDGRGGSERKADGRPKWMQDAEEWKGEDTGEAGAGGF IDSDKEDEPTHGPSRPAAARRREGAQKSAEKRPAVVTRDKDANLLFGGDDPLGSRPSS AAPASPRHKPSQPPRAPIKSSTPLPLRAIISADAQQLQKSQTHKTKGNEHFKLGRFTE AESAYTLAISSLPEGCLFLVPLLNNRATTRLKLGDFTSAAEDCTSAIAIISPSYHPSK EAPLPIEYADIKLGDALTKALTKRAQAWEMGEKWKQALEDWERTMSLDSAILGGKVAS TRKLAAEGARRARNMIADGQATPSAPVSRPVSKPAPGPTPAPPSRPVDVNRSAAVADL RKAAQALEAEDAARSAHKDAVDAKLGAWRNGKETNIRALIASLDTVLWDEVMKGGLKV GMHELITDKQVKIKYMKVAARLHPDKLNVNNTTVEQRMLANGAFGTLSEA L198_06310 MHAQYTSNPPPAPAPFTPTQTLLPGPFILLILLPVIPLLLFSLG ARPPNTSSLPFSTNELFVTTTMICLATGAVLLLGVYPDVGGQIWGWIRDGDLNTWSPG LGGPPVQGTGFLGAIMEELGLKGIDGQMGLWTSAGSKAIRHEGEKPAPIWVWNERYRK WVLAPAPFVPESARGAPVTSRTMAHNHLTALRTAHSRWYSPKRPSLILALFFMSCVVF LFIVLWGNHLRSAYETESSSSGGESGGSRGGLSMWVERELCKRKAEKPADTVKRVKQE APKEAATEKKKGQEKYAEWKKKREKEKAQLIKELTKRKLPLADLESSSKDKGKDAKAG EGEDGEKEKVEKKPGQEEEAKKSTGSRI L198_06311 MSALPQTKRHTRKQLGERRVTRDPSSSRDASEEGQSHPPSPSQL TPADNDNIDNSFSDVGSVNSTRELLSNASTVDSPSMPPPASLPPYASESSSPRKSLHQ KRVEELGADSQSEGLDSPTYDGDIESSSTIGGTPAAAHQPLPKSSPTPHLASALSSGY RQPTPKASLTNLAPSDAASNLQSPDPEPYYQPRDVPVAPSKYLDEAARNPPRDSLSSN SAGGPPKMYTRPTERTEEDIKAFVERAIHGRGAEDGVERWWKTNPPPEGKVVRVYADG VYDLFHFGHNLQLRQAKLSFPKVQLLVGVCSDTLCADHKSAPAMTHAERCEAVRNCRW ADEVIPDAPWVIDQEFLNKYNIDYIAHDELVYPSKDHEDVYAFAKAEGRFVPTRRTPA ISTSDLLERIVRGYRDGFFDSKLEKNGHPELAAADVDWDSSASVEKRERRKAALKAKK L198_06312 MSTTPPSQPHHHGEDALYAQEDASELHDTPEEKWTALAKIVRDV GPNLSPDEELGDLAAAEAAVNAKDAERNEILDKIRDDLRQLSRQLNQATSAAQRPPSH PSPDDHDAHVRSLEQQQYSTGKQLNDEQAAVAKKEVELGKWKAAKEEIGKVEIGEDAE SWADGKVIRLKLFSEAGFSLVPPREGSSASKILIRNDAKDDVHSVVVDNSRSKVYTAN LIWNLASE L198_06313 MASPTAYQKARLSLAMRGLDGLPLDEYLSHLPPLPDTPKNSPPI HHIPDGIVPKSVSQYSTPAPEVNKQNNGLLHPRGGQAASWKVPGSIRRARSPLAKEVT PAEEPIDAGETRPEGQGDTLDRSTSQQLSPLAPAQALPSNPPALNREPANPSPAPAPR LSASFRLPNMGDLSLIGDCSLFGGGGVDDDSLLDFEMSHIRPHGRDTPTSDPSSVSEL RQGNRLQAPSAHLAQSTIFPSSPAHLLASTNALDRVDPPWKGNESTMSTVSSDDSSDT LNINSRPISPTKGSSAKTPLLPRSRGTPSSQFSSSLQSKSKRTFPASSSGNSLAAVGE EAEYRPEREKSTLLPFGLHNAAYPLRDEDLLSRETMVQDKSYRLGDLPTKQMSIPKGN LGDMTMDVKALMANVGKPKRASGTEESFVDLLNAEDEDMIDRMDMTMLGADETMMLPA ELRPHVATRQAPPSRGLPTAQTSRGLAHLANKDPLSDAPSTLTRSKSLSKVAEIIQRV KADKAASALARPTTPPKTVSSARTYKSSVDSAVTPAVHKARTNVAPHTTGSRRISRSA GAMPMSESASQTTITLPSTRTPAHARTQSAAIPGSVRRMPTSASSSSLSSRTTGRSLG VTEARNARVKETPSSTLTARVPRESIMTAQKPRVGSTTSSVTTVASGARAPASTRSAV TLASTRSLPRSATTSSLSSTGLPTPRAPRARASIAPAGLPRPGTGSSARSAVASGVAA TTPAPRARTSRAFGNDATATTNRATTTSDAPATEARSKLSTIPTSSAGAPTGATSASE RLEARALSTPASAIARQTTLPSSRAAPSVEARKSTRPLVSASGQPPRPRVGSTLPRAP TSRVPAVGGKSSAPPTSSSSSLASKSGANLAGLRFRLDELQAKQQTRSKRLAKAE L198_06314 MPPPNPHSREQAYLTALQSQLKDVNPDTIYEKLEIAGKGAYGAV YKGRHVASGHVVALKIINLDTEDDEVDDIQKEISLLQQLMLGSASAGGAPQNVTKYYG SMMEGPRVWIVMEYAEGGSIRTLSRAQPLKELHICLIIREVLIALAFLHKNGVIHRDI KAANILLTTQPLRILLCDFGVAALLQSNTSKRSTFVGTPYWMAPEVVTEGKMYDSKAD IWSLGITLLEMAYGEPPMSGQPAARAVMLLGDKRMRAPRLEGDHWSKEMRDFVVGCLN EDAADRLSAEELSKSRWIKQQVKTPLTQLNDLIARFQAWKDSGGQRMSLAAGVGASID DDEYDEMPNGDWAFDTVRSRASMMLLDQKAQAGEVDKSLLPPTARPAPHSLRRLFHDE TSSDPDPFQSFAHQQPPTPQSSEDNGTVKQTGRFASPEADDPSSREGSPPKNEFDGQT IRQARLGRDGRSPTPLMIKTDQVPSINLPLPSADSNATSATYHPDELEPTPIASRGDG PVPSPRPIRNKMSLDNLPSAASFNSQPPTSKSSMQLGLEDRSKSSLGMSRPGGGEPRD GLRGFQFPLMGQSGPPPAGVSKLQPPHLGRNHSAAPAFPSALHGDTSQSTLPSASPTA PSFPGLSPRPPMMRQASVAVMENRSAAQSHAQAAQAQALALAHGHEGGAGPHKGLAVP GGMAGIGLGRPGQQGTSQGMARTRSGSRADDGPNVGLRDLLKLTPAAPGMQDLLPPSP SVSTTPHKLSPRPQQTHNQPGSIPAYPAFPGAVSSASLPMSKTPSLASLASTVPASAP APKLGPVSTSTGLQNDWGPIPDVRLGPPIRPLDLGHLDSQDVFTELESLVDDMQSWLG CVENGLEDLLRLPESTNA L198_06315 MCSSAPASSGRTSSPLRGCMFPGVISHPTDPPHLSEASGGHNPL ADGHRESIASVGSSMSFAGDHGSGMEIDDQSTSPAELDSHARSHVHQPRPSPYAQPAD CHDPSYLGHPTIAHQRESSSAVPIRPPVHPSTNLPRDTFSRNNFPSYTSLHQDRPLLA SRPSHLEDSVRAHIPCPVSSTLGVASPNALERRIDSIESRLDRSRQRDNRGRLSPGSR PRPYTAFPYEVPSHWTNSSGTQRPSPHRSHARDIARGEASHHSSPEIAPAPALRRYRH APEERDTRSIPVNDDATVPSPRPSPYSSSFSASFGVIGEPPNPSLLGLRHRPVSRGML DENGSSSASPTENAFSDPLASLRASPDQSAAGRFRMNHDLPLPSPVNPYTSGRHNTLP PPGNPFGVWDSNISLALIRHEAERTTERDRILADIRERDRERGPIVSALDIETAEEIA RRRVMERGRPEVAGPSQVENSDRQRIIESIRARQRMRDQYPTRARHSNLETAAVGGPV AGPSGSSSLYNDSEGDRETGQRERDGRFGAHGQHQAMVLGSDGREMLNRDVNDFVDRR LGVHRAPLPSPGLTSNSHAVGSGSSSLGQGPSNGQISSGAHPVHGTSGPSSRPLWGEV VEEGSVQRPPRRPPVLDLRNTPPVSFTPGFGGPSDMHNTEEDMADFGDDDDFLLPPIS PWGQDGTPASTIAALQDSSRRRVPTEVIAALLARRPAQGGASNMLVDQGDDDLRSIME HLVQPGDGGMSQFVSLAGLGSASISGKKLEPGMGEEEVKDTVECVVKYVKRSRDEKRR KWVGEMLEDIPWGHFGKREGMERDEYCSVCHDDYEPTTEISITPCKHMYHKSCLATWL DNPKTSTCPMCRRDLAVLSVLSSMVPDGKVETALPYWHRT L198_06316 MLPATLLSLASLLPLLALPAHAATDSPPGPPTQRSHPRHNRHRQ LAAKRDADGVVLGGFQEVGNSGISAQMLFLGTESTAYILDKSENNSMTVTDDSGVTHP AWGVSYDLATETATAMQVNSNTFCAAGISLATGEWAVFGGNQAVTYEGVALADSSADT VDPYKDASGGAAIRLIKPCDDGSCKWQEGGDDLTMTGNRWYPTVENLGDGSIIVIGGD GNGGYVSTQKQNNPTYEFYPKTDNQSHYMDFLNYTVPVNLFPLTWLLPGGKLFMQAAY KTILYDIDAKEETPLPDMPYSVRVYPASAANAMLPLTPANNYSASILFCGGSAAPFNQ SSDGGAQFNITAVKADDSCVRISPEDDDPQYEDDDSMLEGRSMGQFVYLPDGTMWMGN GVGMGTAGYGDDGYSIGQSYGQDPLYTPAIYDPSAPQGSRWSRDNIGTSNQERMYHST AILLPDSSLMVSGSNPNKDVTFDPWPTSYSVERWYPFWYNEQRPEPSTWPTSLTYGGD YFNVTYTPTDSSSSADNTKVVVIRGGFSTHAMNFGQRFLELNSTYTKDESSGEVTIHA SQMPPNANIFPPGPAMIFLVVDGVPSTGKIIMIGSGAIETQPISDVAVLPVTSTITAA SSSSASSDATGTASSDSSANTAISNSTSSNSSSSSSSAAPAGLRAASFGCVGVAVFAG LCLLL L198_06317 MSAPAYSTLTDNITGAASAASQTIANLAKLYTVHDIAKTGFSEG TNDLYNAARPSYPADALKIIHDTLAPTPRALKIIEPGSGTGIFSRLLLRAPSPKYPAF DIDTLVGVEPSEGMRNSWWRALEKEGLGKRQELEGGQAVEARKAGAVDGGFDALGKVK EYGLTNVQEGGGVDGREIASFLPPGAPLILIWNIESQSPNWQGLLRKTYEPLDLGSPQ YYKGLWRKMFENPAYGELFEAQEEKQVGWSAEITEQGVIDRLLSKSYLTEQHLKGAER EKLVDSLRRIIHEGDKDWVDQENGVFKYNYNTDVVICRRKA L198_06318 MSLSSDLDKQIEQLKRCEPIPESAVKELCIKAKEILMEEGNVQY VDSPVTICGDIHGQFFDLMELFKIGGFCPETNYIFMGDFVDRGFYSVETFLLLLLLKV RYPDRITLIRGNHESRQITQVYGFYDECQRKYGSSNVWRYCCDVFDYLSLGCVVDGRV FCVHGGLSPAVHKLDQIRVIDRRQEVPHEGPMCDLLWSDPDEEINGWGMSPRGAGFLF GRDVVEQFNHTNEIELVARAHQLVMEGFKLMFDRRIVTVWSAPNYCYRCGNTASVLEL DENLRQEYKVFDAAPQDARSIPQKRPMVHEYFL L198_06319 MSSQPPPNGAIPATKPNLITQGLPKIIFAGKTGRILCVADIRGD YHELNRLIKEHDATAVIHTGDFGFMTAESVDRMSDKILRHLIQYSPLLPPATRNQLLS IPPPAGRTALINQLNNSSVHFPLSQFPHLISGAINFPVPVFTTWGLVEDVKVIEKFRT GEYEVQNLAMLDEATSRLVEVGGVKLRLLGLGGTVAQHKLFDYGEGHGSIAGGQGTMW TTALQIGELIDTAQRSFSPDETRLFISTAAPSKDGLMKLVSNAVRADMTVSCGLHFRY PVSYNEFSVSPDFDCYRKKLQEAKDEFKGLFEQVREKVFSALGDKQTALLHKTLSAIE NVPLSDDGMWINTWHWSLCDVGFGNMVLSIADSRISSETKSSGANFSHRIGRGPVPPT GAAQTSIAPNPTSAIKAISSRSNQAGPGGPIRPPLNAGPGPVNGVAQSHGRLSSGPGG GPGGFQARPPHTVRGQRGNFGLPVAPNAANISQSNMFSQARASGQAEGKTAAGVPFDT VKKEDVSTTPQKGDHKEEAKEKKDDEKPKTKGEKKADRKKEEKEKGRAAAQEKEKEQK DPKKDDKEANPWGSDKTASSPAAALATVSTSTEQSKEPQSSPWADDDSENKAPEDKSP WEVDGDEKSKDGQQQDKGAFPDDSRPKRWSLLIKGLPSPASIEEVKGAFGEESGKITN VKFIIDPVTKKNRDFAYIDFASEEAMVAALEKSPTSLRDNPVIVSVAPAPKFTRGPFG GRGGFRGGRGFRRGGGSRGGSAGGGTA L198_06320 MSFGNFSFGNKPAAAPAPAPAATSPFSFGNTSTQPAAPSSSSGG GLFGNTSQSQQPPQQQPAAGGSSLFGSFGAKPAAPAAGAPTAGGLFGSLGAGQQQQQQ QQQPATGGGLFGSTTQPQQQQQSGGLFGSTANQQPQQQAGGGLFGSTGGQQAGQQAGS GLFGSTAQKPTGGSFGPTTQPAQQPTGSGLFGSTSQPAQQITGGGLFGSTTQPQQNGG TGLFGSSSQPPKPAGGLFGNQPGGTSLFGQTTQPAQNQQQLQTSTNGASGSGGIEKTA KFSDLPEAAQKYIEQLDASIKNQKSVATLLNTEPLGLAIWQTGLDVKTATEDYGSISH TLKSLKNSISQLHEKMIDQSRDVERLKEIWDIYSSGDARMAQVKLAAYKEFPQEFFSR VADQMEEKAARYKKTIAQLNRAIVSLSSESHAPSPQAIAQTINNHQQAILALAGQLDQ MQVRMNGLKQNFAAEWRDKTGSVRDPFEMAREERGVKA L198_06321 MPIEVTPSPQTMMSRAFLPPGWSSLPPTGPVRAVNCVPRSQAPN SVMSNGLRNPWAPYGGETYSPSAQAGAIRSEQRFAGADSAAGGSMVGSVMGGRPRAMS SVMSGGGGSIIAPSSIGMGGYREDDGWNGSMGREEGMTGDISRQGGVIMQE L198_06322 MIDVYSPPGLERLQGKGRVATLGMFIIDHFEVTDQHGNLVSTED EALTWLIAMIAARQFLPSSHVGLLVDKGFDFPPRFTEDLEKLGKDMIWFRHRDGPTTR ALNIYSGRKIGEGHQSFKYISPQLQIFPRDLIVDPSPFAKPLAPEWIHVVCGSPRMKL IVEELEGLKRDAVNEGASPLESQLVWEPLPFSCTPGESESLIWLASRIAIFSPNLLEL QSILGISTVSSPTHSDAEIAARAFLKLLTEKYPNVPAPAIVVRAGELGAFTLSPDWTG WVPAFWREEEQSQVVDVTGGGNSFLGGLLAGLLLSDGDIKAGNVFLPF L198_06323 MPAAVRERSPSGAASGAAKKARSHADQGAQAAINHPSAENVAAY REDYVNAAPFRHAVIGGLLSDDLLEGVVEESKKFGVRGEEGSLPGWGWEHKETDIYRI QQTPDLSSLSPEHLPDETLDALPLLTRLKNALYSQEFRNLVRQVTGCGPLSGIKTDLS CALYTKGSHLLLHDDSISTRLISYILYLPWSPKDASETTDVDLELSKNGKFLKGWSPS WGGGLELFPVEGDEEVGPPSVKRLAKVSATWGQIVFFEVQPGRSYHAVEEVVIDEGRQ RFSVSGWFHRPVESEEGFQVFDKEKAQKELSSLAQITSAPSLPFVCYPTPPPVGLKPS DLAFLSNYLSASYLTAPTLERLSGQFVEASEIVLHNFLQPELAAKLKAETEGVDKRDY SPLETLLTPQETGEGDGWVIQGPSSKHRYVALTDSSTSTPIFQSIHNVLFPSDAFRAW LSVVSSLAPTGHRNEARRFRKGLDYTLANGEGREGDARLDVSLGATWWADVPAGSDEE DTLIEHGGWEAYLAAPDDDEDPAVYQSTMAKKPKHEHQQEENKAEGKKTDSASEKQAA PQTNGNGASKADGGPSISIGGQELEFDPNQFSPSDFDSDSEHGEEDDGPLLTQPVAFN KLLLVLRDPGVMKFVKYLGANAPGSRWDVQGEYEVGVLEEEVVGEEKASEA L198_06324 MEDQQPIASSSRHIQAIPTTDESQSPRSPSRKRLNLIENIGEEE PSSAPVTIKRRLIRPKKLRQVQDEPSPPLEEGRISGVSGQPPQEPSPDGSQATKPTPK QISRQPSPVASPSAPSFAPPRSRFAPPRSAHPSLVSCRSVFNYTRLNHIEEGTYGVVF RARCNDTGGIYALKKLKLEEEKQGFPITSLREIMALLSAGNHDNVVGIREIVVGDTLN QVFIVMPFIEHDLKTLLADMPHPFLQSEVKTIMLQLLSAVGHCHDNWILHRDLKTSNL LMNNRGQIKVADFGLARKFGEPLGDMTQLVVTLWYRSPELLLGSEEYSTAVDMWSIGC IFAELMQSEPLFPGRGEIDQINRIFSLLGRPNEESWPRYTSLPLVKKLHPVGPLYSTL RQRFKYLTSEGHNLLSSLLWYDPDRRISAEEGSRHPYFTEHPLPKHPDLFPSFPSQAA GERRHRSLASPQAPIREDMVTKNNLADLDALV L198_06325 MCIDINQSLPPELSFLILSQLELDDLLQCTLVSQTWARLCNEQV LWAYLCASHSPPIKPMSITWQDITTNRILPPSTQEDTDDPTFDVSPSDERLSNHHELL SAHAVQHDSGGAAAADPLGMSGGLRRNVWERGGAGIGETLPTHLHKALDFWDRQQEAR VIDAPVASHMAVPSAKPQVNFKHLFILHHVLRKRMTTPRSIGSNISQSQPFTTGKVHR DVPRPPPLPKPRAVDAISSVKYGGLPGHSEAVYSLTLVNHAMTITMLQVCPDCNIQLG INPGLPGTAEGQFQRSVMNNVMSLDGVPSSSRRASLDDSAINRNVVSGRDWLLSGSRD KTLRLWQLTPVPRVVKIFHGGHTSSVLTHSIVTLPEHGILPLLSLSSPSKLSRFSLDR EAKKRLMAVSGGSDGRICLWDVEGGSGEPVKCVNAHDQSVLCVRADEERVVNKTIRVF DIYTLEELMVIGGATDPRMHRGAVNAVGLSKDLIVSASGDRSLRVWSIHTGALLACLD GHHRGIASIDVCQVPKKLPFLRKGQICKGTIITGSSDASIKSFYLIEEQPSVSDVVHG DLDMWSASESEPEDENIHNAHHPLPSPPLTQIPTELTERNTKIALIERDVFWSPCVCP PGLMRPDASGTCARCYNRGHLDLVRSVHLGKDVVLSASYDATVKIWNRKSGRVVADLK DAHAGRIFCVVGDRLRVVSSGLDCRINIWDFSEGLDTSFVEP L198_06326 MATNGNGPAAALAPGHFLFTSESVGEGHPDKICDQVSDAILDAC LAEDPFSKVACETAAKTGMIMVFGEITTRAKLDYQKIIRDTIKQIGYDSSEKGFDYKT CNVLVAIEQQSPDIAQGLEHGGLEAIGAGDQGIMFGYASDETPEMMPLTIMLAHKLNA ALAAARRDGTLGWLRPDCKTQVTIEYKKGEDGAMIPLRVDTVVISTQHAEEISTEDLR TEILEKIIKKVIPANLLDDKVVYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAH GGGAFSGKDWSKVDRSAAYTARWIAKSLVAAGLARRALVQLSYAIGVAEPLSIFVDSY GSSKKTDAELVDIIRQNFDLRPGLIVQALDLQKPQYLKTAAYGHFGNPNYSWEKPKQL TL L198_06327 MAPKLTGFPGNSRVRRVLAAAALAGVELEHDKSWSFQNEWKTEE FLQKNPFGFVPILELEDGTVIRESSAIAEYIAEIGANQTLLPSDPKGKAVVHSWQATA DQEIFIPSGVANGMLAGRIPYHKPIFQAVVDKITSRIQVINDILLDQTFLVGERITLA DIFIVTALTNIFTSWFDAAARAQVPNVVRYVNTVVSHPKLQAIFTPLEFTEKAPAPQT ASKPKADKAKAEKPKAEKAPKAPKAPKAKEPEEEEEEPLVPAEVKVRNPLDDLPKSPF NLEDWKRAYSNLDTRGPGGSLEYFYKNFDYEGFSIWRVDFKYNEELTMPFMSNNQVGG FFNRLEASRKYLFGSVGVLGKTNDSAIAGVIVLRGQDAVPVVNVAPDWESYSFTKMNI ENADEKAFFEGSMAWDLVENGREWADGKNFK L198_06328 MLARSITRLPPRGIRGTRAIPRRPHSTARTPPPPHLKTSAFLSI ALLTSAYFVYQYESSAAKEITTSTPGGRPVSFLNGHRESKFQLNIQTGRGVQSFEFDR KPDAQVERLIREHETSRSIRRTGNPVVRWDNNWVGSNDPCEDRWSTDLVERNQTASER IRKVGSFWNAWFSGDEPSVGKDGAGKRDLIMFSIMDGHAGDATSTLLQKALHPTIAVS LANLQAGYAPHQGIWSQWSGYLSPWYWLGTEKTWTPGNVSSAIQNAYLQLDENICQTP VRLIPSLSEPTKDASSPSAQQTLVALAEPAASGACAISTLVDAENDDLYVALAGDCRA IAGWQGVDGKWRCDVLTEDQMGENPREIERMRNEHPVSERETVIRNGRVQGGLQPTRA FGDAVYKWSNAEAAAIAGAFKSEGSKPRAGRPWNYTPPYVTARPEMTYRKLHPESGDR LKFIVMATDGLWDRITSEEAALLTASYLSHPTRKPIPKTSLPKLFPLAPPLPPSERLY PAQELPQPEGETWVYEGDENAATHLIRNSLAGGDVNLRGELMSLSGKVSRWMRDDVTV SVVFFGDS L198_06329 MGALALLSLSQGIATFAHVLLALPMALHLLGPPSFLLLSFLFTI HHVLYSTLRLFLKNSPLAPFMSVLSFLSPFLSSLMVVITVYYYLYPPSPPSPHSLLPS LSHWLVNIFPFGYAQILRWVSPLFTLLEGISTLLVIQVAGRVGKGWADEEDRDEGLEW RSVVALIAAALVYCAGLAGIIKTFPELPWPAFLLGLALSSVVFLSMIGFTLKRTNVLE TSLVFVYVVYSAWLSGVEAEMPARTFGSSWFPSPSPLRESYSIPPIGKPSVQSLLSYA LHSLFPSFMTVSRTLPPHLLLSLIYRVAVLHLAAKIVPVLRRASMGWDEREGGEGEEG FWDGRTLGDEPANMRIATVFLSYRRAILIAVYTHLLCNLQVLDGGSQTWWRWINIALL LSVWSLELLLDAEGDDTDSVSRWKVD L198_06330 MWLLTVVGKVRGQNNFTFALQQDKTYSIGNSDTCDINVNDKYIR AEEGAISVGPWDVANRHETPSLRWKPKESTKGVQKDKYLLLPSGAGPHDVSTELKKYD RAHETAGQSVDLNASGVVGIYLTDNAWFFVEWKDLTIFYSNQRHPSSECIEIFKQYCI FMTTQMLWDDLPTYLVTDTIRGNHECRLAICGGVHLILPGFFDYVRGRLESNWSPTVD SYCSMDVPIPGDNREDFRPAPAGNVPFPAGIWLPGKDRRKYFRKWNVLFLKESAASKE VNFFKVMGATVREMDVEEKPLQSRATLEKAVIEWLGIVEDTGHKSKALVIYSNKAKPF FDMKDLEGVCAAVGIQKGTAAVATSVATSGGAMEYFHKRESKASAPSNAKTTNNADSG ENSARAPAIHVRPSSPDAPTNDKADDEPRDVVPSTFPNDPISSVVNSNIPQRKVYRRG RNATSPNPPSELPVTAGSSEVGKSTAVSAANLTPNARSSRGPSPQPSFVPDSAPLQSE PPQARTSGPALTAAMSSTQLNSVPSLTGIPTRTVTRRRVATGSSGDSAAPAALAARSR PHARSDPAASVASAKRTRHDVEESSEDESDKYVQALYEKKGNSFGATKKARTETEPDV EMAESTEQSTRASRRMTDKERFKAPSELMQVDTATQEESEEEEPDLFKQTLMRTKRQA AGKKVGGNSLGRTESQNLMPPPTQTQARGSRSASPQPPTRSQPGSSQAQDLSSQTQRR QGPMITTQKRAPNTEPPTRDEAFQAALAKNRKEKEKIDQLDRGLSQMTFSQRETGKEV DKPAYEVSDDFENEETTGNFVEIVRVAGLFRKDLGQKKQAVRGDDGKPNFKKFHKKDA PRRIALKMVLNASIIKEPAMSMQSYWPQEEQKKKPTSQNAFDDDDDDDDRPILPQSTG ARRLLRETGFGEDDDEDDDMIPSTSRTGTSTQRSRVPDSQPALTAGRASGREKRAASV VSNASEAPAASSRPRGKGATKTAAAGKKKRSILEDSDEDDDEIVWGTSETFGRSAKNK KASIAGSGTATLDDPPPTATAGRRGTQRKRLVVDDDDDEDGFANFKRQKIH L198_06331 MMKSINKWIYGPTPEEKVKAWQGKLRTQERQLDREIRNLEAATQ KSRIELKQLAKKNDVKSARILAREVVRANKQRDRLESSKARIKSVNMQLQHQLSMVKV TGAFQKSTEIMKTTNALVKLPQLSATMREMSMEMMKASLLDPFGIMEEMMEDTLDSVN DDEELEEEADQEVDKVLFELTDGKLGQAGAVGAALPVEEEEENEEEMARMRKEMQELL SS L198_06332 MTKGTRFLTLAIPVLFIYILALYQIIPVPLLSSQSAEAVLPVLP WWLLVSFGSYSLSSLGLGLVRFHDTPEAYESLLGEISQAKNELRNAGVAVD L198_06334 MSDIAVEVVNRDGVSVQGQESSIHEAVTASSNAANNGKSSKPKK LGGYDFYKSIGSPKFVVAPMVDQSELAWRLLSRSPLPLDIAGPIETVTTPQGKSLIRH PGGAHICYTPMIHAKGFLEAKGEMGRNGDGQFCLTLDEEGGEGPVAGIDCGDRPLIVQ FCANDPEILLAAAKKLEHRCDAVDINFGCPQGIAKRGRYGSFLQDEWDLIHKLISTLH QNLSIPVTAKFRIFPDIEKTIRYAQMMEEAGAQILTCHGRTREMKGQMTGYADWEAIR AVKQAVKVPVFANGNILYREDVDRCLEFTGCDGIMTAEGNLANPAIFVPADHPHAHPP VTLLAHRYLDIVESLKTPTSGSAIKSHLFRLLKPVLDTDEELRVRIATCRWTEGMSGF REIIDDIAARCQPLKEELGEGWRPPAIDPKTGYRALPVFVAQPQIRAKPVSTEIGGHE DMVSRPASPRPEGTDGRIFDTPNIATAPASSIPGTVLVSRHERHAGVPGSVPCVQGCG GIAASRCPTRACITHCRILRAVESGYTKEEAEQMGKTGKLVGMGCEAHEDKERARKER EQRKRKGREMAKEQNKERRIEKKKQTHKTRQAAAQEAREKEKLKIIDEESEFALGQS L198_06335 MSHCLSLSPSLSQSIGMVRHAQLARCLSSTAGAFQRSRSSTERF SEVVKVNTPPGKTSQRKTRPLLRPSRSHTPPEARPLRSYSHQPPPTPEPPLVRQGSWQ PTKKLTYSAMAGLRALHQFDSERFSKAALSKKFGVSHEAVTRILKSKFRDDKSAGGLE GIDALQHGLAFGQEEAMGGLDKPSLKGTKWDRDPGTSENVSPVPSIMRAIQESRRGR L198_06336 MVVLSASWLLAGFCVLPLATAYPASADTSIEDHSLSSSLSSSSP ESTSSGALHPGQDRPRFMSHDTPSDHYPLDSIWWQASSHHIPRTVVLDSRRLAEAKRK LEEGQAEEQIPLWRRRSPRSDDARRSPPGVEAACAEPRDWRYVSWSLSVDR L198_06337 MLRLHLLATSVVLLCNLPNLIAARPLWDRAAHSAVQARSSNTSS VPQTVVLDGQRLADAKSRLQEGDDDLQEVLDNLLVQANNYLSQGPWTVTDNEKSVPNG TIYDYASQAPYWWPNNWNSTSTNSTSSNSTSSNNDTCPYVRRDGVVNPEASEYTSRQD RASMFTSSYTLSLAWYYTDNSTYREHAANIIKTWFLDESTAMTPHLKHSQIIPCDNDG RSIGILDFSQQYTDVLDAAAILSVEYDASWSNATETAFKVWNGDFLEWLTDSDFGQTE LAADNNHGTYARLQIAGVAAYIGQNDLAISMADGAKSVIDSQFTANGSQPLELSRSRS FHYSCFNLVAHARLADIGYQLGVDLWGYEGASGQSILGAIDFIVPYATGSETWPYNEI NFVNYAASDLINAASNQGDETAMAALTDLTYPSIGNQWPLRPSAERLDHLDG L198_06338 MLFSHSFATIVLCALTLPVSNALPVPSTSDYTTHVSSSDSSPIG ADAYIPNASSNANNSGTPADHTSYDCPSSSVPPDSHTPSNTASDNSATVENLTAGTSF PCSPASRKPATVVLDGQKLMNIKCRVKSGELGLQSELNGLLSQADSWMTQGPWSVVNN LKSVPNGNSHDYASQAPYWWPNNWEDPDSGDVCPYVQRDGVFNPETEQYTSKADRLSM FEASYTLSLAWYHSDNSSYRVHAADILKTWFINEATAMNPNLAHSQLIPCANDGRSIG IIDFSQMYTDVLDAVSILSLDYDDSWSQNDEAVFRQWNSDYLTWLTQSDFGKAELSAQ NNHATFALLQVAGIASYVGQTDLARTTVESTKSLIDSYISQDGTQPLELERTRSWHYS IFNLVAYTRLADIGALLNVDLWDYYGPDGQSIKGAIDFLIPYATGSQVWPYEELNFLQ YAASDVINAAADHNDHYAQSALPDIAYSPIGNQWSLRPSAEQLDNIVTT L198_06339 MLLRQPGVARRLCPRTLKPPCATRSASSSAPPPPAGEHLTGSAK LFAEADSEESLGTTDRDSLRHTQGPVWTGDESTSDAVLRMLVDANKPLRNPGGIKHNA ADDKIKGWMKGLKLDARLGPGVELPLKSSHEEGEENPHRTRLPPHLHRPWHSTYTGEK AAAEEPNIKYGAFIKSKRDGDSLTNLLELQLPPGADGKTRARVKESRRTGKVIGRLDN AREGALDYKLGLSGKGPLLVDLGEAEDWDEGEGEGQAFNGNRQIKGASVLGAGRGGAS GLRAWQGLVEDRIQRAKGKSKSPSARDPADRSADAGFFNTTTGKGKPIALDPEASNPY IERGELFMNRIVKRQGALPPWIELLNHLDSSLNAFRSTLLTTYKTHIVRQVISSTSLH PLPPLHTIPERDGTWEQRELKFHQENVKQINDLTRRMNAQAPAPARRNLITLENELDK IRGEVIKTAVWEDIKKRAEESVDQSFSKQKTGFTPFFEGEGWKAFKNVTRPFGTLASS GMPAASNSNAGGVSGSSREYVGDHGEPSGHGGARDPKPMRLAVMAGVGIGLIIYLRQR TVKADDLSSAPQPEKDTIVASSPAEPPLTVTYIVQEYIIEPIATLFRFFQLAALFLPV VILSPMLLLGETRKRRQLGRAIGEDEANWGAIWWYELLVKQMERAGPSFIKLGQWAAS RADLFPAELCEKMGKLHSNGKPHTFDYTKNMLEKAFGKGFKDIFEEFDENPIGCGAIA QVYKAKLQPELFANARGGGGDSSEPLTTPSVAIKVIHPRARNTIRRDITIMSLFARFI NSFPGMQWFSLPEEVTVFGDMMQSQLDLRVEANNLDTFRKNFKRRGRRVTFPTPIKLG QGGGEDRAGMRDVLVEEFEDALPLKYFLRNGGGPYDHKIANIGLDAFLEMLLLDNFTH GDLHPGNIMVRFYKPTTTDYLAPLLSHFGKGETAAPSQETVSSGEDIVHNFAAISRDP ETWVSRLEDLHDQGYEPQLIFIDAGLVTTLDNTNRRNFLDLFQAVAGFDGYKVGKLMI ERCRTPEFAVDEETFALKMQHIVLNVKSKTFSLAKIKISDILTDVLKAVRTHHVKMEG DFVNTVISILLLEGIGRQLDPEMDLFKSALPILRQLGKQMGTREAISATPTGNILAMI KLWVWVEARQVAGEVSTLDQWIKYDRLTPSI L198_06340 MSGPTPLTTTRPLAQPTSAAVAAAAAAPPSGLETDDVSVAPALE EADAETLQEAPTSSVLVGDRDHGDVQKVAEGAEGKGAVPPGLGVDQGR L198_06341 MEALGFNMSAGYLEGVVRGYKGALLTQNQYHNLTQCDNLDDFRT QLSSTDYGNMLSDQAVPLTTATIADKATENLIAEFHYLRTNAVEPLATFMDYITYGYM IDNVILFTLGTLHERDMRDLRERCHPLGLFEGMEALSAATKVEELYHSVIVETPLAPY FQEALSVDEITDLNIEIMRNLLYKAYLEDFHAFCQTLPSPTSEVMSRILSFEADRRTL NITINSIGAGLEKDKRAQLFPTIGRLYPEGNNALARADDVEAVVAAVDHIAEYKAFFE KAGVTSGGGAGADEASSSLEDEFFKWDVELNKQSFLQQFQYGVFYSLVKLKEQEVRNL TWIAECIAQDAKDRVNDYIAIF L198_06342 MNVLADQATEERGENARLSSFVGAMALGDLVKSTLGPKGMNKIL QSASNSSITVTNDGATILKSIHLDNPAAKILVNISKVQDDEVGDGTTSVCVLASELLR EAEKLVTDRKVHPQTVAEGYRIASKAALEALEASAVDHKEDTAKFREDLFNIARTTLS SKVLAQDKDYFANLAVDAVLRLKGSTDLEHIQIIKKVGGKLTDSYLDEGFILDKTIAT NSPKRIENAKILISNTSMDTDKIKIFGARVRVDGTSKLAELERAEREKMKQKVQAIAA HGVTCFVNRQLIYNYPENLLAENGIMSIEHADFEGVERLALVTGGDITSTFDAPDKVK IGRCDLIEEIMIGEDKLIKFSGVAAGEACTVVLRGATSQMVEEAERSLHDALSVLSQT VKETRVTLGGGCAEMLMSCKVEEAARTVKGKKALAVESFARALRQMPTILADNGGYDS SDLVTKLRAAHYEGQTDAGLDMDKGEVGSMLELGITESFKLKKQVVVSASEAAEMILR VDNILRSAPRRREAV L198_06343 MGIISQLRSLRTSPPPPGNPPTPPLSSRSSPLSSRPSRPRLIRQ DSSPSPSPTPSPLKRSVRTTEISQPTLLFSPNYTPPASDVKPKPLYPEHRNKLHKAKS AGILNNLPRTPPDKEVDAKAGWRGRVRSAETDSKGKPTLPLTSISDTPPRLPPLGLRK SPSTPLIRRKPPPKLSPEENKMMGRGGGEKGWGLISVEECGDCEGDESESRENGKEPE NGYGGGYGKRIVKRGLTAPPPLLEVSTPLGTTFCLNSPTGPLIVRLAKPPSHGHIHLS PSSAGAPPTSPQSASRRPLPPLPPLPLEHRKDTEAERRRVEVVQRRKGWEPPRKWDQK VFVPPPEPVLVPTYLKPFKLQGGPRPRKVHTRTVSN L198_06344 MNSNTAPGAPHQQWTSVSHDAEGTKKGSSPPLSTGDSPYGYQQQ YQQQQGYAALGLGSYPYTPAPTGINSLSNPYNAPRSSPSAPSARRSSSQKIATPPQPP QASPIPSSSARYYGSGPEPHSSPYPSSAPPQTLTHPFSVPGQPPSAHPSYQSYPYEPR HGQWVQYPSGFPHQPKHPSGPSPTVHQSPSLGERPAPAPGYEYPSPAYQQWQGPDAKP PLPMGHWQNGQRLSQSAQPPQQPNAASQSPHAQSSSPMFQQGQWAGYPSMSGVPPHTM GGNGMMGAQPYWMQQQQQPGWQGYYPSASQPAPDGAPAPASTAALTNTALLPRDSSAP APSTPKTVPKKGSGKEPKKKKEEPAPPPPEAPQVSQEYAGLGKRLSEDGLEEIQDKES GSHSKRKKKKVEVEEQPPEEAFERLERPEKPVARPKSKLHPPKQAPSAWQLFFADELA RAKALEPPPDTSPGGTVHPHKFNVANMAKEAGQNYANLSAERKAYYAEKVKIAREQHA KALQAWQATLTPEDVRLENLFRAQQRKEGKSRKGNIKDPNAPKKPLSAYFLFLKAIRE NQEIRDQVWGEAVATTKQSILAAEKWRNLTDLEKKPYLEQAELSKQAYEIARKQYEEE SAARARGEDIPVRTPIDVTSSPPKPPSSILQTRPPTQMPIQVPLQIPSSPKPSSSHPL SDPVSSIGPLTTPVMATSANPMLSVAPIGISPQEVKPPVAFTDLAPPSPPAGDFKSSI GDVFDFITE L198_06345 MSQTAIIAMPSAIHCPPPVIARDFHYAPADRPRKLSREELDSLR EQGRQYQILKTHPTQAGPIIVTQDMSRLPLNVTPGLSLKSELAAVWMPNVVEVGRRKT GDRDIVERMPTVVEEALVG L198_06346 MSDRRLDVEASPRPSSTHSDRSPVSQEDKPRARTPLPKVQLAIA CFVRVTEPIAFMALFPYINQMLLETGVVDDPRKTGFYAGLIESIFAIAELCTVFQWGA ASDRWGRKPVLLVGCVGAALSSVLFGFSTNFPMMVITRVINGLANGNVAVLKSVIGEL CDDTNQSLAFSFFPLSMAIGTILASTIGGYLPHITDQYPYLRRSFPILEQYPYLFPCL VAGAFPLLGGLVAWIWMEETLPSKEPNKKSTRSNQEVAPLLAQPHATVHYSSTDVGDV RLEGAADHHNTDRPLDTEEEDEAPVSFRDLLTPDINAMMTSFGLLQLQGTSFLGLIPL FCFTPTFSGGLSFPSSRIGLAMSIRGVSTIFVQLFVFPWLSSKVRILKLYKALVMLFM PAFFILPICNLLARHGQDVGVWIGLSASMIFYAIGNMAFSCNLIMTNEAAPNRRSLGA INGLSQAVSSLMRAVGPGTTSTLFALSVDKNVLGGQLVWVVLSGLSVVSALVALGMKN SWKKQRV L198_06347 MPARDPIHPASLCKLSTHSKPVVDCLRTRVRSDFLARVADKTAS VIRIAPSTPSTSYLPSPPSSPSNTRTYFDLNGNAVEWWQAPKTSVDDLNAELPELQEF IRGLVAQSNVQMPTLSVTLVYLERLKEKLPSVATGMKCTQHRVFLAVLICAAKYLNDS SPKNMHWQKYGRFFSLAEVNLMEKQLLYLLDYNLGVEETELAEHLQGFLPSPPAPRAV APTPASIPSSSRVPLSPSSPTHSAHGKSRSSDFHRASIASTLPLSTVIPRPVELQRSN TDEHIGYRPTISRPTPVHAPRSFARPPSPGSASTTSSSVLYADLSTPALRRDNGDYDD SPQTATTPEEGSRWSGYGYGGVPTASKYSSRRPAYEPIIVDSSASPVASTFSMVKEKE GLLKRAGRTFKFNKRVV L198_06348 MSTTAAGPNPNAPPRPTALLNTADALKHLDEYPRGDGLSLQELM DSRKNGGLTYNDFLILPGHINFPASDVSLQSKATKNIVLNTPFLSSPMDTVTEDRMAI AIALHGGLGIIHHNCTAEEQAAMVRRVKKYENGFITDPVCLGPDATVGDALDIKAKFG FCGVPITENGQIGSKLLGIVTGRDVQFQDAETPIKSVMTKDVVTGSFPLALEKANSLL RETKKGKLPIVDASGNLVSLVARSDLLKNQNFPYASKVPESKQLYCGAAIGTRPSDKE RLKLLAEAGLDVVVLDSSQGNSTYQIEFIQWIKSTFPKIDVIAGNVVTREQAAQLIVA GADGLRIGMGSGSICITQEVMAVGRPQGTAVYAVAEFASRFGIPCIADGGIGNIGHIA KATALGASAVMMGGLLAGTTESPGDYFYHEGKRVKVYRGMGSIEAMEHTQRGSVAGKQ SMLGLDNAATARYFSETDAVKVAQGVSGDVADKGSINKFVPYLYTGLQHSLQDSGIKS ITELHEAARSGDLRFELRTASAQVEGGVHGLNSYTKRLFA L198_06349 MSSDFYTSSHNKYWLVTRPVILDARQTDLKYCSPRQLYCLYIFF SQLIQKLGKRLQLRQIPIATACVFFRRFYLKNSICETNPYLVLSACVYVAAKVEETPV HIKSVVSEARLIFSEHNIKMFPAESNKLGEMEFYLMEDLDFHLVVFHPYRALISLTGR EPSDTGKWDMSRVEEEQVMRKKEADKKKARSDEIRKGGAKGQVTSATLDDDDESEEER IRRLMGRGSGEGTIEMDEGVLQISWFIVNDSYRTDAILLYPPYIIALSALYIAFCLTS MSNSTSRTRNASTQIHSFASSLSTNNQLHLSPPPASAAEFLAGFQLNLPMLFGCVQEI VGLYPIWEAFEPTLRGAQGQQKGTGGLAGTGKGEQKDKFGVEEAEALVRRMIEGRMTD LGHPDDGGADRPGASSRKRTRVD L198_06350 MTAGHKSKQSKRLSFQKTLLLFATLRSDSVDMGYTILLQWSFSI PAGRPTTLADIVSARVLEIYGHSTPTRSAIQLRTYRAQFPSSDIDPTQVSRYLTVMSP IQPPVPSSQAKPRDVNHVDDTAFLFMDDKSAGGTTALEAPGDTAVNESSKSKQRFQCI AVRPVSHVPPMLQSLLSPFVLGLTKAARTTASQTSSTPVPTPLPGSTFAVTSFTFPPL PSSSPSVTLRLHILPQTAGSIFLEGEHIGPSDGKTMEEIKKEIRDFLNQCVLEDTGEK KWKDCHEGTETRGLEGVERAKRTMSSLAQMLRRGSFI L198_06351 MDIGITLDEEVAGPSSLSLPVIPQSDLEQVAQTILQLYDPATSS NPQLVKEIETGLQDLQHRPEAWGLLNGLAGHPEASVRFFAVNTTQHKIASDWNSLPDE LRPVLLNLLLQTLHNSVDPSTSHYYESANALVTRKLFVAVRTRTTCLTVLIQIIYQIA SILLRIELAQFQHPIKTVLELLQGSLHASRASIPPQNLPEIELKTRLLELEWCGIFIE EMGRAGLTEQRRTAIRNHIELDRGIVLNTIIRSMSIDGSASPEKQSQEATAACRCAES WIGWGLEADNLHTLVPNLYTLLPLPQASSTIVEVLSESIWKYGKGTKMLTEPLIEWTI GAPGQALVRGEPTDELIAFSKLIAALIEHSSDWFLAHIYEDQVQAFLGVVLRITGWQG TGGVEEEVSQLTLPVYSLLQESLMDSDLFQAPHETDPSWQIAKQFFSELVSVTRRKVR WPGNGEVPTGEELGDMDNDDREAFDAWRRDAGEVIVSGYYVLREEMMRNLTQIAAQQV QSGASWQDIEATIHCIRYSSEAVPLGEGENLPVLFGDQVLGLLALRQGNGPGENRLRL TVVCLIQSYEEWFKYHPSHLPLVLSYLVPSLTSQNLDISRSAASAFKAICDMCRKNLV EHIGAFADLHGKIGGMKDEEQVMVIQGITSVIQALQAADAIGPVEGILMPIIERISVA TSASQSNPIEAQPVLVQALNSLTSAFKGLSPSDDDMFDTSDDPAVKEEEVAVSREDPR IVALRERIYLSVEGAVGVWNGDVEVADALSSLLKNVSQKPPTLISLPPLPLLNLVTLV GWRAPSALWFSIASTLILSISAPPSFFSKKKKDMSTEEEDVRKTVEEEEKWQTVGNAG GRLVEAAQMAFQGEGMKEHPDIVEGWFKFCHSLAERFPGVLLRLQPQQIEAYIQLGLA GLALQERFSLKSASDFFVALLSKTRNPSPLENIMEPLLDAFGPALLRALILSAGSEGP RSVIPNLAELLANLVTRVSAQNLAPWLDGILGVDGFPDVRATPESKKKLKDAVLRSRT TRRMREALHEFALVARGLEGTTYGNATAM L198_06352 MKLKIESYNAVAYWKWDVSTEPHKLYHAASADGGGIMDDDDDDE EVCGICQAPFESTCPDCKVPGDDCPLIWGECTHVFHMHCLLKWIGQKEDESQQQCPMD RRPWVTADQKPERPAANADQSTTTNESTHILNTIESDAEKEEQSLNKSIEEGIDNDSM DVE L198_06353 MGSEEWTFLHQPVAIDEKDTDGHTALMWAAYQGDALSVDLLIRH GASVNITDNSGMTPLHWASVKGNKVSIRHLVESGSSLDAKEENGKTPRDMAEELKGLV PFEKGLEEAGWTIYGVQLTGKLDPKRATIAIGILPLIGLGAIFKTFDLLPVYVAIPFA AAEFGAMQYTIVYVLLGHIKDSEKVSASNYFVSLIVASIAWVAWGWATRLLLGTAGHP FANLAFFFMMTGCAWSLYKAVVTDPGFVQKGEKDEDIKLVLEELVDAGRLNGTNFCIM CMARKPLRSKHCRTCNRCVAKFDHHCPWVWNCVGANNHRAFLSFVLFLIGGIILFDKL SIDYVQQNAPEYLPTPSPGLTVCEISETLCRAGSYDAFLLATCVWASIQLTWTFVLAI SHLWQVSRQMTTFEVSNLGRYGYMGGRGGQSLRDQSGALKQAASVGAGVGMGGAAEEG GGPAAGEAGPDGNVVLPQAGGHVHGPQCRHGGGHGHGHNHGILHVCGHLCKTLSAPLM GILGLDRFTKGQALGGMKRAGKDQNPFDMGVVKNCTEFWFPHNDVDYTTLYEIPPEGW RAYRRKLDMNKSGGGGRGGYQVVNTQEV L198_06354 METEEALPGPSSRPSTASTRPASTNTPPSKSKRRNSTSQGKGKG RAAPEPEAAESAEGQQEDKDNEGELEDRVALARREEASNKRPRSSNKATRRMKALRNA EKFVHIPPVPPPPNPSTDFLQSLHQLSSQFYSSHSLLHPPVKRQRAVPWASKKRLQLA RDSNRLTEVRGGEMSEDGEEIEDILGDHEEAGGTPSMGAEDQDGQATRGVRGKYKVKD MYKAIEGEGLMAMGILVQEHIIQQLEQAGYQRPEKDASADEEIEEEEEEEEEEEEEEE EEEEEERESSEE L198_06355 MASEDTVNPEALVELGIRLIQAAKNAPLSTISALLEQGAPAWYQ DENLGWSPLHYAAERREPKALDVLLKGGAVWNAVDKWGRTAGEICLSLGDEEGWLLIR NEGIRSEMLHHALAGASAGLNNIILRAEDSTSAGDNLVFLKSQLTWDVGKDGKERVLD ADGNGVMMGWEEPLMVEHVRYLTEGHPKMQLGEEGEGMSILNVGFGLGIVDRLFQTCD PKPSHHTIIEAHPQVLEYIRQKGIHLLPNVRILEGRWQDWLLDGDKIGEVLSGTPNGM GFDAIFVDTFAEGYEVSDLKAFFEVIPDILDAENGRFSFWNGLGATNPTIYAVASSLA ELHLEDVGLNVEWHDVAIPESMREEVWKDVRRRYWDLPGYRLPIGKMSLF L198_06356 MSYSQPPKIPQDRTETSGVGTGHKDDSTSRHGVALSSSNATLCH PGEHNEKASPDVSPKPGPSQLPTSHPSTNHHLPHRAPQPPPVPPIGVVSVFDPASLGG GGPLKRIETQRSERYAEEEREREAREEAGETGKGGILQRWSTVSRRRPVVVLPPHSQR HSEDESSPIEGEKVARRLSTAFSFKTPVATPAPREKDDDYRFPSENDTEPQTSEEEAE EHEYPDGGYGWVVLGACCCLSGCTLGWGMCYGVFQEYYLSNVYLDADTSVLSLAGTLC AFMMNATSFFSGRYGDRFGFKRVLYCSAIVSWLGLFLAGWSTKLWQTILTQGLLTGIG QGLALPLFMSLPSQWFYRRRGLSSGIAIGGAGLGGGTATLVCRQLLTTVGHKKTLWIM ACINLFFMTVSTMLVRTRPTSLEGRATGKGPWVDWRVVKTSSFWSLVIGLVVACTGYA MPYNFTSQWTRLHFPELRPILLALPVTLMGFTVCIGRAMIGLVADYLGPMNTFILCFL LSGAVQLCLWLTASSFASILVFAVAFGLVAPGYMGIIPQIIVQLFGADNLATNVGILL LFNGPGNLISGPIGGALYDSSGRTTFKYMIITSGCLQLAGGLICCWARFKASHKVFKR L L198_06358 MPLATPIPRLLASRALIPARTPPARALFRPRVLRTFASEAAFDP DTVERAQDEVDVCIVGGGPAGLCAAIRLKQLEQERGGDELRVVVLEKGGEVGAHILSG AVIETKALDELIPDWKELGAPLNQPSLGGSMRFLTSNASFPLPHPPQMSNKGNYIVSL SRLTAWLGEQAEALGVEVYAGFAGAKVLYTEDGKGVKGVVTGDVGLDKEGNPKESYEP GMEFYSKVTLIAEGAHGSLSKEIQNKFNLREGKDPQTYGLGIKEVWRVPDEVYRPGEV VHTLGWPLDYKTYGGSWMYHMEDNMVSIGLVIGLDYQNPYLSPYKEFQRMKHHPFFAN VLKDGECLAYGARALNEGGLQSIPKLNFPGGALIGCSAGFLNVPKIKGTHNAMKSGML AAESAFAAINTAAEIAPEAIEESEESEDSEETVISPLLDSHPIDMSGYATAVENSWIW QELKEIRNVRPSFHNPLGLWGGMMWSGLDSLILKGRVPWTFHNKVEDYDATKKASEVQ PIEYPTPDGKLSFDILTSVSMTGTNHAENQPVHLRLPKVDGAKAEHTKINAGEYAGLL GRVCPAAVYEYADAEGSEVDADGKKFVINSQNCIHCKTCSIKTPTQDITWTVPEGGGG PKYNLT L198_06359 MAQTSLNSLTMELGMLKLQAINAEIYLECLNKLVEPLAVVQGPM GLRTWLSEVRHFMGLMKQRSFQGRPLSPRERQVIQWYSVKWRELRGGPCDMGRPEAQI VLISLNELCRF L198_06360 MHLLNLTLASPTNVTQAVVGNFSGVKSQEILIVRGGTKLEIAKF NAATEQLDTVCSTEAFGAIRNVAGFRLAGMTKDYIVTTSDSGRLSIIEFVVTPTPHFE SLFQEVFGKSGSRRVVPGQFLAVDPKGRSCLVGASKLVYVLNRNAEGKLFPSSPLEAH KNHTIVTHIVGVDQGYDNPLYAALETDYGESDQDPTGEAFDNTQKYLTFYELDLGLNH VVRKWSEPTDRRANLLVQVPGGQNASSDRFDGPSGVLVCTEDHIIWKHMDADAHRVPI PRRRNPLVQRGERSRGLIIVATVMHKVKGAFFFLLQSEDGDLYKVWIEHNGEDVVSLK IKYFDTVPVANSLVILKRGYLYVASEFSDQNLYRFEQLAEDDGEQEWSSTDYADNGYS DAPLPFAFFTPHELKNLALVESLPSLDPVTDAHVVNLLGDNSDTPQIYAACGRGARST FRTLKHGLDVSVMVSSPLPGMPTNVWTLKVTDEDDYDSYIVLSFPNGTLVLSIGETIE EVSDTGFLSTAPTLAVQQIGDAGILQVHPLGLRHIRGADRVDEWPAPPGVKILAATTN KRQVVIALSTAELVYFELDSEGSLSEYQERKALPGNATCVSIADVPEGRRRTPFLAVG CDNQTVSIISLEPDSTLDTLSLQALTAAPVSICLAEIFDTSIDQNRATMFLNIGLATG VLLRTVVDPVDGSLSDTRLRFLGAAPPRLVRTTVHGQPSVLAFSNRTWLLYTHQDMLQ TQPLIYDKLECAWALSAAMCPDGLIGISGNTLRIFTIPKLGERLKQDSISLDYTPRKF ISHPYNPVFYMIEADHRVYGPPAIERILQEKVKNPTQTALELLELPPQEFGRIRASAG RWASCVRVLDPVVNESLQVLQLDEDEAAFSITIAYFERGGGLPYLVVGTGVKTTLQPK GCANGWLRVYEIRDEGRYLNFLHKTKTDDVPLAMAGFQGFLLVGVGKSLRLYEMGKKA LLRKCENNGFPTAVATINVQNARIIVGDMQESTFYCVYRSLPTRQLLIFADDPQPRFL TCVTNVDYETVACGDKFGNIFINRLDQRISEKVDDDPTGATILHEKGFLMGASQKLDL LAIYNVGSVVTSISKVPLVAGGREVLVYTTISGAVGVLIPFISMDDVEFMTTLEMHMR TQNTSLVGRDHLAYRGYYAPVKSVIDGDLCESFSLLPYSKQQAIAADLDRNVGDVLKK LEQMRTSSAF L198_06362 MNDRGTLALLSLLLLAPFSLAAEEQKVLVPSQIRGLNPSLYDKY EPTTSGLFHCLDGSRTIPSAAINDDYCDCPDGSDEPGTAACSVGFFWCKNEGHIPGNV LKSRVNDGLCEPDCCDGSDEWATGACPNRCEEVGKEYREAKSKVDKLRKTGSKIRNTY IKWAQGEKQRLQEELDEKRKAISAKEVEVTIARAALDKASAQSHEDLERKKQSPVYHS LLSHRSALTKLRTKTKTLETEIEALHSLLREMAKGYNPNYQDMAVKAAVVGYEELTGI KYREGESEEGEVKKEEKPEETQEEITEKELEDLDKIDLDGLLLSDTTGAAAEGDEDEE DGLLWKIDEYIPDNFYDSWQTIRDLVIEWSVRLGLAGKPKNKGNGVDGPQVAAAREKH RLLETELVKLQNGIRSNEDTLKNMELHYGVEAEWKKLDGTCVEQVIGDYTYELCFFGK ATQKSNKDGSSNLLGQVFRASRKTQLTRHSKFDQWNVAASEGTLPYYSQQLYKNGAKC WNGPNRSVAVVLSCGTSNALLSVAEPEKCEYRFKISSPALCWPEQQPVVEREVKQESK DEL L198_06363 MTELTLTVVGLAIANELVEKGYRVAVVGAELPEDVHSTGFASPW AGAVWYSFATTEAEQRRDEFTFDRFAKLAAKHPHLVAERRLNCYWQEAGIWKEPWFRS LVSGYSRLSPNAIPELFKQGVTFKTYTVNPPLYIHHLASALRARNVPILRTRLSSLDE AYTIPEFGHVALVINATGLGARSLMGAQDADVYPGRGQTVLVRAPWVKEAHVDAVPLE STGISAYVLPRPGPDGHVVLGGTYTPDEWSTSPDPVVAENILKACHILCPQLDGKDGK GKWRDIDVLSHNVGLRPMRKDGLRLELETRHIGQGVNDGLQTKRSKVGAGREVGVVHA YGIGGGGFQASLGIAAEASSLVRQWFEKNRKARL L198_06364 MSAPASPAQPTTPRQHAEHDPQLADVNMDIPDQPQKDKKPDEHN PFGGDSLDQAIRVMQRERYTVFPMFTTWAQDPNNPASPSQHANGDSPSHQHNQQAQLD SILSLRSPTSGEDGSADVEGTGITPEIRFSDEMAEQNVSAGPASLKDDGQQQQQAQQT SQQAQNLLAPPQAGTSQDGEKGKDSTFSRSPELRVTHKLAERKRRKEMKELFDELRDE LPADRTMKASKWEILTVEHMRQLKATQVDHQRELEHLRRENEHLRATAYAHPPPHPHN NNHNNHNNHNAHAFPAYLPYHPNAFQAHNNMSQNVGGQNGVQGQGQQQQVQMQPPQPG QGVLQQAGLASQHHTPQPTPGLQTPGGDQGQGQMSGQVSAQGTPAPGQ L198_06365 MLLANYGSDSGSESEPDAPPAPPPAPKPTTAAQPHKKKKPVKIT LDLPKSADAAEDEVDGEKRKKGDADSDDERAPKKARLPKGGKGNSSLLGMLPAPKRKL PAPPSASGSGSKGASGLVVNKAMARSQLPAPPKLAKAEDDSDEEESVLLPPSLARKQN KEEVVDLFGLSPALKPKAATASAIKPPAISSAPLAPDFVPPEPTSSDAYPGYYQLPSG EWRAHDPAYYASFFPSSSAQQEPEEGEDGRVGKHWDAFEKGQFKGQILDIDANQGLEE ARKEAERRELMKKPKAPGDEFVYQASRRVVQRCKLTVSQPKGQIKGLASQRHQLTSLL STAYSQREELEQRIAANKKGMQGAGHKYGF L198_06366 MPPQPAHHGGSKYGASMGHPGGPRGGKQPTKLEIDSLPSMDKPS YYDPPSSPDASSSRSASPPPPDISTNSGNKLVSGAQFARRGKMYAWGPSFEATKTDQH ARKRLKLCLEQFMPGAAAECNAEVPANIVSAEEGRGHRKRKRNEEREFVLPHLRSPSP PISTARLTSLLALPQNYTDVLLNPAMRHSLGEDGMEQGLQRTAGELLEGEKPLLQALG RLRDVIRVLNRDVPEAAPSTQNGVTEEVNGHDTLSQSYPHHIPPLPNISETDNLWRVT QELLSQSGSAQTLPPPTLAYTSTQPGAAAPTPNPTSEPEPEVTPLQRLFTCPTGITLH AVPSPGHPGYNYPQGHTLHPQTIKYNIDMRNQCRAVDDAWERISELLADCNEYRERLE EARDRVADVARVKKKVWRTVKERAAAEMENGK L198_06367 MSNLIATKRVDLLAPYLALDQGERIQAEYIWIDGDGGLRCKTAT VDKPPASVADLKEWNFDGSSTNQAPGEQSDVFLRPVAIFKDPFRGGKNILVLCECYDH DGSPNKSNYRAHCKKVMDAAKSTEPWFGLEQEYTLFDADGQIFGWPKNGFPGPQGPYY CGVGAGKVFARDFIEAHYRACLYAGVNISGINAEVMPSQWEFQVGPCTGIEMGDHLWM ARFLLLRIGEEWGITPSLHPKPIKGDWNGAGCHSNFSTKDMRTPGKGMAAIEDAIKKL EKKHLEHIAVYGDDNDQRLTGKHETASMTNFSAGIANRGASIRIPRHVGAQGYGYLED RRPASNVDPYRVTAILVETTLLN L198_06368 MSNLIATKRVDLLAPYLALDQGDRIQAEYIWIDGDGGLRCKTTT VDKPPASVQDLKEWNFDGSSTNQAPGEASDVFLRPVAMFKDPFRGGKNILVLCECYDH DGSPNKSNYRAHCKKVMDAAKSTEPWFGLEQEYTLFDADGQIFGWPKNGFPGPQGPYY CGVGAGKVFARDFIEAHYRACLYAGVNISGINAEVMPSQWEFQIGPCEGIEMGDHLWM ARFLLLRIGEEWGITPSLHPKPIKGDWNGAGCHSNFSTVAMRTPGKGMAAIEDAIKKL EKKHLEHIAVYGEDNDQRLTGKHETASMTSFSAGVANRGASIRIPRHVGVQGYGYLED RRPASNIDPYRVTSIIVETTVLN L198_06369 MAASAASPFLFQSALHDSGLVHEMLSNPLKFGPPMVKKGVGLEG VTREVVGEKESPKVAKKKMVVREESKDDDESEASEEEDTPKKPVKKAVNGDKPVPPSP KVKNDKQTNSDASSSKSKLPAKATPEGKNTPGLYPVTFDLTWPEPMASAKHASGLYNP SMACYANATLQILLHTPPVLNLAMAHDPTDCTQKRKKNFCMLCTLKTMAEGSHWNGRK AYSPEVHRSLSQIKKGFSKNKQEDTHEFFRFVTDALQNTALANLPKDTPEKVKHTSFV YRIWGGRVRSRVVCSRCNKPSDTFDSFLDLSLDVNRQGKKSIKGMMQGFTKEDKLEGD NKYHCDNCKKKANATKSFKIEQAPPILTLHLKRFSVNYNAYSGRARAEKFNQFIEFNE KLDIGPYMVDPNASGSKYRLFGVTCHRGTELRFGHYTSYVRGPGGQWFHADDEDMSAV RLEEVLRDKTAYLLSYVRVGDGEWDVTPKPIIKARVGGLVNGNGKDAESSSEEEERKS PSPVKRRRTVDSDQPVRMKVNIVNNPKPIPAPRQSSSSSGDEDTEMPPALPTKLGNNT QTSKIHAPKPVDSASFYASPISRPSNPLAGMSKKEKRKFKQSNRDAGKGKSKSSALPM PFAQGKMGGTKNRQPGVLGRMKGKV L198_06370 MSSGAIILINGYPGVGKLSTAQRLTKILPNSKVLDTHHLKDATS SLFDQKRDVEAWNALHSGLRDAIFRSIKVSGNSRSQPIYILTESIPACPAGAKDLQSL LAHARDANFTLIHIILSCSTSENIHRLHSPERRFKNKLTDEGALMELRMEEEIARFGG GIMRKKVGGLGGEYEIDTEAVDPKGAAAIVGEYALDALRRQGWFIQLNRVK L198_06371 MKIVSQEDVRGYTDSTIEGGLKGAVVAVALSVPGHFFFKKNVAA YRTLPLPLKCLAYVMVGVPCISIFAEKAGEAYNRGQYTGVGQKELDYELEREREKWEK LSSWQKTTDWAARHKYGIIGAGWAASMGLAFGIVARNPYQSTSQKVVQARMWAQGLTV ALLIGSAALTGMPTGSNGDSAVHTPHVDHSWRRMLEMDESLTAAERAQLKDESDPNKL KDLHESIAKRKQAAAGKA L198_06372 MKLIPRSTSIIVVRPSSLVRSRTPFSAVRSCPSQVSGCRAFSSY NPAIAGLTANQEEFRDAVHTFAQKEIAPRAAKIDKTNKLPSDIFPKLGEMGLLGVTVP EKWGGLGLGYLEHTIAMEEISRASPSIGLSYGAHSNLMVNQLVRWGNEEQLSKYLPPL LTGEHVGSLAMSEPNAGSDVVSMRSNAVKGKEGEGWVLNGSKCWITNATVASTFLIYA KSDTSVAPSKGMTAFLVERGWKGFDVGKGLDKFGMRGSPTAELFFDNVNIPTSNVLGR EGKGAGVLMSGLDLERLVLSGGPLGIMQSALNLALEYTHQRRQFGKQIGTFQLMQGKI AGKFYMYTKMSACRAYVYAVARACDAGKVSRQDCAGAILYSSDKAVEVAMEAQQCLGG NGYINGEASTFAPSELHRALFHRLTQLPADYAAGRLLRDSRLYTVGAGTQEIRRMLIG REFNVVFGNGE L198_06373 MAMSWSSIAKPAEGAPSVISIATHKYTRPVDKEPEQPSVEFEQD EATPEASSSAAEPAQDAEEEGRKTIRHLILDAGPLLSLAPLRDLATSFHTTPQVFAEL KDLKAREHWERLGLTGVDVKIEPPTAEAMAKVSAFARKTGDYAVLSQTDLSVAALTYQ YEVLLHGDSKIRTEPKQKKTGGGGGNGKGKEKEAPKKVEVAEAKKEEEEKPVEVEKLA EAVEQVTLEPTSSEAPSTTPVPESAPAQADDAEDDEDAGDWINPANLTVHRSRDLGLI TPSGSTAKPPAVACMTGDYAVQNILLGMGLGLVGEGGKKISKVKSFVLRCHACFKICK DSSKRFCPSCGNASLIRTTVSTSAKTGEQIIHLKQNFQYRTRGTIYSIPDAKMGRAKG QQKGGSGLILREDQREWQDAEKGERIRRDKEERKAAKGALEGWNDPDWMPEILSVGMN GKGRSSGRDMPNIGHGRKNPNQGKRRR L198_06374 MADKTFKIAVLPGDGIGPEVVAEAVRVLETITANSDLKIELKNY DFGGAGIDAQGVPLPDDTLAACKEADAVLMGSVGGPKWGVGPVRPEQGILKLRKELGL YANIRPANFASENLLKRSPLKEETAKGTDIIVIRELIGGIYFGERQETNKEGVAWDQC IYSKSEIERITRVAAQVALAAEPPLPITSVDKANVLATSRLWRKTVTELMAKEYPQLK LEHQLVDSAAMIMIANPRKLNGVLLTENMFGDILSDESSVIPGSLGLLPSASLASAPD PKSTTMGLYEPIHGSAPDIAGQGIANPIGTILSAAMLLRYSLGRGKEAALIEQAVQKV LDSRESGGFDIQTKDLGGETKTSEVGDKVVEVLKGLLSA L198_06375 MTYNLDPLPETATHKDYTRIHFDTANVPAKRIVGLLACQRDPLL HKMRTKVYAAREASVTAPPPPKGKAKQKKGAAPVNGDARPEEKGKLWEVELLDTVIFP EVGGGQPSDTGVIRLLNPNGGVTQEFPVEMCLRKKLDSVHLVRIPLGVEVEGGWEGRE VEVETDWDRRFDQMSIHTSQHLISALANTHYGLSTLSWSMQPYPSLDPPYIELARALT VEEALHLEQLCGEAIKQAKKIWVDFSIQGQEAGGELQGEGAVATREFRELPKDYSGGV IRHINIQDTDRNACCGTQSPNLALLSLFHVIPPTPSTSKKDTPTKLLFLSGPRAITAL QESSRILSAAARTVGASRADVVQRLERSELARKETSDSLKGVRGELAKLVAEQAIRQG KESQAIAVVSREEKGTHDFEWLGLVGSTYLEAFKNASLEDASSPKPLIVLVSALNPAV SSSVPSQSFLIVQSLDDALAKAVNEKIKTALEGRVKGGGARGKYMSKVDGKWGKAENQ MVEEIADEFKKEASWQSMPDGHPL L198_06376 MVRLKNLTPQDGLLKYEKNLREWGEEEMDAEGGEGGAEGIRESV EENEHEDSSSVPHEEAKVGEKRLYSHSFIDRAGTTQTDSLPGLLLDLSYIHLRHVDGT SSSVGISYKAESYTNKLDVRAVNQDDGENGTFIAEGLLSRTDLGYYSTPSSHFPSNSD ITHDIVEEGSWGAIVINEGVTGALLQARQNSDASYNGSRVINAYYAQARQENAANEFL VPLLRACLEKLCENFNLHAILPAELCHPECLDHTHALSAPAMDLQVRASHAILPSRAY SQDYNLQHQERHWPQRSVLIDWILVNFVTITVGSYYYRRKAVSAHKQKVAEEKGKKGA L198_06377 MSRKPSLKEVDRSYHPKGYNMSPSLQRARKPFFLTNALIGGSVA AFTLGVYLYSISAVKQDDFSDVEDLLPPLEERSKIRSIEDEAKEIAGGAVNSIPAARG LPGAPSKAIPDAPLAQAAAASSFGWGPKKLSDVEWIKRRGWVDGNGNVLVWGAPNVDR IGRLSDSTSAKKLV L198_06378 MSNLEKSLFQLKFTAKSLQRQAKKANKDETAEKNKLKQALAKGN TEGARIYAQNAIRKKNEGLNLLRLASRIDAVASRVETAVTMRSVTNSMGSVVKGMDKA MESMNLERISLVMDKFESQFQDLDVQTSYMESTMSDTTAVAMPQDQVDGLMQQVADEA GLEIQHGLGEASVPAKEPAVSEGSANKEEDGMLAQRLRALRPAT L198_06379 MGLLHLLAYGGGIAAFLFVTLSLASGLLWLAELIEEHSKYAKTI GMRAIYAIVALHAVLYFTDALPFYHTLFSILCHFIYLSNFSSSWPFISLTSPRFILSC ILVVADHFIWFFHFAAVAQDAKKYRGPKYRYGQNARPASDKESPSFGDVAAFFAICVW FVPLYLFLSLSANDNALPSFDHSAPPSPSSSQDPYITLSSPGPGAHSALPRASKSKSP TSLVKSALIPILSILPSIGSRSSRRRSEGLIAPRTPTRGSPLPSPGIKPQDYYPWGSD DAFAPSSGAGTPIRALTPDRGHTPPPPRRAQTEISVATVGSLKHPGTDKSAKRVSVGQ SSGLGQSSSLAPAVERELSKRKGD L198_06380 MPDFEIDNLTVLLLALLAGLAIYHRFLSSPNPLVHPLLLGKQSE VSSIRKSGETGVYRAWATGQGTPLTVRPANTVKTVQDLVNFPPEDPKDLDKRPEQRCI LDIKAGSFGRLLTDEAFAEIVRLVPLGIELLFPRASAASVSSIVTLIPPSPVTSLPLL LLSLSAVPVRPLVILPSPRLLTSALTATSHPAAGVVVVFADLLGEVLEQVWEDTGDKV GILVIGDAGKLRASLVEEARSKGLNVHWWEEVWEVAETEKADALKLPDSHFNDVHSYY YSETDDPEAPAVLKVTHLASNATAGIASLLSIFPADKRPSNKLHDVVASAVRLDTPLG MTIALASIWNGAEFRLIGNQEPVWDPEEVDHAEELTALVDSEKDLPKPTVLFITPKHH RALVNKLAYSYQAHPYTSLAARHKAHGLRSGHVSRDGIWDKIIWSGMRENVLGGVAGN KLRAVILVGDAPPASALGASHLLLSLPFTRLHPSIYSTGPVFASHFYDLQSPGVNHIL KDVDLWESPASGDKSHSGPPSSNLEVLLKGEGIDKAHDENGKWLEGRIYLRGPTVLER VDGGAKIEEGWVDIGEHAKAQTNGTFIVDGPAK L198_06381 MSHPEDVYANREEDWYPGIRQRSKPGQAPHEQVYDTTRDINGNV VTDKPANRAILSAQKRQRTHDARVLAQRQKQAARAQSGQTEESSTAGMLGRMLVVILF LPLLSSFTTQSYTFNLSPYFVPPLKRFWAETPLNPWKLEMKEFTPLQLAMYDGSLERP VYLAVDGVVFDVTSNRRIYGKGGSYNMMTGRDASRAFVTGCFETHLTHDLRGLSEDEL KSVTHWKKFFENNEKYTKIGTVLNPPIDPSTPIPPPCRGEKKDKADPHAPGAAAAQRG RAKPGPVGH L198_06382 MDTLTDEIEAVLFVAREVMVYQIPPQTTTAGHKAADWNVESFLW KGRLRVIDIGSRSELRLEDSSTGELFAQVVYVSPWNQVEPVLDSSRYFVLRVEGEGGK RAYIGMGFAERGEAFDFQVALQTVVKRTQNTTSISDDPEEPSKPAAPPKDYSLKEGQT FTINIPGRERKKPASSGGGGGGGLFALPPPPPPGRRG L198_06383 MCGTCPLSPGHSWPNCTAIDPLPPLTFGPALGFNILPHPAKTNN PADLNSEPAEHGGLQGAGANAYNAKGPYVPSKEIAEGLEQPKSREELQAEAAKLNS L198_06385 MFARSATRNASAALRNVQAPVGVRFYNSAFQSAKPTSAFSAQKN SNGNYTVTLIPGDGIGPEIANSVKQIFSAAKAPIEWEEVDVTPILQNGKTVIPEDAVK SIKKNTVALKGPLATPIGKGHVSLNLTLRRTFSLFANVRPCVSIQGYKTAYDNVNTVL IRENTEGEYSGIEHEIVDGVVQSIKLITREASERVARYAFHYASENGRDKVTAVHKAN IMKMSDGMFLTACRDVAKEYPKIQYDEDLLDRVCLRIATDPTPFADRVMVMPNLYGDI LSDLSAGLIGGLGLTPSGNIGRDASIFEAVHGSAPDIEGKGLANPTALLLSSLMMLRH MGLFEIADKIEKAALSTIAEGKAITKDLGGNAGTKAYTDAILSKL L198_06386 MAKSSKSAPAAVPKVEKKEKKSSKKEEKPAAVAPKAEKKEKKEK KSKKAKTPTPPPSSSSESESESDSDSSDSESEEEKPAAAPVAAAKAESSDSSDSDSSD SDDEEEAPKVAAKVEEKKAESSSDSDSDSDSSDEEEEPKANANKRKASEEPAAAPVEA KKPRADGEDEATTNVFVGQLSWNIDNDWLASEFESCGEVVSARVVFDRDTQKSRGFGY VEFANLEASAKALEKDGAEVDGRNIRVNYATKRDNNQAADKRAKVFNDKQSPPAETLW VGSLSFNVTEDQVYEAFGAHGDVQSVRLPTDRETGAPKGFGYVQFASIDEATAALKAL NGQEIAGRAIRCDFAPPKQENGDRGGFGGGRGGFGGRGGGRGGGFGGRGGARGGGRGG FQDRGRGGGRGRGAPRSGGARTGGIQEAQGSKVTFD L198_06387 MPPKLAVTDQDIVNLQELYAKFQDPTSHYHIPPGTNGPEHEEDH ASSRTPDLPTLLAKAASIVQSTSSTAEIQAVHGDREIANDRPWQNAEGGAQQKALDYF RQEGHDTVGVLTWPVAWGDQDSFQHVNNVQFLRWIESARIRYGESWGPVLGEKAVYDL LHAKGTGFILKDVSIKYRAPITYPDTVMISNRIHSVNPERASYGHQHIIWSMKDGQVK ALADSTVVMYDYDNLKKGVMSDQFRELLQSVEYKKE L198_06388 MFKVKSGLIVPSLMLVLALLAEPAFGSDQRERGALHHARNLAGR QEVENAATAVSSGSGGIASATISQVAETVVATSTGSSGSVIESSSVSVSQTSAVASVL ASDSTVASLTSAPGSSASSTAYEIALASSIVSLAQPVSNCTSPGGGCVIFITSIADCS NDTCACDLSYPAQVCAQCIASQEAVDSYNDYLESCEAGGLVEPESTVEVEVDDCETES GEETMVGSTTSLGDVSLTGSAIAATMTNLENASAASGSASRSTALTSSGADSPIALEI GNDSSDTNSSVTGTNVSSDTSALDGVMALATDSPSDTDDDLVTTSYSTISPHAAMTVS SSLGVVGPSATALSGQMSAAQTLSGAIFTQTSQNTSTTAALDSAHAFFSIDIDASCSS DCDSWQQLAQTCTDDTCVCTSEGVSAASNCSSCIRSSSSSNSSSQLASYASFTSNCTS SAYQAGMSGSSGSGMAFGSLTTSASTNPFATGVSRITATPTTSGVNVAEVNGGSTTTV TGGSGFTSEARKIGVSMGSVVSIVAVVGLIGSLV L198_06389 MRFSTAFSIIAALAAPANAYFILSHPVLETTRLDPIVSPGVISG HVHSVVGGNNFDKTMTYNSTQQGTCTTATVSVDKSNYWTPQLYYYSPTDDTYEAITVS FVNTYYLPRYSSGETTVRAFPDGLRMTSGDPYRRTYNNDADSNAISYVCLDYDTSHSG DTAWDQRNSFFEHNCPNGMRAQVNFRSCWDGVNLDSDDHQSHMAWPSGGVDGGDCPST HPVRLVSLFYEFIYNVQDFPYNGVGNTTWVWSFGDVTGYGFHGDFVNGWPAHVNGTNV LQEALDECNDNNGVGGELAACAPFVPYLDSASAEGCTPLNDLVNEDIGSGHTISRLPG DNPLWIGNSTTKPSWGDSSDSDIGFTDFKSTIPDGYTEVGCMAEGTSGRALTGASFSA TNMTRGICVQWCEDQGYPLAGMEYGSECYCGVSIRNGASNTTLLADSECNYACADNDY ENCGGSATLSLFNNPSLYQVYTYPTGWSSSGCMTEATNARALAKYSVTSDSMTPQVCI AACQAKGYTIAGIEYSSECYCANAFSTGSVAADDSSCSMSCSGDALQTCGGSRRLSTW TYSNSSSSFSSSSSSSTSSLSSTSSASTTKVSTSSTFASTSKTSTSTSASTTKASTST SASKTKASTSSTTTKASTTTSKASTTSSSTSTSASATSTIASLYVGCYSDSSPRHLDG SSYTSSSAMTNEACISYCGNLGFAYSGTEYASECHCGNIVQASLLREESHCKSVCTGD ATEVCGWGGNLSIYETGVSATKRSLSAKFRL L198_06390 MVSFCAACSRRLLSVRPPARLAPVSRPRPFSLLATSRRVDTPLR ALPPASYRSATTFSIGSSSSAAPHLPPIPTRPNIKVTAPSLAAIKEEGYLDDDVKLLP PEEAFLNISPEAVQQLVRITSREPLDILQRNKLALRVGVESGGCHGYQYLMDITEERG VDDYVMQPEGVHCIPIVVDLTSLGLLKGATLHHATELIGSSFRLQDNPQAKEGGACGC GVSWEAK L198_06391 MASAIQNITNNLPSLGRARLLINSADDVVIVAAAKKGGFKDCLP EDLLSAVFTEVVKRGNVDPAKIEDVAVGNVLPPGGGANVARMAQLYAGIPYTTPINTV NRQCSSGLTAIANEIKSGEIDIGIGAGVEHMSAHYGAGVLPERISDDVLSNQQAADCL VPMGITSENVAKEYGISRDVQDTFAANSFGKAAAAQKAGKFKEEIIPVKVKWTDPKTE EEKEIIVDADDGIRAGVTKESLAKLKPAFSKDGFTHAGNASQVSDGAAAVLLARRSVA QKLGLPILGKYVVSAVVGVPPKLMGIGPAFAIPKALEKAGITKDDVDIFEINEAFASQ AVMSIQHLHIPFDIVNPNGGAIAMGHPLGCTGARQIATALSEAKREKKKVFVTSMCIG SGMGMAAVFVNEQ L198_06392 MSSSASTPVVSPSSTPRPQTQVEEKAFPEKDCLTCRLTGAGAFT GIGIYGIIQANNQGAFKKIRPPGAPVVAGKVTALIGTVFIGLGIGRLFI L198_06393 MNPTQTNTFHHRWSHHPDVDYHFSHRFRWPAAPVEGASGAAANA AAEGAAGLASGGGAQPPPRGPYGGYHHYYERGPYRRWGRRPSRFIWFSIGAITATVWY KHHHERKNDLQKFVTDPQCWSPHHRTLPPPPTETPTASVTPAVPSPAPSATDSLPKRD SSWWWDDEKRHAGWRAWKEQKMEEHRARHEAKALQAQAPVDTTSSATSGETAEFKSLR EAVEKLWAEKTAADGTAQKVNDQAREYASEKLEKLSVALENLRESLKVDDKPQGDKKL V L198_06394 MAPKTVQPAAVTSKNIQTSLDILIDNYTSTTPARVKLIDAFLFF IMVSGILQFAYRLLITVYPFHAFAGGFGSTIGQFVLLAGLRAQITPGRDGEFKEVSQE RAFADFCAASVVLHLFAFNFLG L198_06395 MPVHSLASAVGDISTSHLLVAAATFLVIFSLKVWSGGKKNTWER EWAGKMILIVAPPTPTILALIDQLLHLPSPPQILFLPPIPSPLPEELLTLLHAIRLSA AKNPVAQLHCESLPRSPVAVRDFTKKWASVPTGTAGADGRRIDAVILGEGWEIRPKEL KLQSQWTTHEFHYHLLTSLLPYFLRAPSERSIRVISLVSPAWASAVPSLKNAEPREDI VFNTGRRSINTVLLMKHFQLILDTLAAAALGMVKPVPGTADEEVTKKRDESVKSNIMS LSVVMPWAREEVLKAAIVESLLSKILWIVFYPLIIILTPSPAKAAQTIMFALSAPVRY AAIDETPKVKDQGKELDEVRRAAVGGGDVVRDCEVIDIPPVLRDPALAKATYDALEKQ VEAGVKDLESREKASTKTK L198_06398 MLRVSQFCIERRPGCHTRLPIVRSHLRPSAAARIPIPRNPPPPV RRLFHTTPILFEFSPFNLHDVGEGIVEVEIVKWHVKEGQEVEEFDALVEVQSDKSVVD LTSHATGKVRSINGEIGQMIKVGQTLCVIETDDPVEEAQEDGASPIPDRVNQEANAAG QGQESGEKYTKSHESPAVAEAEELSEEVERASEPHPFSPPKPSKPPRKHPLGDSPSHE YLLQGQDAAMDASGPAQLSGEAAILPSAPRPSVPAHDGPVPERRSRSEERKRIVKASP AVRTLAAKMGVELDDVKATGEGGRVTREDVQAAAGSAASGEPRGAEQVAEQVVTRVDF GRTRKIMYKALGEQAKVPHFGYSHTLDLTNLLPYLRHSPPSTAKPAYIASDIPSNLSH DPLETFARPKKSNLLSFLVKAAVMALEEQPILRSRVKESGGDRWLEISRYGTIGVAVS DPKLGLLTPSLPPLSPSTSLSAVSGHLQHLRETASRPSPPPHLTVSSVGGLGEARGAM PVLPPGDGLAICAVGRAKWEVEWKAAEGKVFGKSPEEVAGGGLRAVLRIPVGWSADHR VLEGAELIAFTETWRKCIEEPWRWLEPE L198_06399 MSAFSDASVASPALPLTPSASRATSPLHIPHAQPEYDYATPTHS PLTPPPSLRLYSSALKAKMAAGMTAAMRDLRDDRSAFSGHKLDTDFAYDEDPIERHVR LYLTPRTSIHPQRPQRPSHVPAEGSSSPPYSAPPAVKAVPKQLHVTMDGAEGTPSYEI VTIDETPRVKQNGFRRPFADDSDADTDDEEPPEMLVRSPALFSPSTSASVDQFIPGLI NFRTNSSTSTSSSHLLAPRPDAWRMDSGASTASDASFEAIKAEDMVSLYGGGVKREGS FEEDALSDEEEGVLGWPDRGSMVSTSTRDTVRPNKTPKLTPTSTIHPLSPNPSALHAL LPSADLSTPPLLAPFLEPSSCRRPAHLSEGLTTASDDGYGARADESGSTGLGLEGVQP AWLKERTLSEKMVLDAGLRTQRQRAGVRRRVGASE L198_06400 MDKSEVSKIGPDVATKFISLDRTTFDSAINQYFDDNCTQSSRLL TLRGVSRIKKLAQLEAFPPTASYLIEEPHYDVDDETISFAYERIYIPAVPSFLPFSAF LNGYLSNIKFISIWDSRLHLNAAENDDGETKLYVTKVGPTERRGTYWFENILPFFIIS PLLSVFLSLAFDITSILSRHPIAEEGPFGAAYSTIAEFIGQEGSVDTEIGYKNHVIWP FRAIGVIIRLIGNSIASSLSFIHSTLHLDNTNPIIQLVSSILGYSLAFLSVLYSNARH FWYTLFGVAEGEAEKWGMAAGEYKALGERYVGQTYGVIDEGLSNAKKVVRKHAQEPSR NLPAAKSPFKDRSWPPQHSSQERGAPSYVTVAKEGIDISPSPQHGHNSREPGAPSYAA ATKE L198_06401 MLALRTLPRFSTRVLRPTTQLRAFSSTITSLKSNTSDPFPLPLS DPELAAANSRLSDDSEDWPMPQPLDRTGEDEKTLRARLVYQTRKRGTLETDLILSTFA KDELPRMTVEELKEFDKLLDEPDWDIFYWSVEKRDPPPRWKGTKLLEKLKKHAKNEGK VVRMMPELTQLEPAL L198_06402 MEVEKRKKKRIEMGAGLYREGGSPAGVEHGTHLLATGRGSGGKD AKDTNDEKGKKSRQGKKIDMEKRRSLVDEPKGDVREAMVPQEMTPPTRTALQAIH L198_06403 MHYPRVRRYIDDVCVREPAFYADHGGPGWYPELQHPNQVRLAVQ YNPLLKEDVYIQPNSLFFSGLKDGLSKGDLRELLDEYGVERGMEFALFQPHKQIHRTS MGIMIFPSQRQAEDAMYVLNKNSNHLGVSRGEKRADFRVRYSEINSLGHRQHDISDIL LVRENLSISDAYIPTYIPESNDGIVAHPSSPTGWHAVGYSRDEFTTKRKAQDLDKLPQ DPRKSGKGKLAAEDTPSPPKRRTLEPVNKKASDETEEGVGKENAIASKMRAPLQPVGL GHPSASQLPPVPQHHPHTSTLDAIRGPFRFTGSKDSKKKNKKSGRRPRSPPYYRRTSP DNPNLVSAPNGNRPTSRRMSASPYSIIPDCPELSVLSQDQKKVLLDALHLLGDTPKQV KGREVVLDTPSQAEKFARAQQAAREQRRKPVAPILNDSAPSDSVKEPKAMRTPAEIEQ QARPTSSSPMPQSFIPTGPANTGTTFSPLPKGDSDRKSKPGVNHSDPLEAGEIREHED VSAEAISDQSLLDGAAAEALPARPSFTAHRETLSLSALTKFSHHLHPNPKVGSYREPR FVALSPGGKTLTLSHSTVNTRVSRAQQQDEFPFENLSDVQRRILGVEKRWVLNGPAWE KWKESREGVVVLDAGVEDKIEGGRTIV L198_06404 MGRYKPIRLLLLALSVLIPLNVWSLPPHLPHPNLPGKFVRFSEL DKCPALPRRETPKSAKDVRPDDIRVVMALGDSISAGLLARPSRTSSSSVLPQIPFLPK SVDIQEYRGVSYPIGSDPGAVTIPNILSHFITSSSEQGTKVRGGSTGNHPPVACLQGL GVRGCMPRPDEDGLNAAISGSVSASLLRQAEDLLPRLSELGLPKEGEWAYLNLGIGAN DICDFCLSPNISLSGDFPFAWEFGSPGSPKQFANDIRTAINALRPHLPHLIVNIVGLL RVSSLYRLTLKSPYCQPPLLPHIPHLPLECGCAMIPGPAGDWTRRKMDELGEEYDEAV LELVREWEQEGDDGFGVIWWPGSAIDLESYPIKALSPLDCFHPSEAAHQRVAAGFWNR LTLSLEEKYLPIKWEEDIWVRCLKEHDRFPIGMVSESLQT L198_06405 MNPPMDSNSLQQQHMVMMGQNGQRIAFDPNNMSDEHRAIFEGQI RLQQAQQQAQAQQQGREAKVAGQRTLGVATPQQTPRHMMQHPQLLQSLQGQGIRQVMT AQGTVMFLDTQGRQVHINQGWQGDPRQPMTMQQQQSMTQQQHHAMQQQQHLMAQMQPQ MQQQQQQQQPQQQQQMAQQLYTPQQTQMMQRPQVMHQQQALQNQQAAQQRASQPPSVR PPAASLPTPSLLQVPPPPVMKQRPVHDASRSASPMPPHMRMPQQQHPQPATHMQPSIS AIAGVGQSPAILPARASTIQPSMSENHLQRQSVPPQSHPQQAVDQTITIPPQQTPQLE PMRWSKTMVLSSQQRLERWKQKTARLESMLADAKLGLAEMEKEEQDGKKRAESRATDP KAEFEREVLGYLRRAGYESAVAALEGEMKQSAGEKEVIKSSPKSSPNATAQASSSTPA EPNSAKEMPETDSIFGTEVEQEGRMDVDKEKAENGEASKDLGELFTWWILYQDTRVLL ANKPHLFQPPSSLAVTSAQPDSSSATAIAISAPSSARPHPGQHLNGVVPNEAQLKLQQ AEEMRQAQQAHIAAQQESLRRQAAGQNQSQHQQPGQPQHQPEQRVLVQIGGQNHSLTQ EEYQRLVQQNQQQQQFLAQQQQHQQQQQMMMSNGQMYPGQVQHPHSSPSKRKRELEEA AAANKHPKIGGQQPTPEEHRKQAMDLARSVSGQMTPQMGHNYDPDQSPAGQLSDRRTS LSEAQRQYAATQAAYARQNLERTSVGNSPATFNGVSPAQLGQSPMDGSPAQGHDGANG INQAPTPASAKGKKGKSALVIDTEATPAESDTPPSATPRGRKTPGTGKRPPRAAAEKT VKGKKGKRGTANNATGSTPGQEGEEPQTPAVAQTPGSTQTQVYQLPAQTPSEEQPPLD PQLTSSAVSQPDPAQIPDQSQPPQPPSQHQGLPPSHNQFEAPAFEFDDSNDLFKMLDF SGGEGGGGFDGADVFNFDGFAFPGVGGEGQEDWQAVESSSR L198_06406 MPPKAPATVEEAQAIFQRYRTELQLLATKIGEIETDLDEHALVL KTLQPLQSSDPTRTCYRLIGGTLVKRTVTDVVPSLEATHNGIQKALDTLAANYKQKDA EFADWRKVAGVQG L198_06407 MPPTDLPPYDLATHSSSSNDRRTRNSERTSRACTTASNLCCRSR KTKCTGELPMCQTCLFYGQNCEYPAVDKRTTDRSA L198_06408 MSDEEQTLLPEPASPCANFNVSTVSIRVHKQCDGQLSELHLEPE CELDNARRDIWHFCLNFSAWWATFYAQPVLLMINWGVDQRPPYVHSNHPDTNVRALSL MAAQHSQLSYYGFESLSINHLLKMPNGMRVKRVRELVMYLKRCRTSPLTSPGQSPPGA PVMHPGHIVTQGMYWTYIILLYRPYLIEMGGGPNLIPEALQRCLECTQDIVDMAHYMV DRHGVVKAPLSWQHILYVGSTILIFKLPASPTSQKDNVYGHSTPFASSNRL L198_06409 MPELKEQDVLAYYTAIACGLVHRGSDGKIAPVSFTSTPAESSRQ TPVLDTVTSKETSGKGKKGGRKGKKVVEAVEAVEGEGARTDVEAASVEASKSKDKGKG KKKAVDPAPPAVSQTTDEVPPVQPKAKQPKKSKKNKEAATPAVESTNAAPETSTPVLS AYETLAKEISDKAKKRVAESAEAETSRTPEFTAEQPAKPKPRKSKAARTPVGVEDAPA PLPPIPPAPEPSSTPSGPSKTLAKAAKAKTPKSTSRAKPIAPVASPAVESSAPADSST PARGVPSRDTRALSTPVSEADVYRYHFSHVSGSGSSHDSPASHSLASPGTPASPTQRG KKRKSDALGAETPLRQKVLSRGGMGKKRSSLDAEDLADMEMVDELATPVNSPGPTSEK KKKKSKKSIGGPEVASSAPVESVSVASPIPPPSSPVVPDTSTPSTKPKKSGKESKKDK KEREQREKALALEAAELEEKEKAAAEKKARKEQEKKEKKERRKSKADAPSVSQPPKSP AAAPDPLPVTTPAKDTPITPASKKKRRLTDLPIPRLTPAKSPAVTSSAETGSPSAVKK PRKSVPTTPFGQGQPEPAVMHMYATMGVVTPSPKSKGAGSSKKEKKKLGPRWITETPK A L198_06411 MADCITIATDLSFKDQITELAAHLSRSLPNADAAAIREFVGEFE SKASAEGADQKAIVKSVVDKFAELNGGLEGAKESEVESSHFLLQHVLSSVYEQGSEEY DQAVKNVNEAVRKGAQEATKHTRAEAGSRVLINTYNYLPATSHLRPSTLLALMSLLST TLDLSTLPLPTSTLIPALASWNIPSAEKVEFLGTAAQLYSSFGDLSKALELITLALKE SVEPALVEKAVFLSVAVPTKFELDDVLAIQGVPDQLGQTKSLVELFEGDEIEAIEKGK KWAVANASLVEGAGIEGFTGENVLRKLRLIALVALCEKNETRELGYAPIAKALGIEES EVETWVIDAVRSKLIVARISQPLSIIRIQSISSTTSSSRRFGSAEWQLLEKRLQQWKK SVGEARQVVEEAEQVAQQGLGQQRRNNGGKRREDKREKDEKDEE L198_06412 MAPSPGKIHQLPGNIDTSPHSYVTVLSGLQDVYVHYSAPSYSSG QTHTGPLQGSCVLKGVARAVCYASPECIPDNQCLDFSLYNLDPTERTPFPHAFNPAPD VTPSSWTGKGFMSWVLSESSPGTTLVRGKLVREYEFSSHHFHDMEGGLEALVAAANST ARKQDEADGKGWGLEVNVSLRQVNPEGKAEFKGRRAFEASLTKGGSDGQAPNSTNGML SSPVAQRFPSQLQSGQNFAARPAGVGLGMEKTESKSPGSHKKPTNQASNGQAAGNAQS NRPSSAMGRPASSTGLPPSSFPRSSSSNLGQSRPSSSLSQNPPSRTAQSNFQPPRPPQ PAASDAVFQPPAPPKPAQPRPVTPPPAPRSKSPPPSTPSRRHLQALLKADGNMSPDLA RHLAANPMLRQILKAVPPGASLLSQLRSVKVDDLAGGQVQDLKREGDEKDRGSPAEPT TPTPSGPKTPLTQKPQSKQQPQNSQAAQQAKALQAAQGCCNCGTMVSSCWRVRKMKEG PPRKVCDDCGVYFNEHKQMRPQELWDPKPAPGGNATAGPGPSTSANASQSAEQKRRSQ SHHPSSDLFSDGPAVRSSPRLRRRESQDHPTPTANNHYNTRSHPSEQALPPPTPRTAA LMGSVAESPRKRYKSKAGQVADSPRMATRASARAGPSEFSSEVFGFALGDDGSAHSHS GHTPAAHHHTGQANPAALTPMLSNVSTTPIRLNHASSHTNPTDNTLPSVDESGNNLDP DFDINAFLSSMDNQTLGADDFDLNSLFTGQDGGEVNGELNLEIMELLANWDEDASGDG GDKGLPQSEGMDVDGSGL L198_06413 MTRLSLHLPPFAHNSLAFSPFYEDRLALASGTNFSLVGNGRVSV VHLGEGVEGGQGIGVMRGWDTRDGVYDVAWSEVHENQLAAALGNGSIKLYDVTLDQLP IRSWHEHTAEIMTLEWNNLQKDIFVTASWDQTVKIWNPSRETSLLTIAAHPSQIYSAT FSPHSPTILASCGSDGFIRIWDTRLPTPPPVKTSIPPPPAQLQASQSVQQINPSQGEI LSIDFNKYVPHMLAFSSQDGSVSTVDLRNTPRGAEGMGVRQVGKAKLGARKVRWDPHG SGRLASGGYDMACRIWQTTPQPRELYAHTIHTEFVMGVEWALFDPGLLGSCGWDGRLD LYRV L198_06414 MAFLQSVVLASCSFILGIVFVSQVVDIPLLYMPVTETAIENGYK FYELWWEAPGAVKALFNVALALPLVALLVKLHKWNESAMFFDGSCVAMHLATVILYLT VHLTSLRTFLPESTVASSYSVLPTPAPREFPPTNDEKIEALRVLAAANALVGLLTVGI IGMQIGQEYARRVEEKEQREVDEEKKDI L198_06415 MAPTTSKSAKAKPYDRAEASKKSKGKGKAPQDLGAPATHSQSSR KGKKAWRKNIDIRQEEEALEQAREDERVTGGPAAAKTSGNLFTIDTVGDQTVAAKAKR QHKPLRSLAILDQKSAVPSLTSKVSKAPAADKKQHLLSRAEKDRLKRIARKTQAFSDG TGLSSADIQARGPAEKDAWGEEEEVVVKGGFGEETIVKRKVKAPITIERQRELYLEKA KKVEDQPEGGLSYNPKAEAHQKLIDAAIQEELDALKREEAEAARLEKFGAVIEARRNA PQSEFAEGMEVGGGESDSEEEEDDDVEKPTKKPSKRKTTAQRNRQARQRALEAALLAN KNRRNLANSVLSAPALNLQIEAQKVLQAEKDQKAKELRQQKEKELKKAGQKIGRHRVP GKRVEVQLGEDLAESLRQVKPEGNLFKDRFLDLQKRALIEPRVPQLPKKRSLKTKEYE KFAYKHFK L198_06416 MSTRPIVRFAKTPLARGLTTSAPAFAPKKATAATAKAKQGFAKK KKADAPSSSGGKQTIALRYSMAGTPPDLSDLPRLQPGTFRKDTVGKATTLSKQSFDKL KAIGLPTKIDKELSSAGGPASVVREATLDVVKRVESAKNGNSKDSRFILTGEKGSGKS MLLVQSVVYALETDWIVLYQPRASKWTNSTSHYIYDPSTKTYSQWESAQDILNVLVET NKDKLDTIKLDKTVELAKGKEIKQGSSLTELAQLGAKDDRVAVAAFEAVIGVLEKQTR FPVLWAIDEAQSLFNTSKYRTAEYTPLEPYHLSTPRLVLDFIAGRRAFARGSILTSLS LSDPTNLPSPSLSTALSLPTTQPITPYTPINPYHLAHAHGLTPIVVPHGMSAEEAKGL FEIYTKKGWAVGASDELFVEGFVGTQGNPKEMGKGWEKTFMALTI L198_06417 MDPLSPSDDINAGWSSPTDHTDPVPPSPAPPTTASSSGFSSAAS WSAAGLREPKIFGAPGMGLVSPLPDAQSDHATTNGAKEPPRPYLRVRIGTLERNRKDL LVRFDASTNLQHYRLPLYKNMQRSYVEFQKFAEQVQLLCPQTIIPALPLPSTSAMTDE EDDRLVRIALQRWLSRVCEDPVLMKEHELRSFIEAEFSYNPITPQSARRAGSSTVLSA LTKVVRRGPLDEDDELASAKIALEKLEERYAQAATCVNQLGKARRQLAQSNADVGARM ISLSTVESEPSLSGAERKMGRTWEHGSGLMGSQAAHENVILSDSIGYQALNARAAKDT LTQRTLVLEDAQAATKSAINKRRALERLKGSSNINQNKVDDAISEMQEADALEDTLSK RVSAISENLHTSLRAHSRHAHEDIAISLLEAARMSVMYHKQTLKELESLKVDVGKVAP GAAPLPLEKRTAAQTQVPARVPPMVRPPPPPQQQASFQTVRSPPAQVQAVQPAQTRVQ GQYPRGVPTSQQAGPSQPPLEAGYPRHANPYQNQPVSPFHSQPSPSPYHSQPSPSPYH TQAAPSPYHTQPAQNHPHPHPHPSSPQYQTLGAQSPYANQPQFQIPPQNTVPQQGYYP QTGPAPPRRVPTSPPRANLVPDGSQSMLLPANGAGGIQRPHSAAPYSDGGADPLGGFR PPAPGQLQGFPQGQMGMAQSMFVPGHPGQPGYPGYPGTPGAPGTPGMYGPGQGPQRAG SMGRKRLDERKAAKLLAGGF L198_06418 MPLESCMLILDNSEYMRNGDCPPTRFQAQAEAVQTLFTAKTDAN PDPSLLVTPTNDLGRLLHALSKVLISSLPQLSTAISIASLALKHRENKNQRQRIVVFV ASPLSETADELVKLGKRLRKNNVVVDVVTFGDEGRENDDKLRGLVDAVGSDESHLVSV PPGESFLSDVIASSPILFDGENAPAGGAAGAFGDDLDPSLDPELAMAIRMSLQEAEAQ AAAAAPAESSSSGGAQLPPSITQPLSTSDDVQMAPGDASASAPAPAPADPKVQSALSG TGAASTGPALGGTAGGEQEEEDEELAAALRLSKGEDVEMGEGEEEEDEDAAIARAIAM SLEQGEKKQ L198_06419 MPAVPLDRKAMPDKLTAPPLAKREEEVAALVIDNGSGMCKAGFA GDDAPRAVFPSIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGIVTNWD DMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKQNREKMTQIMFETFNAPAFYVSIQA VLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSIPHSILRIDLAGRDLTDYLVKILMER GYFFTTSAEREIVRDIKEKLCYVALDFEQELQTAAQSSQLEKSYELPDGQVITIGNER FRCPEALFQPSFLGLEAAGIHETTYNSILKCDLDIRKDLYGNIVMSGGTTMYNGIADR MQKEITALAPSSMKIKIVSPPERKYSVWIGGSILASLSTFQQMWIAKSEYDESGPSIV HRKCF L198_06420 MSFFRLNILRPALSSSAHLPRAQVFSSSASSRPSLLSLSSASSP LASLRFRQQRLPLGGAVEGMELGGRREFAWSRVVEANPQKQTKLKSHSSSKKRFFANA KGMFKRAQAGKAHLNTPLSSSSINRLAKGVRVTTTQARKLRKLLPFA L198_06421 MSRKDSPSTPAAVGQVAEGKVGDGKLDGSKDGSVDIPATRATGY RDLSDSEVAQFPGVKREHWWELWRPRHTPPPPKVSLEDADIIPLATASILSKLTFRWV TPIMVKGYQRPLQATDLWKVSISHAASQPLSTRFMECLEIRQKKAREWNESLPTATPT RKQRVKWAFTAATRRRLASEYARHGSDSTYNERMATLEKEWRECSGKRHGSVTWALND TLSGFWAGGLFKVFGDTSQIMIPLLVKSLINFSKEVYAAHQSGEQEPNIGRGVGMAIG LFLLTVMQSICQHQFFFRSMATGVLARGVLIAGVYKKSINLSVEGRTAHPNGRLLSYM SSDISRVDFCAQCESHQYAVWTAPIQLILTLILLIIQIGPSALVGFSLFVILAPLQTW FMKFSFKIRKKSMVWTDSRSRLLRELLSSMEIIKVFTYEIPFLKRLKDFRKKEMAGVR KILMIRAANQALAFSVPSLASVLAFVTYAASHDNMDPALIFTSLAFFNLLRQPLMFLP RALSSLTDAQNAMERLTEVFEASDRAESTVIDPSIGMAIRTRDVSFSWSKLNAADAKE EKEKNFDAPFGISSLSIEIPWGKIVAIVGPVGSGKSSILQGLIGEMPQLGGSVTFGGR LAYCQQSAWIQNATLRDNITFGQPWDEKRYWQVVRDACLVPDLEILGDGDLTEIGEKG INLSGGQKQRVNIARAFWSDAIIQLFDDPLSAVDAHVGKSLFENAILPLREKGKTVVL VTHALHLLPQVDHIYAIRQGTIVEQGTYTELIACRGLVEKLMTEFGGLGGAEEEERAA EEETALDEEKSESTKRKPGQVQEASDGLKEAGKGKAAGTGKLEGRLMVSEVRKTGSVG GKVYKEYLKAGKAKYTVPLTILFAIIMQGSQVMSTVWLTWWENSTFHQAWSTYQGVYA VLGISSSIFIFAMGATMGIIANLASKKLHSQALENVFYCPKSVFDTQPLGRVLGVFGK DFDTIDNQLVDSWRMMAMTLVTLMGSIIIITVYLHYFIIIIFGVGIGYWYFAMYYRTS SRELKRLDSMLRSLLYSHFSESLSGIATIRAYSETPRFIKDNTYYVDLENRAYLLTAT NQRWLSVRLDFLGALLVFAVAIMCARGGGGITASEIALCLTYLTSVTQVLGMVTRQSA EVENNMNAVERVLWYSNANELPQEAAHHLPSTDPPMTWPGQGAVEFKDVVMSYRPGLP PVLKGLSLSISAGEKVGIIGRTGAGKTSITMALFRLVELTSGSISIDSHPIDSIGLST LRSRIAIIPQDPVLFSGTVRSNLDPFMEKEDVVLWDALKRAKLVGQGGRGKEEKTFGL DSVIEEEGSNLSVGERSLLSLARALVKDSKIVILDEATAAVDLETDAKIQSTIHREFS DKTLLCIAHRLRTIISWDRILVMNAGQIEEFDTPLSLYDREDGHFRALCDKSNISREE ISKARQSEWA L198_06422 MKLQLALLAALVASVSAEPIRLITWTENQAVDTKFDISQPEELP SWNEAAVDQEAPVAPMLGGKPCHGKSSESGPLSSLLSRLGFTSIPDSAISRTEHEQLD RPHQKQSLDVHRESLLAALRSRLPGYVPFLEGGSRIPAPYAGVESGEWKIAQNDRAPE IKWWRPAKNGRWEVKDGAGEWRVAAKGERPPKFGGIGVPAENSRHGRHGWKHNHKGSS FARLRKALKHLSPLESAALAIVLGAGIGSLFHLLVISFVLFSRRFGFCLGPRFGRFGR HCSGNSEERRARRAARKAERKAKKEAKAARKTEGTVRLEGENRLGEVEELPAYQDAEQ APLLDEKVDSQV L198_06423 MSDEKQPAGGYDPTPLHPSTSPTYTLRITFHRATNLPIADFGSG SSDPYILAQATTSQRKRHPQDPQLRFRTNTVRKSLEPVWDAPWVLAGVPEDGLNLSVR VYDEDPEDHDDRLGKFEFHTGPLTEKWEGVRERSFKVKKTGADVRAYALRWACVLLRD QELHADVIMSVEMLGKTKQEMGKTYTINGFWWKHYSPVIGRLTGIKAKDHEGVERYNF QANEIQLVGPVPNELYMRYVEFKPFVKGMFTASGLRGKVLNKALHHQHERLYNFDRQT EYGVFPDTEEGKNPGDDVTKKFLDLVHHDQGARIFTYVITLDSLFRFTETGKEFGIDL LSKHTMHADVDIYIAWSGEFFVRRVSDPSKPAFSPEQHTHPTEDLPNGPPNADPPADP ANYELIIDNDSGTYRPNKDLIPVFEKFLKRVLPGIKVVVMACDDDKLQEMKSEQRKTK LKEGEHIVYGQTSSSNLADGDGGSISSSDEDDLDARARQMEEEDTSGGAATGHLDTVV GALESPKEAVKKLVPDKKEK L198_06424 MTLALVVFRLLLSAMVVMAASIPNVGKRYISDVLSEGYRNLFDD SMKILDNNFALPFLWKSPKLSSWYAVGLLARNEGDDVEIANKLIHNIVTKQYTDPSFV AYGTFLGDYHGPYADGESLWEPKIYGSYDPNNALFVTIAGIIIDHDFASLLEPEVLAN LRKAMYMAVVGDGYRVGGESGDNLYPGYSNPWYMRCVSAAYLGHMYDDANMTYWAENW AQEAVDLFNQYNTLAEFNSATYEGVTLFALSLAQYAPDNSTIYKEAPRLLEAIWDQIS ETYNPSLNTLSGPWDRTYGFDMTQYYSVLGSAITGITGKQKSDPMPNPLDGANHYTDM AMMPMQAYTSPYIMSHISSSAKSRFSSLYAPHSYTAQSISPPWDKRARNYTFWLEKGL SVGGMTFDEKEVGGPSLNQPSFSPGVIMWDAGKTGTGAGWISYYPTNPACEILASSTN LTIRYPPTQDWPNGTTSSSIQLLIADPRINEALSNILEGSDEKDIGFGKEASAYISAV YQRP L198_06425 MPSSSTRTFPHEVAVFSPLFVFTTLTAKEVLAHKSLPYGHPHSG KPCSLFSLDDELRYTSFASDHGPLNLAFTFLACIKIHEKLERARDRRKPVCLYTTTEP KTKSNMILIAALYSLIVDKQPPWNAYRPIAHLEVMPFRDAGSGAMDYGLSSQDVLYGI EKAISFGLLDLASFDPDEYQYYETVENGDLNVLGPFIPFASPQEPAWISVTRSAKPST PTSRPRLTPSKSNAISHTFCCVLEVFERQNVGLVVRLNDELYDSRHFKELGMKHVEMY FDDGTNPPDDIVREFIRLAEDTIEQKGKRVAVHCKAGLGRTGVLIGAYLIYKYQFTAQ EAIGFMRIVRPGMVVGPQQQYMVLNQMKWAGWAARDQVLRELEATNQPINVLATPPTE TVPIDLEPVPIRPSLSRSSTKLRVKQVQIDAAPHHGGGDAVGQPRKGRGPVQSEEVDM DVQEVDELASTPAGEKDLVPATPEQAKENEYNASSVSSLTGSIRGTKRSARGSSGYQE RKPLPRVSGEPSSPGRPQTLTRASSNASINSEGSVELERPPKRRTASNLGSPLAREHA ASRDSSPVAAERKVLRESNSQPMLVDKVENQESGRKAMDEKERVDTPETPVRLTMGRR LRKRVISSSPSPAPPSLSITPPDSLDEHGPATPTSNEAESNITLGELPSATSSLRKTM PPKRSFLPKRNAASSPAPVASTPRSKPSIGNTLATKSANTNTAITDHLKTSTPKKQGK EARKGITPPRITEMWGQLSRMGSSPTGDKADKERSREQERERQRVRTERS L198_06426 MSRGPLGELPGMTFDPLRNRYFPTPKNPPPSPPAAHSRSGNSSR RDEIVNMFTPGPGQPFCVKKRPRSSEAGEAREEVVCGGGGRRVQRGRGRMGALGGRQR ERGEESVLSKLVLDAEHHSCGCEGEVITSYQSYGDEAYAATTDHGKLILHWAGGNTVV FHVCPQPLVSMHWDVMRMSMMAISGGVDPHVHLFIRDPSLLDHIRMVHGDIKLKGDIY AVSSFDDKCTIGGTKSLTVIDYKTHLHDSDPRLISSVRKLKSDALSLDQYTSDNVLVG QRSGEVISEDLRVQPGKGQMVGSTRKRKAVVGVKKVPDSAVPWGVAVSGMGNEMLLYD ARYSEKPLHIFEGHVNNFQTKVSLALSPSGEHVFSSGSDNRIRGWSTLTGEPLSPQPA HYQYNALTPFDEASEEENPLSRAFDDKISHLVVREDLGLDVVVQAQLYRFAK L198_06427 MPATNGLGTVRSLKFPPACRYAADTLDFAAPSLTPDEYETVKQH GDWTGFMQSHELKPWDHDDIDEAHEIVKDDDDQDPVYEVRYDDGEEAEVGTFGQDYAE GDGGDDGYVPGDSGDFVDGEDHDDYHDGGVVDDYPEDYDQGYDYGDDDGGDSFDQDYD EDYDSGYD L198_06428 MSVMLETSLGDLIIDLEVEKCPRTCENFLKLCKLKYYNLNAFFN VTKNFIAQSGDPTATGAGGESLASLLHSQNPSGPAPPRYFSPEILNSLKHTHKGTLSM AVAPANPPGCGSQFFLTLADGIDYLDGKHAVFGHVIEGLDTLDKINEAFVDQESRPLQ DIRIRHVEILEDPFPDPASLPSPPPSPIRPPDNLSRIADTEDVHKEVDEEETEELSRK TAAASSALTLEMIGDLPFAAVRPPENILFVCKLNPVTQDEDLELIFSRFGKILSCEVV RDKKSGDSLQYAFIEFDERSQAEQAYFKMQNVLVDDRRIWVDFSQSVSKMNRTMLSGG GVPRGGRGGGRGGGGGRGGSGGRGGGGGGYGGQRDNGYGGQRDSGYGSRGGHQDTSNS RRRSPSPPHRSSHRYRDSGRERDDDRPRPPVHTAGDIRGTEGYGMVFDAGVPSGSGSG SSSRRDRERERDRSPRRRDDRDREGDRRHRERDERSSRDGRDRSDRRDRDRARERSHR DRDDRDHRR L198_06429 MPTTIPSFGPIAKIASRQAQEDGFGLSVLDGKTRLIGRLGTTSE EEEEQIRLFFTEVVDRMHSRGSSSLFITDCQRFLVVQRLPDLDEPKSFHFSLSTLIGN AGPLDLNTPSDDLSPAHQPNLLTELPIGRYSIHLSGDKEARPDLLIHSYPLAIRDGNL IGPLDPESAVLPCLTSFHSSTASGDGETGQPGPTVISNLQIHEPVGIGRLWDSFLATL EVAEEGLFEGMKIGQKVTVIAKITSPSSYSGYPRDFPQQTARDAVLNKYRLYSTRLKH LQGSVVPRCYGLWGGVFKAKSQWDIGREIWVMLMEYGGKTLEDVYDAFTGVDDPSARR ELLRQYERLHQANVLHQDIDIRHWLQRPGGGWMIIDFDGARELTGAQEDKEEKKEEMR RVNFELPQLNRSISSSPTYEHI L198_06430 MSMSGDFDESEDGNQGKRVRWVRMCNLSSKPAICQCVDELVARQ AKEVLSTAYSLGFVVRFDRRFSSDDGEVSLHLRVSPMVGHQGRVICFEADGQYFHPRY DAPSRLTEKLLGLLCGFSLPSLPEE L198_06431 MAHVPASPTAPGPPVRHTRAPVVDNPYDVDLVVPYSIALGKKDL KNRTKAELEIKEGYEQLLRAIEGHGGFKVATKPGRAGKGQEEVWVFISASEEKVERLV EEERQMDAAHNLPTPPVTFPPSPATRLRLIYNVLTAPALQGGLGITPGRGRWSRVKSI MALHDEAADHQWIEKWTTGGDWKVGLTKGLDEDTQRSGGLGDQQPPPVHLYFDFLTTY TLSLLPISVISVLFYLFTPADSYPPLYAFLLSVYASVFVAIWRVKQRKFAVRWGTIGC ESMAISRLRPEYVASLGFDEKKDLQAVDAIQAGNELKRDTKVAASIPVIVACGVGLGI VLMGIFVLEAFVGEAYDGYGKEIVPLIPTALFSLLVPQIVAGYGYLAELMVKWEDHPT PTGEEKSLTAKTFAMNAIVAYLGLFLSAYVYIPFGSFIMGHVQNKLAGQGFSVEKVGG SGNGTVQTETGPGSSARGTGIDKAAINGGRLKSQLFAYTVTNQVVNAFLELGLPYILR FINEWRAGKTTLREAITRRGSGPNGAGEKTPVTEDDVEKRFLDKVERELELPEYTLFT DYAEMVTQFGYVTLWSIVWPLAPIFALINNYIELRSDALKICKHVRRPVGDRVETIGS WLDTLGLISWLGAVTSSTLIYLFRPAPEGPSLGSQSANPNFPLPGSHALSHIVSTFHE HSSPANPFTSWINVHTLESLVPLALIALGASHGFLVLSWVVEGTVERVWWRGSWEERE LGRLRAGGAGGVRSETSAVQEVREKVFAPEVLGGFWNGGEEGAREIARLIKAE L198_06432 MDTDPWADAPSTPPQPSSLPTLPFSPPDARTGTGSGLASQMGSP LKAQASTLEDVSGGFGLEDESAGAGDVARVPASKEETGEKNEEPPAVAEAEEDDGFDD FDDFNDSAPTPAANGSSTTAEDDDEGFGDFGNFEEGDFEEEEAPQETPGTGVMQEPES MVERWRALELRPPPPRSEIANQLSALFAPLLDSGKDLLTNEPPRAVGGLSQVLVGESS RDAYAQLTTPPLTKPLDWTRSRVRRDHLISLGVPVNLDEVDSHRLSSLPPLRITTSFA TSGGRGRPQPRRAETFDSYSKSGDGDGRYTAEQKGKGRDQGPFSAAPGDGAGTSGKYG IGLRPEMDTPKAEELCGLEEDALSLLPLSTLRTLQQDLVTTSAQASATLAWMLQLKDA QTQDSATYNGMISSLIANAARARTAQQSSGGGVFRRSSTKVQSRPQSVSGNGGMTPRR VGSPGMW L198_06433 MTAPYSQADILAALSAQSALPRTTPTYPFSSFPTPLLQLTNSVP EDKSESPKTNGRKVYCPREGCGSVIMQAGVGNWLDVPGAVLPDDPESPFPPAQPPHAA WHVPNGPFEFDNIGFSRPDASASPLPAHAPGYSAEKKVKWLICGECDLGPLGWSYEGG KDAWLGVERVRYGEGKKPLE L198_06434 MPLTTLDPLPSEVRQLIFQHLQSTTDKPTLATLSCVSRAIYAES IPRLYETVRLNASNAEAFFGRLISDGIGGIDKEIAAHKPYLKHYVSQLESLSSSRFIF IPSPIARKLCQLWWTDRVLLEDGPAASFLHEAIVSCEHLHRQLVANQDEFDYVASRIS SYLFGDQLTGSLILGESLARCLILYPQVWSGVSQMLKSYDMAMFTSFCVHLPPDCGGT SAALFCKDILPTANQLYMIKEICFHSANPLDLMFEVIGEDLHVFLKPESSQDMISHEI GIGALMFHYLDMAINSLEK L198_06435 MSDHESNPADSPTSPQPRRPGGLSEGPNARSKSVTVAPDGESTY GALNRHPAPRPVSIANDPSIPSESFRVGVSEDRNRRCRRTMEDAHSFVYDFAAVKGQG YFAVFDGHAGKHAAEWCGQNFHEYLLDALITEPDTPIPDIMNKTFHVVDQRLSHLAQT GRTSSGCTAVTAFLRVEEVDNTVHKGFTNPGLQARGLFEGRGEEELEALTSQQPSSRR SSMGGGTSGQAGGASSYGSGPNGMVRKMSSRKIRDFVKGLATRFGEGSAVEDDDNDDE AIITVDGTKVDAIEPQSSKGVRRVLYTANVGDARGVLSRGGKAVRLTYDHKGSDASEA KRITDAGGFVMNNRVNGVLAVTRSLGDASMKEFVVGSPYTTETTLDDTDEFLIVACDG LWDVCSDQEAVDIIQNVQDPQEASRRLLEHAMGNFSTDNLSVLVVKFFH L198_06436 MTLPRPITAESETLNPSTPPPSLVTTYSRESLLSLRTPDQPPPN PIRLQSFSILRSNSMSDDDWGIDESLSKVLGGRNGSEDWSFARMNAEAGVKMAAGRPV SIRKDSGSSSGSDGDEVLFHFTSATPSHMAPPKILTDFTNPFAQTVKPEATKAQNLGV GVGLPIRLGPRKSSTNALDVFQTFPSSVNSASSSLHLGPAQMGSLGKDFGRMRLGRRD SAESVGSVGSATSGTSRVSMGGLNPFAAPFPLPPTAVPNAPNSSSPSSSSTSPSIPAP TASLPLPNPPTSLPARPPGPLPPVFIKRESAALPQPMSLPDIAPLGKDWEGENSLSGN EKRRMSGVLGMMPSAMGGGSLGGSSATSRTGSVSSAASSTQGQDQKIIMGSSYSQRPP HLAKLGERLRESVSHTKQERVASVRA L198_06437 MSLITLDPLPSDVRSLIFECLQKTTHKPTLASLARVSRSIYAQS IPRLYKRVRLNAFNVEAFFGCLISDGLGGIDKEISAHELHIDNYFGQLEANENYILAV SYIPSPIARKICQIWQTSHVLLEDGTSAAYLQEALTSFKYLRRQLWNRIDGLDDDDRD WRMDRFCHSLFFPGTFDAMILGESLCRCFTLYPEVWKGAYDMLTRDDDLGVFTSLCLH LPSSCTGSAATRYCSRMVSMDLESSEKESENGVCELCIHNANPLDHMVDMASENLHIF LRPESSQGMLSHEASLGAFMSWHLDLVESQGRRLPNMFFYNSMFTDVDRVCHKGVNVW ERLKTMGPPNDRPFTVKMFGPDKKELCPVCMVET L198_06438 MSLITLDRLPSEVRSLIFECLQKTTHKPTLSSLMRVSRAMYAKS MPRLYERVRLNASNAEAYFGRLTADGLDAIDEEIALHKPHLIDYIWQLERENFELPPW ASQFIPPPIARKICQIWSTQHLFLEDAPAAIFLQQAATSLEYLYGQLYDNTDGLVDDR DECMEWISASVLSDDASVALGEPLAKSLILYPKVWEGVAKMLKGNYFMDSLDSICLRI PANCSGTAVAQYCKDMSSRYIGTFCVHNVNPLEHMSLEVLHENLHIFLRPEGSPGMLS HEASIGAFMSNLLNIGKKSRSLVPNIHFYNSQYTDVDRVSHQSRGFWNELEEASNVPP DVSGFKFYWPVTLKLYGPEEEVACPNCLETV L198_06439 MSLITLDRLPSEVRSLILKYLQATAHKPTLSSLVRVSRAMYAKS MTRLYERVRLNASNAEAYFGRLTADGLGAIDEEIALHRPHLIDYIWQLEKENHELPPC ASQFIPPPIARKICQIWSTQHLYLEDAPAVFFLQQAATSLEYLRDQLYKNLDGLVDDR DEYLWWISSSVLGNNASVALGEPLAKSLILYPKVWEGVAKMLKETKFTKSLYSICLRI PSNCSGPAAVEYYKDMSLTRNLGSMYTTRYIGTLCVHNVNPLEHMPMIVLHKNLHRPR HLFAT L198_06440 MAIDTLDTLPSEVRNLIFEYLQATTHKPTLSSLMRVSRGMYAKS MPLLYERVRLNASNAEAYFGRLTANGLGAIDEEIASHKPHLSHYIWQLATVDCEPPLP SFVPSPIARKICQIWSTKDVFLEDAPTVAYLQQAATSVLHLYRQLEQNLDGQVEDMKY VSEYVLDDLESLVLGEPLARSLIHYPKVWESVANTIREDIDTRSLCLRIPADCASASA AQYCRDMLSTNLDPENICVLCIHNANPLDYMFKLNVYESLHFFLRPEDSPGMLSHEAS IGAFMSSLLASIKESQSTLLLPDQIHFYNSQFTAVDRVSHQSWSFWDELEREKKDRAA TPGRDLHWPVTLKLFGTDEKVACPVCGETV L198_06441 MDNPLQNSNRYQDITHASEPVQHSPTAPDDRQAAQLPPQGYQTD PFRPSLSHSRSYDEPMYAQYNDVAGHNVGYETNNDSAVWSEPDHVPAYTDSKVPLDPE AGGMIVKEKKIKETEIVSTTAARRWWLRITWTMTWWIPSFLLTYVGRMKRADVRMAWR EKLAIFMMIILSCCIVLFYIIIFGKLLCPDSDKAWNETELATHAGDDDYYAAIAGKVY DFTKFYSGQHSDLTSYTTSSDVMLEFAGQDLTNYFPMPLSVACPGLVSSTDLVLRRAN FTPILDYAVHTSGPAQTDTETKLTDINWYKDTLGPKLDKYYKGYYVYTKNQVADGADS DSKSWAIYKKKVYDLSDYLETETYYTGQSNYSFLNDDIASLFQSSSGQDITSDLDEIL SGLSSDEQTAQMTCLDNAFYYGKLDFRTEAKCTVQNYLLLAFSIIIMATIASKFLAAL QLGSKRYPELLDKFVICQVPCYTEGEESLKRTIDSLAALNYDDKRKLIFIMCDGNIVG SGNNRPTPRIVLDLLGVDAKVDPEPLLCKSIGEGSKQLNYGKVYSGLYEFEGHVVPYV VVVKVGKPSETSKPGNRGKRDSQVLLMQYLNRVHFEAPMSPLELEIYHQMRNVIGIDP AFYEYIFQVDADTTVTPDSLNRMISCMSDDQRIIGLCGETKLANENESFTTMIQVYEY YISHHLTKAFESLFGSVTCLPGCFSVYRLRTVEGGRPIIISSVVIDEYAEPNVDTLHK KNLFSLGEDRYLTTIMMKHFPTFKMKFTPDAIAHTVAPSSWAVLLSQRRRWINSTVHN LAELLFLPEMCGFCMFSMRWIVFLDLLGTVILPATCGYLIYLVIVVSTDQAALPTISL AMIAATYGLQAIIFILKREFMLVGWMIVYLLAFPIYSVLLPLYSFWSMDDFSWGNTRK VIGEGSQKTVVIEDDEPFSESMIPYRTFQEYEYNAWETGSIRSAPSEKSRRTTQTSRS AASRLHPNPIGNNNRAPSFHSSTSDLPRGADYWRDSSPLGKGHESKRMRNSPSQQSLA MGGGAGKDGRGRVQSMAGMSMWGPASVYDAPQQGFMNPMMTGGSFHSQGPPSFYPPGA MGPGSMYGSPMMMPMGGGMGMGMGMPMGAQHTGGSMSMSQGMGAGGAPRNTMMPSQSR MSSFTLGGGGENANNLAGMKVNEASLVGDEEVLEVLREWLGKQDLMSVTKRQTRDATY ALFPNAGLQARAGWLNEQVDKILSEL L198_06442 MALTTLDPLPSEVRQLIFVYLQTTTDKPTLATLARVSRKLYAQS IPRLYKRVRLNGSNAEAFFGRLIAEGRDGVEREVTAHKAHILHYVSQLARLPNGIPSS HFIPSPIARKLCQIWRTTHIRFEDGPAAMFLLEAVTSLQHLRGQLRANMDGLSGAHKE RALSGVSKTLLDDYGDASASVVLGEPLARCIIFFPDFWKGVFNLLKGNAMGPFDSICL RLPPNCPNAGATQYCKDMFLSSRWHGNVAKQLCIHNANPLDHMSGKAGKNLHISLLPE GSPGMISHESSIGAFMDHFIAGVDHLYQIPHNFHFYNSTFTDEDRVSHAGVNFWDRLE SEWEGKGSGWDSRIVTLTISGPEKKMPCPVCLEIY L198_06443 MSDDASEITAVNKEASKQTVDPVKSFLSGGAGGISCVLVGHPFD LTKTRLQTAAPGVYTGAVDVVRKTVAADGLRGLYRGVTPPILGVTPIFAISFWGYDVG KRIAYSFSGADRKEQALSIGELAFAGAFSALPATLVAAPAERIKVLLQVQGQNGAQAY NGVFDIITKLYAEGGIRSLFRGTLATLVRDGPGSAVYFATYELLKKKLSKEPGFLENG ERAPAPPLSVGAIMAAGGGAGIAMWSLGIPPDTIKSRLQSAPHGTYTGFTDCARKLIA ADGISALWKGFGPAMTRAVPANAATFLGVEMSLKLMDTLW L198_06444 MLSLPLFALASALITLVPQVAAQTCNATSLCSESAPCCSEYGFC GSDSFCLGGCEPLYSYQTTSCRPDPICVSLETDFTDLSRVNQNASDYTGKATAWDWVI NSGTILSTDDGARLILTQDNGGTKISSTRYMIYGTVDFVLETSKWAGVVTAAITMSDV KDEIDWEWPGATTDQVQTNYWYLGVANYSATEGASSSVSSDTASNFHTYSFEWTEDYI NWNIDGSTVRTVQKTDTLSSDGSQYKFPSTPSRIQISIWPAGTSDNAQGTIDWAGGMI NWDDSDYVSNGYFWNTVKSVRMTCGQDEMSVGTNGTTGWVYGGNGMDGVPTVTFTNET TLLTSSATQSSPIGLKKAGMLSIVVGAMVVLGGANVF L198_06445 MTTSRPHSDSHSSYVLKSHRSPHPYFSPTQLSFSDPHTGVKSGS WSSRRSRKGRYSPKQARVHYVTHKSAAVGETESGVGRSEEGSEKAKDGEVRRMEDDAV ARIKRAEKVHLKPQLKMDVTFWIAVFFTLGSVVWVVNGFLVWFPVLRPELDTDAFSES ASALAFIGGTIFLVGSYLMVVEALDRGREINFGTALGQLLHHRRFTSPQATVGSSDLS KLLSHTSTSSANLGDSHTQNLDEEGAKVMEGAKGFVWWGKPMWHDMGYLAAIVQLFAA TIFWVSTVTGLPGVIPGYADGEGSTAIIDVFFWTPQVIGGTGFIISSLILMLETQTHP WLPNLTDIGWWIGFWNLIGAFGFMLCGALGYSDKSGVVYESDLATFWGSWAFLIGSVV QLGEAIWREPEEGNGKS L198_06446 MDALIHPSIAIPTLPTIPQSAVPSPTSQRSPRGPTTPPLPIPHP QPSVPFPQRDGTDGSGTNRRSSLSCLPPAEGSYFPPTPSPSSRVASSSSSPGGTSRPR PPPLVLPTDSPDDADYGITPTTQGKGKEKAWDLVSDSPEQLRPACEIDREDPDEAVAL RPIEPPNPHKSPSAGSIVRLIKKRSSASILGDRSDESVRISIRQSLSNLKEGLRRPAS KSPETTLTPLHPLPPPCPERRISPKTRSRSFDSSKIDFSAPPPVTAESSLRRSKTVSN PRSPKPQSLLFTGECSPRHDEASVETHTSVSAGAFSPMSLTNNHPLSSATTSLSRSAK SGKEGQPGKAKERGSQDSLDSVKQWKTKQKVHHDRLEVRKQSLLELVEKTVSHAHALD ILESVYLSSHNSSSLPASAVEDIRKLRPMVARIRKVNNRVKDIMVSVLKEEGIGYGQS LGKRKSEGDVFETKAEREQEERAHMEVMGEEFEERLDRAVSKISIAMLAEEKGFRKYT KLCVTGLNANKVFSGVSNGDRERFEARCKAQMDSREHYDLSALLETSTLGLTSVSKRR LHFEDFLHAPYQRIPGLVLLLQRVQDSFKGIPIKVGDDLDATSRAYADVGRLREMIAR VGSTTDSARGVKMKEEATETLISRMDAHAEVTPEVLRSLGTCRLIGSLNVLYQHIEPL PKQATVKHLAAFLFDGYLILAKVKKNQKVYEPRHFFPLSMFVMYGVTTGPISPSIRLE SGRFSYFLGALSAEEQAVWQDALDVARDKIVSPYAVPTSLPVPIPQAIQDGWIEAVWK SSADITKPISSPQQTPALSRRASMGATKSLDLERITPVTRAKRRSPEKRHTMTGLPTK SLNPDDILSALKTADAKSKNDSEPSTPVKSPVRTTFNAMTPDRNKESTQTLKQPQKSM IDNVMHGLEGILDSQCAQIRRGETIRKSQSMGASSRRKSTSQLVGFKDSIGATVFTGA VTESVIPKREPTLGHVKGRPSLPDDWQPGETSELPPAVADSDEEEEEDEEEEESGGTS RPISEFGASTSRQPLPRNGSSTSLFGVPSSKGSFLFMSASNDDSRNSLRMRTKRDKTR KGAALAENEIAKEKEKDPVGHARKKSEPTRRKISSKEGMAHYTHIREKSQPASPMLSP AHEQPSFENSLRHEDEQAVSTAPAEETVEEMAEGEDGVLLTAPGLKRRSFSYDSTPIA RRPGPSRQITPPEYSIPEPHGPAPVQPFGASFGGFFDRSRRDSDRSRKDSRTSESAAP GGLSRRSSFVSVKSSLKRSLSGISLLSTRKSPNTEVPDIGMDDNTGSSAQSTPGTEFS PMSPITGLYGAEDDHGRDVLEMKRVAPTRQKSFKNLYGLGFSSMRPERG L198_06447 MTLTRSFASSVPRKSAAPQKPLYASQASIPHLPVPTLSSTLHKY IESLPPLLSPEELAKSEKAVNKFLHSDQAKKLQQGLERRAAEKESWLSEWWNEGAYMG YRGRIIPNVNYFYTHKRGLGQGKGQTERAAELIRATVEFKKLVDSEALEPEKTKAGPL CSASYVNLFNSARQPTKPSDLPKSFGPDNHHIVVLRNNRYFKVDTKGRGKKELQEAFE KVIKIADQKEGSGLGILTADDRDLWTETRDHVISMSTANKKSIETIDSSILLLSLDSA PPASAVGDDARAWSLWAGGNDEFGGNQGKGFNRWFDKHEIIVDSAGESGFNGEHSMLD GTPTLRLNEFMLASLANQKIPLELPEGERNSASMPEPEEVVFELDAKTKQIVEESKKG FSEEMARQNLSMVTFNGYGKNFIKTLKTSPDAYSQLTTHLAFYRLFNRSPTTYESCQT RKFLYGRTEVIRATSSEAQAWVKSMLDGKKSDGERRLLWDKAVGRHVQYAKWAADGQG VDRHLYGLKKLVKEGEEMPEIFTDPAYAKSSNWELSTSNLGSAFLDGWGYGEVVPEGY GVSYSVGDNDMKWGVTTMRKDVDAKKFGQALQDAAKEVGEMMERSKKLAESK L198_06448 MPLHIGITSLAPPNADIQAAIFGHLSAITHKPTLFALILLSRHY YSEFLPKLYHDVILDATNVELFLWPVLSGDDQSGIAELLSCDLSVIKRGRGVRAGSSI HDLYQPVFHFFLSYIETTIPPEVTHPYHDSGRYPCPTRLARGYGSL L198_06449 MMCAIRSISWGIKNRASSLRWRNFLQEVKELPQNSKSSWISQHA GQVLFAQKKAVEDLRKSIFRTPGHLSVFELLLHFDKVLLATGDPMHNALEEVEKQRWI ITDDYVKGVRPEVFEEEDSEDEEDEQGGGGDEGIGDGAKIPPGDGPLIIRKDRQTCLE KMMAQVIAPSYLPQLGKKFFTTKLSAAQWRTFGEIVGPSVFPWLWAESQSTSDALPEH ELVAALKLLTIIKRIFLSSISETQINRLKTVISDFQRDCP L198_06450 MAPPSTTPIATQSRARPAPSLARTSSPLPRRTSNRSATAPSEAS RTTLHLPRPRQPTFRSWTLPWSDLYIQVLAVLWGDERRDEYERKLAELLETRPCPRTL LEEVDFGDPAGDEDEVSVDDRADESGESFWEGGVLDSRE L198_06451 MAASLEHQPGSPTTYASSFDIDTALRGEAEVDAVSALFSNKKIL RKTIVSFGLAHKNRHDEPITVEAHADLLKLLLEENYNIDVDEIGEGYTQVHTAGGSLS LFDARVRQDDGRDKTYRQTIHFSRFANWSSENKELFGQDVEGRVGSRDGIIKPDGGIS ASVVCKFDDINNKPALVDSELVMEPNKSLTFLDHVTVGLRTQYELKDNEVLYHIVCIV IEMKPPNISKMLLIGQISCYAGGYHDVCGTSYAYAGHGPKVYRLLVLDNAIVFEGTSS DGVEVVGNFDTLKKRLEDGSDTVGRSLLVPNNEGKNQLDEELVKDVRKLCVAAIEQLK RINRDRQPLTRLPSPEGETWTTLKNMVKTFTFEDAVTQPEPFFEAARLSRKKIAELRE LRKHKKDSASAGNAESGRRAEDSEFVAPPEGAESTEVNADAQPRTDVDPKRPKRNKKK TKQQFVNDPPPKNRRNRSPSPGGGGQGPTKRYPTRNQATQAGPSATNSSATKEGGQRG RRSGRGAKTAGRTVGHRVGNVRESNDNTTNVLKGKDSFESASSKESIRTPHESTVINP VMTGTYGDYDVATTDYDPLTASNLKNIGSYMPELNASEKVRSLHESMLMDPVMNGGQA HHNALTELRNIEEEVPKQTASGIMEDDIIDEEDNGSFDRAPSAVYDDPCQEYVLGDAE KRRAFNTKIQLLAARSVLRQANTIFVIAGKEIFNKCLDEATKFVHLYVHAIGLRLTQS RR L198_06452 MLNAIRSLLTPAASQRPDPSEYQPVISGNPEEPIDGEELDDAVG SGATREVGEYERVKVYLCFWVLGAGVLISWNALICTFPLLISYLPADSAMRGSLSSIL STVYCFGNLFFLGLAQRHVSTASPAKRLHSSLLLLLVSALVLTYPLLPSLLPHFSPAL LLTSLIIISLVLSLATAYLQSSVFALSSLWGSEQTLGVMSGQGGIAVLVSGIQFVLAF VGAIGKKENGVPGGEEASKLAGVGLWAACTLGALACFVASNYLQKQPKYTSVISGKAS RASGEDEHTKVKGSTMKILSQNWEVNVAVAWVFIVTLSVFPPITTRILSTHVPTPRLL QPDVFIPLHFVLFNIGDYIGRTYLPAIPSLLLTSPKRILTLSLGRTLFIPVFFACNVT PRSIGNTPFINSDFLYLAIILAFAITNGYMSSLCMIVASSPSLNPRIKDEERDLAASL ASFCLVAGLAGGSMASFGVSWAVGGQ L198_06453 MTCKSPAYAQHCDRTWPKCARCTTRGVRCIPGKGWGPLKPRGKR RPAAPLVSASTGTRTRGRDSTGSVVKIEREPSPTFQLESSERPRRISGRGLNAGSDVE MASRSSTLVSNTTTPLSGRGKRTRTEVVQSPASTTASVPRAAKRLRPSVSHPTSPSPL QNQIPIPAPVPNPTPPVNNVPAPISLKLSRPRSSLASSSQYPALPSPIKTPSLIPDIG PVVQRPAPLPKRSTKAKLPLQKELTDQCPAIAHTRQDLAAALDYVNNPKRTVGGSVSS SVTGAAKGLILEGKVSAQGVYFGMGEEAGTIITTIGNARKQNTESFSDPTVVPEAPQI LPLLMAQSTRTPVGIVVKNDYEALPWNLHAEYAVLGFFWIVDAWVEPVVSSLELFTPN QKAPSGPPEKVIWKFRFEWCQDGTSPWWSPSSNLHWSRQAYIEVEDTASWDLPGGADK TIEPRTVQRSGNLEANGQDVVMRNGEKDAVTHRCEACRAVSQRVYRDGDICLNEQCAW FFGDASDANNRIGPISNTPGPVKPLPRILPRQQNRVICPPEPGHSVFKSGRENAGIEY WVAWVCKDCKVAQQRATWLWKCRFCPRKYDIAPVTYTHIGLRPPRPVCTGPRQEDGYA HWPHNAPRSWSLYKDDVKVVKHMPTESLGMDAEVHHALSSDRTASEAGVLLVRTQKEL ANDLLRGSYLEGTAKGTFLLYQWETMCLSGSGAEMVLSLFYAYSVGMQASRLPAFPVK EVLFWDRAYKITLDIMRMINCQAARMFPGQREFGNLTIAAMPPHLDARAAPVITVPAK TLLTILFMGSDSTVKIKSVGRGKQGSLVAQHGDVIGVKAGEVAVDVSVKMDSFGFLCI GRHGVMPGQFPAPAPAPAPVARIPPPAPVAKPPTPAPAPASSSRPPAQPPIVGPPKLA TAPLKGWYLGGHPLDPSDPEIIYPAFRPPQDNPYAKVDKGKGKATEESEVEPEPPAPE PVSRPPPIILDEMPPPPRLWEPRPLSPLPGYGESTETMRKQMAEAAANAPSPTPSVDE VPASRGRGRGRGGRGGRVRGRGRGRGRGRGAATAAAATPVTVRDESEAPPAPTRGARG GRARGTRGTRGTRGGRGLRGGARGGRGGRTAVKIEPDLEPEDHHSADGGQAMDVDVDQ AKADGDKPANLDVDVDANAETTGSGPSATTGTRGRGGTRGTRARGRGSRGTGITRGRA RGGG L198_06454 MEAEYIATFAALLEILCFDSAPPLANVILWVDKVGGPEVSIFRD IPVPTPKAHEVLMKVQWTGVNYCDIYQRTGVYDREKPYTAGFDVVGTLLTSPSGSPGF TVPVGSTVFCPSGSAWAEYIAVPASHVALLSKGIDKPDDVSLNVVGLTALALVRECYA VKKGDWVLVRSAAGGVGLVLMQIVKYLGGHVIGTVSTPEKAKVVKKYEYGADLVLLPT NPAEENVKKILEASGSGVHVSYDGVGASTWDENFEVVRKKGTIVFYGNASGTPPDLVV NRLMLKVLRLVRSTLPSMIATPEEFFKQYANELVDITKKGPLKFEVHKEYPFTAEGII QAEKDIVRRGTTGKLLIHVSD L198_06455 MSSHPDQPPLPPAPTAPTSGGRSNPAPLNILVLLPSAVAPSPTS TSAGPVDTFRPTRPGQSPGGGGGLMSRSSSSKVPTLSSLRESASASTSASEERGAEVG SGGDNGAGGTPAAVEKVESSSKVEEEIQKQIIAGRRLSLGSGLGAGSLGVGSSGGGAG KAEDLSINVHPPSSFLHSHAPGQGPSHKPLLSPLLSPTATSPGSTPAQGSSTSGFVPP QPPTLAAPPFGAKSRPFGSASISGAAGSRSRRRPQTATGASGFDPTPTGAPGLSGIAP ELLAGTTSARASLAVASGASSASRPGAGWEADELVGNLRGSGLEVTVIRALPHLTTIL NPPNTSTAFTPHPIITRPNDQPLTNIILVPLADTPSFPSLSLLTNRGTTPTAVFFQQD ILNRARRAEDKWLQGALAQIRRVGEMLSPDITKEAEKPLIMAYSANPALSQNAISACL SVGAIGVLRPPYGPDTAGMVRRMAESYKDGGVVDFQSPFHSASPAVGGRAESVHSNHR SSFSASAMPGEETSVVLPPTALSMGGGEQEGERVLSAAVGSSRRGSTSSWSVHCDSKR NSISFEPSLPGSASRKGSVQYGVNFASDPFGSACTCASPALLAQHPKHTNLQDPPTLL TSKGPLQSPAPTDAFPYLYDQATVFCASHPGGQGQLKPDPRRRSVDVGGLALAIKRAS RLYDTAAMEGGVPGIGIVALKSFSLNAGTGDKLGQIHEGYSFPSVSPTTDITDRIDHK SPTSPGIWTETSAENDAWGKYTELAELLSALYYQTGATVEVQMEEYELLSKPLTNEER VRLVDKITTWDFKPHDLEEGDLYRVACILFEGVLNTEGLAHLGLERVDKVNRLLYALR AIYHAPNPYHNYVHAIDVLQATYTFLLDIGVVPPFDYLREWPDKDQGVKEAWKRTKEG GESQGTKRARGLIRPQDVLAVLVAAMGHDVGHPGLSNAFMKNAKVPLSQVYEDKSVLE NMHCMLVVQLLRKHGFGFLIESSTPSCLARATESGIDQKGFRKVLYSSILATDMSLHF AWIARLKEFDERLREGVVGGEEEERVLICQALIKSADISNPSRPIDVSHHWSSVLLEE WAKQASLEHDLSLPVSVVASADAALQAKGQIGFIDLFTKPLFDAVSDALPELQRYADS CANNQAVWKARLDALMGDDEEEGEAARMVVQPVIEGASLDGRFRTLFPLLLPSALLAN LNDKTADVTPRSTSPLPPSPSRPLLSPFAPPTPSTFSFRLEKMMEGTPASPTANVMRA VYHGKLVDQPTRSKLTSWSRGFGHGHGGSGNWNEARRMSTPEVLTGRDQL L198_06456 MVSIAAHNKENTSLSLTDIDAISLSLRSSLSGVSVRPKKHQQGT IGIGRAPKHTSYPRRYVSSTKPYARGRNTSVTRAKLASASSSTSSSGKVQRRVKAKPK ARPPPLKLDDPAYDLKVMQERVKRARYDPANGDVGQVRMPLKLPFPQIPPIEHVDQEG LRDVPVDYILNKLLPLLPSISTITLAYKPYPQIPHPSPSSFSTRTLALAIPEIMDGRK PEWQAKTRGREPNMCLAIRQRAGEATEGDMVIAVNSLVFASQCSYWNRLISASRLPSP SSTTPSTSASKQATPSETAVSAALPAISEEEGSSFSEESESESEDDSDEYESLEPSPL PPVIKDPRGFLHLPIIPILLPSPPTFHLIHRLLHHPSLPCLPSFLGLSDHSSRQEMLR ELGEMDVKALMGKAAVLQGVWKNLCALGIARASVWRQLGEAWSFVTGIIAGHGLLVGG QVRRSEQRGAKSGAEEVAWEWVGMMRERERVAKEEWARGTGMGAQ L198_06457 MNSASPRHPKRCTSLHQPKRCASPQFPEKVLPIIANGRQHEQPL EPAPRRSNRFKSKQPQQPPTSPPVVSQEPALLDEAILEEFDRYVRRAWNPTPISTDER LERWSDRRLQELLRLKLPEPLSLEVASERYFDLVRIVARGAPEADLKDALFSDSRRPK AFVRVDSSRRLRGEVGITHSLAGNLLHQHSPYSLEREPTRSAVADALYSATKKFKKDG EIAPALEYIADKITNDPETKSPQQRESKKSRASQLADAADGGAAQSSKAPTSKASSTT DVVETDFSYAFLWSPQNPFRVLKREHSDYHTVFMVVEMKQLPVGPDMWIKVSPGQAKQ QYQQGLSQVIKYLYRAWEKFGTRIGLFVCGPFFARLLVTDDKGNIAVECKPCPNVGQE YSSPGSFFEEFDYLSLPHSLLLPFNPQLAEQLGVGAPFPLLNLEGLQKFEELSTRVFE SALDMKVAAALGKRYDPSPTSTSAQGQDSGFPTLCDLSPQSDAAIVKAGPRSPIHWYI SARRDAKDRVDEHDVKIFLEAFKAHVLSSSSPPKDSGSHNPDDGEGDNRGREGDKDEG HKDSHHGRSGEYGGYRGGGGGGGGQGGEKATGAKSGGPQAKEEEFQENFEDDVEENVE EYDGQDFLDKVKSWAPHSADPAFALDPAPRLPPTSRPPSTSGSSTSNDSDEDDEESWA DYVDTESLPPSITIKAVLSSTMDQLIDDALKEQGLLSGCTAGASERPSPTRTARTDGS GSTPGTASTLVGASPCSESGPPKAR L198_06458 MFAPREHQAGSPTTYGSFFDIDTTLRGQAETGAVRALFSDPKIL RNAITSFGLARDNEHGEPDTVEPHADLLKLLLKWYRIISASEIREGFSELHTTGGSLS LFDARVRQDDGRDKIFRQTLHFSEFVNWSGENSKLFGQDVKGSVGRKEGVIKPDGGIS ASVVCTFDHIDDKPTLVDGEFVMEPNEYLTSLGNVTAGLRTKYKLKDNEVLYHIVCIV IEIKPPIVREMLLIAQTSCYAGGYHDVCGTSYGYAGRGPKAYRLLVLDNAIVFEGKSD DGVEVVGDFDMLEKRLKEGSVKIGRSFLVKDSEREDGLEGEDGLDDKVVKDVRKLFVA AIKQLKTILRNGQPLRRLPSPRGKTWTALKNTVETFRFENAVTQPRRFFEGARLSKKK VAELQKLRERKGNSASAENAERGPRAEDSDFVAPPEGAESTEVNADAQSRTDIDSKRP TRTRKKTKQQSVNDPPPKVRRNRSPSPGGGGQGPSKGRSTGNQATRAGPSATTSSATK EGGQRGRGAKAAGKTVGHRVGNVRESDDNTANVLKGKDSFESASSKEEIQTPHGSIII NPVIAGTYGDDDVENTEYDPLTAFNLQNINSYMPKLNAGEKVRSFHESMLMDPVMNGG QTRHIALPEIQSIEEEMPKKTASGIMEDDIIDEEDNGSFDRAPSAVYDDPLEESMLGD AEKRRSFNERIQLLAARSVLRQANTIFVIASKEIFNRCLDEAIKFVHLYVHAIGLRLT QSRR L198_06459 MGFVEVVGKFVFGGGDKASCERMKLMMSEWPLQKHVVFAQSRAN MWKKNGSALRLQHHHYCDVSVRDSTIDQALFLALFLLQVSLCVVYPLELMIACIDRFG LGDYFQDSVTDPTLWYDENLEPKQKINLLEDFLLLVIQLATYPVFINSWDRYTEIETP RKITGDQLLAHLVGGGQLQEPDRECPWTVQCLKDEMYNEVDPYWKYYSRNDQPFSTTA DFLGTHVVADIVHWAFAHSMHIATLEQWADAVQSAFPQDHTSSPVIPTWDLVLDYALH LSMLALSVKAQEFAQQSVYLKGSEGTNSTFQKLWVMQSDAAFQPFRARVDYILDTIVA NIPPHYTADYRAHKESENPIALSRPAKPDSKASAAARQKAIMAKCATGGRTSW L198_06460 MRFTNRPTGEPRERWGKWPWAVMMWRDGKHVMKELAGQVRDGLG VKWEVAEHWARDADTVGLKVILASPNPVVAFHAANMLHQLVALPSTIPESPNIPSSKT TNSTPTSYSHLATLPSMNGPAAAYATAHDNLLFNIVQVAQWYTGQVVTTDGVVRLVIP PVSLDKVDQAQKRRLDSEIPAFSVAGKKGLAIIRHIRSTIKHDETRRVIVKQNQLFNR LLGFIIIFVGIQPQRRQKGGHIEYEIEWLRSFIVLGDLSGPCRDMGDIFFVASTRCSA TEHVPG L198_06461 MGEDVDMEGPDNEMGPPALPPDDFWDGSPDNVDLPSETDLFTDC VARIPFDIPTSLVPSVSQRLRNPFREVQGAGGKKMSFLCRSLATLIATLTVFGGLSQR MGDMALYIIAVIIDIAVQEGLSKARNDVRDQDWICQHAGQVLLAQKKAVEDLRKSIFR TPGHLSVFELLLHFDKVLATGDPMHNALEEVEKQRWIITDDYVKGVRPEVFEEEDSED EEDEQGGGGDEGIGDGAKIPPGDGPLIIRKDRQTCLEKMMAQVIAPSYLPQLGKKFFT TKLSAAQWRTFGEIVGPSVFPWLWAESQSTSDALPEHELVAALKLLTIIKRIFLSSIS ETQINRLKTVISDFQRDCP L198_06462 MFFVSRKPRPPENYGSFFDIDTALRGPEETVAVQALFSDQEILH DTIASFGLARKNKHTEAVTVKAHADLLKRLLEWHHTIADSEIRGGFSQFHTAGGSLSL FDARVRQDDGRDKTYRQTIHFAQFVNWSSQNKQLFGKDDEGRVGPAEGIIKPDGGISA SVVCKFDHIDDKPTLVDSESVMEPNESLTSLDSVTVGLRSQYELESNEVLYHIVCIVI EIKPPIVPELTLIGQTSCYAGGYHDVCGTSYGYAGRGPKAYRLLVLDNAIVFEGEGTS DDGVEVVGDFDTLEKRLKKGSVTVGRSLLGNNSEGEDGLGDKDGLDGELVKDVRKLFV AAIDQLKKIPRNGRPLRRLPSPTGPTWTTLRDKVKDFEFKNAVTQPERFFEAARMSKK KVAELEELRKRKGDSASAENAESGPRAEDSDFEVPPEGAESTEVNADAQPRTNIDSKR PKQNKKKTKRQSVNDPPPKDRRNRSPSPGGGGQGPTKGSSTRNPATRAGPSATTSSAT KQGGQRGRRSGRGAKSAGRTVGHRAGNVRESNDNTTNVLKGKDSLESGSCKEEVRTPN GTYGEYDVASTDYDPLTASNLKNIGSYMPELNASEKVRSLHESMLMDPVMNGGQAHHN ALTELRNIEEEVPKQTASGIMEDDIIDEEDNGSFDRAPSAVYDDPCQEYVLGDAEKRR AFNTKIQLLAARSVLRQANTIFVIASKEIFNRCLDEAIKFVHLAVQLSNKAFRLEVQK AEWLGKFVQKNNGNDFPKNSQKRTCRHGCARRGEYNV L198_06463 MIVLSADERRFDPAQDGTPVVMRSVFRQLFFCVVHRMLGYYTSK RRKSSSLASPPSVILQSPAYADAIHDSPASTSFASPRLQQASPPSSSRDRSHSPNAPI TPVGGHIGLRHTSPDGPGRLVAAKDFAKPPRSDPVAQDGPSAKELPSIHQDKDRSTLN SEPFTLLSEHTTSRSTLPTHAPPPRPSRPIDVKSIPPASVHDAYVSGFIKPSVALDMG VGGEQHRPSIHRSSSAPGEANHALLPSALPQSPEKKKASKAVIPQPVFEIFNAEISED GDNLCRNMRGYLDKAFKGQEEVARMHLALEALGENDDQVGKENKEGGDEAKVEMSLEA REKGVDEIMQKLDALSDSLRTYHDLGTPRLSFQHPHPQGFRPRTNTVDIGTLSQHPTF DFPHDAPAEEQNSPQTTTSQRPSPPTFVRSHSDVELSPLSKNPARIKSPLRNAFIPSS AVVSDEEDEVSSEAPKLPRRGPSANLPPLRLSREPSLDDVGKGKGKEKPKTFWEREQE MDRQKANKQTWLEDVGGGMTDSPVEMGTRGQKPF L198_06464 MSDVEMASATAKTIDDTAIANAKNLRTAISKSIAEIQAIDPTIL RPHVVEFYPSFNPTQVTYIHKTAEGSGQRVAEIHEFGARKGHIVRPVIEAYKKAHQDD KEEKSVVNAVDMSKA L198_06465 MDVTKAVQTYVFKMINQVSGMKVLLLDAHTTPIVSLVTTQSELL AHEVYLTDRIDNSSREPLNHLSCIAFLSPTRSSIEAVKSELAKPRYGSYWLFFSNVLQ KSQIEEMASVDEFEVVKEVQEYFADYLAHYPSHWSLTPAALADGGDGPPNPPLYLPPP LHLPPPTLDSHLQSVLALLLSLKKRPIIRWERMSQPGRTLAQAVSGEINSKYRDLFDF RGTQGPAPLLLILDRRNDPVTPLLSQWTYQAMVHELLGITNGRVRIPNESKPELRDLI LSPTSDPFYSQNLFSNFGDLGASIAEYVSSYQARNAALSGVKSTDRIETVADMKRFVE DYPEFRKLGGNVSKHVSIVGELSRIVERDGLLEVSEVEQSLASQDSHAADLKSVQELI SSPKITPANKLRLAILYALRYQKSPSAQIPQITSLLTTAGHLPPAQARLVYAFLNFCG TDVRQDDLFMNENFFSRGKSALKGLKGVENVYTQHTPHLGQTLDLLLKGRLRETSYPF LEGDEWARSQRPQDIIIFMLGGTTYEEARTVALLNQRLASEANGPAGGTRIILGGSTI HNSNSFLDMVEQAAEHYPESIYQPPPGLSTAGYALPPVSSSSANFSTPSAEEAAGNTS GVSLRAGGYELNVGGVAGSGLYRTTPGDVGATFEMPKMDQVAGGLRDGAGRLWGNVRQ KMGERASRGPTPQP L198_06466 MLPRLAARSLRSVAGPSRTRLFSSSIPRLADVTLTIDGKEVTVP QGTALIQACEQAGATVPRFCYHDRLAIAGNCRMCLVEVERSPKPVASCAMPVMPGSKV FTNTPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGSDRSRFHEITGKR AIENKDLGPIVKTSMNRCIQCTRCVRFANDVAGVEDMGTTGRGNDLQIGMYIEKTMDS EMSGNIIDLCPVGALTSKPYAFQARPWELKKTESVDVLDAVGANIRIDSRGTQVMRVQ PKVNDEINEEWISDKTRYAYDGLKYQRLTTPLVREGNRFVAAGWEQALETIRHGLVSS GAKGDEIQAVAGALADTEALVAVKDLLNKLGSENLTLDQNLGDAPTNVDIRSNYLFNT GIENVEDADAVLFIGSNPRHEAATINSRFRKSFLHRGTDFAVIGEKFDSTFEYDHLGT SGKDVASFFGGKAGNSFAKIWKDAKKPLIVVGSAVTETKDGAAILAEVSKHVLANSEK FLTSEWTGFSVLQRAASRAAAYDIGFTPSAPASQTKPKFVYLLNADDIDPASIPEDAF VVYQGHHGDHGAQFADVCLPAAAYTEKSTTWVNTEGRSQLGRTAVPPPGASREDWKIV RALSEVVGEPLPYDTAHDIRVRLYNISPSLVRYDEVERPSPEVFKLGLTTLSSSSAQS SAEPLKKPITDFYRTDPISRASVTMADCSKAFTKKEYVIGDVDSGAQASYA L198_06467 MYPTRSAPTPPRGDRGDRRETLPANHPFATPFNPFSYHTPYHYN CSSSSLSSSAYTPSASTPVSTPSRRAPQSPTASLAQPLSPARSTYPPSISTTSGRRRV DEFGRGRSMTNPAPAPAPVYATLPTELSLFDARPRQTLLQDVEYILGKKMHFPFLARY SSSSSSASSSAKNEKKAKKEAEKEKKTRRRLTKERAYDEDWAMWAGMDGFMDSQVVVV EEKKSKRGVREGNWI L198_06468 MSKAAPYEQVPIVKSTAPAPETPYQARMFLHVGAAGVMLYGFQA MRASTVFGDVMSNQYGGFWQYLTILGLTISGATMLLAGAQDLLPNVHLIRLIKRTFLL IALPVEFIITSTYWSLIIFAPHLMLPPTDPNAAQGAPSSSTAEPTLFRIPLWMDMSMH LLPGVALVFEFFLLENKYRPPFSTSIAFLLASAFGTSYGFWVEHCAEQNGGVFPYPFL TILPLGGRVGVYASATGVAWAVFRGLNWVHK L198_06469 MPTTPPARRAKRPPFSSAMPIINLLHPFFTLTFVPMLQRSMNLL CRSQRPSTRTLFPRRIHTPPSLQQWIDHITARSPQRSHDILDPDRASQLQRALPTRQG GGYIDDLPGGAVLPAAHHLVYFRPRPMLRDLGEDGSATELNPPAPFLRRMWAGGEFHW PSPSPPATLSSSPPSLPPPPSFSSSSSSANVLKVGEPVTQVLTIPRVEYKKGKVGRVG GQTTSSPAAPPSTSTPDPIYNHTYTPTTPLLFLFSALTHNSHKIHYDHPFSVSHQHQQ GTLVHGPLSALILAEIADSVARQGTEGGDKAKRMVGFEYRATGAMVVDREVVTSAWWE GEVLVLESRQGGKEGMKARARFV L198_06470 MARPSVEIPEQLASQLSERPTFERSATDAKDVKNVETDPEVNHL TQHEDEEDDRASIEVEQSIGVTKIEALYLVFGKGWKLWLLWGSIALIANVYSLSSNTT YNYTAFATSSFGEHTVLGTITVITGIMAGVAKPFLAKMADLWSRPLAIAVSVFFYTVG YIAIAASKTVSDVAGGEVLYTLGNTGIDFLVTILLGDITSLQWRGAIVGLYSIPYVIW AFVAGDISDGISAYTSDGWRWGYGMFTIMVPICIAPALIILFWADKKAKKVGALSLAS STYTRDMILRKQQAAQRTWYQTCIYYARQIDAVGLLLLGFAFGCILSPFTLSSTASNG YKNPSLIALLAVGGVLFISFCLWEWKVASHPIMPRRVFNRTFICCILIDWNYYFSGYL SDAYWSSWLWVAMDYDSKGYTYMQNILTVGLCFFGALAGLVQRYTHRFKYLQLTGLAI RVIGMGLGFMAVNGHMTNAVVVSSRVLISLGGGISVVSSQVAVQGSVPHADMALAMAI LSLWTSIGGAIGSAIAAAVWNQRVPHFLSEYVGDVYNATELAEIFGSITVARAAEPRA LIIEAYNDAIHPLMLAALLTSMLSLIFGAFTSNFYLGEHQNAVEKKIIAMRPAEETDE QIVARKAAEKEAEIAARLGVEHEKTHTLEQ L198_06471 MSTIDIPKTQKAIQVDKVGGPEVNVLREIPVPTPKDDEVLIKVQ WSGVNYIDNYFRSGVYPKQFPYTAGQDAVGTLLTTPSKPSAPFDIPVGSTVFTPSGPA WAEYVVAPAARVGLLPDSIARKDGVSLATVGLTAITLTKDSYPVQKGDWVLIRAAAGG VGLVLTQVVKYLGGHVIGEVSTPEKAELVKKHGADVVLLSTDKTEDNVKKILELTDGG VHAVYDGVGQATWEQDFEVVRRKGTIVTFGNASGVVPPFAPTKISPKALKVTRPTVTA IIQTAEEFKNYTAELVEIVQKASIKFEVYKEYPFSAEGVIQAEKDIQGRGTTGKLLIK ISD L198_06472 MAKIKRRGESGAAKNYVTRNQALKKLQISLSDFRRLCILKGIYP REPLNKKRANKGSSAPASFYYHKDIQYLLHEPLLVKFREHKAFAKKLARAVGRQEWGL AKNLEDAKPVARLDHLVKERYPTFTLALQDLQDPLNLVHLFSTLPTNPVPGKTLVPAE VIAECGRLISEWKVWAIRTNSLRKIFLGVKGIYYECEVPGQGGETVNVRWLEGHEFQQ HVPHDVDFRILLTFLDLYRTMTGFVLYKLYTEENLVYPPPLDVSLDEQGESVGAFKLV EKKNNEGAEGKGVSKKAVRKAIKGIKASGDADVAMDDDAESDDEESVEEDFVERPNKD AATDVASAPLTTYNSLLATSSSSTLPKNLLFSPYTFYLSRETSSRTWEFVIRAMGGRV VTSLSAPSPSEAPQADAITHVIIDRPITAERMREMEGGRKWIWVQPQWVADAVNKHKI ISTEGYHPGQELPPHLSPWDGEGELDRPWLEKTEEGAEAEEEEDVEQDAEESDDEDEI VAESSSPYPPALLAAAQNPSNSTYLHAAELEAEANGVSHADFRAQLKEATKLAKKSGG EKKVAGGKKGEEDLRKIMMSNKKSKLYEKMQYSNKEKAAEKENLDKKRKAIEKRKAKE SKA L198_06473 MARKCPLCGSRKWKRDRVTGNAVCEDGHVLQDYRSELLVIDGGV NFQLQRRRTAKGPRTNKQRTEGRKNKELYHGNEAEYMKIQGLQLLIRHQSYTLSKLWQ LPEAFEMIVRDLWAYQLSISVLPDIPAPPVAEEETPIQHSKSLPKLRIDPDPQPDTRD EHSSSDSQSDSDEGESEPDDNIDPELLRDLEEGDGDGEDVEDGAKEDKNTRGDARWKR KRRLRAADTITTLVLALWMMRVPVMGSDIESLVNSVQIPYVDFGHSTYIPENMKKRLN RSAVQALSPRRSPSPSKIHDSCRIFARILYRKYGVHVPLFNFHPVSWSIASSLGGTQA HLCPAMMHALLLRLMDLISVPFHLTTHDLSTITRKPRAQSSYKSRSKAKPKTKKKPRP TADDEDGDSNQEDAPRDEQEEGPRWEKYERTKRMYDELPPELSIVSAWVLLMKLVYGL DGRPRAALLSRDPAIGLPKGEIWLRELDRRLGEGILSARKKAPRISQAYFVSMEDADI NSFLDNAEHILLDHHAPGSDATHFPLPPSSPVLKPNKKSWTAFHSDLTPVVHTRPPLA QSSQTLPLMPGEKTRSYDTKDAFGLYPREMEVVLKSAGEAVGFDWKEVGKVVKHWERK LEKVKGERRKAGRSLVSGYHRTKHRPSKRPSRPRTGSSRQPTSRDPSRARSKKRTGST SVLASREDSRARPRTRASSRAESESFGESSEPSRVRGSVPGTPVLSRAGSRSRQSTGS PRTARRFAERSGDSSQVR L198_06474 MAQKASSSCPSTLVAQTSDILSDILVYLPPSSLFSCLLTSSTFF HSAAPLLYRELHVKHAKDCFVGSTRERAASGIFDVGPSVPTPPSPADPYSKNTLLEYV KHVHIHTHGKNECPFVLHYINPLPNLQLVHLANGPTPEELAYEDVCTSEGCQFLAKVC GGAKKVLLRSLEMGPVKPLKELEHIVLKVRPCQLPIYLFQDPNYVWPLPIIASKATTL DLVFWDERHCFRVDWHKVPGGFTRSSMGLTLSKMVIGNGEGIPMKGCSYCDERGCVRH LPDARAQLPALMYALGEGSEVRRVRVWNCDKTAGRQWEKAGPVSVEEVKRRMEEAFVR GREERAKLRTGQDVVLGLEVSFHSSAEYYNSPARWDGEMDDEERNYWSVMVLPPSGKL LSLRGDARAMIGGDETRWEGYLDGYTEHELEEMIETEKQWQKAIGTVVREDEE L198_06475 MSAQGALSIVPAGVITGDNVRKLFQYAKENKFAIPNVTSSSVVN GVLEAARDIKSPIILQLSQGGAAFFAGKGLANGNQEASIAGAVAAAHFIRSVAPAYGI PVVLHSDHCAKKLLPWFEGMLEADEAYFKEHGEPLYSSHMLDLSEESKEDNIKDCVFY FKRMAKINLWLEMEIGITGGEEDGVDNSSVDNNSLYTQPEDIYDVHAALAAISPNFSI AAGFGNVHGVYKPGNVVLRPELLGKHQEYTHKQVKGSEEKPLFLVFHGGSGSSKEEIA TAVVNGVVKMNVDTDIQYAYLAGVRDFVLAKKDYLMSQVGNPDGADKPNKKQYDPRVW VREGEKTLVTRVKEACEDLGNVNRN L198_06477 MFLFSYFYPETSPTAPPLSNDPPSALRPSDTFKEPSKEEVPERN APLDEIWDFFETGLERLYTLVANPSLQAMSYTYYAMLYSHACDIHSREYDRHMRPGIS EHQLYDHLEVFFMKKAQDVIEELAHSDTSREFMEIYSRRWTTYEAFVQRANNLFRGFN HFYADKRIPGVQEINALRLEPGTGVQQANRTGASASKKRRGPMRTTRLETLLEEDDDE VDVEDDAVDVEESDGGYKWPIDNQDAEVDESDGEYKWPKQNEEDEEDDADKEADKSEE EFCIV L198_06478 MSWTPTSLASAPPAALDLSDDDWTEPRKEDIPPRDASFDDTWDF LEAGLERIYTVKANPALGPFSYTYYSELYAHANSLAWSTFPRTGRTTTFLYLRPEDII LELMYAKLKQFFRTKAQDAADTLARPEAWEDFIDLYTQAWDVYFSSARFVNNLFRGLN YRFADTAGTPANERCRVVDRGIGVQKLAFNIWKETLFGQDIKSSYTAKHLDIALHGIG QRDSVDSSKVTEAEKVRVGMVEDSLVSLSRYIAESAGQEPEEDDGEPLEEFWQALLPS FASPPPQSHANLTTVTEPSQNILSRQLDLLMKDPNRDPRQSFRHAASATSSSNVPSAT NPSAGFELAWC L198_06480 MSQVYFDISIANTPAGRITFRLYDDIVPRTTENFKQLCTGVNGF GYAGSGFHRVIPQFMLQGGDFTRGNGTGGKSIYGQKFGDENFIKRHDKPFLLSMANAG PNTNGSQFFITTVKTPWLDGKHVVFGEVTSGQDLVQQIEKLGSNSGSTPIFLSSGNSR SRVLGSGFHRVIPQFMLQGGDFTRGNGTGGKSIYGEKFADESFLMKHDKPFLLSMANA GPDTNGSQFFITTTVTSWLDGQHVVFGEVTHGQDLVKQIESLGGPSGRVAKPVVISAS GTL L198_06481 MPSQVYFDIAINNAPAGRITFKLFDDVVPKTAENFRQLCTGAKG FGYAGSGFHRVIPQFMLQGGDFTNHNGTGGKSIYGARFADENFKLRHDRPFLLSMANA GPGTNGSQFFITTVVTSWLDGKHVVFGEVAQGQDLVKQIEGLGSDSGNPKAKVTISAS GTV L198_06483 MEEIVIPNLEDDEDDYLPSHSRPVFGAASSTTSLPDTDPYSNSH RSNLSSDSSYAPSKLQTTTPTRPGLQKTSASFSVGAPTLAHGFGQQQTPGSLGKFNNA SSSSLVTPGTSISSSGAVRRPGLATKGSFASIKNAFKQNHSTPAPPLPAFDRTGPPGY PALKNPFSRYDSPMSPRNPAGFKTPVKGKNLSSSTTASPMSRGNTADGQGRKYSIASS HRSQGGRSMTSNGSVNFRADDHPMPALPPIPSRQTPSRLGGRHGSDVGSYLGRMRAGS VDLDDGEFGRTPGEEALRVVFIEFREAAGNKVQRVCARPLNSHPSLSTILEAGIDPQF DNTITSLSQSATRHARRVVDLLISWCRDYCGNIGASEVRSHLDRSMGLQMKVEDAAAI LQARKSSAARFIMNRALIELLKIMPKDSLDQELGMTLENNAFNAYRSEKPEDVMQFPH KKAVSQLQIQLLGQLSKTRFLTVSDRFVRELSKYATNQQPTKESEARIEHLLKGMRHL QLKVYPEDELEMSAEFIQSLSAFYASTHGQSLKIAYAETFTHLLHPVIETATAEVNHP VWAQAMAVILDRALGMVGKARYWNAAFPLMVTALGASPREVFIQQWQNVVDAIMAKFK DRNTKSVAMGAFIRLLWVYLHRCHESSTSTRKRLDHLIRVLFASSQYLYPSEIPFEGF IAVLHYVMTRQTDYGEEFVVEFLGARSATDSGAERSTAVVKAVNLTLRVIELEKHATW PQSPDFTNFDHDSFAFESSGETMPFDTETKPEVSDLLKKAGPAFMNLLVECDNDVRNL LLSNDSVAIAGHASSNTMDNPVEIITSKHGDVYVSYPARYAGKMRLMEAVMEALPRCL PKDPKWAELASILCRATFSADPNVCVAAGNALKRMAQDPQRCLLLVATYRGFVFETRH IFKDTFVGAKLMDSHFERVVKLWLDMLLLLVGHQRVAEAQADDEDGQKAPTADASQIA QIEASAIFLLCSSALSLRKLAGQILVAARDLESQQRLPSAAFRYSRLVPDKPASAGHV LDIYEHVLNEKDIDALNQIPWLSQPEKARLEIVINNRGKEGGKLLQRIAEGESSRDAV LWLSVLPIFISRVADRLPKVSQELRTVVGGLVLRLQAHVALVAGGVMRGTPNRTGGSL ATRSSSDIAILADHWRAYLSILCVTLNPANGPAPSTPPVQRSNAKDVIILNQEAISTP VLFTYLTSLLGWEDTRFKDAAVHALGSIRQGMMRPLAEQLLNVTRRLMDGAKVGTAPR EGTTKKTPNSTIWTAVAHIFRLISPLILDHKSSNHLTNLSSMIGFIKVTWTLLSDRTV KEDYDLQNLRRSFCIVVENLTNALGKLDSSDRFLGEEMRGAVFKLCYEWCLVGRRPDV AKARESQMLQAAAGGYRGERDRASYLDNLQNKTKLLSMAAAEAMAGLCQGKLISVSDS TPAQQASDHIVEPLLVLRWIRGMFGSANMSHNATAKRALFALIKYNWDCERLLDEVLH QSFGEGEQFTLESSFFGVVADLLSEGHLDLPVEQVACLALSKLGHPVSTIRQRAFQLA QSLLSHPGDMLVFSKLLPSVGSSSAAIYRQAQDAISGQLASIYADNAFSFLSECTTRL SQLEAPRRQATLSVIRSWVQYLDMASDMSDLSPEDASLQHQALHNLVYLGVRFGEDHP EEIKVIVLSFAGSSSSQNTTALVKFLFEQGGKRKSPDFVEHAQRMVACLASSSAGDNI FEDICNFVEPNAMVALPEADVPPSPMSSLANLDTIMSAPSARSQTFSTGQLALVFAGE LLPHRLSDSELSKKLPALLQAALIQCDSASSALRDQSQTVLFQVLRAWISDVSLVSPQ DAHAIWASAETKVTALAMKKQGIFWKSDDNGGSDVAFMAPPKMTDLVIKILGILLPLQ PRIRQQWGELALSWATTCPMRHLACRSFQIFRILSPNVKPRMISDTLARLSSTIASSS QEIQAFNCEVLRTFASIVQSLGPTEAQSNPQIFWCSFACLTTPYENEFSEVIDLLSHV LDKTNLSDPNVVQLLNKYRPADWVGPAPYLQSLLLVGLRSSKTSFMTFDLIRRLTSAS IDELVDAPNDRLIHGFIAALPWMLHSTYLGEPNEELAEMALDLASIADQQGRASFSRL LTSFAKVRFRSKDDFIRQAASLLRDYMPTHALGIVTLLLGFVLNTHDWMREKSMQVLK LILQSPEARAPLQTHGNELLQPLLNLLSTKHATQALDVLDMPITATTAASVDNHAPAS PSFSGDIFGLVEESGWSVPRAKELSSLTRENVRAVFNTCTTETRAASAHFSVVQFADM RPFDFNASQVSLDLPSSPPINGEGAMANASIGDLVGALHSLGHFFEDGDTMAGLGNGT SSPLPNYNMSRHAASNSDVSERRVRAIMARSHQHQSSITSPIYETSPAHSRINGRPFR HNHTRSDTIASESSMTSSSMDERENATQRLNFSIQSGGSSTLGSLTPVKSHGQSHSTG SVNIPLGVSESRRIHLPNDSMSSMSDIGDQSAFGLDEEAAASSMGGLPSTTSMTSISS AAGYGVAVGRQGGWNERERR L198_06484 MAFARFGASKYRNAAPAIPPPATWYRGDLPPLTPSAPTTFTSEI KTTREYIITVAPTGELSLRGYAGQAASIKVGSGGGVGDWDVSRLEDALLAVGGLDGAV SVYVLPAPPSTTQPSLLYSIPPSSSPVTNIAFHPTTPGILLISTITQPAAIYDLHSNP KEPKIILNAREPKGLWTIAWKNDGRLIAAVGRSGTAYTWNPRSSPSPSFSKPLQIQSL KPARLAWVGEDIFLTSFSKMRVRQYSLLSGSDLGTKFEQGVDTNQGTLVPLVDEQRKI IFLAGRGDMTLRQIELSGAQGFQESIHPLPFPISSSSLAGVHAGELEVMQAEIARVLV KGEDKDGVVLLPVGVRVPRRQLIDFHQDLYPDIPGSIAEQSSEEWFKGDDKLPLPISV NPARRGMWEKQVGQAAQSRQRTSAPPSAVNSDVTRVAEDESDSPAAAEEAVEAKEAPI TTPSTTLSTKPTEEDKPRKDIASTTTVSGSEETKTPTPPTETKQSTEEENTVTSPVGS PDALAPKAEEPPKQPARTNAPATPTPEEPLPSPTPTPTAKTALPPLQEGETHSSTSYK VRIIGDFLADQVVEWKKGEKGKGPLMVGLQGPQGCGKTTLTGALVDYLSDEKELVGAV LSTDDLYKNHAGLKAVAAKHPTNALLSGRGPPGTHDLDLAERTLSAATKLNDSPGAYV HLPIFDKSKYGGEGDRSPKTRKVVGPVDVFILEGWSMGFAPLGEEELEKAYKDPKAAS PKTSRVFFPDYPLESLKTLNEYLQPFASVLYPFFSSFIQIEPLSYDYVFQWRLEQEHG MKAQNGGKGMGDEEVREFVGRYMPGYELWAGGVWRKGKGWEGEGTGLRMRFGEGREVV EVGKPGEGYVDHSPSAPVEDGKVVEKVSKPSPERAGSVPAAPTKRPEAATSKDSPANP KLTATQPPTNPSTDRFNPSWSRKYLAAKSPLIPSYDSLPPLSSLHQDSHVLRLTPHLA FFPIQGTGGRLCVQPLKKKGRVAVGGEGYLSGGVEIVDFDAELGEGRRVAVAGEDGKV RVWRVGEEGVKGVGPEPGQVLHGKSIDKFTQILFHPTAKDLLVGVTNDRGVANIRFRD LSKGEEVKQVEVPAPAVYRLAFSPSGDRVAIGSKDGRLLVFDPRDTSTVVSGKAHDSP RSFQISWIDEEHIVTVGFSRGSLRKILLYRLPTTPGEEITTISSLTIDTSPSVLFPVY DPDTSILYVWGKGERVIQAYEINPSNEREPVAKLPGFTADNPQVGVVWLPKRMVDLKK VEVGKALRLTAKALEEVSFTIPRNKPEFFQDDIYVPTTDIEATPLTAEEWLSGKSAER PTINLHPAKMTPLSQAPKADTSTKKKFVPAANVMSEEEKKKNEMDRLFAKAKMDESSD EEEEEEESKGLDPPDDDW L198_06485 MTSIPKTMKAWVQNESNWLSVAEVPVPQPKDNQVLVKVQYAAQN LTDWKHSAFLSLPGVINGCDFAGTVVALGSNLASPLKLGDRVAGTLHGGYYKDEGSHA EYTLADSDLTWVVPEGLGLAEASTFGVAWVTAAQAMVQHQGKAFPTLGDTNYIVYGGS TSVGLFAIQLAKILGYQVLAVASPHSFPLLKSYGADAVLDYHTPDEAIAEALKITDGG VELALDTISEGDSFRITVGMMGKKGKQLNALLAVPDKVKELNPELKTEFTVMYTLLGK EFELAARAPGQLFFPSVPEDRRFGVEIFQQTAELYSKYGLKPNPVQITGGFEDVTKGL ELLKNNKISGKKSVIRIAEE L198_06486 MSVFNTSRLAGKTVLITGASAGIGAATAELFAKTGANLVLLARR ADNLKAVKAKIEAAHKESGLKEGGRVVLIEADIQKKEDVDAVPSKLEGLEVDILVNNA GMVRGKDQVGAEDDINVMFSTNVIGLVNLTQIFVREFKARNRGHIINLGSVAGREPYA GGAIYCATKHALAAISGSLLRELVNTPIRVSEVQPGMVETEFSLVRFRGDQGAADKVY EGLVPLSGQDIAEEIVWVASRPEHVNIAQLFVLPVNQASPTLAHRSS L198_06487 MIPFIVHGIVTVWTAIAVYQMDIIHQNHFAIMADAFFHPALPII PLLIVYSISAPSVYKVPELPSASFTSASSVSLGWPAFPTPDGGALQPFLTYTEDGELL NTVASGPGAAKIMAEQLIHLTGAVVVSFLALLGGMKRVLNEHIGQAINELKASFAEGC RRYKVALEFYETLKGELVRTMSFRYLRFFKDLQPPAPPTPEFGVHVPRHAPIRRALLC HPPGHVSLESAVVVKISWPQNFLGRWSLQTSLGAPVSPPRLILGPSLPSAPAAQSTEK DRSITKDSTSSSSVLEANRESSSPVNDLLELSCQADDPSNPSRSPGDTELIHEAKVNL ECRMERQASVGREVKERAHSTIDNTDTRQKTYTGPPADSSPSSDTSTSTTIDSNIGNT ELPSTGNPPPRPIGEGTPEEADSSTGPQPSHPIGDDSTSSQASSDAVSTKIDTRAHTA GTGDVAENTGDERATSGPDPRHPIEVAPPFASAPSSSSSSISSPSPDDEPTRPGSNSD SDDGDDGDATEESSGPQATDTARAAESSRAPPVLVAPISYPENSGVQRMPGYWPIRHN TTRFSDSLPRRIRSSYQRHSHPRSIHDTYRAAARRPSSRRFTTPRNINSSSGDVRSSL LDRYTSIPRILRHEHRRVSRLGPHDMEEVQPAPVEEAIDEMGERLPQQIHPPSYERFD SNPAPPYFGYSEGHLDPDPPPLYHHHVPRNDMLAQHGREDPLDRAGLGEIEHHASAMA LDNRVLGWLQQQNIQRATGRMDNDVAGWPAHNPYDHLPAPFFQGEPVALPSQLQDPAV TVYQNMNAGHVVPESYARQIVREEIPPQDAVPPLQDVLNQEKQGHIDLLK L198_06488 MAPEMRYFSTRGGSETLSFEDAVLTGLAPNGGLYIPTHIPDLPA DWQTKWANLSFPELSHEILSLFVPTAVIPSEDLQSIINTAYGSFRSEKTTPIRKTGEK EYVLELWHGPTWAFKDVALQFLGELFRYFLERRNEGKTEGKEELTVVGATSGDTGSAA IYGLRSKPSITIFILYPDGRISPIQEAQMATVPDENVHCVAVQDTDFDHCQSIVKTLF SDAKFNATHRLGAINSINWARILAQIVYYFSAYFQLPEAARQEGAKLQFVVPTGNFGD ILAGWFAKKLGLPMEQLVVATNENDILERFFKTGRYEREDVAEAPKGEAAETAAVNGS SDGQQAVSAVKATHSPAMDILLSSNFERLLYYLALETNASEGSAEENRTKAQATLNGW MNALKTDGKVDLGEKVREAAGRDFLAERVSDEQTLAEIRKYYQLPQYGPYVVDPHTAV GLAAQERAAKKASPNTTFITLSTAHPAKFSSAVELALSASDFPSFDFRRDVLPDELKK LEGLEKRVHKVRGEEGVRALIEKIKGQSKEKVDVSEGKGSI L198_06490 MFGGTSSWGQNNQQNQQQQGGGLFGGGGTGGGFGQQNNTGGFGQ QTNTGGGFGQPAQNTGSVFGGGAANTNTGGFGKCGFGGANATQPANTFGARPAFGATG STFGQPAANTGGGGLFGSNNTANTGFGAPANNTGGGLFGAKPATSTFGSGATSNLFGA KPATGFGAAPAGQDGLKGPNELQQYRTDAPPPPPPSTGTANPAYYPTWQADPSTNTSL GKEGPPHLFHSVSAMFPYRGASWEELRAMDYQQGRKEATPQQQQQQNAFGASSGGFGQ QPAATGFGAQPAATGFGAQPAATGFGAKPAGTGLFGSSAPSTGFGSTPAAGGGLFGQS QPQQQPQGSSLFGGQSNTNTGGGLFGQSQPQQQNTAGGLFGSSTNNSSPFGGQQNQQQ QGGSTFGGFGQAAKPAAFGSTGTGGFGSGSTGSTFGQQPAANTGTTGFGGFGQTQPQQ QQQQPAAGGGLFGGGGFGANAQQPSTGGGLFGSQNSQQQQPAATGFGAAPKPGGLFGN STPAPASTGFGGFGQTPAAQPAAGGGLFGNTGAAQPSTGGGLFGQSQPQQNAQQPAAG GGLFGSASTPAAGGGLFGAKPATTTPSTGLFGSQPAQPAPSGGGLFGNAGASTGGGGG LFGSTNNNAAGQPGQQQQSGGLFGAKPAGSGLFGNTGAASTGGLFGQQPQQQQQPQAG STGGLFGNLGQSQPASSGLFGSTAAQPQQQSTFGGGSLFGGMGQSTAQPQQQQQPSLT TSIDQNPYGNNPLFAYNGQKLEVGSQSKKPALPPLTASSYRLTPSTSKSKLNKLRGFA SPLTASQSTPARAGSPLSVSSPGKTSLFNSPVAPDRYKGLSDTALTPNAFVPRPNIKK LSVTPKLGGSLGGGDQLESVLGKSALRSSTNSIPGSPAPRQVPLVFHPTANATPRPES VASPRANGVDSPRLAGSERPPKKGDYWCRPKLEKLKQMSTDELSALSGFTAGRRGFGE VTFLEPVDLTRAPLDDILGAIIVVDQSELSVYPDEYPDKPEMGDGLNVPARIMLENVF TTDKATKEWVRDPQDARFQKFVRRVKAIPDTEFVSYTDDGTWTFRVEHFTTYGIGDSD EDESVASGSNGARDSSLSPSGSEDDEDMMPPVKSIRDLEGQHDSGLSEDEFTGESIAD DFDGGFTDMDTSGEFDLPSHPSIEIPLKSHLGPEGMRNLKEMQSSFFGSSAPTKRPAR ELVGSRKRGAEQGSFFREAEEENMMLDQRAVKRTSFGELPVSLPIIRQKRKYARVAVD ESIEKGKEGINVDAGLALGRSWRCSWGPNGELVHLGKICGPRAKTFCNPDAVVNIEKV EILAESVNVEKSKAERLLALHLETSLVEQIEGVPVATVNPDIRFGDFASRFDAGDRSH EANVFRLGVALFDEIDLRLPEDSSEELVHRITSLRRKLALSKWLEDAVASSVDSDLIT NSDSRPNKLFTLLSGHQVERAVEIALEGGDMRLATLISQSGGQQTFKDELLLQLEDWE NHKVNPFIAAGYRRIYALLAGITDVLKGSPPGRASEKWPQVLIAEGLDWKRAFGLHLW YGMPFENTVSDVVSSFANSLSAANPPAKPLPPYLEKPSEGVRSWTLPTEPTDVIYNLL QLYSDDAVSLDQTLRSRDTSSSPFDVRLAWHLYILLTRVFSRRDFEDREEGYSASADR LTEGYAAQLEESGEWKLAAFVLLHLETVEGREKSLRALLNRHPDVTADDTSFLVETLR IPAEWIHAARAAAFTSSGDAWKEYHALLEAKLFDRAHRVLLERLAPEAIIRDDRALLK KLCLKLEGKGVAGWEFGGKLFLEWNEISEDTAPLLVSVLRSGSQPDPRQVVELNERAQ ALPRLLQLLPSLFSDRNDVQQVAGLSEVLSPLADLASALSGAGYIAKQPVSANLVDED RLHLLRESAFEAFNRTLAEGA L198_06491 MPAHTPIPDIPGERLQNPETKYHLASRVSQLCGLNSPDKFPGSQ PVSFSTASLDLLEKKDFWVCEKSDGVRVLVFIVINGFSGEQETWLIDRKQEFYNVKGL MFPKWDDRRQPLGESILDGELVIDVDPQRLRFYGFDCLVLNGENIMSKNLEKRYARLR DWVVVPLEKHIRDNPEAKQYLPFEVLAKREDLSYHLKYVMKEHIPKLQHGHDGLIFTC VQTPYIMGTDENILKWKPPSENSIDFRIELRFPPIHDSDEPDYHAKPEFCLHTWLGSE RYEFFDFMEMTDEEWESWKETGKPLDDRIVEVNWDGAMQAWRMMRIRDDKLHGNHKSI VEKILISINDGVEIEELYARSDAIKSAWKSRAAHPQTPAVHRPPPQQHQPHGYGYGGG AGDYGGGDYGGGYGQGHGYGQGQAPQGVVAGLKR L198_06492 MVLPEADISATPIDDGSFVPSQPQKKDPNDRSFQPNSPKLVIFD QRSGEKETIDLGKASSIMTMEDYRTLTRKCTERGMFGGLVGGGILTYLVKRFKPTPPS RNALALTFFLSASFFSFSSSRALLVSEILKIREKARAMAIQNGDIPDPAENLWGSDDP NVAGARGMGDSPRNLPPIPQATAPDPSLVGSPGPRGYATGQSGRPSGAVREELARARL GASPDRAKWAQERNQPREQFEGDVPGPGEGEMVDPYASLGATR L198_06493 MDTTADDGSVSGDHDGARRRWGQLDTPRVRHITGIRIHQLTLPE SLSYASKLVPETQQTIPEDYTLGESSRFTASPEPAQSSSHGPRPRTSSSGSTALRRDR KTSGDSLFRPRSSSSSTVTLESSSPVVGSMRTPRIARHRAPTLAGEALELGHTSAAKG SSAPDRLHGSLSEEQEMIQVDKRRLARCFVVLKLPPHPEARDQKLNCSASKRANEEML YRTRSNTQPRSASKPASPTSRSPTPKMPSSPNSITRTVSLPGSGGPMRVRTSSLTSHA SLKSPSSPFGSPRRSGLNLNQKGSLRTAPETRLPFPRGSSSSSSYPPLSASMQERPQI PFYISSIHPPSVFPRFTSLDPESDFAPWLGYGELASGVVEVEVWVQDGLDFQRARKGP EKGQEAGEGWKKLKEVGGLVDLGRLRKKKDGAVEPPNGIEFTLSFDPMGIYYVPLSES LPNDGDEENPAHKKRETRLKQGTGAGGLHQLVNLHAVIVDTQKSIKQVQGNVDRLLKE DVDHRALKREVSERENRVTVIKKMIEDVEQRTEKVRTRTSTLTTSHQTRRSNLSDADE YSTASYLQVSALSNEITSLDSERLGLQPKIHKLRAFHIQMLDSLFPIQILDPSILLYT IVGVPLPIPANPKDPAPPLTLPNHKIDERTTSSALALSAHLVQLLANLSGIPGGGLPY PITCAGSKSAVRDVVSVMQGARNFPLFARGVERYRYEYGVFLLNKDIEMLMQEANIRL LDLRQTLPNLKTLLLTLSSPSYIPAPHRLPSTLGNGSGGRSRSSTSIFGGASRETSGN WATDNTFPGGPGSWGISPASSTGVGGGRESPLSFTAKAHSASMGGHAGSPLAKTGLKG RRSMLGKATSEVALGRARGEGEEEGSGDEEEEKMVGDGLERHVRGVAT L198_06494 MASSSQNRPPPGLPILSGIPVVVEASHCRKCDKEFNPLWRRKKT CGHCGYQYCSNCISDGQALMPRRPGQQPLNQTPLGEIKMGLGIDDDMEGSPGYYKESV CTFCLGMLQVTTAPLQLLRSFPIKRLKNYLAAYNIPSIGLSEKEELVQTVFRARDPTT GCLSAICENHFRRHSVPGKVTARPNPTSSQPRPPPPPNGGGTYASSYQRPQPQQARPP PPNYANARPQAQAQGSARAHYQTRPQPSSHTQARPPPPQQARPAPAPTPSRPTPAAPR SPAASTPPPPVPSILSLVALPDSYLASLGIGTLKAILYENHVRVDFKQVLEKGELIAR VKELIDDERKRLERQRIAEQQEAALLAQSSLPTQSASTTVPNGTTDHPEVPTGEALEK EKVEAGEAKVVPTGPMPEIERGLCVVCQDEEATLAVVDCGHLCMCQHCSDLIWATTQE CPLCRTRIVTKHRLIRIYKV L198_06495 MLPLTTLDVHEQAASPLKDELGQESDKTTETPDNSSPESIPPME AEHHMINDWLSEQLRYHAIYAPPIFPMFLYTLFAITYGALASLGGRRPFIELLLVGSG LFLLGMRVQVHRRLDREIKEVAKSLKVALDGKEVGAIDLKWYRRATPGLTDCQTGTIF HPFPPSSEDHHQSPISLTSYPLMRKFASFPEKARIVAVCVFTPLWGDMLVTACGIDDT KVYALPVRIGLEVILTLMLGNMFVLFTFVVEYEYDRITGGPNQSSMVQESMEWNSLLW IQEHMGWWGVLVMEQTLGRDRMEDLMAAMREREKQYVDDRSTVLQGLIDQGCSAATER ETFFSTVDYDLLNDEAVYP L198_06496 MSYPPVPPPRQSHDAGPSSAPARPTTRPRSSTTHSLTKPRTLTL HPNSSSANLLFNAPSASLPSARNRAHARHHSNSDVDEQATPKRHHRATFLHEETYEDT EQVDLPNFGHMLGFDEGEDHFAVAAGMRTRWKRKLYLLMEEPSSGREAFFLHVLVTGA ILFSAILTTLSTMPAFHTEPLIIKALFGLDTTIVVLFTIEYIARSLAHTDSWTHYYSW ATSFFAIIDLVAILPYYVEVAQNQDTTILFRFSILRTFRLLRVFRAFKYQNQMLLTIE VMYVAVRRSRDALYALMFFIVLVLVLFATLIYFAERGTWDASVGYFIDADGDPSAFDS IPKTAWFALVTMSTVGYGEVVPKSFLGKLLTVPLLMFGLLLIALPSFVLGRNFAIVYD AFAKQLFQGNVSVASSPRQSLDQPTSIPNPSDDDVPLLPITNPPQTSPPSTTVHSRAR SVSPFPGGNAQQGQWAGQGGSQPKMWDGGMDGTGAAAGERKERDLTNTKLAKNQLVLL EQIDSLRQTIDSQGEMLRLLTQALGVPNGEGEGARRTGSRKGKEKSTGGEFRLDDSDD E L198_06497 MYSAVLLGLGKQTGQIGGYNESAAAELFASETGLMLRSQFASVI IDTFMAGILIMQLLKYFPNQNKDLLSTKLIIAWSSVWTLVVSVFIQAHVSYLLIPNFG LWLSPWLEVRWIATMPIFDILAVLPIQCFFANRAYLLTERNNYLLGCVSLLLLASVGG AIGLTVVFGSQETSLIGIESSGAPLITWIATTFAADALIAASIIYGLFKSKTGWLQTH GFIPRWVRLSFEA L198_06498 MLYTVNSRIVFDVHANNDTPVVTVLTRAHGMTDFDSLMNEIRST QAPTDVQNDPELESINEKSEKIKNSSRTYAASDIGPTEKSDLADLGSHGQTMTRRTA L198_06499 MPPKKGAKKGKKNDDDDIWASKEAAFESNPQTAAASDDEFSAPP KKAGGKKDKGGLFDLLDEGEEVSDEEGGGLMASRFGAAIAANAAKKDKKKNKKKPAKF VDEDEDLDSDAEKPAAVNTKPNLDDEWPEDDVKPKKGKKGKKDKKKAVQDEDYEFDEP AAEEAKPDTAVDINDEWPEEDVKPKKGKKGKKGGKKAQEEDEEDINAILEKAAAERRA AEAAAAPKPEEKKPEPEAADEEDEVEGGDDDGPKILTKKEKEKLKKEKEKAKKKAQAA AKKAGGAQEDSAQPSPSATPAPPADEASDEEGDDVAGGADKKKKKKKKAAAKPEAAPA PAKGKKVPAHIAAMQAAMEEKKRLEEAAQKAAEERQRELEEEERRLAEEEEAAEAAKA AKKQKEKEKQARLKAEGKALTPAQKKERAAAEARKQAMLASGMTVAGLQEGAPKKKPV YGKKKPQQQKPKEEAPKPKPEPTPAPAPVEEKKDESEDDWDKSEDEKKVDEATKGVEK LKVEESEDDWDKSSDDEEAAPAPAPAPVPKAEPKAAPAKKADPAPAPAPKKAAPAPTK PAAKANGKAAPAPIEESSEEESSEEETDSDDDSDSDDDSSDEDSDDELAVRKAQALEK IEARRAAAQAAKSKDDLRSPICCILGHVDTGKTKLLDKVRQTSVQEGEAGGITQQIGA TFFPREAIEEKTAVVNRDGAYKVQIPGLLIIDTPGHESFSNLRTRGSSLCNIAILVVD ITHGLEPQTIESLNLLRAGKTPFIVALNKIDRMYGWKQIPNAGFRETLDSQSKSVKSE FEDRVAKTKLAFAEQGMNAEIFDENKNLGRNISLVPTSAVTGEGVPDLLMLLVKLTQE RMNANLMYISELECTILEVKVIEGLGTTIDVVLNNGVMREGDKIVLCGTDGPIVTQVR ALLTPQPMREMRIKGTYVHHKEVKAALGVKISAPGLEKAIAGARLYVAQDDDEVEAYK DMAMDDLTSLAKFVTKTGKGVWVQASTLGSLEALLTFLEQMKIPVFNFGIGPIHKSTI VKAGTMLDKAPEYAVILAFDVQIEKEAGELAAKAGLKIFSAEIIYHLFDAFTKYMDEV TEARKKEAAPHAVWPVRLKIIKAFAHRDPIILGCDIIEGTMRTGTPMGVVKVDKETGK REIVALGKITSIEINHKPFEIVKRNQIGAGAAVKIERASYQPAKLYGRHFDDSDEVVS LITRQSIDTLKATFRDQVELSDWAMIKKMKIEQGVA L198_06500 MAAATTSAPLHPKLGRAADFVRPDFHQVNLDIEGYLKNERGFKL DSDEQICPLSLTPLGCPLPPSQCPYRHTTPSHLNFQPPPQLPTHPREREKKLTVCKHY LRNLCKMGDNCEYTHDFNLRTMPTCIWFEKQGKCELGGECLYFHSRDRRVECPDYLRG FCVLGPGCPRKHTRKRLCEAYMAGFCPDGKECKHVHPSPTRPPPEAYENPPAPNPDDF SGPPPQLPAGYGRWREYKYDPNAVIVPAAAWVEGGSLSGWRAGGFLSANARREQGGGG GGGYQGGGGGGGERKGGGWQKDLSTVLCFRCNQHGHFANACTNQYVPGDRGGARKKDG GF L198_06501 MAPKQAAKRKRSSAAADEAPAKRVAEEQPEASSSKQTLDTPAES QTDAVLGARSAPGQAYTRVPFSTLNLSPPTRDAIERMGFETMTEVQARTIPPLLAGKD VLGAARTGSGKTMAFLVPSAELLSTLRFKPVNGTGVIIISPTRELALQIFGVAKEIMG GHSQTFGVLMGGANRKAEADKLVKGVNLIVATPGRLLDHLQNTKGFVFKNLKALVIDE ADRILEIGFEEEMKQIIKLLPAENRQSMLFSATQTTKVTDLARISLRPGPLYINVDET KEASTVDMLEQGYVVCESDQRFMLLFTFLKKNLKKKVIVFFSSCNSVKYHAELLNYID VPVLDLHGKQKQQKRTNTFFEFCNAPTGILLCTDVAARGLDIPKVDWIIQFDPPDDPR DYIHRVGRTARAGKTGKSLLFLLPSELGFLRFLKVAKVPLNEYQFPQKKVADVQKQLE GLISKNHYLNTSARDGYRSYLQAYASYSLKKIFDVNKLDLAKVGKAFGFAVPPKVNIS VGSVKAKKEKDDESSDDEGAPKKAYYRNRGRK L198_06502 MAPRQPVAGPSKTKHSQQAVTSSPPPPASVSAFNTARSLFALAS PVLGQADKVQVWDVASDRVISEWEIPGASKASTVSFALIDAADTGGKKKKRRKSAAGT SEEEVLLVSTGKNQLVVLSPRQGEVLRTIDLEEGVTSAWTDERGFVFATASSLLVLAK DASAVSHTFSLPSNVTSPSAVTILPSSTAETLHVLVASTSVVALHLELASSEVTYTSS PLPASTTSVSSLLPIPSTEEGASFLVVSEDDRTISQYTLTTPQATAKLSYRYASPTLS SAHSLALESSLLAVLHESGEVSLFSLPSTLDLSRPKTDSKPSIVKVVEGKEEHTARLA RVAFASQGQGESGALLCGRLVGGGSVKWSKAVYELPEGGLRSNTVLKVGVQDLATGTS GSSTLPTQRYVAPAAVTEAAEDTGDEPASQLPADVDMAELSLGERMLATGQGEAAKST TATAGASLDGPVNAASLTRVLVQALHTSDPALLTLCLSHRNPVLIRNTIRKMPAQLAL PLLKACVERLGQGKGANKRGGGRGSVQNEQQGRGTVEWVKGVLVERGSVLMTMPSLPQ HLAQLSTLLQTRLELHQPLQTLSGRLDLALAQITMRRIAAEQALAHAEDGGRKGGEGE VYVEGESDDEDVEIEVGEDGEVEDVDMRGYSDASSDESGSDDEDDDDDDEEDSDEDPL ESGSDNDLLDLEAEESGSDEEDDSEEED L198_06503 MSPGYEASIRNHLVRFPNDTNFVTELAEAGKDKGGNGFGIVVCM EEYCWTEIALTAEPAKPDGGRSIGWGSMLAFQQSHCGSSAHVRGKTERCKKLNIPIEK PSPSSASQPSLATPAPARTLPSTTKSTGKQVRTSILDAMSSPPSNRTPSAPSGTTVAR ATPTPASKVSPAVPSRLSSLFSHGSKIVQSSSSNASSREPLALVNKNTNGKGKQPVRQ ASSPSGPAPSSGLLGEDETEEDMATIEEQNRRFDEIFAAKREPVASEPASSPSITRPS SASAGITRPTSASAGPSNAVYSLIHQDVTANKSGLGIEHVPHGDKEQKYAAEAQHLVK SLSSFLQDKHKLRLILTRIKFLRDQLVSPDTVYPYVGPPIDMVITFQNLPTVIAKGAT YLHDLASGAATGQVMQISCGCNGSSHYIPLCVKSKAAIAPPLPASAVDSFHMSQMSQA PHPNAYAGSSGGAGNSGQIADTMGLLSQPGYGGRHGFMGLDDGDDDAEDEMSLFHRDI PSLATFFAESFGNFASDSTVDDDLRVLGLSSLKDSIPGLRIQLMPHQVMGVSFMTRQE KNLAFRGGINGDAMGLGKTVQSIATIVANPSQDPKQKTTLIVAPLALLGQWKAEIESK TTPGLLKVHIHHGPKRTASIAALKQYDVVLTTYGMLVSEGLSEVSPVNCTMIDEDGKQ FVDKKKIGPLVKTNWYRIILDEAHQIRNRKTQASKVIYTLKAHLRWCLTGTLVVNSLD DIFSHLHYLNISPMSQWEAFREQISRMQKRSPKLATKRIQTILKGCMIRRHKDTLLNG KRLLELPTKTTTVCDLNFSEEERQIYTAVENRFKVTFNSFLRKGTVLKNYHVVLVMLT RLRQLTCHPWLLRRNPNDIIRDGDVVITDEDLFGGVEAPKSDDISEQARASTLVGPEF VERVKGIILERIKRLETASDNDNGEAGDCECSICYEQFTDERITPCCHSFCAECIDNI FNSAQGNADLDDADVQAGRRKCPLCRTVMDKHKIFRASAFEPKEATENEEDNWGEQAE EDDAEDYGAKISALADEAGMSDKKKGKRKAVDQVRTRNKKKRSSSVEDVTDDVDKKPD GLDIEDVVPSTKMHKLGELVDAIIAQDPNQKIIVFSQFVEYIQLVSVYLRKRDVSHVK YVGSMNQSSREDTIANFNRPMEEEGSPRVILMSLKCGGVGLNLCAANHVICLDLAWNA ATENQAVDRAHRIGQNREVTVHRLIINDSIDQRLMELQNTKQALSDGAMGEGTAVKVG RLSVNDLIRLFGVNGGGHDAE L198_06504 MPPYPARYRLSDIPHARGPSFHRQIFANLSHTLAARADDADTTP QASASTTANGITASDLIEEAEEHWNVSAKSILAAWALTAAGALLIVLIYSAARPRWKL IYLPRLKVRKTSRTPEEKRKEKEMLDKMGARDRKAYMDKKEEDKPDYYPEGENLKGKW VARAPRAVKGYFGWLKPTWRETMVELRSALPRWMDFLIPKETVTDEKGRKVKQSASGP SLFEQDLKTLHMLGLDAVVYLIFLRLLKYLFTAMAFLACLLAIANYYLNTQTSYGGTS AVSPTEAVEGARRIAETSTASAPSSSSTSASTAGSNSTSIIENPQLLTAANITSNGLL VHVSFEWIVTMMVVVFVLKACAHHLKLVQEWTHMNYNEVSFKTLMITNLAIRPNPSKS ISTVADAKREIKSLILGPHRSKVDSSVWFAVYNMNPLHEKMEEFKKKKFKWAIKAVSM ETFYEGGEGVFYDSCSGRLCGLSKSAQDRVDDALTEKQNIEHLQDQIRKGQVDVKYTK LKGTVTSAFLTVPTAKKAREVLKDSRDKLKEAGYHIQRAPRSQNVLWQNLERDGKSRH SHAVLGKVILVIICFINTIPVMIVTVLANLSTAIDRWPTLAKLTESSDIWNAIFTVIA GILPATIAALFSYILPYIMRRLSRWSGALTRGQLDKAVIRQLFIFLLVSNFIVFSLLG VLYETYLTISEDIGQESWSTIYASLGDVPAKITRAYISESLYWLSWYPIRSVVAFLQL LQIPRLIVKTPQLLTIKTPHDLAEVAQPENFEYSHLVRSIILELQVLLMYAPLAPVVV ICAAIYFWTIYVVHTNQIKYVFDSKETDGKCWKVIINRVLVATVMMQILMVLTVTLKT RSAAMAVGAGLPIGVIFLFKMYLRRHYHPDGELFSQYIDKYEDDDLDVDNYAPEYEHE LLREDWMPKFKTVKNKVLMARAMKRFPKLTELLKVGQEEPVTGTTKKSKKKKRR L198_06505 MSSTSTKAASASTSSFVTSLATNFAIAGGELVAWILLRRYIKAI YEPRTYIPPRDNQAQPMSKHPLKPLWQILKASPEEVLEKNGVDPYVYLRFLIMMVKIM VPIWALSWAILLPADAVNSQVNDKTGLDKLTFGNVASTKQDRYWAHLILNYIFVFWII VNVWREMRNWLVIRQKHLINPAHSKLAQANTVLVTGIPEEFLDEHKLAGLFSYLPGGV KRIWLVRNLKEMPGLHDRRLKAVNQLESSQVKLIKFARTYKEEREKKSGKMEKKNKTV PDTLSGPMNPQLLKQGSDGKVNGSANAEEGRVFTSVEALGLADQLVPRSKRPTTRLKP KWAPFGLGFLGIGKKVDAIDWAREEVVKTTEELQFSREQLKKDIESIGIAEDKYPPLN SAFIHFNQQIAAHMAAQCLDHHRPYAMQSRYIEQSPKNVIWGNLSMGPYEKNIRTAIS WGATFGLMVLWTTPVAFIGVLSNVTTLTTEYHWLAWINGDGFGYTILQGVISGVLPPI LLGILMEIIIGVLRALAAFEGHPSKSEVEFNLMTRYFIFLVFHTFFVVTLASGLISAV QEFANNPGSVATTLAAQMPTASTFFITLILAQFTGTMGTLLRVVKLFLYYVRVIATGG TPRSVFTSRYKMNVANMGEWFPKITVYAVIVIAYSVISPVINGFGACFFIFAVFVYKY VFIWCIDVDPAADTGGMFFPKAITHVFVGLYVQEVCMCALFFLARNQNGNASSIAQGA LMVVLIVATAASHYTIFVSYKPLRNALPLSLAHLSYGMPGLKDRTQAFHNYSLNEDPE NPLELQTSRDPLTAGVVKATNLIPSKLRIDTKIGDKFRQKNALSSTSDREYGVSPRVL ERPSDDVTLAERDFAQQPGGAGAISREEEQMTRGEQVELQERRSREEGGLSQMPRNAE GLENGHPDEEPTPAFATSPGRPISRPPSPSDSIASVESTKYFALPGGPGLISRQVDDG NDPNAFFHPATKDPQRVIWLPQDELGLGQAEVAQNKRDGVKSSYRNARLDEKNKVQIY GPPPDDL L198_06506 MLRTPSRLAATAPAITRSAVRATPRLCLHRSRVVLKSASSHLLL RSSFHSSATRLAETVKVPQMAESITEGTLKQWSKAVGDFVKQDEEIATIETDKIDVSV NAPVSGTITELLAEEEDTVEVGADLLRIEPGEGGSESSESKPQAKSEPKDAQEGKKDD PAPESHKEKNAGEETLKKHDEKAPKLEQSKEEKPAPKQEAPKPKKEEKKEVQPEKALG SRNETRVKMSRMRQTIAQRLKASQNSAASLTTFNEIDMSSLMEFRKLYKDGVLKADGV KLGFMSAFAKASCLALKEISGANASIEGDSIVYRDYVDLSVAVATPKGLVTPVVRNAE SMGLVEIEQAIAELGKKARDNKLSIEDMSGGSFTISNGGVFGSLFGTPIINLPQAAVL GMHSIKEKPVVVNGQIVIRPIMVVALTYDHRLLDGREAVTFLVRIKEYIEDTRRMLLP SPL L198_06507 MVQVNSPTDHGPIPPTAPGQVQPEVAPLSALLAHPLLQDPKFVA AAGGLALIVLLFAILRPGKKGARRNGPATVLLVGPADGGKTSLFTKLAFNTHLPTHTS IISSSSTFSLSSPFSDDGQSKQVRLVDLPGHPRLRDEVKKYEAEAAAVVFVVDIQGVI RNGPGVAEQLPPILASLASLSTHLPPSAPPPKLLILAHKSDLLIRPAPTTSLSPPDIP ETSLKTGTDRLKSILTREMDRLKSTRASTGGRIEGMSKVAGSSTGFFARIFGSGGGGG GAEGEGEEDDESLVWGGKGPFRWEDVEGVEVEWAASGLGVRKEGDVSEGNGLDELKAF LWDI L198_06508 MADVSDPKISEAYEKIRVNGAEDAWLLLDYESDKSSKLVLSATG TGDLSELASHLKPENASFAYVKVRYVNDEHSFREKFTLITWIGEDVKVMRRARVSVHA ADVKKVLRAYSIEVSASTKADLDQDEIVTRLRRAGGANYDRSKFD L198_06509 MSMASEHPTLYSQHHKVIDQLYTTITTVPQSTLDPAVINYILFP LTTVIRQSNPTTLPDAFLEASFGLLAHLVGAWRKTEAGMDMAGWEQLWKFGVAAVGPR VVKREGEKGKGKEVGQEVQVQAIKLLSALLSPSDLPKYPTADMLDKVSSPQSMLPTLF QTITLLLETSQPSPPYHALQLSSLVLLRSLLSHYFPNNHQVLAAVLPGTVSAMAKIIN EGGKGLKGEVARECARIVEGVVSGTLADEDLVRLGVLRAKAEDLSGLAEQWEEESTVP ASPASAPSTSPSARPDPFPPLTPAYLSFTSSQLVTAISPILSILIPHPSDDARHGAIS LASTLIEKCSESLPLLRPRSLTTLLLLSQDTFDPVRHDARRRLRSLLPTESLQLSPVL LDLLNEAINAMPRLVSSHQDIKVDELARLITAIAESSSPLAFSSKGNAIAQLLGPDGN VERWGWSLLSCFEFGKPAGWAEGKGGPERTSRLGWEGGLGGQTGLLLDNGTEKDQTSE EAPLSKYPSLPLRHVESEETTRKLRAMLSALGSTGGEAALHSVEYFMLFAKSNKGRHV AKSVSAMWVAEALVQGIQQAQMEGVEGKVSRGVRKMAKEMAKVLVALDEDGEEEEGED PAYGKAEETGLVPIERTSGVNALTTILDKNPLPNSYTAEETRKLQVQAQKTLLTALSL QGFSVSAGILSSSFRPLLLTTLYIVLSHLASPQPIVQSYASTTLTHIAHYTNYSSPSD LILDNVDYVINVVTQRLTYKRLSPSAPLVLIAMIRLVGAPIVPLVQDVVDEVFDALDE YHGYETMTSGLLAVLVVLVEVMGREVQAEGVSEERKKKVEELKRIEKAPNVEEDLRQF GKWWDGRQELRAQEVEELLERAPQHAWGKKDIPGMEEEPGADQDTPMPDAEEAPPTRT QQVATRILSKSLHFLTHRSPFLRSKVLSLVANAIPVLALGNRESDLLPLIHDSWGTIL NRLDDGEAYVVVEAASVIAALCEHAGDFMSKKVLDHAWPRLLKVMDSRKELDKKSALA KRGVVGTESSHTVSHRLHLAILRVATFIAREVPVNEGVLWEMMVKSRVFIDGRVNEEL QVKGMGLFEELRERDGDALWVVLKATMGEDESGTWRYLKDGLDIEGNGRKLLEAL L198_06510 MFIAIIGTPSAGKKVVLQYLEKKYGFQRLRFAKDQPKEEDISGR IAKIDVNGDGQVAAAHTYANANDLLDFVTHNWLSHFVTTDLTSFDDVEPFVKRPFFLL VSVDGPLIVRYERERARAESLKQTLSLEKFMTDHDALLHGPPPQTSSLPTLAHMQLQS DFRRVLDLAHIQVNNNFADISALHWYLDRLDLLDEGRLRPGWDTYFMTLASLASHRSN CMKRRVGALLVRSKRILSTGYNGTPRGVRNCNQGGCSRCNGSARGGEALNECLCLHAE ENALLEAGRDRIGDDSVIYCNTCPCLRCSVKIVQCGVREVVYNQSYSMDEASAMVLKE GGVILRQLSMPEAV L198_06511 MTATHTSSPSSPTPRTPRTPRIPRTSDVPDFPYPPVRSLVTPTS PPGRLPSTPRQSSHEYPQSPSSPSSTLRRAAGTSLPLSPSSGLYYSSPPSTYPNIHNT LRNSDEVELVALTPRQLPPSVETQDESPFHDRHSVMSEREDLFEFESGRQRTMPGYKF ASLSPPGTDERGQPMSFGPMLDQVSRPPTDWRRSLYPAEPQSIPTYDYPGLPTPSSPT SSRYSLLPEAVRPRFRRLFTFTTWRDYLFVFVPGVALSIVAALIQPYMSVVIGDAFEI FATYPMVMSAVTETDKQTLKDGVRDTCIKLTIAGVLALVLDYCKGIVWTLYGETVANR LREKVFKGVQDKSMKWYDMGMGMREEEQGEGKENDAVGAGGLMTKFNRETDDVRLATS LSFGLVVQDSFTFLLCFILAVVYSPKLAFVTLSTIPLIVLTQIITQVTCAPLLATERR VLAEASTNIERATGAISTVKVHNAQSAEETRFLTLIGRGMGTMIKQGLVWGISIGVTD FFLLGTFVLGFWYGAQLIRNGSATSGDVMTCFWACLFAATYLQQVIPHLTTLTKGKNS MASLLTVIQDEPVRPVSGNPFSPGSTPTTANFNIAKQPKVKGSPTFEGLRPNKCHGEF NFTHVSFSYPSRPDNLVLRDVSLFIPPGETTFVVGGSGSGKSTIAQLLLRLYNPLTGE ITLDDNSFDFLDASFTRENIAAVQQGCILFDMSVHDNVAMGLAGSGPDPKTGIKRSPK DVTREEVVDACKMAMIHDFVVGLPEGYETKLGTGGSSLSGGQRQRMAIARARIRDPTV LILDEATSALDATSRVLVFQNLKAWRNNRTTIVITHDLSQIVSDDFVYVMKDGIVAEQ GFRLDLVKKTGGVFANIAAEQAITPFPAKDEGDEQWRENLENLLDMEEDSEEVLDVRS ATPAFSKLLNRNSGVYNDMYDEYSRGQRLSHLDRPRSRQSTAQKRLSWTPEQHGRTQS RQAFGASGGSRPVSRNSRPASRMDVSPRPSSRMSLKTMMADPDSTPRQGNRSQRSLEL PSSGMLHAGWTEKSSPGQISLRERQKKTLSENLEDDLKDSVSELDNPHTAIEVESEGP KPIPGTFALIKLYFPTLPHKYLLFFGCLGSIGHGATTPVWSYFLAKLMTIVGAGGADV GALTKYGLVVLALCACQGLCNCVQEYSLVNLSAQWSHMVRGQAYHKLIKQDKAFFDLS PNAPSRLVQLLIKDADDARTLMSHVIGKAVTVITMLGLGIFWAMAVEWRLTLIGLALG PIFGGCMALNSWMLGNVEVACKAAREDVGRVFYESVANVRGIRAMALEGAFEDRFATG AKAAKSIGKRSAWNMAIGGAIGGCLPLFAQAVMNFAGSEFMIQGRMNYEEMLQVYNLV LFSLTFGAGLLDFIPMMAKARVAARDFNRLLFLGEQTTESEGELRMPINGHIAFNHVA FSYPSRPEVPILTDVSFTLTPGECVAIVGPSGSGKSTIAALMQRLYMVDAGEIRIGNY NLKQTDAVWLRNHIAVVSQSANLFDASIAENIAYGTPNLPLSEIYRAAQAANIHDFIQ SLPQGYDTNLGENASLISGGQAQRLQIARALCRTSRILILDECTSALDSDNAKAVLDT IVKIKAHRTTVFITHSVEAMQRCDRIICLGEGRVEEEGTFEDLVRRGGVFAQLMKTGE WE L198_06512 MSLKITNYSVHDVRYPTNVTGDGTDAMNKECDYSAAYIVLETGT DLKGQGMTFTIGRGNEIVCFAMEQVAKRIVGLELEPIFADMGKFWDFLVADPQHRWLG PEKGVIHLATAAISNAVWDLYAKHAQKPLWKLIVDFTPEEFVKSTSFRYITDALTREE ALAILKKKEAGKASREKEVLERGYPAYTTSVGWLGYSDEKVRRLTKESLNQGFNHFKL KVGADAEDDLRRGRLIRSIIDDPANIPKDRKPISPESIAGKNAGPTGCVLMVDANQVW DVPQAIEYMKKLEPLKPWFIEEPTAPDDVVGHAAIRKALKEVNIGVATGEHAHNRMIF KQLLQLDAIDVCQIDSCRLGGVNEILSVLLLSAKFGVPVCPHAGGVGLCEYVIHLSLI DYICVSGDMERNVLEFVDHLHEHFLYPVSINEEGRYNIPLDPKGGYSIEMYEKSIEDY AFPGGAYWAAVARGENPEVKH L198_06513 MSDAASSSAGDINITVKGPSELKLALTISPSKTVADLKELIASQ SDAEKDRQRLIFSGKVLKDEETIASYKIQNNNTIHMVKGAAKPAAGSSSAAPQPLPTM GTGLQPGSNPIDSVENIHHGLAGFNPFAGVQGINNLNDPNAMAGMMNSPEFLRSMSDM MSNPQVVEQIIASNPQLASMGPQIRQMMASPMFRQMMSNPETLRMMMQMQAGGGGGGL GGLGGLGGGMFGGGAGAGAGAGAGAGAGQTGEEAAAADPFPNLFAPGAGAAREGEQAP GAGAGGFPPGLAALLGMPGGGAGTAAGQGAGAGAGGNPFGGFDPAALFGAGGAGGGFG GGSPWGAPPAPRDERPAEEIYATQLGQLNAMGLWDAQKNIRALRRTGGNVEAAIELIF SGQADQ L198_06514 MPVKGSDIIIILVAILFPPAAAAMITGCSCDLLINILLTVLGYL PGHIHAFWLIYKRIKAEEAYGHGGYTYLGNGNFVGGAGGHPGAPVGAAPAQQPYYGST GR L198_06515 MSTLHPNNAYYQGPSPVPPPRPHSAYDYHQQQAPQLAPAPPARP SSAYIAPYPSAADQPRPQSSWSHIEQFSTYGSSYQPAAFEPPIQAFEDMRLVRPQSQS AMQSRASHASYIPQQGAPLDNVMPGSVINSRSSYIDSPVSAPLPQAAPPFEAWPLPNP THRLSLQTEMQPHMAPPPRSSSLNNLSPGTPTLPTPTQGDTPQVHPYPTVDKLTQASA TIERTSDVSQLMWCQDVIRLVERHWHQSSDMSSHFDRPVSPPPNSSHLSPRLHELLGK AAPLIIALSTSEDTRTSGVALYLRGKLSSSGVCPAMLPKDARQAFKDFDVSARQGEAR SWYRLGKDYEAVNDLARARDCYDRGAKRSECESAFRMGIAYLRGQLNLPPNPSLGLQL LHQASDTSTVDFPQSSYVYGMLLAGELSLQADIPPHLILPPSSPATESFLSQQVNARS AIERAAYFNYPPAQYKVGHMYEYAALSCPYDPAQSVAWYTFASLAGETEADMALSKWF LCGAEGFFVKNEEKARVYAEKAAKKGHPSACFALGYYYEIGMGCNAAKLGNADAPGRL AALSQANPSTMTITEHETRLRNTIIRKHTTAKNRSDRFSISRSGRAPEGQGGQSSGAP VLGVQSDFAQIHGPQPGAPMQPQIQPIAARPGEIMSPIATSPPAPGSNFRPPMPMSQG LRGPSPSAYPSGAAPPAVPRPAFSRQNSNNSVNSYPGQSAAYSVVSSTGTSNELPVPV RQSGPGGSLEGAGAGARAGAAPEKKKGPQTFAEMGFVSKPVEEDSCVVM L198_06516 MFVIKWDEPILVRAAHSPTRRAIEFRASRKTQKTVTSIAARRQL ERIIPLLAEHMMDPTSEPLPARFDVFNERDRVIYQFKVICQTRRRDDINQTRPARVVV TAVECQ L198_06517 MPDETTNPSSTSEASAVPTTDTSADATSSGSRNSSVLQAFNETF NEEYERLQAKYSASIESQSNDTSQ L198_06518 MAPAPKRKRGDGDVGDKTNHTLDELLALDKTELAQLALSLQTKL EQALAPPPTAAEVASAAGWSDEKIKAAAERTREICEAGIRKQMKWQPSCKKGSAKWAY EGSVAHPDVFYTAFALEKPEGKKKAWKLKTLTVSELQNFTGPIEASIRYGSLQLTGET VRVSWNADDLTYKLAGSYGL L198_06519 MATKAPPPQWYPPTKTIQEPVLKVFNSLTKGKDEFVPTKGRRVD WYNCGPTVYDSSHMGHARNYLTQDIVRRILRDYFGYDVNFVMNITDIDDKIILRARES YLLDQVVATTPSLTPELISDAEEAFAKYLQKPLKALPEPLVGVENATTFEVLDLLVKR DQSDAAWATKARENEEKFGMYLTSLGKAREAILNSKKRLNTPEASGEAVKDLVSSSTD TLGPFLGEKLGNTIEDPIAVCRRLAAHWEDAFFTDMARLRILPPDTVTRVSEYVSEIV AFVGKIIGNGFAYEGGGSVWFDVEKFEGAEGEGFKHEYAKLQPSSKGNKRLLDEGEGA LTGSQGKRRPADFALWKAKSKPGEPSWPSPWGPGRPGWHIECSVMASEILGGGMDIHS GGVDLMFPHHDNELAQSEAYHGCKQWVNYFLHTGHLHIEGLKMSKSLKNFITIDQALE DYSARQLRLAFMLQVWNTNLDFKKDLIIDTKAKEEIFDKFFTNVKARVQDAASRPQDG FHHYDKEERALMNALYKAQDDFRTALCDSFNTPAAIQTLLSLVSATNAYFKETGKDYN IAPVVTAAQWVSKMLRMFGLGEGLVSEGEIGWGSVSSAESGVEGGLEGKLDPYLKAMV SFRDEIRRLAIAGSSSQDILKLCDKFRDTDLATLGVQLDDGASASGGALYKLVDPAVL ARAKEEKEREKAKKEAEKEERKKAAEAKRIATLERGRTAPAEMFKPPHVADGLYTEWN EEGLPTKDGEGNELSRSLGKKLQKEQKVQEKAHEAWGKWQAEGGQ L198_06520 MRVDGRFSVVGRLYGTVFLFLSCTNDPHELFMMILRPSFLFLFL TSLLTAVSALKFDITAEKDPKPKWSKSIWNFAASHSLVIVTANVPSEPDQRVDIEILD GSAHGNVYLNKKDIKGESRLAVTTHENADVGVCLRNYWTGAGNPRAVRSVELDVDIGS DAVDYNAIANQESLSILEVEMRKLEASAREIVQEMGYLQKREMRMRDTNESTNERVKW FSILIILATIGLGVWQLLHLRSFFKRKYLID L198_06521 MSGNPSPHHAQPYNPQPSPSRPLSFYPGPHSSPCDFPTSTDTTH SGAQSLGMVDTFLGQNSSPTRQSGQGERAEEYIQLGGPEQTREEYYGDGSGQWPEGYR QTYPSSMQYTEDGVQIHSQAQAQLPPPGQGHYNDSDSDPSNPMPAPGQPEQEPEEEFD PMAPVERFDAKGELESKWNALQDEMVPFLQNFTNEALSNTYSSLPAMQTHREADVTLS FDLILQAHYMYHQLNTRMQRELVEGVKAIDEEEMKQEAARKVITDFSNEMKRAAEVLK MFGGSEAFRNATQ L198_06522 MSVLAAPSPTLYVSNLESKTKKIELKQQLYALFAPYGTVIDVVA KKHGGGRGQAFVVFREQAAATAALRGLSGESFYSKELRISYAKSPSHATLAHEDPTSS REAAAVQAAKLTVSRAQDEYEQLEKERHDEEAGVSGGGEKRGLEDGEEGRGAKRVKGE KEDDEEMDIEEDSDDE L198_06523 MAYNLTTSTIPSMISAYLPPSLHPFLLLSYPLPPSGELLLEPLA NGSSSFSSSGTLYGKGPLDAYFVLFWAVAFTALRWATIHYLFSPIARLLLPRLKAIKG QEREWNRAERKREHVVLRFAEQGWSWLYCTYVLTRFPNPTSPEQLWGTYPFHPLPGSL KFYYLAQLGWWFHQLLVINFEKRRKDHWQMFGHHILTIVLVVASYAMNFTRVGVLIHC LMDFCDILLPFAKMLRYMGCTTACDLAFVVFLVSWFLTRQVGLFLVILTTYRDAPAYI PHIWAPEKGMYFTHNAYVGFVALLGTLWCLATAWFYMACVVAIRVVRGLGAEDSRSEE DEDESVEGEGVLEDVPQVVGTSSAVEQDGDARKRR L198_06524 MSTPTTPLDSDRHNNWMNAFVSIPKSPVSPSHNGLFDFSFLHNE DLFGGPDPAAPFSYDPAALAGSHHIALDHVPRAPSVFVNPAGDPQAIFSSSTASHPAL EPPLGEEEHASSGGLGVEIVVDDDESVMDDADQLALYAFNDDVGMDVEEAPGSVKNMS KAAATIRVQSVSPTTPGQVPSPTCATPVHPSPPYAHFLTPKPSPPPIQLRNRKPRAPK KTPAAPPSPQSASVPSHHLEAIPRFTRQVAKQIAKEFDEQQKKEVLVNVPRLPSPVLE PVVLPSSLPAPVEAVEVVEATPAVRRPPTRACSTRKTAPVPPGTPSPSSIRDVKPTID ELEMAEPDTDLEMVDGNESEYFSSPPPSSSLSQSGSEFGDPHRLAVRPTRRVSRASSS GGSGKATRTRKKRPMTERRSLQNKTAQKKYRDKKKSIALRTRDFAANITKLCSAMPGK DGKQFRRFLKDYMADLDAMDTNQLEEFKQLANLQ L198_06525 MVRTVSSRRALRESAAGAPESNQPTPQKSAPKPAPKPTPAKRTP AKRGAAVKKEPSLEVVSGEASDDEHFAAVSEEPEELVSIHIAKKRTRGGRVIKGNAGK DGESDESEEPDRKPPARKRANTERRSVQNKTAQKKYRDKKKSLARRTHDFALDMTRLC GKLGGKEGKVFKRLLEDYLADVSSIDRNFFDEYLEKAGLTQES L198_06526 MADSKDETKEAKAKAEAKAKAKAKAKAEQAKRDKDAKTSSKPRS SAEIRNEELIVLIISTLTTHAPLLLGPTSSLALLLHISLKHGLVLILSVFFLLHLYLP LFALPHFSAVLTLVCPLIATINILLHELGRPGSFSKAKTKRADGAQFLGYWLVYIVLG WMRGWVGIYRPGWKGVFEVGRSGILVAAGGGWFSRSALKSEKSKELLEAEKRETEEEQ KAAAKKAKEEKAQKEKEAKAKEKARKAAEDKAKKEKEAKAKKAAEAKAKAEADKKKNG GK L198_06527 MGPTAYKPLKTRASEAWGALKTYLDDEKMAQYPLLVTVSHKIDR PPSVLAHSLLLALLSVLLLNPYNLSGVIVNSLAHAGLVWSSYSYLATLSGDVNHRASA MAKPAELWPSSTRRLMDYWVVYSTSIFLESTLGEDTLVALVPLWWAFKGLAVVWVITV SKERKERPKPKPLKLEQRSTVASTRTPQTSYADQSSSPSTASLSTGATTALDSGPFSG PAPTSSQLREMKRDLRELSPGALSKTPENLKHKTVPAETPVSGESEGGSEPFGLSGNS DTGSNSESESDIGSSDSDSGSESDNSSGPGSAATDDSNDDLLPPGTALDSQTLEVAGA KKTEEGNDELDTKKQKDTLEEQVSGGADKLETIAAATEPLRSVPEDAVAIASQTVPQL PHASRDLPVPSPSAPVVIAEQDDKLDSLSLEHTPAQNSDIQEKTVSPVLAATAGSLNV AAQPSEVVDDEGSITRLTLDDLLAMEAGSDDEMNSAGMALESGKDAEERVENPVQKDA VEAAKGVEPLNIIKPLAKEVGTEAESLL L198_06528 MRPDAHVLFLSPSFSSPILSSPLLLPLPPRRACLPPARLGTHDI PAAPPQQAPIAAVGDTMPSSIPLASPISPPKHSDSQASNPSSLNGSSSKTGRRSVRLF APDEESSSDEEGLIGVTSPETPFSKESELPPSNQRSSSYPGPPTHTSPTSRISSIVSS ASAAQPKLARSVTYAPSSVNFRPSFPIKPNSPEPTHSKTYESWKKTRYGPNNGGREVR GERHGQGRGRSYADPDASYFTNGEKDEYGSDEEVEGARSPGWNMLNTTGNVDISPLLS IKAADVTEDEGQERIDWQGMLESVLNSDVLKLEEARISQTMPTDSFKEEFGRALWWQI RAKLRGRTEAEEKKRVHERRARVVDMVLEEVANFRYDPELVLDTTKGDDHEDPQDALS KDSPQSRALAQVNVALAKLGAVKALYPNLAAMRDDKEIYTSADFRSRADALTAWSIVV SSLQTQLRLLQKWTGSDDLDITKPNTTKEKALVGKYRYHPLDPKANHPGGDAADDSSF LDRVMKEDNLQRTFERRAFVDMINLIRNAKETVIDYSPFFEVQNLPDFQYEMVRLIGF PGRLIIEAVKVRLDAAARLVDPNPMVVADFIENLRLSISLAVLIRKQYEEIMAPDPKG RWAMPPCLPVEYNDVLLDALRAFFKLLHWRLRGVGRASYYKETEVLEEEASFLYEAAE AIEGGDMVVAEQYCALSNKLLIRSANYLDQQLRVPLHHARDRNERDRERDRDPLALSK PGNMKLEELFSWYSKLLDSARMRHRKTQRFCRKLTQRFDNSAEYSLEETDIDPLVETL QDTGHFLVYTNQFESKGTYIVADGSLWGLPDEVRRLLHRVFTLGQNVNGAATPPDPAD FEAEDVEDEAIAAYLLLISPRQNFVWSGAVMTLDVDYIEYDLADNRVRLIADGPTKRL LLCKHYFAEALLNPETGEQSINLPCVVEAQAHLPTIQRQLIKIAKSSYRLSECIVQSA PLVRNAFRGQQGSQELVENWYSFATEHGTRASIHVDPSVWERFSRLLMRLAISWISFI SQECNPTDRKTFRWTVAALTYAFNMTRGNNILALDRSEFSLLRRYVGACVSLLISHFD ILGARSSLEAKKEAERIEAMRRLQRLQENLDDEFLPRTPSPSGQPRLDRSIRLTVEER LRLIAELEAGRKDAAPAAVGQVLDEEVSEDRALVFLAASKSNISMRWQQGAYIGGGAS GSVYLGYSLQDNAVFAVKILPTVDLQSSPSLYESIKRESDVMSLLSHPNVVGFLGLEV HRNRVCLFQEYCEGGSLGGMLEYGKIDDEEVVGAFTIQLLRGLEYLHANRIEHRDLKP ENILIGANSVLKLADFGTAKIIKSNKTLARTRGGAHAKMEGLEGTPMYMAPEMIKNQQ TGKLGACDIWGLGCVVLQMTTGRKPWSFLDFDNEWAIMFHLGATTEPPPLPDPNEMSD QGIVFIEGCLSLDPEGRPMASDLLQDIWLAPMLEQMAELEMEYPDVLAQHDSAGPLPE DTSLTSTPSFIEDATPPPLE L198_06529 MDPSALHSATPLANQQHLPASMLSSYGQNGIDDDDDTASQSSGQ SPVASSKPSSSAPKITIKVPQKPLANVAFDSDLESLTPEPEEVSVTPRVMSVDEESEG EGEPERDDFDYGEDDDDEDEDEDDVMDEADYGDFDDDDDDPSFGESKKKSKKPRAKVV KEKPLKQRKSSGAFPMRKQQADESSDEDYGSKAHKKKNFAKKLKRDSSNTPYSESDAW RRGAAKKIVTYNEADVDYGLESEDEAAAAYYSVAPELQGDPADEIDQVLYHWRDDAHL SDPKDIPQRFHIKWKDYSHIHNTDETYVFLKTYKGFKKVENYINKVWTIDQKFHNPDP DASWKPSREEMEQYEIDKERIRESYESYKIVERVLDEKEERRPEGRVTSFFIKWTNLQ YSDCTWETFEELMECTGAQAGVDEFHQRQARPTTCGKSINYGVNDRPTYVKIQENPPY LSTGGSLKPFQLTGLNWLAYVWSKGENGILADEMGLGKTVQSVAFLSWLYHAQQQYGP FLVVVPLSTISAWQMQFRVWAPDMNVICYMGSARSREVIRQFEFGPLKNLKFNVLLTT YEFILKDRQDLQQIKWQCLAVDEAHRLKNHESMLYEALKSFSTASRLLITGTPLQNNV KELLALMHFLMPEKFQLANDFDLSDASEDQGAKIKDLHEKLGTLMLRRLKKDVVKELP TKSEKILRVEMSAMQTHYYKNILTKNFAVLSKGGTQQVSLMNVAMELKKASNHPYLFE GAEDRNKPANEVLRGLISNSGKMVCLDMLLTRLKADGHRVLIFSQMVRLLDIMSDYMA ARGFVYQRLDGTVPSDVRKKSIEHFNAPNSPDFAFLLSTRAGGLGINLETADTVIIFD SDYNPQNDLQAMARAHRIGQQRHVNIFRLVTKGTIEEDILERAKRKMLLEYAIINRMD TTGAHINGTATPKDKNGDLSKEELSAILKFGAQNMFKTDINAQNKKLDEMNLDEILNS ADQFDTESAAVPGTTSLGGEGFLSQFAAIQDVKADVDGLSWDQIIPENERGKAEEEER MAAAAAEAIATSRKRSAAKAPGTYQDMDLDDHDSNKGSPSDKKKPATGPPRKTTAQRA LDLKDRDIRVLIRGITRWGDIRTRFEQIVKEAKLENKNRVVIIQTCEDIVSHGEQAIK DHHAHIKGLQDRGEPISSSLRQKAILFTYKGVTGINADTIVTRYYELKALYEHFKRVD DVAQYRIPHDSLKATMNWTVEWNADDDSHLIAGIWKHGFNSWEAIAQDPTLHLKDKIF FEDPKAAKEKDPNAPKVGVPQPVHLGRRGDYLCGIIREYEENRRTLIEQQAVIANMPT KDGFGLDHHTTHHIAGPSKKASPALAGPSKHAAANAKRRKTPEYTDSEDDSSYESMDE DAVKELLRPAKKHLKKLKGGTDHLAREEKILALKECLAGIGSRIDEVVAEKAAAGQNG NKWRKHCWVFASFFWPRQGVNYAKLMEIHGKMVNDSSPAPKSKAKPKRKSDDDLAKPK KKPRTSGVKKEE L198_06530 MTVLLEFPAKWVTHAHTFTAALAFATALFLGWAAGLWKKLCANS VARWPVEWFPSVSATIGDHVPTRAPFQILIALCATPRFLLLIVQWLVHRNPSLKSRPS GARRPNTALADVEFIVGIVRTICCGGWVYVTSRDQPDVHDFFMILYLLLTVPWMYLSA SNCSSAATASRRRLPMYGYLCTIPPLMWMYYRHSSLKIKGAYTYYSILEWTLVFWDLA FDSLSTLELGHLQIAFVDSSSPSTDNLSSCQRTTTFTFAKQPSELKPSADEPLVDWTN HGNGRPSSSLRLGLAWISDVYFGICFWTVFTGLSFQLFYWSVWKLALAGSELALMGNL AGYLFHSKSAFRYLVSRDAQITHRSITIFFGMGCYFLPWPFARLVCLVVGTWAGWSAL LGTWVRVQGSEEILAEGQTLGLGIAITMLLKYVNYSNNPFWSLLNGSSGGWNKTGLVL GAISLAEYIYRPLDLHPAPPLPEAPGKDKGQARSAPPTALQTRFMSLGLGTAIHLIQT FLMDAGTVISWTWTGYPIKGPTLHPQAGFIIAATALGLASIPNALHPAWSTIGCLGAL ALYAFPDWTGFYGGVVLTYYLSSILPPYVRAASACSPATTFGNALLAYIVFDVVSVVT AAYAFVPMGWLLRERTDVVLGACMLSTAAGAWAGYSLDLPSGSSLPRRTHRRTKAVQR FTLISAGLFSLLSILYSFSRIPVQAPAPYYPEHRIFSAGIWTVHFGVDKDGRDSQRRM RDLVQGMEVDVLGLLETDLHRFVYGNRDLTRVMAEELGYYVDLGPGPNKHTWGAVLLS KFPILNSTHHLLPSPDGELAPAIYATLDIHGEHVNVMVSHNGQEEDLRDRTLQTTELA RLLRETADKPTVFIGYLVTRTGDRRPWPYQILMEDGRMWDIEIDDRWRWCEYMAFRNM WRVAYARVHESDISDTELQVGKFMLGKPGQKVIYEDNQQLYWHVGEGDIPEPWRLPSQ FRGNGVRGHRYVVWDGPLYYLPPKHSELRGYGWDWSTALDKTATIERIGGVIIDP L198_06531 MARLFTPRSLLRFNTPAKLLLVLFALVFYLSFSFSTSHPPSIFT DSETRKQIRNASLSEIVNLYEQVRHGALRAKGFNGTVCAESIKAPKTLPDGTSNLDPD VEVYTGRLRGFIDNYLQQAPAHIHIAARNTLKSLVKRESPTYRDGSFPAKVWATHPAG IKGVQLGFELWGKILPFPLPPSLANQISVDKDVDFLQPARNGQDWDVEVPDDDGLDRI MSEWTGQKLQRGIPGEGKWSELWGGLEMGVLRADVFRYTSMLVAGGVYSDSDTMPISH PFLWGLHAPSIINPDLELLAELIVNHGGPKFPPNTRPPRIDSRSLPQHNSSYTPPYPG IERRSPVYVSIPNPSTILNPRISMVIAIEWDNKIGRTLGMWRQWTWSRFTESSKYPRN IEFVQNLLVAKPFHPIMLDTVATIAQLVESGEAKSLRPASHLLELTGPGPFTDAVLRY LLVQYGVTPKNLRALRGPVRIGDILILQEEAWHAPFEAIKQLWEYVESLHLKLGTNRH GRFSPWLFGLGWKDWKSGGVKVAYHGLTGIWKDKDY L198_06532 MSTMPRRRGTYPPPNSQSYHQNYHPSSQRPTARSYTVRSSRGYE SAHEAPYSSYYNRREHRPSRRPRSQSTRQREYQYAFASEGARPGADDIKVTYDDPEDL WQSEYTRKHDNARDTRADETLEVEVEYETDSEEERRRRGASKKSRQEKDTLPSTKGRP YWEDSSQWWVREDGSLRMPPPPMGDHYIVPTEMEPEDDSANVDTSDHDQPQEAPESQP QTYPRYETFKAPKSSHRPLEEVQYSPSEAEGLGNWRLIDIEFKDVCYEDTMRGEITRI PWTRARVPVNAKWDQQPVNSWLDHVPTVSIVNDLFSNVPDEMGARAKKVKVDPSAAAP REPEAAHKG L198_06533 MLGAVDGSEIGLVLTASVFSFPLRLPSLSFCTPTITLHPIVWLD PQEAAEIKQANSRSGVRKLLKDGHIIIKPTVVHSRARAREHAASKRAGRHTGFGKRKG TAEARMPTKVQWLRRMRVLRRLLKKYREAGKIDKHLYHTLYAEAKGNRFKNKRVLMEH IHKEKAEKLRTKHLAEQQEARRVKNRAMRERKATRLAEKRAGIHEVELEEAKA L198_06534 MRSALRVLPKRRLSPRLPQPRFYATLNPNTPPPTAAYQVFDEPS KDLQRSRAIIRLRELQAAKAKAEPAQGDSQISDEDGLRVVDYLREEVSERLAERIGDL RVPPSSIMELSAHAGQLTQILQDVLADEVPGQGQNEGQGRQEALYRDQDSCFISPPER IQASPSDLLAHPEIEPLKEQVEAVVSSGGLQWVGDIVGGLTQVRHLLKPDGVFVGAVF GGDTLFEMRTSLQLAEQEIRGGIANRVSPMINPTDAPSLMNRAGFTSVTVDVEDLTIN YPSMWELMADLRDMGESNAILGRRATIGRDVLVAASSIYQELFGNEDGTVPATFSIIF LIGWKPGPNQPKPSKRGSGQSALQDVL L198_06535 MGFVDRWDTTQALISTRLSKPVRPFLALHLISSSTIFILSILSI ETNASTSHFLRHLIDSADLSSVIFVDKRGNIGDWRIAPARVVNITDVQEDGSSNSLVC NDQGTKCKVVEGKTKKVASKQKAEAESESEDSDSDSGSSSDSDFGSDSGFETEFGNST STGTANESQSTSTITTVSTLQGNAITTRLLAVPTVVTSSGQVVTTSILMHEADATLAD IESSSLSTGNVPTSTSVASSAVTVRTSSSLTASSTSTTALSTSASLVATSTTSASQVV SSVATSSSSVTSNASSLASASPSAQETTESASSASSAWGESSPSSGDEVQAGRRNALS RHPPPPKFYRRRRVEKKNKSNGEDSSDDEQTDSIPSRRDSAKHRSHKRLFFAGARLEI FNTTGSTASIADFIATTATSSEASAVTTATTSADSDSDSGVDSIVLPKGMTVAQLNKL LEEEPDQFEGIITSPKRLTASVPIKSSLDGVKPVQGEDGTIIGANITGITGVGGGVDT FVSQQCAAGFAWSVNSLDNFVREEIVLACFFMWVLGLAVVAVLNESIPHLMALLFSLV LTIAWSANDLRLSFAFWRKFSEMVQNNCGDDSSFFPDYLAKRGHQRTDARAERLGVLE TERQLRTFQRIGASSTMNKMYKLVLGLSIILQLDAFVLVTFFALYLDQITRGQASYFM SNCKAFQALYSILVIMLFPWLYFGWKSIRTESRIQFMVFIGLSVFFLAGWAISFASPT FRTTFTHWSFFKALGILALILTVASLVLAVLRRMTFGRGLSEYLEIGDDVGKWTSLGG GGGGLGEKETEVRVEDVRSEGRKEALPTFSTIFGPGPVPPPRRMFSNTQGVQAIRQWQ TESNRASSAPSWAAGDDQRRDL L198_06536 MAKKEEKKKLLTFGPFEQVLLALVLMGFASHPSFPYSPFTPSLA PDGSPTLSPLPFLSPPFMMMIIIVYLGYYILKRQEAERDRLKALAKAAKPKSSSSSSS SSSSAKKPLSSSDVRDGKLAAPTNKKVGDPTPKHVSGSSSSSKDDPSSTSFRKNYFLT MQGPGRPALVPFQDGLRPKKGEAAGTMWWDNVPDDAKDLMAPPLDKDKLKAAMKNPYQ TEMLEKDIQLFKIAKRQKEEEARYAKVASLLQTMLGFLLCAADMRLGIGLMVFFLWKH FTGLHEGQMKGEEDEIEKEKKELETKIKEAKKAGMSSLDVMALQTALERLG L198_06537 MASINSKILRSANAPQTAPSEIEQQIAQALIDLEANVPELKTEL RQLAFSSAKEVDVKGGKKAVVVFVPVPMVKAFHKVQQRLTRELEKKLSDKYIVFLSQR RVLPKPSRASASAQKQKRPRSRTLTAVHEKILEEIVFPSEIVGKRTRVAQDGSKLIRV YLDSKDQNNLEYKLDTFSSVYRNLAGKDVVFEFPVVAQE L198_06538 MGDADSDYPQLQSYTAPQDILDDHAQQADDDDTPDAFQDKAKSK QVAARQGDYQLRRFNRTDGLGEGEDESYEDRMRRVNVEKEEEKVRRYKEKLDKEDQEK GQMQVDDDKTPPRAIAGDSTPPRKAIAGDDTPPRAQAGDGTPPRKKRRWDVEEDTKPK AEAEVKQEDGEPKKRRSRWDQTPAEAPPEKKRSRWDQTPTEAPSTSAVAPAPGLRMVE DKRYRSMTNDELDSLLPGAAEGYVIVAVPDDYHPSAPVRKMVSATSEHGFMQQDDAEA AKMRAAAGGLQGTTEQTEIEGIGTLQFLKPEDAQYFAKVLGEGGGEENDVEYTLDELK ERKIMRLLLKIKNGTPPVRKTALRQITDRAREFGAGPLFDKILPLLMERTLEDQERHL LVKVIDRVLYKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLAKAAGLAHM ISTMRPDIDHVDEYVRNTTARAFSVVASALGIPALLPFLKAVCRSKKSWQARHTGIRI IQQIAVMMGCATLPHLRNLVDAIADGLKDDQQKVRTMTALALAALAESAAPYGIESFD NVLKPLWLGIRQHRGKTLAAFLKAIGYIIPLMDPEYAGYYVRECMPILIREFQTSDEE MRRIVLQVIKQCASTEGVTPSYIREEVLPEFFKAFWVRRMALDKRNYKQLVETTVELA NKAGVAEIVGRTVNDLKDESEAFRKMVMETITKVVSNIGAADVDERLEVLLIDGIIYA FQEQTFEDTIMLDGFATIVNSLGPRVKPYLPQIVSMILWRLTNKSAKVRMLAADLTAK LAPIIKSCREDVLLSKLGVVLFEQLGEEYPDALGSLIAAEASIANVVGMTEMNPPVKD LLPRMTPILRNRHEKVQEATINLIGRIADRGAEYVPAKEWMRICFELLELLKAHKKAI RRAAVNTFGYIAKAIGPQDVLSVLLTNLKVQERQSRVCSTIAIAIVAETCGPFTCIPA ILNEYRTPELNVRNGCLKALAFIFEYVGEMSKDYIHSVVGLLEDALTDRDHVHRQTAA AIVKHLAIGVAGLGYEDALTHLLNLVWPNIFETSPHVIGGMMDAIESMRLGLGSGVLL SYVLQGLFHPARRVREVYWRMYNTLILGSSDALVPFYPALGSDSDLANGQDYTRHQLM MWI L198_06539 MSNKTECCPPQSNSNPSKPNVPHPTSPPTGYKYKGSFKAVGSHD KVYVVGPEDAKHALVVIPDIFGFWQFYRDTTIQGSDTLVSHLSSTLPTKIHYVDVFKG KPFPAEKDGDKEELGKFFAGTAKLDDRLPEVVAFGKELKKSFEKVSILGYCWGGKLTL LSLAEATPFDAGAVVHPAMIAPEDGEKLSAPLGFYPSHDEPKNVVDKILKGFKSKPFD HSFTLYDTVHHGWAAARADLQDEENAKQFEDVYKRLGDWFASAIKV L198_06540 MSIDFEKIHTPKTLSHVFELQPLADTLAAKPLSAAACKLIDMPK NEFLDTEEEINAIEAALYILSVKFDTLPKEERPNDRDKVRLVGGSYGDFTAAERAQWI TRNRGPTIEQLISRVEPPFHAQSIDLMYKDVAAMIDERLAKVLPKDARDAKTQR L198_06541 MSAEAATSPALVAEKPAAPASATAQSTPAEGAPTPAPAAGVSAS LYVGELDPSVTEAMLFEIFNMIGPVASIRVCRDAVTRRSLGYAYVNYLNAADGERALE HLNYSLIKGLACRIMWSQRDPALRKTGQGNIFIKNLDPSIDNKALHDTFAAFGDILSC KVGTDENGKSRGFAFVHYSTAEAAEAAIKAVNGMLLNDKKVYVGHHVGKKERLSKFEE LKAQFTNVYLKNVDPELSEEAFEELVKPFGATVSVALSKDESGASKGFGFVNYESHEA AKSAVDALNEKDINGRKLYAGRAQSKAEREAELKRSHEEKRLENEAKSAGVNLYVKNL DDEWDDDRLRAEFESFGTITSSKVMKDDSGASRGFGFVCYSSPDEATKAVSEMNGKMI GTKPLYVALAQRKDVRRQALESQISQRSQQRMQYGGAGFPGGMQGGYMGQPMYGYPPM PGYQPMPGMPPVRGPMMGYPGAPQGMMQARPRFGPNGQPIPAPYGMPPQGPYPAGPGY PVRPGGGRAPGAPNGNGPRNGGPSPVGAPQGLPTGSIPRGGQMPARPHEQAAAPAAQP GRLDAQTLARAPLQEQKQMLGEALYPLIFETQPDLAGKITGMLLEMDNAELLHLVESQ PALQEKVDEALRVLAEWGKNDEEKAAAEGTEEAAAKPEEAKKEEETKE L198_06542 MHTWTADEILRGAASRPYALIVLNQPTTHHLLHTAWHATALHFC ADGGANTLYNLDHRRNRYIPDLIKGDLDSIRPEVRAHYASLNVTIKQDRSEYATDLIK CIEEVPEDHSIVLLGGLSGRLDQTVHTMGVLQRTEREVLVLDGESFAWYLRPASTPHC IHTGQHVINIDHNTMGQTCGILPVGIDSAHVITKGLKWDVDWETSLVNNLSTSNHLLP ENPSVYIETDKPILWTVEVKKP L198_06543 MSPHVPEHDLAAISAAAAVREFNVEPRMDYRTVSAVNGPLVVLD NVSFPSYNEIVQLTLPDGTVRGGQVLEVSGKKAVVQVFEGTSGVDTKATRISFSGSSM KLAVSEDMLGRVFNGSGNPIDKGPKVWAEDYLDINGDLRTAGSPINPYSRIYPEEMIQ TGISTIDTMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKRPGADKGVHDGHEDN FSIVFAAMGVNMETARFFKQDFEESGAISNSTLFVNLASDPTIERIITPRLALTTAEY YAYQLEKHVLVVMTDMSSYADALREVSAAREEVPGRRGYPGYLYTDLSTLYERAGRVE GRNGSITQVPILTMPNDADITHPIPDLTGYITEGQIFVDRQLHNRQIYPPINVLPSLS RLMKSAIGDKLTRKDHGDVSNQLYAKYAVGKDAASMKAVVGEEALSADDKLALEFLDR FEKEFVGQGAYEARTIFESLDIAWELLRIFPKESLNRISPKILAEFYSRKPKRSAEEP EEKKEAKEENLIDA L198_06544 MTAVDDILLTALKEHEHRESDAHITIQEHIHRIKTQLGDASAIS PYSKSSAPLSVVSFKCLLQDTGYPMEVYLPGDMEMSSDVDWSKLKERWVGWGVEVPGE QQWTKPQADITAGLQGISIGSQDLPASVHAKTPLPQQQGGSYLGALLKVYDDIAYKPA SVHEFIGLLSTSPIPSNEPEDADIVPTIHVLSKRDLAPETTDVDPSDDQVREELVDYL STAFNPPDRVAAEFLLLLLISSPTARPMSLPVLGTLAVNFRHSGESFTSAFNSVVSSV VPHYVPLPLTLSLLHSHPFQPAMTDANGLNAGLLQLADGTVLAVEEDAMGNGGQLNEK ALKNLKALVDCVEEQKVNYEYPYMDGLKMDCSVKVAVLSQGKSLIPVDVDIPICADGS PSTRPPSLDAFRSYLARYSSPAHSARLVIPDESSTIIQDHFVQERKVNRKEAEEVLKR RMKIARIVALSYPKAALDGQVWDKTVKLDEQVAKRHTMS L198_06545 MVTHKVVLIGIGGASCSGKTLLAKHISQALPQNAIIIHQDDFCP PAEQVPYSKEYPDLQDWDDPSSCILWQKLQGFLAKVRQTGRVESHSSHDHLNKQNKIP IDQVVFERWAEAFRSLDAEQRQKGVELIWVIVDGFVLYWDKLVTDMLDIRIFLQVPPI LRHDDRHRLNLATDPDDAAEGGVWTDPPDYFDKIVWPGYLKAHSHVLDGPGLTQLKPE WGPKGLDLHVICPGEGADGLSEAFGKSCQAVLKGVESGKGSIIA L198_06546 MTTPTDVTRTFGDCIEILWWGWNATVYQNASGMLLGRGVPNPLV HEEGGRDQVDAARPIKILGFRHPQAFLNADGYVVAMENGTTSIRSWDDVVVTELGACY AYQKGLGVFYFDTLNHLLLDQNPFGPFKHPLIRQDTPLKLYAAESTVYFLTQHGTCHV LEAVDARALPPALKSTCPDPVQIQLVEELEGLGVTHIVPGSSNRFAALTEAGDAYILA PKMNLELLDVGDDVRLVGVGSDFDVVVTKDKIFVRGSSE L198_06547 MTVTGSTSAEIAASTYVPPYKATTSYAQTPDVTGSVTSALPVDP TVAASATGTNYAAAYLDAHHMSWPSYRYIYLLWFALSALTLLYALSHHVRLSGGFLGA TYSRWGIKRRPFGKVSASGRRAVLPSNNLILSVLVLIAISVVLSVVGPDYIRPSASTF NYAATRKRGFVGYSISKAFWTSGNRFGDMAFALMPLVVLFALKAPPFAVFSLRYLAHL YNDKLALFHRAAAWIVWGLTTVHTVLWTIQLFKDQHDGKAVWFAAWSSYHFIFGCVAY GCMTALMVLSLKPIRKNGYEFFYIAHVVLVAATIACSAIHHPILWYWMAAAGGLWVCE RTVRFLRMIRINCVFGKNKYGSLVAGKPYDENTFRLQEIKRSSHYVEPSHQFVNPYDK TLPNAPAMSRSSSQLLDDFGHRGQQGSYDEGSFQAVGSYEARHGNRFSPPQSQGEFPG TPERAHSIAPSIPRNLPVYTPTAIPIGCAQAQLLPSRTVRLTVRVARPFHWAPGQSVL LYLPELSWSQSHPFTILNNDPTEIMLLVKARKGLTRNLYDLVRQRSLAAVGINNVKDK RMSLASMQTGRNNLHVPPIFLKARIDGPMGSSERVRWKEHSTVLVVCGGSGVSFGTSV CEYVCTTIKNGLGKTKRIRFCWVVREYAEIAWVAGLLRRCQDMVTADQLQIDIFVTNA RKPRGDFAPPRPVFARADHSRAGSMDSAISEMSVNPQADMDKVVDSTLGASYADVIDL TNYEDEEDVDDPAENQLSNKLEEQGKIRRARSRRVAKGRTSRMPYSQPTSYPPTRVQG LYDPAPSHDDAYAALSGPPGQNSSGTSVYNPFQDGQAPRGYSSAAMSPSGSQSMLPPS ASFAFFRGHNPSQSIHSVANSTYSMGDLYDGGSIYNPPDSPSMGHTALLDDVGSISGA DASLRDPRELSQIRDALSRTTRTQSMVLLDSGDTDPTTDAGLWIDESDYAAMGVMSEM ARAGKPKLSAVMEEEIELAQGSIIVATCGPVTLNSVVRNLVSKNISPSRIRHGDTRGR IVVYSEDYEG L198_06548 MSSPAPDDTILWADDEHQSDDDDDIPVFFGAHKPEERLLQTLAR PSQPKDSLRRRTLLLSTEKPRHKHGALSDRDYVRLYRSAPSDESDSCTTPASLRGPST PARSEQDPSNLTFDFGTLRLSTPNDSRDQEDSDPPSEGSDKENVPVPSPAQGAPPVEE TSFERFECDNTRHGSLDLNDKDDSIAELDMGGLKLSDFADGETGATYHHIDDFGSCSS DEPQKETPDKEGRVLQPHPSLTPVSATPEHQSLDFDDATSPLEVARAGPVAIPVLHSH HFASIRADVGSPCRNLPPSPMTLPALAQDETPAIRLASIDSPTKLVSPAVPMTPSHTS TVPPADLVQRGSKTLKAEAGGKGKAKSQPLDHKQSTALKMTIKSQLDSAILPKVGAPR SDTMPSSGLRFSSAPSGRTISAGTSSHPVTREISKPHPERPQTLENRPESRPARRPLL KFSSTSASGGSAIPRPALASKSSLPRPKNTVRDKSNSMLPPPSSIPLKRPAVSQPGAP LPSVMAPPRSVLSQPTINHTRPTLGQPARAFQSLGTPLRAAPLFSVGVGGEMVAMPRV GMKSPAKSNMLHKKQLSDRETPRKLGTPMRFGTPRQQPSALVPLAASVPCAANFSTAG PTMTILASSPMRRSSPHKSMDAAQPVPRGSAAMSTRHASENPENAANTTSPPSAASQA SSTALSESIQVESSQSSRASPSSSPRPAARRAKRASAAKTPAAKTHKATSNPSSTPSA PALTEKQLKTTTARNTARNQVYHCAIDRQIIRQPGPRPPSPTSKIRTTSDREEEDKKN AREVRARRRKGEPEEDDKPVLEKIEVLKAPGDEEEYTHSPVRPAKRSRTSTGSNSSEG RKRIRWDREILVIHSDGSGKRTPSEKVGDASAGKSCIKTKTPLDEHGNVIDAQRSVED LKRTRVVVSAIFYDGEEPIPAPASATRSKKK L198_06549 MSSSPRGRRAPAPAALDLSPKKSYGGVSPHSPSPARRAVTDAVS PATTSGSLRSRSPRQVHRPRPLPHPPQNAQVPVPPVSRGDHSHHSLSAYPVSPLASQS YSNQHASPVQPSAIDRRNLIGVGELSTPRWPGNVGHQRTPSMPYPSTGSPSSRPPPLP HSPSRHSKDTLDVPMSRQRSNSAAQNPPRLTNKSPARQTSREALTAPNTATPDDSLYS LAALSQFEFDSSMEASLSASLSMMNLQQQQQETPRTSALPPIDTGTLPPSPGSARSRI YARRQQRASLTAASPSQMPSSADSQTSTFAHRAGRDSRKTPPNATRHSSHDLLKQFAV KDFSHLPPSPSSASINQFLKTSTSTTNVTSGTPPANASSATAYFPSKTLQRSDSQKSQ KHRATPKARDPNPSIDQALRKLDGLASTSGKPKPKGKASTSASTVASRPGTPEAKIYT EPRLASKPSNGSLKDAGSPLSHWIDLSEDIPAIPIPKPRVPNQRESVSSTVSTGGTPT SRDSHSLPTTATTLSSTGDLATKHGRTSAGSDLSSQSASVEVSEETEEHVPPVPPLPQ LYVNRQSSMPPPSTNPAFPNPAAYMPVRDPSPPLSPTGEPVSPSSLAPSQTKMHKKWS FSSALNLKSAASPVPSVEESTTPRSPQTPWSEIHRGELLSPASGHGHDSADLGVSTTP LVPHSYKSSTANKRLTPSSIPFFRRSSSSSFQNKPPSQPKSQIPETPKSRQDSKTVSG SQARKSVLGMHLPSMLRGSASKKGLAQQANQPVTTTSEVNDKELASAPAASTGWTGRK RGKTLSISGDLPKPIPALKHQSSTDSSFSSSRTSAKSNTSDATINGSSTYDRLPSIMG SPARPLEPRYSNSPRNLPSVTPTKIPRIANRPAASPATVTSSGMNLSMPPPAFPTARK VSNTVTGSGSSDYGRHPISEFGVVEGPPSTPRQSTSGAHRNHLLAPMSAKQETRRVIH RPSDPPVMRGEAPASAVPPSRRQLPRPPSSSTVTAMTLSASAKRASREFRGRRDSKDA TDGAVSSGKTSPIKPSKSMHSQMAPPSMAPRMPSSSSTGAVGSSFRKVSLAESPSVSP IGDDDEVSADAEMEAYIKRRRERAATNKKDNLADITEFPRDVSPVEPLTQRQFITRNL ARMSDLERKEVLDFDLIYYSPSPGSIRRPGGAMYNHGYDDERGDYIVVDGDHLCYRYE VGGILGKGSFGQVVQCRDHKTGRSVAVKIIRNKKRFHAQALVEVKILQQLVEWDPDNK HFMVRMTDHFSFRSHLCIVTELLSINLYELIKANQFAGFSPVLIRRFTTQMLASLQLM RSHRIVHCDLKPENILLCHPAKSGIKVIDFGSSCLETEKVYTYIQSRFYRSPEVILGM NYAMAIDMWSLGCILAELYTGVPIFPGENEHEQLACIMEVLGVPDRYIVEKASRRKNF FDATGAPRPFVNAKGRRRRPGSKTLVGVLKCDDELFVDFIAKCLTWDPDKRLKPQPAM RHPWILAGRRRYAPTPSRDDKRPSERSSRLFGSSHSSMRANAKNMGDLGTPSASYKDK EKNKLLISSPVPLAATRQPYHSSTASTSRIGQTINSSRLAHQTSARNGSFTTTSKLSI D L198_06550 MVLSSSYPAGLQSLLLPGQRLDVILDFIEHAEPSLQVLVGGENG TGDGSDEDEELDPEQSMGDSKAYLAHGIVSVSGEMSDVADAAAFWARLGRWLVSDVEK RKDLVECALLGMGNSVKDDASAHRILSGDIVPFDCIMKMLRPETPATTQHALVGLLRN LSISVEGQKLLSTLDVGDKLVNMGVWDERRDMLGSVQGGAIGIFKNLCKGQPATASHL IVCYKDQLLALLDRTNDRAIKFEGIRVFVNAARNLPKGLDLAGKQALQDQRIARLMVD MLSNAYEHPILQGEAILGLALLAVFGSAKAEVLEAMNETCPESPGKTGQQQLRDILLS SKDSLSEEIRQNGETLVSLLLGQQKVE L198_06551 MKVKKSNKKQNPPLIIDSAQPDDVAALINKISSASDEEIAEVLE QFQAWRYPRGDLHTWVLVLDRFDEILAGVIQNYDLTKLQLNDFTPKTKKLLLEVLRVQ RLLLENCTNRKLFNSYDRLSDLLLTNDLDVLQSAIFVLLRPAQQYAITTPLEPAQRQA ILHRLVVLSRGWESLVHSGINLTSLASDQEIEVPDALRHVQVSFYPTIQPTGAPGEKS PIKMLSSAAVETPTRQRPVPHSKNSTPMARQVAITAPALSGPSLIDLGDVSISMRENF VDQLSALAEKEHIPLDDQYSVLNRLRLVLLMGDRCTRRRLLSIRLLALATYVYLSSED AAQSNLFLYEPEMVSQLADLMRSSDTVGEDVTTGALIALCACAHHQNKMGEVMTSVSV NVNHGVMVTFLRRLVQRSTEGEAMSFEVIDATMAFIAYLATSPSHSNMLMGAGILRLL LEMLKTTDSKRETCIPRATGLIDSIIFSNPQGLSNFSGIDGVNALVLWIKSEIQQRLQ TVDEAPSETMSEDTILAAVNNPLKSVLRSIQRLMQASGGTEGLRNLVDSDLPRSLKVI FQNSSRFGPRVFALAINTMATFVHNEPTSLSILQEMQLPQTLYDELEKDIPPSSEVLS SLSNAVGAVCLNQAGLDYTVAHPGIISNLVYTVVSPRHEQIFNERDNAKNLGLSLDEL ARHHPSLRPVIIIAVINVLKKAHEFGARFEPKDEERLDYLVDEISTQMVPSLETQPSK PAPTNKPLAAFTRVFKMLSGLLRNPTIVKDFVNEAGLDTLMLMTDSPCWPIRFGATTA AMALAHLMKYAIESCHAQFLDTIIKSIEASLGQSTEVWKVNDAIDHWQTVREGRANDS LKMKFRVLQSIAVRLTLFGDALYALSGSNSKVATAVIKSFNVQNDPAFIQSLGKVHRL CFRFHVLSRPPKAPPVEEGATPEQATEPGVRYLSTRLHAILSKFFKCLVRLIYIKRGR DQAHQEQGQLLSACVADILIDHLSDVPDSLPDAFAIDGFALGTVLSTLFDGRGLEGHL NTSLFLVFERKGGFDKLLESSRRIVDHIDHASTVPLENRSQEEKERHEEALQALKTVL FMCNTFVSTRALLGSPETHALASVQPGQAQHPFAPTTMFLKLRLAVFPLARHVWGASW LLECPTFIIKAVVNCYSTLMEGKHEEPLAIPAVASRGIHIIAQHHRPPVVTADSGHID QLVDMGFTRGSAAQALVRARNNVTAATDLLLTVPHEFESELPAPPHDEAAEEVTDDAP APVENAPPPAQDAEVEEPALVGTGIDVDPAATADDINSFKQQLHDLRDKDKGDLAERA LAIVDVAEDLVMDLLSCLPSGKEGAMFSLNKLLDICREYQEPSERIVSARLRLASVCL RSEEGTSIDASVFAISATIIACLPLSLVNHNTSKPRWLTGLLLFGETLLSSYDTIVKV KLGDDSSVPVTEPAKVLGGVTDPLFLLCSTLVSSDDASREELVASLRLLVLLSRSSKP ASTTAMLSNCLKPFRKISGKLEGCYSLLAMIIRHLVDDKWTLNDIIDGEVRSWLSPGR SKVVDIQHFVKQLRPIALREPSIFANAVEKECTLVDPTPSQSVYHIRSQTEEERESGV SHVSSTNTGLQESKHCQDVIDVILSELSEAIPGALSKVDSPSTSRDGDDFDIFSYSSL LMATLTELLGSYLVIKKTFLASLSQRVYPGGIKSKGGVNGVINDMVCCVILTPDVMSL PSTDSNSAAARRLAISSRATAMIVALCSDTTPALDKKDTSEDMVSIRKTVLDAISKAI RDTSLVVDVNVRYGRLWALGELIHRLLVARSNVSARQINSSGLHMAKTMLEKNYVGLL TNALGEIDLNYPDIRNVLVTLLRALDHLSKLSVKWGKASKDTKDSVDERSSEDDDDSD SSNAETDSDVDMLDEDQAPDLYRNSALGMLGGELGEDDEDDEMDEEEDEDDMEMGDDL TDDDDDTATQTSEDESMASVLDHDEWTDGPEDDLGDSDDEGNLGELEPEVILGSAEED GEMWEGESDGHESFGTDEDEDADGEGVHAEGIFDDGFDDPEMEEFDEDDNGEGYDDAE IMEAFPEVVATEATGPWGWVQPGRSRTHDPLGNHRLLPGLSDEEDELLGASSAVGPSG RPAQHPLLAHTSSTSGARQLHRSLGGNFQELVTAIERLGGADAIHMLENVLANRHITG SDATWLSFTRDQLGNLTAMSVNGRTFPLNGNNRSNAPPGHISAEFVPMTTLQRWGEEL NLAPISRTEGTSRLVLHLINRLLPEARRRAEELRKADEATTTNAPTVLEATESTEETE DGDETAEIVEGGDSAPHMSISEGDVEMSDTEDNTSGQAADDVERSENVDVDGPRTLIS IHGREVDITDAGIDLEFLQALPDDMRADVVEQHLREQRQHQRPAASANIPEPVSQINS EFLEALPPDIRAEVIMQEAMESERRNRPQPPLASGPSRTFLSSIANQLRGVLGTQGEG SGTAIKAERPDGAKGRHREALQLLEKSGIAVLVRLLFFPHALKKSSLFKVLVNLCENS NTRNDLLDLLISVVHDGSGDLPAVDRSFQQMSLRGTSTPKATPKGKAAESPAAVIPSS LFNHLQAEHVPTFITQRCFEALAYIVTSNAHAASYFLSEHEQTAGLRKHSSKKSKGKE KSLPQTKFPIVILLGLLDRPVLAKTPGLMDTVTALLLTVTKPLAERKADGDQAKSALA AGGDSSASANDAARITAVQSAVEPTKTLPHGEGIPSIPAPVLRLIVNCLTSGDCTSRT FSQTLGAMQNLSNLPEGKDVILQELCLRCRDLGHLANEQLQELVNALEVEADVGPLTL ISFSPPSSAQAQLLRLLKTIDYLHLNKVDPDPPSEQMTSEEQAVTQIFGTFDFGELWE QLGRCLSMVEARGNTEQLATVLLPLVEALMVISKYKSHLPRDTRSSSTPPSSTESADF FVTFTTAHRKVLNTIVRNNPSLLSGSFSLLIRNPRVLEFDNKRTWFFQKLKKKKSSIV PPGPLHLNIRRQYVFEDSFSALQRWSGEELKYGKLSVKFRQEDGVDAGGVTREWYSVL AQQIFDPNFALFEPCAADQQTYQPNKMSWINDVHLSYFKFVGRVIGKAVYDGRLLDAY FNRAFYKQILGRTVDMRDLESIDPEYHKSLQWMLNNDITGIIDVRFTTEDDQFGEKKT VELKPDGANIPVTEENKEEYVRLVVSYRLDNSIRDQIKAFLEGFYDIIPHELIQIFEP DQLELLISGITTVDVDELKNATQLSGWKSTDPEISWFWRALRIFSQEERSRFLMFVTS SSRVPLGGFAQLQGSSGTQPFQIQKLYGKEGGLPQASTCFNLLLLPTYTSYEQLRERL QFAITETGGFGKA L198_06552 MPKVDNSALASKPIPRDRFTMSASVLTIPEYKEGQEQPDVEWRS CVSYDTDADSVLPEIPEKFGDLIAVEALASREEKTLETVEWSIVTKSKEEEEEEEE L198_06553 MAVCSTMLISQANGCSHGSSCTCSSSSGRALSNAAAGDCSTHSG SCACGSNGRLVNECNTNGAGGKCSTCQGTVCACGTGCGC L198_06554 MPHLTRLAPSLLKILALSSFTGLSTSLALLSDLTSLLALHLTLS WKVMQWVCGWQASSLYGLWNLFRGKRWNVLRLRTDSYEYDIDQLFLGTLLFTVSAFLF PTVLSYTALFFLMRVACLGVDGVLRGGQKLLLRAPLFEMMLRLKDPARLPGGIKLEKA APKGGARAEGQDRAGVAIEEVFVLTSTPKSFWDIAGQAIGW L198_06555 MAPFSSPLVQSQLSTLLLLTAMYPLSPELTLAPSTSAFAQQAEE GEEREAPDALEVELDLKVDDSKGEEGERVGISVRLPTVPLSEGDEEEEARVEIHPKQP TWLPNSTYTSLLDSLPPLLPLSEGGDPNEHILTTIDLILTTLCPHAPSNLPDSPPPEK EKKGKTLPPKDGGPQERVWFWFPMLHTREKRKDLVSYANEWGLTGFVLAGKPALLCVE GTGITVEKYMSRIKSESWGDIPSFQKKVSERFRKELGPSLSNRAFSTMTDITSTVSKY GRFGDRGEMGQVKRMMEDWGVGEDFRLAVMKRDD L198_06556 MPNHLPTLIVTPHGQPDHVLYTYLHTEENRVNYLLTPSPQGDLC VAKLFPPPRPGQPSSTATLPTMSNKQPPNSVRCEASRDLRWTIENTGVMSPIYKIVNQ DDTPLYQISKPNPHAEFWTMFYFKYAGHQIPPKRIEFGKISKNPPEKGGGTRLSITGK SEDEKQVWQTLGVGNEDCVEWVVCCACLNLLDDEILRAAEKRANPNSAPSHSPASTAP ASSPASTTGRPNLASLQRVPPSSGPRSAPGAGIASSAPLPGQHPAMNLAQTYRQAPPP QQSRGPPMRPHMGQQQMSGMGQQRAPQGYAPMQGQGQRRF L198_06557 MNPLILLPLLPLAALAQLTPSPTFSPPAASAGLVASTDAVNTHW SNIIGNSLYFYDAQRSGNLSSGTYENRVEWRNDSCEDDGSDYGLDLSGGWYDAGDYIK ATFPMTFTLFGISWGALTHGEGYGLANQTAYLDGTLRWGFDWLMKAHPTDDQLFVQVA SEEVDNNYWGGDQDIPTPRPSYPINSSYPGTDAWAAASRAFAMGSLLYTPGLTYNVTS STGPPTSPSLADSSYSTRLLNHAQTLYDVANSTTPRQTYYTTLGEPLQAYASSSWKDD LTLAALTLALATNDSSYYLDAHSCYSEYKLTKRQDVWNWDTQTPAIYVLFAEIASARP GLAAGAGLDANLTGWQTEVEGYFDNIVNDNLDDGYTTDGGLLYFEGDSDEASLNPAMA IATLMFKYAPIASTSDKTDSYNDFASSQVSYLLGANPMNVPYIVGLHPNSPSNPHSGP ASGGTDISNIRTSPETESRVLYGAVVGGPLSSDKFWDWRDDWVQTEVALDYNAMISTL ASMQLINGTSDPPYVSIQANTYTIPDGDPCDEALPCSGGGGGLSKGAKIGIAVGVVLG VLLLAGVGFWIWRKCRRRRSW L198_06558 MSKVNTLQPQKAKEPQQKQQQSKKEKGSNNTGQPPSVPAKDLFH RLNYSLQASVFLQSLGESSGSCQQDGGREVNVVKDRKGKRRAVENPSSSEEGFRKLAR IGMRESNTMVVHNQLKLDPSFKRAICKGCSAVLVPGLTCRVRNRANKNTFSIAHQTCL TCSTRLSIPAPPTSLSSASRLSSSVPADPSAPSHTVEDSLGDPLDGPIRAARRQKAAK RRKAPFHQLEGADNVFDGRKGHVLWKGEEKVEGWGIQVPRHEAA L198_06559 MSSPDKAKEREKGSENGKKKKTVPDGSWGSPMPLLSIRPSHKDV ASTSTVGAPSSSKSPSKDATITASKNPTPPTPPISAAPVAPVPFRRPTWATAPANESP SSSKLPEPVPGPTPKIKIPIRIVNPVETPKDAPGPSRKSGELKKSIVDRLALKAGESV VQKEALKRAGKVDQADEDVRMDDVSPSRGGPSPRTSSKPLSSGGGASDSVNPGAASAA SANIATPMAIRLAVPNSASPAGLSRPPTNSSSTPVNPTSTPNGTPSSAISKDKPKKKK MPPPAAGNWKNPSEFGSSSNLKNGKESGNGSSTMSRSTSSEKKDDVRPKKSSSRPLPQ TVPSTSSIPKIPSPEPATGLHPAGSWLNPKPFGSNFSSAAGPSTSTKPAQAPLTAEEK ERKRLMRAEKLRKMAEIKAQDTAAAQAELERHRRSAGSHVGSIGRAVTAPDGVMSASA PSSNRPPHLPTPTSPRPIAVQPVGPQKHKRTPSPDHPLKPEDRQRKKSRPAVDMEEMR KVAGLPVAPASAPPAVQSAPASMIPAAEQTELFGAAERVKLRKRQSAINAISRSNSTS ASGSTSATPGPSRRGSGALPKSFIEGRANEAIMGEVSDDSNDESPSDNLPLSTGTGAG SKRKRQPQSNIGVGGSQRLEKNMEEARVLLDAANLFKTQSPTSKRVKEALSKQTSGRL VDLTAGLSDVTISSPIKGGQTPSSPAGSDTEGDDDNDQEPGKKEPGKADLFGFADKGK GKAVESRGSDSDFAILSDGAAALAKQQNGEPSEKGPSRNKPISNAARAKKQSVAPSWL LKLSQAAPQGSKPANTTVTIDARSEEASSEPMITTPTYSYSHLPRRSRLTDDQWAGAI REELQAQEERKAGTNKKSGAQRRMKLKDYAGLDLDINLSGITAPRLYSIPTPKQSQYS RGLDEDSTYNGSDEDSDTNTRSRSKGNYKKLFNRAPDASPSPPPVPEVDLQTLYPDPP VPPDRIGIAKRVYEQTNMHAWAAMSARSQPTRARSLFKAYIHQSTACDPYGPDSIPVY NEVDEDQRPPDFDFVYSNDMYYPEPMPAPEKGLGCGCDGPCDPQSATCTCLQRQELYY YDIGVKGFAYLEDGRIKETTAPVWECGETCGCPPECINRVVQRGRSPRTQVELFKTEL KGWGVRAGSDIPKGTFIGTYAGELVTEAESEQRGVIYTAIGKTYIFDLDGYQIRHPPS RGLEEVDPRLAVLAEQARKRAERVNRDEKNEEEERYNAYSVDAFHYGFTRFFNHSCDP NLAIAQAYVKDFHPERPIPVMFAHKDVKKGEELCISYKGIPDEEEINAALLKPTPKKK APRLKKKDQVPVKGKGKLPYQTVGDDYYVCRCGAPICDGVMFPGM L198_06560 MIHEELSTDMDRITQLQDAILDLLTITSTSVEYITKRTQFEQTS KSIPTTLTTANAASREDYKAAISAFVADIIRRSKDIQQLIEELPKPGDSSQRAERLQH LQEEIKVANQEYKDVLEQSKQLVKELQLGLDTTLETTPSPPSSPRPLSTA L198_06561 MPSSTTLHGLLSNILDNSSKSARHRASTDIPDGLKRIRRLVLTE GIPEVPGKPPLRARIWKLMLKVDTLNAEDYLRWVSMGPSSDSHKIKNDTFRTLATDTQ FKGKVKEDMLIRLLEAFVWKITSTESEDDTQPFKYVQGMNVLSAPFLFTMPSQLEAFA CFSTFIENGCPLYVQPSLKGVHEGLKLFDRCLEIVDPELYDRLASKNLKAEIYAFPSV MTLCACTPPLEEVLQLWDFLLCFGLHLNILCVIAQLLLIKQDLMESPSPMKILRTFPP LQARPVIGVTVALVKDIPEDLYRELVAHPYAV L198_06562 MPTESSFPLSSVPPHPPDSAILKCSLCGVPNPSSTVLVPTSDCI GLSDPDHGERVCLECAAMMGVRPVLPADGGEQQGLERSGLGRVNSTDGAVEESSERIS LGRAESGRTEDEPGGDQTRGAETDTPEPQLSPPRLSYIPPDDLSRPQSTSSSSSLASS SSPLPPHPKTIPPASSKAETISPIDIWNATRDEGPPHRINQVANLRQPNVCRGSIYPG SVFKGKQTSGRSSYDVEIRFIEVDFANSHLSGYLSIVGLTETHPHLTTLFTAEIIGPK YGFLTGPRYAASEQDDLRHWGRFEQFRRGSTRDDLRGEELFLREVGEDERERDFVFMR MKERFLVPDHSVKDISGASFAGFYYAMIDFGPSLAMPSISPRTAPQPTDTHQTSTVEP VAPGQNLGDLGRLRRGLRPGAERKSSGGVKREDSSVTGREATIRGVRNIVFLL L198_06563 MATFASRPAAPAQDPKLQPWVEKYRPKTIDDVSSQDHTVAVLRK ALASTNLPHMLFYGPPGTGKTSTILALARQLFGQVSLAAILPDLFKARVLELNASDER GISVVREKIKTFARETPRHAPGVSSDGKSYPCPPFKLIILDEADSMTQDAQSALRRIM ESYSKITRFCLVCNYVTRIIEPLASRCSKFRFKPLEQGSTQARMDMIAKTEGVDTEEG VLELILELAGGDLRKAITYLQTGQRLHAASNPPTPISALSIHEISGVVPTSLIESILQ SIGIDPSTGIDPSLLSSSSFTSVRTAVLQITREGWSAYQVLSQLHDALIPLPAIGAVE KSLAGLVMAECDKALCEGGDEELAMMDCLLRVKEIMGRK L198_06564 MPHSHSPSPIPFYQSNAMSPTTTKRLRSCLSPTRTRCSSPALYP NTGSGKFEAAGGRGVGEQGGKRIKTVRWQELNGCAVASFHDTYSHEEYDRTPLEPPAP EERECVLPERGSRCLSAIFSNPTSFYSAPTMYDDDEEEEEDDDLRACSSDLDSFMLQT PPSTEVNSEDGDPSDRDEEGEEEQDGWDSCMQRRRMMFSRLCGRGGAEGERYPEFEGY KSLSATLVQLLQSVGCDDGDATEAARGENEEEEDDEEEEEEEERGRDKGLNIFGLSSL PPRQEEAIETGTPSLVSTEEAESEIEWSIRSPDGGSCGRGFPVTLGRPIRGESLDFVS GVEGGDADKVQMASAYEGERWRGGERLDI L198_06565 MAAFEVSAAGVPSSTPETAPILLLPPEIIDHFLTLVPPSELQLT ALSLLKVFPNHNISRRHLWRHLIVFRAGQLWPLWQVLKQEEMMRRGVSTFCLQSWQGD ADILNNVMRRLTHLHTLMLNIGTNFAPGHLEEMFENPRMDLERMELRFRPYVEQASYY QFLAGSYFDTAIETLTKKWPVCPNFTHLSIVQDLPPRSTVPPTARTTAANSAANSGVN SLANSISDLRLEAPSGQSTASPSDSDNEDSTPPTSVDDPNPYDRSRTKAYTGHGPFGN PFLNEKMGITKPKTFAQPIVFFDIRCIATFGGSASAASLTHLRIRVPSRDLARVMTLP PPRGSRSQTLFPELRYLDISTTNVRIDTTFSALLKQYHKLEHLVLDRVNLFGFTAREK GLELCSDLGALLVNAGLARSKEREKQMTAWEVAERVRQAEAAAEAARREAELRAEEEE GEEEQGETDEDRRAREAREEIERNLEANRRRRGPRSMAMSTFSLRDRPRRTVADSSAS SSTNSLPLPPQDKLYFVLPPLPTLKSLSIGGEAHTLSADKVQDWEDQFHEGWLEGLER ILGWAAHLADKYERAQKKAEEWRVAEQKRLGQSSSSTPAAPTGPGAKGSKSKGKLPAA PKVNIKPPTDIRLFRFPHPSEPYSTEEDVNVRPGPHNLTAGLVEILPASPAGREYLEP YKTAMSDAQLYLDGQAGETARPPCVFCTVPDCEGPARKGAEGEKVDGRGGMNGKHRDG CGHMLGRETWGWNGL L198_06566 MAALARALPAPIRQTEWEDVFPASSLPTAPQGPQLPKYGARKGW KPKTAADFNGGGAYPECHVAQYPLDMGRKAKGAGSTLALQVDSDGLVRYDAIAQHGRA AGSKVQSSFKDLVPLANRTDVSESDRQMERPDNASVNETAERTRLALERITHGKIKAA QPKHVPKTNSDATYVRYTPANQSAGEGKQRVIKMTEVQEDPLEPPRFKHKKIPRAPDE PPAPVLQSPPRAATAQDQKDWMIPPCISNWKNNKGYTIPLDKRLAADGRGLQDIHIND NFAKLSEALYIADNHIRDEVRERANMQKLLAQKQKTAQEEELRLAAQRAREGRSGITT PSIASGSKPPASLGMGLGGYGSGSESEEESEEEDEEEEDDEAIKERNLVRAEKKRERE KEMRLSNMGSEARAKLLAKEANRDISEKIALGLAKPSASKETLLDSRLFNREALSTSF AAEDSYNLYDKPLFAGSSAAAAIYKPVGASHGNDESFGGGTEDGIKEEMSKDRFALGN ATRGFEGADKADAREGPVLFEKDKVIALDGSSDPFGVEQFMDAARKGGKRQADDTDGA RKRQRDE L198_06567 MKSILSRFKHNNPASQSRSQSAPQPENESYQPPPAKKDKEGTRH LFRTKSRPQLPPLPVQSPEPMSPKEEAPQLGPHEFLSEPIRAPERPFSVQPSPHPPTR AVNTAGPRRRTVSASGDGPRKVTFRSPPPTPTTSVLLDEAVRQDEPEVVTDTDSLMME RTDSLPSSARPSTSQSHTQSRRSSHYTTTFPHSSRKSSGASQRTSSPEKQPSSIMMRN KDGTLSPSPSEMSLGTQSTMSWLPVAGSWGEMADQELVANLGPVERTRQEVLFEIVSS EERYVNDLVTISDVFCKALLPASATSPHIELYDPIYALGRTRSPTSPAFSSFNMSSSD LPIASKFAATSQFRSPSDSSVSIGPITPIDDFSVGMLGSGLGFGSLPGQSKARQTAYN TLTNGAPPSPSRKPSMASLKHQSLPPLSRATSTVPSRVASRTSYHPPPTSASGKISRY SKFSASSLRLSSASSPNLPPIIDKDEIQLPESLEKVLKAVMNGMLEGHLKLVEALRKR YDDQYPLVRSLADVFNRHSTVFEEYSVYVLHLERALEQIQAALSSVEPASKPKRLSKQ HTLTPLQKLGYYITQLSNSASAQGIPSLSISLSKPFQRLLKYPLLFQNLLFNTDPTLW EYEKTLGMCEDVDRMVRVLEDEKVGEEAREATRDGWGRVEGLGGGNVLVLPKASRLLL WEKPLREVSSVDPKKVLAEKDTEPVSRSHMPPSSMKPVTEQKGTDMTVRNTKSMKRLG GLLKAEKEYWIVRFTDMSLLCLKSGTTSLPMSATNQLKQGKNKRASSDGYPGIGMARG RERNLYRFLKVWEWHLEDEDGGADATSVTQKPQSAPPVSNNGTLSKGQSLSLATIPGT PHKPAPRPGQPGFTSARAQSMSNISPSRAGASQDEGDGAASDSESVMSFPYSGDVLKP IPKKTVLKPRTTDGPRPPPTSLRAKPRSPSASSFPSRPPSSARAFSTSAQSLSQASAR SRIGDTAHVNAKFANRLRSVDDPTGAAAGRLSLPPAMMRSSSAADSVSVVGGRRAVST IGSRAGSVMSRRAEDESSEGTVRARPPSVRPPLHAPKRASTPASTATSKRVITPTLPA GQSARVVPSQARQRGSLPLPPHPSSSVAGGPPGGDGKVSAATAARRARASVGPAAVVS APVGRKVNPTLAPTNKPSANGPMPSKAASTTTLKSPPSSLPLEKKSGLKSMQSTDSGL AEVWRTTYGGVDTDQLGSTPRKRAESSNTDLSPETRRPVSSGLRPLALAGSATIARDR KSSSPTTSIASGVGRRPLVGATAPGMGAGAASRRDLGGKF L198_06568 MLRSRQAKPFSQADQGLNLTATFPSEIYFLDTCSPLVYKHVTLN EGNADNFFLGLADGKMKKGEMQQVPDKRVLGDITWLG L198_06569 MTYPTLPRSESASSADPEPRTPELTSHAPPPAAGGNDTKDPELR CKWADCSHEAESPDLLYDHLCNQHVGRKSTNNLCLTCGWAGCGVKCVKRDHITSHLRV HTPLKPHPCAVCGKTFKRPQDLKKHERIHTTEHHQLHKLSKAPTTTDPAFNSRLSLSS TTRPDRPRSPLSHSLSPKSSSSSHSMHSSSPFEHLLAPQFPHDKSVSPTPSALALLHK KQHEELAAYQQKEMLVLQQLAFNQRQSQTYAAQLAAEQFGGTKGVKRGQEDGLEGLLA DMKKRKVEPVYNQDMIQRLNALVPPSMPSNFPAMPNMGYNQFNNNSFNQFGSYPSLPA LNNNMYSNMTPQPTYNLPPFPVPQIKTEADLAMFNEFMISLGRDTSTSNNAQTQPMAP SGSGSGTSSGSDSRTPGFAGSSSGSPLSDQGGVEDLFNPEELASLGLAGMPGIPMGSS HNSPTDVHGLSQSPSDRESPANVSFGGLYPSLDTVRGRTHSAPDVSIDHTRRPIANLP RNSVAGTGQGTGQQQKPYMSNIYNAYSQNQEYINFNDMSLPHPQSHGNQPAGHDYASF DSLARSKNAFPTATLAPKDFYKKTYMHVEPLGTAVSHRARESAERTDMGDEDEDEGDD AVYPDIDEEGSDTPKIPVRAFIIPSSTSPTISEADLKLPAISPSHVEPGQDLPPLESI SHYRQHGSVSSASSGTASELGTPRGQSPRKEVPTKRHTEDEIVRGVKRLELGPDAPAE SSSSESTPAPVSSRSTVSPPPAGLTVSDQQELRRKHADLIKSWLVAVNMEWRRKQMEA EFEERRSTILGSVEENAREEVVAA L198_06570 MTPTTSPKSPGSPPHEQTSYGVKRKFDDEEEDSPPPTPRPDKRA RESPNAQLPTPPNSSPSRPLAPEPDKPLPSLPTEIVNYIIGLADSGTLRTIAGVSKTF RSESRRARKRQLSASRGFGADEHIEFRVYDAECPISANPSEVVTTGRSQFGVMSSVVL GEGRSKAPFKVEGQLGTYKLSWNGKSYWATRALNQEEDDAFGSQLLQLGFLGRLGALF KLFREEVKSEIAALKD L198_06571 MTLLSRPSRSYATVDHDPDGLAGVGSAPRAGASTSGSVLGSGGT RRGSGSQAGRESGSALGGVHVLKKGSKKGDGFKTYRPPISETHLRRSLGYDPHPTSSF APLPSAFQSSRVKKEAALAGQRAEADHLLAPGYNEPRRTAGGLAGGLGGSSSQASFNP PHSANSGRRQAGVYLDSNGKLHDTEYDPFAGLTNMSRDKSRRRSAFGKSRRSSVSSDS SSSSAESQARRRQSHDTMRSVRDEEEVRRRLREKEREMEKQRDDVSLYVQRRRSIMSE REREWTGRGSPSIRSTEEGPMGSGQSFMTGRRSQANHYYPSPLSPQFAGPSFANNPPT ALSATRTPNTSTFSGINGEGTPPEKISEMTQAKSPVQALAPPPLMSEPPPKERKKSKV EVRDGVTKVIGFDGPAQSPSPPTDQLGSLSLQSPDPSSGLTPPPPISASASVTSRGSM DMPRSPRPPGEPARTRHPTRTARDDIYPETPAQTKRRQDKERQRILSGAHHPSHISSH HTHTGKPSPLAINTNLAPNGERVLPEIEIVSDDDPRVVVPKEGRTTRVQRVHDHVIRN PLHHHSRKTSQASNSTLGTLPLPPTMPAAGGYGLSGSSSLNDLNGSGKARSTTGSRGG GSSFMDESGGYLPSRWAQGDKKLRKTEEEREAYRPREWGEEEGTWHPTAKDQVKRNFK DIATSARFSLYRTKKALLRKAEM L198_06572 MCGIFCCYNKSGDIASYRSRAIACSKRQRHRGPDWSGCYMANNT ILVHERLAIVGVDTGAQPLTNEDDSLVLAVNGEIYNHVALRESLKNKDAVFKTHSDCE VIMHLYKEHDTGVCSMLDGMFSFVLVDKSVSPPRLLAARDPIGITTLYMGWHSSAPET LYFASELKTLHEECDNLQAFPPGHFYDSNQKKLVRYFNPSWWDSDKGEVPHNPVDYTE LRESLEAAVRKRLMSEVPYGVLLSGGLDSSLIASIAARETDKLAQEQEKLRQERREAI AAGQDVPEDHTLASWPQLHSFAIGLPGAPDLLAARKAADFLGTIHHEYTFTVQEGLDA IEEVIYHLETYDVTTVRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPN AKDFHEELVKRVKNLHTADCLRANKSTMAWGLEARVPFLDKSFLEVAMNVDAKHKMFS KGTHQEIDAEGRPKMEKYIIRKAFDCSPGGKAYLPDSILWRQKEQFSDGVGYSWIDGR VFFSIPLYPADDFNSMKDHAAKVISDEKFAARAERWSLDTPDTKEAYWIRETFEHHFP TEAAAKTAVRWIPKQEWGVSSDPSGRAVSIHTAAYGEKKQ L198_06573 MSEPTPLKDVLPGEFICLAQGQFSALVVNLIHGMIQELGATVST DKKSSTILLTNPSHQSFRDSPHLFQPNAHSEPKIYPYHWLAWCKDQRRHTPLTDLRPE KPLFTYPARSEDWRPLRAYVSLNLHKNKGEDDGRAARQNCSRDLLLHGALIVSKRADA DILVVERATEFYKIAKAENKKAGRSWQRFAERSWVNGTLRTGKMEWWRAKRDQKEDSG EESFGDEPLVQRGPGRPVGAARVDYKPDDDDFLCRWLAAHFGSSGSFSSRKTYDILVS DSARYPTAARHPPQSWHERFRKKGATLRERVHRYAREGVDKSLKTKKERRVSNVQEPS DEEDQLDSSDGEVAPEAGPSVVVGKGNGKRVQEDSPARETPVKKKKRQIALSDDEDNT GSPTKSRAPSKIPTPGRSRNQATTSQATPSQSAVVPPLRDEAPRAASSSPTRPFQSIP ERQPAEELVPASIENSNSPLVPSSQASDENAATNDRVGQRTLRSSIAAASQADNAPKE PLFFNPSASPTEDQRQASNRRSRRLPSSSPDIDPLQRTPYNSPGRHTGRAEQREEESI DFGRVEPGVFDSLPTAEKVMAAEPSRPAEDETTSPRVVVSRRSRLSEASTAPGDDEHV ETLMGLAEQSPTVSSQRLEVTDTVVAQEVRIVETGPSQSVSRQALPSPPTNIRPASEL IRTRTTRLSPLNTSVNLPTTPHNGPSVDGPGSRSIPKGTDSNIPEASPLPAAIANARK KSRGPRRSLLQEQIAGSAIKRRRTLDRLSGVFTPGSGRKSARARSGHETSTEEDGELE MVDAGGSNTYEYVRGPPVSTLSTPPGPPAEPPVPPKPRHVPANEAERAEMFQKGQSVM EKVKARYKEKVEALARRYGVDKQEITVLLTKLAKTEFKGEQYWADVESRLDRVVAKV L198_06574 MHAATYVAIAAVAAGSVAAVSPITPASLVQCQPAQLSWTDGTGP FIIAAIPGGEVSAAAIETIADSQASSPLTWTVNLASGTNITLRITDSTGTIGYSSPVV IQAGSSDSCLNATASTSGLSSASVSSTGSSSDTGAAGGAAATTASDSASSASSSAGSS SASSTAASSSASSSATSAASAASSATSAASSSAAGADSASSGAFANAVVGIPALAAGV FAGVLAFL L198_06575 MSYQPPHLRTRAAPPPPIAAGPSSSSVDSGRGGRGLGVGGRWLE TNSNGPEASRGLGGMTTGAAGMGMGIRGRGGSTTARLLAVPSRVLPERPSAIQAPRDE GRIQPQPSRRDWSAPTSSVSLPGRSQPAFQSAPLPPYASPRRVPGGQGLQAPASDIKP MRVREKDSKEKEEEREMEMVQSVSRSGGDGAQGDALKDWGTQDRYRAYISTRVDAHYD KYATLRDEAPSKKGKELAGEGEGEEMESLGSIVLLFLFESSAKFALLAQNKAQLLSSL SGLVPGLYQAHDNLPTSSSPDDTLSNQLNALSLTPRDGRTEFTVILLIFHLVTRGHVA FNELHAELTRAPRSEGDGGERKGFVGEEELGYVRLAARVMAEETFDSVTFFRLLSPLA STTSTPPIPSSVAPEGGRLPESTSYQRILLSRASPAIRDRAWERLKKAYMSVGVDWAG KVLGLELGGEVEKWVEEKGGRVEAGKVVLR L198_06576 MSSYGPPRMIILSTAGGNDIFVRMPENYEKAMAATMERFNIPKE THYARLSVRAVDLPWMGGYAAKDRVYIADNDSYQYACTGKHFARFEVHVYAKTPMPSS SSSGSDKGDKKTEPKPAEVPLPAETSDMDVISAESELTCTTLKGRTTTAAGVVFGDVR VTRPGAYLGVLHINDNMTKQRFVGTQLRPDEVFTRCVPQDKNVCRVMFCPRSIRPGIK LLHPDEKNVEISYSIKDWSLASSYPTTTLNPDHSSKHRKRLRWYIRVHPSGMIEDLLT GTKSSNLFCELVPSSPTTAPAAPPKDLQAALVPAYPDLRPGNAWCLPLELFVPHLDRV LEDLGLEVECRTGMITSWLPGISRHGHIAYRILHRNQIDPTMKLTIIPPPSVLIRIFV LFKGISTAELPQWEKSGVAHAQSGLDWRDAVGWSRDLANETLFRVIEYGAMEVHAVEV L198_06577 MSFAAPEERYNNYNAYRPPTSSHGAPLSPDQRIHSSHGYAKPPT HESPSQVYQEHPLAPVPSHGYPPQSQPMTPLTSNSYPPAAQAGSYYTIPGQAQAQGEA IPSPPPSSANPVRPDTANSGSGEFAAPAAPTQTTEGGVPIVPVGVSGGKLFRCRGYGE CDKVFTRSEHLARHVRKHTGERPFPCHCGKAFSRLDNLRQHAATVHSEQTGLNETMLA SLGPIHAALSQRASKDQRRRGEVVEVPKNAVERRQSEYRTRGGSASSSQPNAAQGTAP PSQTNSPYTAYHDPQWASQPHSRPRTSGGYDYPYAAPDHQQSLGEDAGPSRRPGSAAG YGYQQDFYAGANGRSGSAAGPPPGEMGSLPYPYRPMSSQGRDLPVPTHYAESEPPASA HGPPESPMYANVPNPQQPAWSSPPTNHSAYPPHDAAAYPAPEGYTYSQGHGAYPPREE VYEYPPQSAAGWQGGYPPSHGSYPQGYNGAPQPDSPFQYNIGHPGAPGAPGAAAGAPP AGAEGYSYSSGGYDRKRRAEDDGDHARKHARHASGGQGTAAGNASAVSLNAALEASTG PGAPGAPGVGRGGAGGIDSWLPPTTERRGSLAISALLGSPKTVAELDGAGLGAGERGS PKEVDGKEGEKN L198_06578 MSATIAKTVSSPRVTIGPTLKLRGGHDPVALQTASQELEDQGYT VVKNVLSFEKAAEYKKRLVQWLEDFQLGFRRDDPETWKWEYLPESWKGGLYTKYGIGH ELFAWDLRTEQALIDVFAHIWGTDELLVSFGKSPLPIPLCNSAMEADSIPLSDGSNIS LPMPASERAKVEKEYQPWPHTDQSLTRTYKHCIQGLVNLAPNGPEDGGLMVLHGSAAL FNEFVKAHEHDKPEEGWSPIDIHLHTPSQLEWYLSKGCSWRKIEAGPGDVVLWDSRCL HMGVAPGVGGGLRAVSYVCYKPAKLITPEKLALKQEFARRYAQTSHDPVEVRETTAGV GWRIRKPGERIEPLIKPILTKRARQLAGLEAY L198_06579 MPTPQSLRTHLLSSLSPLPNTSPLGLTILASHPKRTKDLYPHAV SPPKALKQDWLVVCDAEVESNGQTGGEGQEGSQEATVGGEKEGEGVDGDAGKGNKPRV LVAAISAYLYTFPSPSSSPSSPSSPPAILYISKVDSSGYSSPSAPLPLTRHLIRSFLT FFISTHPSLRVQLFARAQKQYLFANSGEGGGKKVLGGMGLCKWWKGVYEESVSAFAEA QAQAQKEKEKEGGEEGRDVKLAFILPGYDQSEAHSLLGPGRPLPAGITWSYTPPFLTP VVGGKEKEKEVGLAGLIPSLPDDPKTRFLEELVDGVPRLRGAPASAAAAAPVPAPTAT TSTSSSPSSTSPAPHQPQAQKEKDHTSRKTRETREAEHDLSRRTHAQACLAKVGVDEF WERMGFRQECASGDVTGFFSLETLPSRPVPGDEQGVVEPSVSVVEVPSQTLLPPPITD RILTALTNLDFATRELAIEGSGIWLDQTQGLVRSEVGKEGWEKCVGTVEAKVGVEGQG TGAGEGVGGEKRAKKEEVVTMLQPRKKKKVA L198_06580 MVLLKSPSPLLPSSPDLRWHRSDRRPSILKYTLLLLTILYLTFL AFTFDPSSLPLPASVAELTDSWNGEYSWGPAWASSESGGGGRDGREAYVTFLSSVADP WYLLSTRLLLWQLQHYPSTADLARPFIVLATPSIPSEVCEQLEKEGAQVQKVELLDGF PLPEGMEANHHWKDQYTKLHIFNQTAFSRLLYLDNDMLLLKSLSPIWDNVPSSFEGVG GVGERSKGMMAEDDTRRRPEEGEVRDYLNAGFLLVKPDGETFEELRGVREYNPFYMEQ ATGKVIIHGHRYKTNSSLTFLVENNCTMGTMRMSSSSSSHWDSTDEQCRLHAKMWKDE IDREVVDLWEAKVGQMEDYFGRL L198_06581 MRASAKSVYIPFLLYRVLTISSASHSISGTLVILAIQILLSPYP LLGNGVATASFLLSSESTHPKRTRLEQTFGQFGTLTDCIVMKDRDTGRSRGFGFATFS TPQEAEAAIAAMNEQDLDGRQVRVNLANSRGGGGGSYGGGGGGYGGGGGFSSNDGGYG GSGGGGGDGTW L198_06582 MPDSDEQTRLISEEATRVAERFMGTIDANMAASGFETPTFPESY DIVVKTVTDWVQTAIEAEVNEEHNENWKLEDSLTNVDVRARAIGLSVSGEVLVWNAKV DGDGWSLTIKTPLIELPQA L198_06583 MSTNDAESRILEEATRAAEEFMSTLDSNLQAHGFASSSGTASRA TVVQDISRWVKSAIEAEVHQGEHAEGWTEEDSLQNVQIRADTIGISQSGKVGVLNAKV QGDGWSLITITPLMEFSRPG L198_06584 MTQKNAALAKHKKELDKLETSLGETKAALDEAEQGREDTPERQS LISTLSSLQAQSTALQAELSAFGAADPIKYEKKKQAIETCKEGAVRWTDNVMILMQYA GGLGVESGQVRGFLEIDEDWDDLQV L198_06585 MSDWKFDVHQQTTDHTFDDERGQGSVRGMRGRYNKRGGSDECRL YPPAPLSSFVVDSGASDHMVVDWDLLTNVRDTHPVLILVGNGELLTSRQRGRLTIGLS VQQTPDTYRWSFHHGQAVLS L198_06586 MHQIGNSIKLLTGNAHPKLAEAVAARLGIPLTPCHVSKFLSLET SVQIHSSVRDEDVFIIQSPSPPDVNDHLMELLIMISACKTASAKRITAVIPCYPYARQ DKKDKSRAPITAKLVANLLAVAGADHVITMDLHASQIQGFFDIPVDNLFSEPTMMQYI KAEIPEWRDAIIVSPDAGGAKRATALADQLNLDFALINRKRRRDMAASLCLPTVPPTP VGSAPNSSASSSSDGEYFNDADDQSGIVEKMELLVGDVRGKVAILIDDMVDTGHTVRL AAGVLRDNGAKEVYALISHGLLSETTMDNLRDLPVKKLVVTNSIDQSSRVEACDGLLE ALDIAPVIAESIRRTHNGESISALFRPNDVFDF L198_06587 MLKDLWHRPWARMIWIERSLEAISRCLIAKDGIAWPDDTERRDI AREFGRKSPFHNCVGLLDGTFIQMEHIPGRENNRLWSGSRDTYGYNVLVVVDHEYRIR SLHTVFLASHHDEQVYRSTKVTSPPTNISFNDSAYTPNVNSAQEDEKHSEFNADVKKA HVVMERAMGYWKAQVKNPEKYVTFATALLHNYVLEHEGLDDDELIDEAELELVKKEDI AAQKRLVDIQELNEAEKKGDGSRREAVRAEVERMRELGRSWGRMLRTE L198_06588 MASPQADPRGRGFLPSKASVLRVLTMTQNTSALVFTVFLVPHLA SPVMAAIGGLDGAEKTMMIARDLYLPLEPVLVYIPLAIHLTSSLTKRLILSTNPSLPL SIRLPRQMHQLLAYPLLLLLVPHILTHRLIPSSSAPPIRELSPSELGWEFVGYGLGDW LTWVGYLGLVGAGVWHAAVGSMKVAAWLRTLRRRPSTRTTQSKPTVSPDSAPAATNEQ SEKRVVPRKRKPGLRGVILCFLGIVAFGLWRVKSDTGVVSPMMRRRYDAVFDRAPWAW ASRLLLR L198_06589 MSQSPAPNGVAGPSNSAPAPARPTDSNLLRQFVMEYLQSHGFDK ALAMFQQGIAGQDGDASDADVGAGAGAAGVKTEKAGEREAIFRAPGPVPLESNIKRNI PQAQAVSASTMADRITPDFEAQAKYIIDQLQKKVEAVQDEGEEKEKEKDVKDGPPVDG ALVDVSDRTGGYLSYRRWVDGGLEMWKTELDNVSFPIFVHTFLELIHLGFLKTARDFF TQQSPHHAPLHPQEISTLSSLSSPSQIAQNPLCKQFLASKFTIPLSRNAHDLLLQWLS GASLDDEWEAGLHSGPGRRREAVKLIVFNHVTIHVTDSSEPLDHVAIASKSGLLPSSL PSNKSIDAFNSATTLKLGPPPMTDKLKEQVTRTLQDEAAAAAGEDEGMDVEAPAVEVK IENEDIDSSLISPAESETLPPVPAVFRIPDLKREVESIKDRRKMVRLGPSGTSEGKGT LPSVVAFTLFDHGENASSVEFTRDGSMMAVGSSESCIRLWSLNGDKLKKKSVDEEGAL VEDEGLPMRKLIGHSGPVYSLSFDPLPSSSSTPTSLLSSSQDGSIRLWSLDTYSNLVV YRGHGRDPVWDVEWGPGGVYFASASRDRTARLWSSDRVAPLRMYTGHLSDVNCVKFHP NSLYLATGSTDTSCRLWDVQRGACVRLFLGHTDSITTLAISPDGKTLASSGLDKSIYL WDLGSSRPIKKMTGHTGPITSLSFSQESSVLVSGGTEGVVKCWDVKGAGGEGGGVGGG ETMWSGAGGKEEHGALPMGTGDAWDVPHTSDLLATWPTKRTPILKAHHTPRNLCMVAG SFAPPSNARRA L198_06590 MSSRTNKATMHELKLRRLLEHNHRLREELARPRVMVSVASMNLI NHCRSTKDPLIPSVWGPLEKGDDPYAPVDNGNCCSRTSFIPTARLPGLPLLSIRMLT L198_06591 MATQDQVTKRLHISGLKPEITAAHLRDRFSLFGSVSDVEELQPD ALGDPRPFTYLTLQTTPAQLKRCLNTMSGSHWRGALLRIAEAKPKFDARLHAMNNPAP EIVDKKIEQKRKRVMRARAEGVGKLAQDMYLVDAQRATKKKFWVVVEDGGETRVVRPM CMRPDRPIGVPGKKIGLKKRAMAPARSFRKVINPLKWGSSLSTFPLSFPTSIGEGEWE FEGFSDEEEEEDEDGRVPIGVWRKVVDSEVVDEEVVRGKKRRVESDGMDFDYGIDLAL DDFAVGSTASTPLFGHRELPTGRESSPLFPSHREEREASPLFPSHQQEREISPLFTSR APPAEEADEEEVEAKSSPLFASRAVEASVEPEESSSAESSPLFPTRDLPRSANPSPST QAARSSRPPSLRLDTPISSSPEPELEVTVPTTTRLPTPPPSSLPKPLVHQAKQEKTAA LSVLDGLLDSFQVSPEREKLGRDSFRGFLEESDSEEDGEVEIGRGKKKEKKGVPAESV VEKDEEIVVEEPDLAPPPQEATEPSDSSEGSSSSSSGSDESSEDEKMDVDKPAGDDKK EESGDDSSEGSSEDSSEESGSGSGSGSSSGSGDDSSDDSSDSSSSDSDSDSDSESDSD DSSDDSESDSDEPAKPPAVDQPAQPSTLKSMFAPAPATTSTSLFGLPSSTPATNSAGF SLLANLAPDVELDDDFDIPLPSISGPSRPAQGEDELQPLPMVAGGRGKVKLDLETAGE TPLFFTLPGDREGAEREKGRKKGESRNGFNELFFGLPKPEVEEAEEGYGGRGGHGARG GQTQGGFGQGGDAQGQGWGQTQDSGYRTRLHPSQLHPDLPEGEEPPILGFFSQPREDT KAMKALWEGEKAALTQGWKRRHREARKMRRRKGGEEGD L198_06592 MVLTRRQATSSPSPSTSPPIMSDTTSLLGQSRTISYEESLSILP WQTDNDYIRHGYRRPIPSIRGCLWSAVRYIHNETINIHSHSIGVVFFAVLLPLHLIPS QFPTLHHFPVDPLTPPTLHDKVALTVYLVCAVSCLSLSSWFHTVSCHSEDVCEAAHRG DYMGIVILIVGSITPGMYYGFYDSVVLQAVYMTAIVGAGITSAYIVLSPHHRAHRWHR TLTFIGLGLSAVVPISHILIAHGFKYARQTMCLDMILAGGACYIGGALLYAARIPEKL SPGTFDYFGSSHQIFHCFVLGGAWFQYAALRGMVWGRGLALSGGA L198_06593 MTDTQYTFRAQKTAGIVDAPAWDVSTRIPAETVGSKCYAYSPNG EWLAYASTNSVELIAPSSSSSTATTIEQPNVVAIKFSPQSTWIFTFERPVKTESGEMY KNGKAWDVKTGELAGSWYHKTLDDWEPIITADETYLFRPGPSDLAIFTPPFAARPATR LKLDGIRGVFISNPSALPEGSTNAKPIAAHTEPGVAIWVGEKKGAPASVGLWTLSALV GKGAANGDGEIKTETRDMPTTQARKAFYKADKLTVKWNNAGTMALFLAQSDVDATGKS YYGETNLYLVGLDGAFDGLVELDKEGPIYDFAWSPLSREFSVVYGYMPAKTQMFDLKA RPVYSFGENPRNFVAYQPQGKLLLSAGFGNLAGGVDIWDVSTRRKVSEFKASNASHCE WSPDGRYILTATLSPRLRVDNGVKVWWCGGQLLHIQLTDELYQASFSPRLLKDLGPFP AVIPAAPEANPSVALLRPKGEVDGAAAKPAGAYRPPGARNRPEGAPASASASSATPMF RGGKPAGRYVPGTGPPGSAPKQVSGQNGNAKNDDKKRQRKRGGKEKEDEAPAPAAKEE VKEEAGEDATAKKVRNLIKKLKAIEELKTKLAGGEVLEKTQLKKIESEAQVKSEIKAL GGNV L198_06594 MNDEHDHPSLCWMLLASLGACFVRSDDPEREPLFPPPTTILTQP SAHPSARARPTLPNLLSFTTSSRSRSRSTSQYPSVNSSPTRTTGPLSGGFGSERDGTV SSRKREDAGRRMDSISKAFAGRMQPLEQSLPSTPSTARGLRPLSSTLTLSHPSALSLS QQHHQPPSPLSPRPTHPTRSQTTSVPNNRPSAYEPCPYGATLPHRPSAPNLGRSISEP WYLADLAATVKVDFAETQIPKYGPLIVYRLSRAGVDPSSLPHGDRPFGKGRVRSATTM ERLTSPWEASRGRDREPSMSTMVREPSFDGSTPSPTKTHHSGSSLGTRSMRAGGERSL SKDDSLNLSLEGSRQASPLPSTREYPDGEGYFAEDGEEEEGVVKRDLGLSVLYQKQQK RRPKSKGKKRVSS L198_06595 MTEATDLHRVLIQTFLARRVIKEKTLVELYKRAIAAVREDDPRF RGPYALNAPGVAEMAMDLSDILHVASMEIAQARDQRTGDTSYILRNIDATEVAKLATD LNQQEVDFYRKLVEAIMISYPANSLANGQARSLVKDLDGTTKPPQSWAAKLLESLVSR GWISRSKRGRYSLSMRAIVELETYLKSEFPDYVHSCLRCKRIVFEGVACSKEECSAHY HTYCHAALNAMPNPKCQHAPCSASFRQYPPKPIGEQGVSTMEDSFSGGVKKRKRGRQT QGDDEDEEDEDEDEAESEEEEDSQTQDRKPGRDYVPGTQYEDDTLPSSSRRR L198_06596 MARIGNKKVKSKATAGASTTEVKVPTKGQYIPSKSLSWKPVKTS AFSGMDAGGGMMMLEELEDVGIEWEEAQGGQKLAKFIEVEGKKKKGKKAEVEEPEEDV VFDEDFEEEASGDEQEAGEEDAASNASEEFPDFAGFDAEDLNEADDEEHEELESVPDF NDDLLPEWSSVPLHPTLKRAFLATSFTTPTTIQSRALPAALTGRDVIGVAETGSGKTL AYSLPMLDYLLRRAKPVKGKKRALKGLVLCPTRELALQVVEHLEALLKHTVEEEDEVE KKKGEGKEPPRISVGSVVGGLSAHKQTRILNRGCDILVATPGRLWDLMKGDDELATSI RTLRFLVIDEADRMIENGHFQELESIVRLTQRSGGEAGPDDDDPVFQSLSTIFEASSA LPDMQTFIFSATLSKDLQINLKKRGSWKKNGKKKRSSTLEDLVEKLDFRDETPEIIDL SPEGGVVATLRESMIECTKDDKDLYLYYFLLRFPGRSLIFVNSIDAIRRLLPLLNLLQ LPIFPLHSQLQQKQRLKNLERFKSNANGVLIATDVASRGLDIPQVDHVVHFNLPRTAD AYIHRSGRTARAKTEGFALLLVSADEKPTQRALNRSLNRTHELPELPVEAGFLPPLRA RLKLANDIEKAIHRAQKRTHEKNWLLDAAEAMDLDIDPTMLSDGEDDPDAPYHKAKKM DKAKGKTNLDGKVDGLKMELKDLLREKLVARGVSTRYPTSGSKVIVDDLIKSTGHGML LGASTSKAYDEAESTGKRKKIGGGARPLKKKVKT L198_06597 MTRGNQRESDRAKALKKLGDSGKKQTGNPQARREADAKAVAEKQ ALKAKARAEAEAKGELSAFDAKQEKRKQNTGPPGGLKKQ L198_06598 MVSEPQIDPILAAHGTIPELQLGEGVSGARKGRPKKSEGVNKGK RAAASRAGDRVTRSRAAVAQEDEAGSSKKRQRTKAPVNIPGQPNGEAVSSTMNRTGSS SYSGIESAPQLAHVAPLVSGARAMEQLASAAIAQEPVSQSNIETAYTPQISPSLQPYP IGRTPFRYPCHHPDLPPPLPGDPNAVGFRRFDPSLDYDSDDSSVSSQSNQRVAGNVGQ GQGRDEQIYPAIGPSRQNLLPTPSQTPTFPPDHSTQQVIANMGHHLPSHIPVQAVDPG RGQGQGQWQLAAQHQQSFWQEEVEANANAAFESISALLSASEYPGEMHGQG L198_06599 MGRLGGQMNYVQLEKLGEGTYATVYKGRSRTTSEIVALKEIHLD AEEGTPSTAIREISLMKELKHVNIVRLHDVVHTESKLILIFEFCEQDLKKYMDVHGDR GALDLNTVKSFTHQLLQGIAFCHDHRVLHRDLKPQNLLINRRGELKIGDFGLARAFGV PVNTFSNEVVTLWYRAPDVLLGSRTYSTSIDIWSVGCIFAEMITGYPLFRGRDNADQL VQIMKIVGTPSEATIAQIKLNSPEIQIKAPLAKHPKQPFHAIVPRAPRDAINLLEHLL QFEPSRRYDAHTAMQHPYFTSGPIAPPTLYDAGASALSRAGGSAGVGANGQGMSASSL ALPPSVAARANQASAAVQAQQAAQAQAQAQQQQAQQQQYNMMMAEQAAMQGYYDPQQV QAHHHAQAQAQAQAQAQAQAQHAAQMQQMQQGYYMGNGGRQGH L198_06600 MPPRKSKARDVKSTAPSPSLSVAATATRGSSRSSKSKSSDVGQS TDVETVTEQEQEESVEVEASTDAGVEESVEGEESAAPEDEGEAEKTGKVSMAERMAKM KELRMKMSQTSQENRRDLVADHQKNKTTAKDLARLEKQRKLAQTLRLKADAEEAGEDV ERKKNWEYSIEDDERWAKKEAEKEAKQDQHFHNAEDDAWKRYERNIRGTKADLMAYER QKEAALGLAPGTLVPVAGGSSSAAGSSSALTKSEDLYRGADTLAYGDNKPSEEAVDRV MAKINKDVGKKKRGKKDEEDSEVDYINDRNRVFNKKISRYFDKYTKDIRANLERGTAL L198_06601 MAFRLADLKLRRRGSTLRLLSAILAAITLYLIFFSHHDLICPLA QGDVRPSYPPRRPYPLDLPPQEVYMTFLSSGDIPEYFTSTCVLLYSLRYSVTTRDQAR PFFVHVTELTPPEWIEKLYSLGASIVHTPLVQGLPERPTERRYKDMYTKLAMWNMTQF SRILFLDADHIVLKSLEGIWDDPTSRAENGVAALGAGKRGYMPEYGYFSAGFMMVRPN EDLFGDMLGTREFNPQWREQALLNKYFVHSGRRPWGRLDGKYQRIQPTYEHVEDGIHA LHEKVWEEGIDERIRALWFETLEEAEQFFEENEGTEVESWELIIR L198_06602 MPSAAWKSSFRSPSPDTDSEDCLPSQFAASTHADLAYDLDLSLR QDHAELKSTPFTIAKQKSARKGGRKISNLVTAEDRRNGVTSSPSKSSTTKSSNSRPVL NNQTLPFQPQPRKPGPKPAKKDFVQQPLHDTPPKPKNRKKPGPSAAWVDKDGNALPED PPARLSILEVLEEKEKEEEKKVKKREAAREKRKRTVEAKKREKEGNDKIQFHMLPKGA ATNESFPIVRALQKQQSLPSRTRPLAATSIRLNEGEVTRSPEPENLVDATEIDDLVYG PKGARGGTTVEDAIEIASTPTLNRKAEWSESIRTTPTLVGSDSRSAQRHHDLPFAKTT HRVTPSSRSTFKPPFKKPFVDHVSPQNGHIDPHSSSEPHLSTINQVPSSLSRGGETMT SGVSKLAYSSPLTGRGRAGDSVAHVRAMARASDTKGRKVFEEDDVYVKEEEDEYRSAD VLRLERAAEDFHIPPAYQSALPPNIARPVARRFQPPIPVVSKSTLNQDRNSPPPIRSS TPEWSTLPAKRRRDSSFNSPNKNARQPVVAGRFRMPASLFPTPKRTAPSSPSPEPAIN SPPRKYSKLTLFTPSSKEDDALRPERPAYTVRTIRGSKFASSAERNDSYPGAGVKGRL TLPPRKTGSSTTLGRREPERDYSPADQRAGQSRYDPFQSQYRRYDTTGEEEGDEDDWT SAWRGKESANVGAMGGRDWVDEDELEAAEKYVFQRRNGYDY L198_06603 MSAYDDGQLVRDTSSKIPLLLGNANYIQWSSSVSSLLLRKQALF CLKSEPLEDPSFHFDSMDPSDPSTIPTPLTPTEQARLAKQNEGLGILLQSLSEAVKNA VSAEAQDVFRPNPKLLWDC L198_06604 MLALLALFHLLPILALAAHSPPHHRRAHERARKNYEHVHGRDAA HNAPAIVERDTHFDNRTLVERDHYDNRTLTPRADTFTGVGTFYATGLGACGQSSQDSD YLVALNSAQYGGGYPGPQCFKTITISDGSTSVSGITIMDECPTCDYGSLDLSPGLFTR FADEDAGTIHITWWYEDSEPTSAAATSTSPTSTYVAPTSTYTPPTSTYTSPTSTYVAP TSTYTPPTSTYTPPTSTYTPPSSTWVAPSSSSSAWPSVEPSTSSSTSIWVAPSSYESS SAPSSTITSSPISSAAISASASASNSTNPWAVISSASDVSIQASASVGVSVSTDGSDS SVSVSVSGNLELINALTANYGQLVVNAAQVDA L198_06605 MSGEEPDFEPTGIFDFDLELPAKAPEPEPANAINEDTAAPLHEQ DTNGASRPRPERRPSPPRMPTPPPDFLGEEENWEDQLAQAELEFDLAGLESPRRENAS GGGGGGGGEETGAGDEDEFLDAGMFDDFDGPVASSSRVTLTPPPPVSHASTSRANAVP PPPAVREREPVSSLVEPSIPCPLPSLPAATAGGRTVFFKRRWRLEAASAQLLQLRGGK ADAGELLTVPLHKLLQEVDELKSREKALKLQDKYDKEREGSRKVKTGDSMWVDKYRPK KFTDLLGEDRVHREVMSWLKEWDKCVFKKVPQAGKKRRFDDSFEQSEYTDALGRPRER VILLSGPPGYGKTTLASIVAQHAGYKILEINASDERSYQTVHTRIRSAIEVGTGLGSG GKPTCVVVDEVDGAGGAESGFVKALVKLIQDVPARKKTNTPAKPLRRPIILICNDLYA PALRPLRPYARIIRFKKPQAQSLVVRLKEICSKEGLQSDSRSLNSLVDITSGDVRSCL NTLQFIKSRSNAVTEEIVRATSLGLKDTSTTLQSAWSALFIPLPAKKRRARGIDSERY LPRLIPIIQSCGEYDKVTQGVFEHYPHLKPLDGTLGNVGSVLDWVAFTDRLQGRIGAE QAWDLMGYVPWSLAAWFPHMAAQANSAKPAEYPKADYEAYQNRTANELVTTQFTTLLP PVYRTLFSTTTTATELIPFLMRIISPPLKPVNANIVKPGEKALLDRLVELMLPMGLRF FAEKAENGQPIMRLEPPIDVFVHYEGKRAEDILASRYTVRQLVSQAIDAEIARRRGGA TDGNNGLGTTSGGFAQAYGLKGNETVNKPVDKALLPVLDFFGRTVAIIEDETLGENDD PAQVRQPPNKKFKPIYKFNEGSLSAVRRSVKMSALM L198_06606 MPSFTRRIPQANPPPPGTTPSPIHSLPLLPTGLPSLDDLLGGGL PLHSILLVLAPDTQSAWGRLVERYWIAQSLTSGQSAVVVGEKQEAESVVQGCMWVEGG VDGDGSESEGEGGLEGGDKKIAWRYENMAKFQTTVKGNGSNLSLMTQVPPQALEAMKK SKQLSYVPVDVLDVPTTSSGQSDKSALLDNALKGVWEQLESAQKGRATRITIHELGSL DWGDISIPEIHRFIHSLKELLKMKPASALVTLPASFLVALGEAREGLVKSLSWGVDAC VELKGFADDPTLPPLFPTHGLLTLHSYPTSHTLLPSTLKHSTLLGVSQSASSSSSGGG GGAGENNLGFRLKRKRFVVETVHLGVEGGVGERRTGGDVGDALEGADARTSHTTGLVG GVEPAAPAPAPAPEPVPEAIPIDERPKGADQDKPKKASKPRARVRFGGEEEVITVGKA GDGARAGHGHQHDHGSHSHAGHDHSHQAPKVALRHDRPDLYEF L198_06607 MAPKTHSRTQSTNTPAPLPSTRTNPISLRIYKAIGTSFDDADSR EALQIASSIYAPQEPKGKSRAIDAEADEGQEEEEGLPKRRTLKGQSAATARKYLKRDV ESSLAAGSLKFLEAFEEVDKNLGTVREQMQEMQIRCDQVQSELDQANSGTKFLLERAD GLRAQRASAELRAHLITLFLARFTLSEHEQAALSSRDISIGQTLFEALDHVEKIREDC TVLLGGEEGKAQAGMDIMTSTSQQLEAGYAKVHRWCQFEFRQYTRETHLEVSPIMRKA IVRLRDRPSLLNDALQTLTSTRHASLLHQFLTALTIGGPGGLPRPIELHAHDPQRYVG DMLAWVHQTTASEGEFLDGVFGVSRGKRMVGQERTQGEEDGEEKGEEKMAREVLDKNL EGLSRPLKLRIQQTIKSQEGTIMSYKIANLVQFYLVTMRKTIGSGAQLCETLQEIHDA AYTTFYETLDAQGRALLRFLHAPDNTLSPPPSLREAALILRELLTVHSASLLDPADQA SQDEVDKLLDKAVGPCIEMCERMAEMRRSAVGKGGGGEWERDVFMVNCLGYLEHTLEQ FEFTGKMLDGLDERIGGHVEAMAFEHHGKLLEQCGLAPVMRAIRTRPADTPLSRLPAT SPKPLTSSLSTFSTWLSTISPSPSSSPRLSLLSSPRLAEEIHRKALRKIYEAYGEVCD RVLDKGEGYEFGETMLRRGREEVGVALGVGEEWVSQDEEGEGVGGEGEKGN L198_06608 MAPANMPFSSINIEAAVIDDGAEGYADDKLPAAGEADGVVDGSD GEEESCGVEVSDGEGESEPRDYGALLLAREAEEEGNRTRLALEEQTSLIDLFTRYAEL LGTQDAPDRDAMSKLYRLAQPLRTQLELMEKAVVDEHEGRSIAQRVLRKMAKVKGFNA LAALTKGYGEKWLGLK L198_06609 MAPATRSKPSTPAPEITIEEPTASTSTSYSPSPLGAGNVKQRPR DGSRSREDEVWDPKYAVDLAVDENVFLFVPNLIGYTRVITAAAALFFMPYHPKACFVL YSVSCLLDVVDGQAARALGQTSKFGAVLDMVTDRCTTACLLCFLSSVYPAYSMIFMGL ITLDFSSHYIHMYSSLATGSSSHKTVTQDVSRILWYYYNDSRTLFVFCFANELFFVCL YLNYYWTSPVFSSIPIPTSLLTSDLAIAHPKLIGGLVQAVKNVTWPQVVALLTFPICA GKQIINVVQFWKASKILVGVDIAERQAAREAKALNTRGR L198_06610 MSTRLENLDSDLKFGVASALLGLHPSHTFVQKFEELQKAGFRYC EVLTADYIGWVRQQKPELPESTCPPAWAEFSEPDPSDSEIWAALSTFAPKFTALANQY GLKVIVLQPLPHFEGWPEGHEREEWSRRKAERWLGLCAELGVEFLQVGANDRKDATAD DEKTASDMRWLADLGAKQDPPVKIAYECWCFGDLRGDWEDHPNLGLCLDTAQLALSPT YGWDPLTGNGWSLPEYTSMLSRLRTLPKDKIFYLELSDVLQPSPPLYGGSKFDESARE DEKGYGKGKNARGKWVFYARHVPLVGPNGGTGEGDGEEERASEVGESDAARVVETLKS IFSTGWRGYCMFEAFESIYMGADDPGVPRRYAQAFESAAI L198_06611 MVKKIVVEESLLKVQNTLGEGCVWDSRGQRLYFVDIEQNKVFTY EPSSGKRGYELFEKNITALALLENGDGLIAAVEDGFAYIPFTHLPFPPTQSLQSLIPA QPTPSTQVVLTAGESRFNDGAVDPAGRFLAGTMGFKKGDVNSRMYSFQVGEEGKYSAP LVLDKITCTNGMGWTDDNKSLYFTDSWIKTIKKFDYDLATGKLSNPQIFSNVDTKELG FPDGMCMDTEGGVWSARFGAGKVLRFTPEGEIDVEIDFPSAWNMTCLIFGGEKLDELY ITSAASDLTDDQPDNIAERKDGGDLFVVKGLGFTGVERNRFKGAIPK L198_06612 MKEVRADRWKVEVEVDVKNEGEREGKHTVLFFLTPPEETETVLK HPEWTLQGFEKVELEAGETKTVKVVFDKCTFFNPLDTLRLWNTWRAELGEWTVRVGVD AGKMWEGEEAKFKIEDELEWRGL L198_06613 MESTTSLSSSSWQQDYRYPIYGDPLWSAQGGGGTVDVENLKSFG AMGISPSLLANIQEADETGQPKQKDKLSQLQGSYDPENPPHLNTSPFSTCSDAGDGGD GGEEGDEETGPAFWNRFDHEKSDTRSIPIPPITVVPRSNFSMTSTTCGRPVRGEQSSR SSPASTATTTTTATSTKRTNSTSKPKGVTSAGVQKSRGVNASRRSEIDDMISRVMSRY NNSLQALTAKADKTQEEKEVRRILGNRDAVLLSRRKKNETITSLQSANDALSLELSQS QQSVQALTRLNEVQETRMRLLEERVRFVEGFLGGGDGSWRVGAGAGHGMGSAGGAAAA AATVGDGGELWSSTSGVSDVPADLFSWTDPVPELKDDK L198_06614 MLSPTSTSPVSPGTYSTRNPLLPPVPPSSHPAAGPGAGMLKPKP KPRKRVNTAEKRSQHNAIERARRETLNSKFIVLARLLPSLADARRPSKSAIVNGSIKH LAYQREQRLLAARLLREVWGEREELIREVNQWRGMNGYPQKEARGVQGEWGEEMEEVL GVEKEAFGTFAGMGMEDGEEGEDEDIAGNGLITPRESIDKLPLAPWPAPEYYPMPNYP SSASLTSTLAPANGNGPFLSDTSASASASEQSPMTYHHPALTPPLSAVETQTMYSHTP SPASSHSQRSHSLSLSHHSQGQGEDVKPSTQQLGGHQSFPGVPGVQGGGVQGAQMMAL QQQYFVNQSLHAQAQAHGHPPFHPSLYAHGHGHAQGQAQAQAPGGQGYESFLQGQGQG QGQDVFTQQLLASIFPSSGGMAAAAASQGQGGQVSMVDLQKAVRTGMELGFGMSGMGQ WAEASNAANAGGSTSPSSSNGNTNATAVEGF L198_06615 MDGNDDQRGVRPDPPKKRRLIDGTKNWFKNIFAKPATGNASTAG LDEQRQLSPPQELHQSSSTEEHEQGPDERRQLPPPKEVQSSSTEEHEQPSEPAPRRSG RYQAKQPQQSPTAPPVASQEPALLDEAILEEFDRYVRCAWNPTPIPTDERLEEWSEHR LGDILRLELPEPLALEVASESYFNLMLIIFTGEPEADLKDALFSDSRRSPKAFVRLDS SRRPRGQVGIVHSLAGNLLHQHSPYSLVREPTHSAVADALYSATKRLKRDGEIDSALR YIANKIHNDLREPKQSRASQLGAAQSSEVPSSKASSTTGVVETDFSYAFFWRPRIPFR VLKREHPLYRAVLMVVEMKQLQVDHDVKIKVPPGQAKKQYEQGLSQVIKYLYHAWEKF GTRIGLFVCGPFFARLLVTDDKGNIAVECKPCPNVGQEYSSPGSYFKEFDYLSLPHSL LLPYSTNSQLAEQLGVDDPLPLLNLEGLRKFEELSTHVFKSAFDMKVAAALGKRYDPS PTSTSAQGQDSGFPTLCDLSPQNDAAIVKAGPRSPIHWYISARRDAKDRVDEHDVKRF LEAFKAHVLSSSSPPKDSGSHNPDDGEGDNRGREGDKDEGHKDSHHGRSGGYGGYWGG GGGGGGGQGGEKATGAKSGGPQAKEEEFQENFEDDVEENVEEYDGQDFLDKVKSWAPH SADPAFALDPAPCLPPTSRPPSTSDDEDDEEVWPDYVDTDSLPPSITIKAVLSSTMDQ LIDDALKEQRLLSGCTADTSERPSPTRTARTDGSGSTPGTASTLLNASPPSGVLSKHL EERPAEGSLREGQGLSE L198_06616 MMTSCLMEGAHPAPAFDLIVVLKLQEGPLAPRPSVHHSILTQYL VTPWSLSSARPRLSHLCFEDFQELMEISGSGLRTRIQSSSLRYILWYKLQADHLPDHS KPRSKSSLRPVSLPTLHQNIALHDQPPEMRLTAFAFNADSRAYPEAWLFLGKGYIWKI ELGGCQWAEASNSANVSASNASTSPSTTSSFNGNAVNANATAVEGF L198_06617 MMSIDDYDYVLSSASRSSATCVQNATVKLRRVFQSLVTYSVFSS SIPRRESLWTRSCWLRRRRSGEGLVWSEGDNVDERGTRLLHTTSTTEQVALLSLHMRK TTPSLTFDISIADAIPWSSRRTLSMLPPKRKGSLPTDFLPFLALGLVSRRSLLRPSSG LFFFSLMDALLDCIDKGEDRTASLSCLANQPRLIPSSQLSLPGLSPLALAEKDMASQD VDRVLCRVLLIRVRSYDGLARGTRVTPDIGKVVTFGEQGLGKWEGSARGERRGERDDV RR L198_06618 MTKKRVTRTVIAGAVGDMGDIGSGGPQAKEEEFQEKSEDDVEEN VKEDDEQDFLDKVRAWAPNSADPAFALDPAPCQPPTSRPPSTSGSNTFNDSDEDDEES WPDYVDTKSLPPSITIKAVLSSTMDQLIDDALKDQGLLSG L198_06619 MVNEDHPPQQSQSPSQKPKPLDDAILEEFYKYVNALVPTEIPKD KRLEKWTKVRVGDLLEVGFLDEFVFQGQRTPYRELMESAHSERIDEECAHETEFSKVL FPELRRPETFSRTDLPKRNQVDLARGRMNTYCFQHQHWKLDRTLDRFLISMSPWKSSK HRWERTGSDRG L198_06620 MRFTSILVAALPILGAVFAAPFNTEAKKDLSLAPASSELVERDG LLLGVLADLKADVTAAGSLTSLSAEADINACIQVVIDAFNKCGSALGIDLSLGVDARV GLDIGLLKRELEERSVNKQAVAQALVDIIAVINVNILIPVKPKLLTCTCSQTHSLVTE LDLLLTGLLCALEAILGGLLVIVKGLLITVLGLVSVLLGGLLPSCLAVCGF L198_06621 MSTAPTAPNAPQPASATIFPPGVTPFANRKFPKTICMFDVDGTL SLARQLASPSMFATLKELRKYTAVAFVGGSDLSKILEQVGSLDNFDYGFAENGLVGYK FGQHMSSASFIEHVGEKEYQKLVNWVLRYLSDIELPIKRGTFIEFRNGMINISPIGRN ASIQERIDFEKYDKEHGIRATMVTKMEQEFVHLGLTFSIGGQISFDVFPQGWDKTYSL QHIEPEGFTDIHFFGDKTYKGGNDYEIYSDPRTIGHAVENPEHTQRLLDELFLTVA L198_06622 MTFPSASSSRHPYQPYPSYSPGPRRRTSLSISLATTRPYNPYNA PTRRRARTMPQYARSRDHSHPISSKPSTRTKKVVISLLILLFLFLIGTVVVLSTVSYY LAIPTWAYLTESEVAWRPQDAIRPLRDVPKSSRRHKRAEWTEVGVGSEGSSASADAWT ASAVGDVAFTLSAAPSSSAAASWDELEEEMEALDASEEDSVAEAETDASDALAVSVET TPSPTSSTPWGIDGAGSGGYWMEKDWDGYVRDTDSWERLYNVSNRAGERIPRLIHQTW KDDQLPEKWRKAWKECREGMPDYEYMLWTDDTSREFIATHYPAHLHMYDSYQYPIQRA DSIRYFILHHFGGVYMDLDIGCRRRLDPLLQGDWDVILPLTKPVGISNDLIFSAKGSA FMDDTVHGLSAFNHRYLSNYPTVMFSTGPMFLSAQYAIFSSSHPLTTQHPRAEVRVLP KSLYGKNAPISDVPHSFFSHFYGSSWHADDAGFITFLGVWGKGLMWVGGAVILFGCAR LVWLKRVAAKGQQYQLLSILPTTRSNSPTGYDTPTSTSSAPLSPTSLDRSLHQALPAE IASYFKRAGNLILAAPAMLQGDRPHARAHAHERDANRRGRRRQGLLYFVPALFQPVPA RRSRTASEASQLPMRRTRRERERERERDLQRARESTHKSDGLAPPPYETLHEDPTFSD SRAGPSRPSASTSRFAQAGVPEGERYESFEKEVNMDEVDAFLNEADNEGSMSGSGSGS GGEEEWRDRARERRWR L198_06623 MDEYLPGSQARRVAKGMFWAWHQEAWAKTATDRQIRSAWAKAGL WPLSAGIILGKVNDPLTHPPQASQPAPPSPRTPLGPRRINTALRNGELDPVVAVAHAK TAAEVAKSESVLLRKELEEARAAMGLDKATRGGGKAVQNPGGGGGDDNREALEKREEK EEENRGFWAKRAPHRPAGAQSNEQQACL L198_06624 MPPEEANLSSYYDNSGRSSHMDNVGSASRPIHPVEETGNTTGNT RSQITATHETITRVMSQYTLKELVAKEDKTEEEKAVRRIVQSLLSTKNNLTRQLNDSR KTIEGLTRVREEQDRQTLARDQYILSLESRLAAVESLFMETGAILPEGFGGGDPQQSW SSSQGGDDLWTGDGSYANPDLTNQDHTQMDYERS L198_06625 MSDRDDPNDISLFYGHDDDNTQQDADVDTGEPSSSSHGPLLPRP SDSEEDLNHRALAASSRNQSTADKINRVMSRYTWEELAGKENKTDEEKDVRRILSNRR AALNSRNNKKKNQNSLVTINDDLASQLFESHKTIDELTNARDEKDQQALTRDQYILSL ETRLTAMENLFIETGGVLPSSFSQQQSWFPSEVALPEGEGGDNLWTDGQHVQFGDGSF LGPDMTQQDSTQMDHEQ L198_06626 MFNSPSTRSRLNTPRYSTAPTPARSRLQTLRVQNNSPTPSVAET IRTERPQGEEKDKVYWSRDERHDIAALGKLPKEVAALVKASDLVNDPIIAHVDSKSGY AVVSTSRTCVAWNYAKRTNSTATTYSFPAPPPTTSSLAGFVPPILAALYTSTAEPGMI LVSSSGEIRFWDSLSLALSNVDRYQEVFVELPEGDWVERIWKIDGSTFVLTTVTSQAY RLNITTSGGRATPVVVPLSRPSGMFGRASQVLFGTRYDREGVRSVASLGSEVYILASR SVQKWTFTSDSQKFVQEYDLYDAAGNAVSDIYISGTVALELDDIVAIGPESLAALVTY AEPHSRPTHAILTFSLQRASIPAIDRTLPLAYFPTPESRALDIPRLIVPQDSSVAFVR FGGAVVMKALDYETTYEETIHLKDPSNAFLAVDAVFAPNPTAVLLPASGGLMSLTALE PRASPEMLGQKSGATARLESKMQGAVWFGGRGDNPLDFGLVEDARGLGAGDVAEAAES VSAEVLSSSSRYAPVISELRLNLQDKLSRLKELLKFVHVNNLLSELPQTTRRKLSGDA EKVKGALELWDYQDRLLDQINSQPSKALLTSSIQTYFTTLPPAILPSSLSYSEFTSDP VRFFFRHNIPHLSTLLSVTFSQYQSAVSHLGSDDVQEKSAWLGEVNQIFIALERAAAQ YREEEADLYVIDREKPAVEMWTASDELLDALEFLYASTESAIKERTRVLGSAIDEPPS SGRGGEDLRKEQILQGMLKRQMGWLAAALCTNMEDKCRVVVRRQMNDGASEEEGIAIK EKWDAMKPRVIRPLVTIDRIAEAFELAEHHRDFPTLVVLSNDPQAAQGRGEARIQIYI ERFGEEFAFELYRWYIAQGQAYQLLTQDEIYGSLITRFFETHHHPELAWIHDVACKRY SHAAGALVDVLEGGQEAGETTQVGELEYRKIVGSIAKLAEMTDISMRGADEEKDHTFQ QIGQHLSLIDNQASLLAYFLDLLPSHPRPPNPKHLSKHLAPILTLISTPADTARESFQ ALFIDLVNRLVIEGEALSLEDVVDLLVMKDNGDREEDAVDALRLVVLDQTLPKARSEV ALISIWRRVYIRDDWMDISNTGGRSEQAQRSYLRQTMIYKVLKSLHSIPDFPTAAIIP PYDATIPPTSTELSARFPNFPPDVIRELEADYETEVGVLVRYVEEGGLEGRVKEVENL VKNDLEAEGREVHDVVLGGDDDVEM L198_06627 MLHREAPKARSKNLEDIAQLEEQGLLRKVEDSEDSGSPPRSSSV GSTARRRRGKDEDGVRLEKIEIDEQVHSTGLTSRRDKEAFALLVLLCELQGIPLGLTF GTLPFLLKSHLSYSQLALFALSTWPYSLKLLWSPIVDAWFVKRWGRRKSWIVPVQGVV GVGMWIIGGRIGEWMEAETVDVKLLTTVFGSLIFAAATQDIAVDGWALTLLSQPNLSY ASTAQTIGIGIGNALSFTVFLAFNSADFANKYFRGGNKLDYGLISLGGYLRFWAVVFI VVTIGLAVFKTEDPVSDDDPDMDVKKVYKVMWSIVQLKNIQSFLFVHLICKIGFQVND SVTSLKLLEKGLSKEDLAVATLLDFPAQMAVGWLAAKWSRPPPASPVANEGRKSAGAD AHVLKPWLYAFWARLAMATIATLVVAGFPSSGVGSGYFSLIIATMLFSSLTSTVQFVG ICAFHTQIADPLIGGTYMTLLNTVSNLGGTWPKPLILHSIDVLTVASCSIPTLHAYSP GASAASPLSKVGLEATYGECVSEAGKHACADVGGECVVARDGYYLMSAVCVTLGAGLL IVFILPMVRRLASLPMSAWRVKIPN L198_06628 MHHLYFASTSPHILLPTLRDILRLPDPFAIVSVDSEQIHTTSVI KRTLNPYWNENFDIEVKDSSIVAVQIFDQRKFKRKANQGFLGVINIKVSDVIDLELGG QEMLTKELKKGSDGQAVQGKLIVYLSTQTSGPAQSAAPGAAAGSNIATPSATANASSL NVSSAAAGISRPTSTVPAAAPASPAREAEVAAAVPPPAASPGSAPLVAPTTTAASTGQ TGTNTTAGHEFDSHSDQYGPLPGGWERRIDHLGRQYYVDHNTRTTTWNRPSNDSVSNT ATQATTTGEARARHNQRTLPDEMLDVQQSGGNTGTATPSHPPPGAEAPNNTSNATTAG QGPLPSGWEQRFTPEGRPYFVDHNTRTTTWVDPRRQQLLRFIAPGQQGNNLSAQPQTV SQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIY FRSQPALRNNTGQCHMKVSRENIFEGSYTEIMRQTPSDLKKRLMIKFEGEDGLDYGGL SREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPNSGVNPEHLNYFKFIGRVVGLAIF HRRFLDAYFIVSFYKMILGKKIALPDLESVDAGLFRGLTWMLENDITGVIEDTFSITE EHFGEVVTVDLKEGGRDVEVTQDNKKDYVDLVTEYRISRRVSEQFQAFMSGFNELIPQ ELINVFDERELELLIGGMSEIDVDDWQKHTDYRGYNPSDEVVEWFWKCVRSWPPERKS RLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKAGEVTQLPKSHTCFNRIDLPAYKSY DALEQKLTIAVEETLGFGQE L198_06629 MADKPKTSRPTWDKSEYSTLAKDKDRQSYEHAKEAESSLQSGKA PGKKSQYDDLPKPTELLKAREQDLGLTKNLNKTMLVSMGGTGQKGPRGGGFYCELCNR TFKDSLSYLDHVNGKLHLMKLGQTTLVHRSTLSAVRARIATLRAASQSSVTSKNFDFQ QRLKAVQDYKTSERERKKAERQKKREAKKEEEERRRMGIFDEKMDVDPKEGEGVKEAG KRDRKKERDNRRAKEGDQEVERALQENEDMSAMMGFGGFGTSKRK L198_06630 MSQLTPGKRARDRYIPFNNDPKNVGTRSGMVMPQNLPRREGLED PAAYFNSPDRPPGSNRRDTMNSTYSRATYMTTPGARTTYTSDDDDAASRGDMETPGTA RRVSRAGRGRMSDLDFEEGMRGGDDLLQDEDDLAMATPGSYFPGSNPPSVSLPSGSRL SRSSPATHTSYDALPSPSKSRPTKDPRKSHLVPRSAPNSAHKKSGLSNGRTARASESE EERARSGSRSDNEELAPTPSPEPEQQVEAEPQADYSFNDEPGPSNFGADDTVDLGDEA PFDDDQPMAPMDDYDVQGAEEEERRQAEAGSASEEDEEDNGGYDEPLPEVEMEQDDSE QEQGSEEEEERAPVQKKKSKGKDNAKTAAQKKKEAAAARRKDPAPRRERSQSAQPRRA RLSELDANGNGVTGNFLTRRSGRQHIKPLEYWRGEKVVYAPGPGLAIVSEVVTIPEES AIPFAARNKRRGHRAASASKGSGGGGGKRKRRGDDSEEEEEEDEAGWDDKTEPTGLIQ DFPGKAECHRKIACPKALLVPKLVQGGSFKYQKVFGEGGFMAAGVVYVPVGEKKNTKP SKDNAYVFYVIQGAVQVTIYRTSFVMAPGSQFLVPRGNDYCIENISPNKEAQLFFAQA RKIRAGEEEEEDIPESQGGPAMGGMSQEIRPGGSQGAKKKKGGKKVTGKGSAVKGKGT GKGKGKRLPAVREESGSGSESGSGRGSDEENGSGSEEEESEPEPIKKKKAKARR L198_06631 MTVAHLENAFTDKNMNEIQVVEDTGASKPPSGFGGHLIDENLVK IEGEDRVTPYLCFLISASAFAGFLYGYDTGVVGVALPLVGNDLTGSALTSAQQEIITA GTTIGAIFGSAILGGWGDRLGRKMGILISDVFFTIGAVVIASSYSVGQMIAGRLILGI GVGGAAAIAPLFITETAPTSVRGRCIGVNAFFIPFGQVVADAVGAGVQTMPNGWRLLF ALGAAPSLIQLILFHYLPESPRILILRNQLPAARTVFRRIYPNASEEMIDYKFRVAQE LLWRKGSYRRSITCVTMAQMAGQLTGFNTLLYYAGTLFSLLGLSNPALGALIPSGTNA FFVLCGMTVVDKVGRRGLFMIGVPILLSGLIWNIVAFHYLCIPTNGFLDTSYTYPTKN VGIVIGGIVFFTSGFGLTYSHLAWYQAEFLALEVRSVGSGIATTANWVANLVVSVSYL SELETLTPAGTYGLYLGFSALFFIFLVFCYPETKQLSIDETSLLFEDDYGVKRSRQMR RERQEARRRIADDEMAEVAEATLEARQDKGRAVSAGELGRFMSGLKNGKE L198_06632 MGRKKRDYKGIKNSARKGANKGGDKGASKPKFLYGFDRYAFDDP ETAPLRKNVQGKLMASKLVKPTYTKMEKHLAIFCGRLTKAESVGTGYTELDRCALFID SLPLEVPEFSAAYAAFRESDAPTWNECLGIYKSLLALRTPPNPPKKLVTGGKERKGKK NKGAPGLCPRCKTNWHQGSGCPDSKRSTSPETENESVMDGENKDEREDAGVHVTGVED FGSGAESEDFVENSVENRR L198_06633 MLIERSKTPMFIVSFLSELETLTPAGTYGLYLGFSTVFFIFIIF FYPETKQLSIDETSMLFEDDWGVARSRRMRRERDETRRRFADAEMAEVAEATLEARQD KHRGISASELKDFMSGLKNGRK L198_06634 MVHPPPSKSPKLTPRLNSKTKTAPLTIVIKLGTSSIVSPTYPFV PHIQLLSNIVETVVKLRDQGHRVVLVSSGAIGVGLRRMNLKERGKELHKKQALAAIGQ GRLIALWDNLFSQLEQPIAQILLTRIDISDRTRYINAQNTFSELLNMGVVPIVNENDT VSVSEIKFGDNDTLSAISSAICHADYLFLLTDVECLYTDNPRNNPDAKPVRVVRDIQV VKQQVSTKTLGSSLGTGGMETKIIAAELATAAGTTTVVMDSSNVRDIFQVIANGPSPC RESEEGSTPELYEGPLCTRFLRKEAALKDRKWWIAHGLHAAGSVIIDPGAFRAIQRKE SGGRLLPAGVVRVDGVFAGHQAVRLIVRRRKGEDDGKGEGVGRHDSSVSLDMSSPASP SSPSTPLSATAVSPALRHLNIQPIFISNTDQPDTPHIEPSLSLSSSIASLDPLSRSVP PSPAITPFGTTGLTAINGGSKNGIEGGDVDVAEWEEVVVGKGLAQYNSVEIDRIKGKK SAHIEQILGYNDCEHVVDSITFI L198_06635 MSSPPASAVMSQEEVQSHVDKALSKLEESWRIASRTSQLSQMVY KMTLYEKPNDNDPEDEEEEEQYRLNLVKQSFFNEIRKRIGERIKFSTDGEFPSVDSAG TNRYPLQVDISSVFAEGQQDPQVWLDDLTAEVLQTGFDNVVSNASMGMMDPSVAYHVV SAHVVDQLYEPVMVQGRERALEGYQESCKDMTVEQLENKLRDMSGLYRGQSRSIWDEL APWSHSTKMTGYLSGETKGVSAVEEKPFNLHSLDDVNASLRAELTKREVDFEIEYGEA PPENLDPENLPESARQDEERLKPFLKQRFSKFEPVSNITDNLANIYGSVDGSQPPEDR DILPALSIPFEEARLFKTGSFNLRKSRVSFLKGMDRFTSAVSNDPSFKPFKRAGMVPD DDTFTDWNKIKFRLVEEDSIDAPTKIEELWPKRIEDVTSGGGQQVIDEMTADQEKSEK GEWSPTDEDFSYLTR L198_06636 MSAKPASTTMSQDEIQSHVDKALSKLEESWKIAARTSQLSRMMY NTTLVKGSDDDSDENEQYRLDLIRQSFYNETNKRITDRIKYTTDGAFPRTDIAGTSRS PLHVDVSSVFAQGQENPQEWLDDFAASVLQEGLENMVINAAMGMMDPSIASHVVNVSA VEDLYAEIIEQGVKDAMDGYEESCKDMSVEQLEDKLKDMSGLFHGQPLSLWQSLARWS TKSVGHISGQTKGSYAVEESPFNLKSLDDTNESLRKKLTKREAEFKSQFGSAPPENLD PENLPESARADAERLPPFERQRFSKFQPSDTIRNNLFKIYESNNGTQPPEEQDILYAL SIPTPQVQNARPGSYKLLDSRISFFRGVDRFASAVSNDPSCKPFKRAGMVPDDTFIDW NRVDFSLVEEDNIDAPTNIQELWPKRIEDVTSAGHLAGQEKSEKGESEKGEWSLTEED FSYLTR L198_06637 MNLKALLKKLEVDNHGEQVNVEGQFINQDTIPQPKSKRNYGPWS FVGIWMVTGSFNIGGYTTGSSLISLGLNVWQAMLVVIIGHIINGFICVGTGWPGGKYH IGYPILQRAAWGTRGADFVIALRIVLTFTWIAIQLWWGSQVVKTFIGAIWPSFYNLAT PLASGTMVVSDFVAFILYALICAPLVFFRPQKYHLFFAVSSVAMVVCVFSMLGWAVGT AGGPGALVHDTSALAGVQPAKGSDLGYAFVFGISSMLGGLSVHLLHQSDYTRLARKPG DQVLSQLVIVPLGTILNSLIGIIVTSCAAQLYPSEGLLWEPFDLFQVVQSDSGNSGRT RCAVAFASLAFICGQLGLTVCGNTFAGGIDLAGLFPRYFTIRRGAYLTLCMGFVMQPW SLLNGASQFLTVISAFSTFLAPMIGILIADFFILRHRRLSLLNLFTNSPKSIYWSFHG VNIRTFGCWLTGVIPFLPGFASNVERSGLTGAIRLYRLGFIVGFAIGFVTYLLVNLVW PPASPDLEDDEDHFGTFDDAPTEDVERQQVGTDEEKEDAGEKGSSASVQVLGA L198_06638 MPIAIPPPQSEDDSVLRLISLSECANDEALAKQLLAAANSDGFF YLQDHGIPQALIDGAFGASSSFFLGAPEGEKVAGNGDMGYTAPRVTDTLHSLSWFMPS SGDYKESFFVTDSSYMSAHNLHQQLPPTLEEKRELLDQFVKACGETAKRILRGLAVAL GWDREALVKYHNGQHNRLRLLHYPPVSSDHQPADPNVPDIRAGAHTDLGSLTLLFQHH ISGLQVSRHGQWLDVAPREGCVVVNIGDALEFWSGARLRSTIHRVVMPRTQAEQASRF SIAYFIQPDDETVLEPLGHSNFSEEEFGNIIESKGVPRDTKRVLGGEYKAMRVKRAQR ATR L198_06639 MRNVLFKPGPIDSTKDTARSKKRRIKCDTQIPCKECTARGLAHL CRKETVIVKGRIVSDGDDKGDLDERLKVMSKEELWGQVQGLMKENEGLKMMGTGASGA KIHPSSENRSQSKHAPQNDDLSNMARRLGFLHESSCLSIDPPNIDNKIDDCVTFPSGL QLPSQETSYHLLSYVFTHLGWLLCPIPSSFQDQASFIFSPDSPSPFSHLHTAKSLAEA RPSWSVLSFALISVALVYAPAELLEGLGIGVEQRTFFARLWFRAAVSTMLDGGSCLVN PSMIQLQTFCVLTLLFQPFDYMALHDTLLASMIQVARMLGLHLLKDSTMERTASSEIG RRVWAFLLAREVHYTGESYCPSVSREEQEPFASFLNISEPDTIPLIPLPLSHPTRVSY LLAGSRMTALTRDIKRLPPDADYAEVLKMDERIRDMNKDMEWLEKDDASHPAWVATAR HVLFLKTCQRRLYLHRHYFSLTATHPQYAPSRLMSLQAASDICLERFLHDLPFDDKLD TINGVLPAAIVLLLDAIYPLKGERLTFGFVIQRCEEVERLLAPIRFSTYRSSTQTINI TLKSVDRLLADTLQAAQAAEIVRASWTQLVLQPGGDTFGDLLDGLSFGSLLDSFEDMG PVGSA L198_06640 MSSKLPFAASIGSPTSATRLLYVSPTSNNSANLAKASHLLQLIP SDVRIDFYNAPESAPKSIDGSLDGVVSAAIVLRDLGLDRQDADGDGEGEEKKELGTYG AIIIGCFSAHPLVPALKEALPHPCPAIISLFDVAVYSALQLGPTFGIVTTGKQWEPLF DEAIRAMGVAASRYTGTVGCGHNAVNLQGNLDAMISAGEQLVERGAAVLILGCGMSDR LIGHVYDAGRF L198_06641 MAGWANVSRRSHNMVMQRALSSAQILKGLQDDVASIKTRRESIL KDIEQMALEDREDAEKAAREAQAIADADAEKEDPEETELSEGEDEDE L198_06642 MPLTTAAPNPGVNFIGEKTVTADEVNGFRLSQDDIDAARNVRPS AIRGKWLTWMVTFVAGTGFTLFGYDQGVMSGLLTLESFENQFPETAGGFEGSTTATLQ SLLVAIYEIGCMVGALSNIWVGDRLGRRHTIALGGFIMLIGSILQTAAVNYAMMLVAR VITGLGNGLLTSTVPAYQSECSKAHRRGQLVLAEGSLVTFGIMISYWVDLGFFYTTGS ISWRFPIALQLVFIIVMIVCMYCFRLPESPRWLAAKGKYPEALAVLAALDNTSVDDPA VITIFHGITDAIAAEQVGEFSFKEIFSGGKTQNFRRTMLGVVAQCYQQICGINLITYY LTSVLSGLGLSDEMSRVISGVNGTCYFLTSLIAIAIIERAGRRSLMLWMALAQCATMA VLAGIYNKAQDQNKAAQVVSVLMLFLFNTWFSIGFLGITWLYPAEVTPLRIRAPANAL STASNWIFNFMVVMATGPMFANIGLGTYAFFAAMNGVVVFPGLYFFFPETKRYSLEDL DIIFAKAYVEGLDPVKVSLHPETVPKAGSREAEEILGRNVDGRVKSRKGHKGGQEQEH FEVGNGEKDRPSHHENV L198_06643 MPLTTAAPNPGANFIGESLGDSKIHEGNGYRLTEDDYQTARDVA PSRIKGNWLTWMVTFVAGTGFTLFGYDQGVMSGLLTLDSFEQQFPETAGGFEGSTTAT LQSLLVAIYEIGCMAGALSNIWVGDRLGRRHTIALGGFIMLIGSILQTAAIDYAMMLV ARVITGIGNGLLTSTVPAYQSECSKAYRRGQLVLAEGSLITFGVMVSYWVDLGFFYTT GSISWRFPIAFQLVFIIIMIVCLYAFRLPESPRWLAAKGKFPQALAVLAALDNTSVTD PAVVTVFHGITDAIAAEHVGEFSFKEIFKGGKTQNFRRTMLGVVAQCYQQICGINLIT YYLTSVLSGLGLSDEMSRVISGVNGTCYFLTSLIAIAIIERAGRRSLMLWMALAQCAT MAVLAGLYNKAQDQNKAAQVVSVLMLFLFNTWFSIGFLGITWLYPAEVTPLRIRAPAN ALSTASNWIFNFMVVMATGPMFANIGWGTYAFFAAMNGIIMFPGLYFFFPETKRYSLE DLDIIFAKAYVEGLDPVKVSLHPESVPKAGSREAEEILGRNVDSQKDGGNGARQRFDG KEKGEKGRIEHHEFV L198_06644 MPELPEVERARKLIQETCVGYTIAEVDSVEDKIVYTAGTDHEEF ANEIKGRTITGCERKGKTFWMTLSGDGRYPVMHFGMTGMIQLKGQEPTWYRRRPKESA DVWPPKFYKFVLKLQPQEGSVASEALELAFIDARRLGRLRLVPSPVTDHPPVSLLGFD PILSHPSFEDFQELLKKKKGTIKGVIMDQAFSAGVGNWVADEVLFQSRIHPSTPVPLL TPDQILALHDQLHEVCQTAVDVNADSKSFPEGWLFRWRWGKGAAKKKKGKKAKEQDEE GEEDVEPAGKSFLALPDGTPATINFIEVGGRTTALVEEVQKMPEGVEIRPKIIKGSKK KVKEVEEEEDADSEDDDEEKPEKRARSTTKIQRTVAAKKRKAPDVDVTEEEEEEEKPA QKEDFEEGCQAPESNDQGTINETLKSLGAQTTFAKVSRII L198_06645 MNEDHSTPNQPKQSIVHPIQLLPTATNQNPPASQGPNTMDRDTS LPQAPPHATTVLEEGLFQNERLEKWANIKVGQLLKVEHPEPLSLNGNRTPYYSLMNRV HEGSYDPNVKAILFDGRPAPEVSVRKDLPKHLADLEEQTFPPWVSVECSSGGGWSEGW LPYTRPSQYTRSFAANLLHLAAQELKYRKDIGKTVDLINGKKSDEPATVSFEQSTNAT GGISTPLPPRSRSSSEVSSMFKAPEEHCVFYTFALRTHLHYKDSIERHTVYERALLVA DFKRLQVDPELEVTDSEYEAKVRYEKGLSHIIKQLHRAWERFGTVGLFALGPFFLGNI AVECKPPAGVGPECFDWDVNNHHSPAAFFEKFDRHTLPHTFLLPYSTNHELAKQLGVR APLPLLNLEGLQMFEHVIMRTYASVPEMSIEEALGKRADSSHPSTAQPQPGDRSPIQW YLSARWDVSPMVEEQDVKDLLEAFNAHVSGGGAGQHEGEIPETGLRGGLESEETQKIA GGARRESVEQWLCSHSLCTRSIPFPTFRKSDTIACCLNYYRGF L198_06646 MPAFSSILGSTDSIQRRFDQFARTAVTYYVLRYVFLDGFRHVRA RGLIGSANEVADSVKSIVVKIMLALPKNKAKLNSELGRTRLELKEKLAPTEYPEGISL TPVRTLPDQGRGKEWLDSEFSNMKKLERGDVDEGRVSGAVYHGGDDLNEIINQAMAKF VVTNPLHPDVFPGLRKMESEIVSMVLNLFNGPDGAGTTTSGGTESILMSVKTHRDWAR DVKGITRPEMVVPASAHAAFWKASEYFKIKLHVIPVDPQTRRANVKAIRRAINPNTIM IVGSAPNFPDGAIDPIEELGKLALRKNVGLHVDCCLGSFIMPFMEKAGFGGDLPLFDF RVPGVTAISCDVHKYAFCPKGTSVIMYRSADLRRYQYYVFTDWLGGVYASPSMAGSRP GSVLAGAWAVLNYVGSDGYTQSCHQIITAARQFKTAIQTTLGDDLYVLGDPLGSVVAF NSKELNIYAIGDAMSKKGWHLSALGGEGGLHMAFTRLTAKSVDTLIKQLHETIEQVKT APKGKDGDMVALYGLGQTSAGPHVVGKLAESFLDTLYL L198_06647 MTRADHTQDPALNSLRRRLAHRPDLLLAIAKRHSTNPLLHPPPA PLDPSDALPATSPSVRITIPQGSPTYDALGLTLKTKQREVQVDIPLWHTAKTPREVGQ SVEKMGEDALGYYGIPENPKVTSYTTPLHAYILPLIPILLNIFLFYAPSSNHYANIGR SLVHQSIGPNAIRYGILFFGSFHFIIEPLLLFRGLWRYRVPLIPSLLYLSTVVIIGFG GIDALRRAVIQERIRLLRSASPIDAKDRPLPTSAHAHVDGNEFALYNIPSHPKITHYN PPAPLPTLILLPLSLLLFLHFAPSSPTYATYADTGRALVERYLGPRVVEYNVLFAGAC HLIIEPLLILPKLIKHTVPLSTSFLYIMTVVVIGYGGIQAFDRAVYEERVRLLRAVPV PVPKKDQ L198_06648 MSDGDIDQLARGEDYERERLDNIRERDALLLSLGLETKPQPAPR TSTPVKRPTLSKEEKQRRKASKMKELAVRREQPTRKSRRVEEREDGKGREGRDRRRTP SPGPRYDPLPKAIAPTLAPGPDYAEAEGETYYERAPRPTRGQDGRLEFEGRFKGVFAP NLTPEEMFAGGAFGGGFFRDVYSRVAHRQLSSTESTASLPFSPSSSALPPHLYPRLLT NPTPQASVNRFKVKAGQSLQEWEEAGWIWAGDPRGWAEWYVRFWEGRRGGDDGRQVNR WLKVAGPTGRFKRALLKKLLQAGAGAGGNGSGREMVEVVEDEDVGRVLRQCLWQWGYV LDGGEFERALGGS L198_06649 MSSLAIPHRLKTFFSGSSLETSPPESKDWNVLQVYGWDRVDSTA RFDAFDPASRLGSRKATLVKNDEWRILLEDATGNAGATTAPNSASIDEFNETKQHVLS DPTFASRVTDLRNVIDVLSQGDNPSAKNGFTYWDGEYRVDGLRSGFQDGQQQGDRTQW TIRAKRNTRGAIFFNGPTLITERLEKIRKKGVLVNGNTEPIEGVDIRDYGVDNSTRSF VESATTNLDIRSFFDQQDSGRVVEGQSNSGATGWHIQKGFDHSYLGFEGEHAFALRKP EKATKGKALSRRMLGRHPEDGNVEALTGAAQLLDAAPDSAKGDCSFSYHTPSGYQATI GRRQRFMSGVEWLVSLGKVEEDSQDSETS L198_06650 MSSLHDTLEAIRRVGVFPEGAEEIPGVAVQPGFAVQPGVAVHDF GAVDNIRPPREMGEASRDIRSLFEKGSGQDITRSPLGSKAWDIDVNYSQNCLAVSSEY TCGMTKSHKPSVAPLGVDPSSTKSPGKLPEDGYIEAIAAAAQLLEAGPESAMKDSLFS YYTPSGHHASIGKRKNHMRGADWLISVGKSKVSEELP L198_06651 MARPIRPAPQAQPDTPGAGPSTSLRTSARTHRVSASASAVSVAS PATPGPPTPESDPGKGGGSLRKTLAVEAKTHGTRRAGNAVERRPCPFPSQWGGRNKCC ISQEDEADEVLMGVFSSIASLDNRALSPEEIASTCFQQGWLRPPSAAIEPTNVINNAI RSYIKRCEKAKRHCLLQKQHLNGSVAEQVLESALHPAAFENGERPKGPVWFLQSGPGA AKTKWKSPFEGMEIPKPPPKKPAPRKSDGKKAKQGKDKSLAPVKIRLVLGGAAVGDDE TGSERTSKSRSRSVSVGVGKENALDRAGLMLPPPPRVQTKRVDRSASWKARDVVDSSS DSDSSDSDMEGYPKNRLRPSRPTRRGLPAPLALNGSPRTYGNNRLPTGSPFNDLFFPA PAHPMSPGTLAASSFPSHPLDNTVWMDRKSPKIETSAASDEEVVDPEWGMWSDIIFKE EMEEENKAAWSVEDEAKVKEATDALRALFPLETQDDEMLSDDREFDLAKTVDRPNGTT SPSLSEPASIATSGTARTGLRGKLKAVDAGGLALNSWIVNFSPVASPRVRPSRTLAHS QPHDFSPTQHFSKLRGQFDQDESMEVDDDSAWLDESGELPVKAEDSFSDVDIGSTIDD VASPEHDRHLHTALWAQEASSTIRVKSEPEDFPTPSSIEDEPMPCSRASLTPSYDSSD SPDYDEYGVGYNVDEMILGPESVSVDEIDGWLPASQHRTPHRTRAGKGKKVQAKGKED CAKWGSWGGIGVCSSGKRSSRSSASTATRRRKSKTCFERLITPESDIGADCEVEVDDA IGTEDFDKARAEADAKEEKHRKASKEKAERHKAMLEAYRETVKRELPEGDVTPSPWED PQSTVQWGSSSTDSLQTPGVLSPMVLHSVSNLSLSNPGESSTGVDPKALVSPPMGGIF GQGLGAASLDAGLEVGMLDAALSQQEVDAIMAAPVPETPVAPVATPANPPRPATASVA TSSVTVQPTPITVVSTSAPSEKTTAVVALPTAIPSSAPSAPAKSPIPLAPTAKPASAA TASAAKATSPTTQAAAPRPINQPAASVPPSRSSTPGTTSSTSSTSTGARSGGKIATIT KPLCPGVDACVVDNIPVYAHLFDGRNGSGKQVLLRRLDTDFVNANALLHALSVPAGKH AEYLDNPISQARASARHLVHPSAAGVEYSHGVSGVWVHLSEAREFARRVKLPEESLLA SVLREDLFQLFATLAGLKPDHPPSESFGLPFVPRRHTPPAPPTSKSTPNLAALSTSAP NSNSHLRPSTNNNSAPATNPTSPSSAASAGSGALGPKGALVRQADGAAPECPNPKRRR ATISSPLSKKPQGLTSTPAPTPATAPASAAKHGQGQGKGQGQGTKTAQVGVAASMPKR ATRASIGGAVNKTAVAK L198_06652 MTSVLSSAANTAHSAFASLSSVAQTKAGDTVPNVEIKIDSPDGK LDLSELTGKNVVVTVPGAFSGVCSNQIPSYIAQYDAFKAKGVKDIYVVAVNDIFVVNA WKDKLEEGKASGIKYAADDSAKLAASLGLVLDAAPIFGGPRLKRGALIIEDGKVQHVA VEDSPGDITVSHADSVLKAL L198_06653 MTFLTPHLPFPHSAPLPPPGSHLIISDTLNSPAYFALYHLLAAA LGTKEEKRKVVWVDLRGEGRGSLETVMKKIGTPLPPATSPQFIHLAPSSLPHIIPVSP STPRIFDKEGKPSLREVYDAVVLHSGEGEGEGEGVVVILDGLGGLVDMGMGVDEVLRF VRAVYSRVRQSKSILTTTLHTDSLPLPSPTPYHPGPDASLLEGLTRVGKGCWWRTSHL ASGRSGDVMGEISVHPFGDLQGGEWMEVGRGKPVQYRLETGSVKVFAKGTGRGFL L198_06654 MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVSV TIGDDPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPEIQ HHCPGVPCLIVGTQVDLRDDPVNIEKLQRQRMKPISVDMGERLARELGAVKYVECSAL TQKGLKNVFDEAIVAALEPPMATKKKSKKCLIL L198_06655 MDDPPPDEPQPTTPTPPSWRRRMSGPHIPIPSFRPNIPHLRAAL LGYIGELEVALRSKLGDHQQEQLRAASLTPSMSTEEWAASENDEPNAFTSALAGPSSG IRQRNAISGDAPPLSPTTSDGYINLLNNLYTLREEASNFLPTLPSVPHVPMPLSIPNR EWLRSLPLRLSLVDPGLTSPKGKLRDDLGDSHSVEGARQRMMEVARALLPSEDWAGWE RLGWEEQDDSERPAHRRTRSASAERSRPRRQLEDDDDDEPEYLFPNRTPASTRALARR RAVRSKSVGATSLPPLNLREKLQRSKSEVYAGKVGAVSEPGSGDEDEDDIGDHEAAED ILDETELEDTDGLPLAEGLMLGPTVAEALKRAEGGQKLITFEDLPPVWRNNEHIWTGY RFIPLHLKTGPVPLLKSAFWWHNETINIHSHSIPTLFIFCFIPLIIYRSPLPDPHFLD TAVIVLYLLAASSCMSSSAGWHILSGCASKKWFEWGACVDYIGISWLIAASFGTVVYN GFYCSPKATIVYCSTNLFCGALGSYLPFQRWFNERRNKHLRISFFLFLCFSMFAPIVH MFFKHGWHKASSFIAPFTYSIAAYVTGLIFYAFHFPECKWPGKFDTFGASHQMWHAGI VIAIILHYRAIFIVHDIKHEYSCALPGNEVPLGLALERMIGWA L198_06656 MAEPPYSQGLGISLPNSPSRHPPSPLRHSTLGSPSKHADYPSPS PGKLQPKLRVRTEDLGRAAPPAFLLRPTHTKSGSGGGGGGGASLRTTGMGTKPMGPRR ASAAQLKEAPSPRVDNHQPTPLQEHSQNPEPPAQAQQTHYLSPSRQPSVASNFTSSSR QSKQSRKMRSFEMGKKVSRHGTEGGKYAKLAGEEQEEPLGEENQAEAETITPRRLPPT QDLVEPTSPPPNPRSPASTAHHFLPSHHSSQDSGETHYSFPTPTSFPTPPNHQPRTST SAPNGFMPQNHYSPGPYPQFEHPPPPQEHMPRSQSQPMQIYSVPEFFDPTYTSRPRAF DDADFPPRRAPNNGQAYEGHSRSKSVEVGRECEDEDLRLRPWSESTAPHGPQMLGRRG RSLSDGAELLARQGTLLHPASSNQRSSAELGLLLGGPKSRRLSRDKLLPPPPNEASTP TKKVKLEASKKGKARVEVDVVLERECVVEGGEVRGRMEVRISGGKGREGLRVGSGKVR VVGFEEISPSNRHIFYHHPHDLPIFDPALQSELSSSLFASGPDTDGYHLAAQGTHSVP FRMRLPLGAGAKGTYTHPTGKGACVRYVVVGSIKIHIPQNGKRSIAHFYRPIVVMPYL NPAVVLAPSSSPIEMYVERGLGWSLKGERGRVELRVGLGRKIWVSGQRLWCEVGIRND SNKKIKSMSLAILQDVKVFGLKSPNLMTKRKSLSSLNSDASADSAGIITERRKVCEEL TEADFTSHGAGRVTGKGWWTGVEPGESDHWDMSLQVPAGMLSIRRSRLVDVSYTLRVT LNNTIYVDVPIQLISFLSIDPPPMPNDEARPWAPMVPTVALAASDTRSPQFPQTEAQQ AASPGALTSEYDHGPAARPSSTTLHVENFLQPPNAQARTLASPLEPHQPPPNRTQSPA SSYTESRDHSRSQSALASEPPVLPGLLNIPSSSRSDVNSFEGMDFEDEDDDGIGNTVT RATRRAQGRQMSLAVIQAEAEHEERLRAGQEEISQDEVVEEDFTEYSGSECTRELDYD DDRAPLPIVEEGESTVDLGAYTHDHQPAAPQHEDEWDFGEDEEAAETPRPQYEPESGH ETLLEAMVDEDRQELEEVMSMSGHGDRESHAWDYEDEMGSEGEEDGRGETPRPKEHSR SPNRFRLSAYKPSTPFEIDIPSDSDDSHPSAIFPAKPAGEMPVKEELETTQVQEAVRR PIVRVPSQLISVGGDSRRPSLATSAVSDSRRPSLAKSPSTHSLRAKAGRGTLGERLEN VVNKADIASSPRRASVMSRKESLTSLTGKPGPTSPAMEGPAAKVLQKKNSFSFATPGS PLRARVKVAPSPVVSPTKTLAKLPLTTSPAKAPVRLPSVSSPTKTSARLPSGTSPTKV SYMAKGMERGMSGTPIRSQSTISSQPPPLQSSMMSDSASSDGRYLESPPSDSAAAMGY QTFSPLISTAGSTHHEWGGMHELGSPFDSKYARVHQDSVMSRLSLSRPRSPRNLEPIN IPHDDDSSIRSRPMTPSTPSSCHSVLPSVKNKIAQLESRDEALRKFSVAGSAMLAPMG SPRKGVDGARQRKSYTAALAPRGERSASEAYRNPLPRQVSGSTVRTTNSTSSQYSYEY DPLYAPESPAYSTPTTPKRGSRLPESPSKVSLAGARLPASPTSSPASYRSAVYGAGAG ADVSTYPSYASAPAKLDPFGIGYSSAGIGLGSVEEDREREGLEREGSMKSTSTTATDV ERAFRWRDYGGQREGESLESLR L198_06657 MPAPPITLHATPPLAPLPASDAESLYYIALFQLAAPGRYGVETG DWGQNGGKLPYVTHLGHKVPSAYLPNLPGFQDPDAHLSAEEKTDALSWKSYLDANIVD LVNHTYYSLPPNYPQTIAKAQLATLSFPQTLYIPQRIRSVIKSRLTFVGLWGLGGLND GDAVEEDQRILSEKFITGPGGTVAPRAWTGWRSGQEAEKRRRQWGEQQLDTRIKAAFD PLARRLEGKNYFFGDMQVLLSLFIHPHPFLYLSHSPTTPDLHLFSLLTFLLTPSLPNP LLPTLLLTTYPSLSAYHDRLLTHLSLDWASFPLLRPRPRGVKAASWGETLSSFIPGPS TSASTPNKKEKEEKKEKTSKEKSFERGRWLWFAGAAVSMVSYLFWSGIVAYGEFDDEE GEWIEVEEEVRS L198_06658 MSDTSQWAHPIPSLPALFTHHSSSLLRPFARFSISLFRSTPSLP NPAPLEPLSALAAATAHPPKRPAIKAVIALQSFATQFREAVFARKLKKEEDYVQQRLY QLVRGYAAAGNVLARLLWGEEATGEDPDVADYEAALKQCVEGFFIARSLLRPALSSAY DPHILGAIFSFPAPYRHSFLTPILQHARRLTATLQTHPTRPIAGKAKSPSPQIDIAKV STADITYVVALLETIIAAVAAIPEIDEIVSEEVGVPAKMTKKKEKELQREKENKPLRV KLEVMLAGCDLVLSLANKRIGEVKARAASKIGRDEEDEEDDVKPVLEGVEEEAAVAWE GIAEKGLIDSLDLALALAEEGRVNVLANDVQAIDWFGQDIEPTPENVPLPPSPTTSSH SQDPGTKAEIDPPSDSSSDSEFDDDDDDPDEDPSDNSSHKCPLRSLFALHDRYEEQRL AVWLTLDVGRRGKMTAWMRGEGGKVGEKWDAFGVRVIREFDGETLVSLGLAPDKLDKW TDLAADQNTKKGRKKARKSA L198_06659 MSGDDAELIKSLNHLDVKDVQDTDASRTHEDVDRRVLSSSFTPG SEAADSAGADRLTEVTQDVVSSPSERSPDPLPSSIHPDFSIQTNDDFLLVTDDDVGFY VNRAFLLEHSDFFRDFEEMESDIRQDDDAGKGRTQAVRRDMPGALSNGLRVMLLKVKE TKSSLDLYDYKRKVFRSQELWEYEYDLEDLALAFRIANQYGFTRFSAQARLSIPKTSI WFQYLYAAFEADETVAKAFARSTLLSDLTELCPRPLLPVLDKHHAPYAALLRNIHRRN PFSFYKKTYSLLSCSTANYDFLKKSQADFKDKRLVECGGEECSCKKSGFWWATASKSG GKALRSNLASWPGRDDDTLWSEIDMSIRRSVDCLDCRDSLLQFFKPLWDDLAALWQ L198_06660 MINNSRRSKSQITRATPSNSSTNPFPHIHPPPQGRVPIYDPQDI YGAYPQPAYHPYQVPYPPQYQGQQGAIHPPRHDRNRPQGPPVPLEPPREETPTYPVIS TSMFSTSLNAAQPPPPPAGPVSNNNPGETYLSGFQVDYPRVEAGRAVRRPRVVVEEDE KDEGSGVRKVLVGRRKVVVKPGVGLDGLDGDDVGEVFPPPAEAYIILRPHRVPRELWD DGVFGDFSDAEDCPSRGKALGLQAQPRGFPALNAPLIVQLTVYDSQGHVVPVDSPVLS RRLVHTIMVVDLVSPDGKEQRSSIKLKPNNDLLNSSSPESSPAPPIYRRNLLGATVRA SSVLSRGGGRHPERGIFFVFSELVVRNFGVFALRMRVADLAGPAHLGTSIGVTRTLVE GLTPPFEVL L198_06661 MQRPDAPLHRLPLPPELALEIFDTYTAITLPGTPEFLTLLTLSR YHYALNIRRLYWDVKLKESMADRFVDMWRRWAFHGVRLVLAAQRRSMGNLDEDEILKN LLCPIKCNSTDFNINTLTFENAICFAKISELFKNPGPDSWYTWDRHPSLPYSLRLLAE AKTVVFEEQTLGGGEVKVGVRYGPSNGYNRYGAERLVIVMPSRPFHCISPLALNWLAE LGERLLRDVKHVTLEYKTAVVGEGATLFKKRKHRMEQIDQVESKIKSWDDPSLQITVV EPSES L198_06662 MSHLPTPPSTTPHSPPTSSTSSSSSSSSTSSSASRRRQEREEEA AKHAQEAWSASSSFHSVFGKKGMNLSIGSVSALEGSWRDGAPQAQRESTAIGRGEEAP VILGKEQEKKGLGVDETGDDGDCLGRVDLEGSKGISQSMAKDESRELLAIQVLARMMD SSKGRDKVLKMSPPPNTPPPPIRPLVPRPSLGARIWFLTTLIGLYKLHTQTIPALPLP LPLPLPLPPVPKSKSAPAVPERLLSPQDIANQREEERKREKERGDARWTGRKLVADLC FVCELLPPPPPPSSSSSSSSSDDDDLSSIFCWWLGLEKVKEEGADG L198_06663 MKLSTISLLLLPTLALAAPSENGALEKEGERAVGDSTSVVSLND DSSTLSSLVVFNPSSSAAAAAASASGSGAGSSAAASSAAESAKTITSGESLAFTPGAS STSTLSFIGNVSTATGAGAGAGATSAQGSGSRVAAAAGTTTATGATGSARSSSGAVVG RGMAWGWGGVVGVCVAGLMLF L198_06664 MPKVPSSRSQSFSDHKLNIANPPALSITCAPCRSKKIKCDSIKP TCTNCLKNPERCYYPAKLKPGLRPGTGLEMMKRVEMLEEKMELYGSQLAEHESRLSSM PYSVAGPSFSYDNNTPLSDPNQQAQQHQQDRLEQAYTTGISPGMSSRGQMPPPLHAIS ANLPPSSQPFAYPPNPSPSSAGGPVYDRQSFALSSASPHVNNSVASPGSFLDPQILPP DDIVRDLITLFFTHIHPWCPILSASPSDFQPPWGIVHHAIVVVSLRFSRDPRITTSKS AIKQQAKQHVLAHAVESTSVASLQALALLAVDLIGSDQGPSSWGILALLTRSAVHLGL SREDDPPPPPPSSSTASTSSTAHALSRTSILPPPGSWQEDESRRRLFWWVFVLDRYVC CSTGWEFAVPDGDVGRRMPCPEAVWTQPEWHQTPTFASPLSSLSISSSSHHHPLTPLP LTSVSPLAYLVEALDLLGRAHTLQGEKINLGDRGEVEGRRGMALGVTRVVRAWWEVRD VAGIGDVGMRLMIQAIHHATLLKLNASHAYPALLPPTRSAQEPFLSTCLNSARAMARL VEEGREAGWERGGALFVWGCWVAARVLFVHAFLNNQKTLDDDFEVIVGALKEQAEYWG LATQYVKLLERAKRKMLNSRQSHPPTFSSTTNSYPHTSHSKHAPKGPEGPEGHSSALP DAIHVLLDLRRTAYGAVGTNIQETPHTTPPPEGLEGPEGGLGFVPGYEAGHGHGHGHG MHDVAMGAGSGSGSDAGTGRGMGQAQAQGGQGQGMQGVEGMDLGLDMVPAWAVQPGLE DLYSWFDLPAGLFHGEGM L198_06665 MSPLEKPHTTLDSAEKDLEITHAEHPLHHDGPEHLHSTEDIRND LSKGAVVQMRSKYADLGFVDSIKRFKLLGGVAMAAAFSASLDGYQINLNGGIVSNAGF IRQMASPGTTVIKGSYVSAWGGVQSAGQTLGQIFLQYATEALGRKMALYILWVFLVAS IFAETFASHWWHWLLAKLFSGIGVGMLQATMPVYLSEISPTQVRGFFINAYSLWFCLG QLFASVALNELKAMDPYNYKIAIYSQWPMVAIMGVIFVLLPESPWWLASKGKLDKAAK MLERYQGHLEGFNVADEVAIMTATLEESKLIAQRTGQQGTWAVFKGSNLFRLFIASWP KMIQQFVGLTVFNTYATYFFQLAGNSNPFLVTVILSCVQLLSMLITCSLTDNLGRRPL TVYPYAVTIFFACLATFSTTGASSIGYAYAAEVPTQRLRAQTAGWGLALSNMIAIMFS FCTPLMLNGDAHWNVKTGFFFAGTGSVATVVGWFILPEVARRTPAELDEMFEKKVNPR KFKGYVTEVEMQSREVEGEGVRNEL L198_06666 MVSPQEQQTINALQQNWVWLPNWIDSPTPPSTSAARLVSFRRSL SLTSIPTSAILHFSADTRYKLFINGQRVAVGPTKGHAAIWYYDTLDIAPYVREGENEL EFRVIRYFAGSRGGMPFERTAFPGLTVVGSVGGTDVRSKEGWVGYVDEETEFPTGLVD DVFLHINERTSPPPAPEPQTPVAYGFKLVNGELPPWHLLPRPIPLPESTPVSVQTIHA CNSNKASSEWTSFLDGSSSLTLPGESEHELDIQADVHSTAFLRLSLVAPEDTKVKLKI NYSEGYELDPRQYPWLRTKGDRLDPSGHLLGPYDEVELELSAGKELVWEPFWFRTFML LRFHITTSSSPITLLSLKADQVNYPMNIKASWKEDGIEGKEREKMWEVSERTLRNCMF DGYSDCPFYEQLQYSGDSRSVALFHYLLSGDDRLMRQAITNFSTSTTSEGLTQSRFPS HVPQVIAAFSLYWVLTVADHHLWFGDTPFARGFLPKVDGVLEYFERHVDGRGLVGGIE EDVWQYCDWVTTWSATAEHPDKGVPTSGRQSNRHTYLSLLYVYVLQEAAKLLRDVGRP GNAGEYEERAERVRAAVNQHCFDGEFYTDSTADIADDLAYSQHCQIFAILSNTCPPSS AVSLLSRTFDNPKRHFSKCSYVMIFYALRAHAQAGPESYERYWKEVWGPWKKMLGSNL TTWEEDDVRQRSDCHAWGAVPVWEFCTELAGVTSVAPGCQDIRWEPRVGLSEGLEAKV CLGKGNVARVIFRTEGEGRVVRLELEKAVKVRSVIEGVEREHGIVKELEFRVAV L198_06667 MSAPTFRRIVTSHHPSDTTGDNVTYHDDTLPLHPVLPGLHISPL YSSPGLPTYNPHIVSAEHISGVVSNVKGVVLPGGTDAQVTHYAPRASVPLHRTSSVDY NIVVQGSIFLIVPDGQGGEKREEVKAGELVVQTGTLHGWEAGEEGARVVTVVVEARKV EVGGKELEDVDFK L198_06668 MTSKFKHPYQEHEIVEALKVVIKQYLVRYDRMVSLQIQVFAGSG AKKGPRSQTRQSSARDDNNWSSRSYSTLSISDRNGKFGLLFDRDIVVQPFKTPSAVLA AAAGELTPRTEMKEGMEITNHARLAVNYFSHLVKDGEAMIENGQKSMKGEKASQPKGT DLPGASAGSTSTVRG L198_06669 MVHTGASFTSSATRSTGTSGLKGADAICEDQPSCSAERPTSAHN LPSSIHPDYFLQTGDDFLLVTDDDVGFYVDRDFRLCHSECFHDFEKMVSDIGNKDAVG DCINISVVRRDMSGELSKGYTTEGFRYHQPREKIAPRRLLFITQRR L198_06670 MSGDDAELTKSLNHLDLKDAQDTDALRTHDHGDHRVLSSSFTPG SDAAGSAGADRLMEVTRDVVSSPPTDRTPDALPSSIHPDFSVQTSDDFLLVTDDDVGF YVNRDFLLEHSDFFRDFEEMDSDIRQYDDAGKGRTQAVRRDMPGALSNGLRVVLLKVK ETKYWPDRYDRNQKVFRSQRIWEYDHEDLAPAFSISNQYGFTRFSAQARLSIATTSAW FRYLYAAFEADETVAKAIAKSTLLWDLTESCPEPILPVLDKHQASYAALLREIHRRNP FSRYKGPYRLSSSSAANHDFLKKSQAHFKKKRLVECGGACTCKKSGFWWAAASQSGGK ALRRNLELRLGRDDESLWSDIEVSIRLAVDCLDCSDSVIRSFKPLWDDLAALWQ L198_06671 MSIMRNVPRRLLEMSIAINIFLFILIFLPHDSAEGALGQDMEEG RWWKIPKGVLEKLGRKPIEPEHTWEAGVEEQVVEVDVSTSEKSQGGCSLCEMDPALCR ELGKENLEKALSFAGTGMRFRRMLSKLKRGERLVAGVIGGSVSSGHGIDLLPGWKPDQ STNLHRIIFDRIDHLYPAPNGAVTGKNGREEGKNSYVNGAQGASGSQYFSLCYREHLP EDMDVVFVELAINDEVLLSNMDSYEILIRSLLDLPNKPTILTLDVFALMFKTVANGGD LHSGISQYYDLPTISLRNALYEQVLANSSIIPELFSIDRHGELDLRHINLKGHNLLGR MGAAYVDSQVCEMEQYEDTFDRAEGMSLDELYPLAPLPRLRLNQPYDSTTHLPQISPQ CFSTNGLKHPLLPLPSSTLGDNGWHPWERSNKKYLISTTPGARVEFEMHTGLGRVDLN YLRSESFGLGSVRCWVDGREKEGVRLDGYWEKTFNIGQTTTIKDGLSIGKHILTCEHL NETRDPKGGKEFRLISAMR L198_06672 MSIIRNIPRRLLELSIAINIALLIWFLFYRRDTPDGAPAYHVWE RRWGVREAYSKKLVYQHAEKEQWQGEQEQEAGSDLVDRAVVGSGCEMCAMDPVLCQEL GRRNLERSLLFSGTGTRFQRMLSKLKRGERLVAGVLGGSVSKGHGINSLPSYGPDQST NLNRIVFDRLNYLFPAPNGVVNDKSGREEGKNSYINGAQGGVGSDYFSLCYGEHISED VDVVFVDLAINDEALVKNIDSYELLVRSLLDLPNKPAILNLDVFALMFKTVTNGGDMH SGIAQFYDIPTVSLRNALYHQVLANASLIPELFIIKEDGEVDTRHLGVKGHNLLGRIG AAYVDSQVCEMEKYEASFHQPEQLSLDELYPIAPLPRMQLNQKYDNTTNLLQITPQCF SATALHHPLSPIPSSSTLGLSGWHPWAWADKKYLVSTTPGGRVAFELETRLGRIEMHY LRSKVFGLGSVRCWVDGDGDGEEGGGVRLDGYWNEKFNIGRNIAFHPLLLRATTIKTG LSPGKHILTCEHLNETKDPKGGKEFRLISVMR L198_06673 MSGDVAELDKSLNHLDGKDPQDTDASRTHDDGDQVLSSSSTPGS DAAGSAGADTLTEVTRDVVSSPPTDRTPDALPSFTHPDFSIQTNDDFLLVTDDDVGFY VNRDFLLEHSDFFRDFEEMDSDIRQDDDDGKGRTQAVRRDMPGALSNGLRVVLLKVKE TQYWPDRYDKKRRVFRSQRIWEYDHEDLALAFRIANQYGFTRFSAQARLSIPKPSIWF QYLYAAFEADETAAKAIAKSTLYFDLRESCPQPILPVLDKHHAPYAALLREIHRRSPF SRYKDPYFLSPSFDANHDLLEKSQADYKVKRFVECGGEECSCKTSGSWWAIASRSGGK ALRRTLASRFLDHDDDGLWSEIDKSIRLSVDCLDCGDSVIRSIKPLWDELAALWQ L198_06674 MGEHFMPKFVVRGTCTPLDVAAVHRVASGISDHRRVNSFILALS PLNIANTCSSIIAMQRPDAPLHRLPLPPELALEIFDTYTAITLPGTPEFLTLLTLSRY HYALNIRRLYRDVRLKESMADRFVDMWRKWSSQAYQLLTAEPSMGHVMDEDEMVRNLL CPIKCNSTDFNIKTLTFQNAICFTKISDLFNSPGSYWWNLWDRHPSLPHSNRLLHGAK TVVFEEQTLGGGEVRMGSYAMYARRNVYTHYGAERLVIVMPSRPFHCISPLALNWLAG IGKRLPDVKNVTLEYMTAVVGEGATRFKKRKHRMEQIDQVESKIKSWDNSSLQVSVVD PSESYDDV L198_06675 MSTAANPPTETSGAVNGNATDVFKRLHPAQYLSRFLASGYRPDG RSVSAWRDVSINVGSISTAHGSALVRIGDTTMVCGIKGEISEPLTNAPNDGFVVPNID LPALSSPHFKPGPPGDEAQTFSNWLNDLLVSSKTIPQNKLLISPGKAAWVLYIDVVCI NYDGNAFDAAVLAVMAALRNTRLPQARYDDDSGRTICSREETFPLTLGRIPLSASFGI FQSKYILPDPTSFETPLLPTSITIALDEGSQACLVRQEGLGGVEGKGGEGVLNEVWEV AEGRVRELRRILEESAE L198_06676 MIKTRRRNASATDIPDAPASSDPAAIRASIDKLVDEGKKAIALS QWEEAVERYGEALELQQELVGDKDPQMAPLLLSYGRALYELASSQAGVMGREEPTPVA DESGPSGEQNPNFVFSADPASDDEGDDAAGPGPEASGSAPGPSDPSAEAAEGEAADDI GELEDDYNAAWEVLDVARKIYEEIVSTLKEGEGEKERLALADCHQTLGDVSCETEKFP QAVEDFTAALTIKSALLPPSSRAIASLHYQIATALENIPSKRTSALSHVEAAIHAFQL RKAQLSGPTSDQPAEVGKLSEKEKKNELEEVELLIGDLEAKVEELKSTPEAAQEVHES INLLMGQSEGGGVKVDNGPVNDLTGMVKKKKPKAAPARPAPAAAAADAPVVGGEKRAA EGGEEPAAKKAKPDEA L198_06677 MRLPSLALIGTALSAITALAAQDPVQKWSQLAAKSRDGVIKLDS ESYQDLLALDREYSVTVLLTALPAQFKCQPCHNFDPSFSQVADSWARLPRSARDQHFF AKLDFADGQAVYSQLGLTSAPTVMFHPPLAGERRNNKLGVINYDMNRNGLSVQPFYSW AKNLTPQSFDLHVPLNPWPFILIPLALAFTAISLYTLSPILIPLIQSRVVWGTFSIIL ILTFTSGYMWNKIKGAPYVAVAKGGGVSWIAGGYQNQLGLESQVVGGIYGLLAFSIVA LTVFVPSQSSPAKQRIGVYLWLGMLVVVFSLLMKLFKMKNGGYPFSLLF L198_06678 MVSAQRSNSGAPAPSPAQACVLKWGRRGLILALGWVLYSVACSY NHIFYRLDPAELNKTVQSALASASALTPAGSPSNATLIIDTLVHKLAEDHPQIKWRTD WQSRDDWVFNNAGGAMGSMYLLHSSLTEYLIIFGSAIGTEGHSGRHPADDWFHILTGR QHAYEAGALEREVYNPGDVNWMKRGVVKQYGMEPETWALEYARGWIPLMLPFGFADMV FSTMDVLTMFHTVRITGKEMILNLLRGKI L198_06679 MKRPDAPLHRLPLPPELALEIFDTYTAMTLPGTPEFLTLLTLSR YHYALNIRRLYRDLKLKESMADRFADMWRGWIFQAMQLVAEAAERSMEHVVDEDEIVA SLLCPIKFTSAGFNINTLTFQNAICFDKISELVNIPESCRWYMWEHHPSLAPNPIRLL YDAKTVVFEEQTLGGGEVKMGGDAMYARSDGNTHYGAERLVIVMPSRPFHCISPLALN WLAGLGKRLLPHVKHVTLEYRTAVVGEGATLFKKRQHRMEQIDQVESKIKRCVLNERV KKKDGRLTRHV L198_06680 MVSPPPPIPLYPPHLTPSQSNLSLYTVTALLILDSDGQRVLAKY YQPPHQQGQGQGVVQDLGVGQGGPGMGGLGGVKEQKVFERGIWEKIRRGGGEIHPLPP HLLLTRQIVDLTFIIIGPLSTSNELMLFSTLNAFVDSVNLLLRGSVEKRNVLESLDLV MLAADETVDDGVILETDAAAIASRVSRPRPDTTDIVINEQTLMSAYTSIRDRVSQKIQ QF L198_06681 MAAVAQAPSAIPQPSIDSAPRPSSAASNPQKKETAPASSDNKEP AAVTVKEPTPVDEPAEKDAPGKYPHRPPIRPQGVRSRFDQEPNPFEQSFSHPAPDDNT PPRGTDATSTRHNALPPLSSLTSPAAADPTQFPWLANQSLRAGPLSPAMLTGPQGQNQ PNPQRPADESNQPSEGAFEQGSFRTGFTPGTGTGFTPGYNSFINSSLSSLPIPSPNTA AFLNSITNVTPLGEGSEVAQAAQAAAASTTNPMHDHPHPPSAIPPHMQQHAPPHHPAH HSTPPNHPAQPSGVQETITPNTLNALSGVFGDMSRAPPGAHGQPPPGFFPAPIPGQGH PGQPGMPPYVDYAQHNANAASQAANGLFLLSQAHQELSKREEAEGSHSPVGANNTRRG GRAVSQTAPGSIAGQKRKPEAAPATNGNGKAKKGKKGGKAQVVEKETASEDSDDGGSQ GRGGEGGKFETEEDKRKNFLERNRQAALKCRQRKKAWLTELQSKVETLTIDNERLQQT VQQMHEEVGRLTAILMQHRDCGLGMPTGFGGHPGGHGHVGGRLR L198_06682 MAPITKGDLILVTGASGYISSHTAKEFLKQGYKVRGTVRSQEKG EYLRNLFEGLGQFEYAVVEDIAKDGVFDEAVKSVDAVAHLASPFYTANVKDPQELIGP AVKGTTGILKSIQKNNPGIKRVVITSSVASVMSVRSRKTPVIYTEEDWNVDSIKHVEE NGVNSDGGESYRASKTLAEKALWKFIEDEKPTWDAAAINPPLVIGEVIHQCDDPEKLN TSVHYFWEWLTGKKSESDLPAPGANWVDVKDVALAHVRALTVSEAGGNRFIVGAGPFA GQDYVDVLHKRFPDLPNIPVGKPGTHDEIVKDSNVFNGAKATKVLGVEYNTFEDTVVE MTESLQKRFADKF L198_06683 MSSAQTITKGDLVLVTGASGFIASHAVKEFLQQGFRVRGTVRSE EKGQYLTKLFEGLGEFTYVIVKDVNQDGAFDEAVKGVNAVAHLASPFYVFNIKDPQEL IGPAVKGTTGVLESLQKYNPDVKRVVITSSVASILSMDSVKSPTRDYTEKDWNVDSAA HVEKNGLQSSGFHSYLASKTLAEQALWKFIEEKKPSWDAVAINPPFVLGEIIHQCDKP ESLNTSVAAFYEWAFGKKTEDDLPAPMGNWVDVKDVALAHVRALTVPEAGGNRFIVGA GALAAQDFVDGIHKNFPDVKNVPVGTPGKHDEICKVLDIFDGSKAEKVLGIKYTTLND TIIQMFESLRKRFSTI L198_06684 MTFDVSTDTPGGHAPQEHSLDPSPLSLSALPSEIHSLVFSFYIS TTHPGSKAFTNLLCLSRAIHDENINRVYDKIVLTKDKSQTGLRSHTKAEGRATGTGIS VRTWLGREANLDRKRGVTFVDAYALLDTARFLIEHGWARWQRSLCAQEEYVKSPQCLF QDLHHVTYPASLFDGTPVSRVAWQTYHDRSVNARHVCIELPAVLLPKTAADALRSSIV YQTPNPVTLTVHNCSSPYDIPLVDVITSNIRVGIFLQSPRGIGLRPEDVWAVEQSQED ELYEYFENVFSKIALVYPNFVVHHSRSSNFVYHLVEEAVGEAGEVGLDLITQDTYDMI LDEKKLLVYSNEECVAHEAVECLCGLKVYRSEAIEDSDVDE L198_06685 MSTEDSPLSAPAPTVLGELATELEERPWALASENDESVRAKSLK AAKALFDLSVKCEQLSHPHIQPFLVSILEPPSIETRSKSQSNSAQADKTPMDPNETFP YTPLDLLTVEGFDPDQIWAQLELKNEGLVPVLSKMGEQEEVPKGIEELSDASSDDMTL EEFREMLKEAGYEGIDEMSDDEVEELKEEMEGDDGEDGKMSLDEFREMYREAGEDVDS MTEEELRERMEEMEGGSDSDSDSEESGSEDDEEESDSEEGEEGEDEDEEMLSGEDNEL SASASGSASEEEDNEEAGLSASDDEDDSSTLLSGSAPSSSTPGPSKARKSKSKHPTLD DDFFSIDDFNRQTEELEAGRLTSGGLGGDDEEEEEELQDFGSLMLDGAGDDDEITYAD FFEPPKGGAKKSSSSGSKEKKGKGKRSKKPKYDEDEDMDEDEEEEEEVDDDEEAFGVM GRVKGDLFDSDDEGEVEEQVLSAHEKRQKALALQIAELEDQAVGPKEWTLLGEASSKA RPENSLLEEDLDFEQVGKVVPVVTEDSVKSMEELIKQRILDNNFDSPVRVRAYEPTPF LPSRFFDLQDTQSAKSLAQIYEEDYQAAASGEKVKDARDEKLNKAHEEIDGLWNDICY KLDALSSLNFVPKAPKANISTISDLPTTSMESALPPTSAASTMLAPQELFAAPTSSSL VARSELTSEDAQALRTKHRRHKKADRKKLGEMEELYGKKKQSVKEQKEEALKGLVKSG KGVTIVGKGGKEQGKAMKRGGEGQGEQQDGKRLKL L198_06686 MTLHPARSHHTHRNTRHRSVSRSSSSPSPPPPSSLSVHGHPPRT STPLHNSREKRKSFNSPRSSATGSVGHNHGHSHNSHRTRAPSRSPLPPSYNPPSDLPS SPLASHPTLEDQQDHETTDVGFSTQYGASASSVAGAGSGSEREYLRGDETEVERASRV VWKKQIEEPVDRTPTPLGSARTSNSARRQNSAPPSPSPYTLLPFMIGLNHVIAFNLGG KTRRIGLSISMTRRKCENLLVLSAVCWGIWVLGYRWGEQAIAGEIGLLVGLTILYSAL RFRPTPARVLSTYLPPTRPLSPSPLPPSTWGSTYPARERSARSSVGHTSLFLAGGHAG YAGYSGAGGGGQTSPALPKDDPRARMLLPDEDGYIGIGHKGSIWGTEEREYRDCLDDG IYYALLLGPLVASALLHASLSQLFSYPSSPLPYGNWKIENPLVLPTTPLRRDVDPTRG PIETIRALSALATSRRNLVQLFTLCSFVLLVHLARSLHLEIKQMRLLCPPPNDPSVSE LGEPPGIPSGHGGGGGGSGSIGGRFSAQQAGFGTFWLRKGEWRRTGSVVGFSGLVSAG CLGVKAVTAYVGRGVWSDMSPSDIVIATLFYQFSIYVCVRLARKGLTLGELASVCSAA TAMFMEVVNLTRMKIAIFRTPYIKTYRLPTPLLTFQLALIPGSLLAGFLLSPLLYLSR NLAQKPAHRLRLPHEKPIHRRLLALGFYGGSAVVCGGLVGGWTWWCLGGRNPYSWVFW FVFGGRHMWTRLGLVGYWVGLAVVSVAGWERQLNRARRHRRYTVPGTAAGRGDTLSSS NSNSNSNHTHPSVSTSTSTSTLPNVPSAPGHPGQTAGQVEGRGQAGFSGAATQMMDAA DQRMPTLSVNARRKSFHALAVIMFIPGIAVDPAFTHLSFSVAFAAFNFAEYIRYFALW PFGVKVHLFLNEFLDAKDSGTAILSHFYLLAGCASPLWFEGPSELLSYFGVLSLGIGD ALASIVGRRIGRLRWCPAFGKTVEGSLAFFLSVLVSSVVMWAVGAVDSFNLVPYTITV AFVTLLEAFSAQNDNLILPMIGWAVGTLLGV L198_06687 MPSPVSSEVVSNHAPKDHPLLPSDPTPFSGPALPSDILALIFPL YVSVACPGSKAFTNLLCLNREIHDKNINRAYERITLTKDNVDAFFKPWWDWCEMAEWK DTRDYKRKYPDGPLNDGRMSVRTWLGTEENVARNRYITFADSCALYETARFLQRNPWE RWVDSCRQTEFKKSPQRLFENLHHITYPASLFQPTSICHVAWGMHRASLTKARYVCIE MPPWPISKVAIDALRSPFTGKSSPWKLTVHNCSSFIDVPLLDLESDTWVEVFMGSPKD TSGSERVDVEDMEFLQDHTRTNYFSQVFGGTGWLTRPNFVVHHAAQTQEDIGSVYFDN DMYDGEKMTIYTDDECRAHEELDCMCGLKVCQAEIYDLTSDEDSEGFEDSGEDSPISG L198_06688 MDPLAPLPTLALPPQTLILLVGLPGSGKSTFASSLCQSSASLLT SDPSNPYQPLHASIQGGIRPWIRASQDDAPSRRRQEVEALVGWGLREGCNVVVDRCGF DPVQRSHFISIAEGINPQIRIYALVLAVSEQTLKTRLTSRESHPTITGGEEGLRVLGQ MGKVWQPPTSQSGEGFHKVLILDEKDQPNGAEGWTEDLAREVVAKIEREGEQEVGERK DYKPKPREFGDGRGRGRGGYRGSWENGRGRGGWGGDRGRGGYARGGSGGPWRGNGDGW RGGYGRGGYHQSDSTASVPPS L198_06689 MSPPIPAEVAKDRDCQMSSLEADPKYDLALPPELISLIFTFYAS STIPGSKAFTDLLCLSRAIHDENINRAYDRITLTEDNVLAFFRPWIAWAAKVKKSASS NGRSIMSQMRLDNTIIYPRAWMGYAENFDRKRSITFADTRALLETCQFKNENGSGPFG VFSYPSEEEFRKSPFYLLNNLHHVAFPSSLFISTSTSQLYQIAWEKYRRWKMYETSYV RAQHVCIELPLGPLPQVAFDGLNDMLKNTMANLKKLTVHNCSVTSDLPLLAIDPKVIF EIFMKSPRDTGMDEAHVEAIEIAQQDGLVDRIVDIFSYNENLSSCIVHHLEPHGRDPV SNIIQGLEGEGLDDDIPGLVRDEKLLIFTNEDCVAHEKVECLCGLEKCR L198_06690 MPSEVEWGFWDGKEESGEGWNAFDGVSTAEEIGSYETYLRRVHY ALAKLEEEIDLEPHAVLGIAISDARTMNLKAEDAKPELEFESMGAFVDGVKEAMGSEG YQEWGLEG L198_06691 MSPPIPAEIAKDRDCQMSSLEADPKYDLALPPELISLIFTFYAS STIPGSKAFTDLLCLSRAIHDENINRAYDRITLTEDNVLAFFRPWIAWAAKVKKSASS NGRSIMSQMRLDNTIIYPRAWMGYAENFDRKRSITFADTRALLETCQFKNENGSGPFG VFSYPSEEEFRKSPFYLLNNLHHVAFPSSLFISTSTSQLYQIAWEKYRRWKMYETSYV RAQHVCIELPLGPLPQVAFDGLNDMLKNTMANLKKLTVHNCSVTSDLPLLAIDPKVIF EIFMKSPRDTGMDEAHVEAIEIAQQDGLVDRIVDIFSYNENLSSCIVHHLEPHGRDSV SNIIQGLEGEGLDDDIPGLVRDEKLLIFTNEDCVAHEELECMCGLKVCRSEVQVK L198_06692 MEHSSSVPFPPDRWTDSRTVPVDDWERGKYEALEESIFSQKTCP EPEKIFRSLGVIENRIQQEDKDRIYPEVLELSYKELTAEHRLWYDPASAQAFGDSVGS WPPFPDSAKALKRLKEMGLKLFVLSNVDNESFAVTRKKLEVGGFEFDGVWTAEDIGSY KPDLRNFRYALGKLEEEFDIGPHEVLAVANSKSGDIRPAHKMNLKAVWINRPHAIIGV QGLEDVKPEWEFESMEAFADGVKEAMEEEGY L198_06693 MSYTTIATDKAPAAIGPYAQAVQHNGVIYSSGSIPLDPKSMQIV EGDISVQSKQVFANISALLEASNITPAQVLKTTCFLKDMGDFVEFNKIYADFFGESKP ARSCVEVARLPKDVLVEVEFIAVAKQ L198_06694 MPRSSRSSARPSPPPSQQSRGAHTAAYPAQHAPPPAAQHAGVQQ QRQPGMLAQAASTMGGAMAGSVVGHGISNMLFGGGGGQQAAPVEQAQAPVNQQQAGMS CDIQAKDFTKCLEATNGDMQSCGYYLEALKACQAAARPY L198_06695 MPPSSLLITVGSTLFPALTDPLLSPSFLSSLSGLGVGRIVVQYG GAELPAEFLHALQPQSRSPSPSPDEEQWRGVDAQGKGKGKIGDVQVEVMRYTDDFEGL VGSVEAVISHAGSGSILTTLRSRPPKPLMIVPNTGLMDNHQVELAEALGRDGFCAVAA VSELQEQIPLFLQKTDALKTFPERDTHAFSNVVDEVMGFV L198_06696 MRPLTEEETKSVFEKLANYIGKNLVHLIDRDEDDEYCFRLHKDR VYYLPLPMLHFATSVARPNLVSLGTCFGKFSKTGKFKLGIASLDYLAKYAKYKVWIKP SGELPFLYGNHVAKAHLGRITEDTPEHQGVIVYNMSDVPLGFGVTARSTLDTRKLDPT GIIVFHQADVGEFLRDEDTMF L198_06697 MERSPSTRTPRIEPSPLSFQDLYSAAMFRNSYDSDNTTFSPQGK LFQVEYALEAVKQGSAAIGLRSKTHAVLLTLKRSTGELATYQKKLIRIDDHVGVAIAG LTSDARVLSNFLRQKAMQSRMTYGRATPVARLVQSIADRAQTNTQEYGRRPYGVGFLV IGTDETGPHLFEFSPSGTAFEYYAHSIGARSQSAKTYLEKNFDKFEDASLPELINHGL SALHDTLQQDKHLSVLNTSIAIIGPASDESANLEDVRASTAAQKGNFRVWENEGVDGF LRAWRRSRGEPEDGPSEEPEEAAAGEGAEGAAPAAGEGEGQQGEDVQMQE L198_06698 MQKDRIRLYSTSAAQTVDNLSPYQSEDLDQQGEEPELERFIASA SEVQDNSLPPPLHAALASGSLTSASEFVRLFEQYDAGDSPAGEVKKGKGKGVSSTSDM GGVKQLGLIFDLFRKTAEDTQWAWLERKMRKVLDSKYTPSQGIATAIKGYALFELGTP GPQVIDVLQGVLPSNNSLPHAIAGLLYAQLDEWDLAREEMSRNLKIVFALIMGEDMQV EPYPAIDRRLLYLYESVVLKAGRNHDLVDIILHSASPRMRYHLLGQARFDNRISALQV VDIFGRAVSRITNPAQWWIDEYITTPTKDMVWMGSFIVSALSQDKTRLGEALALHDEI ISRQIHITPSISFFICQQMAIYRIPSARATFHRMLELHPNPPRTSLRRIMHFAARVGW EEEEANAWDLLSEKGVPTIWEKTILASVYAFQGRVELVKKVLGERFPGWRDNAEGLQV LFTAYINNNEAALAEETLFQIDTLSPRLYVYNTLLQLYADQANLIPALELFDRLLEHP TLRPTKHSYTALITLFANRRDPTNAHNVFESMADAGIQPDALAYAAVINAEVEAGDWS EAARSWTEAPVKVREHRTVLSAVLKALVWLPAPTEHVVGIFRRIRNPSKHAWALVIQS ACDSGNMDFARELYNEMDVASRRGTSPSPDVYTFSILLHGYMRGDDSASARAVYDEMT ERGILPSSVTYGIVIQSFADTRGARALEQAHDFATSVYREVQKGKIADVRSDKFNTRR NIFTPLAIAHGKLQNWERAQSYFDLIDPTEESLEPETDNFLAASPQQKIITWSQLMDV HRRAGDVEQVVKIWGDLFRFAIDAIPLVARNESEEADMNRAFAGSNINVPGGGKNSRK RIPESILCIPLSIALDSVSSVGDGKAVRKLWSSVSHYHFGFDAANYNHYAIALARTGD VEGAFRITERVLMRRFARIKFRGDAALREKKKDLRRHSRGQFIRPAVSSMDGWDHDVE EIPEEEEEDYEFEEGENEDLRSDPEEMSAVLDLKVDPVLGPPNRRHQYHSSSSPFRPP HLPSSSVHDAKESVLGMVDKMGGEDPVPKTVALLHRWRPRDTLWRPSILLMNVLDSVF GQVEDYGASRGWVSLQEKAPTTPQLEDPEQSQSPSQFRKKNGFQGTVLPLYGNTPIKD YQTGKPLPISPRAFRVRLEDRYAKAVELVRYFRRRKEERRSD L198_06699 MSADLTWLLLRNYNSFQVKGGHGPTFSKEKGNLLNKSSQKYSGL ANSKVVAVAASPNGGVTITKIKADAKPNQVASARSHVALKRSTGPRRANKIVAGETAG KGYRADLRAVAVARTSALLLAQRRTANPPKTIQRGRKQAPSAVGKAAAEEEVEEIVVD VE L198_06700 MSQTLKLPDTPHPQFLIPSPHTLHHTSLSPSPCSFASTTKVPRC LTPYPTRFSYSPLSSPLTGVSGVSLRSPVVVRASSPLSAVGMGFEGGDGEEEEDEDEG SDLERDERTTAATRVSAPVPITLPTTLPPTLRMSKLSSLSPSSSFNTRRLPLSPVPPR MLMKRRTTLPFYAPNEGVDSRTGRKSGFGGVERRGEKGKGRKKEEKRPEPLSLPKLKQ ARVRILTPYPSPSPVTPSPSWCSSGIWPVPSPSPMSLVFADDYADIPPAPAPLTESEV KMGMGIVTSMSKVDLRMRDDEDVYTLVPASDPPVTATGVQSGGGLLTASFETPRVVIS GAGVKCGVGHVD L198_06701 MPNRRIDGCERRLIDAPDVALLFAPPLRCHRRAVPIHPSSPSLS PPHSPSAAPLPTPNGLLKARKKNTAEISGLKMQDQGTRLTRLILQRKLLARSDRVKSV DFHPTEPHVICGLYNGQVKIWDYESGTDVKTFEVTDVPVRCVKYIARKNWFVSGSDDF QLRVYNISTGEKITSFEAHPDYIRCLTVHPTLPLVLTGSDDMTIKCWDWDKGWRCVQI FEGHTHYIMALAINPKDPQTFASACLDHTVKVWSLGNPVPNFSLEAHEKGVNYVDYYH GGDRPYIVTTGDDRLVKIWDYHSKSCVQTLESHSANVSFAIFHPSLPIIISGSEDGTI KIWHSSTYRLENTLSYGLERAWCVAYRKSGNEVAVGFDEGAVVLKLGRDEPAVSMDTS GKVVYARNTEILTANLSALSPSEIPEDGSRLPLSLRDLGTTEVYPTSLQHSPNGRFVT VCGDGEYIIYTSLAWRNKAYGSGTSFAWAGDSNTYAVQEGKSKIKVFRSFKERPNFLH SAGNYAIEGIHGGTLLSARGDGFVMFWDWETGQVVRRIEVDATAVSWSTSGERVVITA EEGFYVLAFDRDAYEARIASGEDVGDEGVEEAFDVIAEISETVKTSKWVGDCFIYTNS TNRLNYLVGEQPHTINHFDKPVYLLGYLPAHNRIYVADKSLAVFSYSLSVAVVEYQTA ILREDYEAAEALLESVPQEQKNRLARFLESQDQKELALNLTSDPDHKFDLAIALSDLQ TALSIVRAQEDSATSVSKWKVVGDQALKSWEMDLAKEAFERAGDLPALLLLYTSISDR AGLESLAQRAEAKGLSNLAFSAYLSIGNTSKCIAILGKTGRWAEAALFARSYAPTEMD GAVKSWKEELEKDGRGKVVEKIAEPGVDGELFSVPEPEPEPVAAVEEAAGSAGETVKE NVEGLVEKVKELAVGDGAIEEPGSTPANGAAPKPKKGKKK L198_06702 MDQTMLEAGLLEECEEGGETDFDFSVGSEDLAFDDFKDDAEDVS ETAQEDEEAKREADEKRKARGKSEACSQATRESIQRMQKVMDEIDRRTEAGSSTNAGR LRMKDLKHQIQKLDEYDAEMEAQITTSLLSGATISLPGTDATQAEEATSENKSMPSSV TKRLSGDKESRRRKALDLYNAKQRARRAISQHEKDLLMANINERTCGSRKATTHTNID ELNAQLVRLDDRDKKRVESLTARESRSLSTSMDHMSAHDEEEDDSTHQDRE L198_06703 MSLADALLADFSDEEERSPSPAPEQSSSSSAAPPDLSFPGPGTN KRPASAMDLDTVGEEDGINEGGEGDGGEGEGDGLTLEDGTSAVGYVPEGGVKPAEELD KEDVERTDMMGFEDVGKVAKLAGSRKLQDVIKDIARFTESPTDMSTASGPLEENPEYH LVVTANNMSVEVDNEILIVHKFIRDHYAPRFPELEQLIAEPWTYIAAVNAIGQSEDVT KVDFSKTLLPATILSITLTATTSRGRMLSRAEYETVQRAITVAQDLRDAREKIFNFVE SRMAAVAPNLSILVGTGIAAKLLGLAGGLAAISRQPSCNIMLFGAMKKTLATSHLSAA SQQRHTGFIFQSAIVQSAQPEDRRRAQRAVAAKVVLAARIDAGKGSRDGSFGKTTLAQ LQKKIEKMAEPPPNKLIKALPIPQETNRKKRGGKRARKQKEAYAQTELRKLQNRMEFG KAEEEIGVDDETVGLGMIGSAGKVRGEMADSRSKAKLSRANKLRTQLLGRSQASNDAA SGMSTSLSFTPVQGLEIVTPSLSAAQRVKAANDRWFAGGTFTHVKKDQSNLPGQ L198_06704 MIRPRHLPLRSLARTPLERPTYRPAPLARRLLSTSNTPNTPRST GSFYISNVFPIKFGRFDPRPALASLEQETLLDQLHAIASGVDAHGFTVESFEVSWKDG GVFMHYSYVPPTEDVTPTADAEAAEEKVARLGVEPGLASWTKNLPGRLFIPHLEESAK AHGGWPSWLGKYWASKQWSDRNREVPGHTLYSAVEEDVDGTVEEGGGLRKGSVGGVRG LQAAAGGGRVWVVKGKQWTEDMNRFASNRLRVEFEGPDVSQEMLYTLFRPYGRLADIT PPSPVPSGSLRYAVVSYSGLTPAAIAINSLHGYSTATNSADFSLRVSSARTQGEGEEK KPIPMSRLRLYYERPLKAHAMRDWVSSHPRLVLPVVAFLIGTLSYTFFDPIREFFVRS KLEGVWDIEKYSLIKTLRSKFSLPTSFSSSSSSSDSSDEEGLGRNAWKDRVEAEKGVE SWLAEYPSTFIAITGPPGSGKGSLVQRVLKQQDKPAMVIDCEAIAKAKNDAGLVSALA EQTGYYPVFSFMSSISGLIDLAAVGLIGQKAGFSTPTDQQLRQILETVGSALKDVTAH ALKSHADDVQYQKSNLELEKLKDKRREMIRRGWHDGRLDCVAGNGLSELGGGEEPFWE DDMDQTVAVTTESLGTIPSQPIMPQPASETQQSNELDPESDAIKSLPIIVLKNFAQKT AKGDLWNVLAEWGASLVENKIAHVIVVTEGPTATKALTKSLPAKPLNLVGLADADETN ALAYVSEKLRHHSSSARSSLSDDKSVNTLSAEDSAQIAKLGGRMVDLETLVYKVRSAG LKIQDAVDDIILRNVVELRKAAFGDDSVDAKGLAWTRAQAWKVVNELAKKGEIPYANL LQEFPFKGSEQSLKALEEHELVSVSYVDGRASMVKPGKPVFRYAFQALVNDPVFKASS QIEYNTAVIAKSESDIQKYEQELQQLKNITSDGGSEALGISSGWLSLGLGGNRAIRDR GRWLLDKMGDLVDKVGNLERENKECVKVLKTGR L198_06705 MHSRPVFLRALRLRQAPLQPRLLPIRPQSLRPISSTARLLDDKK SEKKRQQPEDNGPPRSPWAVFTQVLKEEIDKNKGWQDNVKQLQGDVDKMADSAAMKKM RESYEKARLANLIKNNPRIQSAVTDLQKAGISVHDAVQHALRDSEVLRAISAASNRFV SATYDATAPIRDTKAYKLMAESIEEAFDDETGVGSRYGGYQEKEARRKKREMRAKKAG RTAVKRVEENPEAGEALVLSDKPETPSRLAFIKESATYQRWAETYYESESPFISAIRT VGTKVGSLFEENETAQVIRTLKAMDPNFNMDRWTGELREYIVPEVVDAYLSADRESLK QWCGEATFNVLWATMGQYIKQGLVSDSKILDIKHVDIANGKMLENDIPVFVITFATQE QLLFRSARTGQVVVGSEDDVEQCRYAMVVTRLETELENELTGGWKVVEMARRGAKGGL L198_06706 MCSISQLPPVLPQHDPEAAEINRDWEEEDRTAEEVLEEEFGNPL SGGTGSVASVVRGALEILTGLMSTEYHRTHLIQDITTTYLELEEDMRLSYDNNGGYMA PSRHAALMLTKGLLIQDYESLQMLAEEYETPPVDKMNADAVNAQAVVFNDHLLGFYRL YDIEYAKREQQFQVEMKRKLEQLASKYRERSLSLSRDIFPVGDELEDCWEKQGRMMEM LEEEELSIASRKLVEATGTKKNSPEVIDVASCSFHPLQ L198_06707 MSAFPKVYTVNGPSSTSASALPSWLAVKSKPTGKHKKRTKTQHT VGDLELIQDFKFPGQAIKIKTTEDGLHAIATGTYKPMMKVWDLEALTVKFERVTDAEN VDFVILSQDWTKSLHLQRDRSLQLHTQMGLHHTVRLPIYGRALGYHSPSADAIIGATG NEVFRFNLEEGRYMTPLRIAQNWGDGNEDDVEGVNVVDVNPRHGLWSFGLDGGGGVVE FWDPRSRSALTRLVLPAKDLLPAQSHDPNAYIPAPYQKLSVSALASHPTDGLSFAVGT STGHTLLYDLRSPTPFAVKDQGYSEPIRKVDWLRGGGAHEDGGRVVSSDSKVIKIWDK NAPADNQLSLHPPASMVDLHPVPQSGLMFVACDAPQLSSYYIPDLGPAPKWASFLDSV TEELGDDFTGGAGKSAYADYKFIDKPELETLGLTHLIGTPALKPYMHGYFLSLKLYTT ARLIANPQSYDEHRDRIVNEKLKAKSESRIRARKEQPKVNKALAERIRKVEEREKAVE KKRRERREARGLAEGEGEAEEEEEEEEVEGEEKAEGAAGLLSDPRFKELWDNPDFEVD EESREFALINPATANNNAKRAKTAVEDEDEESDKFSSDLEDDEESDEGSEPEQEEAGS DSEESDDGNLLYDPRTVKAAERRPMPRHKPTLISGDVQSSTLPTFGQRLHSQASKSSK SSAPAHDPSILAMRKAADGGMEMSFIPSSNSRGRKGGDEDGDDQDEYSGGTRRKDRVE RFGAGMEKGGREDEEFEDRGGRTQRRRPDRSASKNAFRRK L198_06708 MLDTCTDCEKPPQPAPAPAPAPAPTPASSGNTAEEPFIAPDLNQ VKPSVVIEFCDRCRWAPRATWIQTELFLTFPTPLLRTITLMPGNTPETGGRFRVWVDN GDGKGDQLAWDRKTEGGFPELKVLKQRIRNIIQPDLGLGHSDVHGKQETK L198_06709 MASLASPPSYPLAITTSHPHADQPSPLPSPGPASSASVSGKWKN VFKLGKGASSSVGRGKENRPALPSTAPLPLAMPTPPPTLGDAPAQDTAARDPRGAQLQ QMRAYTEPYHSKNAEPLGAGEGGREDGSTESVSVNLGVDSGRDRGGQTPPSASTTNSD MEGSYLGTTDATSVASVERNGTSLTQQQGGKPRTQPSTESIPAPTSTFYSSNNVSNDG PRNYSDTASVSTADRTSHSSASHALSPDAAGAGISTTQGYGTPSSRSASGNFGMGSFK SRFFTTPISTSTSTSTGGGKKDKSEKYRGLGKTPDGHSSTTMSSFASSHSPSTTNSPH TPATPATPATPATPGKKRDPSSHSSSSKRNLSSEKSNGSMSRFLRRVVSAPNTKALFG NNGLFQDAPEVPPLPNSSGGGSGKQGQGQGQGPVVILGGQVGLSASPMNEHLPTGSPF GPTPGTTPTPSPFKSKSKNPNQHLSPTSPSPSHAHAQTYPSPSPSAPGGGGGLTVLGT RASRAKTTGTSPIPKNVRELQQGQLGVGAPGGGGGGVGGGGESKHKAVFKRTYSSNSI KTRTAEVTASSFQKIKLLGKGDVGKVYLVREKRSDKLFAMKVLSKKEMIKRNKIKRAL AEQEILATANHPFIVTLFHSFQSQEYLFFVLDYCMGGEFFRALQTRPGKCLSEEHAKF YAAEVTAALEYLHLNGYIYRDLKPENILLHQSGHIMLSDFDLSKQSGEAGGAPATIRH GGPNGQTILVDTRSCIADFRTNSFVGTEEYIAPEVIKGNSHSSAVDWWTLGILVYEMI FATTPFKGPNRNATFANVMKNDVLFPDPPSSSPSSNTPISSSCKSCIRKLLIKDENKR LGSQSGASEVKQHKWFGNLSWGLLRNMTPPIIPEESNGIDTINFRPLRESKSLDFDKD ELTADIIHAQAGNPSVFGGSTPGMLTPKELQPTLPSALPCSSTSSQVGGDTSLAGSVA SVAVSTDGSGPGSGSGSAPTNGGQHSSASGSGSLGKKSGQALSPYDLEKNPFGEFSSV TRDFGGY L198_06710 MMRSTILRSTAPIASSSLRPAVRASASVRPAVGAIFAAPRATTP VQSRGYHAKVIDHYENPRNVGKMPKGDQDVGTGLVGAPACGDVMKLQIRVGEDGIISE VKFKTFGCGSAIASSSFMTEHVKGMTLEQAGMVKNTEIAKELCLPPVKLHCSLLAEDA IKSAIKDYQSKRNKRVVTPEVINVSTTGAQTATA L198_06711 MIATKLFLFSLLSSLAIAAPSPYPGDKSESSMASEDSASGESSD KAASSAWGYNAYGDSSPSSSSGDSSSYDQSSSDSWSYDSSKDSGSYEAGSSSSSAAYE ESTSKASYDSGCGDSGCKGTTKVTEKTITSTADKSYETPKYGSGSSYTGDLDSCLNMC QKQFGGGSYGSSDSATSTSVSYESSETSASSSSQNATSTSSANGTTHTVIVAPTMGVL RFVPFAVTAAEGDQIMFKWGAGPHTATLSQGENVCNKSTTENAFDSGKLNATETFMTS VTATTPQFHYCTVGMHCAMGMFGLINPPSADDAAASSASNSSSAASNSSSASDSASEE ATKTSSAPKESSTAGNGEGKDACNTIDCWVGSWSKSGAEPAKTAAAVQAACNSTPEAW AWGGNWDMSSLLSASVTKDNVVENVLYSRLMISMNPDMLTPGASMDNFIAAPNLNDFA ASNPAPTSSDAAEATPDSIAGSASSKGVSATASASGSASAERAQGASTSGAGRIGTTM LTALVPLFACALFSLS L198_06713 MADVLTQVTGVPVGTPQITKEIFYSDAHKQALGEEYWAATHTSK AWRDIEASKQVVPNPMTMEVWARQSSELRKLLGI L198_06714 MDYPGYTSAQRQEQRQREEGRDDDHRYPPQEQQQQPRYDPDLTA SARKRAAEEDESTSQAGAARAKPKAKASNGAGKSKKEKEGDAAGPRRAAQACLRCRRQ KLKCIGGWPCDRCQKSKNECDFGRPGIVPPREGHQPAPGTSEANARLEQLESSVANLL AGLASAPQTSYPKSEALHALEAVRRESLSANLDRKPSFPPPPEPSFNPNIPPPTHTRH LDHPRMGVGMGYPSTSASPDDIPQHHVRFTSSPHQTFVQHGYSPSNFSSNGTGQSPAS TEAFPRQEKRRPHSKMRQRAEERLATATEADYDEPPFKPLPAVWDNREASRRNSPQPS QSSQSRDDGIPGTYSRQSMRARDDPVNTEIISRSTAIVMFDLQMSSYVQHCHPFLPVV NVSLENAFDTISQSPFLISSMIAIAGRFYIKYTNLNPSTSLPPIDSSVPHRLANLAEA HLGATLLRKQHALSDVQAVLLLSAWGLQSGGRGPDAWLITGHAARIARRLGVHKNLAT AAELSRTVRPGGEGWDKLQAYMPQWRTWLAWFTFDGFLSLGFGRPQSTQFETVDESGF LQIRLNQSPPRPGTTHSMSLYGDVYIAGQVQLTQVGRDLINWGEMLADPRRAAEAEKE REAGGRDMSVRGMFKELNGRLDEWCKLWVYANSPNGLYLGSSARIARLQADHMRLCLN SFALKSSPEKDESVSECLRKALNAAMATIQTHHESSVTDMALSFATDYLTITLAQSAI FLVRIAKSSTTIQQILNIDPSVIAHYLKLSTTLLEDGELSETRVGTYLAKTIRDIARA GGITGLGGKWDEWVESRPASRAVSPRGRVSASTSAHPPNSADSTTPAHPLSNSHQPPP LTDPTQPPDLAFEMNNLLAFESQFNLDNLLGLPGDDMASASLGGMPIGMGASVQMGLG GVPPSASPSTGGAGGGGTMYAPPGMAHMGEGFDFGGAGFNEFGFGMGGVAMGYGGGGD GWPHNDGQ L198_06715 MSVSPPAPLQPSQFAAYASFLEHLQHEQQTDLSQQLAHRWEDED IILSDESDWESRLSRGASGRPTVVGDEYVGARVGGKRKRQQGSGETRWPVGLTELPQP PSFSEAITSFATAYIRTQSLTLPNLPDQDLSNPLLPPNLIESSEQMVREVLTKLAGVR PARVAKARKDLGTLGWGDVLEVAAMLPSARAEVMKANARLRTMYTQPGPDLLSHRLKC LYERPKRPSRTDIYTSVIPKADPTLRPHVSKAELARRASSLSQRAANRQQKAAAKQAK SEAKEERKRVRREKAKLRARAKKAERMGEAERMGERLGEGEAE L198_06716 MSAQQPTVIYITPTEAPPEDRPDRSASLIVPDAHNTDYCHYPWE RVSFRAVSRDGTVYERDSHFVFKDPIDPSIDTDFPGSGVREHYAKLYLANGPDDVTFT LLSRYVPDPYRRTWTVEHFNATQDQRLERRDDRLCSCTEPDCVLQSVPTELADRLIEA IDNEYA L198_06717 MKLWRQSNVEWLSAAGVPVHYVKAGGSRHRKERSHIGNVSGEDQ NGTTAKDGKDEGDAAGSSVLAITVEDCNKEIKVLQTVLEATEDWIETIEGAAAVCMKG KSLSSSLSFRDIFTTTQEGEFIPPPPPAIRGHRAHQAVLASAYGRFLQIIEEDKDEDG YDAGHLQQPGDSCGYEGKHQR L198_06718 MPRVTRKEKEAGKAPRPKARSSVQKPSYGGFKTGPARAGKDAYM GKAKKMKDDLIERAKVKKQFAKVLKKEGMDSERLGDGTRRRQEREPREAGSEKKEWKD KGKPREGKPREGKPREGQSQERRGPRGGREDEDMARILARAGPSKPSPSSKPFKSSKP YPNSKPYTKRPRDSSPSSSFSAPAPAAPAKPRALSPERLALPEPPKVDGKEASLRTLK KEGFSKFHWSRDAPAAGAGAPRGKGMRGQPNMGSRMDVLLEKIRRDTTKKA L198_06719 MSTTTTTQNKVDATTKKTKAPAAQTGVENLGPAFDPFAPVDDLN DTPSIEKAVGNKNDKIHIRLQQRNGRKTLTTIQGIPSKFNHNKILKAMKKEFACNGTV HKPEVDSDEEASPAPGAKVNHGDVLQLQGDQRMGAKQFLIDSGIVSAKDAKVTIVVHG Y L198_06720 MVLKVVVFTATGDQGSSVCKYALEAGFEVYGITRNPTSDSAQAL AAQGVHLIQGDMSSPSSYYSSLKGLDAAFINADFWAKYMSNGGDAEAAQEAETAESKG AADACVEAGIKHIVYSTLDAVEKGAAPHFESKAAVSTYLKEKKYPFTNLYTCNYFSNL YKFGLLKQSPDDKRWVLNFTVPDDTKVPSYPADQTGKWVVAAWKAPDEWIGKDMTACT DALTVGEMAASLSNLAGFKVDTPHISRETFFSEAHKEAVGEELWLAMKILIEGGMDRD VEASKKVVPDQHNFEAWARNSSGLKTLLGI L198_06721 MIAEGGPRDDDSFLQSAEDQSLSSPSRPLSGDKTSIDTEQDLAP TVADGMPTDNVSSATREAIDAAVTDGIITYLSNARLSHAFKATVSRSLSILEHIPKTE GIDMMSSFAMDDLCQANASSKGPDGGAGRLLASASGRLRVALMYLKTH L198_06722 MASSTTSPSAATAEPSAFTWSEVPSEPDGGMAVEDMMDEDAELP HKPTLANRILLRLDDIDTTIYRIQSEPNTLLSDLLGYMAEGQITMSEGGRLPDSLVSD SKAASNEVRQKLDKVLAALASAGDGMDSETSIGARVSKIESLLSVLVESSLSSTSNSN PNPTPTSTAAAQAANDSWRRRAPDYSILDSDSSSPPSYRKGRVDISTAAGQKCISTAV TDGITTFLTNANRATALKNTVSRSLAILEYIPETKGMNHTMKMAKEELDEARRLEQLN ERSRKWLASASGRLRVALIFLENSQSAQKWEVGILESVIDDLDTTAAMV L198_06723 MSAPRDDRNDGRPVFVAETEDVREFARLLRGVGLKHNAIMEVQE SMMIVTVEDSRTLCALSYIPTSIFSTWTFHQPTDDPPMFEFSLDSMLQCLNIFGNAGS SGKDFNIKAKKRWAGEGDGLNLGGEEREDDRRFTRDKRGMTGMRMEWMGPGYELTLLL QDEHKGPTTTCSLRTLEPEEILNPPFHLEDLNLNMIMQSDSFRNALLDLPPSSNRITI TAKPPYNGEDSEDDRAADADESDANVRRRRKVRQEQGQFIIKAEGDLGTVELEHPNDR DIMQSFACVEGGMTFSYHSVHFAHLAKALQGSIKICLQIDDEGVLNAQIMVAEGDDIG GHRGLLEYKLQALEDEAEQ L198_06724 MLAALSVLLTFIPLVLAVNVPTLASGWEYVGCLYEGEGQRILNW TIYPSSGMTPAVCVTYCNNQGLPFAGLADADQCMCGSKLSNFQRVDERNCNSTCAGNA QYECGGWGYISFYSLASKNPDPDATSSTTVTTSSAKAVQTSTVVTSAAAGTTTVKEEA QTQTVVVTSVATDGEASGQVVTLTMVVSSTETTSEAVSVAEVSTALTSSSAETPSASS QDPSLATSSATGGPTFSSASPPSSSSLSTTSASFTSDLLPTYHASSTLFPSATPRLKT PSVIFGLVEWSNAGALKAITGS L198_06725 MFPRATIGLGHTLKRCQHLPTNARLAQSISTTTLSRSFMPSRPL SNSPPISRLLAGPQSSLSISKRLISSSPTSAIRDRYYKRSSGGYYGGGNGGGSNWWSR VKRRLDTIQPMTLVYALIGINGGVYLLWQYALQSAQRFRDPTLLYFLRNNFILNEANV FAGRIWTLVTSAFSHSSGTHILVNCLGLYFVAPAAAGLLGAANFLGLYLGAGAFSSLV SLGYHRMSKHQWWGSEGASGAIYACLAYYGAMFPQSTVLMFFIVPMPVWLAISGMFAW DFYGALFRPNSGTDSAGHIGGIMYGLLGALATRRGGVSRMFQGGSRRRW L198_06726 MPFYNSKQHSPILPLSNSSPYSPVPTRMNARRLSYGIKSRASHY SIPLSIGAFITLAIFFFVYTRPDSEYTRFQATSKLSLQSRSQERSELAKEVAAHEEHV PDRHMDNGVGILVNEDELIAEDDLFWDSYTEAEPLSAEEAAAEAELQAHRADVLAHNT ADSLRALVWWLAEGGILPNNWEVPTKAQLKKMGSKGFEKLLTEIDHGDEEQEIFEDGW ADFANRRYKIVVFSKSYCPYSRNAKAILAQYHLSPAPFFIELDHRSDMNSLQTLLNHF TDRRTVPNILLDFVSNGGSDDLTLQHAEGGLQQKFEDMMVV L198_06727 MRIPRPYIRHALPRGISPFASQQMPPPSPASSKPNNRRSRGQVW GRRLLIAGALGGTLYVYDKEYNASAVGRSLRTAYIGVQTTDCTIDKINFAPSKADQIE ALHSRVANRLRWVIDTNQGLYLKLGQALGLQAALLPKPYREAFGHVFDRAPAVPYEEV VGVFINDVGVRPEDIFETFSEEAMATASVAQVHKATLKPGVGNEKDSKPVAVKVQKPE ISLQMEWDLFSYRALMWLSEKLFDMPSDAKYVSAQMRYETSFIHEAGNARRCAVLLAQ TPELRDDVYVPRVYGKDEGCQESDRVMVMEWVDGCRLNDKKQIEDWGLNVREVMDLTI STMSAMTFSWGFVHCDPHPGNILVRPHPTKKGKPQIILIDHGLYIDLPRQFREDYCTL WRSLFVIDVPKIETIARKWGIALDPNLFASAILLRPFQVNNRDKKAAPKPETQGDATA EQDQYAQQVELKRRLKTMLENEQLIPRELIFLTRCQRMMQAANQLLGSPSSRINITAK WASIGYTRSLTGSRSLHNVGWWVWMRERVEDVIFRVTVGFFDLAFWANALKQRIMPRE KGDWEERLQAQFETMAKEEFGIEIDDDVFLG L198_06728 MSEADKAAERAKQAERARKLLAQRKKKKAAAGTATPGSPASGID TATGPSARTSLSLDDSARAELEKGEKNVTEGQGNEGKDGEAAEKVVVDEKEENVEKTE TEVKVEEPAATAPEATPSPTPAADDTPTEPPAEPSAETSEAPKESKKSKKKAKKEKQA EAAKAAERDEAEDEEDEGGAKTELPDEPEPVELAPETIEEPKDALPETTETTPTPKST ESPQPAEPASPSKPSPDSSSLANLQETVSLLIAERSDLQGQLASVKRELATAQGDSQL LEEGRALVARLEEEKKDLEKRLEKAESDAGKVEGLEKDAARATSELESLKGEKADLEE RQAKLEEELRVREQEGKEKVAELEKSLERSREREGGLEAEVTRLRQTNNELSSSLATI TADLDALKTSSSVTSQTLADLQVAHETLTSTHESLSSEHTTLKGTHSELVGKHSDLTK SSSELSTQISSLQSTHSSLQSELETTKSKLGAATKKQEQTEKRKDALQNDNEELVKQL EEVRGKVVTAMEEKAGMAEQVESLERKEAAWEKQKGELEHQLETRTAESHDIHEQVTS LAEENRALSSQISTLQEEHTSLQSTHSSQKSLVQTLRADIASARAAIPLPVSPLVHTD ATLPATTNGRDEAIDDPESIVAIQAAHALEVSDLTSHIRTIEAQLHAQMSKVHELSRQ VTDLQPASASSSRTAGARGYFISPAEERPGSPDSAVVSPGTPKKRLNPVDAILPAAVR HKRQVSLTALKARMEVSSPKASTPSKMNLVSESAEGEGRRGSETGTERVHVQQRIQFG EEIMFCCPACDGDLIIL L198_06729 MADQQEDGRTITKEGNKATLPTSSNISHLPFPSEIISLIYDFYV DSPLLGTRDFTTPPRKNAGSFFLPWIEWYDDVFQAYEDDEDEPPSSDADVVLSPIQHY LPSSLGVVWSLMFVDATALLVSLDFYKHIRLSRGRIEGPGTPYGSFIGPNSLTFGKSA LRFKPGDREVWSLFRRSNSHLLFHTYMVCLHLPAEGVHSVVAQSLKRFLDICGDQFEF RLNVHNCTSPTDILWHPRGGHNSSVTTFYMRKWVAPGEGQCDEDERGKRFETFRACQH DDFIDYCSGEFGRIDDEGEEEEWPQIVVWNSILDKFTLTFDIAARNDWDFECLGEIAE HLRTRGKGKGKGKGKENIAEGACACGAIVSLIVDDSGDNES L198_06730 MVSVCEHERPEASGLTSTACDITHLPFPPEVTILIYDYYTDVPL PGSREYTDLLCLDRTTFAKKISGLYEDIILSSRNVEAFFRSWREWYAKALGPPDPWDF RRPAPSDMCHIRSFLANTPIRQLTFADTTALTTTLQFYHDWQTSIWCKARLSSPHVSP GKIFLQLSRVSFLAPSLGQSSSDHGLWPNFGSLSTGLWRWVSTNSVSLQVPKQPLDHG VVRAMSEYLKWQEKAGFELLVLDCAVPQDLPWAPIVERMSVKYIMKGRELEDRLGDKD SVALYNLSPRDATQWLMDLAERSLSYIPSISSSVL L198_06731 MAPTFTHEFNHPGYKGKVEIPTGVFINNEWNTSLDKSAETIDVF NPSTGEILTSIPEAREADVNKAVEDAHTAFNTTWGLNTPGFKRGEYLIKIAELMERDL DILASIEALDNGKTFGAAKGFDVPEAARTFRYYGGWADKIQGKVIETSSAKLAYTLHE PVGVCGQIIPWNFPLLMFAWKVAPAICTGCTVVIKPSELTPLTALYMTKLFVEAGLPA GVVNVIVGYGQTVGNAIAGHDNIDKVAFTGSTAVGRKVMEEASKSNIKKVSLELGGKG SNVIFADADFDEAVKYAAQGIFFNHGQTCCAGSRIYVEKPIYDKFIKAFKETTQKLQV GDPFAPTTYQGPQVSKTQAERIMSYVESGKEEGATVITGGARHGDKGYFVQPTIFGDV KQNMKIVKEEIFGPVVVVSPFETEEEVISQANDSVYGLASAVFTSNISRATRVSQKIK AGTVWINCYNELHPQIPFGGFKQSGLGRELGEYALESYTEIKSVQINVSAKCGIPA L198_06732 MSFSATSFKPQKDAHCSRYLFRQPSPAASRESPWPVVFLRAKGL GEDPGEWADWSGMFAEKGYTAIEIDITAPTPLEDAESPFGQMSNSLSSQIRLSAIPFP PIIIARGLSTLLAQTFISDFPASALVLVDPVPDEDPRPEGFGTALDGSSKGQGWAWPK FDFEPHFPILLISSQPQLNGLSVSNRLLRTHTGIDPNKKGFLGRLGGGGGKGVEVVAA DGLEGGQLGEGARVEVERWMDRCGF L198_06733 MAQPTKEDIDNFVAVTQASKDDALHFLQAGGGLETAVENYFLAQ NAGGVPQSGDQEMQDAATASDSAAPTEGSGGGGGGITLSGQRVDDTLPAGWGKKPKSR FGGLNKQEESDDEHAGHDHGDDSDSEEELFTGGGKNSGLAVLGPDKKKDKSDALVDNI LRTAGENGPAAPPAGRAHPAAPGPSGPSRAFQGGGNTLGGDGTPSTQVPAHGTHNETD EEEEESYRQFLRHMLSGVNFGTVGSGGFDQILSRMGGGQRTQAGGSNFQGQGNVLGSE ASPAAPAAESATPTRSAISPGPSGLAHIPEEDISTDPETGERVVNRRLTFWRNGFSIA DGPLLDYEEPANRALLEALDSGRAPSVAFGVPFDQRVNIMVAQRRREDYEPPAKVLKP FEGGGQRLGNVAPSTETSSMPGALPAAQENTGRGAGGQVDFKVDSDKPTTSLQLRFGD GSRQVARFNTTHTVGDIYSFYRSANPTQGEFVLQTTFPSKELKEMDKTVEEEKLGGGV VVQRFI L198_06734 MSEPPAKRSKMESNTAPETNPYLAHRQEYQNGNGLSNGASGVTG SVDRKEHPLNGMVPRKVTVDQAKKIMDGEVNPFKNLSAWSSNYKKILEQRKGLPVYQK MQEFLSVFNENQIVVMEGQTGSGKTTQIPQFVCYSDLPMLRGKMVACTQPRRVAAMSV AKRVADEMDAVQLGKQVGYSIRFEDMTEQGTTFLKYMTDGMLLREAMNDPLLERYSTV ILDEAHERTLATDILMGLLKDIAKRRPDLKIIVMSATLDVAKFQKYFGDTNPTGLAPV VKVSGRTFPVETFFTQEPENDYVEAAIRTVLFIHQAEDEGDVLLFLTGEEEIEDACRK IRAEGEELANKGMAGPILAVPLYSSLPPHQQQRIFDNAPPAGKDGLPGRKVVISTNIA ETSLTIDGIVYVVDPGFCKQKVYNPRVRLESLLVTPISKASAMQRAGRAGRTRPGKCF RLYTERDFVKELEEQTHPEILRSNLSNTVLELIKLGIKDLVHFDYMDAPAPETIMRAL ELLHYLAALDDEGNLTPLGAIMAEFPLDPQLAKMLIVSPEFGCSNEVLSLTAMLSVPN VFMRPASQRKEADLAKAQFSHPDGDHLTLLNVYHAYKSNEDDAKNWCWQNYLNQRSLA QADNVRTQLKRAMEKFDLELCSTAWEDRNYWNNIRQALTCGFFMQVAHKEGEKGSYMT VKDNQVVRLHLSCGMDTTPEWVLYNEFVLTTANFIRTVTEVRPEWLLEYAAEYFDPES FPANSETRRALQRVLDRKLGKVSSSGHGKDRKEKKDRDGKDKKKKRKAE L198_06735 MSSTATVPSRRSVTEMPTRGDLSEFISAHGERLVASHVKQSQQK GLLRITPMEKTDEDGRIQRTFKLQGSAEVLSVMSALDGIGMAAKKHRQDWINTVRSYN ESQAHLESALQEAGVDEKRRDALLDNIGYSVLHPMDAGVEAVKKLISTRCTSESGSRA SEFLSNLQSFMGKGSRILTHSMVITGRTDPGEILTGASKITKAKASVRNSKGSQARAI GLYRTNDDHTESSTVKKYSRMPSMGFVLQQRTAPLSKAAKSALGYWPSSSSACRSSKV PSDAPYYPSAPSISGSNGGGLGYSPSAPSLNAVATTGQATPLDYYPSAPSIRRPSPDC FSEELNKGISPSTASDGSWDTVSEAPSSPALTEVWMGKAEGVVSSATNGPVIEDGSWH PAWRPE L198_06736 MSSNGRPSSVRGLYTPPAEEWVFLPPTVSPPNPSSSSPPAHLPT PFHDASTSSSSFLDAADDADLVIPAMGRPFNLFLSEYLATAMGMPFEVGKTLLQVEYR PRRQFEALEGAGEGVRISEEETVTELQDEDELSNPDEADMYFTDRLNKSADPLVSNEE PVALQSDASGYLPDLHPTWLLKDDPDISRGNGVWGMIRRIRYTPSEGLPALWKSQLVS TLHAFLTTTLQPTVHSCLLLLTPYPALALDTPLAALPNPALPFGLQIASHLLTHLVLS PLEIIRTRLIVMPASHPSTPSSVKIFRDMVSDEGGFTSMIFHPNLLLPAVLEHTLRPL FALSTPFILERYLGISPDLSPITYSLLDLSLGLTHLLVLLPIETVRRRLQLQHRGKGG KRMKTVVRVRERDYVGIVEGLWRIVSEETSVRRTKLDKGEEGGWFAGVRQLYRGFSMA ATAHATVFSLGLVSATLSGGDGGWKEI L198_06737 MSDNRSLWSEYKNAEGRVYWSHATTKQSVWEKPDELKTPFEKAL AKTQWKQYTSKNRPYYVNSATKETKWDLPAELVTLKAQVEAEEARKNEREARREKGES LSPSPSPRRMSRSPTPEDIRELRASAASAIAIYKQDTSQTASPAAKVDTPIATKPQED LLPIVMPTGGFQNKHEAEIAFTYLLKREGVNETWTWDQVMRKIVLDPLYNALETLAEK KGVFEKFTNGILEERRAAKEARISRFRPIFTKLFTKSNAIKSYSTLKTADRVFAKDKY WKEALPEEKRLILEEYTDKLRREEEVAERELKEYNVRTLKSLIPTLSITVSTRWRAAH DLIISSPAFRADPSLQQASVLDMIKAYEDYAYKLEQEHKEESRKLRIEDVRRARKARE GYKALLKEMEERGDLKRTSKWRETYGIVKGDPRYEALLGLPGSSPLELWMDAIDDLSE EVSRAAEKIEYALGKAGKEFKKGTTWEELEGWCHETHMDQQISERLRKEVFSLLQSRA RQQEEEELRKAERRQRHRIDDLRYALRKVDAINIDMSYEQALPHIKDVAEFKAMESEE EKQLGWEKFVRRQKEKLAEGESSTHHRSDRDHRDRRDRDRDYRSDRDRAYYKTDRMDV DPRERDDGSRGAKRDDRDRDRDRRDRGGRDRERDDRDRRDREYGREKARDKRVGLEDD DRDPKRRRLSSVSSVAAPAAKKDRPEEVEEGEI L198_06738 MVCSLHSPPIPIDTFQSLPLELIAPIYALVFDTHFCCPKHFANL LRTSKQFYVNNIWRLYDIVSLDDHNCKAFFDGWEGAGGEGSELDIDSWDFATCSQTFQ HHNEPDPPTGIIPLNLHPASRRVLLWRYCRSLRLESVTAVRMAALASDRLDTEWRKFR IERSPLNEEDPDYYLYTGFTIPSDTHPLFPSLKRISFALPIMQELYHHPEQIPEASVA TGEISIRSAMSVLMSRLKRGAAVCMRLPDTAKGYEEIMDEDERRDMLWAKRTRFNMVI YDIVALSHSLPSRLVFHDVHAADWKTRVFRTSSFGLDLIHGNGGELQRESEGVKMEQV KQWCKIFFHKISDERPIGFPTHVDITSFTSYPVSFGYAAEQHEDVVDGSHEQFQHFLE GLPGYSAWAGDSRGTLTLGPSKMCEICQYEGHA L198_06739 MDPNAEDKPKSVQVVELDVEPARDIPQEPVRRADFGRTENPIVP PTIQAPLASSIFRQALEPNTPPPTGPALAAEPITEDPKKIKQWQEEEFHRRLRGEYEQ AQRRVGDVVTDNMERPLRLTSIRLSPPPPTTRASFTSSLLSPFISRPNPNLPSWLNPA PPPPTTLHEILLSTRALVGHVGQFGVYDMDRVNVKLEPRRGGDPDEVEMVLTLREKGR LFLKAGTEVGGGEGGGNVTARIRNVFGGAESLEGTASLGTKTKHAYQLSLSSPIFASP LLNFALSAFSLDRDNSAFASHRERSQGGRANLSMIAPWGTHDLQYELISREVDRLSHD ASVSVRELAQPSTKSSISHTYTSDTRDDPWMGTAGRLLKVTHEYAGLPGSDKFAHFLK TVTQTQLSRELFAGSGVHFSLASLTTFLYPLFPHSPTSQSTTYLSDRTFLGGPNSIRG WKVGGMGRRDGPDSLGGDMSWGMGLSLLGPIPGKPNWPVKWHGFINGGKVSAYDTSRS FVDNITKMYSSPSLSVGLGLVYRLDPLRVEVNFAVPLIGRKGEAFSRGLGVGVGIDFL L198_06740 MPRRDLVRIVLVGDDGVGKSSIITSLIKESFVTNVPHVVPEVTI PPEITPENFTTSIVDTSSAPRSRPHLLNAISRAHVICLVYSIADPSSFDRVAEYWLPL FRREGINVPVILVGNKIDMRGGRVTNQGLEDESAPIMREFKEVETVVECSALLPLNVS EVFYFAQKAVLHPTAPLYDSREHTLKPKCLEALKRIFNISDIDKDGLLNAHELNQFQQ KCFSAPLQSQELEGILDIVRSYDPYAVQSIPSSSSSSVPTTPLPRDPQFGYINHPPSP PQEGITELGFLYLHTMFIQQGRMETTWTVLRKFGYGESLDLREDFLAPRFDVPYDCSV ELSPLGNQFLTDIFEAYDKDQDGALSQSELDDLFSTSPGNPWISQGFPDTTITDDMGR VTLQGWLAQWSMTTLLNHRTTLAYLAHLGYSSSPATDLPSPSALHITRPRKQDRRQRK VTRNVFLCYVLGATGSGKTSLLRSFVNKPFWGGEDGFGGYEPTTKVLSVVNTVEMEGV EKYLVLQEFGSKYESETLRNSKRLDMADIIIYVHDSSDTNSFSYISNLRQQYSLDNIP AVFVATKSDLDLAQQRHEVQPDSYCRRLNLLPPMAVSARSGPLPNLWVAITRVALNPT SNTPRGPSSAMSPAQRVRMVAGWGAAFGGISVVLAVWMRWQGYTFRGIWGWVAKGAGW KS L198_06741 MPLSEIVSKELLAYSKTDFGSCENSLYANATTNNGDYRRGIDEG DREDMEIGMREDRAEDQSREHNSGNMPAEGGLQSAGDMKRRRDLYTELLWDRFQSRPR PELYQNANT L198_06742 MPRTTLIRNASLVGYPAGTYRVLVQDGLVASITETGTDNAQAGE IIDVKELGSQWVSPSLVDSHVHTSLNALHSHRLDLQTAKTAQEVLDRVADAFNDPKYR MVEGCSNFAGTNMRNAGWPGPELLTRQAIDAISSERPIHLFFNGYHSLCANSLGLKLG GHEPEGHSGYLYEEEAFTMARVIGKVGADAMDEWVMEEARYAASLGVTEIVDLEWELG IIDWQRRYNKGFRSLRVNVGMYTDHLQYSIDRCLKSGDDVPDTNGLIKVGPFKIITDG SLGSQTAFCHDPYPGSKDNFGVFNYEPEELGVLIKRGADNGFKMAIHAIGDHANQLTL QALSRAEKILPGSTIEHAQLLSPSDLPLFASLGIIASIQPCHLVDDRDLCHKFWPGRE LGAYAFRWLVDAGVPIRMGSDCPIAPLQPWEAMAVAISRAGEGDEENPFCKEQIIDLE VAWAASTSNNKMKLEVGDRADLIIINADPLSCDAAGLRAMKVKGTMLGGDWTYKAF L198_06743 MLSHLRRALSRHHDDLLQPSYQLDLASSYSPSPSFQDRSRTGHG RSRITPFPPGRFSTPSPGSYHDQVQEFSEGEVERDELQALEALYVADDNTHSHPGEFK TAVRELVRREVEGQRRMWRRTELGLDDELGDELFLFEQSDERDTRDRGEVGEWDGREG YGVESVFATRSSGTSVGSLDGTIASELWRARESLSRSERGVREYPEAPPPYRSHEVSA YSQPLVFQPSPVEGLGVGGAPSYRSAGFALPALRVHGLEEEQQPLSPAPDYISIEGIN LPHARHSSNSSRQSQHSSSYPSSSPALTTLSSSSGHSPVEYDRAPTPWFPAVLSSGSS VGSSREGSPGIVGDGYVSETSSGQESPSPRPPSPVSDSHGSG L198_06744 MSVPRSLRPALKHATAPAATRGHAVAVDPPFLPPTPHVQQPQPR RWVDGDVRHDWRRSEIQKIFDGPLMETIYRAASVHRMHQDASRIQLCTLMNIKTGGCT ENCKYCSQSSSYKTETKATRLVQLDPVIIAAREAKKNGSTRFCMGAAWRDLAGKRSGF DRILEMVREVRGMGMEVCTTLGMLTPDQARRLKEAGLSAYNHNLDTSREYYPEVVTSR SYDDRLSTIENVRQAGISVCSGGILGLGEKDEDRVGLIHEISRMAEHPESFPVNTLVP IPGTPLEGNDPVKVHTVLRTIATARIVLPKTIIRLAAGRHIFNETEQMMAFMAGANAV FTGEKMLTTPCSGWDEDKKMLDRWGLRGQRSFEDSENIDVPHHHHIHEETGTGAML L198_06745 MPISFRKPANNSHGPSQPTTASQDRHYLPDDKPVYSCHSCSEAV ALQDELVSKAFNGRSGRAYLMNSTINTSLGKTETRKLLTGTHTVADLICATCQSSLGW MYIKAPNGEQRYKEGRYILEMAKVVKENKW L198_06746 MHAPELTDYMKDQAAKLDEVSDEIDKVNVLKLKSQAKDKATYDK AAAAAEKAEAARLEALYDGESQFDAEKDKTAFRQYEDACDRVKNFYAASLSPSLFRTK ADVDGQEQHLKQTYEYNVKMREEFRNTTRARMSVWEAMELLDNLVDESDPDTSVGQIE HLLQTAEAIRRDGKPEWMQVVGLIHDLGKLLCFFGADGQWDVVGDTFVVGCQFSDKII YPETFKVNPDYNNHKLNTKYGVYEPNCGLENVLLSWGHDEYMYDICKNQSTLPKEGLA MIRYHSFYPWHREGAYQHLMVEDDWEQLKAVKAFNPYDLYSKSDDPPKKEELGPYYRS LIDKYFPEEVQW L198_06747 MATPSTCSTTTLARSTHPSSHNPQGLVLHLRADPASSSTSSSNL KPPPRTSVDPPPSSQNDGSITLYATSYLTRGASVLPTTAGDGLTMLDRFIPPAGKHSV FWKVDDDTAQPNAASVSKAEELESILKERYEHCSRTGHQLEPFLKGSFAPPAYGDRST AMSYITKAFDRTLKEHGTEVAESVYGGFEGKERVGSKCVSAGEFEKVTGDRLERLDMA EYSRALKKGQGCLNRSLSQYRDD L198_06748 MSLNTSSSAIHLSLPPTSSTRPPSQITVHPSVVASILTHHSRRP TDSESTRVIGALMGTRSDNGQEVDIRSCFAVPHTEQGQQISVDQPFQQEMLQFLSKNG TKEVLVGWYASSKHIDSNSAIIQEYFTSQTNNQPAVHLTVDTDLQKNGQGLEVKGWVS ALLGLSAKSESAVFVPVPVSVKYADSERPALDLLTSNPTPSPSLPPLPTLSSSLAQLS SLIDQCLAYVQGVNAGTTPADVQVGKYLLEGLGRWAANGEKEDEGGVKAGLQDTLTVE YLSSLVRSQVELAGRLSLLQQQPSQ L198_06749 MSELTLEALNVDENRFTGEGSDLSLLHWLRQAEQAIEQLDPQQL SPQIQPLHAFFLKLLLPSPNPTLPKPGRPIRHLVTRCLVNLHKRVESRTLFDFVQSLV RAISDGGSKGMSAAENVSRVACWYAIGEVIKEHGKNMMSFMAEICTSSQKVLRNTNLS VLLRTQSLVAFSKSLYSAGRALPDALLKDLLKSLRWGLQDKALPVQRATAETFVSLHL YSPVLHLQQTLDIIAPLAYKSLETADHTTRRAQSRMLAHFLAATQTPGSGVVQVDNGR KKPEEDNNGEPTVITSAPEDKSARTLFSVQEMLKYISVPYNRPQSPRKLRNALIDVYS TLFSTLGAEYVEANYAEIVKHIMTEIVTPQKGPSTRYEELATRAVADLLLRDLIGERL LSEPGQVNAIRELISSYLKKWQPTLLPGQPKIDKNVLIIALREIAGLLEQLGNAPAQI IELLAEPVVRLLGHESYSVRLAASYTLRRFCHINPSQLPRLLNVLVSDIQKDLGYLPS PTAPKDLSQRLIGKSLGLSALITVSAARPLYVSHDVPTKVFDMAVSLLKQSSDHEIPR ASIEVQVAWNLVVGLMSLGPSFVKIHLPQLLVLWRNALPKPSSKDTSVGERGEAEWSY LLQVRECTLSAVLNFFTHNESLVNIDVARRLATLFTNTLNFVNGFATAYAEALREQAT SPNPSTIFTSNPSLVDREATLRRRVLQCFTALGPSSATESTQPALLQAAITVFADPEN YSGSNTQAAIAAQAGNFTGVWYAADGYAFGVTSTAGVRDIGEGREEEDGWLNRDKVEV DLETLLSRPVLGSLEHDALSLFSAKPLSTSPSPPPAQTGVIDAGVSLFSILFPHQSQE GQVQSLATLSSHIKSSKLERNPGRKQAVVVNTLLALRRSLEKTEGQGSRAKRHVGSGQ VSEMIRSILQQDSIFDPTPSIRSTSAEAIGLLASLASPNHLSNQVQWLVDQVVNNRSP DARAGCALAFSAIYSSVGGLSGGPILKTIVNILMSLATDPHPVVHFYAMKALGRVVDA ANLSYEPFVPTTLGMLANIYLLETHDPEGGSLGSVNLRGDLPAYQVICRILHALIGVL GPELQEAGRVKSLVFLLVHEFGEETDEGLAVEAIKCIQQFLMFAPAAIDTPKLVKTFR RHLASPRRPLKVASITALYQIVQRDAILISKIGGNQLVEDLFGLLDDDPSIEGVKRLI TSWLSETAAALPSGWIDICQKIMTRTAAQKAAAKRPQQTSAGTGFIDDEGESFAGNSA GSGSNALSSRWRTQLFALHCLHDVVQAVSEGNRPEHFDPVLARRIGANGKHMLWSRVG DLIRMAFSASAAGVMEVRVAGLIVLRDVIEKFASSPDPDFDSSLLLEQHQAPIAAALT PSFSSDSAPQVLSLAVQVCAVFVGSGVVKEVPRMGRILKLLTGALEQCKSGDMLSLGD VEDLSPFAAIMLKISIFTAWAELQIASLRQPYLTAVIDPHRWLLAPFWIGALRDYAQL RTDPEMGGLGGGVDSAAGLGREVLLPYYEQAVPKLVHAVAITFSLQDAFALGAMDGQR FSSPTPPSSLPTIRPEPCTNFYTIYGLAFESLLKTLGDTAQSPLAAAYLKALQSLVKP SLSGTTVFEGQFFDELCTVCYRIAMSEPASVKLEMVGVVRDFAISRKGTGVDDAAQTR RALAVVAFTLRQIVPCKEIKATWHHTDPPSSKTALLRSAFSAYAQIIDCIDISQRADL YAVGLHLFMGLLEDEAVLDLVGGCLGSLKVLVEGLVGAQVPGVGNGEKVVHGVVSACL SNVDDMRSRVNPVANLKIKNNLLAITLILTALPSLIKVSKNLVEATASAVGKNLGAAV ERPELGLTAIHCASTLLSASLRPIPSPLGPSSPPSPSSVLQHTTLNLLPFMIGYISET VVAHATKAESAPPLGGVREVVKALVAWGTGLPEEGRQRARGYGVLLPTLCIMLDPPGS ASTSGPSQLHGVATGVLLGLAQSSPVAFKEATMAMKEGDRGELEKAVREAIGGGKQGQ GQGVGKEKEKKGIELRSFG L198_06750 MSNPLDQPVTDTPLASPSPPAATPTPVQEQKTPLAIQHGSHQPA DSQVVEQEEVHKLDLGGGNVVKLDKLGPMIINSDGTLSRIQNWQDLHPVEQERTVRLL VKKRNLVRLKSLAAGGGGEELSALKDQA L198_06751 MPPRSPPGVPHDSPYAQHRHRAHSSSARTERDLSTSASTTPSQV FPAVNLDDHLGPAPVAADFDSEVYQAKLRALSIPDSPVASPADSSLPSHTPSPEHVPD VESEEYSYVPDTSSAPVVKSLTRLKDNDIHWRHHGKAASSHFILHFQELKHQSGEQSN FFEDLGQHKRQDFWHVPEWEVFVMDVGLNPLGYSIWPDEGLDQQLINAYFDHVNVHLP LLNRHIFQRDYDSGLWKSQQRFGRVCLLIFACGSRFFNNLGTFWHPAFSKTQGTGKDY SAPWLQYSAGWRYLHMALHMGQNPVRMPDLYELQAQALICHFLQAGTEPARVATIAGS ALRSAQDIGIHSKVVLERLQPADRELYKRAFWCLFHLDRQGCAMLGRSVAMADSDYDL PFPEDLDDEYWSLAGGVMLQQPSGRISRVRVFIEVLKLDQILGQVLQLLYSSSRKTTR GPTTRRAAAIELDATLQAWVSSIPPSLRWDPHRTNHTLFRQTASLWTLHAYIKMLIHR NLIPPRRNVATHDQLSSLASCVTAALSICSISDSVLSRSLREGSKPGQALDISALFPA WLAGIVLLVSIYAVDQTPLERRRAENGIRACLRAARELEVNWKLGGKIADFMEQFLRE SGRLDTRREAEERAFRQESGTPTKPVYSAPQGHESGVQEQGQGQGQGQGQGQGQGSSE KEAQRKLFESWVRMNTFENQMKGMKKVTLGQGEDGQGAGEGQGEGDEDWWGRVFGNQM L198_06752 MMLGGAPKCEGCSKTAYHAEQVMGPGRKIYHKLCLKCLNCGKRL DPGGLVEHDSQPYCSRCHVVLFGTRDLRHANHLPSVPNTPPKPPPTETSTPSYHRPAG SLSSARPLPPPTTDFYVPPTAQSLPPESATPTDPSTPITRPNFRDQRPISIPYAGGAR ALDDRGLLKKGSSPRTKVGISVAGDDLCGGCEKRVYAAEQVISVGHKWHRWCLKCNKC NTTLAPAKVSDRDGTPHCKNCYAKVRVTDA L198_06753 MSTALERLKEARKKGGSLSQWKPTEETDIFDHVTEDQYKAIVGD RLDQDDFIEDDGTGDYVDDGREDWDGAESQEESEDEDAFDGEDEEYRKARKLKKAKAK AKAAANPDKATKAKPKAKASYSDYARPSNKSSTSYRAAAPSALAEDDFMASLLSGIDA PSTTRKRKSSPEIPSSDGFPEPSSDSSFFSSSRKRYGAESDDETMWDAKRGIMGKKPR VSDVTVTGRRDSHMDVDQENDIQWDDDSMDIHEVKAERADSDDDDIRVKSKPLTTSKV NGVTTKRKVVNASSVKHVLKREPSPVASPAAVKAEKAEVEMEVEPKVIKPRLAAKPKG KSKHWSAIQADLAAKAAEADVDDLEAAKAPVNSTIHSDDVLETDGSLKFFWLDHYEEA GHIHLIGKVLDQKSGKYVSCCVSVLEMERCLYVKPRAKKFADGHQTDLDVSQDDVLDE FDSFRRKSNISETRVRWVRRQYAFEDQTVEREESDWLEVRYPFHQPEIPAGSSGSTFS HIFGTNTTPFELFAVQQKIMGPSWLEIKDLSLAANAATWCKIEFCVESPSTINPFPET DNAAPKDTPPLTAMSISIRTIVNLRENKTEVLAISLRAWDDYNIEDPTPPDQLRSQIT TVIRPIEKFPAGFEAFAKNPKEGNSFHTVKNERSLLNLVLTHIQRHDPDVLVGHNFLG TNFETMLYRFRELSVDKWSRIGRFRRGKGIKISKMGSNVRLLSGRLVADLSSDAAKGM ISSTTWSLTEMCLTHLKIQREDIDPEDTATYFDHSAVSPDKLITFTRLCEVDAFFQMA IAARVQILPLTKQLTNLAGNSWNLTLNGGRAVRNEFILLHEFNRLGYVCPDKAPFKAK TKASADGDEGNEDLGVMKAGKGKAKYSGGLVFEPKRGLWDKYILVMDFNSLYPSIIQE FNIDFTTVVRGQDGDDEDKIPEVPRSGEAKGVLPKIISTLVNRRKQVKGLMKNKSATP AQILQWDIKQLALKLTANSMYGCLGFVGSRFSSRPLAALTTFKGREILGQTRQTAEND LGLDVVYGDTDSVFVNSNVTTYPEAFKIANDFKKAINDKYRLLEIDLDAVFERILLLN KKKYAAVKIDESGERITEVKGLDMKRREYSQLSKDCSTAVLKEILSGESTEVVVQNVH DYLIQVGEAVRNNEVPLEDFIIFKRLGKNPEEYPEKNALPHVQVALRMKAKGTSAKAH DVIPYIMCLDEDGKSGKTQSGDRAFHPDDLRRQGSELRIDYEYYLDNQILVPVNRLCE TIEGTERARMAECLGLDPRRYANTASAEAQEQEFATFDSQMSDEDRYRDVDPLKLTCP GCMEELAFVGLPGKEEAESQIRANGICCDKCNTPIHSASLGLQLENQIRAHIAQYYLG WMVCDGEGCGSRTRTMGVYGKRCLGFAREDCRGTMRLEYTDMKLYHQLLYYRSLFDVD KILAPLQGSKRLEEVRPVTLANKKLLSDLVNVTDKYLSKNGRRYVDLGGLFKFMEKIK L L198_06754 MPRNNAPLQPLPLQHLLQTYTTSHPLRSPSPLPPSSRIDPKLEQ RLPPPASSSPFLWSLAEWWLFIRFVFTKGIHVLMALLSHLLFGPKRTSWGYRMTLITS FMRNIADHSSLADIVLIRRFVSLHFLMPLPGDAVVTPITFVVPKRTEAQAARGFLRQC DLLELGDRELAGEWVVGTEVWKRLKAEKRARQRHRQGKGKGRSASPRAGQRFPELATE LKNEAGAGERVIYYIHGGAYYVGNAATHRLITIGVSKSCNARVFAITYRLAPEHAFPL PLHDVLHGYLRLLSAPLSIPPENIIIAGDSAGGGLSLALCMYLRDEGYKLPAGLILMS PWVDLTMSCGSWDENASSDVVPRPEADDHLNPVGCYLGPKGISTYLTHPYASPLFGDF HGLPPMLIQSGDSEVLRDEIILVAHKATLAGVSVTHELYEDMVHVFQMFSFLPATEKA IYNVGKWVRTVLPGIEREKREAEGRVGESGVGGLHLDVIESVEEEIAEEGAHVIMNNG EEIGVNKPEDSSERARRTPKKSTLRYETQEAVDDEPTVDTDSLATSDSGSPTPTKNHK GSGYPFPRVPATPTRQDPIVPQAHTLPRLRRTQTSVPAFTLSPPPVPSTFSRRHRPTA STSSSTYYPSPSSSRNPSQPTSPSSGRKRLMSGTLSYQPQPSTRTRSKSHSDVFSLVE GYVEGGAANQTTVYAPGGEIRSVGVLGEDEDE L198_06755 MSTPEKNQGVFSLEDEKLSTEHIEVAPSATNYDGAEKGLPARKK RTANNQIDDAARLLEEAGGHVDYTTEDNKRILRKIDLFVCLPMCLVYFIQQLDKSAVS YAAVFDLRTEANLHGSEYSWLSSVVYCAQLVCQPLSSYALIVLPVKYWVIFNMTAWSI VTMCTGAAKNFTGLLLARMFLGVFEATIMPSFILITQMWWVRREQSYRTVAYQISNSF AAILGPLLAYAIGKAVEGSTTVKPYQGIFIFMGGISLALVPFVWFMMPNSPTTAKFLR GGNDRLIAIHRLKDNNTGTKASKFKWDQFWETYKDPKTYMWAAMWLLCAIPSGGIGAF GGLITKGFGFDTFTTILLQMPPGGIGIITILVGIYVTNKIKMRWPVIATICIFPIAGA CALTQVPNSKTGALMASYYVAYLLSAIQPLLVSWCNLNCAGTTKRVLTTATMFGALTV GNIVGPQVYLDRESPKYHTGLYVDIACWCLLLLLVITMGFYLKVLNRRQEARRVALGM PANLKDVSIMNTEEADRYRAELKEMMRARGQGTGTGQGELNEGAFDDMTDFE L198_06756 MPARRRDGEGEGGASPPSDVPGNKKRKVHRACDACRRRKIKCNG PMDSRINDKCAYCIKLGLECTYNNDTSNKRGPSKSYVQMLEQKCGRLETLLSQAFPDV DLRTYVGPELDLDTFEITAYSQALASYGIPPYPSVKPLPVPSPQVSAPVLNPEPVTSS LVPRAGEKVRRGKDDVRQDQQTAEELSLARFMSRLNARGAQGRNYDKPSPAFLVYQLK EYRKSCAQNPQLIEDLKKTRRCQFWDMPQWETDMTDEGLRHADWSIWPSKGLDKLLID AYFDHVDRFLPLLNRPRFQQEYDRALWRSHYGFSRVCLMVFANGAKFVQDSRVKLSQE DLQDMESASFTAGWKYFRAFIKMGQNPLQCPTLFDLQTSVLLSQFLQGSTTLPHSLHS VYSIGISSAQDLGIHIRSLMERVNPVERELYVRAYWCLYHIDRHSSVFFGRPMAMRDV DFDIDYPRDVDDEYWESGDQSSRFLKPQGKVSRVAGHIQILKLDRIMGQILHAIYTVN ISRDTNITNAYQSSGQLLDTCLNRWLAELPSELRWDPTCPDFGVLQQTAGLLLYFYYC KSLVYRSYVTISFSDPADPNHTLMPLAACLAAARSISDIIYTTLTRARQEGVPPGHAL NVSFMLPAWSAGMIFLFRLSLVQDTSDEKQKMLREMRRLIEGTRDMELVWKQAGKMTD FFEHLTKEVEKPRSTVWRPAEGGSGAERVEDRQLIPLYIINSSPRDLPQGQPQSPDIL EAWMKMDAFEFQMLGTGAGDAGSEPDEEWWIKLYEHYM L198_06757 MVSNVSRTSQQVNYHNDPDISTDLVMFSSTLASGSRLRDDVSGQ AFVGSGNTSNIQLSTGEEAPPADWAPLQASTALSSQIFPSGTPLQDINQPPQLVSERE TEDQDDRCVIDGEVQEDKSTPARSHTVGGTPQRRLNPPESQGSDASGDGAEEWEDNDD EDDADDVSSTGTEYSRDSNIMNEPGWRRHFNFPRRSRELKARTVLFRQEIQALRAEAK AGFRLYASRRFRGSEQASRLIERARDGKLLDPRISKCILLWAAENGKTTTIPGKRIKP SITIDQVVKLAETMLSCVADQRYDERLMAQSASHDASLRALNRQNKKRTERIREKEQA VTTRESELEQRRGEQEAELARRKEEQEAEFARKEAQEAEHRSRMRELEERERRLQELV L198_06758 MVEQRCGRLEQLLRQAVPDIDLDEYVGPPIDPETFDIVDYKVHS AKFVSDPRVKIPDDIGGYHPYSAGWRYMRVLLRTGYNFLQSPSLYSLQKTVLVCQFFQ GSSTVPHTLPVISSLGLRFSEELGIHVGQLLHHVNPVERELYTRAFWCLYHIDRYNCT FVGRSVAMRDCDFDIEYPKDVDNEYWQLDFKQSEVKVSRVAVFIQTCKLDRIVGRIMQ TFYTVESSSQPVVSLRRAADEISADLDVWTEELLSVLHWDPERGDYRIFQQTAALHTY FHYCKILIYRPFISSSGSHPKNDPLETCLSASYSISDILSACLHRGRRESCQPGHSLD ISYILPPMTHSTDEYEQALFHAKVILAASKDSEVVWRQCGKVTDCLTHFVKEAGEWRI MGGREGMEGGEDDMRGKVR L198_06759 MPPHSQLRRRVQNQPTAAGVIPYERHPPARIPSTRGYHLAISIF IVGAIILYAQKQTNLVRNAFPPRRYTALGEEGGDLPDLYAICSKQNGKSVLTVPFDYE GDGDEEGVGRADCVVVIDGEVVDAGGLKKMRRKWVTDTYPKPISEAMRIIDIPPGRTV TPGFIDSHGHPLVYGHSRQLQLHGSRSVAEVIEKVEAFVLAHPEEVKEGKWIQGLGWD QNLWDDKEFPTASDLDKSDILRGLPISLSRVDFHVEWVSSAILTRLGKVRDVSGGTVV RDEKGKPTGVFIDNAINQLAAIRPAWTDDDRERFLNVMLKDALKGGLTGVHDAQGFEK DQKFWRKMAKQGKLPIRFYNMLSCEGRDYCGDDIKPYDDFDNHYTLRAVKLFGDGALG SRGAALIDDYTDKPGWKGMMLKDENIWNDLIKKWYNAVTNIWQNVHTIGDRAGKVVLD AIAGSTNDPVARRDARFRLEHSQILTQEDIKRAAYMGVIASVQPTHATSDMWYAEDRL GPERIKGAYAWRSYLNESGIITLGSDFPVESIDPLHTFYAAVTRLSVDGTSPHGKEGW YKEQKLERWEALRGLTVGGAFASFSEDRIGSLTEGKRFDAVVWDRDLLTVPEDEILDA KVKAVIVDGQLVYGSI L198_06760 MATRLPAKPPPPAIFPKLLPLLISRLTTQPVDIALIPSIETAFY ILRVLTLYPDVVQGVPSASAKSLVPLQKLLEISTSHPSSIKPPLVLDAIIAYPIHSPV VRQVVSNVIANSPDVIEVYRIDVLPDLVDRCRKGSQKQVLLAVNLLLGIMRVHDELLA LILEASEDILKGLSVAYAKLAEDSQGVVPDEAIFSGRDDILVICRELLVRVGLEGSAS EAMFRFMDGGQGGMEAVLEGRSLRKDCEKFVSKDQGAFNPSSWVVASLNRRRDELAKK DARVASILALFPTIPAHLLLAALSHPQFSSIPSGSRTSPGELAEPLLECLLNGGQGLP AELSHLKELASKLGYLQASADAPEEVPQPKKKFERRNIFSAEDLDMSRLRIKNDETAL PELQSTIPSHLRDSIQRLIALQIDEEEERRQALAGANLLPSDDESDLDESGEIPLRVK VSGGDSEDTAPIDSDGDAVKVKQQKQSGNRLPDREVHMVLRGLYLDDPGLFDRDGATR RSDQRNKLKERTGWDDGQIEGWKVMLERDPNKDTILEAHRDSVGRNFRAPSPTLSTTS NQTQGSNRGRRPNKGNRGHSNAARTRGHDRKMRQMGA L198_06761 MFGNNAFGALAMDSDASNAAGPSSQVIEGEELDVSWLKLVKRNG GVDVRVSEKIELEGLPNECNLMTVVNKWGLLIVGSNSDIRIHRLVDVHKAINEAPPGG KTLPEIAPAQTVSLPARPVWIRSAMNEERLVVATANGAGIYVWNLKDIIAGSTNPLHS FSINIPSRLLNVLPNPSSDSLGRLVALVANEGLVMADIEEGKLMPPVAGPFSSACWSQ KGKQILVGKPSGELVQYTPDGVAKAEIPHPPNLNHRPSSIQWLENDLVLVTYVQNAQG MDSDPIEQYIVSRKNGSHSFVKFFDPLNNMGFPARSEDYRHYAGLAAWGSESKHLAFL ISGAAPDIAVMNGHPPSGNVPPQWEVLFLDGSERGTMPVAKAGRDNTSPLALGFDFTS QEPVQQSTDGTLPDLAPVPRLLTFSQEGVIISFDVLNPDAGPYPGMITPQNIVSGDSA AAPSPAPAAKPASSTPKLAFGASSGSAFGQSGFGAAKPAAFGSSTFGSSPAFGQSSKP PSTAAPSAGSAFGQSAFGTAKPSGFGSSAFGQSPTPNTSGASTPSGGASAFGKAPAFG NAAQPAASGQSAFGQAATPSTFGSATPSAFGQSSTPSAFGQSAFGQSAFGQSSKPASP APSAFGSSPSAFGQANKPASPAPSSSSQTSAFGSSATQAPAFSGFGKSGTPSTASPAF GQSAFGQKPATGAGSAFGAGGGFGQSAFGQKSAFGAGNGSAFGQSAFGKPAPSPSIEA PKSVSAFAGFGQTKTETPKAPSPAPSQNSNKDDFGLGGFASALESSKSTVSVPGLGES PPASPVGGSNKKPAGLEDDTPPNSPPVKPAAPAPSAFGAPSSSSSGFFKPATAFGNSA PSFGFNATEKKPTGPTAFGSGFAPAGSTSSTHSPGSAFGKPSAIGGGTAFGQQSAIGS GSAFGASGFGQSKPASPAPSASGSITGGFGGFAASKEAKGFGGFASKGPSLFGQKKND EQKGDSAFSKPSSSFGTPKSTSAFGAGSPFYTGKTDSGSLFGKPTQTSAFTSQPATPV EEKKDDIKEEATLIKEKQEEKQEEKQEEKPPAEEDSKVEGEKQGEDKLEKEKERDEEV EGFDVPAPQPVEAKSPPPASAETLSTPAKETTTPPDTPAKDEEASNTSAPEPTKSTSP EIPVKDEEESTTPITLVSEPSEPESKSEADDGKDKTVDPDEVETLDPELEAASDVSAE KAALESSEGASGDAVAELLEEAQKQRTNDVIGNEHQDVFEEVGRDQKPATAVSASDGD SNEEETKEEVSEPAADEHTASSPEPSISDLSEEEQDAEVSGPEDQKDQQFVGEEEEVD EQEEEEDEEEGDEQDEDEDEEDYSEDEEEEDHEERHRRRSTSIAPDMSPIEEEQQLDE GSDAGEDEDEEDDAEKESSVKPKPSSLRSPPAWFATKSSTSAPTPPEENEPKSPSPSS GSSLFSRLGSATSTPSEEPKTSPTDAPKLPFNFTKHASRTSSPLSGPPLGSTTPESSP AKSVAAPSAFTGFGQKPTDASKPSGFGGFFKSEEVKPSLFGGFGQKSAEASKPSANTK ESQTPASTAPSGFGLFGQPKIEPIKPPAPAAPAESLFGQPATAPVAAKTAAQPKSVFG NLGLGKPSGSSTPSAFSAKPLNEPQPQSSPLPSSKPASAPAAPKPEPTPHPSVPKAAD FTDLSAPTRPALAERPSKVTQSGEKTMGSVAVKIVETLDEDLIKLKDVVASNAKFHQE LRRRNAGTVDFDALVDVVEKQRTLPLSQLSQVQRLIDDLHPRVVKQQRIDEEAEARLA ELRSKMLRVDSKVAQVERHLKAKRGQISGESLDLNPDQAAYRLKLRNAVQDTEDKLQE LENSIASLRHRNEKQDEGHASLPPLERIQRSVRSVDAAIRERQQAINDLSRRIASCHI ASPSGNRSLLTSRRALNFEPTKDAMAEVNAVLAGPSETKKKRLGKLKVAKLNKPVVAR GEGSSGVRGASFTHESVSAGPVMIDDLPPAGHFSPPVSPNIAPAPELNDEAADLYLST LSSSPPLAQPVPVPATSSAPTPAVSSPSAFGGIKFNLSPSFEGLSHESSLRRSGGSSS GNSLRPAAVKYVPGAASSGTPPPPAAGMFSFAPKDGEKVVTDEKKLPSGFFSLKDYMN KN L198_06762 MATMTTMTTINPNPSPRPQPPALPKLPIPPLRDSCTRYLRALEA LQTPSEHAKTKEVVREFLEEGEGDVWQKKLEEYAKDKESYIEEFWYESYLSHSDSVVL SLNPFFVLSSDVTPRANPQLSRAAALITSTLFFIHDLRNGLLQPDAIKNKPLDMSQYE RLFGTCRVPTDTGCRMEVHGDSRHIAVVRRGQFYWFDCLDSKNRPLLSDREIFRNLDA IVKDAEKTPTAQIAEKSLGVLTTESRKIWSGLRSDLINSNKHNRSCLDVVESALFIIC LDDTEPVDTAELCSNFLCGGYKLESGVQVGTCTNRWYDKLQIIVCENGEAGVNFEHTG VDGHTVLRYAADVYTELVLLFAKTINPSTPSLFKAKMSPFAKSGKAPPPTPEEEIDTT PKKLEWQLTPDLRAGIKFAETRISDLICQNDSQALEFKGYGGAFIKRHGFSPDAFIQM AFQAAYYGLYGRVESTYEPAMTKAFLHGRTESIRTVQPESFSFVKTFCSDSATVQEKV SALRKACKRHSELTAECSKGLGQDRHFYALHCLAQKEVMAYDPPGADTFSPVIDLERP TIPALFTDPGYGLLGTSVLSTSNCGNPALRLFGFGPVTPEGYGIGYIIKEDGISVCMS SKHLQTRRLLSTLHAYLLEIRSMLILLWKDANERPETSFMDHFGVLRDSKTGKALQMV EQDEAGEGRDFGEEGMGGFGFFDVETQTQMPQSRRRRTLVGKTLSIAEY L198_06763 MRASQELEVLWQRSGKVTDFSERAVAEADSFAKGMTQKVTGPGV EGEGVSLEGELGRYFPTATPATPSSYLSPDAEKNDGKKTQQGLHDSWLRMNTFQSQLL NMGIPMRAREDMEEGIGDDWWAKTLGNKNLYNTWI L198_06764 MGNTPSHQQNTAQRQGPPSNAPHRHPSLRLHMPQHHISPSHSGN TSPSGRPGSPRRRKSLELPDLNKLQFTPAAFSPAAPVPTTHTHTSHHLAPSTTAVRKE SPPITPTANVNNRRWRDTLGGKASPLAGQNTLAAMSKLEPPISGSSSGQTSKGGASDV NPYFPDPYGVQDPTAGTHPSKAVPIPIPGKEPPRAEPQEVPLPRPQVAEHDSGPPVQQ GFTEGPVEEKGDGLVDVPIQWNGGGRNVYVAGTWEGGWANRIKLRKSTHDFNTTLRLP PGQYRVKFIVDESWRCSKQISTATDDDGTLVNWIEVEAPKTAEELKAEWAMDSKPAVK EEQTDESEWTGEIPPSLIMYQYIEELPLRMEQDELHNFLKSVPYIPNVPAPPTLPRIL DKVIVNNDSKRLWDNHDPKAAAQTGWQSNPPAGLDDNSILAVPNHVVLNHLTASAIRN GTLGVGTTTRYRKKYITTMFFRDQPSSAEQQQAAEQPHLEPVPQPGS L198_06765 MASRPAPDVPAHHRQRRSASMSAQHPAPIPQPRQKPRIGQYIVE RTLGTGSFGKVKLATHAITGHQVALKLINRSKITTPDMNARVKREIQYLKVLRHPHII KLYEVITTPTDVIMVMEYAGEELFNYIVQKGKHGMTEDEARRFFQQMISAIEYCHKHH IVHRDLKPENLFLDSRRNIKIGDFGLSNLMTDGDFLKTSCGSPNYAAPEVISGKLYSG PEIDVWSAGVIMYVLLCGKLPFDDEHIPTLFKKIENGVFHIPSHVSDPARHLLKRMLE VDPLKRATIFEIRQMPFFEENLPRYLQPLPEIAEMERYPALPMDDMTTLLLINEGQAD PRKVAEDKGLVFTDELGVIDPDIVEELLTKISTYSAAMVWEALQSPGDNQVKVAYQLV RDHRRILKDSNAYEDEDSSAMEEFMASSPPAWNANIPPPSQTQNEEMEEPDMEDVDLE IQDIPNAHFDVLDSSLPGWMTPPSSTASQISTPTSNLPPQNQNTAEEAARALLSPSQN TSTSSFPPSSQTSHQPRRPDKSMSKPKWHFGIRSRSPPMEVMLEIYRTLNILGMQWRK KEVPLPDIGGAPPGGYTEEVEAVLEELREKNGGERPMMGKKPPIKKDAMAQEKAAQGL YHVETRARYGDVMVRMDLQLYRVDDQHYLVDFRNLGYYLVSEADRFMPDVSRQDDPSP HESSQHGSIGSEKRGSGSAPSGGAGGPGQGQGKEAIGGVSGPFHFLEMACQLIAELAS G L198_06766 MSGDPRSTSINTIRVHRACDSCRRRKVRCEGSQDNDAGGKCPSC IHGGHECTYMEDSQRPKGPSKRYVTMLEQKVGRLESILRQTESYVGPRLESEGFVYDT YIQDLRSRNIPPYPALKPLSLPTPSTSHAPSPALSIFSSTQSAHVKDENDKDEPSHAV LIDSDLKSARGIRSDIIVNMGQSKREAFWETSEWETSIEADGTSPMDFKVWPERGLDQ LLINTYFDEVDLHMPLLNRLVFQEQYDAKLWRTSEGFAKICMLVFANGARYVKDRRVL WDSATPGDNSISQFSGGWKYFRVVLRMGRNIMQLPTLYDIQYLVLVCDFIHASSCPQL IATISSLGLRFIQELGAHISAVLAQWDPVERALYHRAFWCLYHIDRYGSSTVGRPVAV LDSDFDVPELANIDDEYWEREDLDGRGKQPEGKVSRVAFFIEISKVDRIMGKTLNRIY GTKKPADVHISRRQMVDELDADLRTWADNVPPPLRWDPKRSNYSLLRQSADMWAHGHY ARILIHRNFVPARPNTGTLEQLYSLASCVHAAYAICAITDALLSRGRQEECPAGHALP VTVKIPSWLSGIILLLSLYTIDMSKEELFRTREGLKSCLRASQELEKLWQKSGKTTDF LQQAVNEADFMVSRMADEPSKPESTVNGDLELSLYNPAPHSSSTYSPFGPTPGTKTSN GMEAQEGLYNSWLRMSTFQSQLLDMNLPSRGREEQEEGIGDEWWAKMLDDHK L198_06767 MGYTSEGSGEGSDKRVKRRAPKKSPSVVRRACDACRRKKVRCEG PMNSLTNAKCAQCDHFGVNARVWKKHLGARIQQNRGYDRMLEQRCSRLEMMLQEVYPD VNLDDYVGPPLDFEDFDLDSYQEELRAFSIPGYPSLKPLHPVPSPLPASPSSPEPSNA LIDSVSFIRESDRGKSLDEIEHTGDDSVVVVNSLRRLQYQHVRWRHHGRTSGSHLVRH YQDLKQDAGESGNIFEKLSKDKREDFWQVPEWEQFVSDVGQNALDYLIWPENGLDQQL INAYFETFPSSIGISFRRSTTRACGKASRGLGVATGTFWPRGLSATQGQNRDYDEQWL PYSAGWKYLRMALHMGHNPLYMPDLHELQSQVLICYFLQSSTTPQMSAHLAGSALSSS QEIGIHLTTVLERLEPTERELFKRAFWCLYHFDRFSCAMVGRSVAMTDNDQDAPFPED PEGKGKVSKVAMFIQLLKLDQILGKVLYSSRQEGSRQATRRTSAIELDLALEEWADNV PEALRWDPNCSNFTIFQQTSCIWGYHGFVKMLIHRKFIPPKRKVGTIDQLSSLTSISK AVLQRGRQEDCQPGHCLDVSFRLLSWLAGTILPVSIYTVEQTAIERQAAEDGIRACLA ASRELEIIWKQGGKFLKETETPNPRRLGDGSGGDPKGPKESMYFTPSTETRGVCLGGT ELYKP L198_06768 MPDPSNTLPNTAIVPGPLPFSLVYTDTVGMNNHVNAMNLRLDHE VVVKAPSELLSLSVQFLDVTKRVFTDTRK L198_06769 MEGGGEYHDEEKEKAAKRLEAMVAILMARSEVEVVARKEMIKKR DKSEKTLDKMIEELVLQEKKQREEQEALSQRANPFHHFREHSFATRFADVVDSRGFDG GYDE L198_06770 MSTNNQEPPALRTRRRAALLTPSQEEEDISGPIDGPGGASTGDA PQSSGLGETEQTSVLPADIPLPPPSPMPELPDNEEGNPNPNPNPNPINTQAPAQNDND DESSGSDLPSNNGQPNNAAGNRGPTSTTPAPSLLPPAATTAELIQLRTLEVLERLAAT TARASTTPPAPTTYSPSPSRALEPETITRDRVKVANAPEPFSGNRSKLEYFIANNRIY FDTYPSSFVSDKEKITYMLTNIRGPVWASLQPYVNQEPRPFILQDLSAFISHLRRHWG TSDEKGNAKRSLRMLRQTGTADSFFVEFQHLTSILGWDIDSEALIDQAIEKMSEELQD ELARAHFEPTTFSELMDWATTLDNRLRARAADKSSSV L198_06771 MAFLDDLPGPLAFPLVRSPSSVQLFPSPPSPAVRVEESAPRAHS PLYLRSLRAFPLPTRIARPRLGGIDLSATLHTHSDTGTSHEGEEPSPVTASLKRALSL LAADATSLNVRRTIEDTVAVYRQYVIAQEKPSKRLSYTQPKWEKGWRGILQQGTGGVS KTLLDQHEDLLREMLIEAGTTEEKEIG L198_06772 MSDSHSLTSFGTARATVKGAPLSKHDLEQTDAYMRASLYLCLGM LYLKQNPLLKEPLTKEHLKARLLGHWGSDSGQIFTYIHFNRLIKKYDLDALYVSGPGH GAPAVLSQSYLEGVYSEVYPNITEDVEGMRLFFKQFSFPGGVGSHATPETPGSLHEGG ELGYSISHAFGTVFDHPELITLTMVGDGESETGPLATSWHSTKFLNPITDGAVLPVLH LNGYKINNPTVLARISHEEIEALFIGYGWKPYFVEGSDLESMHQAMAATLEQCVLDIK GYQKQARESGKAFRPKWPMVILRSPKGWTAPRNVSGHHLEGYWRAHQIPLADVATSSE HLKLLVDWMKGYKPEELFTPEGKLIPELKELPPKGNKRMSANPVANGGTIRKALRLPD FGKYAFTNISPGASLGPSMANMAIWLRDVIAQNQTNFRLFGPDETESNKLGKVYEAGK KVWMGEYLEEDGDAGNLAHEGRVMEILSEHTVEGWLEGYVLSGRHGLLNSYEPFIHII DSMVNQHCKWIEKCLEVEWRAKVSSLNILLTATVWRQDHNGFTHQDPGFLDVVANKSP EVVRIYLPPDGNTLLSTMSHCLESKNYVNVIVADKQDHLQYLTMEEAKIHCTKGLGIW EWACVGDANEEPDLVMACCGDVPTMESLAATALLKEYLPELKIRFVNVVDLFKLIDHE DHPHGLTNHEWTSYFTANTPIIFNFHSYPWLIHRLTYKRPGHLNIHVRGYKEKGNIDT PLELAIRNQTDRYSLAIDAIDRLPNLKNKGSVAREKLLGAQIKAKEWAYEHGIDPEEV RGWKWPYGEDKGKKGVLESLASGVVGGGENKKQVASVGTSE L198_06773 MTMATPATPSTSTHSPSASVHSHLSRRLTNRMTNRYSVNAMYSL AAEQDIELEDELSRAQKKLRDLKSRISSQSKKNFVLERDVRYLDSRIALLIQNRMAAD EKRQVAETLEDVDEDSGLWPDEKKMGQYANLFFLLQSEPRHIASLCRLVSLSEIDTLL QTVMFTLYGNQYEQREEHLLLTMFQSVLSAQFETTTEFGSLLRANTPVSRMMTTYTRR GPGQSYLKGVLADRINSLIEHKDLNLEINPLKVYEQMIQQIEEDTGRLPPSLPRGVPP EVAAANSDVQAIIIPRITMLMEIANSFLSTIIDSLDSVPYGIRWICKQIRSLTRRKYP DATDASICSLIGGFFFLRFINPAIVTPQAYMLVDGVPAKHPRRTLTLIAKMLQNLANK PSYAKEQYMMSLNPFVENNKARMNQFLNALCEVGDFYESLELDQYMALSKKDLQINIT LNELYNTHSLLMQHMDVLSPNDKHHLRILLDELGAAPAQVPRKENRSIELPLYSRWET PIQDLSTSLMSDSVTQNDINYMETKSIFVQLLRSMPTIADKRPINLGALAEKAATSKD PVLVRRGIKVQALLTELDAAGVIDRADEYKLMQDEVSAEMVHLGNAREKVVLEARSLE SVYKTICDHNNYLRGQLEQYKAYLQNVRLTSSKDKGATGVGVVTVNGKEKKQVDKKML GPYMFKHREFEKEGIIMESNVPENRRMNIYFMITSPAPGTFIIALHFKGRDKPILEMD LKIDDLLEKQKDQQAMLDLEYVQLNVPKVLALFNKLFSKKR L198_06775 MSSSEPTIIPSSNPNPNGAPASHGTSTPLLGPRGGGASSGAGGS FGVKSGLAQMLKGGVIMDVMNAEQAKIAEEAGASAVMALERIPANIRQDGGVARMSDP GMIKEIMEAVSIPVMAKVRIGHTVEAQILQAVGVDYIDESEVLTPADDQHHIGKHAFK VPFVCGCKNLGEALRRISEGAAMIRTKGEAGTGDVVEAVRHQRQVMGEVRKAAAMTDE ELYAYAKDISAPYHLLKETARLKRLPVVSFAAGGVATPADAALMMQLGCDGVFVGSGI FLSGDPAKRARAIVQAVTHYNNPTVLAEISTNIGDAMVGISTAQEGANIQGGRLAGRG N L198_06777 MSVLYSTALESFLSSTQTLSSTSPESIPDLATGSTYKSEIEEAK EKIDGIVNGLEEWLKMEDASAKVTAESEQEKTEPASEDASPSDDPPPSEPPPPSEEAP EKEGSDDPPPSGTERAPEATPEPESTPPTTQNPTEVSEVPATGDDSQSETSSISTDDT DPGASTDNTASSSTEATVSDTPAGNDSHPEDSSTADASAAVENSTKEEGKKEE L198_06778 MSSLTDFYPYAFSDFFGSGTPCVYKTGPAWPIAREQKIVRAARP IYNHPIAPTWLETAWAIVAQLDLLQLNWNTVNPLAYANAGEAALICDFVITIGVQPRS LAYPAAVAAAQAVVEILEAAGFPEIQVAFIESVYRRHMSHPKRMSFNPILDSEGLPAL RKPFTPTLSPSIAPLKSPYYEGSGGLYFRLNAEEGDNRVALLTCAHVAHPPPVSENKV YTRRNDSEAREEIILLGTGSYDAAVATIMKFINDRTIAITSWETARGSLPAQRDGEPK GVTSKRKALTDLIDAASNKIEKANQLHTDVTKHLTTTVSRVIGFVVHCAKIEVGEDQF MYDWSLIQMDEDKTEWDDFKGNKLFVGGNKTAVDWVNYMFPQEFKDRRGFHAPEDMLL ALKDVVPEAEFRNPQNFDIHNVRTLLAVKNGRSTGTTFGRVNGLESITRHYPEHGIAQ RTLEFIVCGYDTVRGKNDKFSDDGKSGSIVAGRDGRIIAQITGGGGPTDEADKTYVTP FYALQGAIKKKYPNCYLVPATVV L198_06779 MAEAIKFAGHGNILDNVQRDAGREGDLFTEASTMEHSRLKAAAP SSQPSNGPPAPPQGCQGARPHGRPLLPHGIALNTIEHASSPGQETWDNICAIDDIVSF LCSDIFNEQPAFMHGQGKALSERGVVTVAFVRWNAAAGGVALATACDVVLDGRGWV L198_06780 MSNDTPTAAGIDLVLTSVETLDTEQPSVAWEPWPLASAHWDALT VDHLIAMPDDMFARTLAQWRRDTQNGESNRSLQARIEQASQQYLSEELDDEDPGEGSS IRFEATSATDPGMVYDQTEASTSTGRTRNTSDSRKARKAITNAAYREKVKAEPGWTEL NRARARVSMRKTREKQKKARMERLKKAMSAEEGIDDEGTAEESNENNAHNSGTGSPHT IDGQLEE L198_06781 MSESADATSSAKSTTKGSTPTVATTEDLAKSIKCLAQSAKWARN TRKATLTNLTTEQGTEMDKTLREAQTQLHELNKTFKEWAKKNNWTRPSKTGASGAGDK ETTETKTEGTDDEKTDLSLPPPILSFVQPALHPYHPSASSLMPCPPARSGPPCHDKMG YLKALIKKKKSHDAVSIKDKITYPVAVSQSRPLPPIPCHSRTPSDASIASIVPATLAF PKPPNRSPIYTATPFDHRTRETGDGDRQRVSDDSKALSPRGSGESRMSGRGDARTRDN KERQNAQVPSSPRVREPAEKRSKSPRVSVAPMLPVLDIGDMINDDSMTSSAASTAILT PPPSGQLYSLESPATSSPLRPKQHSRHTNPIVIIHPDTSLDSTVELSFSPEASPVKIS KAQRAKVWDSGSVKDVQDTPSDKPVARVSEASAMVARDFASSTRAEHPPVPSLVQAQG STHDSTFSSDSEYSVNTSVVAPRPSRPGDASSCWSVTPGESSMRTPLLQKERSTVDSE IFTPPSRCSSLPLVPTQGDGNLAPTPPAANGAELPSSVSSSPEWWFADHSSKDSVTPE PARVLSDATLVKPLASPLSLVATPAEPDTDLSSPHPSSALAPTSPALFTAQGSPSPTT AQFFSEKELKEKVWYDVKSSRGTVEPVEPVEPVDPHESGSWSDGPSWVAGDDLHLGYL RITGEGLGGERVDDGGDVIEVLDRSDTPDSLDQHSFAEDSLHTRIDPNHQESQIQVTE CSSNDSSPLSPGNIPSLFQAICARALQRGYLGIDDFHAERGVVEALAEDEKWLSVDHD VAREMVNLRKENTRLKAELEAKTAKSTTSTPSKTPLKRRQKSALPLIQDVFHSSPPQA FHSTPSRTRGLNHPFGSPLSRASSSSSEASALQAEIIQLHTELATSREQQDQLLERAE TAESSAERATESISALHSMFTRITSELEDSHRRAAMDRSQMEKVTAEYAEERRQGEAV RNELESWGKQERGEVVRLKGEIGSLEKKVDGFFGMADLLTRMSSSTYAKPRSSSSKR L198_06783 MSPSPSKTLSLHCDLTTLSPLPSEVISLIYAYCLARNPLESRSQ FLNLLVLSKKVYSENAWRLYEAVELNNQNHKAFFDGLWSRGEIHVCQNPCPPYLRCEA SAKALAREIEGYSHPKRQLKRPSHIPSKISYEYESYIPFHLHPAIRKLLLIRQCRRLY IDSWRAFVGLEKRNESVRDAVNAWPPGHDIRHAEPSDYAWISDSLFFSVTHLSFGETV SLVAPEISSVKYKFFGPALKHVCLSFNDTFYDLEAVYKEAAQSGRLYEFKERQSRESI TELLQERLSFALRFWVDEKTSLTLHNAWPDDIDPGLADTMIYELPRSTKNGDGDWWRQ IAMTTSEMKAYRSELEKRMKDDRWGADAWRPTMKPWKIRFTNMAPIPPNTDIISAVLG DYPLGQDERFDGLFKKWWEEVVCFEGPVKCDCCEIFKKKHPKVGVLASNERKTF L198_06785 MNDRQCARCWPTTPPVAIDIITLYPLPPEIIALIFTHFLAQTLS SCRPHFIEFILLSKEIYIQYAWRLYERVVLDDRNCELFFGDLWSMDDALGFSLGGGRG MKGRREGAWVDDLMGKSYVPVKLPPKSPSERPPFEYDPSAAFHLPPSLRKVLLIRQCP QVSFETYGAFRTFLRVAEGVRKAVQAIPVAPSPDKRVFLPGQRVNEPLFSSVSHVSFG EHFMSVLGTVYDHDKPTLENCNFSPRLKNVCMRPDHAFYAEEIDGSGHFPTKYVARQY SNADAVSRLLKDHLNFNRGVEGKGTSLAYHNARPAYFRKGSADTVVYDMSRMDEVYGW EEQLNDTMAYIRRYMDRLRLSSDLNIGMLASDKYPMIRFTGISLQPDFDLPSFYIRDY YPGQHPQRFEALFRSWFESTVAFEEKAGCMCCGENGVVAQTPA L198_06786 MPSSPKTSLTDPEALTLSSLPSEIVALIYSFYLAQNPIENRSHF LNLITLSKEIYSENAWRLYEVVELDDWNCEAFLKGVWVAGGFIKKAMRLQSPSVETDD GSDWPDFTPGDLVDEIELFTPPRRPLERPTYLSSDSVAPYATYEYESHIPFHLHPCIR KVLLIRQCRRLYIHSCNTFEGLQMCNHEVQRLATCWLDGRISKGWAIEPLFFSVTHLS FGTPFMAYYHLSDPPNRDWLIEFELFGPSLKHICLALCDDFDDFYDVFSFSYNDRDGD IEYTRKRVTETLEGDVPFALWYATDRKTSLTLHDARPEAFEVFDAGLADTMIYEMPRK TDAGVDEWKKQIMMIKGEMGAHMSELGYKLRQGEAGADRRVVVWKPQFPPCKIRFTNM APLPADVDLPQLFLNDCCYSRDGPYEQFDQVFRKWWAEVVSSEGPTACECCDIFKMGH PKIGVMSSVPVQEWYFSREDKALHPRYIRQGALLHRRLLCDPFRRHSIRLARHSFAVP SISVLGSVLDGVIVV L198_06788 MTTVNVTFDDFDPLLVFSDYSQWWTPDPSEHPEWYNATSQEAGV NWHEATVHYATEQGASFALNFTSPAIYIYGIAGPSSTNYTISLDGTTTSHSLSGNNSD TSVDDGRTLLWSQSGLETGKVHQVELTNEGSGVGVDLVVLEVDVGQNAKNTTVDNTSG DILYTSNWSSNDGNFYNGSSSYTSGSGNSLTFNFTGSALYVFGDQVNDHGVFSLYFNS SSTPFFTPSGRSGCQVANDQVEKSCEKLDSLKAFVGGLPAGEHQVEIVNQGQDTYFDF DYLVYTTPEQYPSFTLDATCANGICGDSSSANSTASASESASSSAASSTSTGDSGSSS SGAMRMGVDGLAGWMMLGWIGIWGWRRMTSAWACDYNL L198_06789 MGLASKLAASNQAGVGAGGASGAPAFQQQPQQAQQQSYGQPQQQ SYGQPQQQQQYGTSSPAPGANQYASPSGAPPSQYGQQQGQYGQQSQYGQQGQQGQYSA PPGAPPVPGSRPGAAPGQTQFPSASGTPSQYGQQQGQYGQQPQYGQQQGQYGQQGQYG QQGQQGQYGQQGQQGQYGQQSQYGQQGQYGAQPGQHLPSQQYGQNPPAYGAPGVGAAQ PPAQGGNNQNPQYLLSLLQQCVVDQNIQAFYPPGSLEPIANRVAQSGALNKIATEWKI PTELAADLVKLSLFDVILYIDDSGSMAFEGGGERIDDLKLVMSRVAFATSLFDHDGIQ VRMMNSRVEGNGIKSEPDALRLIDQIKFSGLTPLGTGLWQKILQPLVLGPAQQNRLEK PVVVITITDGTPAGEPKDEIFNVILRTDQELKRTRYGPDAISYQFAQVGDDLKAQAFL EQLDVHPVVGGLVDCTSNFEAEQAEMLRKSGINLDPSMWIVKLLMGPIDSSYDTKGEF AFRFSSAGEEEEVPFEHSSTREGYHHLSSSLAFDLHLLEIGLALG L198_06791 MPSRPKTSLTNPEALPLSSLPSEIVALIYSFYLAQNPIENRSHF LNLITLSKEIYSENAWRLYEVVELDDQNCKAFFEGVWNASDFPEKPSRSQSPSVETDD GKDQSDMRGDLVDEIELFTPPGRPLERPTYLSSDSNAPYATYEYESHIPFHLHPCIRK VLLIRQCRRLYIDSWKAFDELQMCNHDVLQEAIYWLDDRASNDWAIEPLFFSVTHLSF GTPFMTSYQKNIATNRYLLGQFELFGLSLKHICLALCDDYYDVDSSDDCDCDALERRI ESVTELLQEDLSFALWSTIDQKTSLTLHDARPEDFEDGLADTIIYEMPRKTDAGVDEW KKQIMMAEAEIGSHMSVLGYELRQGEVGADSWKPQFQPWKIRFTNMAPLPADVDLPQL FLNDCSYSRDGPNEQFEQVFRKWWEEVVSFEGPTKCECCDIFKKGHPKSPARSA L198_06793 MNAHSPPLPNLHALSLDTHGPGGYTHPPQHTYRYNAVFGPPVGM PQPAGSPDWSSKRSSRSGLPTNWYDPNEYRPASPPATLSPPSSAPTTAPAYPYQPQPS YPDGSYGMPLGMVDTPSPPPMGYPPMGFNGGYPVDQRRAQQQAQGQANGWRNGYAMPA IPHQDDDVIPTAIVIKNIPFAVTRETLLGVMESLGAPLPYAFNYHHDNGVFRGLAFAN FRAPEEAASVVAALNGYDVQGRKLRVEYKKVLQPGEKDKIEREKALKRMRSLQFDREK MPPPLNLPIRHQQVPPIPYDQSPPHSASAASTSSADNLPPLDMNDPATLDIYSRVLVF KEDRMRDELAFSKNLTPQERRIVHSVAQRLGLSSRTRGEDDQTVVVSREPQPRPQLHS STSLQNNYYDPSPQSSAPEVSPNLRYKKSMPDLRGFNGPVVSRDPSRSLTPQRSSGNI REMGREYASMGAAGGRQASRQQPSNPQYGGAFNGLFGHSIDIPPVPPLPSGMGMHNKG HSVSSISGISGQPHHSHSHSYSQSNQAFSSHHSNGRASPEDLLSPISSSSLSHAQSLP NNNHNNATQPVRNPRGPAGESRGFSQTLRPVASRGMMGPPHLPHSSGSAGSSGGSTGN STSAAGLSSSLPRDLGREAAGTIGEGPGSVSSRRDSGSVKSGTGSGSGSGDEGIMRTR ESLEI L198_06794 MQDTVCGAREILQKSLAESINEVLRWEYQQAATVVPSSLIPLLN PWTLQGSHEIHIVFEPDCDTTIIRLRDTSPLVGLDTQIAEIGGTLVQPFSFGVEAEPE NEVWLVFKDQKWLDIRRRVRDKNCNGRWDQFSYLAAMLPPGGTLNHDDKGYCIPWPFP ASPFAPQNILRFERGQAVCQFADPRADVKCVSEGQVMETKGRWEAVCGGVNVESGKEG RVVVSGLSDESAALPGILGHMLDLPIYACSTAPSMFSAVLASNATNAASNRTFSTYAR DLLKRRPKLAIASPQNDMEGTPRPQISFLPILEETAFVTGHVPPSPARTHLSFCSTRS TSSLSSSTIAGSISSSSSSSSSSSVVRHTSDPRSMPKMLEIVPEEEHLPAGEEEGGEE GKLKVLFAPNGDTYPSKMYTSMRSEYERLSQELSLHPTISPIPAAIASSSSFFFFFFF LARHDSRRAGMPIQPMSGSRWVQPGNQVILDQGALSGGRYPVHIDRVVHR L198_06795 MPTPLTRSTADSFNSAATIVPDDRRPTRVTRSSARNPPPDHTLS LSQSSSQGWPSHLSAGRQLAPANSFERRISKDLGVHGGDDGMIGLLQTQDVPDESGWS FLRDQSLSQKTEQDDMALSPRSSSPPVFGPTILPHDQRHPGYRQTSYSSTSVASSCEN SDLYSTTDIDTDDESSRRPSISHMGDLGLGDISLDNNALLSALPSPAAEMRSPLGYGA AVSPQNIHGDSPVAGYHSPEVADLPQMPRILSGPTRTAGKRAPRGQAAKDDEITQAEK LEHRRDINRRSAQKHRARRKEEAEIMAKLVAGKDARIRQLEHELAAEKARTEQLRSMW NQRFANNGPGI L198_06796 MSAPSPPDSPRSLPITPQDEHTAMILPPSRTTAKAPAFIALPAP SPAFNTFVFPPTTSPPARSTPSLDALPNEEFVFGNCPAQPFLGTPVAEQGPFEYPDLV GSSSPTYSSPRSSSFRTRRPLSISSQNSLHSEPVSPPKTRRGSTNSSIATLPTAWRPS IVHSATVEGTVPVSADTTPCHTPLPPPLALPRQRTAAFMYQQKAMPAPIPPSLLARRG SLPAAQLFGLPLHDLQNRSKSVNHGPVMTAASLYQRRQSVVSDSEVNPPLQVVPLPTF NPERRPSLPAISPSLAFPPRITKVSRSTSISHAPRRPLSSLLSASPRTRQHHHLPPPL GIPPSPRSSSHGRLSSTTSSDEDEPETPLPRVPRLDQPAVVELWGGEEEVESPVVAMS MEGEVKKALPDPMPVIGGDEVALLETPGLETVLERPPLETIESGDSVETVRE L198_06797 MDSNSSGAYASRPSISTFPPRKYSAAAPLASPYSPSDMLHPHWQ SGSGTPSGSGSAPRPHRSRANSGDNSASDDSPGRRSRRQGVTERPVSRLPSPNSKDER VWMVVRTMTDHSYRLELRPRMEYTPEELLLTDVYVCRTVEDDKQVWHSACKRTDGFSP STCDEGYHSINPLTDVATTPISLTDKHPYAMSILPWDHPDINLDAEVLLRNGASVEAF IDANADARAESLERYEIWQSRAAYRRSWQRLNVESHAITELKSRIAELDGHCTQATKV VQEAHEKLRNHRLTLDQPDTETEINLSAAGSNLGDAEQYLGHLEGVRNSIRALKGGSE SSTKRLNSIHQASSLAVENFRTLYNEVKFGISEARRTNYSQKMAAISVSEVSFDLLHE RLDGVKNAKVQLQSQLEELEHELEQKKTDQASMTDTARSQALANKDLLKALKRAEART SRAEERRKRAEDEAYELGGGGAYGTSSGEYSLRDDR L198_06798 MSEKHIDDEKDIGFNADVSVLPAGTSKEDGQAHHVQLHDVDEAA AFVAGWKGEVTEEMSAKIRRKCDLHLLPLMMLLYFVQFTDKTTLGSSSILGIRTDTNL SQAQYNWLGTIFYLSYLIFEWPQSVFLQKFPPGKWMACNILVWAVALCCHAACKNFAG LFVCRFFLGVCEGSITAGFLILTSMFYTQEEATQRVGYWFLMNGTAQVFNGIVSFGVL HVNPDIISPWKVYMLITGIMTLAVGVSFWFFIPNNPMTAYFLTKEEKIIAIERLRGKS TGIENKTWKHEQFMETMKDWKPWAFTIYAASNNVANSLTNQNSLIINSFGFTTSQTTL LGCVSGVIEILTIYSSVLVIKKWRNARGYVGAFYSIPNIISGVLMVALPWSCKGGLLF AMYLGGVGTPGFVLSLSWCSTTNTGHTKKATANAMLLIGYCLGNLLSPQMWQAKYSPR YYLPWGIILGTYVINPFILLSIRYFLNRENKRRDRLVETGQLETEKFVDEHGDEIDPT FLDMTDHKNLSFRYPL L198_06800 MSPPAARSRKDPARNAIISSSAKGWSPLQISKRDSSASLSSPPV VSDGPRRTSSSFKVVANNSLVKNSIFKSPQPNDAGDKIVHERRSTRNLGEMAPSARGV GSTPRGVIGLGLSPARRSGSRSPNGGAAVNGQRKVSAEKAKVTFPGVERKVSKENESP DVRHSMRVPRSSMGLKGLQTGSYVSQSPFKRPSSTTLSSSSLSQANSGETPSPKIKLP VEKDDVFSSPSPRRVSGGKQRRVSPGAAGTLGSVYRQSPTPSPRARASVSPGSAGPSP LRRELSADIAAAFDASPTPTPHKSSMTPSRRLRGPRDALQGYDSPSKKSVTFQAIPDV KEYEVVSAEPSMDGSFDVDAGMGDEADWEDENRENSLDNLLNEQIEPSDEGHDGIESS TADFMDTLVEEGLFSPPEMDAPAFSDQDGYELPLESSYLDLNSQTDDSDRPYLATPSL GVSVNGSPLFASHEVYPEVDDAGIPYGRTHHAERSSEAHQHRLDVGRFEQPGLPRDGG HGMLLNADASKPSLSIPPTSPSFYHDYNDPFASVTPSHTTPKAKSAPAPAPAPAPEPH AHQTSPFPDPFLTIQTATATLNPEGEEREEDGVPLGRTSHKERVLAARMMATRGLGLG MPGRPTAGPPSPQPVAQAVFEKEDEVPERKLPKAPAPAPAPIGLPSPVSMSKNEIVEE PLENKNLLGAMTLPSIDKTSPFFQATSAFEQAPAPTSESGSESASEPVSSRPTSPESS RLQITEGPPLTPPRSMGDSKEAESPHRLPDFDFGLGSIDFGTVGSTKKIVEPKIIREE AKPVVKPEEKEKVQEKKKAPLGIPQATSTTSLNRHSMPASPASSFPASPTSHASTSPR QPKTTHDSTTNRIRQRISREMIRETIQQRLADGSLSRRPVSMGSGAELDALAKGMEKT SISDFGVEGNTDKDLPAPPPELPPLPSTPNKVRPKSEILSRMRTPSTPQSVPKQTTTS NPGTPTIVERPQLRQRSQTQSAQEVFKQSEEFGAEAKSALDKIVSLVRREHGRKSSEV GKPGGAGMQREVSGTIGDVMPPAPRTPSKEAKPAGILKNPNGLLDTALQDAPRRVSNN VRPPSSLFSSSTSSSSSDKKEKEDARDDRPTAEEQALITKRRDELGRERQVSGVSMVY QGSKKSRRSMSTGDVREQVAQAREFRQKASSPPAAASTSNTPVSALKNGPAVKAGRGI RGGRLTLGIDDDERSILDSFREEVGNIGSDRGYKIRERPVVRASYNDKVAHSRAGDID SGKAWKALRRPSDLHEHSAKIKEIQARVLSQNPASATVFVKVLGIEGLQFPISNGEGK KTMFCVTLDNSIDYIRTPFTELGEGAKVNQEFSLVEHPNFEFSLSVDIRRDPHILKLI HDKNNPAPAISRPITSASAPKKDSHFRNLFASPRKPKGPAAMVGKAGKERASTPVKAT LAPPQPAAPKKDTIANYLPEGNGNVSTIAKTHIQFKTIAKNCEARVLEIRYPMFSMFK GDPTASLSSAPSSSSDGSSRKALAKITLQVFRLPPIPGLMPDELPQCIDDCLRGLRYH AWHEHEYFEGILTQDGGDCSHPKRRLFKILGGNLIAINEVTKKQVAKINLRQAVSITD LNTATCGSPNTVATRRGDYDEDGGFGQRPRSFEMLFQDGEAIVFMADTDMAKEGWMET LQGLIGKIPANPLWAELLTLRMREKAAKRSASSGSLAAKEARKSEVKAKR L198_06802 MATHSPYHNPLFPSHAFPDPDDTPIPDDGDIDDEDNIFRDMTFD EIMEDLNARFLINLPKEEMNLLRVYWQAEQAHWFYEDYLRPLNPLLPSLSQRHFTRLI IESSPLYKRLVSSGSIDHDSVWDEYRSYKRMVPCCGGIMLNKQGDKVVLVRGWKSNSG WSFPRGKINLNETEEACAVREVEEETGYDLTGMVKSEDRIQTHINAQEVTMFIVGGID EGTVFETQTRHEIGAIEWVSLSDLPTWSGNKKTKKNTNKRFYNVTPFVNPLKAWMKAN GLNPHPKPRPKKPPVSQPTASPGNFHRDIKPFRFDAFSGSPSASPQLHTPSPAPSHFP SRGSSALDQLFSKFIHKQEEEILAPRQGDAVGSDNNAGLERLFGGLDVLKEEEQAMLE RQRRSDDDHSQEDARRFRKEDDDLARLLAGVGTPAPDLAKLLPRNQQAQRPTAQQQSQ TLHQQQPQPKATQNHLLAMLNQKSVGATPVPAGHNLPQAQPHQSKLLHLISQPAHSPT QSPRASVSPSYHIAQSRPGSDPSSFGAEGTEEDRAARARALLEISFAGLGLGEGSSAS SHTAGSPQPAQPYQRGHPGAGGQASAPVHNRAPATQPAASQALPHSQAQVPAHHGSGP GNSPRSQNPPPSYDSVLRDNFPSQPQQAAAHAPGLPQVQTFERAPPPHMQQQVAPSGY VQQHPIPNPPHAFQAQAQAQALPSHVHIPQHHAAHPQPVPMPPQPFRPPPHAVPLGVA MQQQNMNHPQGQGYRPVQGQMPPGMGPAPPGLGPGPGYTPPGVNYYPAMPPPQQQQQH FAPPPPQAQAQGQGQGHGHGQGYYYPSQAPVVLPAGGGAPGQQGMYRQSSGNVQPVYG YGQNPFPGGVVPQALPAQQQQQQQQQQQQVSSQSTPNKVPLNSNGNGMLPGMQQQGQQ QGQGGAVHHPVPRQPGNAGLLAMLNGGR L198_06803 MNNPLLSIQSQYWAVRDYLSPVLKESKFKEHGRITPEEFVAAGD FLTFKFPVWQWQKGDGSKAREFLPADKQYLVTRNVPCLRRATAVDYTGDGDTEQLLSF FDEAPGPGKDDEDWVATHIGREPTHDASNIDEIPDMSDSPELDASSGPAREMAGLDLT GQGKMVEDEIPDIDDIPDMDEEGAGLEDVEDDAAVKIVHPSEAEVNKTRNSNLLQVRT YDCIISYDKHYQTPRFWLFGYDEASPNPLTPAQVFQDVPADHAFKTMTMETFPHSGAQ LASVHPCKHASVMKKFIDRMEAAQAQQYAPEQPEASASVGGEKDKDKKKKWGIGGMVR KVTGGSTPKVEKKDDDGEAPSGVPVDFYLVIFLKFIASIVPTIEVDSTTATGF L198_06804 MPVEELPPSKLGTKEHWDSVYEREVRVFDDCGDEGEVWFGEDSV RKMRKWAHTNLPSSSTTLRVLECGSGNGTLLLSFLTSPEPPARSFHLTGIDYCLSAKT LAESVEKSRREELEDEDDLEDEEVVNEVTAEWRVGDLLRDDFKGEIWDLVMDKGTYDA LCLSNDPVEEDERKRLPSEVYPERITKLVKPGGFFLITSCNFTEEEIKERYGKEDLGI SSVPHPTFSFGGKKGSTVCTVAFKKFS L198_06807 MDYHGSTLAGLDAPPEKLADTVPGIFKVIDEATKDKTSGLLWNQ HGTKVPFRLRLLLPATVMTTHDRQSMNAK L198_06808 MSKTVLITGANRGVGRALTKFYLEKAWRVVAAARNPTKVSQEDK VVIVKIDSSSPTDAQEAVEELKSKPGITHLDLVIANAASSQNSAPLVSSSVSTDLITN VSGPIALYQATRSLLTDEDAFVAITSTAGSVTGDWGPSLGAYGATKIALNFIIRGIHI EEPKLKAFAINPG L198_06810 MTVLQEQVRQLLDRVDRLERLPHSSSTSLENHLSTSANHHNSSF SDTPGARNAILLPSRDAIQASGESPKDTRAVERETMELEDEIFGPGLRPGLTETRSLR PTILETASSSVRSTQQQLRTPRDANHIVTAPRASIDTRVDTDVKVDDLKTLLRPSESG VGSELVKWYFEGPMHMGWHVIHRFHFLNTYSTIMTLSPEEQNETDRSWWAIYYMILAN SVRFTPASFINHLLPSTTWDLDLLPDLLHQISLDELDNSDYQAVPQVRHIQVALLYIN YLFHFGDSPAKANLALRHLDSAITTAQWLSLDILSPSSAGPPPLLKNDPALEGLPTEA AMHLAKQLFAMLNFLDGTIYKRARVWRIQSTASNATQAPANLTDYQSAPQYANSTSDN VDVTLMAFSGVLPDPDTRVFTESSLAILGSAFANTIRQFTKDLSTEEMSYEDILEFSQ GLERTLERVPAWDNLQTDSAWMIYIVYSSINNRILRMHRPYMHKGYTDARFWAARETS IQAASRIIQAQSMIPTGLRPAFVKRWILGASIILALDALLVLHPLCAHTQSPTERESV RSNSLYYISTAKIDIFDKDDQSQDLNRLCSRAIGILVVEVANAGEAGVFDGVMGEGGD GQVMGIEEFSRRFMARVKRRLLEGEIARKAANLQLQPDIDPEPQIMQSILGSNPSQHQ NPLDVFDFFDFTFLNRPVNDINDGALPVGGEGTSGDGALEGLGISTGSGAGLGLTSGV DEATAGQSQGPMNSLGFWEEFGWNLV L198_06811 MAKDKKQNKKRKLSSKPAPQKQESLNTPPDSSSSSLIEPEEIET AIYVLKTLAENPDELSDKRMKDVKRATYDLHRVMAEGATLGASLTSKISAALQDYRFT DALIFLFEMYTRRLPPKLGALQRWVRECDATSGADGTPGDPEALKCLDLILRIANMTA EDKEAKSAPSASSVIRRKKPWVARGPIEGEIQIWEKMQSDTLFGESSHAPEVLSWSNI PTDAPPPNPYPNFTSVHHVPAALRKPPNLYDSTVYGSSPNAITLTPSEIRPRQPSRMD VPGVPGAFMVLDVFTPEECLQIVQAASAIGFEKDEAAAGSAVMKNSILARNFVWLADK PFLQHFYDQILSFVPQTAPVSPEGHGGGKVRGINARFRVYEYIENQLYRPHIDGAWPA AGLHPETGEYLHDSSPPEDPLWSRYTLLLYLNSDIPEDTGCTTFFLPSERMGVMEAFG VKPVQGAVLCFPHGDTQGSLLHEGSAVGAGGGKIVIRTELLFEAQGFGQFKPPVASMV GTESGV L198_06812 MAPHSDDEDHELAVYGEASSSTRPLLNDPRNRSQPPSHYKPHPR RLLRTVIFISIPFLLVFIYSLIHPHVRGLPPLPRISITSGGTVSQPDYEDKITQDCLC GATDEGERICSLYHEEGLRNTRLVQGSGSRMRRVLQKAREGKKLNIGVLGGSVTACHG VHPSASFPQGDPAGPGCWTTIFIEWVRKTFPDVHHHIKNGAIGGMDSSFYAFCGAHHI PEDTDLVILEFDVNDQNDPLYEAFFDQLLRALSEFPSEPAILVLGAWCPQVAHDQGYG DPQIVHSPIALYYDVPYVSMKRLMFQHYMRYPLSTAKAFWQPDMVHPNARGHRVLADT LISYLESELCMLTRYGLPVVPPTEDTIASTNPFTSLVDVPFALDTLHLVDPVTPPEGW EETFDLAPLQKLRDERRLFVVPSSPYAIPPVGVFTPLREVVDPHNPDPSSGAHIEGLR QPQLFCADANDKKNPMTPTVADGWEPFVWNGEKHYWVADKPGARIRVEIKVNAGRVAV YYFRSQHYNLGDAKCWVDDNEEGGVLLHGYWTRQYNVAIVAYIDEKVTPGDH L198_06819 MALRLGDIAPNFDAQTTKGKINFHEWLAGSWAILFSHPDDYTPV CTTELSAVALSYADFASRGVKLIGLSANNIDSHEGWIKDIDALSKDGANVEFPIIGDE DRNVAELYGMLDRLDKTNVDKKGIPFTVRTVFIIDPNKQIRLTLAYPASTGRNFPEIL RVVDSLQLGDKYKITTPADGKEVDKVIVHPSVQGEKVKELFGDDVETVYPYLRFTSDP SKKEQKA L198_06820 MTSSSPITFDFYNNPHGAIGQPSPSIANDSSLPQVDYTSMATHP QGVWHTHHPQLPPKTPAHGERGDVSHPRPEDEAWDRITLSAHVHSQGWGGSPNPVMVD RPKTGAIPGYQPFIDNVASPLSTPAYRAPQGAFYIPSPKQAPPTPTQQPARTANDTVM DVARHLDSLRGLLGPLISEADQVEKLKKEVEMWKGEWSKGEKDRRGLEERIGVLEVEK SAKKPVGPMFTAVLIDGDGLIFRDSYQQSGFAGGQLAARHLLTSIPNLSSPTSSDSAE EVTLDINGLRIGDSDTKAGGQDGVEDDMGVKELKSIVVQVFLNKAGLGGALLKAGIIP SWTVYEQFWQGFSSSHELFTVCDVGPGKEGSDAKIREYLKLYSRNAQCESIILGASHD NGYANVLSSLNTESRLSNLLLLKGYNTLAHQLRAYSSRVVSIPDLFRQEKVPYVHGVA PKEKEKEKEKEKEKEKAEKEPIQIVEKKVVPGSTSFSSIVAASSKPSPSSQPTKPTKK APVATPIPGPPSPSYSSESEDAELEVYHWGSGVQSRGADGSETSNSPEKAVSAKKVVK KQAGAKPGKVGVKGEEVESSKKAIKNKREAQEYVRSLHPRPCHTHFLGAKGCTNDNCH YSHAYSLSSLHLEELARLAKCIMCPYVKSGKCKYGDAACVYGHRCPNPEACVFGDTCR FYELPNGHGELD L198_06821 MDEVALPSNPTAPRPCITTDDMVARWQHAPGFQCFWAWVRRRCD RIKGKEIVRGDYSESSQGIRCWMDLLENMTKWVEEAPPEPQSNQRFGNLAFRRYSKLL QERIPPLLASWSLPPHLKSQLQPLLLNSHAFGHPTRLDYGTGHELSFVLGLWCCVVPG WIGGEGEKEDEEDELVLRVFTRYLELTTLLQKTYKLEPAGSHGVWGLDDYCFLPYLFG SAQLLGSSTTPSESLSLAQSHHPSTPSSPPTPITDLFTLSLHHLTLFKSGAAFSEHSP LLFSLCQMPNWIKPHGGLRKMFLGEVVGKRVVVQGVWVGGWAWGEGVPEVVGVGDSSV KKQGGDAGTKAPWAR L198_06822 MPQYLLRMVLDHLSFRLPSLLSVSQVFGFPIRFVSEDKSRGLLV IELEKEEHLQHILDRDTLVQSASELYAEGASYDDLHKQLKGRLEVFEPYMDSTFKFKI DSTHHKIPDTRQVSIIEGFAYTALRGGINLKTPDVEFFVCEDYDWIAANDDGQQEARD GQFRWVYFGRYIAQGRARQLIVSHSVKTRAYYGNTSMEAQMGFLMANQALPAPGKLIY DPFVGTGSMLYSVAQFGAMVFGSDIDGRQIRGKAKGKGLSPGIIRSAEQYGIRDQFLD FFTFDVTKSPLRQGGWVDAIITDPPYGVRAGAKRLGRKGGKKPLRDEPYQMPDGSFSH KRPDYLPPCRPYELANLTLDLIQLARWLLVPKGRLVFFLPTVTEDYDEIDIPKVEGMR ELKIGEGSVQDFGKWGRRLITMEKTATDDGERPTFEDHEEFGEDAEHLPGHYGFNKRY LAGFRDSESGSTSPIPRSGAESKAPTPVPATPSE L198_06823 MSKAPPMASEVDILKSKAANKKGAGSDSVETTWPKVEFGASTEI DNITKETKTRAEYIVPAGNMSQAQPAPSEVNILKQNAANSKGAGGSDVETVWPKPKFD GNTALNDTGTGFGEYVVPAGSMFTLGAAMPNHTVLKWGAYFPPGTMFPDGVLVPIHAR MVSVQPF L198_06825 MSSPYPAPSSPLQNIPYPSINPPVAYNHAHPYSHSPNNYYRQPA HPQQQQPYYNRYPQEYPGYNGYPGAGGYGYMPMGAGGPGPATMQNGYAAYGEYGMVYG GYGGYPEYAPPPMRQQQQPGNGSPMNGDEGSEHSIPFPETPVPPGSTPQPPQAIPNMG PIPAQQFPPYPANHPYAFGGGVGYQQHPAHIPTFRPHPQHPQQPPHPHQAPYYPYNES YAPLPSGLAQGQGQGGGKLNPAAAGFKYQRFNHMNGNGERQIRPNHHNGPTFGNAGLP NGYGKGPRPPTQATPSASPVVTAPPSEVSSAPAPPSVPPATLPPPPVEEKEKEKSEEI KQVDGARTDPLLPPAASSATPAGPASLNFLTSSLSPPPAEQSKMEEPTLVDARPSVQS EGNTWARVLKDGSGRKVLKRAVRGAKTETKALWSTETQGDRTPELSFGEIDPEKKEEA VKAVPEVKTETKVESAKEPETVEVEEVEEKPVQAPAPIAEPQTKTVEKSEPEVAPTPP TAATPAPASTPVAPPKPRSWAALLQPSTPSASTTPSKTTSPSKAPSPNDGPAPAGPQP AQPAAPKFSYAGAASSSIPSPHEMLIKLLSEGVPSKGGKREGALVPRGLINTGNMCFA NTILQVLVYCPPFTELFEEFGKRLQADLARKTPLLEAMIVFLREFLVAPTPADAPKPK GKGKEMNKQKEAFIPENVYDAMKENKRFDTMRRGHQEDAEEYLGFFLDTIHEEILYLL SRAQPPKPTSPAANEEVEQATSPLGEGVDGWLEVGKKQKTHVVRNTGSKDSAVSRLFG GKLRSVLHTPGQKDSVTVEPYQPLQLDIQAPQITSINDALLALSTPEIVPGVWSASRK ENVDATKTVYVEEWPRVLICHLKRFVYDAKEGGVVKRGKAVAYGSELVVPQEIISPSK RSPTPTKYALFGVVYHHGSSASGGHYTVAVSKPPAPPAPVPQSAIPGQIPAQKKDERP WIHFDDEQVREVKEEEVVVSKDEVEEGRCGLVGGREKCAYLLFYRRIQ L198_06826 MAFGDRGGRGGGGRGGGRGGFGGDRGGRGGGRGGGRGGSFGGDR GGRGGARGGAGGRGRGAPRGRGGPGGGRGGKPGMGRAGPGAVTLEPHKHEGVYIAKGK EHLLVTRNMTPGESVYGEKRVSIATTNAEGEEEKIEYRVWNPFRSKLAAGILGGLDNI HIKPGAKVLYLGAASGSSVSHVSDIVGPEGVVYAVEFSHRPGRELIGMAKKRTNVVPI VDDARHPQKYRMLVQMVDVIFADVAQPDQARIIALNAHHFLRNGGAIVISIKANCIDS TAPAAQVFASEVNLMRKEGIKPKEQLTLEPYERDHAIVVGIYNRHE L198_06827 MLLHPPHPHRPHTPRSPSSHRFHFPTRRRPLLFLLCALAFLFAP VVYSAHSFLGTYKNFPRHQQYNLLLAKSPAWAHPLVDALKGLPETKGMPRPNMVHGLR EGRSMEEYSAPRLSLPVEGLDGELTSPAVLMLHIFSMPSPASRERRELIRSIDYFSAI PPPYRHLVEIKFIMGRYSPGHALYDDNESREIEAEQKREKDLFILEDLEAGDNMNLGK TWEWLRQVGKEGGREAWWVMKCDDDTFPILPNLLPYLLAHSPGAPSYVGTSFGRWPGY HFYFEGMMYGFSWPVVKALAAANVSREDRNREWDEDAHMGYLMFSLPPSSSAPSDCAR TPASASSAIDPCTGLHRLDLSTRLGNYRANPLIALPIPGRRGSVGVHQLKNGAQFKNV VDEVKESWEERGLEWRWRVPRELESVAEWDVDW L198_06829 MSKTLPHPRGSIYSASEVAKHTTRVSSLVTYSGKVYDLTEFLPD HPGGDDIILAYSGQDIGKVMGDEDVHVHSRAAYEMLEEFQVGELGGGEKIVSEDWVPD ENFHPDATDCLSDYNLNKFIDLEKPLLMQVWNAPWTKEYYLAQVHEPRHLKESARMFG SDLLEPFTRTQWYVVPIIWWPIAAFLGVLSILQFSQSGITSKSLLTYPPPAITSLPVP TAAALAAFTACFAFGVFIWTVLEYVLHRFLFHLDYYLPDAQWAITLHFLLHGVHHYLP MDRLRLVMPPLLFFVLQTPFTKLAHTLFPMAVANGIISGAFAMYVVYDLGHYALHHTK LPAYLAEMKRYHLAHHYKNFELGFGVTSKVWDYVFGTMLITTTK L198_06830 MAPVPKSGELSHKKASAGGSGKKKRSSGSAGGGSPTKKRKPPRR TRETLGCGPCRVSKRKCAGGSLFEVDCEECRSKGKPCVWGPPPDGWQPSIRMKLEAMV KEGRVSKDVLDMKGENQIAAEEAETKAAEGREDGEENVEDDGQGDGQGDGQGDNQDGG QDEKDAGEDQEDKDYGQEGGSEDGEGEEYFEEEDEGHEIGETHLQPASDDIDVRLPPL GHDSAPQRPPPYAFGYQPQTLLPALPTPPAPNLSLLSESPSPLAGLLYNHQGLHLPVI RDFYPIPYGHALGHQPPRMMPTQQPSISYQHAHRELFSRRNSGNILLSTPEYHFDGAL SQFGGLSHMTSSSASMSA L198_06832 MATESRRMAISDPHGSIPPRFIVGGQLSQMVSGDIKMYEWRREE AKMGMVGLQTEVGQIKTLAWSPSPVHKHLIATGLSTGKTLLINLSPSTLSLPVQPANS PSTVASLTVKHTRPVTAISFSHIDPNYLATGLDRHRSDSSLLIWDVHDAILSSKLEPD GDTWTRPEPYLKSTTTTKPTSSEPRPIQAYCPSEAIQAVSWIPSSPYSLLASSSNKAI RLYDLRDPTPKEGASAGTAGSAAQWSSRAVHFLTPNPKYEHSFASYEAPPAGGSSTVR LWDTRRPGAEILGWEMPGNVCGMSWLDGGRLGVGSKEGGVRVWDVVRGKREEGENVKE WVTLGGMRQIVKPRQNLHSFAFTPTAQKGSPDVMYVLRDGTISIGPVGQAPLLTSSSQ GDIAITSSALILINPDSPSTSPTTQSFPVAEKKDKDEKDEPIYRRNKFQLAPERITAI LAERSSSRSASPSFTGKASYSQDPLSRSIVSEFERDKERDYYEADGEVINERDEIVGG YEGWRKVLSGDVSVVMRRRAGEGYGLDDLLLNAAVASRHPGKFKLAGVWEFIEHLTRT MTPSLSSSSAYNLTHQGIYPIWTSLGTQDISPTPLSPHLVNEKLSLDNRGRPEKEGKF RFLSGGDGSRSASMSRTSSHTGSGTFTPKETRERKASDRPTGTTSYLSPPSQEYLSAI ASLNATRSTLHDTSLHPGIVRASVGGERTDLRKLILTLCGENGDGWDGGRRGRGKGEV ERLVKQGERSKAAFRAFFKGDEEGTISILMSSEDQNDNLLGSTIAGFMAQSASARGSE YFNSHWRNLVRRVDDPHIRAILSRIGGDDWESVLEEENIPLLDRMAVAVQHLDDYEFS TFLRGRMNRFTRSASLHMLPLAGLAPPALSLLSRFLARTGDIQTTTLLSAFFPPGKMT GAEKHMRERWREAYRSMLDGWGMWGERCAFDVKWGELQRALGGEEEGENRMGACPVCN NPIPKDTESRLHRKNAVRGTPNVGIPNVSSVCYTWIPIDHLQRSTISLLISVTQSMPH MCSA L198_06833 MPADFAYEEPSTSALLIFSTYIWLLNLARWIVQRYTGAGLLGEI AIGMVFGSPLAEWLQIDWQDTMVIVGYIGLLAIVLEGGITTSLPLLVPLIPISFAIAV TGVVVTFGLSFILMPAFSFAPLAAFSTGSAMSSTSLGTTLAVLAGTKGIGFDLRQTKV GVALVGAAVADDVSAFVFSEIIKIVGEGSGGIGGKIGRTVGVTLGLGVVLIPLSIWVF RPLITSRWALKQLDKTGQLGSVILVLFTAVGMVAAAGYAGTSPLYGIYVGGLVLSYIS ETAPRDDRESDLELDPLPRTSACATTNTAGPLIDSPALAWTMSRASSNLYRAQTHPGT LGYHFASLPAPNRARSRAESSNTNHPLDFAEAYNAFLFPIVEYILLPLFFGSIGYSIP FVRLWRGEIIWKGIVYSILMLISKMACGLWMFWPSKSRGGMLAQEKGLPVTENELRGE WGWRDRVPAVLFLGSAMVARGEIGLLISQIARHTNTPLITEDEFLIAIWAIVLNTIIG PLAVSATLKRFKLKVVAGGWE L198_06834 MSNNQQPFGGLNMAQLNQLNPALFAQLTGQMQQQQQQQNQPQAS NTASNLLGGMQMPNQPGNNQVGAQNMGGLRGIPPQLLQQMQQQTSQSNPNQPQRPPAQ QNDKVSAMQNMMMPMYNRLQTQNRDRLEQARLQAVSNPALQAQVAAEQLKAHQNAQAA QSAQAQTYAQAHAQQQASQQVQQQSLGVQGVAGMQGMGGLPGMGGMVGFSQNQDQQGL GQNGHRPQSQLPNLSQQQGLGVAGQGQGMMDDNVRRAALQNMLQNNSTPAQPTAPATN NAIPPEVRELLQSHPDIVQTIFRKHASNSAGAMEEIRRVMVALRGQGAGVPVGINGQV APPRTVTQPVQGNATQQGHQRVGSENFNMNQFGNMNQMDLLQQLKQTPQMNQQVLPSP QIHNANTATNQQTYPQPPQTRPAPPRIQPTPPQNNRQPQPAQAQMAGVMGALANMGQW TSERVLQVVIGLSKKIGQARDEGAGAAGGAPGGRPWSPAESIAKFQLLLVLAELKKRG MMIPEDVLAVGGILMPMANHREALLNVEPAKLQEVAKLTFANVERIRQATMAQQQQQQ NVGQAPQVQGQDQMSAQQLAARRFQGQQQSQQQPMRGSQNNPIELVTPTMNNSQLPGQ FPTPVQASAGSAPMAQQPSGQSMPNATPLLGNAQPPMQGQQSGQTGMEAIQDIPEDAF YSSMSAMMRKGTMPVLQNGVPSIEGKPVNLHKLFQIVIRNNGFANIDAMRWTFIAGQL GFAHPGTNTSTETGQPPISSPHIAGQIRSIYASVLQPMETAFMAARRARMGARAGQPQ AQKGGTGATPLLGSAAPIGQGQQQQTQGSGGLSEQQKRFLDAAKASGGGPSVVEAWQQ QQALHAAQQSQQQQQQQQQQAPQQANASRQQQSQAAGPTPQDLQNYTKMAFKMLELIK VSDGLIRGNLDKMPNQPGVDARGYVNDLRTIIPSAKEAETRLPILLMLMNDADTSFDQ QLVMQCLHACIAPQYAFLAAERQNRYVLASADLMRIKTSLSGFNGRLQTVTKLINERP GGSLRIKAMVESVHNVRTAMLAKTQQQQAQAQSQMQTQGQGQDGLGLGLNLGNMRDMV QQTTQSLFQQAPAAQSSLAPPSASQNIALKDSPSAMQDAIRQKGLRVEDLKQPPAPKR GGKKPGIAAGPGSSPAAAAVSGATPEGTALKTPGATGDSPKDKKVNKRKRQPTGDKGG KGKKKATDANVPELPSPIVSTAIPPQPSAPGPQVNSLELQLTAEKSARKAEIEAHRGF FDQQQEMMSVAGLGDESKSVDSALEMFGAIYGAHQQGLSQAASHLETGLPTSESNPSA APLSASAPAPSAPLQAGGMDPNDSDLFDTYFDASQFSIASNLPTPDLVIETPHPYGAA AVAEGHGAREEGVDSSPESVRTVGSTGVVVVGENKATTAGTEVDLGEGAQGKRVILGS PGSMAYNGGIEW L198_06835 MASNNNRKKHLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATI GADFLTRELVVDDRVVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNSTKSFEAL DGWRDEFLVQASPHDPENFPFVVLGNKIDMEESKRVVSQKRAMTWCQAKGNIPYFETS AKEAINVEQAFQTIAKNALAQEAETELYADYPDPIRIDSENTQNYGCNC L198_06836 MSIGHPEWQAQIRQRLVDNQAHAEGYREIIQQYGKLAKSARDLK TRNKTLMKTGGGGGGEADGSNPLLKYLDQELTSLRSEISELYRSQAATQNKQLASAEL LRERDEEVMNLRDEMRNLRDARDRLERKDQEWEQRWKAREVDMVTLSDEVMSLNLEIS GISKREKALMADNANLLQRWIDKMNSQADMMNEDFEREKGGLAFAELKGDGEEGDAFE FVSAAGSETGDDETTPRKEKPKPRTSRPTSATSTTSSRAPPPNAKVPAAPAAKPTTTR TSLAPTAPRTAPRTSSTSKPPLPPVPAEKQSIKRSLTPRSSRPSLAPSPTPSTASTAT TATQRTVRKPSGVSEVQKKEVTPKSTPDKDSPRARVTSQTSQVARRSPSSHSLGGKSV RDKIKAMEGSGTLKQPLPSPPKTGSTSPSKGKERQEVLRDSPKKGEGFQ L198_06837 MEVLHMSFHMDEVGKKRVYDHPATYEEMRVPGGPELESFPEFIS GTAAKKVKSTPQAGSSAMGALESLGDQQYHAGPSSLGAYYPPNPVHPYNQPGQPQQPL AGPNSHHWTSLLYPSTSVHPHTVPAMPAQSPVFGYHRPVPTQARQQLPPSMTGGHTLQ RAQSHGQLDANLPPVVSAAAAQHQMMVPSDFEEGFGGPRTRRLSRQEARDKDRRFSEK YQQMSQLQQLYPDFTPGQPSYSGLPNHIQPGFGSRLVVHQDLYGAVQAGNIHGPSNAN QRIYGGRSAQVGSNDAVHLSQEGSAQAGSSINTAYDLETLYDGSFVHAGGSNTTDDAG QDQYLGSSYPQVNPFANQNLHRGSSSSQIGINNNVPFESQSLYGGSATHTGNDNTASY TLHALPGPSSGMCQPAPGAEYQDISPITNFTSNVDSIPSPPPLTPSSSSSGANIPQQH RNLTPEEMSNLLRCIDMSPSATAEEMFQTIDSPEVCMNPRAVQHSYPYGVARPSVNFG IQHPPGLLSVAPAGHDIVQQRNVLEGHIDPRLVQQTCDIIAQGISNFGSHQPNLPDAT AGQHLPQQQPMANPEGCMDPRDMHLSYSDGVHGAPEFGPPPFDLESLVVPHDDGCLKG DDEGSCSVAADPDAGLPLWQ L198_06838 MTIATENVCPREIPQPWRETPLVESPALSRIAGCQIYLKLDNLQ PSGSFKSRGIGNLVRSSILSSPPNAPLHFYAPSGGNAGLACVTSAHSLGYPSTIVVPT TTPQLMIDRLLTAGATQVIPHGANIYEADLYLKQELLAHDDYGVYISPFDHPDIWEGA ESVANEVVGQLGEKPDGIVCSVGGGGLMIGISQGFDKIWLKGQEPKGTKIIAVETRGA DSLNQSLLHNTHLALPGITSIATSLGCVRVASRAFELATTNPNIASMLVSDKEAVDAC LRFLDDERILVEPACGATLALVYSGRLGQVMDVDQNKKVVLVVCGGSNISLEMLGVFK EKFGL L198_06839 MGREIISLQAGQAGNQIGAQFWQKLCAEHGISPQGELEDWAAEG TQGDRKDVFFYQADDEHYIPRAILIDLEPRVINSVLTSPFKGLYNPENIYVSKDGGGA GNNWAQGYSAGERVYDDLMEMIDREADGSDSLEGFMLLHSIAGGTGSGLGSYLLERLN DRFPKKLIQTYSVFPESSDVVVQPYNSLLATKRLVNNADSVVVLDNAALTKIAADRLH IQDPSFTQTNQLCSTVMAASTTTLRYPSYMNNDLVGIIASLIPTPRCHFLMTSYTPFT SDEIDNAKSIRKTTTLDVMRRLLQPKNRMVSTTSTKSSAYISCLNIISGDVDPTDVHK SLLRIRERQLANFIPWGPASIQVALTRKRGMGAGSNRVSGVMIANHTSMGSLFKRMIH QYDMLRKRNAFLEQYKKEDMFSDGLDEFDDARRVVQEMQEEYQAAEREDYISHGGQ L198_06841 MSDLTDKQGNEIEVGDTVFMNYRAGKMEGKVDEILSTEDDVANS DLNVKNPPKVVFTNQNNKQSGHNPGTLTDLDKD L198_06842 MSKDTPKNDKPSVGWIGLGAMGSGMATSLASQAFSVKAYDVYPP SLGNVAAAGVTAASSPLEATQGVDILCLMVVNAAQVGDTLFGKEGVAEALKDGSVVVI FSTVPPSFYNALRDEFAIRGMNIGLVDSPVSGGSVRASQGQLAIMASGLPAHIAHAQP VLSALTQKPQGALSIVGNEVGVASNFKMINQVFCAVQIAATGESLAFAKALGLNVRMA WDVIAHSSGESFMFTARGPWSFRPDGTIKSGMGIINKDIGIVMSEARKAHLPVPLSSA AETLYTAASAAGLDKVDDARVSLLWEKFGVGSIPEQGTEEEEKDKARLLEVEKTGNIG KILLIGSGDFYTAKLEKAGGSVILFDPIAEGFATKSGDEYSSHLEDLKERIKGIGGVV ITGEQSAEELLLGSRGYLGVASIIEQSIPVIVNQTIPSSLANTLSAALSSRGLHLINA PITHPISPIIFASASSPSQLSSAYPILSALSDKVHFIPGDVTAASKVKAVNSLLEAIH LSVFCEGFALAAKKGMDLDTVYQVVANGAARSFIMVDRWSRLQDPEPEVWNTLRSLHH DFAVVIQEAKSVQCPLFLGQAAGLQLERAVGAGWRDQDDVFLRRLWEGEGVFLAKKSQ KID L198_06843 MTSTAFTAPSWYKSFYNLFPLVTLPQDDELEWKSHAKPLGENAA VLWIHPSSSNHPYHRSWLSSNPASVRTQLLFLLRQTAAQPRVVFREWPNESSAPKSTL PALHLPSQDRLLSTDEIRPWLEATYPLEGKNKDWQNLPSQSAYDSALALSTLITNQLL PAYLASLPSRPSNWYLYFPTPPPLWAGLTTPLPASLTGDEREVDGEEVIRKGVEVIDA LEGIVGGKSGEEKWMLDAKAPGALDALIASHIYVLFALPLDSPLREALDGKKKLERYL ERVLEYAERKV L198_06844 MARGYHPDPPPPQDDSKLPPPMTLERPPECVNRNSTPAFYVVVS ALESIRKQKQHDKHQTLVRLFALWRQNVGNDLYPLIRLLIPDKDRERPNYNLKEAALA NAYIEALGLEKHSEAGDSMIHWKQPKLTGGRQDSSGDFARVCFKVIEPRCVVENGQWS VEAINHTLDELTKSKADKKDKSRILRQVNTYCTALEQEWLIRIILKDLKISIREKGIL QAFHPDAMQLYDKCSDLKRVCWELWDPERRLNTNDIQLELFNPFRPQLCAMAKGHTHD SIAKLVGAPHKEFIMEEKLDGERIQLHMRGNGAQWFYCSRKAKDYTYLYGAHPGQGSM TKYISDIFQDQVRNVILDGEMMVWDPMLGKYLAFGTLKTAASMNNTDPNEPRPCFKVF DILYLNDNCLIDKRLSERKRLLRGGRIFKDIEKYTGRFELVEEKVGKTGKDITSYLER IMETRGEGIVVKKPDSKYSTGSRDVDWVKVKPEYSDQMGETFDLLVLGGWWGKGGRSG KVSSLLCGLREQEEIGEDGERPTFQTFCSIGTGLRYSDYTWTMDTRGQYFKPFDRKNI PPWMKMGSIGLDDKPDVYIEPEHSFVIKVKASEIVPAAGGYGIGYTLRFPRATHVFGG DLDKFRESREEKVEHRDMWHCVSSYSVNVRYGADISCLMNGPVKKYQESQGQNKKRKV TRPKKNPFMVSAMGQVLSQDRVKGDLFDGVTFFIAKGTPAHPKAELEALVHEHGGLYS QGQLPNLSAKVISPDEKSPSVRAQIRKGVDVIKPEWLFQCIKRDYILPLIKEFLVHAS AESKASRYYNRTLEHLQAISDDEEEEEEEEENVAEPLNEVAEEEEDDAAREMKYKKKK ADREEREEQEQREKRKTAEQRKLEADWGMRDVPKPGSDSSDEEDNRGKYDEDEDTEDE YGGRELDGDEDDKAADSHDSDGEENGQDEFRTMPVGPQDVQGVATMGDESDAMKYDEE KIFRHLAFYLDTSENAELNGLAPSSPTTSIKERYVARVEKLLQDGGGRITTDLHDPKL THIVMDEDDNGRYEELTKKTSKPKMKHIVLPSWVEDCASEETLLHEDIHKPK L198_06846 MTLTQRQPSPRPASLSIEEYDLSDHISEADTPSVKTHPTVPSRT PVPYLKLFPLLVQRWSEGMTYAVIFPYINEMVHSMGVEEKQVGVWSAIAESAMMATES VSAPFYGPLADRYGRRPVLIGLEILWGVFGLLFGFSRTVWTVIIFRMCLGMLAGCGVI SRTMVGELCDKTNRIQGFAVFSPAFTIGMTTAQVSRLPRGFLAKPVPRLLPESWTLLV NLPYLLPAIASALSAVIAAWLSILLLPETLDRVKYNESQQTKRETGGGSNMMGLIKHK LFQKVLTLYSLQNAIMFSFEAVFPLFGFTSKELGGLGLSTQELGIILGCSAGLSIFMI IFVFPPLHAILPGNRCLLVCLACYPLSTLFFPLMWYLSYTYQGPGMPTTLWIVMSVHM ILRRAGDFTSTLLDTITLDAIPGPEYLASANSLGFSMSAVGRATGPFIVSYFFALSTR FPPPQYPIGGQIVWIVLVLLCVPALGLAWVVGNADTSAEGHDGEGSRREEEEMGLMGG EMERTGV L198_06847 MPTSLATSTTDKTQEVRKFVALDLFRSYSDPPRTTYPLTLHPTA STAPRLVLGTPWTRSTIAAEDELAWLPGAWGSDLDPDEIFDMDMYFEESVPGDDDEPE LDPHPQHLGLGPRAEYHDLDLTPSHDMLVTPKFSNQLPVPLSPPLTSTTTSSTSITPL RTSYFLPMVEHENAKGKELGYFRMEQEPESLEELDGEEEGEGRFEQMRASWASQASRA SSFASSICSWAASSYSSSGSFGSLEQSDSPLSLASSLSSLRIQTDPVRTSPSPRQTRQ SARSGSAASLASSLASLQNEAEDEMEGKEDVTSGLVKFALDSFSARPIRRTGTGAGAL TGAGYAFEGISPQTTGKFLPQGLPQKSAEKAEKAEPRIGTFFPGSRRPTMVTAQVGS L198_06848 MSLASSSSFSKNHPPSTGIKATSHDHPLLTQEESKTRHRRASSV SKPPQLVFPTAWAFPFPARNNTEAGSSKPKPARPPRSPLRPSFPSAKRHRRASSAATP SERTRTDSNLSYSGIEYLRGVLRSFSQSLSNDIQESEESDEHGVELQPSDPIEEARHR RNSSLTLPPYFRQSSSLQTAHVSDMSLAEQRSTQRIVDELLGELGPGSPRGSPTFPQA PPKYLDRVRKHTEYGHRRTNSSPGGSLTSEGDLRARTLSGGTFGRLVSGPDSDRATLK GARGGTATAPTSPVLSQVRLPFAAAAQRQAEPIASQESLAKLPATTAQPVLPLPPPPS QPRETEDLSASLPQPPPHVRVTKSSVPPASPPPTGLPPQPPVVPINVALAGNHPFAAV VQMMEKGDSPSKRKSSGTVHNEKGKGREEAGEGRAQTVRRGYIGPGGIMHRITSSQSL SKVRSALPFAKPTAQSTTSVPLTPGFSTTPTPTSTTFLRPAPPPPQTLTANHLTFAPS SARSPSPAPSKQSFLSPSAEYAPSRLQQPTRGSTRMSGRLSQMGLPEDVDMIEIPRFK KKELNLGLVRKQAAAQRLVWLGLGGLWGINGLLSLFFDVNVMYMLVHAKGWQFAAAAY GILWGVSTLVVWLGWELGYEFWRRWRLDRPAIEPIYFSLPASLHLALKSYDHFLFLLH IRTSPLRTPFARDIIPETCYALVQLFPGLIPLLPRAAIAVVLLISFWSPATDIQAPFG GRVDHVAERDDHFFRSDAVGQLTEYAKGVLLAFTVYIAFRLLIVLGSAIGLWMFSGRP LGGLVGHRFRNLFSKTKRHSTMNPPSTPHRRRPKSSFQPRDPNLTQSPQKSWVDHENA WDWAWKERTRARVQDAFELCIVRVEGSGVGPRNSIFAGSRAGNDGTRRGEMPWGRAMD REMGTQETIRLDDRDVKGPSYSADDFIQQITTDKNASHLTLPQVWEPPRPESSTLERP VRPTTSRAGTMATASSQDVFYTPTNGNTPVLEKSRSAAEAGAPKTRAPPSAYKPHGLL TEFGVKEEGRESPDSDDDESVGLLSAHTSPRHSLRARTMSGGSKSLRDRTLSNSSRRS RNISGNSSTNTSNSHSHSASTSSTTRRRAHTTSHPGSADSPRARSSSITMIRESLSNA ASVVGEGSQGFMRRARSGTVMSSMDGKVKRYRRMDGEESEDEELAEHGSPVTPRSKHD TTMGMPFGVPPSTK L198_06849 MPFNDELDSPDFRAWLTKTIEPLCDADPAVLSDYIIALLKHDAS MEEAEWKEFITKELIDFLEASSAPFVDTLFTALSTKAYNAAVPTPVPAPVATHPIPTG PAADIPQYHPQFPAAGPSSGAPAVEDVSMQDATAGVGKSGRPKCRDYHERGYCMRGAN CQFEHSEDVLIPTPDMMFQGFFPPFMGMPPGFPGMPGMPPGLPQRGRGGPRGRGGHPG RGGYPPHGHGHGQRPEPDENFLGNREPPKDRNGNTLVVSDIPRQNLTVPSIKDYFSKF GEVTNVAIDAKSARALVSFTSNFEAYQAWKSDQAIFDNRHVKVLWHRPHPGQGAAGQK ALEASRTLLENMKKIEAGEGGQKVPPKFSGPEQRLQAALAELEHRERQTKKEPLMAEQ KILLARASKSTKEEKLTILKRLKAIAKEIEVLNNPPPKPEGMEIDETKAKLDQELAAH GMEQGSDEELVRLSSQLASLKEKANTYGISPRYSPYAPRGRGRGRGRGGRSVHTLDNR SRTIVVSGDNFADEGARKVAVDWYESTGGAVEVVEGSLRISYPQREMAEKALALGTDQ IREQTGIIRTAWEAPQAPDVESYYQPVDVEMGAGASMGETGEEERRGERNDDD L198_06850 MYSTLKAATLFALLTGLAVQAAPAPQSGTSVTCDSDTQWHDDYQ TQTCPTGTVCQADAGGNPCVWPSSGAATGVTSAAAATSAEDVGASASVAGGASSSAVV GGASSSAVVGGASSVAGGASSAGGQATSAGAASSTVAGSSGAAGSSASGVSGSSASGG SATSSAAASSGTASTTTNSTSSSGTRYVLYWDNYANMGGVEASQLTGATHVILCTIPF ADMTSWATKVDSAAMAFQSSSDGNFDPSTASTLKGMVSGLKVTGALGGWGLDTPMATA VQGGESTIETLVDNAVAFAKAFDLDGIDIDWEFPAASDVPNLVTFLTKLKAGLPDDSI ISVALGARIDTTDAAAYTSDTFSQLKDLVDMWNVMTYDYVNRYSDKTEQQGGGRVVET VMDYYEKQGIEMEKVNIGFAMNAKYFTGVTDCTTDNPIGCTLPGKAYYEDSGKDNRKS GWLRFNSDLDSTLGTDGTTWMEKVRPQFEKRPTDGSTEIADDVSQAWYDEDNQTFWTW LEPSDMSSVCSTWKSKVGGMMVWSANQ L198_06851 MSTDSGSSQTPTSSPSSPSSSTSHESDDASSERRGLRPKDLSRY LTSQVSRAADSIDWGEDFESGASVIQTLAQIASKIQARMQAAEKKREEGSGSSEQANE TSSSASEKKDPTPPQSPASSSTPKSSASSQEAASTSPSPLPSPSPSSSKSTSEPTSTK PTASDASSAQRRSSSTPPSTASKEQSTKPSEVTPDDWTWVPIDKMPKYQAMLRGDGDR PEWPRGKGRKFPTQGKA L198_06852 MSQDALMDAPGDPGHHTESPNPTQNSPDVPSTQQQRFATSINAF GLHPPAASSPALANALLSSREGYFGLTDQAVRKVRRPSMLSLTQNASFQSDGTPSEGA GPRSPLVGTSKQSSGMAEDKPGQAGEGRMVMDMVQDPSSSNAVNQFSSATSPNGPLQP TPRWPSVGSFQDSFLKRSTSTSTIPIDGLKTSTPPAPLDPSDAQRRQIGQDTEMAGTS PNGEGLKWSPSTHTETPNNHKGKGRESPTSPNSSKLHQPPFTGRPLPSALLQTLISES APLEHEIQSEARLQRLLISHPAKLPLTPRAPRGSRGRFPEQVGGDDDDPDATFPDTSS LSALSRRWARDDSDSDSDDEHDETVGGEVNAAFAAGMDMDRPGSSSSAGWQTVLDRRE REGSGKSTTTSGNDHRSSTGSAGQAGFPFPPNVQQVFSTPAPNSGQQQPGTLKNTRLN MPNSAGGVGPSPGTGYTLPNAFGGMGMGTPLGSPTVERGELAGSPNASMSSPGLMQYR ESGSTGMRMGKRKAQEDRFDPYKRPRGTSPSANLLSSSPFPLSPSRQSSIPIPASPSH LPIYPSSLGSITPLGSTLGNGRGQHPSASRPGHPYARTIASRSRAASPALSIGSGAGS LGSGRGFLGQGGSTNGNGNGNGNGNGHQGQGSLGGLGLLSLANRVREEEEENVDPGPG PKPHTGDGEGEGVKESDRMEED L198_06853 MPPSQPFQPPTRPHSANPLSVHPLPLFPRRPSAHNAPARQSREG VEDWEDAWDSSSDKEDGIVHSRRARKSEEPAAIAASWASTSYTHVGYPSTSPTRPTLQ QSETYSEGTSGPAPGTGGNVPRASSSGPGVGGSKLPPGGAWEIVEADEVEEEVIPVKV GKEAVREDVEDILKDPLELLQSLCLAPTSPTSHPPSRSPSAIFPFFPSDSTQSSPTGT PTPTLTKRSLSHGPVSRRRSVRTERRREKFAKVLSGDGDGGGVDLGELRRLAWSGVPQ EVRPIVWQLLLNYLPLPAEPRLATLSRKRREYEQLVDQYFGRGIAALDQQIWHQIEID VPRTRPGVVLWSCESTQRSLEKILYVWAIRHPASGYVQGINDLVTPFFEVFLSAYIST DPELFDPAHLSPKILTAICADSFWCVTKLLDSIQDHYISHQPGIQRLVRRMGELVKRI DAPLAAHFEEQGVEFMQFAFRWMNCLLMREISGKCTVRMWDTYLAEGTDAFSQFHLYV CSALLVKYSERLREMDFQEMIIFLQRLPTQHWTDHDIELLLSEAYVLKTVWQGAENHF KDLPTGGGGGFGMLGR L198_06854 MPVKQAFRPPKPIQKSSKTTTAATSTTTKTTAAAAKSKQKQPRN AQKQTTTLAPQELAQTQAQAQVQAQSQRVEQSTGQDQSVVTQTESLAIMRVSLEASLG AICYLRRLLPDDNFVDTYMASTAVPDKTPEQQYGLSQPDPNSQMGSQSHGSQQSQSKG FKYPKISDSSPEGRRLLSLINDGVMDAISKGYLRSFMFILFLDKDDPSNIIESYTFNF FYTGASNAPSLSMTHTTGSPSGYVNGDIEVETVGAVKTSAELEAPKTHQDCRKAVKAM MKTLILKCQKLPDLPRQRYVDFKLSYNESAPPDYEAPGFKDCSGTSLLMATCDVDAAP SNIQMGNTATGTHGISVTAQSIAEYLPSRLDSDERQGVSDPGDVEKEHEEQMTNVKKR KVAWCADLPVYDRQIYEPDSMDPHSVLKQPLGKLREDGTVEPMFKPGMRPDSPNAAWK KRARDTFDEDLLELSGAQREPTVVSRSASVVQSVYDHDADGETEFEGSQLANVANRAQ ASRASTREATREPTEQPRNVSVFQDTDTTPDSGALLSDPAPDRQPLQDKDPNERPRKS PKTTGKSTATGTDDSSITRQSPSKSKKKQGSKKSHKKAPVSDKSPTDTGNPVATTPAS KPTSPRKTAAQKMALAAASPDKQRPTTKKSAAPRVDTHVVEVEGREPVTCFCGSVDED DASIQCDGCGHWVHLPCVGFSVLKAAAQTQDWFCILCQMDKDDKHTWTKNDYKQVREG MARLAIVRRLVSRIRGEGGIGNEVSQYTESLNCTKKTLKRALATLHEDGFIDGAHGSR RGKKAYYKYLQNPDTSKRLIEYFDPGAGVEIDLFPFRKAQHSDDQTDEPAEPLPNSQG VPMPDLPSTSSSNNPSGMLNLNSARTSSSTVRTTFGNSIPCLDKYPVNQWMLPAIRSS RAEEPIELLEDW L198_06857 MCGLTLAIRPSETAQSGSLRSIEEALSSTIKCRGPDCQGSYLNT FATSTEVKVEVSLHASVLGLRGDLTSQPRKGQRGVLGWNGQVFEGLHVKEGENDTQKI FEQLEGGASFDEILQNVEGPFACIYIDLEMSVIHYQLDPLSRRSLLAYPANSDAKTDM FILSSCCCGEARAGGIDMRALLGGEGGRIDLRKIQSCQDGQLDFSKALTLRTNFDLPE SSSTPWTRVTPINPTLPHLEDEGSCEPSIDAFIDHLRTSVRHRVENIPDLQPDQSKVA VLFSGGIDCTFLAYLIHQCLPVDKSVDLINVSFAPAPSRVDTGKGKNKASPQPMDAYK VPDRISGLEAWEELRQVCPGRDWRFVEVNVSYDEAREHRDRVVELMYPSITEMDLSLA YPLYFASLGHGSLRHADGTTAPYHVTAKVYISGLGADEQLGGYSRHRHAFNTNSWQGL IDEVSHTLPLKDIIKLTDQTTQMDIHRLPTRNLSRDDRLISSHARDARYPFLSLSFIS HLSSVPIHIKCDPRLPPGEGDKRLLRMAAKRVGLQKTSGRVKRAMQFGTRSSKVGGSG RGVKGPKAGEREVS L198_06858 MSRIISLGAPPPRSSPSDARILGSSGYTSPSKAVKGLSLNDENS NEINGHGEEQDKEEEEEEEEEEEEMGMMVDSDMEETEDEEDDDRTVIHVEVRQKQHSR ILQQPLMIVLQQFIEEEIARVQLGCELPGWQEVEILREHVDRVWVEEGVMGVESIQAS EAEIQVHVYKASVDDLLEEFTADLDDDDDEEKVSAASVRSLPSKELDGVWDTLVYSDD IKARLLNYIYSTILFSECEVDFNVIAWNRVILLHGPPGTGKTSLCRALAQKISIRLSD KYRHGKVIEINSHSLFSKWFSESGKLVQKLFEKVTDLVEDDSCFVVVMIVDEVESLTA ARAGAMRGNEPSDSLRVVNALLTQLDKLRTHKNVLVMTTSNLIDAIDEAFLSRVDLSE HVPLPPPRAVYSILSGCLREMGKKGLVRGCRVMDWQGAEEARRERKFKGGEGKGDDKK REREKAIGASLAELAIRCHAIELSGRTLRKLPVLAHARYLSSSSGEHRAQKIERWIDA MNKVVDVEAEKKEGPVSEFSGVANGVGGEKGKGHSRIGSMEKRDVGVLVGTKMRGDRQ EHGHA L198_06859 MNMTQQEDPEAPQDVRQSQMSKTSTVEGDFGGDVGSMMSYPLAS SSEEDPEPQPYEEDSLAPLVDKREEDASYKARWGARTEDGTNHKGLLFSSAHRYEM L198_06860 MPTSKSSIDHRDVYYRKAKSAGYRARSAYKLLHLSEEFDLFTGV ETAVDLCAAPGSWTQVLGNELKPSGKGEAGRKVVSVDLQTMAPLPNITILQTDITLPS TIPLVLDALGGRKADLVVCDGAPDVTGVHDLDAYLHSQLLLAALTLSLTLLAPHATLI FKIFLSPLDPKAEFLASQLRCFFPGPSLSSEQPDEVIGEFGEFDEEGETGAAPGVRRK GVEGYDLEGRRGGVWVRKPRSSRQGSGEAFVVCRNFSPSAIPLPTIFSPEALQELRQQ TSGTLTLDSLATLGLGGEGVKAGKEWEIIKGYVGGGDLDAMSVQKPSLKVKAPPVRLQ LPDPSPIAELSVHSPISPAVSSPLALHAHPTPLDGSPEEYFSPKETHFPSHITGRPET PNGGMFLSPKKSKLSEGVSGGRARGVSDVGSVRELTPNPSPVRLVDPTRPWASSSHQS GDGPVRPPSVPVKSSSMPVPSPSPPISDPASASLAVPSRYRALSSASARSHGPPGPLV SPSLSTGSSRTDLFFASSAAALPTPPKKLDYSVSAVGKGLPSGTSGRNERSSTMPSTV PVKLRLPPRKEKFKDPSPASVEPIGWASTGAVEFKDPSTSLEGVGAPAKLGFEEISTS RLRSLSNPTPLQGQLAVPSIPPPLPHHGSSSSSSGGSQSTPTPTPGPSTPSKSNGGKV LRRKESQVLEREQRHSTRADLVKEGSVIRPTEKGKRGWKVVRKLGEGAFSGVWSAIPE SSSPSEKEGQVQAALKLMPHHLSLLDSRTRIAFLREVEVLRHIHHPSIVRYLDSFSTP GWDVLVLERLDGGELFGWVSDEATRERMILPAPEPEPGSLEEWDKDGEGLIRRIFSEL AKAVGWLHEVGVVHRDIKLENILFTTNPFELPPTSTNSVPLRLLPPPNQPLIKLTDFG LSRFISPASPLLATRCGSESFAAPEIIMAKPYDGRQTDAWAMGVVLYGMVVGELPFDR EEAEGMTTPGSRMTEKERWRKKWMRIAKGAYTWPTRDPVQSPTNPGADAPGTGTPALR SIVAALLEREPSRRAKPLDLWDQQPWMAGPGGVGKPEEHAKGCECGKGVLGETQVRRI LDGFLVEEDGIEEVARAEHY L198_06861 MSKPASAAKPVSRAPETVHPAVLGKLITFAVLMAVVPIGTYFGS LNYIWEGSTTFSAISAIVAANLILVGYVVLAFKEDLGDDKKSVGEKKNI L198_06863 MSTSPPKTPPLHSELTTLSPLPTDVISLIYACYLAQDPLASRSQ FLNLIVLSKEIYSENAWRLYEAVELNAQNHKAFFDGLWNAGDVHVCQSQSPCPPNLRC EASAKALAREMEVYSHPKRELERPSHIPSDSDIPYPKYEYESYIPFHLHPAIRKVLLI RQCRRLYIDTWGAFAGLRKNNHSVRDVVTAWPRGHVVRNDEAFDYTWISDPLFFSVTH LSFGEKANWSCPSMQYKCFGPALKHLCLSFTDAFYDLDREYEEAAESGNLDEFEEREP RAYITTLLRERLFFALNSEVDEKTSLTLHNAWPDDIEPGLADTMIYELPRSTENGDGD WKRQLAMTTWEMEDYMFELEKRIRDGRWGADVWRPTMKPWNVRFTNMAPIPANTDIIS AVLDDYPFGQDEHFDGLFKKWWEEVVSFEGSMKCDCCEIFKKGHPKVGMLVPHKGRHA D L198_06864 MDDTAPLADDCDPTYTHFAHRAQFLALLDRFLALDLCHESGESE EEAEVGLVDEMGEILDYYLPLPGLLDPSLHEVVPPLMTLLEKSLRILDGQIDHDTVTV NGKRLERVGRVVNWVVKVRGWKAVVPYFPSSIPNLPLIISILSPHSSSTQHHSLLSSS SAWELRSVLLLWFALLLTVPFNLSALSDGDDPVDSKPYGLDLSSATILFTSRTSDLAQ RVTLIAAPLLHKPGREGAYAALLLARLFSRTDGVQGLKGFFAYALRELQESDREEEVH LVSSLFHLIALLPSMLPQGHLEQVETFLAQMFDHLRGGRTVVESGLVRKLAVKAKGRL WVTKIGRKREEGDEDDLPEGLEEVLDEFMGGLSDKDTIVRYSSAKYLSRLSNLLPPDL SDQIVGATIALFSGTEDEPAIFSSCGGIIDPGGSPHTGGTFALSGGIETTRGESRWHG VCLALGEMARRGLVHSEQVGEAVEWVLRGLTFDIRRASHSIGTNVRDAAAYVLWSLSR TCAPALLQPCSSQISTALVNTACFDREVGVRRAASAAFQEGVGRLGQGVYPEGIDVLG KMDFWGVSVRRRAFGVAAPAVGIHKVYREYMIDHLHNVTLRHWDVAMRTIGAEALKSL VELDISRLDQSLDREIKELSGLDPINVHGALSALSSLSSLFPASDSRSHKIFTSLSSI RTPTFLSTQAPDILISLYSLLTTILSPEVLEGEGGKGREKLGKWLDMAGRRREVGVHE RGATVWGVLSRMGESTRDVEKLMADLKSSRATIRQYATLSLGHIQYPSSTSPLASTTQ KAVKALLGLLKEDQRLKVDVESRKWAVRSLGDIGAQRRNGNVVVKYDTFHEIISALIT SLQDYSTDQRGDVGSWVRIASLNSLGLVLSSLAPQDSLLTEELRDRALAGILKQAMEK LESCRGAAALALARLRQAGWHWLADSSFHSPADMNVEKLVEGGFRYVDQREWFASGVG LLGEEKWRRDVLEGLVLTVGSQVGSLASTAFAPLLDYLTAHPATMVPTLSTLSSILST DFTRNRVFIPTLQTFWKLISTPGIWALVANDEKEVVTVVHAVLALATKGSASIKSIER ISVAMRLVIASLSGPKLVRSKAVGLLSLYLNHRFPRIRAITSEELYLALSQAEDGEEM DEELEEILLETEWVATTAAEDGVDLGERAEKVVELLRASS L198_06866 MYASNFLANKLGDRGHGVQGSQIFRPQTPPSPTHDPFIPSPSIS SSNPHLAGSRSPSQSGMRTPPFPGPGIEGIPDMDNAMGSSSVGVGVLFAGPDDDHPQP QESLSRSANDGKGRSRIPNPYESSSEDSDDDRDEAEMDLDQVETVRRSLLRPHPEQQQ RQPLSERAKKGWLAHQSVFPPSSSSSDDESDKETESESDFEGSTILGSGRKGRRQSEI DSNMYDTGTMPAAYNVHTNMEEPLLGAEDGDRLDRVPVRLQVYHGRFGHWDREGLRKY KDSGFLAFWLSSLLGILIGLLFVWGSTDPPPDAPSSAPSIIPLLPLLLMLLIPPLVLP PAFLFLLQKTVRPVLIATAGAIPFSLFICGWWAIGASFETQGLDGKEKSEQWWGTTAL RIGAVLLWALAAWFGRLMWLRRRRLDRTASVVELSTKLLLNHPPLLILTPLLLGVFAI ASIPFLTLLIRLGMIGYWRHPRENTWVFHIRPYAGWLIFLVTLIWVWTWGVIRGVGRV AVAGVIGEWYFHREDHRRQDIIQVTTAAVHRATGTSLGSICLGAGIIAVVRTVGSAAA SLKQFTSPRNPKLPSFLTFLHHLSPVLTVIAGVLDQLNGYALVYVGITGDAFWPSARR SVSLAGRRKAGHLLDYTLIKLLLTLSSTAMGLMTATAGYLYMTHTLGNPGYAPVAGLV CGGVPFLAVRAGAGVLTDAADALFVCYQIDRELGGQHSEEAKGAFVGETPSGANAV L198_06867 MSDAAKFSFGKFDYICEHAALVICPMLGDEQGIAPTCYARNVQL GKQIIFQPATCIVHIAALIMTGIMLYHVRSKYTAVGRKEIVLFFYMYMFVELFAIFLD SGIIPTANKVYPWFAAIYAGCVAALHWCLILNGFFLRISSLVVGGVCFGIAIATFKGT SSSFSPTNAVGLFITYLVFPLICILVYFVSQMLLVVRTLDDRWVIGDLLFMAGFYVAG ILVLIAFSVTICDAISHYIDGVFFFTLAMLFTVMMVYKYWDSITKEDLEFSVGSKQAV WEVKDPLLAPSAEYYEDDAQSAFRGGGGSLVGGYNGNQYYGNAPYANGQAQQGQYAQQ QYGYGQQY L198_06868 MADDSVPLPYPNLNVHEWHFQLQHVESRRAEVEPKFWAAVEKDE MAPYLKSLGSDKAELIAKLEEANKKVIEDYDKKIKEAEENEGESEVAEGLRGKAMYYV RIGDKDAALPALETALEKTAGVGARIDLVLAIVRIGLFFSDIPLVTSNITRATELIDA GGDWDRRNRLKVYRALRHLIIREFTEAAELLIDSLSTFTATELMEYEQFVGLTVLAAG VGCDRKSIKNKILASPEVTSVTEQIPSLLSMTQSLHKCSYAPFFVALAEVESTHLLPS PYLAPHASYYVREMRIKAYAQLLESYRSLTVERFCREFGVGEAWMDKDLSRFIAGGRL ACRIDKVSGVITTNKSGQNKGAVYEQVLKQGDQLLSGKSHLVNNIQKLHRVVG L198_06869 MATYAPHERAYYDALFAFLDKEGTSVLPGQDVYPFLTSSALPTN TLGEIWALSDPDNNGFLTKDGWYRAARLIGWLQNGAAKSVDEDLLSKAGPLPTFPTGP KPSAQPPLQGQTTGQPLSANVTGGGFPPISPADRAKFTRLFAGAGPANGLLSGDKARD MFVKSGLSYEKLGKIWQVFAILNNRGSLDLTDWIIGMHLIQSAMVNPSLDLPLTLPPG AYEAASGGRSAPPVAPVSPLRGNTASPVRPQYTGGIAPLQQQGIAPLQQQGTGGSARA PQRQMTGSTFSPARQASGASQPWDVTPQAKATSDQFFSQLDTQNKGVIEGDVAVPFMI QSQLDEGTLASIWDLADIRKEGKLTRDEFAVAMHLINAKLAGQEVPATLPLSLIPPSL RENFGPGKQEVLAQPSSATKDLFDLFADDPPPPTTAAPAAAPAAPAAKSLSPAPTGQS KPPALPARTPSQSSAQPFSTSFLGQPPAPPARRQTSQSSTKALSPAATGQSSTPAPSS FATTFASSPPKRGGDLMGDEGGDTSSTPVPDHSAEYGNKQNQLQQTTRGLTDLSNQRA ELEGHDQSSKSQLQELEQKLAGAREKHQQELRAVADLRIRVGEQQAKVKQLNTDLITF SSDVSALQSEKTELEQALLHDKEEVRGLQRQMKEVEEEKAGLGMVLERLRKEARQQKG MVTIAKKQLQTAEEKKDGVQKEIRGVEDEIENDKAVIEQHNVSQTTARSAPEGAFSPD RLATASAVPVPGTPQALSPTATGRSNNPFDRFIQSPASASPSADEQGLGNAALFGVGA AATAAAGVVAAGVGGAYETARSALTDEPEQTSQTGTSSVPTPAPEASNEPAPQARDAE DAADKAKANEEAEQDPFGVPTSGPVTAIPAHEDVDPFGAPATPSQGQGGFGTDPFGAP TVTGADAPNGFGADDGFGDSFGAAPAQEARAAATEQSKAPADFDSAFADFDSPAEATE EQATLKEEPFSPPQGGIPAGLPKSHIPQLDDRPEPERSLSTQAVASDSEPSTPYTPGS SVPGAYHAGSQDSFNNSEASTPGLAGVGTAAGVAGLGAAGVGSALTREVQPEEPDTPR AEVSEHYVDAREAADSSDEEEEPEDIEGPKREYGKAKEEAFASEEPGAAQPEVTSVEP VSEPRSGAALAPALAPSLDPFGASASEEQEQKIRRSAPPPPTKAVSPAPVVASAGGPD VGEQFDPFGAPTAVSSSAAFGNVATAQAPRPEQKQPQTASFDEDEFDFSDLPPAQVDQ TSSTAGFAPSSAAGQAQTTTQGGGFDDEFASFDEEFNDESGAGGNGNASDLSSGNKSY EMVSPNQPSAAFAGQSQPSAAQQAPAAGGSSGLYDEWGFGSKKGPATESPVAATQGSS QFDDAFGGDFEPAQSTQQYAPPAGPPPPQKDEPALRPPAMPERRPSGAQADDIEDVKK LCAMGFSRDLVIAGLEANGFDFQKTLNVLLS L198_06870 MPIQSPAFPPEPTLDSIPNFDPEKFQPPAWAKTQSFQWGTDPSG KAPNGVSKLDLSDDESDGDEDDDFEDAQSSFDLHAGDEVLGINPEEAMFTIDELRELL SRATQLKLEGNSLYTSKPPKYEEAVQAYQRAIEHLPLFPSKEEEDSEVEDEDTGERIK KTKEGKEKEEKEKEAPPAVPASSGLQEISEEEAILISKQEDEGAPRQEEDDRPEEEKE REKVENEIKECTKACNGNLAACFIALKQDEKAVKACTEAIKIDPNYTKGLHRRATSNE RIGSLTALSSAKEDYTLLLTLLPPSSPLLPSIRRSLVTLPPKIQKQEKEQYDEMMGKL KSLGNSLLGNFGLTTDNFKFEEQPGGGYSMNFSQ L198_06871 MYPFPDADLDQSITDAVTSRISTDGAESDHHNLQAEIGLQSLIV GFPAKICQLLARGSSEPQLRSRSWRGDIRTDIILQTNLTPNTTIVGIEVKTSPELSVE EMKMVVEAVRRRLVSVGHDGIGNLIVNTDWDRMPEEYPRTGRNHRDHQKRVCSILSQV LCYLVKHRCNHGVITNYDAWIALRVAGTRDNPILQVSDILYKEDRPTEDSPWSSPLGL LLCYHFLRDPGVIVLPDVSTTKTAARQGASRGRGTSGPAKIRSSQHPTPQSEAGLLSN RIGGSFKRNAHHDGTCSTASAGYSHGSQQSPARNMVTPPLIHSSTGTDSSPEQPSPND IPFTRPFQIEGLGRQDERAGVSLVLDFDRVRLSQAVAGECRFLHFMPSPSLPFLRLDR HDEAGYLRHSQSNKYPSDDTILSAAIEHDPPTSGFDVSDGLSHDGDGYSPASHTGICW TTTITLEEPLDSGAVWDVYYPSSPLQTCVVKVSAPEAFPDDSDEPAYGCITRAEALDS ILRELMVYNTKLLDLQGTVVPRLWGMWKGSQSNPDGGTIDLYISVMSNPGCMLDCNES DYNGESDCNEWEYNGESDWSVEEKMVIVAQYTRLHNAGVLHGDVSPRHWIRGAGVPSP TDSTSLPTPSIFLIDFGFSVTIDMLGKDAWEQETREEMKRVRSFLHI L198_06872 MATTRPATSPDPNEANKKQKTVEVKKKVCLIDDPQKQPRLIFLR SVHDGWGLSDNEKGNAIHHGDTTNMDHIRDKHNFVPLEAHGLAVGLKEGLMGNSEVGH LNIGAGRIVWQDIVKIDQSIKKDEFAKQPAIVESLKHAKENNGRLHLLGLVSDGGVHS HIQHLFALLRAAKAAEVPHVYIHFFGDGRDTAPRSASKYAQQLLDYIKEIGIGEISTV VGRYYAMDRDKRWDRVKIAVDGLLKGEGDKTTQDKLVETIEEGYKKDVTDEFIKPIIS GSEDSRIQKGDTIFTFNYRSDRMRELASVLGLPDKPMDVTVPEDLHITTMSRYNAEFP FKIAFPPQGMTNVLAEWLGKQGVKQCHIAETEKYAHVTFFFNGGVEKQFENEEREMVP SPKVATYDKQPEMSAQGVADKVSEIVKSDKFEFVMCNFAPPDMVGHTGDYEAAVKAIT ATDKAVKTVYDACEEAGYVLCITADHGNAEQMLDPNTGNPHTAHTTNPVPFIVTGDKG GLEVAGEPGALADVAPTVLDILGLPQPEEMSGRSLLAKK L198_06873 MLLSLKKLVFILPLLLSISAETPASTFVPDDATSVVSSASIASS TSESVAITSAESISENSSTLEDKSTAIEATPTSSSYTAETISSSEEAVPSTTSDTAEE SITSSAYVPSSSITPTSSTEKGSIPTYQSSISSSSTEATTTSEIEEDCPEESETASTT ESSAATSAVSSIEEDCPEETDVSPDTTAAATSTTSEQPSLTSSATTETSSDAGQCQCP CACTASGAQSSSAIGGNLGAVPPSSTSGSDTAQASGDSASVVSSSEAVPTTISGTASD SSATQTAATISGQEGDATDSAASETDLAASSTAAGESGAADSSGSVTSGAGEASSTSL ASGAGETGEAAMATSSSELPVSSGSTTASGAASSGSANATTTGNATTAADASTPTNPG KYGTLTYYMKGNVMIFDGNSEVGLAISPDEGEDSSDYSIRLTDNQAYSSVWSFTSWTT TSDGKYGLDVEGSSANRNITCLVTFNTGDHSNLASVTIQDSDPWVTEDDTGHTLAMYC DGDYQDNDPDDQEKKKKRRRSMGSASHLGHHSRRGDIW L198_06874 MNKRDQPRSSSERGMLFGGVSEKSAEITIFIGCEQDPQLQGHVI IRNDATTEELEVAIANHIRLPLPSFFITWNSQRLSRLAPLSSQGIVSFSTVHVFPIKA MQDKHKADQEKNKKDKAARYYVGRDGSVKVRRRDRQKAMEERLRKSDGDQDEEGKKGL PGFRQFCNAMGVSDEPRPRSSDPFRPPHLSSNKKRPRPADHDDFLFMGHEPVESHVSR HTEVTSVVPPAKREADGCRGPLTLPSRHLHPETVSSFPGVSSALPVFPRVSPGYIIQP NNHLPSPPRDDSATRRQVDVFSDFDDSQCELRDYDPPSYVQREEGMVPLTRTSTPYHY SSAQVRLSRERVFHSPSLYSTPSPSDPPIHITPPSPVNPPPSPVYKPPSPVYESLSQP PISRSSSSLVPVPVRRSVFPRIDHRTSDRPSSPRVLPDRPVTFCREDRSYPPTEWSHV SSLPLRSGERPRLPHGVYPTARDGRRSNFPISPPRSSSADTVDRYRTRDERYDLLPLR SISGLFPPAEWGRFWQVCTLGSSSRSHEELEATTGWQSGKGRGH L198_06875 MVIQAAYRRALNAPRPAFLTRAYASATSGPVSFALNDEQRGIQE LATQFTKDVIVPQAAEYDRSMAYPWPIIKEAHSLGLLNTHIPEAYGGPELGLMECAII SESLAYGCSGMQTAIEANGLAEAPLIVAASHEQKLKYLGRMAEEPLVAAYGVTEPGAG SDVAGIKTKAEKKGDKWILNGSKMWCFVLAITDPTVAPTKGMTGFIVDGDSVGIELGK KEINMGQRCSDTRMVTFQDVEVPEENVLGKPGEGFKVAMKAFDITRPLVSAAAVGLAQ RALEEATKYAQERKTMGQAIINHQGVAFMLADMSIGVEAARALVWKAAWAKDAGQRNT FYASMAKAFAGQTAVQNANLGVQVFGGAGFNTEMPMEKLYRDAKIYELYEGTNACTEQ AQ L198_06876 MAIQEPIQKKRKLEPPTNKKQLAVQPSFTDVLEQLEAEDGASAE SIESSAAWPRPPVPAYDSKKDAITFQQIEIEEATDSSHGPILRLFGVNKSGNSVLANV HGFRPYFYVPAPSGFLNKDLQPLQDKLNSSIGNFGPCVTNCAIFNRRSLWAYRGDENV PFIKITLNDPKSLSKVKDEYFCHIYRGQVDFNGLFDTEVLTYESNIAYTMRFMIDTKI VGMNWVSIAGGRYDVMSEGAKKSQCQIEVSCNYKDLISHAPEGEWLTIAPLRILSFDI ECAGRKGIFPEAQIDPVIQIAAMVTRHGESKPFVRNVFTLNTCAHIVGSHVLEFKDER QLLLEWRKFVEAVDPDMIIGYNISNFDLPYLLDRAKALRVGDFAFLGRMKGNSLGVRT EVKSTHFNSKAYGQRDSKAVNMEGRLQLDMLQVMQRDYKLRSYTLNAVCAQFLGEQKE DVHHSIITELQNGTADSRRRLAVYCLKDAYLPQRLLDKLMCFVNYTEMARVTGVPFNY LLARGQQIKVISQLYRNAADAGYVIPAMRSEGSDEQYEGATVIEPSKGYYDVPIATLD FASLYPSIMMAHNLCYTTLLDKATIDRLKLVEGEDYVHTPNNDYFATTNRRKGLLPTI LENLLGARKRAKQDLKVEKDPFKRAVLDGRQLALKVSANSVYGFTGATVGKLPCLAIS SSVTAYGRQMIEFTKQEVESEYCVKNGYDHDAKVIYGDTDSVMVRFGCPDLVTAMRLG AEAADLVSTKFTKPIKLEFEKVYFPYLLISKKRYAGLYWTKPEKYDKMDTKGIETVRR DNCRLVSTVIETCLFKMLIDRDVRGAEDYVKDTIADLLQNKIDMSQLVITKALAKADY AAKQAHVELVERMRKRDAGSAPALGDRVAYVIVKGTKGAAAYEKSEDPLYVLEHNVPI DTRYYLENQLSKPLMRIFEPILGEKANSLLAGDHTRTIQIATPTIGGLMKFAVKTVTC LGCKTPLKGKNEGAVCVNCRPKLAELYQKQVGQTSALQIDFARLWTQCQRCQGSLHQD VICTSADCPIFYRRTARQKEVAALVAQLDRFEKESGW L198_06877 MEQPQKSSPGPSKQTPKKTLAKKQQNSTPNTAAANDGENSKAAA SATLGPPGQGDEEDEGEERMDLKVIQSFADKIQHIPSAEGDVTSRPLITIPKRGEKDF EPLAETVNLQEMMLDKSRQALFTALQGVRGGHSNSMSHALLTPASPYPRVLIVHGHLF DAMGITVRHPPSPPFNKPRTSLELLPEEALYLLERGTLQIWLGNEPETEEEVEEGVGE WCEEEYGIKGAVEMSVMEGFGAFIGREGLTLEKYQAYAYLKRLGYNVQRSRRFIPEYF LASTGIKLDEQDSRLPPFHTWWSNIPRWFVGLIHALGRGLRRFAGSVASVGLGLGLSS RPFKGTLLESWKGTTYPSIFQHLRFIPAGHSQPLPPRSLPPVETSIYSPLEANPYIPF WHIWKPMTPWSKKNWEKGSDEGLKAQRPDYFAAVIRSRDTSLPTIHQLEQIFESLPDE PKGPVKRTGPQYQRPPRPQFNKQPGQEPKPVSRWQSLLQRLGLAQQKTDTKPPFINIG ALRNGDRGFIVGVNDSGNNAWIRFGRTGFEEMPAI L198_06878 MFRACRVSIIPRHRWAARSFATATTPQTVIEKIVQKYAVGLSEG SKVRAGDYVMIKPEHVMTHDNTGPVISKFLSLKCSKLDNPRQPVYTLDHDVQNQSDVN QNKYKKIEAFAKEHGVDFYPAGRGIGHQIVVEEGYAWPGKMVVASDSHSNHYGGVGCL GTAIVRTDAAGIWATGKFWWQIPRIVQVSLDGKLSPGVTGKDVIVALAGLFNKDEVLN AAIEFTGSGVEHLSIDERLTIANMTTEWGAVSGVFPVDGKLEEWYKSNIRKAELRKFI QPTVPSALESEGHPRLNSTRLADAIENRAVADEGAQYAARLSLDLSTLVPHVSGPNSV KVATALPKLLDPPIPIHKAYLVSCTNSRASDLAAAAAVLRGKKVAPGVEFYIAAASSR VQEDAEEAGDWQVLVDAGAKTLPAGCGPCIGLGVGLLEKGQVGISATNRNYKGRMGSP EAIAYLASPAVVAASAAKGVICGPDSMDLAKLPQYEQPKFSILESGEESAEEKPVVVD EASLEPLLEGFPAYFEGPLMFAPQDNLTTDGMYPGKYTYQDDITPERQAEVVMENYDP AFAATAATLRATLPPPIASSSTKPGPILLSGYNFGTGSSREQAATAIKNAGIPLVICG SFGDIYKRNAINNGLILVESPSLIKELTERFAKDGVRGKGTKNGELTEVPEGWTVKVD SRRGLVTVTMGSEGEKTYPAAKVGKSVQELWVNGGLEGFIKASL L198_06879 MSRRASTDAQHHTLASSQGPIQGDIMPDPYLTTPTSANYATPQI YYTDPYAGTYYQQQSGYASDMPEHMITPFGSHQVAQGRVVMPAHGQPMRSPSGYEEYE FSVVPPEEEGGPYLQVPVERYNGPNIGYHTHPLSPSDQMSMEQAHQLQRSHSMPHMND APHPFPIQTGLPQRPKLQSSQSTVMVQQRPTLETQMQRPGMTRHASLARGSSYGDVFD HPGPAEEMPVYHAMPQQDQSWELAAYDTVYADGQGISPARALGPAPMQPQRFSPHRDS LLVTPQGKQTNYAEQVPSSAVSTATAASTSSNYSIGFRPAHRGAEFDSSDDEVDGRTR KPLPTRTMKTRQNDKAPPIPLVLPKAPPRPPAAGAGRTSSKFAKVAEDPGVEGIPPGP RSHERPGPSFACIIGQAILSCKAGGLSLEHIYRYVETAYPFFKSGDNAWRNSVRHNLS IHKMFETIARTEKFPPGKGGIWIIHEDEKCHWPEPDKFIKNFPPGHAHHAVCRQTLHE RQKEKEAMEKAQREGRVYVPKKGKKRRKGIDMDVLVEVKKMSTTEPVASSSAQIEEEE EDEEKTPPPVGRLAAMAGEDVDDEPEQKPEQESIPERPKSTVNDENSVKWALPPPPVD PKGKRRQISEEDLTSAKRVRLAEPLAPIHPFPQERIEKLDSSFITPERERPIPGGSRL MSSDFKTPALVQSSSSPGSPPMPATVTRSTHHPSALQQAWTHDDMSQTPPRDSSPARP MLDAAFDLKPKAIRPKVVTAQEEDFAVPNSPPQPRAPPKTPVTRSSAAADKTPRLQHR KTPGMSTPVIYRGSPGMPPPTASALLSTPMWEIGGCLDRLRESFQPSPTGFGSMDGRG PIRSPAPPTSPTRYSMMLLDSGSPRKGRGT L198_06880 MSTQPPSEGSTDILFSPLTLGDMRLSHRIAMAPMTRNRGVASLK NPGTFIACPLMEEYYAQRTTQGGLLISEAIPVSRQASDPNSVPGIFTEEQVESWKPVV EAVHRLGGLFVAQLWHAGRTRVVQNDFPIISSSAIPMTKTFMGTPGVVPQAMAIEDIE RVKEEYAQAAANCIAAGFDAVELHAANGYLPEAFLHSNINKRTDSYGGSLQNRNRFVV ELCDTIAQAVGANKFSLRLSPFGFFNEARGEQRVTQWTRLCQEISGRNWAYIHFMEPR YDEMSSGSDKLNELGGDASLKPFLEALGGRMPVIVAGGYDCENVRTSKVLEEGRADVV AFGRYFTSNPDLVYRLKHKQPLVQYIRPRFYGPFPDPETAYTDFPFSPENSTTPERKA LLETETAKNLIVAEPGWQLSKSGVQ L198_06881 MVFDKDRPAPRDYRGACVEDLQLSPAFCLPQDAIVLHALEAAYG REFDHLPILNHKRKPIGYLDIPSLKQKLGAGAVTEHDPVSKLTNYFTISNPSHPYTVI SPLTPLEELELFFEKRAVDFALVTDLERRWVLGVATKDDLDTFAKRRG L198_06882 MKLFENDVVYSYPAIHTINRLHRKYPNPFATHVFSVDTIDRSVD PETGILRSERLIGVQQGAPKWVTKLFNLPPMAFVREVVFVDPSNSAATSMSVNLNLAQ YVSCLELITYTPHDENSTLFKQRAMLISGFPTRLIARRIEQASIDRFKSNAGIGKQGF DWVLAHKGTTGEPAEAQI L198_06883 MNKQPGTQIKLTNVSIVRIKKGGKRFEIACYQNKVSEFRSGVET DLSEILQIEQVFANVPKGQAAKKEDWQKAFGTEDMNKVIEEILRKGELQINNLERTQH LSSLSREIATIVTEMTVDPNTSRKHTVGMVEKAMAEVGFNVKADKPAKAQALDLIKKL SEGEVLPVRRVRMRIRITMPGKDAKRVKEKVIAEVEEVEEEEMDMEWEAIVHINPSNF RTLTDLVNNETKGKGRVESMGSAAS L198_06884 MSSPAIAARIAHVRLLVRAFPSTPLSGPAQLSNALDSILNRALA ATKASSSSPQSGAAAGASAVEVIRAKAQEQKIAQTGAAVQRLKAGNAMRQYPLSKHIV TPVNDPLFYTRTRNAIHNAEKGIKRTWWKVFFNVKGAE L198_06885 MPPSIADIAQRSVALTSVTLCVLGVGLTAHGIGYRALRARGYVG GPDVCGSLKLAREPKAIDSPSGSPSAPPS L198_06886 MSTMIDDVDGRIGYVGTSWSTNHTGKPHGLEEGDHTLKISNENS RNVDEYPTYIWLDIDAVSVNGELKSATTISTSSSSGSETATSSEVSSSSSSVATSAAV SSAIISQTASASGQVTSTSSSSIYRQETSSKTLSSSKISSPTSPLSSTSVNMAQSFDP LQSTGTPATQYISTTSGNQPGTSDEGPTTHKTTTVAVSATVIAVALIVIITISGLWFW KRRRRRFQAEEEDYLQPSWQ L198_06888 MLHGPIRQGLTSIEKYYRKAIEAKTPVIAVYLNPLMKNWWFELD LGTRLAAEANDIFREIYDEFAIAKRSSNTSDDHVSCPQAPLTEMQHKIKDLQKASLAF RGSGNLSDEFDRYISFFEEDDSLSLLAATPAKIEPDFVLQWWKRNEFAFPIISGIARD FLAIPASSVPCERLFSYAKLADTVKRRCMSEGTFSDLQTLGAAYREERRVQDEGKRKV STFIDDRDVQKKSKNKESI L198_06889 MCLLHALGGFPRTVRHLNDDCFVINLSAFHNVDVLWSALPPDLC RPRRLLEDPLAVRCARGEALKNINALGDGEEEDEDGDAEEGDGSFGDGGRNVRGGQGG CGAIRGRGRGRGYGRERGRRQEGGRGRGESREELQATTQDTVMESRPSTAPPL L198_06890 MADASRRTGSNRVQTFPGPATTSWNLQRPTLPCCGAWFKISLLR KPVDEAGRGDGPVSDSVGQLVDPRPPVDPQAFLPAPSPGGRQTRCELLKGPVAQGTRK SQAPRSRLQRVQLPRGLEAAKEGQAGRQAGAGGEGT L198_06891 MSQRHISAQAIKDAKAAFPPGQFTRGRSESDDEDNLPPTLASTS QSVISVDDDSNDEGGKDVDEDEDGDGEETTFSVNSSTSTLSRLINRTASHCEKYFSMC KEKTLAPHHKILDGFKSEHENVVGKNGSTIQDYLKPASRKKPSFDDLTTFIAQWTAET NQRTAITTKIRALSREKQADLKAKLARQSGRFNLTFDGWSSSQMTSYLGVTVHYIDED WALHSDVLGFEPIDGSHNGTNQATMLYNLMCKYDIAEKVLACTSDNASVNDATMTSLA KILFDAHFIDADNSPMRSRCYAHILGLAEGHLLNSIEKHTAPMTAEELDKGRVEKVVE TRQEVETRQEVEEAENLPDKDVASEPTSVSNLPPLFDKIRKITAKVSSFT L198_06892 MIPTTSPNSPGSPLHEQTSYRVKRKYDDEEEDPPPPTPQPVEHA RVSHNAQLLTPPNSSPSRPSAPQPDEPLPPLPLDILHYIIALADSETLQTIAGVSKMF QIKGERFSKKLVETAADC L198_06893 MPRKLSVTILSERAPQPGVLAPRVGALRYQFREHQLQSSGVESE TKSKTAISLVEQQTGVQMTQSSTRGKNVVTGIVNAKNLHWIPVGVFPQQKLIVTVYWA EGRFGAGSEFDEKFMNVWRERFAWERRRGLVDDAANQG L198_06894 MEYVAKATDIVKNFKETRLSTLKPPQEFFDHRQLSRPQDFNEAT SRLTYNTRHFSGNYLIVIAVLIIYALLTNPLLLIAIAFFAGGFTAINKFVPEPLQVGD HLITQKSLYTALFVIGIPILYIASPVSTFFWLVGSSAILILGHAVLMEPGVESEYAGV ESV L198_06895 MSPSSPREPVPTPPPLSLSDLFKNIHMPPPTPAASHSPPGSAGG ADQRTKLLGMLSFGGTPASGATSPAFTAVSSPPVIAHQSGIITSPPPPAVSASPSVAS LRGPKATLPGHSPAHSPAPAPAPAPVVEGQHQPQAQAEAQKGTPKAAIPPAKFTFISP FDAFEPAPSKGSAPSSLAAASPVGSYRASPVPASAADPTSGPAPSPAPAPAPASGRSA SAVGSGLASTSGTDNVHGEAVTHASIPAQTIPTKQTPTPKAKNSGRKEQVEKVDVDAV DVKKEEGEKVGEVEDPAIAAFDPSPAPPPVPATGLTGLTGIKANDVKKQVVVPSPSAL TQPKLTTPLPVPVPVQEVMKENSKPPHGPTETASHITVDLGKPNAEHVIPPTVIPGAL NVQAITITKTSASASASAAASASASAAAGGEGEGEGRFGAGRKVGVTRQFMGYTMSKG RIRLIDSRSGARLMLQTTTPTPTPTSGSGSGNGNGSIIDLAVTPIFIAALASDRSLWI WRVPPGWAYDNPPVELVFVGHTAGAGAGQGGQGHWAEGAERVEWVKRHGGEQLVIGGQ EGVVLFNPLHPSLPGQGPAPHPPSLFASPPSSPAFSPLLKADGPLVDFCINAQNVALG LMAETGHFTLYSLGSLNRVWARMLPGGSGVHERVSGCLFVESNVCVGRKGNTYWDLVQ ISTDIAVLSSIRFLPPPSAPASLAKGGGKGKHWTKAVYDPGSGLLFIAPYLRNSLYAF RYALKGSAPLRDVSLPNGPRVVGFDLLSEFPLAPAGAVGGGGGGGGGEETGVSSLAMI ASLGNQPGREAGDLDFVVKTDKGAVSLHLTQLGLEIAQGGLGAVEKHKQKKKEEEEEE EEEGWAKVVEKPEPVQAWASRPAAPASIPAPKAKVIGEHKQQQQQQQSMLSHPLPSGT TLAPNHASEQEKASTPSAPGSVSGDGGKKGKKERKQKEREDKMVRDLEREEQETNGGK AGKKEKQYKVVEREREAGWLGTEGVGRDEVESMLIELEARLGKQFQQDLHTALFPITQ KVEYLSSPAYLASLTSALSSSLQSTLLPALSQNIGKDILGASKASAREVVTLVGREVG RKVDESVDSVVRVVVQEAVVQDLLPVLRAETSRQTQAISADLHSELLQLRKSLSPPPL PPAPKGPTQEELQEQKERQKERKEARERWVRWEKEKEEEGKRWEGVRGELRELRKLVG ALQSQQGQGSREVPASAPIVPAHAHPTAPSNPVPQHHPPFPHPGLHPNQFPQHPPFPP PPPAQLTDTFIAVLSKQSVPETVQLVMDHRPLTDYILPVNGAGKSPLGQAVLLTVVHR LSTAISDLPLSPNTPTLLEWLRRSLAHLQPGDAEIETWAGRVLPLVREGAVRFVERAG VEGGPAGRGLVNEGRELISQVESKMG L198_06896 MGGQLSKALGTSPSSFFPFYCLGDLPKEGDGDGTRKLFGNKEMR ILMLGLDAAGKTSESSLAFFSSSPTPLSFSCPCTFLLPQVPSYFFPLAPNWRKPDTAD GATSFPKAILYKLKLNQSVTTIPTVGFNVETVTYKNVKFNVWVRSPPFLFFLLLPLFA LNPEEREGKLMDFGFLSLPSSFLFMLVYPLFPLPLPPQDVGGQDKIRPLWRHYYTGTQ GLIFVIDSNDRDRIDEARGELERILSDREMRDCLLMVFANKQDLPGAMSPAEVTEKLG LHRMKERSWYVHPRYVSLPSSPSFYFFSSSFSFSFPSSSSFSPVHGLRHDRRRVIRRS SMVEPERQGDKIIGSPFPLPSPFPPPSLLSPSLPPSGPFSLGSSVIPRQVLTHSSSIL HHPPSNAVFPPMSYCPTVPQSHQSHRSDLLLWIFRV L198_06897 MPSPAFPGLFFAFCAMVLLIFVSVSPPAWERIHFLQASAGGQTT VFGVLGECLSGGGQCTDRNVGYDLQVWGADNLNINTTNFHRLTRALILHPIAGFFALL SLIFGALATACASRFLTIMMALSALFGLLITIAAFVLDMVFWSLVKNKINDAGYSASY GNANWLTAGALGAFALSFCTSLCGAFGRFASGRFAGEKY L198_06898 MMTVKDPTRAQAKTLIPHIPNPDFGKSLNENGKAGELKATWLGH ASVLVEFPTAPTFPGPTGGLTSTAGAQSVQGGVGTAGLGEGGKRGVRVLFDPVLGEGM AFWGLGPKRESSNPFKIASLPQIDIIAVSHNHYDHLDLPTLSSVFKSQKEKYGSEPVL FLPLNNRHVVADLDIGGKGGKGGKEGERGRVIELDWWEGGRVVVDGVGEVEMTCTPCQ HTSARYLWDRDNSLWSSWVAKDVRPGVTNPTSVFFGGDTGYCALHSHPNPFHLPPPPS LPYCPAFKSIGDKLGPFTLGLIPIGAYFPTESMSGMHASPGDSVRIFQDTKCKQALGI HWGTFRMTPERFTEPPEMLAAAVREAGLDDGVFGVCAIGETKGYS L198_06899 MSNTNKDPMILSDEEVDQCNFIFYDPSTNDYTSLPTDIPHFLSA SRQVYADNMDTDLVWPEDQENVALAEPSADKMEKARPPVDSWRTHVDEVITGLEESAK LTAWSRQQLSFLQTRPSSGPRWIRKAATINRRVIAGFEQSRPDVVLSDVEKVTCSFWN RRSYIASWTLNRTRPGSNVSPIVLQDATAATADRDDSRAEMHLAGVPPITVPAQMADT RAIRSLITSVDLNEGALEDIQGHQGNSEAISERQMYEVRVASNRIRQLYDEETWGEKG PEFQELLGTWNESVRALQEAGVTELPDPPE L198_06900 MSQPHPYAIPPRDVYRDFPSSLPSAAASSSRSPTPSVYISPPGQ SFRSYLERWGPNEVAAWLEHYKVGQYANEFQKNSLVGKTLLDIDVGIMKEIGMNRLGD RVKLAAALKELRKRAAEMGTASRMGSPNVHLSPMLSRVELEKPPLPSSSTRTQASRAG ANPTRRLDMSRPPPLDLKGYSPSRALPQAYQTNYASTPPHRSNSVHSPQASQPSHSVP APNRAPMNLRAPPRREGGRRSPSPINPPDSSHSSHDRLEHSSAAEYASMVAREQRHDS LSTPTVRAFQERSQGKPPPRGDSLSRSGSGQSGPSSPQKKQFLPANPRPINQQNGKHP YAVKKEQDGEASRTPVRPSVSRQPKSTGTPNAISLNDLRRQLVKFVNVEDGTTRTVNV VQVASGVEILERALKKFGKWGTGKATYKGIDHESDDEHEGHLEIDGWGVYVESNPDER AKPLSENNLLNICVAHRDGTAIRDKELFLHQARPPQRRKNIHDFFGDVPPPPMSPASP NFFPGPRLGITTDKRSPMSLDEPGSAGSGPLSALKPSALSNKRLNRASMISVMSGLGV PNVEVPPSPSNTRSPASGTPSYKKKSMYNFFGHRPPSELISSHLTDYFPGAKKRDVEK ARHSLLRLSSGPKARWQDQAEMPAVVPGKGEEIISEEVVSSGNRRGPRISQPPSLPPF EPQDSLTDSLQAFSPQEPSYPRPKSIRMRKNSSSSSSGLGNAARRMSVLSQLRRNRDK SDTASLLTVDEITAEVEQRRASMVGVPGIQVGEHEGEVGEEAEEVLEELVTPRAPADV KSDGEVSEDSESEEESSESESESESDSESEVEDEDEADHGKAFTSTGSKRNIKWIKGA LIGAGSFGSVYLGMDAHNGLLMAVKQVELSTGSQKNDERKRSMLSALEREIGLLKELQ HENIVQYLDSSADANHLNIFLEYVPGGSVAALLSNYGAFEEALVRNFVRQILTGLNYL HERDIVHRDIKGANILVDNKGGIKISDFGISKKAENSESVSYRIRTNRPSLQGSVFWM APEVVKQTSYTSKADIWSVGCLVVEMLTGTHPWADLTQMQALFRIGQMSKPATPADVS PEVADFLDKTFDFDHTKRPTAPQLLECSFMKTPVDSGAGGAAETGSEGGIERAQAQMM VVGTQMQQQAKMRGMI L198_06902 MGTLDGLTAHLPSALRDLPPLYIASTTLIALALTIYLWLFYIAY ARIPFRNLPDPGPGHWLLGHVPSFFAAASANSFHVDWHKAHGHTLKYRTQLGSYEVST IDPTAIAYILNHPDMFDKPPAVRKWLQARIGGYTIFATSGDHHKQQRKALGPSFTPAA IRDLVPVFYDVAYELKDKFHTLVAGKDKTKLAPSPPKPIDAVPGGAKINVLKYLNMAT LDSMGLTGFGYAFDSLSEDGNGQKNEFAESCREFVAAATQLTMVDYVIEQYMFKIPSK RENFIKRKRQQILEFSTKLAEEKKHDIWEQTYGEGIAKKQDVGKDLLSLLIKANIASD LTQDERLDDQDVADQIETFASLILLAGSETTAVSVSTFLRLLSKHTDVQDRLRKELMS VTEDRPSPETLNNLPYLEAVTRECLRLAPAAPFVFRSAVEPVIIPLGTPMIGKDGQLM TEFTMDTASQIFLPVLAINTSTTLWGPDAETFNPDRFLDRFAGVNPKIPGIYGNLLTF LGGPRNCIGYRFAIQQIKIMLFVLLRSHEFRELKSGPEALMKMSPAVQHFIKGEEHLG AQIPLMVVPLE L198_06903 MSNDWWSTPSRTAPTPNLTRSQSLRQSRYAPTAGDELDPEDAKA ADAIKFLPSFASSQAGKMTLGTSPTGGMSTYGGYVGSPQGERRSPQGRLSGSVHEANS PRHTTRARTLAHQSGVLGSSYNPNAMDEDMPPTASLRDSVTDTRSAPVLATNELPTPP SLIPTRTTTSLYIFGPPSAILSTLEPYLAQFGTVQSYHPGPEGSNWYIVEFATPLAAS YALRRHGDIVQGKWMIGFKVGNGGSMEGFNAGAVAEGGEAVVVPPPAAGTPIRVQNAS ILRPKPVPQAVVKAAKSGNEYAWDEPEGQPGWTGWVTERLFGR L198_06904 MSTGNTGTDITIDEVDYIDGQGTCFRIVGESTEVDEHGHPVAQY ERIPLPDLMWWEGGGRGKSRRRITLSERSELDQWRVRAINSHRQATFRVRRKTRQQET DAERVESHIQAFGVAGAYEPSAASGEPSSSQQAGMTNEQMMQFYNSMTPEQLQYYGGY DTQYGGNSSMTLPSQQEMEIMGQVYGETMGNQPSSMASYNPELDDESALAQRFRELYG GRAAK L198_06905 MTTPNSHIAIVGAGIFGLTLSLNLKQRGYKHVTVFDEQYHEEED EYNVHGDRDVDAAYRYSRKTTHETLNGSSLPLWKQWNTQIASTPAHLLPPGLNPSDRL FSPCGFLSVSGGLTLSAKDRVTVDAHKAAGGRGTIYVIRDPIDMARLRTSTSLNPSSS HWIQKAQLFDHPHPDPIHPLTNGFLNISSGSTNAPKTKLWLRHLCSQAGVNFISGGSR GKVEEVLCWEGVRRSRRGSREGEGKTKKVRAVKTGDGKVHEADFVILACGGCTPSLVP EVDELVERTMGSEVIIQLPTDRPDLWDKFSSERFYGSPRKEDGTITFGYRNSPKPTKP PLSQSPLTTKSSFPIPLPLSSPNTLSNPIPTSSRPQTARNHSKPLPTSLPIPTLLIIR NAISQIFPELRGVDVNVVDTRMGSYTDLVRPGSSARGGAGGGKVADWAPGYDGLFVVF GQDEQGAGGFVPDLGKDLFESLEKRANPFPWPSDSLPIRTPTPLALPTPNPLPSPVSY SYPSTGYQDHQRHNSLTGADPYAYSHGLPSFTGYPDHSTGLLSHHTLTDVHTAAEHKG VFPPFSPVSALRHSAEPPPPSYSHPAQGRGHDVGGGEHFNPSPSQQQAQQGYEDFENF EALGGLEGLAMVAAGGELKREREREEEREKRERERDDWVWAKVEAAAGLASAMVNGRV T L198_06906 MSYPDDSKDVFVDENPDTFTSIVEQDILVKYDFTDSENRALVRK LDWHILPYLWWAYIFNSLDRSNVSNAKSDGMTTDLHFPDEGYSLMLTIFLVPFCLLAV PSMMLTRKVGPRWTVPGYMIGWGSMAMINAGCKNFGGVLAVRLLLGAFESGFAASLIY YLTTYYTRGELGKRIAVFYSCNALSGAFSGLIAYGVFQMKSYLHGWQILFLIEGAFTV GFAVVTAVMLPWSIDSARFLSEREKEVGRMRILRDGSSETGTKFDSKAFFEPLKDKRF WAFACIALTYGCASSMAGNFLTQIVGRFGFSTVKTNLYTVAPYITGTIVLLITSYSSD YYRERAFHLASALVWVMAGCLVLVKIPVERVGVGYFAVFLITAGAFTPPVLFHTWHQN NESSEDGRAFRVATFTLLANTGGFVSANIFLDKWSPAYRIPLSITCGLEGLGLILIIG LGVWMRRDNQRRNEQQGVQWGSEDVPTEVLKEGSRNLSYRHFV L198_06907 MPHSDDGKEAFVEDDSKIDPTIVKEQEVLADYDYTEEESRALTR KLDWHILPYMWWAYIFNSLDRSNVSNAQSDGMGTDLNFPNEGYSLMLTIFFIPFCLLG IPGVMLTRKVGPRWTVPGYMIGWGSMAAICAACKNFGSILAVRILLGAFEAGFASSLV FYLTTFYTRGELGRRIAVFYSCNALSGAFSGLIAYGVFQMESSLHGWQILFLIEGAFT VGFGMVVAVMLPWSLDSARFLTARQKEVGRLRILRDGSAETGTKFSMSAFFKPVKDFK FWVFAGIGLSYGTATSMASNFLTQIVSRFGYSVVKTNLFTVAPYVTGTLVLLITSYSS DHYRERAFHLATALVWVMVGCLILVAIPVSNVGVGYFAVFLITAGAFTPSVLFHTWHQ NNDATEDGRAFRVAALTFLANAGGLVSSNIFLDKWAPAYHIPLAITCGMEGLGLVLII GLGLWMRWDNKRRNQEQGVQLSSEDVPTEALKDGPKNPSYRHFV L198_06908 MARLPFTVASCAPLPPHVVQTLEASFDTFIHKPPSSSTPFTPTE LAKIQIFFTSYYGIPQASFDEVPNLKLVQLCTAGADKALRGASIEEYVGKVKRGEEGG REVVLATAAGTHVLSIPNYVVGMVIALLHQFPRQIISARDERRWLSSEECDIDNKPYY ARKTYHRTAGFLGYGCLGRESARLLKAHNMRIIAANTSGKATSQDGFILPHTGDVDGS IPEAYYSTKDPKAVEEFLGQCDILVCSLPNTPETKYFMNKKRLAMLPQGAVLVNVGRG SLIPSDDLLTALDTNLFGAAIDVTDPEPLPPSHPLWSHPKCIITPHLAGNTEGEMDVA AEVLLENARRLADGRALVNKVEWERGY L198_06909 MDTLHTALRDLPPLYKSSATLLALALATYLWLFPIANARIHFGD LPNPGPGPWLLGHALANFHPPSPNAAHIDLHKTNGHTIKYRTQLGSFEVSTINPTAIL HCQQPRVRNEQDVVQRRIQIFNQGR L198_06910 MGALDVLPAHLPTVLRDSPPLYKASATLIVFVLATYLWLFPIAN ARIPFRNLPDPGPGHWLLGHVMNVFLAPTPNATNITFHKAHGPTIKYRTQLGRFEVST IDPTAIAYILNHPDIFVKPPALRDWLYLRIGNGLVTAEGEHHKKQRKAMIPSFSPAAI RELAPVMLDVANELKDKLDGLIAHKDEYTLSPSPAKPIDAVPGGAKVNILRYINMSTF DVIGLTGFGHSFGSLSDRKNDLTASMQRFLQNMFVARLVDYLFGQYQFQLPTEKNRVV NKEKQEILEFARRIAAERKKEILEQSYGEGINKKQDIGKDLLSLLSESFGRLLCILNE LTGWTVKANMASDMKPNERLTDEDVADQILTFLVAGSETTATSLALYLDIISQHIDVQ DRLRKELLSVNEERPPFETLDNLPYLEAVIRECLRFFPAASFIYRHATEEATVPLGTP VIGKNGKLMTEFKMDTVNLLFIPVRAVNTSPTLWGPDAESFNPCRFLDDDRTPGTCKS STKVPGVYGNLMTFIGGPRNCIGYKFALQQMKILLFVLLRNFEFRPLKSGPEMVYKPS AVVQAFVKGEEHLGVQIPLMVIPLAGAGHDKDE L198_06911 MPSPTTTPPPNVIQNSHAQTLPEPSHPKYELRAYGLSLAAKVLG VLLHPSTNDRLWAQIWVSSELDVQKCLELKGTLAPSGITLVQAQAPEQTSLKAPHSSS PSPEYTPNGSFELKTPPTPPQPEPECYSSIMSSLFSAFPAVPSGPPAFAPQANQHHPP ASAPSTSTTSLGSFAGSLTSQVSTAMGARDPRDNVGLGFRHVDPQGPLPRNLYVMGLP LDMTQVQFKAMFTPFGMVEHSTLLSQLDGMGRRRGFVLMSTHQEAVEATRAMNGSWHS GFKMDVSWALVQREAKHFGPGHMMPNRVVHPPTAPALYEPPEECTVMVENLDPGYFPD SVTIRDIFSHFGPVARVSVLSPLPLQVLVQFDHSVSATALIAANGFNLGGRSLIARRY APRLVPVSTSNPTSPTTRLPFDPFGHGFAQHVSRVNNRAQPEFVGGGPFHSGHGNPPL PTPLTTRSQPPSRHASDAQLLTSFGAANPKPITPMQMRNMLEPQTASVKSRAQASSPW TPLSNPQLSNVNQIFQPSKISISSQVKNQDVSPIPSKKPSKSSMGEVQDENKPPVNEN KSDVWRDEENGLRGVLKAQDRWQVSPNWCKCFWSCHLLLAD L198_06912 MAPEHGAATHQQLLPDNDKRTSWVTQPTATTCSLPTPSQKRMAE MEETLGMNWVIFHQLYRQNTLLHLIHVGVILSLMRDSMKVGNLSAPVTISQYEGLLIR NWREITRGYMTFEGEAGTGSASFMLTMTVLVGPICILLGNTVYTVYCWVRSLAYWWDN RKAATTNRRVTGDQSKHA L198_06913 MSNPYLPLSPSNSAAPTTPYSITPGPYATASSSQEPTTRSRTLF YLSVRDSNPFSETSGRNASKRAERQYGQHISLDDGEEEEGLIGGREGHTEVGMKGLPP KWVDISEEVEEILARAKLKIAALDKLHAKHVLPGFTDRSAEEREIERQTVDITKDFRR CTSLTGSVRPSQGAPRVQVLTAKNVQRGLAQKVQELSGQFRKKQRVYMQKLQGHAIKN KDLMVASGAITLKGSDVLDELQEDEQAVGACVVHGPWLIRIQSQSQLQSQSKSQAAIS IDIDQRSSEISQIASSISELAELFRDLGNMVVEQGTILDSVEYNVLQANQQITEGLGE LKVAQKYQARTGRRKCIFFLILCIFALILILIYKPHSDSSSTPSPTASASGSRGMTFP SPSSGTVDAGDGYTEGEGDDEMEGIVLPSGVERVSRGAASPTLARRSRDRAKRPIEQL D L198_06914 MTQNLVSPRPLTADEMWLGYDAPFPHYLRRGFDERIPLDAFSTN SQYPLRRLTYDRFPAWVVAEKHALRLFKINARDQVWNFVEMGPEMESLAHEQLDLQRF QVPAMQDLADLCAFDGMRQDENRQFREGDYMTALWKHATNWSMFLPYHVEALPRTHHH LRAKLGKAYVSYIPHYTEAAPFNNVSACLVKVAEDFKGLGQHDFARLLLDLRFKAAWM ALDMREYAKVRTVYSSAKRSLALIRQIMADHPDQVRMLEDVGKDPAFEDYWGLGPLQW STTHGLGQVVKYPDIEAPRARNKARPLRDNERRAIWSEPVPRASALVDHISDMFRALK SC L198_06915 MNHGYDSDEDYDDYAAPPQIPTHGGPGGIFQHLMAGLGGGMQGF GHAAPSAYDDYFKAYSVAMMNGRRERPELLYGGKIIMPPSALAKLSALDLPSPWTFQL RNPKSPTTHTTHAGVLEFIAEEGIVHLPAWMMQTLNLEEGDPIRLTGAMLPKGKMAKI QAQSTMFLEVADPKAVLESALRSYSVLSPNDIIEITYNSLTFEFLIMEVTPAGPGISV IDTDLEVDFATPKGYVEPPRPEPKPVPTMADKLNINLSETHSAGASRPGTSMSHRTGG SGGQTPLESFTGVGQSLSGKKVRGRGLARKIEEVDPSSKINRNDRPQIITSETLADAD RVIPAALTLPPGKFFFGFRYTPYDPSKAAKKPSATSEPDQPFGGSGNKLKSGKTVGDK GKGKAKEKEEDTEEKPDPWAKLGGGNTLKATKAPAPEPVKQKTRQEVIDATMLDEDDF MYEGEEDEDEDEGSGWEGDDVIEIDDSD L198_06916 MSVHPTTLKPYAERIDLHTNPTARRILEIMDKKKTNLAVSVDVT KAEDALEVVRRVGQSVCMVKTHCDIIEDFSEDFVKKLTALSEELEFVIFEDRKFADIG NTVSLQYSSGVHKIASWSHLTNAHAVPGPGIITGLAAVGQPLGRGLLLLAEMSSKGSL AVGDYTKQTMKMASDAGRDFVVGFIAQGRVDEGQEGDWLIMSPGVGLAAKGDKLGQQY RTPREVVLEAGADVIIVGRGIYGIQGGEQAVRDEAERYRTEGWKAYEERLARK L198_06917 MTGTEDDSQNPFYLEKDATGPYDTVGGEYLKYAGLAWRGGGEAE KDAMSANGIPTREGNGWVDSDSAGSDLWEGEPTKDDIPLKYKIGAFCLILFFATGKDF IKGVGSPLKSTYKKQLDITNAQYGTISSASGLVNTIIPILGGICMDYWGSTYTAVIAG LFVFVGNIVAAACSNTDEYGLLVGGLIIMGFGSSVVESVQSKLYTHWFSGSSLALMFA LDIAWNRIVFVVSKVTAVPMTEINGFWGWALWIPAIISGVNWGIILAYWFYEGKVVPK VYRPGVVKGKKGKRIGWATIFGSTGTLRRLPKFFWIFCGSQLFQNAAVDVYSTNLADI QTVTRGTSKLAAGYNSAISQVIPIVLTPLTGFFYDKFGYRMAFVSFTAILYIIVFALI GLTQVAPLCPVIISSFALTTNVITFLSAIPILVGDDRLLGTAFGVWKAFSNCNSIVLD VAAGAIQDDSSTGSYDGMIYFIIAIKAVEVVLGPIYFYLDRIWLGSSLRVPEKKRVQI RMDAVRAAVERGQGEEGVGAKGSGYEGWKISKATTWVVGAELVGLIIVAWVMFFVYTI G L198_06919 MGPEMESFAKMQLENQRRLPSDITGLEELLDFDGMRQDANRIFR EGDYMTAVWKYVTNWSMFLPWHVDAFPSTNPLRPKLGEAEASLFNNISACLVKISEEA KKYGRNDFGNFYMDAAFKTSWVALDLREFAKVRTVYSSAKRSLSLIRKLFAVTPSPNV TAANIEAMCAYYAVQAKILENVNKDTMFKDLSPDKKIPWPSFDDYWAMGPFCWGTTHG LVHVNKDPVLEARRERNQPRTLTEEELWAIWTENVPVPTEPLEYRQSADDYPEFRFCY DNMPIGRVYETRHICRAKREVYEVIFRACRDDVSGEAFEHVMRSQRERSVTSHPWGAR LNAAVAKKEEGTE L198_06920 MLFQDHTLLLDVASGAIQDRTPGGSYDRVLYLIIAIKALQVCLG PLYFYLDRIWLGGSLRLSEKKRLEKLVEKKEYNLDHEGWRISKLTTRVVGGELVGLVI TAWVVYIVYSLGT L198_06921 MAHSDAPTELEIEIALLGCLGEARPLGRHKHFLIIRLQGAIHRR LGIWLTVDSLWERLDELYDLEGLDELASEGSNSLPPSPIGLSPREPSPPRFSSNSPLS DISSPSHPAPQGKNRKVPISKSARVLNSRHFKHVFDLPYFRIKQIHESEEEATDEEEE EKDGEADDKEDDSEEESDDGVFEFELDGQDEMAWEGIIYPRAIAPDGTDEPWGGIIPD EDVSSPSEDGEEDAGRKGVSRSRTTGPTKRRRGSTVSIAEGKKRKDRDSLAIEEDEEE EEEELERPSRERGKTAEKGRSKRRR L198_06923 MPLKDLLRARFTSAIQSIPQGWKILVTDYHSQALLDTVYKQFDI LLQNVTSVEPLHSPRQQMSVDAIYLLTPTLQNVDRIIADFSFGRTTYKSAHVYFIDGV DDGLAQRLTEGMPPGILQAFVELYCNFQAIEDRVFSLNAPWSMFTMFGNPGGISSSDL SKEAFEDDVKVAGRSILNVLATINENPHIRYYQPSHHAPLGPLASTAHQPGAPSSSAP QRQPQGASLRWRSAMGGSSSKSPGGVQGEYLSKKIAMQVQSDLDEYLQNNPEFPPASG RPRAVLFVLDRSVDPAAPLLHEFWYQAMANDLLKITDGVHYKYKYTNTVGGQEEKVAN LDEEDPVWCSVRHLHMKDAIDTLMTDFGKFAQEHAGFRGGGNVNVNDLKDMLASLPQF QTQREQFSLHLDLAQECMNLFEKKKLSQVASVEQQCCATGHTAEGKTPKTVVEEMVPL LDDRLNVTSLDKVRIIALYIMFREGVADEDRRRLYQHARLSISEQDMVNNMVNLGVKI IKDNTRTGKGRIRQKAAVSEGEYELSRYKPAVQIILEDQKANRLDVAQFPFTKDPPAE LLPSSSSVGVSNNPSGSLRSARPTWHKAASQRATNTEGKQRFVIFIAGGMTYSEMRCA YTVGQALGKDVYIGSTHVLTPEIFCTQLRALGRGGVGANPPQPIPLHPEGPARINRAE PGGAATYQEILNHRHWRPPIGPPPLAPPPQPASTGSNSKLSSKKSGQSNLSNDMSQLS LQSGSEYAGSLTGGGKKDKKDGEKKKKKLFGIKL L198_06924 MEGQVIQCLQATLEPDHTVRTQAEDQLRQLFSHPQGGLTLAKLL TSQQVELYQRQMRLLLQKYVDKHWSPVSANFEAPATPIEVKGEIRDLVFGGLSDGEGK IRTASAYSLSAIARFDWPEDYPTLLPSLIQLLNNGSPTSIHGSMRVIQDFVRNDLSED QLLPVVQELLPAVLGILGNPASHSPTTRASTVHVFRQVLRMLETIREEHPEAAKSAID SLSAVWLDAFKQLLAQDAATEIQANWTSINVRVEIFRTLSLYQNAFKTLIAPHIPELI QLALHNLQSLLPLFRAFYLSSEDDAPEPPSPTSDVGMMEDKTGLPELTSAIFDLLTPV VRTKSALLSLISGSEGSEAPTEVLEQLVKVVQEWTQVTKENTEEWMEDPNAFVVDEDD DNEQYSVRTCGYDLVGSLIDKWTRPVASVLQKIVEEKVQDAASAKQSGSIDWWKPLES VLALIGGIADDVRDMLEEDQEEKRPPAINIGFLFDNVIPGLLGQNETPFLQGRAFVFA SQFSSMLNQQLAGQYLSAAVQVLEASDVSVPVKISAVKTIKNFCRHVDPFVLKPFSTK TLHLILPLLPQASSETLYLVLETLRAITSMDDDLLDEQTTRTVVDVLFDVWLRCTNAS SDPVTTAIIEENIESISLHPNPSVVSILITALSPKLAATISSPVTDETVHIPGEAVQL ANSLLRVRGGPLEGELVATVTRSVVEVLRVTDDMDVIQHGMIHLTLVVRKDCEKLVQW HDAQGQNGINAIFALLARFLAPEFSESGGIFVGELIMHLFRKAGEAIGPVLPDLLRAV VERLKYAKMASFIQTLVLPFAYLFSTEYTSTTIDLLSQMSITPPSESTTAFPTLSAPR SALDIVLNAWSETSETVSGSWNIRVSDMGLSKLFVLGGDQRLREVMVKGDLIVDETNR DTIMTRSRTKAHPNQYTQTPFPLKALKLILKDVQSETSGKGKGKGKGKTVDLEIPEDD GDEDWDDDDDLFGGDDEFEFLSSWLEAGGGNENDAQDDDEDLKSDPLAQIDLGQHLTD VLRHAYSSNANGMHEMVEGLTDEEKSILRGVLTL L198_06925 MSSNSNTILRCTITNIGSTPGREIIQTYVSALLSSISRPSRELR WFVKTKLSSPGKVKWSASVSIKRLSHITGRRGGQWKLEKDEYQVLVGASSVDIRETIE EDFNF L198_06926 MSTLSIMPSSLVGPTDIPRRPSPWHDIAHSLSAASLPPSRRDST PSIPSPPSTASNPSTPPNISSLALREWEQRLSHPASSATRHQLVHPRRSPTPKLAAER DKMGFIEYKLKLIDPTTERFERLVTQMMWRLKQGKNEAIYEFGLADDGTVVGLTRVEM DSSLRTLELMASEVGATVIILKEIVLSAASISSRSATAIESISLPPSRGLPAEWIARR PDLDENGQPRKGNKDYDQGPKGRRAKKEEKQLRHDRKKEKLKEKGSGSSDTDSSFFDM NDPGPQYSPPSSAPAAKKGVNQPSPEVSDKKRRRSAARQEKRRLDLLRGDGTNPLFSD ESFKIVIPHQPARPSSLRLATPAEMPEDSFGDDLLHIPLDSLSLSFADVRTVASPTPS SSASLPRALSPLSAASNAETVLPLDDPALASSTIVPPPVGEELICVEALVVRKVQHGH GGEGEDEDGEGDDSEEWGYGGEEDVWGFGLEDD L198_06927 MAPITVKKICCIDAFLADLLSLSGGPTCAVIPNIIVTIVDLNQQ RIDAWNSDQLPIYEPGLDEVVKAARGKNLFFSTDVDKAIEEADLIFVSVNTPTKKSGV GAGYAADLKFLQLATRRIAEVANSSKIVVEKSTVPCRTAESMRTILEANSKPGCHFDI LSNPEFLAEGTAIADLFAPDRVLIGSLQTEQGLDACQALANVYANWVPREQILTVGLW SSELSKLAANAMLAQRISSVNALSAICEATGANIDEVAFAVGKDSRMGAKFLKASVGF GGSCFQKDILNLVYLSESLHLPEVAKYWRAVVEMNEYQKDRFSKKVVETLFNTITGKK IALLGWAFKKDTGDTRESPSISIANHFLSEKARVSIYDPQVTESQIWLDLTEYGDIPA EPIKPHVNIVKSVEEACAGAEAIVICTEWDEFKTLDWKKIYANCPRPAFVFDGRLILN RKELTDIGFKVVTIGTGERV L198_06928 MSTEIATVSLDTFLLDPSSPDALKQARLAAESLVLTGALIVRDS RAPKEANDRFLDLFEDYFAQDDEVLKEDERPELGFQVGVTLENTEKPKCSSDEGCKAV IASLHPSERPEDLEGYSADPKCRFFHRMSEDPPYRSAFPNLDAPNVTPRAFEGTWKGR VKEWGTHMKEAVEGVSRMAAKGLGLEEGAFLEAGQFGNHLLAPIATDLQKYGQLSTIY AGFHTDLNFLTIHGQSRYPGLHIWARNSGKKMQVKIPPGCLLVQAGKQMEWLTGGLVK AGYHEVVCTPGTLDTLARRRAEFPDRPSIRISSTFFWHLSSDFKLSPIPSLRKKAEEA FGEQEDYGEMLVGDQVRRYVLPLLCFV L198_06929 MPTTLIRNAHLVGYPAGSTYSVFVKDGTVVSITETGSQEEEADE IIDVIDLDAQWISPSLIDWHTHTTLNALHSHRLDLQTAKSAQDVLDRVLDAFDDPKYS AVKGCRDFRGINMRNAGWPDPEVLTRQALDKISTKRPIYLFFNGYHSICANSVGLELG GYEPEGHSGYLFEHEAFAMARVIGKVDVDAMDEWVWDESRYAASLGVTEIVDLEWDLG IPNWQRRYAKGFRSLRVHVGMYTEHLQYSINLSLKTGDPVPNTNGLITVGPFKIVTDG SLGSQTAFCHDAYPGTPGNFGMKTYEPEELGVLVKRGADNGFRMAIHAIGDHANQLTL QTLARAEAILPGSTIEHAQLLSHSDLALFKSLGIIASIQPCHLVDDRDLCHKFWPGRE GRAYAFRWMVDAGIPIKMGSDCPVAPLQPWEAMAVAITRAGAGDEENPFCKEQIIDLE VAWAASTSNGKSKLEVGDRADLLIISNDPLSCDAAGLRAMKVKGTMLGGDWTHKAF L198_06930 MFGTPRILMLAFARAIGFVAKVGAAAGGDEGVEGEGEMGKMERE QLDYEVLAFRSELEHVWPGRLEGRRDTRRVYYGGRIWRLTILILVMSKTQHVPLFSPD LIAQVSAVYELLSEAVTEIGHLTGWLWPLLITACACTDPVQRQGFVGFLRYAKGHIGD RDNSEVAHRVCPSLPPPSSLLNPEWNKRMMKRLTLG L198_06931 MNTKYQPGGAHGYPSPYTRTTLIKRPREACVNCRALKTRCLPCP DKPLTSKDPCARCTKYNLDCDYVRRPRGKPKDEQDTPEHGGKSESRASFSHSHSQGSP SRDRAQYQTGPTSTVPMGVPDDRRHEHMAYQSNEALPPAGMMSSERASYERSAWGVGN QSQPSGYSDSFSRNIQQPTSHSYPQPEPSFPSYQPPPYPPESSIQSQHSYPQHEELPT QLPPMLPPASTSERRSMVTMDLPDMMSNTHYRSGAYPPPPPPPVHLPPINSNISQRPP ATATDVTRKSQSSTSSWSSSDRRRRAGQMSTNIMDYSAPRLDSNEGSRSPSPRSLDNP RLTGATGPLLPSIHPSDLARSTAASKSVKAGSSQSSLSPLELGMVSESEAQSMYTQFA QHLSPVMAGIDTVYITYDRAKSSDTLFTAILYASARFYHPEICRPLYNHANVLLNRMI MAGAVDKPSIQALMILAYWGLPNDKSGYMKSGVAVRAAAHLKLWKKEERPLPENEEEA REVLDGERTWIALLIMDAGFARVFGQPVMLPPPGFGFDEAFEWAAEHEYLNTPADFYL AWSVAQLPATIPHTDEVPSGTLGNSLALAETALVNDLSRLAHRLSPMYRQMADIVTTI VCLHMRAIAIKMEGPTEAVKGRIVEMTEKLAKVLTQFSDGGLVFWSDMISVGVTMPGA LFYQARLAFAPADWDRILPALKSIMQMCSNMLGAHNDHPLYFTYRFYRRLLPVLERLR NGLEVQLQNMPAVEMEHPYPAVFGMPESYQDVGLFTDAMMENNAVTGTGDPLWDFILG PDSGMSQLFPQMG L198_06932 MSTILAPWLLRTYRKKASQLATVRSDLPNAETSEEDAMSWRPGL VKPAGKSDPGLRKGERYLLKDFSGLVKAGEMMLVVGRPGSGCSTFLKALAGHRDVFAG VDGSVKYGDIEAGPDFKPYAREVIFSSEEDLHDPNLHVGKTLDFALRMTTPSRRSRIP NKDGGESMSRAAFQEKRKWELLRMFGLLHTHDTRVGDQYVRGVSGGERRRVSIAEVVT TKASIQLWDNATRGLDADTALRFARNLRTLTDIDRNTTVISLYQAGNGIYDLFDKVTV IAEGRVIYYGPGGEARAYFENLGFVHPDGGNTADFLTAVTARNERQVRPDFQGRVLTT PAEFATAYNQSDIAHRMRQELEAHLVDPKVADDTQNAKAMIQLEKSSWASKTRPEEVN FLDQVRAAITRNRQQRWGDKWTFWMRPATLLLQSLLAGSMFYKIPMSTGGLFLRGGTL FLSLFFPSSISFSETTAVFSGRAVLSKHKGFSMYRPSALLIAQTLNDLPVYFVMLLMF TLIIYFMTGLKVGAGYYFTYLLFVYCTTLCTTALFRFIGYSFSTFNGASKLSGFVFLL LSTYAGYLIYIPQMHPWFSWLRWIDPFHYSLEALMANEIDGLNLQCTAPNLAPYGGDY ASHSQGCAITGAKPNDIVLSGTAWLDSALRFYKSHVWQNFGILVGFWVFFLVLCAYAI EKVPAAGSTKSVLFYKPGGGGKYIRQAQRKGEASKHEEDGPGEDIKLNDLNGVGSDSV LTWKNLSYTVNVNGEPRKLLDNVFGYCKAGTLTALMGSSGAGKTTLMDVLAARKTEGE IQGEVLMNGKQLPVSFQRATGYCEQMDVHLPQATVREALEFSALLRQPRHLSNKEKLA YVDTIVDLLELQDIEDALIGTPGAGLGIEQRKRLTIGVELVSKPTLLFLDEPTSGLDG QSSYLIVSFLRKLAAAGQAVLCTIHQPSASLFARFDQLLLLRGGGQTVYFGAVDQLSD YFEKHGISIPGNVNPAERMIEVVSGDISKGHDWHRTWLDSEECRARAEELDDLIDTGS KLASAVEGDEFEYASTKGAQLRLVTKRASVQLWRNTEYVMNKVTLHISAALINGFSFW KLGDDYADIKNRIFTIFLFVFVAPGVIAQTQPKFLRNRDIFEAREKKAKIYSWQAFCF AEIAAEIPYLLVCALLYFSCWYPVVGFSFSPAIAGPVYLQMTFYEFLYTGIGQSIAAY APHETFAALVNPLAIGVMVMFCGVLVPYDQITAFWRYWMYYLDPFQYLMGGLVSLTLW DLKVHCSPDEYAVFDPPSGMTCTQYMADFLSHAPGYLSNPESTSKCEYCLISKGSDFL EGLHLSRKLDAWRDIGITL L198_06933 MADTSVTEDITLQTLGDVHSDTDDEHVAEAQADRAGGAEGLVNG DDGKEAANGEAVEHEEAGHGAENGKPEEDAAPVETVQEPETKEEPKKEASGVMKVLKS GVFGGAPKPSPPQSKAATGAAASARLSRPSLAPVKTGPTASKPATSATKPTSASSTAA ARPTHASRASVTTRPTASTTTRPSVTRPTGTSSTAAKPEVKPRPTTSASDRTASSSTA RPTASLTAGTASRTRPAPSKPSVPTAASARTTTTTATRPTATRPAATSTSTLVSKPEA KPTTTTASRVTRPSTTTTTRQPLTAQRTGTSTTAATRPAATRPAAGRASMAPSTTARA PISGVPPKVRAGSGPSVVAGGAKELTELKGKVGELEKQIEEEKVKYEEKVAGLEQEKL ELEEKHVKAIEELKAEIEAATEKAGADDGQLEELKALHETQLKAAEEEKMAIMSEMMS KLQKLGTEYHSVQSQLKSAQAELSSSSSALSTLQTTLESTQSELESLHSSSQTFESSL ASLESSKAELEAQLENVEAEKKALDAKLKEGEADAERSLADASGLGGKVQELEEKIKQ LENELEKEKEALKAEEAKAQETKATLEAELEALKGDRASHTEALARATSDHAASKEEL DEIKIAHEQLSTTYADLLQSSSRHPEDIADLQRQLSEATEKHEALLVDAASHAGASEK TAELEKKVEELEQARKAGQEEVEALRMKLEEVEVLQGLRKEAEEKEKNLNEIISGLEA EIEKSKAEFEQKYNSAFEDAKAAADKEHQKELSFFRTEIATSQGQLQEAHTAEISSLQ NAHSTSLSEISVQHQSELTTLELSLQAAAQQVEQDQKRLEDVKEEKEKLEEEVKRLKS EVETVRAEGEVKGKEASGELEVELKRVKGELQHVTDELKGAREMAEMNKSSFHQTLEA IQEQHQEEARESAEKREAQFSEEKKALAEEVEKVKAETVKLRSDLEDERVEKNTALAK LSEKVATPPTSPHAELPSSPSITRLHEAHNAKVTQLENEIGRLKALLSKTPAGAAAVA AGDRGDVGEESMEFTDDETMTF L198_06934 MTFTSSISCANSSSPSSSLDSSLPPSKSMRHRLPSVCATANLTQ LKIVSNLADLSRWLGKPSNSDEVHFHVPKYLLMASSAVLKDMLSLPAGNESQNVELSD RFLENSSPIAFYLSLLVGENGKATLEKRHQGNIVKTCHAAILLAVK L198_06935 MSDHDKAEIKVHPDWKDEVTNPNGDTDLIATTVTLVSSNQVHFH VPRYLLMANSPVLKDMLSLPTTNKSQKVELSDDALESAASLAFYLSVLIGKDGKVTLE EGYKTRIVRTCHAAILLAVKWESALVLRGIAEALLSFNIPSSLEKHKEVRALDIFLLA HEGDMTAVAATVLQTYEPNIGGGKPNPSHWGGYLFKEDLFKKEGSAFKLDYLNHDVWA QLGTDYIFALTKSQSDRNEDGIYRSISFANHLKDIRKAQKQSPLSKEE L198_06936 MQLTALIPVLALLATPALARSSLHPQAAAHRRAVGQHERIAGAV EQRRDELAAANPRALAEKPKVQKRRKVVRRGTTCRVKDSSASATVSETASATSTAAET YAASAVSDVESSSDAAVPTDSAVESATESATESATSVESPAAAETASTTAAASSSVAA VAAYEVSSDSSTSASSTSSASTSTSTSAYTPNNIKAGIAGGDAYSMIGDHIGWWYDWN AVPSGHTGSAAIGVNMLWGAGTVDDTDASRLAAFQGITDTPAYIIGFEEPDCSTDGSS NIAVADAVTVWENNIAQWKGKGSLLLSPSMCHQAAEEYIGWLSSFESQISTDFDILNL HINKNNMDGVKADIDYYYNNFGQKPIWVTEFACVDDSTDFVPCTDQSEINTFIQDIVD LFQADDRIYAYAYSTGEGLSDEWALVSNGVLTESGQTYTTALAKYH L198_06938 MPRIDVQPSVFNDLHHAIADHHTLTLGHTRPLSTLSDEAKVILF DKLFPPQLDQPISAHANSTLPDVESRWDCLAKWVAPAPAGSSSLSRGISTGPVSTCST DLSKIFRPLFESIPQLSRGITVVHDDDATRLYLLDRLDQAIVQASPTDAVDRNTRQAL CDWNTTVQESNCAWGSEELLYEAELDGALRVGLTRAVNRYISDPRNFNAGHAPSRPIG WSHSILDAGAGVTDRLLKSSEGTKGMQDLKRLKVLLERGMEMTVEAAGQRTGPPSNGR PTKGFTIWIDNSAAGTRLRSTAGPEVPLEATFQIWAQTHANRCRWATLHNTSATLVFY LANATTLLVSNVIRGNAGSQVHSPGPPPPPSDTPPCPPRSQTFTLASAMVALALPDDG IPPEIPSFLSPWQGDYIDTKRQIAAYRKTLRDKRASSKKKRKAEDEAERQRPSRRDDR RSPGADRQGGPSHGPSAGSSGKRRGGRDDADAGRGSQFQGNRGAPSGGKQHPRRGSDA ADASIYLGEVSDSYDEETRGPLPPLYDTPLQSAPDGEMPPGTATVDVKAGQDDDAVIF VPRSRQYIPMRGTSFLPHQALLGRPLQAFIQGKSVGAVPIDTPSVHIGRHVDRGHLWD VFEGLFYNPELSTPPRSQLSNSDHSPQSILSVSSIPSLTRSTSTATSTPSPPLPSYGS RPIRVIVKIVQPSSLDWGDVFDAGLGANESIQALEHEANLYEECLSNMTSKAAPGYYG LWRSTNGVYMMILERLGNAVCDEWGHVPTILKPDIIRLYNSLHSAGVAHNDLQTRHIR YRLESSECNEGNEGNGDIDPACPKLALIDFDQASVVAPDGPDVRQERERLGGLMGVSP WCPLWNVRGPAW L198_06939 MASVVALSLPLAPPPAGYSKPAPNRPSAPAQLPVYPAGPSFISA ARRQLLQRSFAQDDEAVLAARAQAAEAKSKEFNEGDLYPGLGEEEEHESVLKTDPKEW KKQDHYAVLGLGHLRYTANDDHIKVAHRRKVLRHHPDKKASHHQGQDDSFFKCIQKAH ETLTNNDRRRQFDSVDWNINDEVPDWKSLSPEDFCKQANAVFGREGRFSEHQPVPAFG DLNSSKQDVEGFYNFFYNFDSWRSFEWHDKEVNEGSDSRDDKRFTEKKNKSERARRKK EDNARLRELVDSVLAVDPRIKRIKAEEKAAREAKKKGGAAPKALTPAEKKKIEDQKKK EEEEKKAAEKKANEPSKAEKEAAKKAKEAARKNLKKWKKAIATVITDSNYFQAAGSAP SAAVIEKQLAELDSLVELLEPEQVKDLKEKIDKAGKGEPAKAALKEKVVELGAKGEGK FAEFA L198_06940 MQRSQDPLSQRALFVKNLNFQITGPDLYDLFGKYGPIRQIRLGT DGKELKTKGTAYVVYESPDDAKEAITALNGFHLMERYIVVLYHQPSKQQATALAKAEL HAREVALAQEKQRLGMKDEE L198_06941 MSPLTLQSTLPLNDGLSIPHIGLGTGGLSGQQTTDAAAYALSIG YRLCKCHLLDTSQHYGNEQQLGQAISSTPIPRSEIFIATKYKPSAMEPSSDPSVPTPR HSDEEIYDSIKESVSKLDPREGGYVDLMLLHHTGPDREGREAGWRGLARAQKEGLVKS IGLSNCNIKHLETLPAPRASVVQLELNPFCQQRELTAYCASKGIICQAYCPTVRYIED KIKNPIIVKIAERLGQSEGPVLLRFIPIPRSSKPARLQDNLRAFSFELSPEDMTALDT LDMGAAGRLTVVDPDNLPE L198_06942 MPFITNLTTGLSKEDIDFYEIKAFASQALMSIKHIGLPLSIVHP VGGAIALGHPLGCTGARQIATGFATAKREGKKVFVTSMCMRTGMVSLAFLRLGMEKKG GLMAVVGLCGDLCERAVSGKRLGLSSIRGRVWWHSECRK L198_06944 MTQKRPNFLVILADDLGFSDVGCFGSEIHTPNLDKLAREGTRFS DYHTASACSPTRSILLNGTDAHLAGLGVMYEFIASSTIARDPERWNKPGHEGYLNHDV AALPEVLQDAGYHTLLSGKWHLGFKEGFIPAGRVFDKSWALLPGCSNHFGWEPAYDEA GRPKVVGHHPPLCVDQATRYMVCMIFFERVGSKVNKTRSTPNKTNSPDGFYSSQFYVD NLLRYFHEPVLRLQRLENLKKLGLIPKDVDPAEVVANGAKNGEEMSVWEKKIGMVHAM DRDIGKVVDYLEKNGELDNTVVIFMSDNGAEGAALVSEATPTMGPRLLETIDKYYDNS YENLGNHNSFIWYGPQWSQASTAPNRLMKAFSTEGPSSPVVSLSSFSLFSLTLVIRRD QSTYAHPIPGLQSPSSRLHSPPAWTLCPLSSTSRALNIPTSPTERVPYRGHQVYPVSG KSWVPDLRDGHTFTDKDGDESEAVHGENDHPVGWELHARAALRKDRWKIVNQPLGEHG TGGWELYDLSTDRGEKHYLAQKLPDKLKELVADWGRYEEETGTVFGLPIKHVRGHGEL IRKENVSGDVVEDQKA L198_06945 MAKGPLYLPVSADDVPPVERSSKSRWPLIALGLLATSAVVTSWP LSIPQIVFNESSLSSSDFTADVKKGLCVQAEPIMPEGYNTTRIFEEKDVIIKRLQDAI RIPTEMFDEMGPVDEDPRWQIFSQFHDFLEKTFPLIYGVAEVTKTDWALVYEIKGSDT SLKPLFLTAHQDVVPVLPSTLDQWIHDPFGGEYDGTSIHGRGSSDTKSSLIAVMSALE HLIKTTDFKPKRTIILGFGSDEERGGQVGAPAISRYLEGKYGKNSMALLIDEGSGLIN TWGQEFATPAVAEKGHYDLGITVSTLGGHSSVPPPHTAIGLISLLIAELESHPHEATI AKESPVYEFVTCAAAFAQGIPKSLKGLIVKAEDGDVKAWKSLPEEIISSGMGGAPAGP GQGDSIRSMLSTTQAVDIINGGLKVNALPESVKAIVNHRVNFLSNHEELQARTISVLK PVCQQYNITLIGFDGETVFSGTPSTKVALDVAFGYFTDPSPHSPVSVEDPAWRVLAGT TRGVWASRSEVSEDGTIVELGPGEDIVMAPFMSTGNTDTRRYWNLTPNIYRYRYTPMT GAAGAHTINEYSNAYDLIEFARFYQAIILNMDAAEDVA L198_06946 MASFFRSKAASTKTADSSASSIKSTDANKTHEVILVPGEGSTVT KKWEYDEHQLAQYSKTLLLPATDDYHPWEARFLADPGTHPRYMRAAKWKLSDAKTRIK GTLEWRREFKPELIGPEDVGIEAESGKIIITGFDNDARPIIYMRPGRENTETSPRQIR HLIYNLERALDLMPPGQEQVAIIVDYKSATSQSNPSIGTARKVLNILQNHYVERLGRG LVVNMPWWINAFFSGISPFMDPITRDKIRFNPKLTELVPPAQLDAEFGGDYKFEYDFK HYWKTLTEFCHISPDGTRYNDQKETIIPPSGNGIKAALEGYVPRQGAVVSGDIVKASS SGSAAAAAGGVRNAQDTAAGGHGTAVSSGAAPHGVTSEEAEVEDQTDAQKLAAEHGTS THTGAAGEGAGAGLEKDMEKLAVETAPGEPVGREEAAFDHKSSEKEIKETLAQA L198_06947 MSIENIENLSLEDGNNQLPAGATVELHSRPERKARKALEGLGLK RVQGITRVTLRRARNVLLVVADPEVYKSPGSDCYIVFGEAKVEDPNSQAQQLAQQQLQ ASSQAAQQAHAQGGFKDGVPKSLEDLVGDESAEGSTDAAAPAEPADFKVNEEEIQLIV AQTGADEAKAREAYIAEKGDLINASEWRSSSL L198_06948 MSALSDDTLRKILTQIQTQAISSQKQLSIVRSQIASKDKEKKIL ALTRREIGDVPVCVDGQGQGGGGKMYKGVGKMFVEQSRAEVEKEHADKEKSLTEDVQN LSKKAKYLEKQFEESNNQLKDIFHAQQRAAENQ L198_06950 MSTVRIHSVVDLLFNNGIANPFVQFAVNYSKIYPRRLYPIFIAV QLGTFALVRYQHSEIYHSELHHIDQIQRYANGDWEYHHPDITSPTLWHGMIAAPSYIL NITPGLHSLRLFSLIQTLIFPALLTLLTLPPSPSSPHASNSSRFLHALRNPSEYALVI SFNPLLVQVHHKVDPGITALLCLVLSWGLLRKKKTWAAGVFGTVVGVLSVPGMIWMVF LAGYRVWETRRDFTGIVRKSALSWLFAICVWALAWTLPSIPFIRYDTPGSQKLQKIAL LRYIMMPFVDTYFTYLFLNQSPFFFSYLLTTAFSSCFPSSPLSTASWSGITESDKLLV VFVLRLFLFSEQLKEEAIEDDVTSRLTQDENYLSHLDSTPIEGGEDGEGRAARIVART PWGRPLGEEEVIYTTRCSPFPIAPDGRERTLTVSEEARQRNEATGRVKHAGKIEVGWD LFFIGGLVFMGL L198_06952 MRKEFAKLNNLVDLPHQHAHLLLQQCLQQNIRHLQRSLKTDDFG EEWKKMDERLWQEVQRLRMRQRENAPEDEEKGRLLSSLPARFGGLGLLSFNKIAPLAY KSAQEASDSFLAKIDLIHLLDPPPTPTPQRVRCAKLWSEQLSSFMEAATQPERKHLVE NASKLGRSWLRQIPYFELLRLSNHEVAAGLHYRLLTPACSPVCSACANESDLGHDEVC RLRETWSIRRHDSINRVFQSYLSRVAGAVVSLEPSTQEGRRRNDLRVRGGGGALRNAD YDLKVYGLEDKHMYVVDGRGKPSGMEWLDWVQGRIVAWLSKRDEEVVKKAPRIYGGAF RPLVLSAGGLMSEATAVELRSWRKGMEREVWQGMQSRVGIELVKARARTLWM L198_06953 MAKTFMDCAILFGDSLTNRQDVPGSWHEQLSKAYTKKLDILNRG FGGYTSKWARPLFDEVFARKEENAPVARLVSIWFGTNDATSLPNPQHNTIQDFQSNLT HFLTSLTSPSSPYAAAQNPRALNIVLITPPPVYAPQMDERGRRERTLERTKEFVDVVK ALGAEWGPEGREGKGKEWKVGVLDLWGAMEKEVGLGDGLAPYFIDGIHMTTKGYAVLW RLYVNLIKTDWKGRGLDWKDEQDLPRRAAQFEKIDPNRPESAVELLALPECRRM L198_06954 MLPLIALGALLASLHVQATSYPRVDSFSGQSFFDGFTYPAETYD NTTNGDVFWATASNTSLIYLNDDNHIILKVDNTSSVPYNSKRYAPKLLSKSAYDIGTV WVLDAVHMPYGCGVWPGFWTQGSDWPNGGEIDISEGVNKRTNNMVALHTGNGCTVTGG SSMSGSVTFDNCDNSLNGGSGCTINDTDSKSYGEGFEAAGGGVYIAEWATDGIRVWFK TRSDVPSSLTVSADSIDTSSLGRPVAEYSSDSCDIETLFGSQTLTIDITLCGDFAGAP SELALTCPALSGDATCYSTYVINDQSANFAAAYYEITYINVFSSSWSAGQSGGKVTGT VTATAGVGTGTGSSGENTSSRGEEGRAAAGGLMGLAGMLLAFGAAVF L198_06955 MSTNTQLEIHSIDCLTNPSLEEQSQCSTVLQDAYVDDRYVAKVC GNSADARRLFYETVIEGTTMDCELWVTRVKDESAAGGTTIASVACVSPPGKTPFSDSP NNNKEEMMERLTEKIPESVDRWIAKHLNPHYVCAGHFNEDSLFIHALATRPEYQRGGS ATALLSRLGESAKQRGERLGLDTSNASAAKLFEKTGFRDIHSGALDFEDGGDEVRYRI MSNDSGWTASK L198_06956 MSRTQFTIESVDCLTNPSIEEQSECSKVLLDAFLNDRFTNQLYG QSVELRRLSHDVDIRATLVDCEVWVTRVKDDSVEGGTAIASVACVSPPGEEMYSQQ L198_06957 MDEYASFPSAPISSQPNTPGWYTPSANVPGRGGYHANPSEPPDY FPQFQPNPPQVSINDKVKVPPPILLRYAGTNTLSNKGCLKIHVPGWATTLIQPPQIFA TGERLAPQDDTVLTGSLEVIMKERRKVQAISVGVQSVCRLFMGDTRGWEEDGVFERGV EVLGGNEEGIWLEQGSQSFSFNILLPATLATTNWHKFGRLSYIITARVQGVSPAPYSN FPSLFKANTSLHLDPEIRFVHDFEKVIARSAQVLEEERRGRAREVGLSPVREKGRSTS KGGVVGHMRDLSLGSGDKDDRITHGRTPSPSMGGHYTRRQNAENPQTTPPAESDLSKH LQLRSEKTGWMKGDLVQSRELWIQAISPVTGDVVPLDMRRESFMEGLGPWKFRASADV FAIAAVILIDFRLPSPKPTTSIFLLRLTLSQRYTLTSPRTPDLPPYEPQPAQDFVIYQ VGKPGWQGERFPGLDVGALWRGTEVEGKIAESEEGKKGVSSKGQSQEGWKIKAVARLP THDKIRPSTNEGTITPIRVSHELIIQVYYSCYGEKLNGEKVNGPGELRRMTSRSPINV PSCHCIDEALHLPPYDSLSPTSSSSGIDSVISSPPDKKKWCMCGPSFEELGKAAMEKD RIREALEENMEEEEGGGAGGSRDAERVGRLRVRAEANARNKATSGGSGGSGGSR L198_06958 MSYHIDLTDLTPLAEVHDQILHLLYLSSPTLPLRLSKSLYRHFI PKIYSHLTIDSSNVHPILSGLGSPKGFKAQALSFVEGLKIGVDDVGWNGLRLALHDTL LIPNLPCPLFPRLEHIHLGHSLFKPYGGIERMFEALLPSYIPPPCHVSFDLALHHLDI DHSQQDYVRDYNLATMRRLTNFMLVFFHEQSAYHVTFRLFDPASIATTSTKISSQEAK PYREAFWVLHHSPIPHLDRFMLGEWLVPRLLRPSGSSEAVRGELEAYFAGGMEDRFGM VYGRDVGGYVRRYDVWFLEEEEEGDEGESDDGYSTPVWNKPTTCGFSLSAIRIVSSIP SIVPHRRRLHEDKAAFLRAISIQATARFESAKVVSLSVCGVIVSSSVVLFIYGLSLY L198_06959 MTLHWQTVSPQTRPLTLTVLDLTPLALTVSLSLTPSASLPLQPS THHAHGVHGHPSQSSSNSKRKRNRRKANGSALSTAVQGEGSEDDEHEVEDSDGGVSFK DLLRHGVLVYVNGVQSNNLFANVMDDEDDDEWEDDPESVPPASSEELEENMEQGVTRR RPRNARFGASAAEAACKPPGKKKDEKHHKGDKDRAVLVIYGLMPGKEYEVELRVVGMG GQEADPTPSTSVLIPASPGQANSFHPRSRANSLRSRSRPRSRSNSLTTRQFEPTPAES TPAPATEAVIPTPILNPIDTQAAQLRHAIATLHSEKDVLQTQIKEARRTAQRQEAALK QEIEGAKKGIDKAGGMDLRAKQKALALQEQVKQGWAGAESAEKEAGEVESGLGDLEKR LEELKAEVDQVEREWKGAKDEEDRVKEDDKKTRVEEDKKLQDVVTKIEKLRTKKVKRE AEGADLEKRIEELEKECEEVERKNEDEKASRSRTMSYYAAGYGQNQPHHDDAPAFGPV GAPASRGITQHQSLSNLAGGYAAGPAYRPRAVPGYTPRFPSGGARPSPPLPSPTHGNN LYPISHPVPPSASACSPSFRAPKLGPMASRPGVNASALPFHPATIAANAANAAANASP VSSPGAAAPSPAAQEHTTSLIPPGLQHRIYLPHNPSRPGSVRPFQPSPLHAERPGTPV SATKVPGLPSSPTSADSGAEGESSPAFPPLPGSGSKSSPPPPAGGSQQGPSLASIVTR AVLSPTSALVQPGQPSQTPPQSQGSTPQSQVQGQARPSPPPGMLGQHPHPGMIKRQSY TGDFPALSPKWGAPVPAPGAVGGTTSRGPSPPGAHLNGNTNGRGSNSGNGSTGAGSQW G L198_06960 MSSSIPGVKQKVIGLVSGGKDSCFNLMHCIANGHEIVALATLTP EEGIDELDSHLYQSVGTHLLPLLAESMDIPLFTGIIRGHAVEKGPEYGSRLRGGEGSG EQGDETEDLTSLLQTVLAKHPDATALASGAILSTYQRIRIEHVCGRLGLTSLSYLWQS EQGPLLDRMLGSGVEAVIMKVAGVGLGPRIVGKQLGAIMPLLSKLEAQYGSHPAGEGG EYETLTLSTPLFSHRLNLVETEVIVTDPEPYPVAYLKVIKAELEEKSGWKKPTVNELR ELLGLDEEPGLAGLDEEGQERLEELGSRSIEPLQDELDLRSLQVEDKEDYFEVQFAQK GRWFTVGVQGRTVPDEDVGAELKSCFDAISRKLQSQNLSLPLHAAHITLLLSNMSLFL PANAIYKTYFGTSPPSRATVAVPLSSGRVRVEVVGFDDTPSKSGGGNVGGRSALHVQG LSYWAPANIGPYSQAVLVSSRLHLAGQIPLIPASLTLPSPPHAPLSVYPHQATLALQH VGKILGVMRSKNSTGGGWGGWVESVVGWWARTGGGGDGEGVGVARDAWRIWAARATPE APALFVQAKELPKGALVEYQVNLHTGRRDQTLLTQGNADDDDDDDELKVVYEQCSGED MWWESSRTVGRDLGCRGIVFAKDGIDVNALVRSSGPGDAKLALLLAKAVSIRVYHTLS IGPVQIHSLLPSLSQAAFTLVPVCSIHDRQGRSVPLALEVFGI L198_06961 MCCEADWKREVVPDHKFDFINVREFHKSDFWTRFKYVLRYVFLL KSFAVYGLDIFSAVTMISSSHWTNAITSKCDDSDDCPVEVQFSIAKWVFVGCIIFSFL LLGYESYKAKKVVDSRDISYAFTNLLANDYYCFKNYDNFCLFCQIESSTKKKDDFAFF VFFAFKNWKRLLLADGPRQSINGILLYAFASANDFQTSDIPAYWDGSAVTALLLFSMI FTVLIFAGSLLMLLFAAVAYVPLLCYIKGNLKEYVCHKVDKRITDLIKKKQKHRIARA AASEKSRGGAGGGSAKEGMGGLPQPTLPQVSLDDEGDNASRARSKAERPGFNVPNAFD SSANASYDSYDVYEPSGAYAEDYGSSVSLAANAAPLGISYPPSAVAGAPSLQPNYLTG ALPNPYSPAQGAFPASRSNGSLKDYTTGGGQPSGRVSPYAQSGPLSPSPYGQNGRMSP SPYGQNGRMSPSPYGAQNGRVSPMPYGAEQGYSHVKRESAGTGGTYGGYQQGYQTAQN QRQGGQQGYYNGSGGGGSAGAGYGYDNRQQQQQWR L198_06962 MLTLLSVLLVITRVPQTKATIYPLVESWHGDGFFDGFRFPAETY DNTTNGYTFWATAQNTSLLRTTSSGTTILAVDNTSSVAYDSKRYAPKLLSKSLFDVGT VWVMDAVHMPYGCSVWPAFWTQGANWPEGGEIDIMEGINNQVTNVIALHTSNTTTCTV DSSSTSSFSGTVENNDCNNSLNNGAGCTVKDENEKSYGAGFAEAGGGVYVGEYAEDWI RVWFITRADVPESLTVDASSLDTSTLGTPVAEYLASTCEIDKAFSPQTLTINIALCGD FAGIPALLEQTCPALVGDATCYTTYVINDQSTTYAQAYFEINYINVFSSNPSSVTTIG FSPSSSSSASESLAENTWTGAKTTTTSDVKIGPSDVTTTVKSGGWTTNAVGVPVPNGI SSGAYARRVSWGGFVVMGVYIFLGFLVL L198_06963 MSDTQSPPRPGGGRPDSTLGDQFESRFAPQWHVGFGSSNERGGA DEETVDTSPATTRRPSPLPEATSAPAAHEKEVEREASTMEELDELDEDSPAPVHDRHE EERKARQLMEEDAHLAKRRKQHPHGSSLPSPPPTTVPASAHHLTAGSCPGDGRCNGAG GKAGCEGCPTYNNSIASGLVSDRAPPTHGHPHSAPLSEGIDRPRYHETEGRPYGLDRF MEGVNNNLGRPTSLPSPSPDHVVQRQHESPVTTQPLQHPGSDKGTPSRFSPDSDDAPA GTTNGSGLAATPVGMSCRNCGTSTTPLWRRDEEGRPQCNACGLYHKLHGVPRPVAMKK TVIKRRKRVPAVSSGATATPSSSTTRAITAAPDQPSPVPTTAQMPSVTAPPAHVPPPI EDKMRVGSPPYAHRAPPSQHHTDHRGHHPHAQEFIQGRYAKPSSNSGNPGQGKKWWND GRDREKEEKDREAREREGGFFLPFLGLGMCPFFSALEMVGWTEDDSESLD L198_06964 MSDTIPLPPRFMPDRPTSAPEPKLTRTSLSPLSKSPMSTVRSSP YPSRHSVPLKSTTTKIDNDHDHELLRRSSLPTRDQMAHQLAAEALLTMAPSASNASPG KRASATPARGGDVEMGEPEQRGVKRKSDVDDAREREKERERERERDPRHPAMSLGLHG MDRDRNRSKEWPNSPLPLAERPHALPGSRLVQPPTHGSPAYPPGQPGAPNRYSVYGPT TRDPMAGSSPYSFNASRYSNLHVRRDMSPSIGAGGKGVSPPRRASPVPGSDPRDRFYG NTSTSGPITATATATSAAGAVPGAYGHYSMGRRELQEHREQLKEGKRWLEAMLAKTEK MLNMVENKIGLTVDLPPAPPAHGHPAQERHVSGSSVPPNVSPNAAPGQPRSQEDWEYE ERERRREKEILRLEQEREMDMVDREKRERDRAAAAAIAAGVFDEHRGRSREAGEHEQN RDRLLASRRVSAISPGPAGPGRSPNLSMRNAGIARERESEGPAGEREKTNGPLPVNGV PKREGSSQWDGEPVLAGVPLPRREREQQQNGAGRTLGRGLWSFDVRS L198_06965 MSAQASSSQSVTTRPTAHGQGSSAPPTSLRPIKSASGFEFPAMW SFPPFFTLQPNPQTLAHQLLLWRNLFLEWARHERVFEVNVDSAGKDVLGVFANKGIGR RLLPPSVKTLMGELVKNGEAAPDPPKQDTHYLIYWRKPEEWGDIIYHWVMENGLNASI MTFWEITDGDLSETTEFRQLPVSILRKALESLVKRNRAQIFEGKGEAGEGVRFF L198_06966 MRILNIVWAVFAFLLLAAGVISIVASVIFNSAGHPFLNMIATKV DFKLGIGMGVIYIMSCIVSVPAFMASFENPVMLKFLNWWLVGCMAVTLAFGSIFWVLS LEQLNTFSNVWKAQTSTIQQTIQDEFSCCGYFNGTAEGGFSTTAGFCSDATFAAAQTG CQNTITSASSPGTDFTLETIFSTVYGFEIILVSFFLATVCLINERGISVRFKRIDAKR GGSGFV L198_06967 MRLQHSFVALGLLCSSSAASPLYARQNETTSNATSAAAAPTATI YPNTSEGQPIEIVGTTYPNLKTDAYLGIPFAKPPVDDLRFAPPEAYTYNTSSYAAQTS PAACMQDLSTGVLSYGTDISEDCLFLNVMTPTGVNITDEQYPVMVWVYGGSFTSGAVT AYNASFLIAHGQEVDRPIVHVALNYRLGAFGWGVGSGFAENNATNLGLRDIQLALSWV QENIWAFGGDPKTVTVFGESAGAISTSLLFLDPETELFSQAIMESGAPSSSAIGPEAS TWEPAYEALLLASNCSSNSTISPSTETSANGTGFNATGTSSPFDCLRALPAENILAAQ ILVKNMPAFQIGLIYGPTIDGDLIPDSPHSLIEQGKVANKPFITGSNKDEGTLFVPST ISGTTEGLLFLNLSEPTGLSNETLAELLRYYPNDTTLGSPFDTGNETFGLDPSYKQFA AIFGDAHFQAQRRYFLRQANQNGNAKTWTYQFEQPTPASPEYHGVFHASEIPFVYGTA RPGIGMAGISMNYTEADSIFSNSIMEYWLNFAYYADPNGPDGTSSSNATYWPAHNIDD KQILRLLANNVTLFTDDYREEAMLYLVNNPTVFNERRSFDMSTH L198_06968 MRWSLSLASLALLSLTTAAPLQCRHKSDPDSPHDYVAAAPTATI YPDTAGGQPVNIVGFADDRFGADVYLGVPYAQPPVNKLRFAAPQSYVYNTESYTAQTQ PPMCLQNPQESEIIYGTENSEDCLFLNIFAPAGLNLAEEKVPVMVWVYGGSFTSGSVY PYNGSALIQHSTETGKPVIHVALNYRLGALGWGVGSGFAENNATNLGLRDIKKALGWV QENIWAFGGDRDQVTVFGESAGAISISLLYLDPGTTLFSKAIMESGAPSSIPLGPQES TWEDAYEALLKAANCVDFNCLRDLSGEAILAAQMNVKNQTAFKAGFIYSPTIDGDLIP DSPHQLVLDGKVANKPFITGNTKDEGTRFAPTSINSSPYGLLVLNLFEPDDPHLMTTR KLLRLYPNDGRAGSPFDTGSQTFGLTAAYKRFAAIFGDLFFQSQRRYFLRQANAHGNT QTWTFQFEQPTPGKPEYLGIYHSTEIPYVYGAARPDIGQQGFSYTYTEADHRLSHVIM DYWINFANYGSPNGPNDTASSSSNAVYWPIHDSDNKQILRLKADNISVFRDDYREAGT DFITSRPKAFSLRRSLDIGVGAGVGVGIDGELGEGLDASVNVGVDGYVGAERKRASLT AALDEMPVVDLDFTTFESKRDLNLGVGVGVDGELGLALKRDLDIGVGVGVGAVVDLQL KRGIDISADVAALGQVDLGLALKREFDLEAGVGVGAGINLNLKRDFDLEAGVDVGAGL NLALERESDLEAGVGVGAGLKRDLKLKVDVVAAGKGILDAEIKKRDDLNIGVGVGVGV GVGVGL L198_06969 MSAENTQAQVPLPPKAGEKIPQAKVDEEEEEEDEDEDEDDDDED FDDEEEDDEDEDEDSEDDGVDHKGVLADFYNNEQQDEDDDGDVVEGDEEAGLTPLKRK ANEGNDGDAKKPKA L198_06970 MSEGSTETPQVKPADTYQIDSDEYDSDQARDELSEVEYDKLVEE AENGYNSEEMDDFTHQLKETGIVNFLRSYLVPDASGEMRSLRKLLLGFGIIPPLPLCT PSTSNLALLPFAKVTLSRILRRRSRLPDLFSLSDALDLLKNAKKIIVLSGAGISTSCG IPDFRSSTGLYAQLQNEGKYELDDPQQMFDIRYFREKPEVFYSFAKQIYPSNFVPSPC HRWIKMLEDRGVLLRNYTQNIDTLESLAGVSKVLQCHGSFKTASCLRCKTRHPGRFIE PHIMAQTIPYCEPCKAQCAQEHAVRKTYKEQLKKLKAKANGKGKGKASAWEQEESGDE SGDEWGGGEPGIIKPDITFFGQALDSEFDECLFKDREEVDLLVVIGTSLKVAPVSEVL THIPHSVPQIFINLTPAYHVQPDISLLGDADSIVTYISDRLGWSIPSPSALPAPKSEA EDAKRRVERESPTPGQKDEEKMKKASHVSIPPEEAEWLEVDDERHFHLLRRKGDPLLT RPLVDVSDEDPSTSPSVQAETNGPSSVPPSVPTSVPTSVPFSQAAAEDAIMSSLGHVP TALGNDIEEGYASDEESEGEERPVKKMKRQESD L198_06971 MSANPPILAVPHQKSSPETHIKRYLSSLEPYVNEHARAGVSTFE KALRGEPPPESEGAPTIEGKLNMFGEEGEELVTRAELLAKLSGSGVKPAQPVRRQPLK DVQTASFKLPLDSLVHKLDKGKTNGVEKKKAKGTKSKEDVFEESETESAQRRLQRKTR RREKAAITKPKPPTPPPAKKKRKSKPPSVDGSLEGSEESEEVDDRRKGRRRQAIPAFV KNYQAKNVQEAAKPVKKKQGFLNQGKASMPICLPSEKPRLATAQPFSEDAFLGSQGAL RRSHDEDDTFGWKLPHKRPLSSLPSVDVDDAPARQTSRPRSTRSPVRAPSHTSLPSSS AQQHAPSAHNSYSRMPPPGVANSPSWHTEITEEQASFVRKESGRQAATPGPAQNVALS LDPVSPPHIPRVLTPPRPQPEEPSPGTLREVFGGKSFVWPKNRGMDMSFGQTAHDETP ARYHQPSPAFPPHHVSTDPAPDVYRPHNTLPQSTTIPVFVHAPPFRSEDDMEYQPVWM HEESRDDIKIPRSGYEEYGEDEEYQQDRHYEHEFPTHRFTSTPMYDRQLSFAPYSSQN APDQLAYPHHHHRMSYDHRDLPQPPIFHAPSAVRREPPQPAPPTVNNTLDRSFISTDS PLPKMYELPSPQPYCYPAVAARGYAMSRNLDEGRYVRSWSAGGRRDIEVVDERYQDAR GGFDREDDDGHLEVTEGQWKSLWSGGAGLR L198_06972 MSRSSEKYLTPLWNSLAAAIRKAADLHLGDKVGQILLSQVETDD LTLIKHASQIPNRRRGSRFVREWPIESLLKQRLENSKKEARRKAQETTGV L198_06973 MPRLVTGFSRPETYVYNDSSYTAQTQPSKCLQDDQMTGGLDCGP GSSEDCLFLNVFFAPAKIISEAKLPLMVWMHGGSLTAGSVFLYNGASFIAYSQEVNKP IIHVALDYRLGVFGWGMGSGFAEQNATSLGLQDIKKFLLWVEEYIEAFGGDPEQVTVY SESAGAIATSLLLLDPSTDLLFKQAVTALNPPSRLARATPPLLLASNCSNSNGSSPFE CLRNLSGEELPAAQISLKNQSQFRAGGIYGPSVDGDLIPDSPHELLRHGQVADKPFIT GCNKDEATEFIPTSVSGEAFGEVLFKSFYPADLSPQTLKKLTQDYYPNDPALGSPFDT GNETFGLDPSLKQFAAILGDALFQAQRRHFLRQANSHGNAKTWTYQFEQPIKDMPAYT GVHHTAEIAYVYGTAKPEMGLPLAGFAPKYTGADTSLSEQIMDYWLNSAYDSDPNGLT DSSSSDATYWCAHNVNDKHILRLIADNTITRPLSKMISEKRV L198_06974 MCGVGFLCSVKGEPAHKIVSDARHILCNMTHRGATGADARDGDG AGVMTGIPHDFFVREASYYFEAKLPAPGHYAVGNLFFCKDAFEDQKAIVEEVAKSLGL RVLGWREVPTDNTILGPAAKSKEPKILQPFVVLEDHYGAGQDSQEGAFDERKFERQLY ILRKQATHKIPLKDGFYVCSLTTSNIVYKGQLSPVQVYNYYHDLNHALYASHFALVHS RFSTNTFPSWDRAQPMRWAAHNGEINTVRGNKNWMRAREGNLKSDRFGDDLELLYPIV ESGGSDSAAFDNVLELLVVNGVLTLPEAVMMLIPEAWQNNDLMEPEKKAFYSWAGSLM EPWDGPALFTFSDGRYCGANLDRNGLRPCRWVLTSDGIMVCASEVGTISIEPEKIVQK GRLKPGRMLLVDTKEGRIVDDKELKMKTARRQPFASWVESQMLRLPDVLRRVERFETI GVQLDDSPLSTDPKLLAFGYTIEQLNMLMLPMVQEGHEALGSMGNDAALACMSTTPRT VYDYFRQLFAQVTNPPIDPIREAIVMSLETTVGNEGNLLEINPKQLHRLHLKSPVLTI QEMNAVKHMKVANSGWPSITIDITFDKREGLPGYRNALERVRQESINAVNAGFTSLIL SDRATGPDRVPLSAILAVGGVHHYLTKERQRSKVALMVETGEAREVHHMCVLIGYGAD AVCPWLIMEMIHKVDREGLAKDSQTAEKLVGNYQKATDEGILKVLSKMGVSTLASYKG AQLFEILGLHEEIVSECFVGTASRVQGATYELLAMDAFEFHERAWPSRDAIVVPGMPE SGEYHYRQGSDMRVNDPVSVAQLQDAVRQKNQSAYDTYSKNSHEAIKRGTLRGLLDFK VEDATPVPIEQVEPWNEIVRRCVTGAMSYGSISMEAHTTLALAMNRLGGKSNTGEGGE DAERSLPIPGPGADLDGQPFTHAMELKPVWDSRRSAIKQVASGRFGVTSNYLADSDEL QIKMAQGAKPGEGGELPGHKVSASIGRTRHSTAGVTLVSPPPHHDIYSIEDLKQLIYD LKAANPRARVSVKLVSEVGVGIVASGVAKAKADHITISGHDGGTGAAKWTSIKYAGLP WELGLAETHQTLVMNNLRGRVTVQTDGQIRTGRDIAIATLLGAEEWGFATTPLIAMGC IMMKACHKNTCPVGIATQDPALRAKFAGQPEQVINFFYYVIEELRQIMAKLGFRTINE MIGRADMLKVDESLRTPKTAHLDLSPILQPAHLLRSDVATYRVRSQDHKLYIRLDNKF IDEAEPALQKGLPVTIDCDVVNTDRALGTTLSYHVSKKYGEEGLPRDTIHINMKGSAG QSLGAFMAPGITVELEGDANDYVGKGLSGGRLVVYPPKASPFKAEENIIIGNVCFFGA TSGQAFVRGIAAERFAVRNSGATLVVEGTGDHGCEYMTGGRVVVLGLTGRNFAAGMSG GIAYVLDMAHSFAPKVNMGSVELGPVSDPHEVAELRSLIEEHRHYTGSEIADRVLKNF HHVLPMFVRVMPLDYKRVLEQEAERVANEKKRQSIIDLIPSQTASQVDLVATGFDPVL PRDANALTISTPNLLSPIGSPKAKPQEPSIVDVEDAMIDETATKEKLAKVDKVRGFMK YKRLNEAYRPPRKRVKDWKEISARLKPQELKVQSARCMDCGIPFCQSDTGCPIANVIP KWNTMIFEDRWQDALNRLLKTNNFPEFTGRVCPAPCESACVLGINEAPVGIKSIECAI IDKGFELGWMTPNPPEHRTGKRVAIIGSGPAGLTAADQLNKAGHIITVYERQDRVGGL LMYGIPNMKLDKGVVQRRVDLMAAEGVNFITSAHVGVDERYDPLAIKAENDAVVVATG ATWPRDLKLPHREVDGIHFAMDFLTPNTKVKAFGDDAPGFISAEGKDVIVIGGGDTGN DCIGTSMRHGAKSIVNFELLPEPPKSRAPDNPWPMFNRSFRTDYGHSEVQAHFGHDPR RYCMATKKFVLDDEGKLKGLDTVKVEWTKMNGQWKMEEVAGSEEFYPAQLVLLALGFL GPQQECLKALGVDTDPRTNIKTAPNSYVTNVPGVFAAGDCHRGQSLVVWGIREGRQAA ADVDSFLMGHTHLAWQGGIEKRQYVVPPVTKPLHGLDEKIISDFETSSQGVDLESLSV AATA L198_06975 MARPQPTDSYGEVFVDSEPSEPDDEADEDYAPFSTAKLQRWSSK RHSKPIVKGRKSDGQRVAREETTREPKHAQRERSASDNHPSIPQGPSTLYSQTYLGSI LRQASKGEAYPPHPPALQLNAANYGGEAAVQSDMYDCRPSLQISTTEPIIRSAPSSSK PSSSSNPLLSNQSLYALQDGQSARVPVHHPMETTWGQAWPQLGTQAVGARPNPLNVDL AAESLKRLTSLPSRSMFQPSKKAKEDTSPPEASQSAGVNPNDLKLPSGSSPLDGPGST GSMVDHSVMSGSQASVGSVFSSQPPVLAEEALQRPRLSLPLLSRDPSSETTVTQSDDD CFDMQQRHQPFAFYDSSASSQQSSQLQHALLSKSVDPLSSVTSTNFAFDFRPSTANSY TSFGPSEASSLAGPEYAASPMASMPPHDATGQHYSPYGVLSQSMSGRPATSHGPYMMP SFSHQMNHYAPSFAAPLPSWMQPRLQQQAPSPHMSRPQTSLPLSSTFSSADWRQRLGK RRSQTLPPEPTAIPGSGTYSDMYGTPSMFQAPFPIGERRMSYPGFGGGAKYGQGLGWA PNIMSTSFMSSALAPAQTPGESLNSAFDNRSQSLSQQQATILHPPPFASPSHIKRGHR RPVPGSNQQTAYPPFSQPSVKQDQPRSLSFSHPKIPTSAQLDTRRRSMGSGPTPKSAL ARAVFFDEPEITETEVAVSETEGEDDEGESDQNDDAEYEEKSGHVSSSSEDEPLRLSS RTSRASKSKAKPKATYSFSKRTRSSKSRSKSASHGSRPKTPMDMSETHGQMVCACPLM HMARPADGDNAEPHLCTTKVTANRLLVQGHKLCSYCIGRDGHVEEYVKERTRTKTVDR LCFIYVIYRELKIDQTHGEGQRWQKYWEHHRREPVDEEYGKKMLASWIERMETEKRNW EKHQERAAKREAQAVKASESTRAAKAKADDEDKMESMEKEVKARAKSRSIASSLEPDP ADFASIPEPKSVSVSDSSTSCDDWAPNPYTHSSTSLAIPKRPIKRGQIKLEAQPHRPT HRSVSTPNPAIKNSTTMTNIPNSSSPDSRISASPLKDKFWSMGSAAGLAGVADVEKWD GRVSLSLTPPPAEERVESKEVRDWDVDEAVWRALSSSPGD L198_06976 MGASVSQYADRWLPAPLNASPTAPPPQTLPASSPLSQPKGFMSY FIPSSEPTHKHRHPTVHDTQLIYAYIASRLPGELVGRVMDEAEIWPVCWRAQRAKMVC PSRVKGGINMLDGGLWETGQEAEVGEAAVERGLRCRNGEAWYLVSSPIGCFGEQEEEQ EAGTEEHERRRKAWVKKVVIETSSRDQGWSDHRQHYGTYEGSHSWFEISLLRNDQEVP GSRAEIQFNVHAGQFFKEHTNVLLRDHPTLRLAKDGDRVVLWAKALYPGWVNAVK L198_06977 MCHETPTSQFIGTLVTTIGTFYFIYHIWAYDKGKCLKFTKRTAF RWLIVWMFILSMLLFEAWGIILTYVKYQEWYMVHNGDIIPVPFSAWSDSRQFLVRSAY QILSIAWLLVLAIHSEETLYWAYLIGAIRGRNTKSWYRSVHFKVWIACCIFVAGVVPG VANIETQNLTTMEDNIFLVGSISAFILFIGSIWLLFVFPKFIQESRRQGAALDVIARL QYFKELNSVRTLFRLLYCISILTLAIDGRTERKVVNSNHFWLDCFYISGLFFVFTSNS LSLMILLPRNMADEAGFTQAQQVFVRQNVRQRDLRTRGRGQERELELPSTSTIDRKFS PYTPPPTDDIESDAIHLTQLPSPRAAPHRGSQPWQALGEALNIEQQDPEMGYNDRVGE TSKASISASDFDKPFAMNRGERLLRDGENIEQPTLLNHFRSPADFTDPPTPRDLNIVV VTDTVEVEEKGP L198_06978 MSQNAGLYDISKPRFDLETYGGRLAYFYSTTSPLTLLASSSQLA QAQKDATHFESSIKENGNKVTWVTKEQRDAYWNAKQLVNSSIHPDTGKPVPLPFRMSA FVPTNLMYVSLAGMLTPNASLKSIIFWQWANQTLNVAVNFSNANKSIEMTPQEIGTAY TAATATSVLLAVSLTRLVPRLRVSPTAKDLLAKLVPFASVASAGVVNISCIRWKEMRD GVEVYKITKDPSDGHEEKQTLGKSSKAGQMAVMQSAASRVFTNIPTLIIPPMVMTFLQ ARGAFSGPRGKFGSTLAQLTLIGLSLGLFLPPAIAYFPQRAETDTSKLENRFSEEGIK GKVYFNKGL L198_06979 MSTQQPLQSASSTSLAKVPPVDDSPQPDKVHLKVLVISGQNHVY SFEPDCTVGRVKELVWSSWPKEWTDPAQPPFPSYLRILHSGKILQDDTSLSSNKLPAG PSSSPPTVVHISVRSFSIKGDDDPKKPSAHRGLSRQSVRAADDEVGGCKCVIM L198_06980 MSAPSSPGRRSAVRIPGPVETSIQQKIIHQFNPVLLRVYNDSSK HSHHAPMRAQGGGNGETHFAVHLVSASFRGKTTIARHRMVNTLLKEEFDERGLHALSL RLKTPEEWEKEGGDEMRQ L198_06981 MTEQHGDDVPPPSAPRQPETGRKRTRQVLVCMRCKSLKLKCDLE KPCASCKRANLKDGCVYKPWPSEDDIRPAKRKSRARRGSLSAHSSEHRAELHSPLGDR MEQLENTVADLVSQLHSQHSPRPALAPPAPSETQWRHLLDMLPPRDICEDLLDRFELF DIMFRSTHMPSFRRRATCILPGALSIGVRCPPSRSAVDLASPTIFPSHLDDLYRRLVS FTENKSDTKHITLDYVHALSLEGWRSMYDNDMTVAQSWILSGKVANAALFLKLDRDPS ELGQAMETFEAEMRRRLWWQVVISDTFVTKYLDIPKSMISLIATSTRMPAAIPDISLE PPNPSLESGIPEWSYINSKIAFTSVLARLGEIKQSHGTVLPREDLRQCISIIDTYEQN LEPHLRANRGAVRLEPPWVYAQACVVSMGSCNTVIQLCQQAMATRLPDDRAFALSLAV ERSRSLVTTARAYVDHRLFRWPDCPPLNLWTFGSKVFNAGIMLACSLLSSPRDPGFNL DPDQRLELVDMAIGALAFSIQENQGETLNERALKTLRTWRSKVANGGQELGSGEGLLN PYTGQGVSILEGKTGAVAVEDVWKSFSWDLQYLELFDWSTWLASMMTDACSTTGD L198_06983 MSSPASSVPPHLNPPLIFSNLSPTSRSRPSPTGSPQQSASPRTS PRGGTTGMIDIEGLLRVNGGDCKKALEFVVSDRNNLQAQNSQLWKLIEKQRSQCASLA SDNDRLRHDRERANHKLVSAGLDPEGYVRKINTSSSATGLGGLANEFPKIRRNHSDRE DVVRLEKTVEDKESEGQRNKEGGKELSAPPESQQSSGLLPSPIPNRRAKRESRMVFPP EVSSYMALVDSPKEGKHNTSTPPTSSCADPSPQVVAPQAVASAESEGHEDYGIVPPPS SKAFAATMAAEPSQPSPKPIVPPVVTRGNSAESVATLPTPSRETSASSLKSPAESILS EMIASSISESMQSSASIDELSRPSLDSTVSAESPNHKTPRQSIDHTPQVHQQQKSVQQ EGPSSAPRLSPALLPHARITIPSSTVFPNTSGRDVLCFIVEIVIRAPNAQPVTWNVAK LFSAFLDLDTRIKVSSNKNRKEWKQMVMPLPEGRSWKDFAPSKIDQRKAALEAYLQSL LVAPISDKTDLCHFLSTDPVQAKRHDSRKEGYLTKKGKTLGGWKTRYFVLDGPVMEYF ESRGGNHLGSITITNAQIGRQNRPIDSSDERDFRHAFLVIEAGKKGTSHRHVLCAESD VERDRWIEILVKHVDPEPAPAPAPASAPDPVFQPQQPHQPPSQHAPASVTPPQAPAQP QQQGQQLRRKASQLRKHSKDGVVITNAQPLSGFANNAKFAGAPSPSMFNSMETQRALT QSPVSSAPTQTFPVPHVHGARDQASVSQPSRSNSSQEPPQQQKSTEKAFSASAPPSET ITSGPIVIEPTSKASKRQSVMPGKAPGFLTTSSQQSLGAPAPSPTGEKDRDRKAKSRM FWGFGKTPEKIARPVFAVPLTDSLAIASVANLPAIVFRCIEYLEAKKAEDEEGIYRLS GSSAVIKGLKERYDAEGDVNLLAVDEYWDPHAIAGLLKTFLRDLPTSLLTRELHTRFL AVMDLVDSSARISEIGRLVTELPPPNYALLRALTAHLILVVQNAGTNKMTLRNIGIVF SPTLGIPAGIFSELISNFGPIFDDEGEALDTRAPVGESGSATAGAGLSAFEDAEETVK RKRNSMLYQAGGADTMLGLTGRQLDSTEEDSSESDDDLEPEEPSDTSVAHSSLPSSAA ASSEPSYPSAAAVRKAKAAARGLAVDTQSNKENEHAMTANSVVSGKTPDSNALLSPRP RTSGPETQQG L198_06984 MAPLLTEEESAIDPYAILGLDVDATAKDVQKAYRKKSLLYHPDK NPAPEAIATFRQVSLAVGILKDQNKKSYLDTRLEDDRKKKARYAEMDKKRKKMVDDLV EREEQAKKARVEQQHRRRQEAEEESIKDAGKRMLEEAQKKAMAAAAATVAPSPAPTPS TEKAASNGESGPDITPECLTLIFILPSTSTVSQPQLLKSLTTSYGAVNHLILPDPLPS TPAILVEGTKKKKTKSRKAVVEFAKGNWGGCYSCCRDVEDGKVGKLGVEGVKVKWAVG EAPSWVAWAETQLPSRRKPSDATAASFSFPLPSPSSAATPPSFTSAPVFSPSTSMADL LASHAKERSSKDDVRRRREEAESMTLSRMRMMEREKLEAEIKRQEEED L198_06985 MSLPPARSAAVQIVRPPSPSSEKAKDRDKKPSPEKRDAPQRICR NVMIYGHCKYQDSGCIYYHPPPGVDPTASQNGSPVAKNASPSPAAPKLLSKDKASGIS AEHLAAPVFVPKFESSSPRLGTPVSAAAATSTPPAWPPLPSQGGLSGLPTFQPDSAPF TPQASLPVTQAPSSAGVTPNAMTYDESLSIIDPNAGLDQSGLYLHPRQPLDQHLFAST MPSLSSNPLHPAHPHAFFVDDEIRRTLQTRQEAVYVGANGGSAPGLPQELGVYHSLVP LPLTSKNPVSSGQNPTSKVYGLSAPVYRATSEVDGSTYCLRRLEGYKLVSEMAFGAID TWRRMRHPNIVGLREAFTTKGFGDNSLVVVYDYHPSATSLHDEYIATGMSSQDPTKRR GPIPERILWSYITQIANALKAIHSSGLAVRHLDASKVLLTGKNRVRLNGCGLWDVLAF DQQTPISVFQQEDLMQFGKLIVSLASDLFQPSMPQQSLPAAVDHISRQYSPDMRDLVL WLITKPPGSGSTGKTIDEVIKMMGPRILNELDAMQSYTDTLENELGSELSNGRIARLL TKLGFINERAEFELDPRWSDTGDRYILKLFRDYVFHSIGVDGKPILDLSHVLVCLNKL DAGLDERIMLVSRDDQSCLVVSYREIKHCIEAAFNELRSSNDPHRVHR L198_06986 MSNDAHNAVLMPSEALPENAVHIKGPDFNNAIDLEALLKGYETI GFQATGLSRAVQIIEEMRQRRKDPEQPLTLFLGYTSNLISSGLREILKFLAQNKLVDC FVTTAGGVEEDFIKCLGSTVLGDFHLDGASLRKRGLNRIGNLLVPNSNYCAFEDWVVP ILDKMVEEQEQQGTQWSPSSIIHRLGKEIDNEESVYYWCYKNDIPVFCPALTDGSLGD MMYFHTYKTSPAQLSVDIVADIRKLNDMSVKAKQAGAIILGGGVCKHQIANAMLFRNG ADYAVYINTGQEYDGSDSGARPDEAVSWGKIKAGAESVKVYADATLVFPLVVAATFGK AHWAEQAKKAEQAKEEGASA L198_06987 MSATATVASVASAIASTAASAYFDDFAANSTTSGHGSFAKSATS SKSIKRRARPFSRNYQVWMWCTVCAVIGLLAIYYAFQLLQRWRRQRKAPLSQEEAARK RLKPWAATVAGARNWMVATGFPSWLYAPETVADALWTCAYLVVALALTFYQADTWPTA RSKQTVANVFGIMAFGQMPLIMFLVMKNNPISLLTGIPYQNLNYLHRAGTRCIFLWSW THVGISTIRINLGRDSWSTTYIIWGWVAISSFTLLWLSSFAIIRRRMHQFFFTCHIIF ALLYMVSAYLHWGRMGKWIYMCFIIWGFDRAARWVRTIWTNRLWTAGRGECTIEVLEG DCMRLTFKRYGFTWKAGQHMFVSAPRVSMGPVETHPFTIANAPNDEHEVVVLPRVYGG FTSDLLKAVSSSSDKTIRCYIDGPYGSPHSFASYDHVLMVSGGTGIAPFTAQMLELVN GKKHARTRLVRLVWIVRDASAISWVSPILNQAAELLKANSGNIQLVFDVYVTRGSIPV FRNTSTDGFSFASGSSQPEKRGHEREALGTACTDNTLVDGHYTEKSGQTTPTKSGMST PTEGDHDAIVHLPAAPKELSSTLADEPSHHSIRKLLSPEAMSFVHFHKGRPDLKTIIE KDVGTCPEAMAYGVCGPFGLMETCRTALKQASNFSTALKGQTPIDFFEETLGQ L198_06988 MPPPSARSACPVLAPSTSTHLGKRPSSSTDIDDPAHSRKTQSAS SLKLQLSSLERENLRHKSNESKLQVQLEEQRIEIERLKEERYGLYEAKVDEVNRGEEK EKRWGEERRRYADEVALFRQRNTALANELEELRSQHTLLYGKHTSLSSSTSNEINLLQ ARIVEVEKERESLKGWERRARGLSIELEEERRRRIEGGEKESVPSRPDETLSNEVKRQ SLNLSTIYRANETLKSELVELRSHRKTIESVERAHKETEQSLGEEIKVLQEQLERARR DMDSLTQTFPSADDTAQLTQLRTRLSALSTLHTQAASELAQRECTIRDLRTRLADLAE SSRATVGEISSRLQETERELRWAVEGRQSAERRETLIRQEVDTLRSSGAGSGVDAAEM DRLLQTYRDMVETMQRDSRLVEEKVVRGQGLVQAHELANAQERISQLESDVVEFDKTI EELTTANTRLDAEVSSLMCRVASGEFNPVTERCLELRNNPEAKIQFIRQQELTALRQE NDELLERLAELDGILSQQGMSGDVKEGMVPRSSYERLKKDQEDIGRAHEKRLTRLKEV FAAKTLEFLEAVYSVLGWRIKFEESGSDIRLTSMYAPKGKSGLTIRMTSREGHFGSMR MSGMMARSLEEARHFWVVERQSVPGFLAQVTIEMFEKTTMGRAAGYVGLE L198_06989 MAQQRKTVGNSSQAACKQYAAQMKKDVLGADEEQKAMRKKEGVM SIQALPAAVVHRSSKSTAKAFQAKLWELPDKMTLYPSDQNLEQVLDEALSQVKSSWSA LDGALLMKKTGSRPDLQNTNSTGPNKCTENWQLFFCQVQLPSN L198_06990 MLWGLVQDVAAQETRRRSWEGCRACDFIDQLVDPLPPVNPQASL PAPSPDRRQTRCGLLKGPVVAQRSRKSQALRRRLQRVQLPRGLEAAKEGQAGREAGRA RRKRKQGE L198_06991 MRATHHDPTPLNLTPSLSHTLPPTPPARTCPSAPQVSESVQASI PAGYERWFKTWRGRTIKKVVGGGGWRCDELELERQESEYHVLRIVPFPAAVFKALNLP SSYGQPRVLEVGTGTGIWAINMADMFPHAEVIGLDTAPIQSHYAPKNCDFDITSSPTP PYSPTSFTLIHLPSPASQPGHPWLKTVGDLNVFYGMLRPRGWVGVGDWVGRPFVRRAS RPFEATEMRRGKGGMEVEEEREEEEEEEVPVGTQAWYDAYEKSLRAMGHSFDMDRLET KLLSTEYDRREVVVPIGAHGGKQASVGRLQLLNMRAFVESVRFVLAEYGRYSDAEIEV LSDAYLRDMEVNRMFMRYRSLWIMKPSFQ L198_06992 MGSSQSQPSRTSPPHLPAPPRRRPNNPLSSIRRLSTLGRRGASP VASTSTSHGEPMQVERTTSSGGGKRSRQGSTAEGHTNETERKKARVGEAEAGSSEDVS MADANEYSTPQPAEPYSQPGLAPPLSTAGGAPMSPTGSHDSLTEERMSTIDTIRSTLG PDWPLPDTPSAAEVERLTRRQLANRTEQPSSFSSGPSHPPPTGSSSSGGESVLRTHDS TDPHVAEARASLQRATAQAQLLADRIATLSQQFNRIPSPSSSRPSSSSSRPSSLSQAP TPDQGPAPAPAVGSSREGMMRHLHRRLNDAQSQLRDTERQLDATRERLDTGGARRRRV PTGAVLVIQGLAQTQGVGEDAPGSGNEDVEDDFLRDTAMDEDTPEQARPRERRASEGD SRRPSGSREERQDASLESQARMIGGLLTVAAAATATTLLAPGGNRPSLASALAPPRPS PSSTLESLLNRIRPNRQEAAERQEVEERERERAQSQEASLGTYLRNVLRDNRVGSISS SSSPSTTATSPNPPTQASEPTSTSESTASTHESAEEAEEAISSGFQRFLEDLQVDLAV AVREFAGPLPAAPNVEASEGTGPQDTAEASMDIDQEPVHDTSSTPPNPTSPPPPPSSE PPIPSFHPQLAQNQPPTSSSSSSSTRPTAPTVPTAPQAVTGGYDGVPRRMNFFRAHMF PAIDAYTARAVEGPLRGGAGAQPSGQGEQSPSSAASPNNPQNASSEGQAESLSNANAQ EPAQPQPEPLIPTIFIGVRNIRHDASMSTEDLVSHPNFPFVNGEVPARDVSAEAGSIL REGEGGSVGEETVHAAEEEGEEDGSVGGRDEGRRTRASSAPPARQSLRERIFSHLRRP HIIPVSSSASPNTSHSTSNTPATINTYLIYIIGANYPPAHPILRMPSLVHGGEMSDEE LRLVGEILGPVKAPTVAKGDIEKSGLRVVKGGDMKKEAEEGRVLESCAERCLVCLSDY EPEEDCRILACRHAFHQDCVDHWLTQGRNSCPACRAEAVETKPSWSAQEATAPQAPEV PLAAAAGTSASTTTTSTLDELD L198_06993 MPLKEVHREYPLIDADPHFKRVVSYMRPSDYGVWAGATAAGPGL LYLYERVAPTLSNPASLKGALRVSGVLGFMAGFMLSYQNSSLRFWGWRENAAEVARDQ AELSQLAKEGKPLYGESQLNPYLQGVAARNSMWSQLKLAAFPW L198_06994 MAPQGLVISKLGVQASASGTDVTGLIALKISLPKDMGTKSGARW MIFNSTATPPAITSRPSIHPLPLPPPLSSSSRELSTACNLLSIQPAPLYPPSPQGGTE PYIDVSSTTGKVYVVVDPASGAAKQRRGSFKGKGAEDGRRDWLVILPFSQPLEKEKDG LSKVLLPLPKCLDNVIRFRILSPDGERKDVSEVSILTDPKLLALPEGTFGEEGDESFD TVDEDEGSSWLEGRFQSTDALRLEWSFTPSLIDPTTPSLFIAPTLHPYPQIALQFSAC ASTPESITSLEAQIPTGWVWETLEIEGDGLAYWRGVDNDWGTVDDEEDTLDYSQDDSF ATVRPTTKPRPTLPPSLRPAGNTYLPPPASGSSVSLMRQTLPTAEELGEFSFEMSGEQ SPVPKRPNTPLGAVGSPAPSTPGGKSRLMASAGREGDGERIARGGRLFDLYWQAGSEE RGFVLTGILVPEPLTLVPATLPQPVPLFTFLSPSPPSTYNIQCPHASYPSSQKPESTS DSQLVSLSHQTAGTFTWHDGAQLSALRAREAKTKVGDVKIRLQRDVWGMLRMNVLFSL SSRQEQRGFRLSATDADKARIVRASVDGLAVPRCILPSSEGVEVRIGRKEGGGRGEGG GLAEIVLEIGGGGTEVGLPVFEGEGEGRVELIGEGWQGVQFTKSKSLNALSAATFAYP ISTPNPPTITLSPPPSTLTLSSSPLRTLFSLSTLYHLFILWLLLSMGSQVQRLRNEVA FVAGEARDLRGGYGWYTGTGGERKEGERVELEGWREGDVGDAGARIASDPSPSSPSPS SPSATEKLPSPPTDIFAPAPVFTSVRVWEEWPKRWARHPTVRTLGKGVKWIWEAVVWL VLPPGA L198_06995 MSPRPPASFLRLYPSTKTKEELRAVLADAGCGSPNQTDKDGLIS LILDNADVPLPASWDYRGSRYHTFSFSSPPPPPPTSEQSMAQLNQTIMALFMKVDQQR EYIDQRFREPIPAMPTSSVPVPSSSVPEPSVVVPSLPSAHCNGRRSKSRAAGNPFHQV PEGSDAEDAEARNRASVRREEPEFRDGIQITCSAEQTGSWQGSFTLRPPASRSNFTRQ EWIEKVEAEGGTSWLDGSPTSMEFDVDMRLFPVGQERRSNMIALVRSGTYTWLHL L198_06996 MPGAIGQRPPTADDRDNVVSAFSDDSSVLSDASHHDRPTSSRHS LRAEAEDKSDSRDTPSVAGERTHFMGRGDDAAPEVQLPRLAAAANMNIDSPLISIVPD PVVTAGPSSLGNAQYPFDPSSYDDGIGSSEDEQPPVIALRSPGSLQLDTTTGRLYEPY TFRFSSEQTGNGHSDLHLRAPPQRSYQSHHDWIAEIERLGGVSREYLGPRMSLDDAIA TYITPGHDDRQATLRQMITSSLEQSWQSGRRSFNFARDGDA L198_06997 MSIDLSTFPPNSSHVGNPQDDPDALAMCYGPKHLDLTASKESVA DWAGSGKILFQGDVVNVVTFKDGTSTVLCTDCGIASVGFGLQVEELEPEDRVSGMVTR EDMETASIYKDYKKTFGETVSVQMGTITPEGDFSSFFRGNPEFVVDKKTMTDSVTVLN DYEEFLDSQEYDMSTVEKAREWAEEWDDDSPSEKGDRDKAPTS L198_06998 MPAPTEEQQQTFQRISTHCGFAPSLAPAIRIVEMDDIPEQDEKG IRKVDGWSMSYEGVVTDEMTNLIGSIHGAAVVWLIDTLSSAAMIYLHTPTFWGPPMIG GVSLSIHTEYLSPAKLGSKFVVTVDIVKCSKSVASLRCEIRDMKTGRVVAIATHTKMW KPVPAAKAKL L198_06999 MSDPSKTPPEPCPLCPPSGPPQPPPPAADDPSASPSAEVDLVWI ACNKCDGWYHSACLFLSGEEWRGTVPSRVKEEVGVFGERGPWANWVDWIGKWYCAPCI SKSTDPSNPRPARNALHATLKRSGIQPKDVELASRPLKREAAFHDTKPLTKKARTSTS TSVGGKAESISTRATRTPETVISGVHGGSEVNGAGEAVGQGRPKRKAAQVTDYNNLHN SIATPTAKWLQIIANPEEYGRTILDADYPTLPGHLLTKEWLESLPPPTPKNPTPQAPP NIPSPSIFHGPDRTPLIIRPSDGGFSSLGGHLPSSITVRDIARLVGPKKQVDVIDVAS QQSASWPLEAWAEYLAPPETEEGVREREKERRKVYNIISLEISDTELAKLVKPPRIVR EIDWVDNFWDFGLGKKAKGKGKANAQAQEVKVEDGDGMGREEEDDIANAKRNSTVDYP KVQLYCLMGMKGAWTDWHIDFAASSVYYTIHSGAKVFFFIKPTEANLKAYAKWSGSYE EQSNTWLGDWVDHVYRVELRAGDTMIIPTGYIHAVYTPVDTIVFGGNFLHSYNLDTQL RLRQIEIDTKVPQRFRFPNFDRLCWYVAIKYLTLFRSLHPLRPPTSSSSSSSLSTPPP KVPHHTILQNLLHLTSFLNLQIKKLRDDGPGGVTEKTRKAIWERIPPELRMGGEAGMA EGLVRELGWRVTDELDALGLGSAGSAPQIIGSVAPQVEIKDDKSKKKEKEKEKKSNRV AKVFDRDPASRTWHFDPPPWQESISIPHTETRLVPLPLPLPTPLPIPSSANGNAAKAY YDPTPYLAEQTSSAVAQSRVRVRQMEGGVVVEEKQTTEFREWKTVWGRGGASGMGNGG GMGSGAGSGSGMTGPQGVWGWGGHWAGGHAGHAGHPGHPGHLGR L198_07000 MADYRAILDEEFEVLDSIFPDETERTSDTSLSIRIEPEEPNSAH PLTLNLVLTYPPTYPDAIPEIALESISEAEGEFTESERAKVLAELTTIAKSIGMAMSF TLASAAREALVVVIDERIKWEKEEDDRKTREYEEAEAARTRGTPLTPSAFQSWRLAFC RELADKRQKAEEARIRALPPREREDYKKRQARLSGKQLFESGNKAAVVEDDNLYEEGV EEIDLRKYTREEREEERRKEEEEEERRRRGLVEGDSDGE L198_07001 MQPPPTANIARHTTPPQVLHPSELDHIIDHDFAHLRLSSPHNKS TGRKSTPGGKKDDKGKGVIQQDKWKGKGKGKKKVWEGGECHLLNLPTDVLHVLLTRLP PRSLLRLSATCTALNAHCNNDTVWRHSYINQYFGVGVSRDAGRRKEVEILARGCTGIG ERGWKRESLERLRMLERWQISKSSIVVHTPPTGLIHSISLSYPPHTPAPSKGLTVGKT RNKLSPKLLPTDQPHHTRQKVDTVPPSVTRSPPYLLSASLFAGGVVRSDPITGKVSKG FWGPSRDSNFHLRPTIDPSHEPSSVYFPKRSGGFVIWGLKNGGVVWTNVQTRQSGQGG RGGRAVSLNVYSDPRVGHAGEVRDIWTGGQGEDGARFVSAGEDGLVKLWLLVVPPGTG KGKKNTFVEGSLECLFTSSPVPSLLPNRSEDVKRRQNGSPDAIVFARYTSHGDIVAGI TADGDLRVFFAASIAPKEVRLDLGSAEAEGEVKMMEMVSHISHIPEGGESVASVVVHR HRSSVVTRYDISSSGTVASTTFVSPSQAPISCVYTGLQADGGISLPKSGSLTPMLARI VSPSPSPSPVPTPGAMAGTAPPAISDLDLSLGSGSGPGLKSSEADKGQFILAGDENGL LHLWSWSADAHAHPDPGEKERKALKSWMVHESKITALDVSCSIFAVGTADGYIKILDP LPSPSPLSFNDDSNTHILRSFHASHLSPAETLIATTDEPDARWYTVNKVGVEDDMVVA AVGRKVFGWRAGVLRGKKGEGEGRRRGEGKGGVRGGAKALHQDYEDYDQALDSPHPLT HSLSQNRPTKPHELLEREAMEDIGLDDPEDALQYALMLSMEGPGASAFASRGEEDLSS EYEAGGAEGASGWDTDGMDEETAEAIRQVEAFERAERAEGEKRRKEKEAEDVEMERIL EAIERSERGI L198_07002 MSELTPAQSRLAALNRLKAKQKLASAPSSSASAPGQGVQYVNKR QPANVPTSARNMVEHQARAGDKHGEKGNGKGEEMPMRRDPGLGKYFEYDLSKMKNSRG GFLTEEDVTGDRIKSLKELAAEKERELRQIREGEEPAIVPGRSPKCADCGSLEIDHVF EKTFGVRVCRKCQKEKPEKYSLLTKTECKEDYLLTDPELRDEDLIPHLLRPNPYASNY SNMMLFMRAQVEKVAYDKWGGDEGLDKEWERREEFKKRKREEKFEAGLRDLRKRTRNN LYQRKQDAQHVHEYEDVEQVYDEEEGSTKVVQRCFGCGAEQEMEVL L198_07003 MSTTSNAYGDASNETVDTKTKEYKIHPFYRSGNVHFITTDDVIF SCDIDRLAEMSSFFRDLSEIPQPPGKKATTTQMSGQDLHDQLAQLSTEEQGDIATHTD GAVIFPECGSDVLEPWLNLILLAG L198_07004 MPTTSNADGDTANETVDTKTKKEYKIHPFYRSGNVHFITTDDVI FSCDIDRLASVFVDDKVLGKKVLEFITNDSFFAEGFHGWINRLSGSWGLAVYQAVFKK EPDIECSTRCNCGRLPPYMKPRCYINYDGWKRDLSVSLFGDVKL L198_07005 MSTTSNADGDAADANETVDTKTKKAYKIHPFYRYGNVHFITTDD VLFSCHLDSLTEISSFFRDLSEIPQPPGKKATTTRMSGQDLHDQLAQLSIEEHEDIAK HTDAAVVFPECGSEALEPWLNLILLAEMSSVNLNITLEGCQQLYVLVDKYGCGERVVE SLRSQILNLVEKLSAIDIFIFASQVDDKILGKKVLEFITNDSLFERGFHPRIQRLSCH WGLAVYQAVFKVEPNIKPSIWCSASHEANHQGLQVTGVDPLCYINAYGWKLALSVSWF GDVKL L198_07006 MDECGFNLGAKCLERRCRREAPTPKNAQKSPTSPTSDHMSVITF IGTTLRSRSRTHLLSSIKGQKLMETWFRVDKEVGAAGPANADSGFLQHLSFMKKVAFW RAFDPSYPPSCRQRFVILLRVLIMDGHESSHYGRLLSKACWERKHQRAHICQAKTQWQ VPANYWT L198_07007 MSDVEMASASAKIIDGTAIAKNIRTSISKSIAELQATNPTFHQP HLVIFQLGSNPASATYIRMKLKAAEESGMTVEHIKIPSDAESGALKGSGVRAVLEAVK KANQDEKVSGILVQLPLEGAGKQEEKSVVDAVDVSKDVDGFHPENIGLLSSRISEPYF TPCTPAGAIKLIESTGFKLAGSNVVVLGRSDIVGTPVCALLRKKDATVTQCHSRTQDI ESIVKRADVVVAAIGQAEFVKGEWLKEGAIVIDVGTNYIPDASKKSGQRLVGDVHFES ASKVASFITPVPGGVGPMTVAELMNNTFQAAKRAYTSRRAKQLTPLALELKENVPSDI EIAVAQTPKPVADIADEIGVHPDEVESYGRYKAKIELSVLDRLKDRLDGKYIVVAGIT PTPLGEGKSTTTIGLAQALGAHLQKNAIACVRQPSQGPTFGIKGGAAGGGYSQVIPMT EFNLHLTGDIHAITAANNLLAAAIDARMFHESTQTDKGLFTRLCPAKKGVRTFSKPML ARLHKLGIDKTNPNDLTEAEAAKFARLDIDPSTLTWNRVLDTNDRYLRKITVGQAPTE KGLTRETAFDIAVASECMAVLALSKDLADMRQRLGRMVVASSKAGDPVTAEDIGCAGA MAVLMKDAIKPTIMQTLEGTPVFVHAGPFANIAHGNSSIIADRIALKLAGVEKGDEEG RSGYVITEAGFGADIGMEKFCNIKTRVSGLKPNAVVLVATIRALKMHGGGPAVTPGKP LDAVYVEENLELLEKGCANLGKHIENAKKFGLKVVVAINKFSNDTDAEMALVQKYALN VGADYAVPADHWARGGLGAIDLANAVIDACASPSTFDFLYDLNQPLVKKMEIIAKEMY GADGIKLSEEAEKEVERYEAQGYGGLPICMAKTALSLSDDPSKKGVPTGFTLPIRNVR LSAGASFVYPLVGDMSTMPGLTTRPGFYDIDLNPETGDIEGLF L198_07008 MNGEPNYASRTGPPAPVTYPIATLNPLLAFPQSLSPRSNPHTPA SDPLDTGLAADTADSGTATGAWNVLPASELGHPANLDKPYPLTLVPFDIANPPQHEDS LVILPYDEIVFEDELRGYLVEEYKAGRIGEGVEYEDTFVLPYLRGFYLRLQAVKGLKA RRGERLQIFPTTFTTSVRLDLDIYMRPKDTVKGTSDGNDVEAKEARKRKGKEEQSASI VTSTAAKSYMPDVGLVGYNLEQIAEKTFRKPPREEPSTKRSSGAQISTGRSERDFILY SINHIKWSPMVQNVRDEAEKRYLDDINLRCARLDALFQTLYHLHNAYRVAGCRLAIAW ANEFFTRMVNVTGKCGPQTILVEASPKSMSMSSKRLPNRAADGLNLDDLITLVHDDDW EAPNSLIRDHDDWHYDEEAKYRLDATILMTLATTTHATTLGDVAVSGGSKRGREVDHD EYQESSLPFKRSRDVRQGVDDDSFVGPVDDSAYLPTQSQSESILRPPSRPASSPSVPS SASSPNRTDDTDSVTDEALLELEFPSEDWTHGDYAGALEKTGTKVLLVDPQEMDVLLA RAARQGWAEALKME L198_07009 MTNSPAQRLGSSSFSNSAASTSRSDKKKAVNLSDLGVSPNFVFL DPGKEDDEFAIDKMIVQVVMITPEGMDGIVAQAAKQGWATVLRIGDRHQADWAEGMSQ HI L198_07010 MPPRRARRGRPQTTPTGAPDAGPSDAPPIASTAAPPNPLLNLLQ SLVPSPSIDPSGASLAADAADIANVADSGTLLPTSGLGHPANRDKPYPLTLVPFDIAQ PPQHETSLVILPYDEIDVQDKLGGFWVEEYEAGNIQDEARYEDLFVITYLRSSCLDVD GFRARRSRRGNHHYVLYPTVFVRSVRLDQGYYIETDDYKTLPVVRSVIANAIRKKEAK AKRNAKKDNEGLPASSQLTSKTLGGLTPDVGLEGSYFTQSPEGGSSESSAHQLVNKIL YFVAEIKWGKMARRDLENWRKLDQAILQYACLEALFQAVYYLHMAFTVSRTRIAIALA NEFYTRLVNVTGEEGGPQRILVEAPPDVVAASRELESHADGMSPSDILKLAEDNASF L198_07011 MDESTANLDHDTDLAIQNVLRTALEDVQMLVIAHRLMTVCGLDK ILVLDHGKVMQYGTPWELSQKQGGFFRDLCKQSGEEAQLREMAKSVHDKKTA L198_07012 MHTPTSDPPGVSLAPDTADSGAEAFHMPPASELGHPANRDKPYP LTLVPFDIANPPQHEEFLMIRPYDDIVSRYKLRDYWVEVYEAGRIGEGVEYEDTFVLP YLRSFYQELEALKFLKARRGKLLQIFPTTFTTSFRLDLDIYIRPGDMVKDTLDGNDVE AKEARKRKGKEEQSVSIVTSTTAKSRMPDVGLVGQSSGAKISTGRSEREFILYSINHI KWSPMVQNVSDQAEKRHLDDINLRCALLDALFQTLYHLHNAYRVAGCRLAIAWANEFF TRMVNVTGKCGPQRILVEASPKSMAMSSKRLPNCAADGLNLDDLITLVHDDDWEAPNS LIRDHDDWHYDEEAKYRLDATILMTLATTTHATTLGDVAVSGGSKRGREVDHDEYQES SLPSKRSRYARQGVDDDSFVGPVDDSAYLPTQSQSENILRPPSRPASSPAVASSALSP NRTVATNSVIDEASLELEFPSEDWTHGDYAGALEKTGTKVLLVGPQEMDVLLARAARQ GWAEALKVE L198_07013 MSYPSWATTLADEPWSCDGVWTGIDFSPCFKERYLQNAPYALIA LSVLYLLATLPSRLSSSHKPPLSASTLVSPTNPSDLAKLESTVIFEALATNLLPTTPT SSTNKPTEKLAEGESEAIIEDFLSGASKRRDVSRWQGARFWVGLIGAVVWFEVELARA IVQGSWASIVFPAYISVIAIIPGSHITPLVTFHAILSLVLFRSLVISPDPSTLHIIAY AVEIVYWFALLGLPYHESLDRLLSGGKSARGGSNGSYGNQLPKHCEEPASAFSRGSYN FMLPLLFKHYFKPITLEEIPAIREDDSSAAAIGGFRAFQARKDGEWIKKHKQGARRKH IGVDLLWFFTPDVLWQVMYSILFIFFQYLPPTGLRLLLQFVKERETKPQPTHVAVLYV GMMVVGQCTSVIFLGQALALGRRIGVRIRAIIVSEVFVKALRRRDLAGDVKKTETKEG DEKKSDVAGEGKVANMVSVDAFTTGEVCAYSYYVFSCPIGIIVNCVLLYQTLGVASIA GIALLVLLMPVQYLVSKLYMYCQRMFMSATDARLEGVTEVISHVKLIKFNAWEGKFYE RMMDTRDNELKMLRKRFGATILFQVLVWSTPVIVTGAAFAVHTAWLGRPLTADTAFAS LILFNMLKDPLALSQDIITRLIQAYTSCTRIQAYLDEPDTLKYRQLSAPGPGDSQIGF KNATLGYLTVEEASKLGDDQADPFQLSNLNLSFPVGKVSLVCGPVGSGKTTLILGLLG ETLLLDGQVFMPDDHANRDICPVDPTTGLVDSIAYCAQTAWLVGASIRENIVFGSKWD KTRYDVVVKACALERDFEIFELGDETEVGEKGTTCSGGQKARIALARAFYSSAKTIVL DDVLSAVDAHTARHLYNHVLQGPLVQGRTVILVTHQVSLVAPAAELVVLLDNGGVAAS GTPSELQSSGQLELLDEEGSEKDVSASNAESSSSTAVEDEAVIEEQLDSPAEEERAEQ KKLVDAHASFGSPTPAPLGKDAKQSKQLVAAETSSQGTVEGKTYSLYFKAMGGLALWA VMFAFFFGSQFLQVATNAWIKEWANADDRAVSSTSPAASTVHHLVDQSTFALYQSFFT VRDTAQSAYTEVFERQHGTAYYLSIYWLISIFYLASIAGRVGITFVGALKASRGMYGR LLSRILGAKMRFFDSTPSGRIMNRLSKDMSSIDQETAEVLMYFVNSCLSAATILVVVT LSTPAAFIFALVLICFLYWVVGSLYVTTNREIKRIDSVTRSPIFISFSEVLVGMSTIR SYGDSARFMRKLFHELDQNTRCFWYLWQCNRVLNNWSNYVGALVTIFASLFALKNEKM TAGAVGLSVGYALSFTEYVLWVVRMYAAVEMSMNSVERVGEYLDLEVEEEPDAKGREP PAYWPASDGSIVVENLTCRYAPQLDPVLRDVSFTIGPKEKIGVCGRTGSGKSTLALSF FRFLFQESGRILIDGEDISKLSLHTLRSRLTILPQEAQLFSGTVRDNLDPFDQHSDSD IWEALAQCGLVGKSRYPSRVASRIGSRADLTSVAQATGGEADSLAKPVLKKKLEDVKD GQGDGEGEEEERVVIKSLEENVAVGGKNFSQGQRQLLALARGLLKLRNSSFLIMDEST ANLDHATDATIQNVLRTALEDVQMLVIAHRLMTVCGLDKILVLDHGKVMEYGTPWELL QKEGGFFRDLCKQSGEEAQLWEMAKSVHDKKTTRIESQM L198_07014 METAGICEDYKKAFERVVSSRVGTLDPTGDFYPVLEGNPEFQID REAMAETKTTVFEEYQTFVDDAPINQVAISRAAAWEVEWSESHPSLDGQQRYESSGEE AVE L198_07015 MHLDLSAPKDSVADWVGSGEPLHQGDIISLITFKDGTSTILHNE CGGSAIRFGLEECEREAREQVIGHITREAMETAGIYEEYKTTFGETVSVRIGALDPTG QFYPIMEGDDEFRFDRDTNTNETLITDFRQFIGSLTLDSVAESRATAWEEEWEALQPS ESEYDSSEYDSSEHERGRE L198_07016 MSLDLSQFPPNSRYGNFSNDYTGHMCYCPMHLDLSAPKSSVGEW VGSGKPLFPGDPVQLVTFEDGKSTFLCGGCAVSAVGCSTGDPDENESALGTVTRNTME TAGIYEDYKNTF L198_07017 MSLDLSTFPPNSRHGNFSNAYTGHMCYCPMHLDLTAPKSSVGEW VGSGKPLFPGDPVQLVTFEDGKSTFLCAGCAVSAVGCSTGDPDENEWAVGTVTRNTME TAGIYEDYKNTFKKAVSVQSGAMDPDGEICSIWVEATPFKIDRDTMTDPDTVSRKYAE FAQLQTVDESKASLADEWVDQY L198_07018 MASLQGADVPEHFALPALPEIKGVDPTRSVVEAFRIAAAKVVAD AWEEDIEKIFPAVELGKKGADLSVAVVRFKRGKPADILPWVNKITESFQPSVFFSKVS SPDGKFLWFTFNRDAFGYHILRQINLTSAAALAKPEEPTLAYGTTTEGAGKHLVVDFS SPNIAKPFHAGHLRSTIIGTVISNLYEANGWKVTRLNYLGDWGTQYGLLSIGFDKYGN EEELQKDAIKHLFEVYVKINNAKAEQKEKIDAGETIPDEENIHLLSKKVFKDMEDGEP KAIAQWARFRDLSIEKLKGTYEKLNVKFDVYWGESQVSNESMERAVKIVQDKGLTCED RGALLVDLVKFKMDKAIVRKGADGTSIYLTRDLGGAYDKYQKYAFDKHIYVVQAAQTL HFNQLFKTLELMGEPYADKLEHVTFGLVKGMSTRKGTVVFLEDIIQEATTTMHDQMKS NEAKYAQVENPEETSAIIGTSAVKIQDMAGRRINDYDFNMSRCTSFEGDFGPFIQYSH VRLCSVQRKNPNVPLPTSINDINVSLLNDPKILDILMQLALYPTALRNAYVQSEPSQL VTWCFRLSHLVGAAWETVRVTGEEEEVAKARLFFYITTREVLASAMRLLSLTPIERM L198_07019 MTPYLHNIHGSSKSPSTTHSTYAPLPRPLSTTPPSSSHHSYHSY HSRSSEAPNTPPQNPNSRMPIPSHPTHLAQAHLDAYPPPSSSSSRGGGGGGGQHATKH VPKPAPERVWMDTKWILEHPNPKSAGEVRKYYAGVDGGWTGGVWPGYGGRGRGMYPEA VGRGGIHPGVGFVGGYGMGRTPYTMPWYHG L198_07020 MSPQIYQGGPPRLLPRPRTPPREGLPPVIPTHPNSLVRLPTLTH LSQTHHDAYPPKPPAPPPDPSSRHLYPQPFPDPAPFTTKEVVINPPAKEDKQVRAFWN GMRERGYGVGVGVGGMYAGRWHPCIGVVGPGGVGAVGYGQVYSYQPPMMYGGYYGGGG GGYGRPGPGFDGGFGFG L198_07021 MVSSQPFPEERIHINVRFHPPPSCHSSHPADHNRTRQPRSPPPS SKPQHVHAHHNPRTMLTRPELPPRSELPSDHPKNPNSRVRLPERPHLSQYWHDAYPPA PLDDDTVLPKPPSKIKYMNTEWQKNNPNPKSRDAVKAYYGGGGMYPGMGVGGMYPGMG GMMGGMGGMGGMGGMGMGGMGMGYGGMGMGGYGMPRYGGAG L198_07022 MPPTLRGILTPLRLSFLTPFTPAAFRPLPAQSAFAFAPHNTRTT SITRRYLTTPSTHTPSTPTPSSPTTISPHLKIWKAAQVHEQEKEVLRTLAGWRGEELG ENWDISKLKTKEELYAELLECMGAASKEKVRVVIGELSLRATAEWLENMTEDKTLGRY AKVTWDCKGNRTGIIYNTDQMMVRRDESVFEEYMDQAGLAGEEKKEKVRELYHLYKEV CRQDEGHDRKNGTLFYSSRALAAGSSELEKGFGLLRQFDAKAGLLDDERFVDIDDILD L198_07023 MSLDLSTFPPNSRYGNFNNAYTGHMCYCPMHLDLSAPKSSVGEW VGSGKHLDPGSAVQLVTFDDGNSTFLCGGCGVSAVRCSKGDPDENEMVVGTVTRKTME TARIYEDYRNTFEKAVSVVPGYISPEGEILSFWVEATPFKIDRDTMTDPDTVSRTFSE FAQLQTVDKSNQSLAEEWWYQDWEKDSQAQELTR L198_07024 MSLDLSTFSPNSRHGNFSNAYTGHMCYCPMHLDLSAPKNSVGEW VGSGRPLTPGDPVQLVTFEDGKSTFLCGGCGVSAVRCSKGDPDDNEMVVGTVTRKTME TARIYEDYRNTFEKAVSVVPGYISPEGEIISYWVEATPFKIDRDTMTDPDTVSRTFSE FAQLQTVDKSNQSLAEEWWYQDWENDSQHKS L198_07025 MSLRGPSGKFSLAGFGRKVSGVDRPSSPGEHNSSGYEDEASLVR ESHGGAGFDGFGQKLQGKLAHNRLLPSLGHKDLRLLQDIISSEKGVLAGTEKLSSETA KASSALPPYGQQEGPDLQDILTQSSTLLNHLTTALTTFSGHTANMRASLKKVREREEG LMELKSRRKTTGTKAEGAERKLSKMGPENKALPQQTDLLERLRQEIRQLDQDILTEET KMGDFKRQAIKEALSYKFGGLEELGEKMCIIGELGKLLLEEVPLEETPTGYGRAPYTG YDKTESAVNEATKCLATVEFHAASHKPKPPGLPQPGFAAPLRTPTLPHSDSNHDEHER GIAAAADYGDYPANSPHSPPRDYLGDIPGVGQGREREATYALDNADPYGGIETNPYEH AGSANPYDEFGGTRQVVFDSPHHDQPTHFVAPIPPAVSSPAVDRDAETSGQGEVEHGY EYEQQKAMEADDAWRKLEAEEAAWRTQAEAEDSAAASGAVLPPVPSDSRPAPQISAGA PWEPLKLSRQETPDHASRSLHDGPSHTYQQPAASQVYDPAPALPNPHDNISPVSSELA PPPSAWNTRSGGTPTPSQGHSDAFHTPMASPQPEAVTQIPPVPDYTPEGEELAIPPPA QSVTPPGQTPTGQTPPSVVTSPRQYFPGQAIPSASGGKISKAAFRRGKPKTSLGPNDD ITSPSSVSSAGIAGHGAGGPSSAGAGAGYGEEEYQGVRRLPVPPVGTEAEALAREGDV SPPPVYQTEESLR L198_07026 MEQYLQNLRQQSESYPASSAGTASSREQRGPSTPAETATGECIT IRFNNQQTGSTTTPGFLPLYPPRSRQQSGESGYVWSVGITREGGRSVTDDRQKIPLSS AIEEWLEPADQGRRDTLNYLIEIDLQKSFATGQQDFDHTAQNDRGQ L198_07027 MFLGDIPAVLAGLTWVETVCIARARASRCCVKIKGHGSHQSKGN VVILPQAASELLRLLPLPASVIANEIVVIWVASQAEPLTVDKIPKKLLTVRRDKIIAA LQWLKENNPLYSDVSIDGNALTSYPEDGHLPMPCFNSLASASTEAEGAGYVPPATTPS QSSDASQPSEDVMVDVSGCVDSNSSGHHVDLRKVSALAAIKGGAQFLAYPSGSTPMQE YSAPTTFAALYPRLFPYGCGSFEDLPFIYSLFFFILIANLNGNIPYADTRK L198_07028 MQGKLKPGVRFVPESEQERAAVTLLRKLNIMSTNIFGSAGSHKD MREELRALLRHSGMPSLFVTLNPADAMNPIVGVFSGRDINLDERLGTGEGVSAEAQAR SRAAALDPGACAEGFHFMVEKFVDIFLAYDDPHRGIFGKCLHHYGVVEAQGRGALHML ICIL L198_07029 MSNRNEETVLARSYADPSAPFTEGPPDPSNPFFSSIIRGTQTSL YWNYNQQTESYTIKIPAFIGPKGSRGTFNYLEGESKAAHSTLLDEEWCSRVTWANGRS LKEEVEKRFPSLSERQTDAFMSEVETRLAALKHIVINSPTHPMGAEGISNSKE L198_07030 MAGHTNDIIEVAVSAVAANDGSGVPPLLCQVLYLGRESMKHSPG GGRRRYVQPLHTPSSYFFLSAVILHPNSLDYDFSCYLDGDVRVSGGPCPNMLLVGLRA CAKWISDRLADSGLTDVERQEGEDVMRAHRQRSRGWPAMVPLNMTMSHIYHFRPKPST ASLIINELGLILGISLFQTGYLFKAYFTSDRIDQHPLPSLVGLCPTCWNGTLCWQRSS GPVSKPFLLGWEVGNAAKPIESRASVGKPRPLHIIDTDPPSSVFTADTVLGHSRLAAA AVVSVKDQCKALVGIPSHLASCGEAFDQRLCHAFEPGVLQHPCPGLSKNMRKAERKVD RHTDQARDEAWETDSSEEGDGDQKQ L198_07031 MDYAQHQLNMLAHHLPSQMVPNTQQQPAPTTVMTKPERYYLGGA YLRPSYPGMGLGPMYIGRYHPNIGYVPSNGMMMGGHGMSNWCPGGGMYGSFGMGYRGY GGVVDPMGTYPFGY L198_07032 MIPQDLARLQVSTHPSNPTPPSAYSPYLPTPPPEPSSSLLPAAQ ATVFIPTPIHPQALAYARTRFAKVLASHEVDAEEGWARADGVVSRAARIGREQLEAGK GKNKMMGIGIVGVGYDSIDIECCKERCITVMNCPGANSQVVAELTLSLTLALLRRVPE LDRRLRQGEMMLSIDNLGRTLRGKKVGMVGMGATARRAADIFHHAFDCEIHIFSPTSP YTRWSTSDPSGPLPHTRHSSLASLLPLVDILTLHCPLTDATRNMISTQELRWMKPGSV MVNMSRGAVVDEVALKEELSKPELVLEEKEDGNGRKLWAAASDVFASEPIQKDQSNGL LDLPNFIGTPHIGGSTVEAQVDVCIQAIDQLADFFDGHQVRNRVC L198_07033 MPKAKQPIESESESEGSQEEEYEVEAILGHRKTRGNKLEYHVSW KNYGPNHNSWEPEANVIHAEELLKIYWDTQKKAESKEPELAPKKRGRPSKDSVRASSS RLSSSLVAREDNEQPAPKRPRTSAVNGRKRTIKDSSEEPEEEEPAANDPEYVDEYTAY MKMKDWENVVESVDTIDMDDDGKIIVLVTMTKGTKSVIPRDLAYERFPYKVLKFYESH LKFVSCTASRR L198_07034 MASGHSVGPGASISDSSFTDKGRGQEVRLSNMNAAKAVADAVRT SLGPKGMDKMIQTGTGEVVITNDGATILKHMAVLHPAARMLVELSQAQDIEAGDGTTS VVVLAGSLLAAAEKLLAQGIHPTTVAQSFQNAASKAVEYLQEMSTPVDLNDRDSLLRA AKTSLNSKIVSQYSSTLAPIAVTAVTRLATSASTNVDLRDIRIVKKVGGTIEDTELVE GLALNQLAMTNAGGPTRMEKAKIGLIQFQLSSPKPDMDNQIVVNDYRQMDKILKEERQ YLLNLCKRIKKTGCNVLLIQKSILRDAVTDLSLHFLAKLKIMVIKDIERDEIDFISKS TGAKPVADIEAFTEDKLGYAEHVEEVSQAGAKVVKVTGVRNPGKTVSVVCTGANELVL EESERSLHDALCVVRCLVKKRALIAGGGAPEIHISRLLTSHAHTLKGKEAYCFLAFAE ALEIIPTTLAENAGLNPIAIVTELRNKHAMGEKSAGINVKKGIISNILEENVVQPLLV STSAMELATETVALILRIDDIQFAR L198_07035 MRHSLLALFTPFPLAPLARVLTATPNHLPSPDPYLSARGSDVAV VDPSVFVPLANNFEITADTVTREYTFDITNAKASPDGFTRDMYAINGILPGPLIEGNQ GDTVVVHVNNYLDQGQGIHWHGLRQNGTGHMDGVPGITQCPIPANGGSYTYQFTLDKQ AGTYWYHSHFGNTMADGLGGPIVIHSPDEGVQAGGDYDEERIVWVTEWMHDDSETIIE GLKSSKGYRGSTASPQGDAVLVNGVGQTHCTNTDDPSCFSPSPPEIQVPTNSRIRVRF ISAAAHAMFRMSLDTHELTVVESDGTPVWGPTVHEVPLAPGERYSVIIDTNGKTEGDS VWLRATTALACMPGGKDQVGLAVLRYGSGSTGNEAVGGLPNTTAWGDLSAAQAPCRGL DQYERLSPRENIGADNNALTSQFLNSERGTFLDHDGNMFDGQGFNNISYQNQINDPLL SILQSGGSCNTSLVANATFPHIGPGNIIINNLDSGIGHPYHVHGNESQILARGEGKLT AEDVDDGKVGLGLDNPVRKDTLWIPGGQWALLRIITDNPGVHAVHCHIGWHLAEGKLA VVIVQPDAIKNKNFPSAWYNLCDGTNPDEFGPSRRTLSAPTTEPRSLFTPFTKIRNNL SLDGLKRRMILLRGEKEI L198_07036 MHGNSFQIIRRGTGRTDEAGLASANLTLDNPLRKDTFWLQRDSW VVLRLVTDNPGVWPMHCHIDWHMAEGKLAVVVVQPDALKSMDGPDSWSSLCAGTDSTY VGPA L198_07037 MSLLASARHIAPAHRLAPLLSRANSTTVSPLYPHAPVYSYAMGL SYAAKYSPPFISTKQEIKPYGLDYKQDDVGEWVREMLDLNAGRGDIRASLEEIKEVRR KYGAGEDFFGLTSARGDLHLAVSDGVGGWSETLDASLFPQLLCYYYTKAARDFAMSST GSVDPRSILKKAYEDALADDRANGGGATMVSARLDEDGQGVFSNLGDSGYMILRGDEI FEISKPQTHFFNCPKQLSKIPAEMRHEKIIHDTPDVADVKSFELKAGDTIALFTDGFS DNVPTSHIPSLSKLLNRILNDPANASLSPAERASERARLFADMLVGYGRSAMQRTGNE TGVNGWKTPFEEEAGVKEPKWKWKGGKYDDITVVTAVVTEVD L198_07038 MTSYATLNEDVLPGVHEVQPITAIEFEIPIGHPEATYEIEPGSR DDERFMSVLSCPPPDANAFQAEVTCFHSLSKRSSGEESLIQHKKDVYITKEPMTVISD LTSFKAMFDQAAYETAVNEVFVDSIESVAGSYLFMFFGQYPTIYPAL L198_07039 MTEQQVGDSREGLDERLPAGSIPGLFHQFSLEGSLCITDVKTHP EDGTYPTTEDSSGVVPREHRWSFGPYPGAAWSFQLQVPDFRILTPAGQEQLFDKLSEH RVHNHPRRMKVTLHNPMASFSTLNEDVRPHPYEFQPISALEFEIPIEHPEASYELQWN SDEDEPVMTGSRCAHMPLTIETDVTCFHTLPDDVTRTNRSLAQAKDVYLTKERMTIIS DLPSFKRLFDEVAHGVLEGEYPEKSTADSCDLPFSVMWSEKNQPSFQGDMTLVRLDNS GCEHLHKTTATHSPSRKSVTRPAAEDGYSFVTFVRDYPATLGAIEFMIPEES L198_07040 MVSSLQLSTSGEDTSKTSTTLPSFGDLMKSLQSPEEQHGLQNPS HAPHSHAHAHRREPYPTHGHHHHDIPPRSPSTPPDASRSFSQQQGPSPVSHHISHPHI PRGRPRSNTAPPVSNTHLSSPFSHHRHLANTEHCADRVRALKDGDGEWSIFDSVAPSV LPYHARPHLHSRASTPHSSSPSPSCETFSHMYAHHSNAASPPPCAMYRPYHSRTPSLS SQSYTSHSSPLSNGAPLTPKSIQSVYDDVELRGSCTRTPTPTYGLGIGSEKLGGEKEA REVKIPQLPKMR L198_07041 MLKSRTPLATHSRTLLHTTLATLSSPPGHRDLGIGVWEGPTVFT SRRPGSEPETPISISSQSSVNDSPITVSSSSPSPAVYRPRAVPRFNSPAARSAFTPPP LNSSPVPRGASNPARRIHPFFLQKRGAAPTPTKPRYVPDYTSTEAESESNASASQSSV YSTASSHRSTIHDHNNADLEDLTFGLRKMRVDAPPKTETTTRVTRSRRARNPLEKAGS MPNQSRAMSTMPLKGQTPPAEAGIAPPPPVQTLVPVFSLPADPDPSLPLFTYKDSSTP FTIKYTKSAVEADALVDTLKGNIIGFDMEWPIWGKKAWDPVERRYNPQQGKTALVQLC DEETVILIHLQNGMDLPSKVAALVRDPTKYKLGVQCKGDGMKLARDFPHHFPAGQGPT SLFELSWMAKAVDYGRIGERRGLIALATLTRGYLGKELDKNTNVRAGNWAGELNAKQR DYAANDVVAAIHIYNTLKNLAQERKINLHHDLYCSNLNFTQAPAQSLGPTQSLPANAF TSQASTSASMQSGPKTISMKPVPKNGASLAPTAAQLGGVKPPTPVRMAAFNAFVGGKT CEVIAKEKGVQQTTIEGYIVDALIILGVNSIPPEHLGRIWKEFTPKPWIYISRRPMYD HLTTVLGPHPQAEEIEEARKEREQEVKNREAEKSGASVAPAAPAPAPATVAASTPAPV TAPTTRPVAPAVPPIAPTGPTSIQIMPPSPKTRVDTASANNTAPAPALAPAPTPARVY PSPRVPYPTEAQLGFKPPTPALMAALNDFMSGKDCWTIAEEKGVQEKTIERYIVDAVC ILGIGYVQKSDLERLWTEFPSEMWAWRPYGVLYDAITAVLGPHPQLGEVEAARRRRDE RDASGETDRIRERRAAAKEEKASQAALEKEAKAEAGRAKGREVARNRAIARNRGSSYS AGSRRYSSGSSGSSSYGRTRPYSSSSSNSGPFSWRGGYRGRNNYGRRW L198_07042 MTLQPDLPAPALPTPETFPFPYPTPYGIQVDFMRTVFRAIEDGK IAIVESPTGTGKSLSLLSSTLTWLTQHTHRLDTHTETSLRARLQAEDPDDPAWVIEHT VKSRMAELRRERELREERLGKVRERERKMRVKEGMGAFRGGGKRVRVGYDGQVGSETK ELGEDDFLPEERDEPEDDGMYLSKEVRELMSKFEPVKSKQVVDEEEEEDVPKIYYTSR THTQLRQLTSELLKTTYGTAVSSPDEGNSSDSINISLVPLGSRKQLCINDKVRAMAKS GGDERLNEACLDMQKSGKARCEYLPAKADEVKLLDARDSILATVKDIEDIVIAGKKAC VCPYYATRRAVRSSQIVTLPYNLLLQKNAREALGINLKDQVIVIDEAHNLIDTLLSIY STSLTSSHLTTAISQLEQYLARFKSRLKSVHALWIQQVLTVLQGLVKVCDRFIADAKG TAKVEGGKPAFGKPKTEVLGANELMDRVGGGSDQVNPLELVKYLKESKLARKISGFSE HVAEKAALKESKTSRNAAARHASISSFHTVESFLLSLSDAKDDGRVILSLEDATKPAP VVTIKYVLLNPSERFREVVEEARSVVLAGGTMEPVSDFMNQLFPTIPRDRFSTLSCAH VIPKQNLLTQVVCSGPKKTEFEFKFGNRNDDNLFADLGASILSVVNMVPDGVVVFVPS YAFLDKVKTLWTKTGLLEKLEVKKQLFYEPQTSGDVETILRDYSLAISSSRRTGALLF AVVGGKLSEGINFSDGLGRCVIMVGLPFANVGSVELQERMKYVENIPGAARGGGGGRE LYENLCMRAVNQSIGRAIRHANDYATILLFDKRYATPRIRNKLPKWIGEDVKVEQTFG GVMKGVAAFFRDKRERGLA L198_07043 MMEDSNDGEEDEEEDWACVSRINFPECGHTAMVDRDLTGCILSW CLSEHSDAFEASNGASVRQLKELGYGQEWKTSLGRVTSANVEMGEMSDSGNFFNSFLG DPELVVNSSMSEEEVHSLVERFEETCGSQTSKRSS L198_07044 MDKVQFQLESTLPELKDLHEKGLFTKNEINEITRRRTAFESALI RRIQRKEDFFRYAQYEINLERLRRVRWRKLRYHINPPPPSASTYSIQRRTLYILKRAT SKFPQDLSVWLTYIQYASREGMRKVVGQGILVRAMQFHPTSSTLYLLQAYYHLHPASP FPDSILPSSSKSPLSLEEQDPDDVPIFAIEGISPARTALLMGLRLVPASPEIWTEYVK IELGWVEALRRRWKVLGINDINSKGKQVEEPEFEGDIDALRGGEGAFGEEGEEARKQI LSGQLVIHALTSALKAIKPEAVIEGKKVGGMWYRQTLLDLFRGYPSPLRAKCLGVVYN ELEEIATSVSEAASQARLLSISRRLFEKPYAEGEEPVKEGEYVLSGVELVEEFGKIGK NIRKSAKGAQSQQWIEVAGEWLIGRIEKHGDYPELRDYLLSLAGSLTKSSLHPPASYL IAHLHLLSSLSSPELLPTARAHSAQYPANATIQSVRLSSELSSASADNNDETRKMCET VARSVILSEDKEGVLAIWKTWASWENDVSSPEQLEKRWEYILKLSLKIGSTVVGLHDQ LLPAYILSLYENTSQGLDVISKSVLGRYRPSPMIFAQVFEALKSSERSPSPQEMQGFF QSWKALTRSPTDLFEVSAAHLTYLVETGKGAEGYKLYQTARREVGEGLEGQLESVWVG ILEKAKEEEEGSSGSGSESESGDEDEEMSEA L198_07045 MNRTTLSKALSRTPNLSRPSSSTSYAPPKKSTGRALSASTLRSL VSLHHSSAGFLHSPTELPVGFENAFRHTKPPAFETYEEFRRSVHATQALHPPGGMENL VEKGTVLDSCKAGVYTGTQKAFKRLPSAQGLVSETDGVKSSDRALSERQMRVKEAIYG TWERGGSGMNRAEPGLDGVLEYIEAKGKTVGEYAQEWEKRDAE L198_07046 MRLLGYLNPSANASSKRIASGNESALRNLQNGLLLVNGFAWVLR FLLSLITSRSLFPRIFPLILYILSTTATVFIWRWFVTIGSPKRGANGRGDVRVGDDLA GKGVVELAWDWVYMTWICTIGSALFGDRVWWLLALVPAFGAYKLFNTIRPFLAMFLPS LFGPKSPRAAQEAAQEPEEKESRKQAKLRARMEKGDKRVQQVQRR L198_07048 MAFPFCHLRGLARCAGESSRHPLGRRSSHVTFGSRYLTTRSDPP PPSQKPPAIHMNRSMKKPSSNVLRPPRVPTFDYKLNPQGSTHTKPKLVCTSDSIEIDD LLRTFGGNVLAVDIKYPSELVKERERGAVQGPNQGWYKQGRTTMVAIGGKNLILLVPI GPNDDIPDGIVRLLTTPSIFKVGGNILKTCMKIHRDFPHRFTPTSRPQKLLDLAGMAQ DIHPHNKTWLEGIRMTVSAMCQVYIGKALTKDPSTRMEDFWGGPVTEEKIDYAANHVH ATYIIFRKIQEMAFQRGLVLDPNRYLKAVELRFKRHRDSN L198_07049 MPVIFPNRSGAHRGFRHSFFSSDHASNTVYSSFIISKMIGNAQT ILDQMSMPETTVLLSLEDTKLDSITA L198_07050 MSIIPPDSPGSNHSFPGGSSLKPEKHDIDHLEDVDVEASRDQDL RSRNRNVSAKIQNPLAGLSKGKLLDLADQFTREHDFEEKQDLFRRAALVSQNPNEFEL IPELTEDDRYWLRREITNKWDQTRALYFLVIVCSIGSAIQGWDNTGANGANLSFPAEF GIVDNTWLVGMINAAPAITVGSVSAMLTDPINHYIGRRGTIFVTGLFCVFPVLGQAFA KNWWELFICRVLIGVGMGMKITTIPIMTAETAPAAIRGALVMSFQLWVAFGILAGFCS NLIFYRIGKNAWRVQLAAAFAPAVPLLFLIWFAPESPRWLMKKQRYPKAFKSFCRLRK SEIQAARDMFYAHCQLEEEREVFQGTTYFTRLADIFVKPRLRRANLASWVVMLSQQLC GINIMSFYSSTIFSEAGYNTRQCLLASFGFGLVNTIFALPAIWTIDTFGRRNLLLTTF PCMALMLFWAGSMFFLHESNSARVPVLALAIYLFTAFYSPGMGPVPFVYAAEAYPLTH RESGMSWAVQQNNMWSAVLGLTFPTMLAKFKPWGAFYFYGGTNLLAWTLVFFFTPETA QRTLEELDYVFAVPVPVFAKYQATTFLPWFIKRYVLWQKSAHLEPLYKLEGVASERTE VERFH L198_07051 MISRPEPTLLEQLEAAGVKVDTDSMDPAIAANLPFKAHDMTSNQ LLVQEQLINPDNKALVEKTIRELKGKSWFDVHTVLTARFAKRVFPHIQGRVLAQTTPS QGFNKQAIIDHARAYDKAYQAEGISRDRFCIKVPATTAGVQAAKVLNDEGIRTLGTSL FSLAQAIASSQAGMLSISPYYNEVRAHVENELWPDVADPATQHPMSFRMRHIRDTYDR LEKETGKVQPLIKSASFITAREAMAMVELGADHATILSGCMADLLSTTRLPVYAKGAE WQVRLSADTPNTQWADWTPPEPVASKARMAEMAKADPLSGLMQQDWKIASTDVDYLAD GVLDKLNEEDEVTKTRLRDALVLFQGGEKESQIEIERLQKIYV L198_07053 MAAACVASPSKHSHPAHYTYLSPVDLAPTTFASTPNLPARRTSK TAQDLPNPTPSYAALRSMHAYALKYVMARLRWDQSNNMYLPGQDGVWNHDQVIHELED ELKNVEDAQETLDQWPEVFAPVWFPEPHGPKTKEQDAEIKKLEERKEAIIDAKLHEAF PWIKQIFIGPKTKKQAANDKLLREQMRNGFFDNMTFLLPSENKRMALEHNQKKAAAPR KNYEQRRMEKERAKVAAYLEAHPQAPRQVAGTATSTPAPTSAKPIGPLTKDQALAALP KQGPASKDQVLHEVQRRQRQMILGWLHKPWNKYDEEASGLIEKLALMARDRLGEQKKA AERDGEKAGERASDEKAAETKKEAAEEVNKEVKVEAK L198_07054 MDRSILGASTAHREPLASRLPAPNTASAVRSSRSKSAQIAGIKG AAVYENDSGKATKGKSDIVKSGPGKDESLMTKKLKALEKRIECNAQVNRDRFTSLDDR LTQIHLQLHSQASLSSSTNSATLSTPTKANPGTGPSAVLEGMKSPPVPALQKKMDAYV EWERSMISGDITLSGIDDVQEEEDDGEAVQRGNEELEEMREKMTQQQNQIDALVAQNA QQTEQIAYLISHFSQSQQTIEALQFDMAVEQGKFADMESRLEAMVEDADDVRDASLLI DTAPEVDDMTVDEPDTFHKRKAEEEDDEIPSKRVSL L198_07056 MSEGKREEEEEDWAIPGGFSLGYGPSTFGSPTEDTTPAPSESRN EPDTAPLDRSANTGGGAESRVGSTQLTLSPTHWQEMRQACHTFIQEVEQQPEYDVAPT DETTKALAALNGTTPSNLNPTFSEQSVVAASHYDTWHPPFRSFERNGKPAFDYLTAKT LFSCKEEPNEVVWLAKYSVSVARLRSRRD L198_07057 MSEENPSREDDQQQDSYLGCLPDGVNLGFDVAAFPPSYSNSPAP FDPTSSSDPTTSSVAPTGAAFSQALSRSRRRPSGQHSPLSTPPRVSGEAERKTAFSEA SLLYARWHTSWSKPQELDRVMISAVSNCMTEPTEEEFFFKWDEANRKVESATELISKL PPPSTTEPEGGFF L198_07058 MAWKIVEDRPTPPEVYNWRLYSAALLIAWGAITFGYDGAFMGTT IARSSFNEYFQIDSLSASDYADVSSNITSCFQAAAFFGAAFSWALMETWGRKITLQVS TVIFIVGAILQTVPPKNLDYIYAGRSICGLAVGGITGTAVPAYISEQSVPSIRGRLTG LFEIAYQIGSLVGFWVNYGVSQHIDLASNVSWRLPMGIQLIPAGILMAGTFFLRESPL FYMKKDQDEKALEVLTYLRNLPADHPYIQEEISLYRERILHERAVVSGKPGLWGYLRG AGREVVLKGIRNRMALAFVMFMWQNYSGANAINYYSPTLFGSLGITDVNLYTGIYGLV KAIGSIIFYVYFIDTWGRRQPWMISSVACALCLTYVGVYVKVGHPSTRDVIDKSTKMG GTAATTMIMFYSVFWSFGANGLPWIITSEIYPLGLRGLCGAYAAMCQWLWQFVITKTT PKIFIAMGWGTWIFFAACLMGSAIWSYFFLPETKGLRLDEMDALFGFAGHKGSAFEHP DVYQAHDSKARKLERVEHREDVTKATELEEV L198_07059 MELGSTASFQQKAPLGPTPTSASATSSEALIKVLEEKIKKLSLK AAPQTEVIYTSVIRAREPEPFSGNPDKLEWFIFHTQLYFEADSDTFDSDQRKIIFTQP RPAFLLDYDLLIAQLRRKWGVPNVRGRGQRNRRGYRQTDVAYNI L198_07060 MSITHVTSASLQTSGGQTGGMDRRNALVGISDQLSGSLMISQPH TISAIHHHQSQDTIIYSISGRGALITLEDGIEVKREMNPGDWALIPAGVEHQEANVGE DEVHWVIVRGGRVPEVKNVEGWST L198_07061 MANLGVPVKLLHESLGHIITVELKTGEMYRGKLMEAEDTLNIAL REITVTARDGRVSQLEQVYIRGSMIRFIIVPDLLAQAPM L198_07062 MSNAALRPPVPPKFALPPQLLAHAADAYRQPAPPPSLPPAQNLM RHQDPSHDPQDKHRLQPNGAGVGVVRCPEQRPWQERQRHAGEKLARFQEWEVDHFVGD LSMVLRKEYDCWVEQCWQEAFHHVFTHTLPELIINLIMSGATPTFLRRNVVFGGQSLD HLFQSQMLHILFEELEHRLCGDRPHADPGPSKPSAPASKFSPFPFSAPPPPAPPIFRD GVCFHNLACDHGIPEEEDNHGACLCQLTTCMACFGHYAVYRRGPISLLPHELLNTPAA KGWLGGVETEAHARARQASLRRQTQTTQALPPGKGFVQMPKPQPPTATRTQNPDQNKV LPKPPQIFPHPQMTDVLAVEEHLRWRLKELGAGDKAVENRYGPSGNNPIALEGIGLPN VQEEVEEEPKPNTAPSKNIKMAKVKGKGKLRRVPVSTNNIKKDKDGKEVKKAIVYLPK EWTDVVASERNTATVLTFRHFVKLLHQIAMAASPFSHPDYPTDIEELERMHPVALYRR LAEPAVERRWGAEEAKGWRVCMDKWGEEFGGKERKKGNDASSGKDHSSAIKHYTRAIS LDPKKTVYYSNRAIAYNNLGLHGHAELDCTFLLSKDPKNAKALYQRALGRKGMGRWRE AEGDLEELMRWGESESARALLAQVREKSDGEVV L198_07063 MVYNGDEVSALVLDFGSYTTRAGYAGEDCPRVVCPSFYGYEARA EQGEDVAMTEPAAEGGAKGKGKGGKRKYHVGEDGVGVWRKGMEVGNFMLDGLVNDAEP AAALLHHILHDRLGVNPQEHPAMITEPAWNTPKARELLTEMIFEGEGMPALYFGSSGV LSAFAAGKPTALVLDVGYAQSSTIPVVEGYALRAGTMHQPLGSQLVVSQLHSHFSNPS PLRAERLSLLPRQLIQKREPTSAPGVIPKPLLREDRFPSTTDSWKHWAEQNTVEGWKE ACSEIVNCRGFDFRTAAEMPQVTYEFPDGYHQAFGEERYRFSEMLFDPDHYFNRQITP PTALRAPQTSTHTRSLTDIVPLSQLVHDSIMACDVDVRASLLQNIVVVGNTSLTRGLV ERLDMELGATLPSQKIKIHSPTIPFERKYASWVGGSILASLGTFHQLWVTKEEYEEHG MSVVNQRCK L198_07064 MAASRMALARALIEYDNDSDDEDTRKDWRQSAIFIPYHIAQQEA RRQQILSQPAPVLPSPLEATFPGDKTPTAPAHRAPLPLPAPQQVGDGEDIADVRDWGI PSHLVSAKAGLPPRQRVVSRPVPHPVPPHTRAQSQHASEHFHDDPQRTTHGDRRVSLD QYSSTGQNLERRERSRTLVGRPHSAMGLQNTMRPEVRDRRISNPVMIPLPSSPSPTTT LLPLPSLSLGFDTGLGDEEEVIDDGRPNPFAVAAPRPEMGSRFDPKVLQEQRRSIDST RSIVAPRPPSLALTLDGNQPQSSDYPRQSSDLSSRRSTDLPHLSRPSLDSYHSSPILD FPRGEEYDEIPTPQAFGRSLMPLRYAPSAVKRMNRNSLRPTILVMPSPLADAPSAGYE QKTRDGFVLGEKPLPADAKTQGRRPGIPLSLSQRTFRSSLMIDGRREDPEWIGGAEKD GEIGVERRDTSEAFLEKKAGKLYGQSLMDELEARKSNMKGRSRTFMGDSRPAMMSRSS MHIDSASLSPTSPLSATSKRPGSFHPGGRQPLLRINSNEEDIYALEAPGMNSRENKSQ SVFGVDHIWEREMVKLRQLQEQEARLQKANQEERRRKEEEEEGKKKKRKSRAKSKLSE EWSREDWEKVDDPAKQEPIVESLQETASFRESIKERDDNYYIAEGSVAGSPTPEEPVV EEDSDSDDSEANVPLSKLANKSASLRSPSVQNASGSASIIQSSASALVVEDDDSDEDV PLSRLARKSPSVSSSSQPRPPTTSEPLRLSLGSPTPSKSYSEEYGAEEDDLPLAIRQA QSKGLKPPTRAEVVEDDLPLGYKHADVVQRQMQKRNVNGVSAMSGMSGQGSMFGAPQM GMGSPYNSVWGMPQMPMGQMPMGMPYGQPMGYGMGIGMPSMGMMGQMGQMSVPNLAGP GMMAGDGNEGPAANIDSWRHEVPLGVGSARSGEGSV L198_07065 MGSSSTYSPKLKGRKMPYPPAYAADPEKGLPPSPSHIARRIFVP RKPKWVLGGIIIAAFILYYLAGPPAPPPRPGRGDGKGWGPGPWDDGAEESSSTSSTAP GKTSKWKDVVVDEHGNHFVRDWVQDIAPMHPDVSLLPKPSDIFPDLNVSYSELPYGTP FPEEDLRKITSDPPDLPAEPANTMPLDAWSQQWKAPQDWDNKKTEDIKRVQWEGFAGG RDRWESEKERKERVERKEAVKRGFRHAWEAYKAHAWGHDEVRPVSCTPSDPFNGWGAT IIDSLDTLLLMGFSEEYNLCRPHINQLKFQWVNGRDWSQGFVSDEINNGEVWAVQRDK VTGLPVFETGIRYLGGLLGAYDLSGDQLLLDRGIELAEILSTAFKTGSGLPTGRMDPG TPDEMIRLGTVSLAEVGSMSLELIRLAQITQDRKWFDLAQRAMDYIHERVIPRTVHNP LIPMWFQPDGPVNQQIHGGLTFGGLADSYYEYLIKTYKLLSANEVSKVWKDVYERSID KAKEVLYVDIDIVPDHDLLAVGKLESGRLIPELEHLTCFIGAMLGLGAKLLNRPGDMK DAERVTASCYWLSASTPTGLQPEVMEWFEPHQVGEMYENVTLNAERNHPLLYSSDDKK VRDGMHRDTSGVLRWNGDNDPVFYADRQDKESGPVEYAQRLKGTPAGAKKVSTRGLNR PETIESIFYMYRLTGDRKWQDKGWKMFTSWMNASTVDGGFSSLHDVTAKKIKFSDNME SFALAETFKYHFLLQSEPDVLSLDDYVLNTEAHPFLVNPKLDPTAHTSHTRMWTPPPN HQSEDYIASLGTRGSGTDVQKFARLEVLERMGSPFQGPPNAAGQGGRGMGGGGKPKPK PEPPKPPVMRFKPGEKPGGQKS L198_07066 MSDSAIHALAGSVGGSAAMALTYPLVNLSTRAAVQTKKKELTTR EAVAKVIKSEGVLGLYSGLTSSLFGIAVTNGVYYAFYEEMRSLLIRRRGNTSTSSTSA LTTTEGILAGLIAGAITTLTTNPIWTVQTAQATHATTARNASGAIETDVEGNAKKVKP SAITVVKEILEKDGLKGFWRGIGPALILVLNPVIQYTTFERLVSLLLGFRLAKQGATP TGKTALGRSSLSDWDLFFLGALSKLVATSGTYPYIVVKSRLQAATHKYKSSIKAVLHI LEAEGVNGLYAGLGLKLLQSVLTAAFMFVAQRRIYEFVKKLIVLSAERKKLVSKV L198_07067 MPLTTELTRLLHIKYPIVQGGMQWVGTPPMAAAVARAGGLGMLT ALTQPSPDALRDAIKETRRLIGGEKSGRFGVNITLLPSINPPDYAGYARAAMEEGIDI FETAGNNRQWSSSVPKRFVIHKCVTVKHALSGQKMGVDMLSIDGFECAGHPGEEDIGG VVLLARAAKELSIPYVASGGFADGRGLAAALALGASGINMGTRFMCTAESPIHQNIKE KIVASTERDTIHIFRRNTARVFKNAVSTEVVRLERRPEGAKFEDLRELVAGARGKQVY ETGDHDAGIWSAGIAVGLIDDIPTCSDLVKRIDREATEVIQRLHGMTEARAKL L198_07068 MSTPREPPPEDNFDDDDFPAAPGGKKRKVPAYGQLKSPDGSEHH HNPPDSSALSTSSKTAAAPAFPLKRKFARSFAYALCEFRKGLFLRRKAAFIALYIDAQ AAINATSTAAGATGPAAAAVAKYKASLPDVTNFEKLLPALEDVGVNSWTPDRPGWRED RAEEWRVRARKRKVGGKPVERKGWAPEGSFEFEKECKASTTLRSRVKEQGALLKLAYE LRGIVLATHKPTAVVKSTTVPPAEDKPPSKNKRKIDRKHEASAPIPASASRPASPAIS QTVSRDGDGKPKKKPKKKKRSVLANQSNPHHVDNYRPSRTVSPQGDPFEPYAYHFDLI SPPSMRFLATRPERVTAPLPGAPQKRTLVRPSEDDYVCCFCEYDLYYGTERARRRAIR SRKIELKRKEAIKAKAKNVAEGRGTFKDESEEEDDEDEDEEGCEDDGHGHCT L198_07069 MPASTDSKSGSIPSMEASGEALNDETVIIVLGASGDLAKKKTFP ALFALFAQGLLPANTHIVGYARTKMDEPEFQKREVQYIKGDEKKIKEFQELSSYVAGP YDEDSGYQNLLKHVEELENGRDTRNRVFYMALPPSVFTTVARGLKKNVYSEKGTNRII IEKPFGKDLDSCREMMSELKSEWAENETYRIDHYLGKEMVKNLLVLRFGNVFFDASFN KNYISNIQITFKEPFGTEGRGGYFDEFGIIRDVCQNHLMQAMSVLTMERPVSFSAEDI RDEKVKALRSIPPIEKKDVLLGQYVATGDKPGYLEDDTVPKGSVCPTFAAMTLFVNNP RWEGVPFIMKAGKALNEAKVEIRIQYKDATQGIFTDIPRDELVLRIQPDEAVYLKVNN KLPGFETRSVPVELDLTYKKRFTDAPIPQAYEALILDSLKGDHSNFVRDDELDVAWKI FTPILHWIDGKDGDAPKPEPYDYGSRGPKQIDAFTAKYGFKRASQNYQWPQTSANL L198_07070 MPPPYSFAAPVAYRISSTAPPPPPHYDNNSKRKRSAGLELLRSK PATKTTATSPLSPTFSLPPLLSPAQPNTSFASFPIPPEPPQEQYDQFATQRATLRHAK SIPHLLPLDLPPPPQEPRESKEKKRKYSSKWERGTLEAHLEGTGGKYGGELRIYNPDC TVFHHPLNTLVRHSPSIEDVTKVHHSVYGHLHTLSFRIPTVSSPEPADGLPSYALQRN ASKSRPGLMSNLFTRRRRGVTISLADSFAKNGNSSALGEYPDEPSASVLSVGSTVMSD QSETETNADTVVLLVFEDAESLGEWYLLLKSFTMKETPRTLRRLHVRILDLQETATPT EFPLQTASYGTQLGFGSFDIRSSKSSDALLPGGKGLKAGWAAKEHICVEMPRRITGFL SGLSLLFSKTSPAFPSVARMSICILRSNKSHPIATVPLSLVPNYSPTRDERYPVVSLS NEAVVGELRMAVSYQELPILHRSTYVTELYTGGDLGARAIYVMSSRGYFEQTHDVLFK VSLVKNPTFPWLLEMVNIEAKSDGATLFRNNTPLTRSLESAMKVLCVDFLRLSIGPTI STILENDIEVRSDNLNVMDRLVKGCWADMYAQRGTFPNPLRKVFAHLYKTVKQNHEEQ MLHYKSVSSFLFLRLIGPALMRPHLFDLARGLPRAGIQRTLTTLAKILHAMAFFSDRD ISRDPELGKYGRFIKNTANSDMMFDYLASFSTTLDEFQAAPPPPSPAATFFYERYPLL SPTEGTYVVFPSNAGPVDLLGEGAVFLETLYQRRKDVAGKEVYDEGDVEERVRLMDAF HEKVHEAAFPHQKGSERRKKCF L198_07071 MQAGPSKDILRFTGHRHLRQRILLAVLSGKSIRIDAIRSDDVHV GLRDYEVNLLRLVEKVTNGSTVEISLTGTSFLFHPGLLPGGSFTHTCHIGRSIGYYLE VLVPLAPFCKKPFNINLYGVTGEEGKDMTVDMIRTVTLPHLHLFGVTDGLELQIKKRG AAPLGGGQVIFKCPIVRQLNTLQFLDKGKIKKIRGVSYSTRVSPQFANRMVESARSIL NRYIPDVYLYTDVYKGEDSGKSPGYGLTLVTQSTTSVVHSAECLSLPSTVTTPEDIAL HASRLLLEEISQGGCVDSKHQWMVALLMALGKEDVSKCLFGRLTPYTVQFFRDMFVFF GTKYKLNEEESTGEVMVSCIGTGYSNVNKTMA L198_07072 MPCKKTLSRLFTPQAEPPALDRGNSMPCSAQLFDLLKFVAGGGG APADLTMADLSDEDEAAAHTDSSASDADPSGMPDTSASVTPDKSSTEAHDDLRRTWAD ETMRNNRERDTMSSRFTALSLNNLSASMSTEHVNKNHPPLPFATMDDSPELAAPIAPP PLSRSQSSFSTSSASSEEAPDVDELANVGTTFDGDREISPEEKLAAIVNEFGDVSSIY EGDEPERILAESKGSLFKSVMVVGNLHLTTHRLLFHAYLPPDNETWSNLSAQQTADVT NDAVSHQPDLLHSGPVTIHRPGPIRTSRRVWMELSSEMVTTFPSADEAGRVRPLRCVL LSSIKKCDPLDPDHPCDFYVTYDTPSGPRQSHFTVDTEQSAIQWRRALDAAIFRQIRH KWRQIAPSTNFPDSSDWSVIRICIPFDRVTLRGVNSFHSFATLVGMDIAMDGVRISKA MLPVVKGDWSTEDPVGSEGHKPLLSPSLIRTQKTGSFLHRRSLSLGRRPQSPARSDVP KSSDAAVYDSLETLGLQSSDQGTISFNIGVLNEQAWFAQAIRSAVHASQGRKCKPAMK RPKLVWEVGGHDCLATDEELDAEKAQSGSMDSEEAEEDDDDGGLVQETRKKEKALMAA KVFGLKEEEGIWIKRCYVATALIPARGHIIVTPRYICFWRRVTVGSDIKYRFPIEDVK GAATAPSLRTRFHGLALQVHGYKDVRFEFWNKEARDEVSLQNDLNSYEADDEQVILRV NATCATRGRGHRRDYSPSHQDSQSQPATPVSFEGIERVASPVGDPLLEHPADILVPPK DLLIKPVPMNDEVISYMPFVANRPPGLTSIKPRTFTCLTIGSRGDVQPYIALGLRLMK DGHKVVIVTHGEFKEWVEGYGIEHRQAGGDPTALMKLSQEHRMFSPGFFKESLGAFRQ WLDDLLLDSWNACHDADVLIESPSAMAGIHIAEALKIPYFRAFTMPWTRTAAYPQAFL VPAFEMGPSFNYSTYVLYDNIIWKATAPQINRWRKKHLGIKGTDMAALSVTKVPFLYN FSSSVVPKPLDWHDDIMITGYWSLEDSDSDWSPPAKLDEFIAKAKEDGKPLVYIGFGS IVVPRPNAMTKSIIKAVEKADVRAIIAKGWSSRGGDPATEGEHIEFPASCYGVDKIPH AWLFPRVQAALHHGGAGTVGASLRAGIPTLIKPWFGDQFFWSIRVTKLGVGLKVASLH ADDIASALTKATSDKVMIEKAARIGERIRSENGVDTAVKAISDNIIRAGGDRRKMQWA K L198_07073 MVSTRPSPAPPKGRVAYPNAASYTPGDASNSPAYLKPFSPIKPV TSRDSEKERAQGLKTWWKGFREKEAAEADKLAHDGRVVFGVPLEVSMQYASSQVSADG ADGALYVFGVIPIVMAKCGLYLKENATLVEGTFRVSGSAKRIRDLQTLFDTGPSYGRN IDWKKLPYTSHDVGTIFRRYVVLPEPAIPYRFYGAFRLVMERHLSQQTAFEEALEEYK NLIKALPEVHRNLLLYVLDLLSVFDRRSDTNLMNAANLALIFQPGLLSHEDHALQPRE NVLSQQVLEFIITHYKSISETIEIGKPRQKSAKKKPRRKSKPAKPQRPPLVKADTDLM LPSDSDDEAPSGGYYVVEASVKKPPLPSPAPRPLPPSLAQRINSERVNSPPPAAPRTV SLMDLIDPSDSDDDVPPGGYEVRTGNFAATRAALLAKSQQAELDKAASKGSTGLSRRK TVPAKVGAGLSKRRALAVREAP L198_07074 MASKMDIDKSLDEIIAAKPKPQRQQRQGGASRGRRGGAGGGVAA AAGAGGARARYASTVPKAAGAVPAGVPEVFKIIISNLPGDVTEAAVRDLIQSTVGPVK SVQMAYTAHGKGNGIATVVFKNKGDARKAHASYHNRMIDNR L198_07075 MKVELCVDLNAVQAPLANRVAPAAQGQQGQQGQGQRRRAPGPSK PRPARPQKKTAEQLDAEMADYKQTTSA L198_07076 MSHLADAFWQPFSQGALRLLPKRGDGLRSKSKRADRIPDQAERP LVTDYHSINDPSIRIRVPKKVPTPVKVEAKVWFANERTFISYLSMGLLLSTIASGLLF GAHDSPSRSFAFVYAAISAGVLIYGWAVFQKRLTMISARDAGSFDLLWGPLLICAALF IAILANFIFRLQDAKKHTGINPLSFQNAWYQAGVKSTWL L198_07077 MGLSVSKLLNGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRERITE AREELQRMLSEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRSWYIQAACA TSGDGLYEGLEWLSANLKKKSP L198_07078 MQAQHADLQRDLQRGQLDPPKLPILSSPSASPLGVVSHLQDLDR YFDDYASRFPNGDRTSSRWQVTQANRSIANIVSYQYWSMATGAACTSWDHWRREFKKK ALSEDWEADMRREFEGLKCDGTSLGAWQRFEEKAGECQMVLRQVVRVKSRPGRYVVGG WGTGSATSQLVGRDVVVGERGGVANARIRPVLAEGNSRPKDNGASSIKIMPILVDIDG SGRCRVGLGFRFFRHHR L198_07079 MSRVSPKQRKLAKYRRMACLAIALRLPYKDLLINMYTALARSRY MKRPKVYGNLRDRDWQKTIDKIHATARKNTTNMTDFRQRFRLTPSEFTGLLELIKHHP IFQTGGPRKQAPPMYQLSVALYRLGHDGSGANMNGVGHTFGVSEGTATLWTKRVVVAI ISLEKQVMRWPDSTTRAALVKKFAEEGIPGGCVGVVDGVMIPVMREMGLEPTVPRQAR GRQARR L198_07080 MPSNGADTLSTGDADPSSVQLDHIIRHILGKDDDVEDIEDDEDE DEDEEDKDDGDGEEDGDGEEDGDGEEDKDDDDNGDGEERPPIAVIATPARQSVAGSRK AAHTPKTPLSLHDSAGKGMAADDEMERLQKNAEEHTQRRHDEMILVEKEKMMAEKERM MAEKERVEIEKEKAGQEVLSSKLEMWHKHIVFNMERRGMSYEAASEDARAMMPSFMG L198_07081 MPKPAPSKPLFTTKPYSAPQTRVNKGGRPPKNPPTSTSRPAQSE SLLTGKPYGAPETKVDKHGRPPKTAPTKTPLALWSHLEGDVPPYSVGPCNFVCKECGA LHWAGERPACKRWCSSSLAAATGGENGGSLGYGLAGRKNGGEQRGLERGRCNLK L198_07082 MSVGEYQGSREDGVGLLVYLSVSLLGELVSVYDCDFPIITTDQL PLPATTPNSMRFPLLKAGWGRPQSLHGQAGQHPSANSQSRLAAEEGEDLAGGEEDEGC PLTARKRGRPKTSTANAWKRAAAALQERGDNIQAGGKRRYVCKALQQARS L198_07083 MHSIQLHSSSCSHPFHSPHHVHARSTTSDVRLFPTTAQRRWDHH PPFPRLSLSISFSNHDHHLALSLARSWIFPEKCRNLPHPLTILSWVAPDSHHEPGDLF YFSGDIAHDGNASFALPMHSASEAHINTSSPTVPKYQRLLQESSGIFKQKKTEKILEK GEAQRCSASGGEVEVDVPKLNREAEVPEWERGIDSEGEGSVAKRMSFVKSVEGLCNRV GTGSVPRFGINPSGINSAPVLAIADTHDATCVIAACSVPCCLPLSGLRPKVPCVFKTA SLRVGLGATPKQSGLKLENALN L198_07084 METETRRLLHAVAFPSPNEQYMKDQETFAALYKEPEFLVTLQLL STDRGLSHNERLMASLVTSRELKTKWRNKTVVPESRKPGIRQSLFSFLHEAELGIARP QLSLLVAIARIEYPKTWVNLPQILLDALTSSANCLNDPLNIPADAAVVLLNVIWTINA LVKDWRTVRVAQGAAAMQTFEQAFSGPMQSVMEVWARSAREGGGNATVEEAGRYAFKI LARFCQWNWAKAKGIQSPEAIQRITLLLQHTVQYAPVLQSNRVQTISSGASQDKALRS AIKHLRSIGKWWRVMIATDPKRFCAIEGVTSGVGWWWSAVGGVVANSDGAVANDVFTP DFILSAFHLLVDKLLPLTSTDLEDLEDEPEEWLVRESNDEEAWAFEFRPCAERVLISL NNACRNVPKENRVIEPAMLKMVAETEGMSPNDLPNVLRLEAVYCTLGRLSRSMASYGG IDINNLLTQMGSWMGQDYPLHRIVKRRVAWLIGEWVTADEDSAKLPVIWQMLMYLLSE RGSSSDKAVNLTSAIAVKECVDLWELPIDYFLPYLEQSVHELVKLLGEASTLDGKKYV NEAIGVVIERVGDKMLPYLPSVAQSIPVLWSSAGGLEGEWLFKASLVVLSTKLVTAAK ERSGELMELVIPLIEESLQPPAKEFFEEDGLILWQTAIYNATSLHQPNVSTSLIHLLP GLLQVLGENMDLLSTLVGLVDSYLLLDAQNITQEYGQVLASSLNKALVTSGSNVGSVV RILETLVLWVSLVPVPSLHPLLLQSGIFSQITRSLEDDKASGQILASYLNVLANLAIS DAGSFLQMVADAAAMEHKDPHQLLDEVLDAIWRNFDYVGGTKMRKAVAMGVGSLLLSG NQQVMERFDGEIMNIFLDVLGETQNNEAEGENGLLCWGDEHAIVWSDMQATPEGARRT AIESKDPAYAVPLKSFIIGTMTQGMTIGLLPYWEKADEGTKNSLEKFLA L198_07085 MSVPRPMFHSRSHIEVGDVVILYMARDNLSAITVQPGEYLHNKY GRYAHDAFIGQKFGSKVHSPPPHSGYLHLLRPTPELWTLSLPHRTQILYLPDISYITM RLQVRVGGKVIEAGTGSGSMTHSLSRSVGPIGQVLSFEYHRPRFETALSEFESHDLRN VRLQHRNVCKDGFGDAQGVEAIFLDLPAPWEAIPHAINTLRTDTVTRICCFSPCIEQV TRTVTCLREFNFQEISTQEVLLRTHELVTFPDNAQYLHSISSVVGNLKEQELRKEERR IVQIKTARENNRKAKVDEAGEGTLAEGEATSKRKLEAVAEDTSPAVSESLEAPDLSGF WKEPVPLPSSVITKPSTEMKGHTSYLTFALLYPEPIRADIAAQVAKEKGQSISAGVGA EKIVESQYDEDDEMNEALKGFIAKGNVP L198_07086 MGAPSLQPPATVVSPPSPTAHRLPHSPRPRPRPPTTDSPERLEA PVDPSPAPPVSQSPPSLDLHHPSPSIESPAPSPSLLRPASPIFASHGVLRSPSPSSPS FRPRVAHHRRASSTHRVRETIDGTQTASKDGERMINQYRIGTCIGQGAYAKVELATDI NTGIEYAIKEFSKSRLHHQSVQEKHRTTARSRRPLRGPRRGLQRGPVPDDNDEPSVFE MHKEEAPAESASDPLGLIRREVAVMKKIDHPNLVHLYEAISVPTADALFLVLEYMPGG TLMKIQIGQDDATTHPPFDVWQTREYFRQLCLGLEYLHANDVVHRDIKPDNVLLSADR QFVKLCDFGVSEMFTRHGDDRIGKSGGSPAFQSPENYTASPDLHGRAVDIWALGATLY CMLTGTLPFNYPNVIELYAAVMERDPRIPDDWEDSLQDLVRRLLCKDPEQRIVMDDIR NHPWTTDGGTEPMISTDENLYDVGKHVEAPTEEEVSHAIGTFRGVLLRRLHLTRTTSQ SISSPSNSTDPSLASGSMDSYASRDPLTTNTSLSSDPEDGEFGDIAGDQVMSPRQMSL VSPAETEKQTPWKFKLNDLKKLNTDAIDLEGVGAGQEGAGAVMVDSPTSENEDAQTAR VGSGSSQMPLE L198_07087 MADQKIAQVQGQLQSETIVAELAGIIEARQRLDSQFSENELVLK EFNILKSHNTVYKLIGPGLVPQDALEAKVNVEKRLDFIKSEIQRVESQLKEAESKAAR KREDIILLQQQFQALQAPSGGPQ L198_07088 MAPALPSPDSSSSKRLVSASAFNFSLGSKSTKKPRTSVTTGVPR PTDGHNLPRSEAKEPAFSEDDGSRSTKSVLDKGSERSEWSSSGRRGVSDLSSAKSPAI EWPVQDGRNMADLRTSPVNLGSSSSTASIRQPPRFLSPSIPRGNRPTLGSSSLVPLSL RALPAAQPYNSRSGTKGKDFTPVTAPFERVIKREPLEDEKKMSLVRLGETRLSDKMTE DIIMRKKVVVAEEDEGVGVSPRGKRVTNWPSARAMPSSVQLANLLSSAKASQTLFYTS LQNALFPASRLAGRKRPDDDFQSSHLTAGQYIHQSAATILYPVEAIGQVHGTLFFWCD IHPQFSPTQERPEPKRVLAVLQPWAWDGPRLGVDPQLMRSRMLEGTGKRWLVGVWAAN PIDMTMSGDISGKALMVTRYLIAEQDVT L198_07089 MWGGYWAPFQSRYGGYGGYGSMMSPYFAGGLGTPFGMNALGYGM GAAINPAVYGISSMGIGNPYAYTGMYGLPY L198_07090 MPQAPETLAARVQELSLGTTTTVRHGSGSAVVDNGTNLGSAAGR KTSHGAGSSGGHSRRGSGLVITPSGVQTVYHHRTNDDIEFPHAEKKTMADLLRKYESL FTLTPQRMRMIVQAIEDTLDNGLQKNNQVVVIPTYVFGWPTGEEAGDYLALDLGGTNL RVCLVTLQGHGKFEVTQTKYRLTEEQKQGEGQDLLDFCAECLNSFIRDTLGRTEKDGL LPLGFTFSYPCSQDRIDHGELIRWTKGFGAPNIEGHDVAAMFQDSLKRLNVPAELTAL INDTTGTLIASNYVDPHTKIAVIFGTGCNAAYMETAECIPKIDYVGLPKGQGMAINCE WGAFDSFDHQHLPRTKYDIIIDESSNKPGEQSFEKMIAGLYLGEIFRLVLCELIDAGD LFLGQNTYKIEKAYAFDTAFLSLMEADPTDELLTIVGVFTHFFGLDTTMEERTFFKQL AVLIGTRAARLSACGIAAIVSKKGYLEEGCAVGADGSLYNKYPNFADRVHQALEDVFG QKGRKIVTHHAEDGSGVGSAIIAGKSIPCTVDFTLTIQQP L198_07091 MASEGAYSSRPSLLSTNTPRTPSHLTPSPVVPNFPFNHSTPMKR PLPPESHASSSGYPERKFSTDSTSHIDHTQPQPQKKKRVSLSCAQCAKRKQKCNREFP CQHCTKVPELCVPYNPQANSNSSSGPDPQTLARLESIESVLSVVVRHTSGITHYEAVR DWITSPPFQKHLQSAPSTPASPHNLMTPQASVPGPSRPMTAYQPDAQDPNLLERGASS DDDGLARVGKGWLGEIDGGLPENMDTDEKVKMKLDIHGTPAENLQRLITDCGVSPHKI AELVQELPPKPFADKIIDWFFEKLNFIRYPIDERLFRASYDDLYNKATALDPSNVRAL PLVFIVLALAVRQAPDKWAGDEQTRRLSSLRMYWSSRRSILIATAVQSESLELVVSRL LSAMYLVLIHDRRLTECWSQLGASLRTAQAIGLHRDGSKLGLDPYQTEYRRRLWSYLY HADKQYSLVLGRPPSISDSYTDTQAPSNIDLTEYNPALGLPPAKPFHEPTPALFLILR RKLAVIVGRIVHHFQKLNEPAQYSDVEKLQQELDLFVEQLPPHFRMHDPDKALDKVHF WLPVHRFMLLTEVLVTTIILHRPWLLRKLSSNRYAASRTACFEAAKLDFQIRQDFQRE VPDFKLFAITGNFKMFNSAMIAGISAIIGPRGPDSDQMRKILTTFLEENPWHEVASKD ATTRKEVQIIQTLSRRAAKIFEDSFGPGEPALHDKDSVALLLALRQSNDSSTYSNAYP KGVQPEDAPMPGGPGIGHNKMMYPPRHWGQTPNVAFAPVHHGGINQSPASTGSHEDDH SQKLLDHWINANTSMAVGGSSFNGGPIPTLDNSGMGYLPMSAMSGGMTPLSLSNGPGL TPNPALSAYGGGPFSSFMEEGGGNFMGHTSGEYSYPDQFGLLAVEGGSGQPSGRHSTE PGAENSDEYWNALIDGILGTTGGMSHGNQGNN L198_07092 MNILVSAGIAAESGLTSIQDTLFGRSTTPAERLRQHQRSLQKAQ RELDREKGKLEAQEKKTMGDIKRNAKAGNMNACKILAKDLVRTRRYIQKFTQMRVQLQ AVSLRMQTLRSNESMAVAMKGATRAMGQMNRSLNLPQASHIMNDFERESSTMDMKEEM MSDAVDDAMEDEDEGEGEEAEGDKILKEVLDEIGMNMNDSLAAAPTSNPLASEPLQSS RVAVAEGLPPVAPSGPPAGGASASTPGAGGPLSSEETDLQRRLDALRRD L198_07093 MRLQQVLFSAFALSAGLVRSVSASANDDDEFQLRQLTDENFKSE TSNGVWLVEHFSPKCGHCRAFAPTWTQLAKDNQHLERLTGFHMTQVNCLAQGASDLCN ANGIKFYPQIILYVDGKPSPHYSGDRSYEDLSKYIDEHSNNYSEQLLLQSSTEQGTSL VGRANQEGLVKHVNPAELEVLKKEGAVLTEYFAPWCGHCKKLRPIYEQLAATMKGKVN IAAVNCDDHHSFCSSSGVKGYPTIRMLHHGTSTEFTGARTLSTLQKFAEMGQEAASIQ SIKAGDFDDIVKANEAFFLFLQSYDTSSEEVDAIKKALEPLLGSVPAYTSKDPALYKR LHIANPPPTSTLLAFSSFSPRPVGTIALPVSPSDLNRFINQHKFPTLVQLDSSNFQSL MRSDSRAVVVLGAVHKGEAGKKELDEFAEVARAWKKGGRKFQQPVWFVWVDADKWSRW LRQFYSIKKANIPAVVVVDTPASKPDLPQKRALISQQNEQYYDTTIEGNKITFDGASI FSVLEGFYQHFLRPKRIESTLEWSSRSAAQTLISLSATSVEHPIYALALLVAVVGAFV YFLQRCIGRDPRESSPISGTRLD L198_07094 MATTSALPIALLFTLPSDSPQICSFISSLSTAAGISAPKPDIKS YPDAIYHNYYPLGVSLCFLPSTGLDSVDLYSRDSHPNTSSRVRGPVYDDAPEVVFRFS STTLPLPLRDSKSEEPPQTIERPIELRLTAGTTGRDLVSCLGEPTKKGSGGWTGVWLE WTKVELSAGEEGAGGEEHIGMMVELRDPGAREMDEAMMKKGMGGVWDRASKWEWKTVK LYKA L198_07095 MFMTRSEYDRGVNTFSPEGRLFQVEYAMEAIKLGSTTVGITTSE GTVLAVEKRVPSPLLESSSIEKIMEIDSHVGCAMSGLTADARTMVEHARVTSQMHAFT YDEPIGVESCTQAVCDLALRFGESVEDDDALMSRPFGVALLIAGIDEKGPQLFHTDPS GTFVRYEAKAIGSGSEAAQQGLQDAYHKQMTLAEAQTLALKVLKQVMEEKLDENNVQL AQVTREQGFKILTEEELKGVITTIPA L198_07096 MTSVIEHDTRGRKRRAETDDGNDSDDSVIILHKSPRYKQGNVKA PIDIDSDDSDDGVIFVPHPAKPTAAGRARGWPHTNGSSSHVGRITPHASPPAPLPGPS RSVAPRSAIRPLYDAPQPEFSNTPVKKRKADAVGFSAPDEPAGAGPSNHAAPVAVHAP GPLFFLPSSPHERLPDEEAAQLPPLPPSASPSPPPPADAVPPPDTPFSKPASSNDVPS NPLDPNYILIHVLEILPDIDPEYALKNIDTILATGRANGLAEVVVDRALEVQGGYPTV KIDKGKGRPAPEESIEQYKLPGHRAEERKGYQYQDRCLRELEIKFASIPVGYIRQLFQ ETNSLLVPAYYILLEKSRLRPLPYNALLRPRKAKAAVRHSVADDDVGKVAFERELAWL EATISETQREQDEEDARQRLIDAAVAKGEAIECGCCFGDDIPENMFQCEDGHLFCKDC TIRNAETKLGDQSTTITCMDTSECKCSFPESELRRSLSTKTLDLYHRLKQSKELELAA IEGLESCPSCDFSAIIDNPHEKLFRCMNESCGRVTCRKCRRPEHIPKTCEEMDAESKL DRRHAVEDAMSAALIRNCPKCTKPFIKESGCNKIMCSNCRTTSCYICRQIIKDYEHFD RNPANYAAPIATARCALWDKDANPDEAAILAARDGATAEARAAAARDGINLEDKDLHV ALPDRVAQAGHPYAHLAGAPVRLPGRM L198_07097 MPARPLKSALKKPTKSFETPAAGPSKPSFSANKPSQGKPKAKAT VSIAKKPEKLRGPDLASDSESNVSGFENESGDEGEVVDEDEEMNTDEEIEKSKEPKKG KKGQKRKRAPTTAADFGATLTSLLADPLTHPSKKAKPAKPAVDPKKKSTTNPILALSA HKPPTKASVSLEAKAKKQIRVDKEEKEDRARVKNVVEGWSGDGVVGGQEFEKNLRKTA QKGVVKLFNAILLASKNAEASDMSLADRAKVKPEAAKRKEKDNILGRGAREDVLTKES FLDMVRKGSSR L198_07098 MSLDAPPVSPDGPSLRTLPSLTLSDPPAHRSCLPDGNIPSHGDW IESSGDGQLGAVMDRDAGYRHLEGPSPPCDGHLTISDDAEIDENEGESQEAIFEQLML RREALLNPFIPQRETPVATGIDLDMDDEEQEQGDTEEQRKATEMDIDMVYFYDSMEER EYRGIKGLNFLQTLATSCIDQLAAVIEEDTRGNLSNVDEDATSESNKTDDETRDGGGL SMALSLKNRKTGALQVYSYPDSPELESDRQGALHKLTCILRVASVMMEALLEKTVITL RDIFYRDKKLFGKQSVVDKIADDIVATAELRRADFYIASSYLCASAKGLIAATDLVIH CISGQNLHLSPRHATLIEPVENIQQLCAPLGLEWILIVEKDAVFQSLLSAGLLEDERL GPGVIITGKGFPDLSTRQLARCLVDTMPDARFFGLFDADPHGLNILSNYTYGSKANSH STEHEGLALGERLEWIGLKASEWEKLGINYDDLLPFETSDDSLAVSMLRDHERLPAAW KSELCHMLHLRRKAEIEVVIGAQENANHQENGDVKNEDTGSGVAKLVDWIVGKIGVS L198_07099 MAKTQKAFKKFASSGKLKDSIRQRRQTQQIKKKTEERKAHRSKQ RGAAHSEDEGGSGSGDEDEDDDDDEARKIGQPAAGGKAGGVAKSVEELFGAGGLDVDA GEESELEDLGSEDEEDDDEGEDEEDEPLDEAAMKKAMKDLAKKDPEFFKYLKDNDEDL LEFGDKGKEREEDEDEDEEMDSDEEMDEDEDEAPAERKKVSVTMKMLRLWQEGMLKQH SVRSLRKTLIAFRAAAHMNEEDGDQGSGLDTKYTVDSAAVFNKLVLTALKFTPVVVSH HFPYKTLPTGRVKLQPPKKANQALNRLVLSHFTTLLHLIKSLPTTPSSVATKEQEGAS GLLLTAVGESTKLIPWILSARKHLRAYLKVLLDLWSSAGDDVRIASFLAVRKLFVQGD DAVKDLCLRNIYRALLPPLRNVTPHTLPAINLMKNTASELYQLAPSLSYQHAFGFIRM LAVHLRNVVRSSTSGKAGDNQQAFKAVYNWQYVHCIDFWSQALAGAGSLENQKANAGL ESPLKPLIYPLVQIALGAVRLLPSSRYFPLRFHVLHSLLRLISRTGTYIPLAPFLLEI LDSTEFRRSNPKKGTLKPLDFEYVIRAPAAYPKTRVFQEGLGEELVFLLGEYHAAIST QIAFPEIVLPVIITIKKQIKKGSAGSPKVQSQLKVLLDKLEATRTFIETKRRNVSFAP RDRGEMDRFLEGQGQEVTPVGNWMRLQRKVRDQKRQEVEKALREEREESEE L198_07100 MALESSLTLLEQADDVASTSPQRAEELYRELLNNNQVDESSLRD KESALLKLGSLFKDQNRVNDLAELVTASRSFMSQVAKAKTAKIIRGLLDMFPVESREL QMKVTQDNIEWATKEKRVFLRQSLDIKLISLQLDAGQYRPALTAIEGLLKELKQLDDK IILTEVYLLESRAAHAIQNHTRAKTALTSARTTANSIYCPPILQAQLDLQSGVIMADD KDYKTGYSYFFEAFEGHTQVAEKDERALKALKYMLLCKVMMGLPDDVFSSLLLKSAQP YAGRDLDAMKAAAVALKDRSLESFKTALKDYTQELQKDPLIRSHLSFLYDTLLEQNLV RIIEPYSNVEISWVAEEVGQSSQVVEEKLSQMILDGIFHGVLNESLGTLEVHDQAAEE PIYTSVSDTLKNVNEVVKSLYDKAAQVV L198_07101 MPPRLAVYGVLSTVLAATVLASALQSRANFYAAAVSVGKSSASL MVIGNFLFFNALCLGISLKKLFFGQLRAIEYERLWERLWAFMTESLLALAIFREDFNI GFILMLSILIFLKCFHWISADRVDYMDQIPPPGPPKTFHARMVGIIAILMVLDLFFVS YALNTILTEGVSSMIIFTTEFLILQTSIAGTAARYSIGLIDLRRARGREDAPPWEAKS MYLFYVDLAVDFVKLLTYLMFFTVIFLNYGLPIHILRDVYFTFTSFVGRCKDLVRYRQ ATRDMDSRYPDATEDELERNGDRTCIICREEMMTTAQREREGLQGDEGGPNETPKKLV CGHIFHFHCLRSWLERQQKCPTCRRDVLNQPAQTTVPVVPVPAAATPAHDVPQPAAAP PAEGAADRARDILRDRLFPANDPQDPATPPRTRPPTAAPTNLSEPTRPVPGPARTETV DHGIQRGIWGGPIIPGRFQPLPAPPLGAAPRFSPYTRSVATTPVAQYGGAQSPSYFHG AVPHYSPGPVYQHGYGSPYPYALHQPQPYPQYPPPHQYLHHPQPLSPSQAQSQPDRPR THSPAIFPALLTPEQIQALERFAGPVAEGSQYPRAFEARGQEGHSQASPYGGVSGSVT PWHANPPFSFSSTGDYRAAGNGAGPAELQVPASSNSGGLKQAFRAGTEGQQDEAEGAV GEEKTKQEEAETDGLPELVIETSDLKTQVAQAALRRFQNSPLQSTSRPSSEHSQAVAR EDIENDQGAN L198_07102 MARSTPKRERSQDKPAPAKKPRVAKGKVWPPADIEPSLHPARHG HPVFELPALTTSPNGGIAPPSDRPQPMLLGAHVSSAGGVGTALLRAGLAGANGLAFFV KSQRQWKSKPYEDEVVDKFRELMKSKEEGGMGYGPESILVHGSYLINLGNPDQAKWET SYECFRDDIARCHQLGVKLYNWHPGSTVGACTKEESFASVAKAINQVHKDVPEVITVI ENMANAGSNIVGTAWSDLASMIALVEDKSRVRVCIDTCHTFAAGYDLRTEEAYKKTMK EFDEVVGNKYLAGVHLNDSKADLGANKDLHENIGLGKIGLTAFRLLMRDPLMAGIPIV LETPAPDGPTPSDHVSIWSKEIAMLYEIQAIPDDEWDTKKVEIEARWRKERDAINPPK EKKKPAPKGKAKKGKKAESEEDEE L198_07103 MSQDDNKSNKPRGVYTAFPSQVGYSTDAPPSRPPASPTTRSRME AASAYSVVEEPQESAVETTSSPRSRRPSRNTRTVSPWSATSGRFTEEENAMYSNIISD KGSVGPPPPTDEEVQRQKRAREAKAESGIFGNTRN L198_07104 MSTGGNGSEVDLAFPLSPPRIPAASSESPKSSPDLGTTVEDVED SVEVPESLRKPVYKQRRGGLGLHVKTGGSSTSLASGTTPTSAGSGLTTTVAWSPSMSP RSVGRVQMPAPATWESIPEGDPAAVREGRASMAETEFTDVSNEADEEAWIDPRAQGAR ISARGSTSGEPARGSAPRPTIVSYRSSGAFPTEDVQPTSPIRGDIPFGMYGDKNSPVI HWRMGEDGNIEEEAQGKETESPSGLGDAGQSGWESLNAITNGNQDGGSGGIAGFSRAS GRRRGPPSGLTMTNQSTQ L198_07105 MSEHLSFKGTLEGHSGWITAIATSSENPDMILTSSRDKTVIAWQ LTREDNSFGYPKKILHGHNHFVSDVAISSDGQFALSSSWDHTLRLWDLNTGLTTKKFV GHTGDVLSVSFSADNRQIVSAARDRSIKLWNTLGECKFDIVEDGHTEWVSCVRFSPNP ALPVIISAGWDKTVKVWELNNCKLKTTHHGHTGYLNTLAVSPDGSLSASGGKDGITML WDLNEGKHLYSLDAGDVINALVFSPNRYWLCAATASSIKIFDLESKSLVDDLQPDFDG LSESARKPECTSLAWSADGQTLFAGFSDNVVRVWVVTA L198_07106 MSTTQEPQPAAHSDVPQEGAQQEPREAAQAQEVEQEHLHRANAD WEDNAILSLPPPLPFDLAVESLTVGVPDHGGPSLRWLRRLLGRKKRQSEVEEKDEKPK KKWILNEVSCECRSGQVLAILGGSGSGKTTLLNSIAHRLTGLPTTNGEVAYFSPSSAP GEDRGEKLDRSQVKKRIGFVRQQDYLVECLTVRETLTYAAKLRLPTHLTDDTIHSIVE QTIEELGLKDAGNTVVGGPLRKGISGGEKRRLSIGCVLVTLPSVLILDEPTSGLDAFT SYLLLLTLSQLARRGRTIVLSIHAPRSDAFDLFDRIALLSKGEIVYSGPRSSCLGWFY SLGHEVERGVNPLDFLIDISSVDNRTPENEESSLARVRSLVQAWNLRSPTHWADNLAK RSIDGISTISSENVAPIPIASAEKGTLARPEEAKAKDERRPGIWKQTTVLTVRAHKNV YRNVPQLVGFGLQAVLLGVIIGTTYYRLSETPTGVQSLKNLSFQLIPGVFYLQQVFWI YKFCTDLVIFDREREDSLYDVIPWVISDYLSFLIPSIFEPTIYVVLVYFISKLRTDEL AAHLFTTIASTLLVQMTTQGLALISAATLRSFPAASMLGNSINLFQIMSSGFILTHVP PYVAWIRWISPYFYSFRIVATTQFKDRIFDCPSDSDANLNQCVGNNVLNGLKFNYETN IRAWFGGLIGLAIVQYALACVVLWAIPGGGAKHASEIESQNRGKGTDVVESHMTRDKI DVTVKNLTLSWNRRGRGAVNDLQKVILDDVSMHFPAGEISAILGPSGAGKSTLLQLIA GRHLSAGPLSRFTSTGSLLFANEPITHTTQSNVAFVEQGDDWHLPSLTVRETLTYAAI LRLPEKMPKKQKIARAETVLRMLGLKDCADLPVGGALLKGISGGEKRRMSLSVQMIND PAVLVVDEPTSGLDASIALGVMQVLRDIASSGRTVIATIHQPRSDIWKLTDNVTLLAK GGIVAFSGTRNEALEYFTSIDHPMPSEFFNPADHLLDLVSVDPRPTYHQDSLNRVQHL TSRWQSRKINQESGHEQERSQHETTVKRGAETTAMRTALPVVLGRHWKNLWRRKDVFF NRLVQTPLLGGLFILFFQRLTHGPSGAQDRIGIAIESTSAVAFVGLLNAMSIFPGDRN LYLHESKSSARYSPATFIIMYTLVEVGFEVLAALAYGAIINVGAGMQTSARIYFEFSI SIWAMTNMGESYAMILGSWIQTEGLTVTVVSTILSLVGQVSGVISLSVPKWLAAIAWG TCVKAATRIQIINEAAGLVFHCTEEEVSSGACVAQSGDQLLALFNWHDLNTEKYMGIM IAICVGWRVVAWLSLAGRVGGFK L198_07107 MSSAAKTATTSTFSRRAPVAPSLPTIPTITSISTLSTLPNTYRT KLPPPSRGHTITHPVMPPKPTTERYKSVQASYQSKTKTETKNAVRTTEKKKRVNATQA PPQARPPLPPPSPKKEERPKGDRARELSYRYTYRKRQVTIRR L198_07108 MASVETPQPRENRGPVEELIYKRTKALGKKIQRFKAYASQKPES LNEDQKAGLASLPLLEGIYRELEDISKQVEYVELEQAGKVREMKEQSKKDAEAHGLTK IEEFQTSLSTPLSLFIRLHSLLHPARPSDHDHLTFGRLRLPSNMKEAVQATDVLRVGR WYDDLRAGGDRGKVVIGILVKGPQGTNEEDDRVHHLLKLLEESDSLPEEAEEEERQAE EAVAPTSQNASRSLTFNFLQDDELVAPAGTQPTVSEPPAPTPAPQTTQPPAPSAVASF DWAAEDDETSPATAPAPVSSASQASQPTQPEAKTSNDADADQIIEENVAANSHVLSGL AGTDAKQAPPTVGGQAAAPGPVKTVPSGPKKGRGRGRGGQGQPKSQPQQEQSPAQSSA QGGENGLAADGKQEQTPTPNGQAGRGGRGRGRWGRGRGGGRGGKASSGSTTPVEGKPA PQGQQQPKAPKPSKPAAQQQQQAEPTTA L198_07109 MSGMQLPAGFRPSGQPGGQGGGPSPAEREAAEARARQQDEMKRT MISAMLEPAARERLSRISLTRPQLASQVETILVNMGQQGQIRGQVSDEALKGLLEQVS NPPPAKNTPAMPTSARTKTLGKGITIQRKRDDSDSDEYDL L198_07110 MTVSSSGVSVNCAKLIIGLGFSSNFHTVFGVRFLLGFTESAVSP CMLALMVQWCQIEEQPFVTSIWQAMLGTATGISALFACFISTDSTISRMERSMADSDF TSQSLSSALSAPVSPLSPTRRALADSNLPVIVFLFLPSSPTKARWATEEEKVLFVECV RANNQGLKQKHWNTAQAREAFTDPFTLCLFALCVFNTLVVGGISTYSGLLITKAFGFS NLDAQLLSIPIGAMGVITFLTMGFCIRKTNQTCYTMIAFTIPNIVGTVVLLTVAPTSK TKGGLVVSFPCYPATLMLLARNSAGQKQRRVSPNTPLHSLVGLEEKQSRRKSSNRNGV LVTSTHSTFTSHFMAVSSSFIMLLARRNKKNLQGIEGRKAAEGEYLPGECPGFRGLDR L198_07111 MPKNKGKGGKNNRRGKKDDGENKRELIFKEDGQEYAQVVKMLGN GRLDAKCQDGENRLAQIRGQMRKKVWIVVGDIVLISLREFQDERADVIHRYTPDEARN LKTYGELKDFQLVENQEAGGESDEEGGIEFEEADIDDISMPQHSFHEKKPAFVGLNY L198_07112 MPPEPRPSPPSPHASLRPRPTIADIPILPRRRLRDGSRGSVGSS SSGGSSATALKPSGSRKRTRTGSREVSQMPSQRSTRRTAVTRSVAAATQPRGASNAPI QISDSDEEEDSSQGSIIAISPETGRGRGRSVNAARRASRNVHAGVVNGRASRNSSSSS TPDAQPSSSSPDSTYTRRLTAKEKGKGRAPPAVAIEISSDEEDGVVAVEKIKDSVLMD IKTRELVEVEEPGIDEDNALATGYACPICFNAPSPAILTPCGHILCAGCLHSALTAAI RRNPNPQPDPWAARGISASRGGRGRGRGRGRGGAARGGRAHTTPKEPQPAHWTPDGLK ETFLAYKKMSYDDTLRGQGLSAGDREAALACVMEDGGGDDMNLEPREVLAGLWNLGNK GWVVEGECPVCRNALPGGYGPPETGIGGITALQARLSIGKA L198_07113 MAARLFSSARLARPSALSTTVTQARGYASGAPTGGANWGLIAGA AGAAGIGAYAYLQSNPDIKNKLESKVSEGVKSAEAQKDAAERAAPSIAALVKDSFVPF TLTKVEKYNHNTNIYHFSFGEEGKDKTSGGEVASVVLMRSPEGDFELKDDKGKPVIRP YTPVSPPNQKGSIEVMIKEYQDGKFSHWLAGQQPGAQVLFKGPLQKFKYQPNGFDKGL CIAGGSGITPMWQLITHSLSLPEDKTKWTLIYSNVSEADILLRKEWDALAKAHPDRLE IKYVVDKAPWGWKGETGFITPDLIKKVFPRTEGDKVRAFVCGPPGQMKAISGPKDGMK QGELAGALKELGYTSDNVFKY L198_07114 MIRASISALGNGTGRRCGGGQPLARISRQTLSTIAEPAPKNNAR WLMGSAAVTLAVACTYTILRPVHLKDETSHLPDPSAPTDQASGRGLIPYIEVNKHNQP DDCWVVIAGKVYDLTEFAENHPGGIAPIHRVAGRDATAIFAPIHPPGTIENGLPPENL VGTVDPATLPKVVDKKKEDGKERRVDLAEIIGLPDFDAAAKANLTSKAWAYMSSGATD QYTLDLNRKAFNSILFRPRIMIDVEYADTRTEMLGQETSLPIFISPAGMAKLAHPSGE CLLASAAGKSNIIQMISTNASAPLSDIISSATSPDQPFFMQLYVDRNRAKAEALLEKI DGLGLKAIFVTVDAAAPGKREADDRSRAEVEVASGISGGKIGQDTKGGGIGRSVGGFI DPKLSWKDLEWLRSHTKVPIGLKGVQTVEDAIKAAEMGVNAIYLSNHGGRALDGSPPA MYTLLEINKYCPDLLEKCEIYVDGGCRRGTDVVKALCLGAKGVGMGRPFLYSLTYGEE GVIHAIEIMRDEIETTMRLLGVTRLDQLGPHLLNTRALDPLVFEQPVWGPKEKPPA L198_07115 MSSKPNVLLFGLGGIGGIYASILQLSQRCNVHVVARSSYQEVKE NGFAIVSPKFGDHTGMKFAGVWKSTDEAAASGAEFSYILCASKALLDASPSLSDHLRP ILNPSTSVVLLQNGVGAEAPLHKSFPDNTIISAVVWTGGKTLSDNSGVEQFNREGLTI GVDYRKGGDKTEEDAKLKTLVDWLAAGKGDCTLSGTAAAPAATLLKTGPFFASSDLAL PLCYSIMNEVAAVAKAKGLTVPEGKVEELIKTCTDVEYPGLPSSMMADVKAGRPSEVG VILGVPVREGIRLGVSVPTITTLYTLIKAIDYRNQDSDAAGA L198_07116 MALSFILRGDNDQTPMIAPGDAPSPTIISLTNTPAMPPTNPSPG SSDDGTLPPPKLSLSEEEKQHHDGKVNNREFNESHPLYHDLCPDDSYTDGIYWADLPF NARRKWVNKQNNDEVARELKHIWGMFKKDPLSPMSAYFSRYVMGGFGLFTEGYALFSI GNLSALYKAVWPTCWDTHEVCSSNWIAAVDYLQIIGIILGQVLVGFEGDWIGRRFGLV QDALVMTLGLVMLTSSWGVTLEGWVICYGFAQFFYGIGVGGEYPMTSTTAMESKQVAG GQRDDKLHRGRNVALAFLMQGWGQLFNQAVLILLLLMFHHGSGNPPYSEVSAQYVFRV SFGIMAVMTLWLAYYRYYKKTYSSAALSRSKKNMRVNQSGYDLASLKLVSTHFAGRLV GTTLGWLFNDFLFYGNKLFASTFIEIISPSASGNVIVTWNWNMVNIGVSLVGYYMAAF LMDHKFYGRKRMQIIGFLGDAVLFMIAAIWYTQLSSPEHIKGFQIIYYLSSFFQQCGP NSTSFILAAEVFPVSVRATAHGLSAASGKIGALLPAVIYNYVGTQERFWIVFPFGFAG ALVTLLFIPDTTGLDLREQDRYWAYVRDGRPHEYHGIAVHPRHLSWFEKVVLKRHHAY DAEKDRMQRVRELRIMYEEKQRAIQEEHEHEHDHEHEDELSRNAFHHFSNEKASIEKQ SASTAPNSPGSPLAFANGAPQAPLSPLSPATLSRLTPSRMAI L198_07117 MLGFTASAVFGLVLAGAGVQASTPLVRSYEGDTFFDRWQYYGYY DNTTNGDAYYANKSVATGSPELTYLTDAGTAVIKVDNTSTVAYNEKRNTIRLTSEDTY SVGSVWVLDAVHLPYGCSVWPAFWSYGAGATWPEQGEIDTIEGVNMGYSNHMALHTED GCSLEASSTQYSGTVNDTSCYYSDNDNSGCGVTDTDYNSYGSGFASNGGGAFVTEFSE DGISIWFFERSAIPDAVSNANDSIDTSSLGTPSAYWGSNTCDITEFFGDQSLVFDITL CGSWAGQSNILSTTGCSALSGSDTCYTTYVLDSTNYDTAYFEINYLKVYSNDSSSSNS SSSSSSSSSSSGVSATSALGWLLAGIMGASAFVGSML L198_07118 MFTKATAHLRPFIRLPSSHSPASPDHFTSNPSLLHHLPQHGSSN ALAIHGQHSAQTGSASGHAGRAGYGGGANAGGGYTGHARAFLSLPQTASADSSSTNLD EKKENASPNTSLLLRQRLSKAPRIVYMNESVRARREIEARKGGKEFTVVDIDQKQRRR SIANYASGRVPLSRSSTPQLLSRSSTPLELLQVGVPQHRSISLRALSTSTRAMEAEDV EAIEERERMTPRVQTPKSRTMGQSKRVLMDLAGKEDIFGQKLGGLRRNSTAALERPSL DQPPFDLSSPTSPESESDKLLEQAILNALNQARSNYDAKATERLVQHYRSPRKLAPLS PDAAPGLPELASAYPLPSSFTLRGYNTCLYALLQLRTPGKSIAPILELYNELLERDLI PDNTTYGTVIRALSMREQEILENKASWDMTKRWGLWLQETCGYPWDTAEAVSRDADVE RYMSEGNLNSALKLFRAAALTGQANFEASTYGSLLAAMSLKDSPDLEGMKQIVQFGRE NKVQGLVSVYKQLIVAAAKAGKEAELGKVWEEFETLAGQASAEEWGSSRSAARIEGVK RESYEKGFVAFLQVGDLSKAFEIFSRMAESAETSESTSAIPAANVNTCGELVVGLAKS GQIDLALEWLDKVSSTSLVQQEQFHRLNVSHLAAFTDVLIFDGRVLEAVSVMSHIKNL SPDVEHFTRSAVQYRIWRGHTALTSAAAKAASPEERDAFLKGSRDLLAVFPSRIQPDS LIKHIDILAQAGQFTAIPEILSLVEKAGNLSPRYLRSYASALDRVASLEMPFGDRIKI IDAFSSFQQKLTPVVAESVVQSYAVQRKTGSAQEFGLTQQAAFTLVESLAAYPTEKVQ QGDLDTVLEQLMTDIQEIDAGENSDLRRAQQHFAVGRLAEILAFRFGVERAESMLSPV FGDAAKEMVQPAVEEPASESELSSGEVSETATSATSAMPSLTVSEALTRNIDRFAQRN PSITPLDAYAILRTGLQKQEVPRLETLLNLVDHLARASDEPKVRELYDLAQIVLHSLV RPEAQAQSWYAIENAMLIACCHLGHLEEAGLHRAQIVQAGFAPSSDAYATMIASSKDT TDDALVARELWEEALSMGVKPHLFLYNTIISKLSRARKAESALELFGRMKDSGIKPSS VTYGAVINACCRVGDAQSAETLFEEMVSKPNFRPRVPPYNTMMQFYLQTQPNRERVLH YYSALQQARVPPSAHTYKLLLDAYATLSPTDIPSMELVFSRLSGDRNVRVQGTHWASL ISGYGLHANDLSKAKEVFESIPSTQKGNVQESVVWEAWLNVLSQKATIVELEEAHNKM VESGVQPTAYVYNVLINGYSRAGTIERAREVFESMGDSVSGVAAPNNHPTLLTSSGHA KPSTKAIISSGVVYREPSTYEAMIRAEVSCGDKARGEDVLRRMEERGYPVAVFMRGKA ALDGEAPRFV L198_07119 MSSPFPVPHLTHPSPAFAPSPLSQYVANALAASSLAPGTTPNPS LAPIGISPNFGLGLTPPNLGSVPGSVPALTFSNYGGQAPGIAGGQAGEVLGDRAQMLQ GLASAEGLLDRMEELLKEIEKVERGVFAEGEGVGNLESLHIEYTQLLVALLGSSQSHL FGSLPILPKSLEKENEAQAPTVQELTQWAEERAALEFSRKDAMRAGGKAVLDVLKAGS LANR L198_07120 MSSKPTQPDTLASQSWQVGTNPNYELDNSLLEQPVMTYYSPLGG DHHTSRDFEYGTSGPQSRYGGDGKESGFRSGSGWPGSTHPSQPATDVNPFSSPEDLPE DKPPSYATPSTNAEHDGIRTNMETLDIEEGSRHGTRTADGRKRGGGPKLSTVVAGGIT LVVIAGFGAGAIAIYESKKGGSHNDTASNGAGM L198_07121 MPRPRPQPAGLGIEDELSFGSDEDLIADVGEINLPPAQDPSDDH TMKDQRPSANGGSRFKLSRGDKKEERSGSKDRYGKDKKDKRNLSKAALVMDPQLESVL DLSNYNDGSTSSGSNPSLPSLTSASMTSVNAKRKGGFRSALRNLGMKKEADPIAVFAS KQRLEKIRQVHSNDSFSTGEYTQSIDLSLSTYSSRRTDTTFSSHYHSPTNPNPSSTKS IDDGHSKRASIASFPARPPAQPNTDTRSKESYLPVTKAILNKFPEAPDAGVQNGHAKS RPTLDIPPPVDSGLYSVLLPPYPTSLPSLENVSILSATVIRREISSVPEKQRSTSLSS LAGGMGGGNNGAKKLVWTSRQMVLTSFKVGGNTPSASPKDEYPSEDDAQVKTIANLHI FSLPLNTPSSATSPLKSRNRSDSSSSRPGSSHQTELERMTLKGESTAGFWEDAGGERK HVLRIGFGYEARNRDGVEWIVEMRSAEQLREWINQIKSLSTILRAEQEGYGHAINQAY ASGTVRGDDLALALSLQRRTPSGSVKKQGSQGGSEGGGGDVSPAPVLAPAVAPAVDAR MSGEAARAESPLLPIDSLPRVSAQLNRLDLRSSTRRSSLTSGSPSPSAIKSPGLNGNG LHVPNKHLPPAVTPPTAPLPSLPSDIPPSTYPSLSSHSRSDSMSRRYQPQDQPNPLPA TEEEGERPLTEAEKIRLEAQSHPYRATSPPIPQTTESVAVRPPTPPVVKSKEIPADAQ SVLSVPSVASGASTGASRRRAGKRVAVDVMAEFHDDYEPEEEQEPIIEDRPRAIRFA L198_07122 MSNFAKQIPKAVREIRLQFCQSSAASAGVRQFVQSSYPAVKASN PDLKFLIREASNVSPRAFVRFERGVESEAQLANLSDAEVGKVLSTLVNQQTGKL L198_07123 MPAITLLLPLLALFTLPSLPTTNAQDVLGLSTPSPASSLPDDSA SPSPTASPTASATDSASDSGSATTEFVIPTPMAAHEVYTSKEGDTCGSWGCGKEMKSD DASKYYPAVSSWAYSSMACGYGYYKNADSSKCEKGSWYSMDGCYETTIIQQTTSIIED CYASTKTKTVTETKESVSTMTVDNTVTETKKETMTVTATATSTKVEATTARETEVRNV TQTQEKIVKETEVQTSLVQKNSTITATKTDVVKQTETATSLVTKNSTVTATQTDKETI KKTDTATATVVNNSTITKQVSTDEKTIKETATLDVTQTKEVDVTKTKTDVQISTQKET HQVTKNQTIDKTIKETATLTATATEVKNQTLTATQKETATKEVKVTQTAVQTQTKTVN SVSVNNVTATATATTTLKETVSEKVTQLQTSTVEKTLVSTATATKTETETSTADSTAL SSCQASCSYKWSMATSSSKASSSDSSYGSSYDSGSDSSSYDNSSDSKDGSSYYKRSSA AGAGKVKGSPMATARHRRSGGVF L198_07124 MLAPRTIHTHRTYTHHTTSKKNIENAHALPSKTPSRTGGKQLLG PSTGVRMGLGVKTEGRDGNVLGGQSRGEGGKGKGGAEDIEPKRLFTNAPKSSIPPSKS LSSMPPIPALPTRTPAPSRQAAPSQTLRTPAPSFHLTSQPLPAPTPLPSATRTRRRSR QSLSNVQLTPNKSQVDEGKPRQEFVTPAPVRWEEELSLGSIEVEQEGLELVQEEEEDG EPEYMPPPVQELPYDPGWQVPDFEQLFSKLAAMPSIGISGYEPLPPPPLVLQSDVVDR LCSSGDDDLEEDYLKTKPVPAQRPAPSRGVPSRAPSVPSRAPTRSTIPSRLGAPTPAP APRLPARTTTKPPIPISRPPPIVPRPPAIRPTSRTTTVAGPKTPSTLSSRAGSRLGVP RAKPVKSEDEKKLEEMDCAIYEGWTGASVEAFELNMDF L198_07125 MDKEKLAKLQAQRLGGKGTPRRKVVKKSVTATQGDDRKLQAALK KLGVQPIAGVEEVNMFKEDGNVLHFAAPRTQVHAALPSNTLAIYGPGQTKELTELVPG ILNQLGPDSLANLRKLAESYQSMTARQAAGAGGEGAGEGGDDEIPDLVDNFDEADVKK SDLEELE L198_07126 MPHKRSKKSVRDAETAKKGKNLAPSDTKNPYDDTPRGATRIFTS IDLQTKFRASGRTNSEDTGERRGLPGTGKGANVKGKGKEALPKIMPQETLGEYNRRIE SLLRPAVSSAIKTAENQRQAEVADERRGKKERKRRARLEKLIKEGKVDKKVLEEFEKS VKEKKRKREQGKGGDSESEEEEGERGGKKQKQQQQQKEQKEFKAATSTSAPRRLNDIA QAPPSLPQLKRAGEKSGVYGAVSSGKMPLNAGQKRIMEEERERVINLYREMKAKRQES KCS L198_07127 MPVDMTPRHKMGISDFRDAILSQPPSFKKKKEYKIEELLGRGAF GKVVRATWTPPGGEKKEVALKIINKKLVKGNEQAVMDEISVLKGLDHPHIVHVWDNFE SRDKYYLAFELAVGGELFDRISERGKFTEKDAVDCIRQVLQATAYLHSHNIAHRDLKP ENILYKTRDVNSPLVIADFGIARHLETEDEEVEEAAGSFGYAAPEVLMAKPHGLRVDC WSIGVIAYTLLSGYPPFRSDDRMGLLVEMTRAKIMFHDRYWSKVSGPAKEFVKAMLEV DPKKRPTAAQALHHEATTENDLSDAIRANFDAKRKWRSALRMIQASNRIRSSASSRSN TLSPDSSTPASPGTPAREAAQAILTPLSMSDEDPMSDYLSADDSSRRVSLMSNEGELG QGQASGQDSNGALKGKPAVARPGQHRQSTLKAGHARSGSLPFALPEAPEPKVVKAEAD EAARPDIKVNGERQTETGLQTPPPTAAGSGKMMGSIKGMMKGLNL L198_07128 MSQQQPTENQSQQSRPAISFKEDIFANSQTTKSPENASTRPTLL SIPPRQAMPRRPSRVSGSDTKTVTISKATADSIKFHPELTEDPQSWESTAEGYVYTGT KDIDPNRPWHQPNE L198_07129 MSSQHIYATPATTAAPETVKLTTHNQYVTPRLLAGDLDPSPLLQ FNSWFASVLNPTESEAAGGRKVHEPEAMTLSTATARGIPSSRVVLLKTVDKTGFVFFT NYNSRKSQELIANPYASLAFYWREVSRQVRVVGKVEKVSREESVEYYNTRPRGSRLGA WASEQSKPVQEGELEKKVKMQEQKWEGKEVDCPEFWGGWRIVPFEVEFWSGQPSRLHD RFKYTRAEGSSGEWKIEKLSP L198_07130 MSTASSSKRSSKLFGRLLGRSGRAASPPPPPPSYDTSASPGIAV PPMVKGFRSSYVAPRGNEDPLELLKEYDTVFLVDDSGSMAGSRWKEACKSIMEVADIA ARYDDDGIDVYFLNSKRYGVGLSTTSQVAELFRSLKPRGMTPTAARLDVLLREYMGRL EAQNAGSTPGEAEEVKPINLIVITDGEPSDDPASVIVAAAKRLDKGEYPLSQVGIQFL QIGNDPKAAAALEELDDELGPKHGIRDIVDTVPYKGKAMDAELIIKTLLGGINRRLDK RGN L198_07131 MADPLPTSSAEPLLLTPQQKLMIRNLNAAIPNAERVVAWFPWVF NAHAMLICRTVSRFPWQEDGRGAVRAWTKFVFQAGETECHARGTGAVAHGST L198_07132 MRPVHLIILIHGLYGDIHNLHAVKGELLALAEPQLSSATSQDFE EKLDEAGSGKAQDGLETVVYLPKTIPGAKTWDGIDVCAARVADEIDQEIERLQDEDKD VVGFSVMGYSLGGLISRYLIGLLHARQPSFFARHKPVSFSTAATPHLGVLKYGTTTNT VVHTIGRRLFSQTGKQLYNLDREPEWAGRGLLEVMSDPDNIFIQALKLFPKSMIVANG TQDLTVPYPTATFSLTDPFADPTSIDVEVNDQHIVQSYRPLSPASLDRSSSRSSLLTS RSNRRMKDGSESADEEDVEISVTSLRSKGDERKRPPIPPFLILPLRWPFNYSLILFVP FLLPILLLYISIIVIIQTFHS L198_07133 MSGLALPKGPQAGPSNSNNAPDGRQTLAGAGDVATRQPQKAVAS VPEITAVDGLVPTLQNIVATVNLDCRLDLKTIALHARNAEYNPRRFAAVVMRIRDPRT TALIFASGKMVVTGAKSEDDSRLASRKYARIIQKLGFDAKFAEFKIQNMVGSCDVKFP IRLEGLAFSHGAFSSYEPELFPGLIYRMLKPKVVILIFVSGKIVLTGAKVREEIYMAF NQIYSVLLEFRKTT L198_07134 MGPLISLLYMIGATVGCIYLKIWSEAAICAASAVFIVLCVFIFK SRYRLARDLLDTANQAAQAHWSVFWTVLIGTLVQGLSSLWNIATFISVFLVFEPWHQG CDDGDYCSAGMTWVLLIFVIIEYMWISGVISNVTLTVMAGGPYALVDGSLKVDEWWNW LILLYSFILALNIGLALTSALEAGVTTIFVCLDKDPDYLKQRNPRFYDDLCAHPSYYS IVMPEANQPLQPREKA L198_07135 MAPHVDEGALQALLKSLSSAPATALAGPQVALIANALLPSSPRS ARAIAYLCLSKLTTDLEDKGDSAAISKVFQPYVESIFRGPQPQIQEIDDESEAAEAGG EFDPEACVPATYLFLALYPLSPPTSTTLLTTKLEDNNDPLAVLLELAELPSPLQSALA QLFVAAADSKIGRDLTLSRGIEWLKGGLRYTEGSSEVGALCAAALSKLLGRDAEEKIP VGGEQEEERVTAEKGDQEQLARRMMSHVESGNSSTTAIQSTIEGLAVLSLQPRIRAVL ASSQKFLKALVALSPVVNRKGGSLPVTPRGSMDADNFSKIFEAVETSFCYGLASILVN LTSRKPILSEQDAQIAKLRKMAVSADKRKLNEDDDDDDEGFETDGEVDKRVAAVLAAG VAPALSGLVRAESKLVKEQLGRLCRNLLDRGGSTEKNTEMIRARLAFVKGGGFKVLSI VVRDLLELATTVPKPPPSSAPTPAPPAIEIDILPACQAMAKLIITTNPVILFPAPMQT TSLNALTPLYHLLIHPDSLLIQRFEALMALTNIASIDPSIAAKLVKAEVKPLKVEAFL RSQPAGPVRVMVKIEEMMLDENVLARRAAVQLLCNIASCQSGFSYLSGEDSSSSPTRA RSLLNILLVMACIDDIPTRSAAGGALAVLTESETACKYILMGDLKDEDGDSTPARSPW SRAVSLLEPPSDETYDDDGEPIPVISSSPPAPNPELVHRGVIILYNLLEYALTLGDEE RTKEGVRVKEERVEEKLFGALGVVKKMGEEVVQPTVQCLRMIREKL L198_07136 MRSLLSLVVLLGAAAARTPQPRSYDTHTYYALELDPSTSPESIT ESLGLELVERIGELEGHWLVRTEGATPHHESIAKRSASHDPILKRWSSLPSGLGKRSL KPLTLRKRAKRHSPAFLRSNAAREDKTELLYAQNQLKLADPMLDQQWHLINTELKDIE LNVTTLWGQGITGEGVHVVIVDDGLDMESDDLKANFFPEGSYDFNDHTELPAPRLSDD QHGTRCAGEIAAVPNDVCGVGVAYNSKIAGVRILSAPISDADEAAALNYAYQLNDIFS CSWGPPDDGKSMEAPDGLILKAMVNGVMKGRGGKGSVFVFAAGNGGGSDDQCNFDGYT NSIWSITVGSVDRKGLHPYYSEMCSAMMVVAPSSGSGDHIHTTDVGKDKCAHNHGGTS AAAPLGAGVFALALGVRPDLTWRDMQHIAVRSAVHFNPDDPDWEKTATGRKFSYKYGF GRLDAGLYVEAAQQWKNVKPQAWFDSPAPVVEPTGSFITESGVTSTYEVTQQMLTDSN FERLEHVTVRVWIDHQRRGDVEVEVTSPGGITSVLSRQRRFDDADTGFPGWKFMSLKH WEENPLGTWTIRVKDQINPEKTGRFVAWSLQLWGESADPSLAKDWAPAEEGQPDEEQT GSEPTGVLSQKPKPTDHLPGNHGDASGEAHKPGLGSATAQPEATGSTDEDIAEPTSPT DADADEGFFSGISSLASSSTWLAGAGAILLLFAGSIGAFFFIRSRRQQKNLFGLSNNG TGARGAYEPVDDDVQMSLLERGRRKFGKGQSESKGTKELYDAFGDGPSSDEDEGDDDD GLDERTALRYHDDFLEDDDPRSGQLSGVPKTEYRDEPEAEEGEVKVNKGKGKGKAKAD GESGSASSSSWQDAGEEERGV L198_07137 MAANHLEYEEGDEDLFGDTDLLGFSSVNPSAPINLAPPAVAGPS SNPLSPNRPVRQQEKPREHLYPHIVSGARSTRTNEDERLAELRDRKRAGREQAPRKGV KSLRLISMNVIQQNSSGIWDIGDMEYSVLRPFLDEVGAEQLAEIERNSPHIKKDTDWL WEVLLLQDYRLFHERCRINREGEVRTSGWRKMYKRAKEDALERQSQAADRVAARYKQL EQEKKSKSIVVLDKVMPGKKPTRSRGRGGSSVGSTSSRPSAAATSIAKARAEAQRARI ALTHASGRYVPPTQTLTQAQRVSQSQLFKNPYMSGRPTPSGSERQAQPATVRIPAPRR VAKSAAVASRPRDVIASPPSSPIPGSFPSSQYPQVRASLPSHLSSQPSPASPQSSERF RIDSKTLGTKKTFKEIKKPEVRSFEPPVLEKEKPKQKTDFFGGAASSADGGGIFRVKK RKPGVVGGASGPPAKRPAPGK L198_07138 MDPAEEIELPVYYTPSASPPHSTHPVALELTSITPLAPVHHIII DHLALVAPVTTLILSKYVYDITIPKLYRHVTASKSLLRGLESAELGNRRKLDCLRHTE TLKVEDMAAMWHVSMLDHHAAAVRYAKVFPNCTRVEISQDVVDGHYHMKKGEIVEDWS FSTLKETLSMQMKEGCEIVEIPSLREGKAEDDDAMSMTFSSGSPFTLNTSQPAPNPLV AGMWVVVGVVWIWAPVILFILLSCAGYNIPSLNITNATANITFDDGSTWAMAKISIGP SGGCMYYDDSPRKCIRTLDFKPDPAFLHLPVNQTLTTSLSQTLNRAFVTNYISAGWIG VATLSLLVSFSDNSWSATSHDLMLWGSLFAWLSFWLNVAICLNFRRLLNVNDKASGWD YHPGNGLWLFLYTVVTPLAPVYHIIIDHLALVAPTKTVVLSRYVYDFITPNLYRNVIA SKTLLRGIESPGPGCQRKIKCLTYVETLRVQDMAAMWHVSMLNHEAAEQRHKNVFPKC RRVNLSQNIVNGRYHFRAGEEIDEWRFVKIKEMLAGQVKEGCDIVEVRRARADNEAGR RCQVYDLPIGWTPFLLLVLISCAGYNIPSLSITTATANITSADRSSWAMAKISLGPSG GCMYYGEL L198_07139 MLAKAALPTLFFTLAAFILLLLVTLSVPIIKSIYLLRINGSISL GLASGQASVDAGVLGLCYTGADASILGVSVHDDGSCNSPELGYSVFDNPIIAIIIDSN SDLSHTTLKNLTKALVLNPIACGLAGLALFTALFAWLCASRVLEIITFFTVCLASLIA WVAFALDLAIPLVARHRINDDDDSLLSASLGNAMWLVLVGAILLTLSICLAGCGVFGR YSSRRRNADAEYVEKPGYQPKRRL L198_07140 MSLPGAALDPLLRLDPSITLEILYLLPLHDLISCQLVSKSWNTV IDSYSTSIYRNLSLSILYSKRYSRLKAAADAPETTRISSSDALVRGIKVDWKAAVKKA VMEEKNWKYGRATTKWLTPGSNAVWRQKIDPEEQVLYTVSRIGGIQAHTLAAPHTPLF EYVDTAPYGHLEFTKGYLIFNIENHYEVHLTPPALARLSPEKKGRIPNVEESATYGDG WSHTKKRPWQLQDGETLRGHLTYYRSISTPTDCFAFRARTDREGTDKERPVVGFAGAT HAYIYGLANDGYVESYSFAGDIMNQDVNYIEFDDDFLFVCGDHDMRTFSRHTHKALST FPEMSFEQPLHVFYSLFPNEEKTALPVRTPSGPVEKPARAELVWLDGWWIGDSDSENN RIQRQASLFDNDPLFNACHYTSRDLFCSNISGILYVLRSYREVLSIPDPAKRQEAITR HLLLLDVGGDLETGERGEEQMLNQLATCGEKVVFNTNGKVYVLDAASLPAPPYDPPTP STPSAPCPQIRLLSLLDVHQHGLRHSSSLQMDDTTIYLVYWAQERVRNGGDEIDISGA HLTASDLGMCVKAWDFGWEE L198_07141 MTLPTTPTSSDPILAFDTHVTVGILHALPLSDLLSACLINKSWN ALIKANSESIYRSLAHNIGIDARDVDILEGYHQYASSHKEGDEEGAWNREVFDVCGIK PPSEDARKEISWERACQTYLRRKRNWVSGRGTVGYIGVPDNDVASVAVDEAQQVLYVG TWNDTVLGVDPAKPNELFSEMEFDDNGAVQELFWQNDHLIISGSEAVHTWVPDSNSRG FLVPSETIEYKNGDGKRTHVGERNGKPVVVTVKEPHILLVQDVVGGKERKLLLNNLPA KKLTWPKSKVIVKDDYIFLISGGLHIYPVSSPATLLASLPKIDMLPFPNAVVPKHVYS LGMNHEGVKPPVAEDGEALVHKLEMVVEKSEDVGMDAMFNFVSDVHVTATDLFVVGTT GTVYVLRSYKDVLSIADPKKRKAALNEQVIALKFKGGLNSIAVHGENVAIFCVAGIYL LHTSSLPSSSNTQTTIPLHLLLDAPSALLPQLEESIYLVLTRSRLYVVWSASIEFDEG EIVDGKGVGRCVDEELCEGDGYCLKVWDFEGEDDGVVV L198_07142 MSRRQDITSESLVALTKDVSALLALSYEAAASAIGDLWGGALVT VGASDGIGTSKEPLSRGESWELGRSKALVILGCNEVIPQALTLQLAKQGYTLFPFISI TPSSSSSSPLPDLLQKWSVLQKRLRAQHANHSGAVVPVIVDPDGAALNGDVYLGELGG QDGKIGKGKGKDKEGGRFAHGCDTVRAYCHENKLVLSAIICPNFSNRPPSDVPKVPCL VNGNGKGKEKVNGYITEKRVRWAPGDGTGLLDATEKDLLRAYRLNVLDPLSVIRELQD TLFHQGGGRIVLLNTASATASAEWPNSRCGTKTELFMESVREEMAGSLRNELGRAGVK VCEVMVGPLSKTALLTSPISSPPKALPPQNPSHPPSSANRLITLNPITPHQPPQPLIN TLFLTDPPLLHMALSHALTDVHPRKRLNAGLKPVLEDLCRRLPGGWVLRWVLGRGLRA AGGGT L198_07143 MAPIVTLKKLPTLQAQYDAGDLPALPEEGVPKYPFDKKLNERVT IWRGDITVLESDMIVNAANGSLLGGGGVDGAIHSAAGHKLLDECRTLNGAETGETKFT SAYDLPSKKIAHTVGPIYSSAERSAPLLASCYRSSLEGCRQYGGGSIGFSSISTGVYG YPVKDATHIALETTRKFLEQDDTVTNVIYVVFSKRDESVYHELVPIYFPGSDSTSSSS TEHTKAAGAAESTTPASTSPKKLTSEVKEASAEPTSSNAPVAAATADLAAGIVSAIAG ATAGIAPGESSSEGAEAAEVAKEPLEEGWQEVDVAEAKDAPVTEDVGGDVSGPKYAPA ADEGAREVKPEKVKSD L198_07144 MSFSLAPLPVLTALKHRITAIHHHADRLYLGLANGQLQVYAYDG DGAELLKTIQPGKRQIDQLGVLPTSNLLAVLSDTVVTLYSLPDLTKSAPTLSQARTVH AFSITTYTPKRRKDEPPQEQRDLLVVGCRKKVVVFGAGERGLNEGWELSIPHSPRHIV FPPSPSSASLPTPIHLCFTSTTSALLHLNAESPSSHLTMTDVTTDKYPQSDIPDAGQK EEGAGSGLGMGMGRFTNFGGYVGIGGKNNGPLGVGTVTGEVLLAREDTGVFYSSEGSY TRSTSLRWPAPPDGLAYSNPFIYSVVPAPPASHAQGQTEGIGGTVQIHLAPMLREFTS LPIPAPSVGSLALGPTATLSTFPTGTSPKLLVTTTPTDKSLLAQGSSVYVLSSPPGDV LVDGLVRKGRVGDAIAFLESTSTLPRLTSPATTAPPTPSSTTSPKPYTLQTLQILQSL QLFAQGQYQTALESFARHNVNPVKVLALYPKGSIAGKLGVGREGWMDLFGGEGGRLEE GAHGEDKEDHPGEGGVKGLLETVKHGLQRTPSHETLSKETASIESSSTHLKSPAAADL APEGELPRAALEALMFFLSDRRQKLAQPIASFSSSSSSTAFPSSPPLPPLSALSPAEL FALPSLPFSQISPEELLRMAQIVYTGLVKVYLLSRPTLVGSLCRIENWCDVEEVEGLL KEKEKFGDLIDLYQGKKMHRKALEMVHDLAKEEDDPLDRYPPTISYLQKLPSSPPELL QLRFEFSKWILEEDVACGLEIFKGDEPPTSELPRKEVVDFLEGVQPSKKGKKKEACVS YLEWLIVDMGEKEGWAHEKLAELYLDGARLEKGEMFAKLLSFLETSEGYRAGKMLSRL KKDEMPEARAILLGRMGRHEDALKVYVYQLRDYTQAEAHVLPANAGARANNKTNIFAI LLQLYLRPAPSYSSEQLHKPALALLAAHPSSLPMSSSLHLLPPLTPMSDVQTYLLQTL RSTHSNSYSGKVHAHLSEGRKEQIDLALMAVEGKRVRVGEQRVCPGCMKRLGVAAVAV HAPRGEVTHLHCKDKFSRKLESMRG L198_07146 MRADERVHADASEIRLNSELQNFNKANAVSMQPATPTSTLRPTY AARIPSSRPPSPRRAAINARIPPNSFLRLFVGALAIAASRSWVLGHSEEGSGGAGWLV LTYWAFRVFDEARLIWSGPRRRGSTSLGQERWQNMLAKSGTLAIQSLSFFVALERLGP FQIAIIGYTASVLGSAKPSNIRSAATMAPITIASIYVLVQVYLAHDHVPILITFVYAL STLVIEAGLLRSVRPVQYQDKDAQKTHVALRRTIASAGIAVAVIFGLFLTGTLPLPIP LSSTTSQLVGSFFAAFLVPYIPFSFSASSPSPSSIHLKSSRDKSIFLAILPLLQFFAL HPMPTAIDVLALLPLAVISVWSVGTPKAQSEGTWSFPNQALSTARTKYSFLSILPPKW RPHFQTIMSSPTSSKIFYFLLLNLAYMGVQMVYGVFTNSLGLISDAIHMLFDCLGIAV GLWASVAATWKPDGRYTFGYTRVETLSGFANGCFLILISVFIMFEAIQRVYDPPEMET QQLLLVSGIGLAINLFGMWATGGHHHHGHGHDHGHAHSHSTHDHSHHLQDHSHDHSHA SSQVAAPPRLQKRKSSGVLSSGAVTPISSGRATPANHEEGHVHGGGHHEHEESNGHQH HAHKHDDHTHTHTPPPKAHSHSHDCDRDRSHSYAHAHDDHDHSHNHDDHHDHAHSHNM RGVFLHVLADTLGSVGVIVSTILIRFTGWTGFDPIASLFIAALIMASVIPLVIDTGRV LCLDSGEVTEGEVRKALAELSNIDGLANYAAPRFWPRCEGEIVGSIHIQLAPAPSSFD PGRHGTPPNHSHSHSHAHGKNGEVIYSNVARVVARVEKVLKKRIRGLSELVVQVEGGS EKSFCTCMTGGGK L198_07147 MSDEYLSLQHIPSFPPSSGTTKRSSMSFSALLQGCQDNELDVRL FEKNTISGLDPTSGFSSISVQSAGLSGEAVPWNQYNRETWGDDAAMAALVPGMFRHAA GGWKRLDADPHLSEWIAVSVDPIWALFCAAWRLGLGHQQQVFMSIIRMPGDHDPENPL IVVNPLQEAKKAGLDTTRAELFSLDPNKLRLAKNCLAKFGTELVYRRIPIEYIVETVE LTHNSIPWDDIPLGWFRGGADPSSQSHYGWLYCLSFDPFSKDTHYGDAQIAILDEKRS IEKAKEEEESQWDSQREFQEVDTESSTGDEDGVDLGEHEDAFQEVGLDGVVEEEEDDE GEGEASEALVKTEDGKPHVAVKHEHPEEEDEDGESKGVKREKFLNY L198_07148 MLEKNKIESALEEEAYERDRPREYWEVDVKGFEGDDDSEKSGDE QGDVSQEVHPGDEDQEGEEEEEQEDADEGEAAETLVKVEVEDTHVAAVKREHPEDEDE GERIDVKRERRNRERLRGSVDVKQEHIM L198_07149 MDSTVLLGKATGEIGGFNETMAAAAFEEISSFILRCQFASIVIV TFLSGVLVMQIFTYFTYQRNDVKLTQVIVAWSCSWTLVITCYYWVYISYLFVDNFGLW LPWLEVRWLAKMPVFDALAVIPVQSFFAYRAYLLMNRNKILLAVLALLLTTAAGGAIG TTILFGEQETLFGASASGPALITWTAVTTGADIIIAGCILAGLLRSKTGWTHTDKLIT RLVRLTFEAQLPPTFLALAYVLEWSQTPSSLLGAVFQSLQSSAYTVGLLFTLNSRIAF TTVDNSIRSQQTPQVFGMSNVNSRHPTDGIQVDVQTYVHDDQPYEYSHDRKGHSKADS LSDGDTERGVVFENGSRAHLTAGSNAV L198_07150 MPDRKDVHNFAAGPSPLPSNVLEEASQGLLDYADTGMGICELSH RGKEFKAVIEGAEADLRKLLQIPDNYAVLFSQGGGTGQFSAVLLNLLSAHRIANPVPA GQFTPPTVDYILTGSWSSKAYAEAQRLTSAPFPNCPAFATPRVAATTKPTGWTRLPKK KEYNFSKDAAYVYYCENETINGIEYPPASNQSSEFAFPFDQVPEGVNIVADYSSSFIS RPIPNIEKHAIIYAGAQKNLGPSGVTVLIVRKDLLVDTAEAAKLGCVPVTPITYEYKI LADNASLYNTPPTFPIYVSALVLQRLLSEKGGLEGLEKVNKEKAELLYATLDKAEEKG AIKTVVREKEARSWMNVTFNIVGEGKEKAFLDGAAERGFQQLKGHRSVGGVRASIYNA VTLESVQLLCQYIEEFTSKQQ L198_07151 MSASTISPETFVYAKRHNIKLDAYLPTSGIKDKSHLPVFVHFHG GGIVAGSRADIFLPAWITSTLPSKGFLVISADYRLLFPSSADDIITDVHTLFSYIASP SSDLSAVLSSQGFALDTTRIVVSGESGGNYPAKAAATLASVQPRPIAWVDRCGQGGDW LSDHWVKPYNVLPFTPFIIYDKAKALKIEREAAKGEEGQVVVKSAYRMVDGKIQDDLG RFNLCVYWLEQGLFVDRLLGLPGISAKLATVPHDERLSLIPTDERHILLPITRSTCPI FVVHGTADGMVPFSDSEAIAKEMEEQGLEVEKRWREGDSHGFFNPITFQPSAGVEELV DDILSWNGNKVGI L198_07152 MAATPQTFVYDASYGTKLDAYLPTFGPQDKSRVPVIVHFHGGGI VAGSRNDILLSSWISETLPSKGFLVLSADYRLLYPSTADDSIADVHTLFSYVASPTTE LSSALSSRGLTLDTTRIVVSGESGGNFPAKAAATLASVQPHPIAWLDRFGQGGDWLSN HYVKPYDALPFTKFIRYEEAHAIELDQQGGGEVVTESGSRPREDGRLRDDLGRFDLYV YWLKEALYVDRLLELPGISAKLAAVPYSERLALIPAEKRHLLLPITPHTCPAFIAHGT ADGMVSIVESETIAKEMEEQGLEVEKRWREGDSHGFFDLVTLQPSAGSEKLVEDILCW IDKKVNL L198_07153 MSAVIKDIYNTFLFDPEHGLQLDAIYPTSHPQDAHVPVFVHYHG GGMAAGSRRDMFFAWMRDILPAKGFLVIFADYRLLYPSTADDIITDVHTLFSYISSPN TELAQSLAFLGITIDASHIVVNGASGGNYAAKAAATLPTVIPRPIAWVDLWGQGGDWL SDFLVKPYDITKYIVGLLYDEARAIELEEAGGGEVVTDDRFVPLEGGKRGHKLGRFSM VAYWLRQGTFLDHLFSAPGLGAKLAAVEPQHRPSLIPPDKVHLLLPITPITCPAYLIH GTTDKICPISESKAIERDMKQLGLEVVVDWVENGEHGLWDTDTRKPVATLGETIENVV AWVEEKARVPQAAHHYESLTRGANMLMTALTGDHLGPYLLSSNPCLYLKPLKPPLSII SDISRALRHTISLTITLGLWTGTHLNCFTKLFHCASTAPPPPPPHGPNITQAIISCST SPWLTMKGCPRVLQAIGEFEPGRLDGEDLHAIDMLDVYLPTSRQSTKNGKVPAFVHFH GGGMVTGSQNDPFFPEWINTILPAKGFLVVSADYRLLFPSTPADMITDVHTATAELGR SRVRELLRVTYLSASSTPLNTWLSSLDIALDASPSVVSGQSGGNYPARAAATIPTVKP RPIAWFTLFGQGSDWLSDFWLSPQNVLPYTPFFEYDQTRAKTVVEPGRGKVVSDSAYL TLKGGKVGDTTGRNNLYIYFAQTGFYVDVLLSSPGIACQLGTALCTKRLSLLPASLLP LLLPMTASTPPMYIIHGTSDHMVPIADSYKLKNNLEKEGVKVRVDWVEGAEHGLLADD FGGLVEGFDGVVGRVVNWLQKRAN L198_07154 MFNAINHLRARVATLFAATPASFARESAVTEPVSHASHAGVSSE RSVQVVPAGSVSAPAFVHSDDGIDVAVNHVFHAHDALTTSTHWVEDDYLMTVRKTTLL DEPARTCANRQSALSSASSSTSTALGQGRGAGIDNINITSATGTHADRQAQSRPSSGP APRRRQLDFMNATHAPYPRGSTRVQVIARHREQIKVTSGAIRIPTANGSTRAVINGPR VSVAALCNALSGATPATATASSSRSVFAPDVIRRAESPVGSDRAIAIRPKPSFSSAPR VAPPARLSLRGPPPVRTILKRRINEVPNDDTESELARIPKRTRVTPPLQLVVSAPRQV SSSSSTSSSPASDIILTPPHQDVALPVDPPSPRCTKRTGDQVDEDADAQNESSSELPR VTKTIRFDTVPASAGDANYSRADTRTAFVSPPRAFLLGAPPFSPLPSHPVVPETETVT AQGTHATFAPPPRAFMVGAPPSTPLPCTSGRESFLARGAAAAPPPFFSRRAPAATAAA LASSATSELY L198_01240 MHNRTPFPLLRLFSGPQGSTTVPLYRLSTIPPACIPTSMANALE RVIFGPTQFYNKEREAGITDLRQVNRHASLDMKDPADRTTDDQEAGSRNARRFWQILN PDHVFTFRYDAQVVP L198_01241 MAHRERGSTTNAGVLQKHPPTNTPLSAPSKSLLTGKPYGASQTK VIKGAHPPATPPTNAPPALRSHPEGDVPKANTDAGNDVRSYNVAMPLSAPSNRLVTTK PYSAPQTKVNKRGYGCGVFEDPLRPKKVSFAAHV L198_01242 MRKVTLMSSSMFGSVGSHRNRRKELRALLRHSGMPSLFVTLNPA DSKNFVVSVMAGRDVDLDERLGLSDEVKEEVSQRFSAASADHGACAEGFHFMVEKFIN VFLPYGSPEGGIFGKCLNHYGVVEAQGRGALHIHMLIWLEHAPSPLELRKHEKENPEW AAEVCRWLDTVMSGSLPEGAVPSGRYPPCDDDTLAFRQRGEHRSLPLLQPPPHLPPHM SEEDWQRVRQDVVEVLECGQLHDHSFTCFKHLPKLRRAQKLGDADCRFKFPKEEVLHT HFNDDGTIDVQRTHPKLNMYNLIMVAAFRCNMDIKFVGSGMMAMAAVYYISNYISKVA LDTPTMFGAIEARFRQGNGRLCRLGSCSQEETSSQACSSGLSLCAFRLQHYSEGLSPV GSGKEGSCGVPSTPTLFPYSSLSSSPIPLAPPGPSGSSWRPITVITPFQMLFGTPPNL SHLRVIGCVGWVHVHKKKHLRNPFFQHNTTGYWVKKRRESERRVPRLGRDR L198_01244 MENIDIPGDAPSTIPKCPREGTAIPSSSQVSIPGSQDVEDDPPA SQDLKHWEHVGTFRDYVVGVQYYRGLVGEGESVMLRRDPANEYDSNAIQVVNAGGDQV GHLRRGLAMQLASYMDSNKIFVEGRMAEHNLDGFKHYELGLDLSIYRNRAIIPAREAD DPCA L198_01245 MASMCGTALPLALLSHLLHPSLIILGFRFLCHFQIQVISLVPTK GRSLRWLVGMMTGGTIVVLLVRWLEYGGDGMGMGIMLDFIGIDEQPSLLHLILLDLTI YVLHFLALFIAYLNNLPLTIDVRKVFPFDDILLPPAPAPIPSPNERGEVVFDEDEEEE GEEGEGAEQSGARRRRGKGAKYSRVGDGNEEEEEVWLDDAIPPTSALLPTILEPPLIA SLPFLHILQTIWRLPSPKVERSFEGGTPAHTPGGSPSGGGRGREAVDGVFEDGSSGSH GGNDGVRQGDSGGGTGEVDERTNGREGGSGTSGGSENEQRDRQGVGRIPGDYWVSRDW L198_01246 MGRRAQDARCSVCFASIFGDLDDVNEPRAAVATECGHVIHEHCM LRWIEMSADAQLANKQIDHRDEAEATCPQCRSEIYADEETGKPLIHRLYPVFDDEQGS SQVRSSPVKAEPVDEGLMGMARRAKAMKSEIDELGPESTRSQLERGAERVEGLKKDLE VSAKSISAVRTHVGGLIASINNLRSTITDYPLTPQLRDENMALRAQLESAHLTMRRIQ TEMNQKLMAEVRIARREEQEKAVRLVEEQMERVDQVKSELGRAMAEKNASGKLAMERT NEMRKKVERLEAQMKRNIKDKEDAEESEGDKRKQLKRWMDKYEKLEKKSNEYKRENTT LAEEITALKANIALRPDPATHDLSWAEGDSSVTWVPPPDTASSNIRPAPPPRRVSSNH RTADESSLMIDMPSFHDSFSREVQSVPPQRSATAKTFSSDMFAGKKEKKSKYFGAGEN GDDISDLSLKRKRNNSFSLSGLPEASLAPSSPSFRVPETVPSSSPPLPRASSSSNPFV RSKDSRATPATRPAQASLPSQSRPPPNSTVLVPPSSPPVREIVSPWDGVDESPSPPKK VDNGKGKGKGRTYLSDEYEDTASSKSTLPSRAEHRPASQTTARLPLSSRSLQPSTDSI PSSNPYTLSGKEKVRGSTTTTTTTGKDKAVNKTSAQQSILSMFADKSGRPKAGVVTGS KSKKRI L198_01247 MPPSTSPLTTHYALVIDAGSSGSRLQIYSWRDPDLERAEILREV QDAQSLEGKGKKSKGRWWWGGEDGYRIGKGKGKEREMEEKALRRLVRVGKGVEGEDWV KRVEPGISTVNPDDITEYLAPLLSHALQHIPPSAHANTPIYLLATAGMRLMPQERRDA VLKATCDNLRDSYPFQVDGPSDAGPCGESVRVISGEEEGMWGWVAVNYLMDGFGHAPT LSSSSDNLLPLAPLADPPPDSSSSSVTPVDVNHHSPTFGFLDMGGASTQLAFSPSPSE LDSSGFPVEDLRTVGLKLLSGEEVEWPVFVASWLGFGTNRARERYTTALLESWAQAHP NPTGKDLSTPLPDPCLPSNLQTPSTSPDLPGLIGTGSFSQCLESLVPLLEHSTPCPTE HCLFAGQPTPRIDFERHDQRGFIGISEYWYTMEHILGVGGVWDWGEWEKGMSEFCGKQ WGEIEKEREEDRFKSVDLDPTRLEMQCFKGAWISNVLHEGIGIPRLLDVGGNDTLTGG DVGDTNAEAERRAREKGLLEGAGNGKHHFQSVDEIGETAISWTLGKMVIEASKAVQPR DTVREGAWTKKLGLGLPAKLENRLEDMGIQVVWAYAFLAFVLLACAFGRKKRYTSTPG RRRKPSISDPYGSSSNATRMWPFSLWAQPEYSVEDGPIEPMLSAGKRSISAPGRLRLY TRRFSNLLFKHIPFLSPPQHQPSYGLSQPRSRPSSANLSQFIPSPAYSAPSSPRTSTG FFVPAHQAMYNGLMAAAPIGGRERNSLTPDTMSGVASASSISPVPSVGSSPPSMSRST LNYGRPLKSRQNSYHIPQPASSPSGGWNDPPSSMLLSTPTAATSSSSSDPLSPPAAGV LTPTANHGSLQTGARDGLAGMLSRNSSRVGLNELGLAQRSMSRAGTPGFE L198_01249 MADRNRWQAAAPPPHPDLLAFVQNLYDQGMANWRAVNTRLEQDG SPWRFRSEYTFRRWCDEHGADRAAARRFGQRNIPQRPIGLFNGSNERWSGDGYDKLSD WGFCFYGWQDVFSGQIISMCLLPSNVHPQNTHWVFLQAVLTASLARSRLIPAPKPISY RGRTRG L198_01250 MSRLNYGQGVSPLITPHRYLTSIHDITIKRCWQGLTKHVVEPVV EAIAQGREEEGFVPMNDAHIRVFWFLFIPLITSSLNKFIILHNHHKVRYQREKRGPSG CRRIDVWKRPSDFGGEQHLIPLTDEARGVLEAWSEDAYREGQMEWLTPAEYDICAAGL ETVGWTERWHYHDVWDLFSRLIAVVIDDLYLELQRQSDEVDTD L198_01251 MKVPSPILFSALSAFTTLISAQLVVQDSTSDIHPLPLPQSQPSY TLEVLEDDVWPPKGNVKVHLSTERTFLLNVPDDYVDGEEHPVVFSFHGAGGYSEKQQR ITELSDPTLKIAGKSFLTAYAQGVNNTDWNMTHIWKGAPYENKTVDDIGYVYDMIKVV SDIWTIDHRRLYACGKSNGGGFAALLACRPDTSALFAAFLPVSPALYQGVYSFHGCQQ DRAVPILQVHGVEDVITPFYGRTPEGGSFGPEPDIRLWRREWALRNGCVGRWPGQYPE PEVQEIYEGVWEEVWDCEKGEVRALSVEGLGHAWPSTLGLDLAGRPNQTASFNLTSPY LVDFFSKHQLP L198_01252 MTMKMYFHGTLGTDMLWFASWMPSSAGATVGVCIALFMLAIFER YLMAFRRACDVAWRKGQIGYARPTASGPLPTSTTATTTSTLSPSSLSQRHRSNPSIQK TTYNAVSAQDYPVNQDHQDPDADAEPVTPYTAGTQPSSGSSAPSYSLAQMQGTGRKAE KETVEKKEKREEGYAHLPKAVRRGLDPGREDRWSRPFRWGVDLPRGLLQALQTTIHYL LMLVVMTFNIWWCVAVIAGSGVGEMLFGRMGASHGGH L198_01253 MSCCSDLPPVQAEYTPKGTYTTFSGLKTYVVGPGDAKAAVLYTY DIFGFSPQILQGADLIASQGYRVVMPDFLVGKYATPELFAPGAEAKKQEYISQFPAAI PTQSKPLADSIAALKAAGHSRVAILGACWGYKAAVMTEGLAGVDVFLAVHPTFPAPED AEKINVPTLILSTSGEDKSVIDAIEKGVEAKNPGKNFFKRYADQQHGFAAARADLSGG DTLAAYVEAYQLIVKFLKEHL L198_01254 MSKSLTLSLPYSSIWTYDPPSSPVFYTKGLNRSRGESLGKDHPK EKEKDKDWERERASKSKSTSQSPSTIPRASMTSDPIKTKTPSAKAKVLPWVQGVHDSE GSIPDGMFGPYNSRPASMVEQPTTAPRHPFAYTPASRPSLPFAAIANNSNTGAGHQAQ SSKGQRPESEAFSRGAGMRDLSGGHVLGGVRVRGDETPAGESGTSPGEGTFGMEGKPG QRTPPPLSKSPLPPTTKPPSPDSPSNTRQAQEQEHHLHKPHHPYPFSPAEALAKQRSA MADARAAALAQLEAAQHNADELLEGQMREVLSMDITQDGGGGGGGEGGQKEKGPRQTF DTTTSVPSFGHIHHQNGMPISTRPSLQTAPDGFFPPFSHAQAQGHTSAPPDVFRIPFG VPTGTHVPRPLMVLRDSSGGAGGLGLGGLGGLAALAGGGGQRRGDERSAYVETVPDVS LLFKEEEVLSPEHTLRTDGRTEGSRSRAVSPAAARTESHLLPSHSQHPTERLLPTQAT RAPSSPLVPSPKPASQVPSMATKTTVRGSKTSRAAAKEEKKAAKAASKAGTGRPLAGP GTSDYTQIPPDSYVSTFPGGMLPRNQLQSTHGTSLSMPPPPHSLQVPGSNQTSGNGGG SGTVYTETGVGSVPVPGSGAPPPTFAGTQYTSMAVPNVSQMEAHEHPLVAMSAWGPGP GGLQAQITGQGQGQQAGGYAPSLQPQGTGYAQSQSQGMPSQAAASQQQVQGGFAPSQA PPAPLQSQATGYAQSQVPPQGTTVYSQAPPASLAPQATGYAPSQAHPQGTTVYSQAPP ASLHPQGTGYAQSQVPPLGTVYSQAPPTTIHPQATGGLQTQAPSTHALTQAPGGTVYT QDPSTIFPQGLGLDRLAAAFHGQVPMNFGQAIAPGQAYNAVEENHEVQVNHSPLESGQ IDESLLSPTLSQPSSLPSTTLSNVKRLIDSSRYHDETLCQLLDAARLNLIGGEAKKAL QRAARARVVELKELRERGEEEDRGGETPGFGNGHSHSHLHTFVATEYDESRESRGKER SKEKRRRRSKSRKGRTASGKSDKARTTVAVEEKEEGAPAWAQDIMARLTAFDQRFTAL EGQKPTDQPLPLSSRREAYSQFSREVPSGLIDDLIYNGLPSSGPTGGGVDNSQGFPQS AAVAVGSAPTQSQAPPTMDGIGVGVPPGVAQSQRAASGVPSHYSHMPIPNVSAGITPG QHQPSQYAPTQAAPFQYAPTTHQNAPTLAPTQISRQHHPGAGGAMGGPRNASGDLLWG SEVELPKMGTTPKKGFNEGPTINILAPTESGPGTMARTVSGKSIETERLRNGGGMDAV TNDEAVSEHTLPTAALPDPREKDLPPQPAESEQSQPPGSTPDDALTNAQRSVSGTHLA SHAPTTTVAGTVPRQMSPPGVGSQYASSWQPDQWPPRLKTKTAGTQPSQARGSPSQYT QHPQSSHIVQGSPSQLRQQAQTSKSVRGSPPPPVPTQASNLTQVGMLDPNMPMWSGHE TALGTGTVAGRQGTMYHTAIQTPSSVRPPTAFPTQTSNPTTFPANSTAQPPLLETMTS LQAASNILSNDSPPPSRRDILRITDPLASGPSGGWRPWDLLTQRLYSWALIMEEKSFV RALEDISLGRQVGEFPLSVFMMLAYKRWVRKTLSENPAAPCDKLFVPPNLAIAINAAV HGRQYREAKEILLDLWDCVGQKEPPRIIVALAPLGDEVDEWAAHRYDLSNKHLTSYRV SHLSEIKTDGRSFWWWEVIRQAWPQSNVPTMEELESRGGQRIINEHRAPEYKHENSLY AANISRNLLLGHRPERTHDLTKQREVIWAEMKRLLGKKRNGRLIVDPDAPEHLYDT L198_01255 MTQIHTTGATVSNTKPFPPSAVIVRPATIDDMPRKSDITSWYVL NSLSEFMSQPRTLEQEISNFDASRAEGFPYLVAEVDGYVVGYTKLRAYNGGGGWARTA EVSVYVDHEYLYRGIGSLLLQKLWDVLGHPENYDESWLGGTKRGEAHSIVNVIARMVV NPEGREKGEGLAKFYESMGFERTGRLKKVGWNEGQWLDIIELQKRFE L198_01256 MRSVEYPHRGSKACVDLVPAEFQEGASQTRDIITYCVEDMKYWT DFDSDDLDEHETNARNPMDIVFTNIGTTIFPDGTLVHLPSDLKQAVLEKLQRKVRHEV RAKLLASAPSQVWAADRIHLRGHEECEFCPEVSH L198_01257 MSQIHSKFCSPRATAPTIPYDISSLDPLPIEIQNVVYDKLLADP NLTFTLASLSKSHYTTLIPRLYERVEVTEQAVERGLFEDLLTGADRLEARGEGCLPSK RDLLENCKSLVVASLPAFDAITDAIQAWKALLAERRETGTVPDELDGIFNGVTHLAWG PTSSTPCAETKPKWTRTGSILETGITDVACRSRTFTTSVCVSPILSPRRHVTN L198_01258 MKLLQAFVVLSFFGTPIIVGAAPSKTLFLPRDPNPAPAATQEIA QDLDSDEAFIGTGTWKDPLLAPRTAATDGNSHVVKRTDNPPTGSFNITLPSEREFLLN VPGGYKHGEKHPLVFSFHGANGNKVTQELITGLSDPSLRIDNKPFLAVYGQGIANTTA DKTAAWLGAPYANTTIAFVYDIIANITSTYNIDPSRIYASGKSNGGGFAALLACRNDT SSIFAAFAPVSPALYEGTMAFHGCQTDRGVHILHSHGVEDQTCPFAGKTPEDGGSGPE EDVRLWRRQWALRNGCVGDYPGQYPVPKVKQVYDGVWEEVWDCPKGEVRALSVEGLGH SWPTTEGLDLSGAPNQTAIFNLTSPILVNFFSEHKLP L198_01260 MLGKYLFTVLPLLALAAASPVKRQNGTAWPAFAAQDYADFQISD GTAGQAQAAANAVFVDPFNGCDLSTVDATSLKNIQTMREAAEDAETDQFNSAIDAASG DTADALSAGKIANKVLKLTGEVQALTIQQAQGKDTADKIAAEQKKLDSNIATDEASSG SAMTGVTGGSANAAAIAADNSTTSSTTECTKSA L198_01261 MVKDYSVNVQGGEGVQGYWHDPHLGDQFFSNSPEGSVESDDVTG LRSDRYAYGEPYERTYEAYDLEDEYGPARLLPMGNLRSPHYSDVDSPLLGSMRDDNEG NVRSKSFVFGWSDEEEVEQSH L198_01262 MNVHEPAHTTKAPRHHPPLPLKLSAPSPMTSSPLSAGQGASWID FRSPPSSPMPAVRPPNTTSPSWSHPTRERERERERERGDGRAWAGIRRALSLTNRKRP LLFSVAKDPKVEGDRDGDTTEEEGGGRAFEGPSAEVEIDGLGSLAWFPAPPGHAPLGP PLLLLPEDGGEEEVHSDDSLPPRTPPSCPDDSPLVPHTLLPLSPCVARHERALSLAGD LSSTSAPAKMAHWPADPAPPASHPFHHKKQPSVPLSTRTTSTFPYISSPISPSTQYAS SSLASRSSNRHSSKYTAFSGSTGENEGGEDDSIYSYSTLASSRGAHWHVSDKPDISTV FEEDPSDKGGFHNDFAPPPSAFTHKSGSQSERGLRYPATKLHSQASSSTLVSKATHKS VPNNYFSVRDNASLSATSVNGRERSGTPSSSSHGTVVSTKSTSGSTAHPFANAVVRPS TPSSKPKPSFLSPQPARSGPTPLTGLSSSKSAPDLDSLSLPLSHTQRERQREMPPLQP VVVSTQDEDEDDMCPVCVEPMGATYRLPGEKPPIVPECGHALHEECFSHVYGTPPPEG SRKVLGVCGVCRQPMKLAEGGAKKDKLSALMGQPKSGGSVPGNRALPPPSIRTTSSSG HPHPSSHPAHSPATGSNAPKDLSADDPISRPPPSSSHPSSASNPNTNANEKNVKVLVP QLSIKSEFPCMPKNGRGKEGRKETVTCLVTVTVPSPRMGERGRYAAMVRPVVGLGLGM GVGEGSPQSPPSPTSTRDILPSPAQPPSASASASGTGGPADPFTRVFEDLKTRIIDPK TLNAPSLGQLKLFDILSVRKGSLKRDFHVYLCTDALVCAGEEKKSGFRQIFSSAGGSM RSDHSGHSGGTGGGKGMVLRLKGRIYLRHVTAVLDQSTPSELALNISMGDDHPDSFIL TFRERGSFETWKTTIGRMMEEAKGKRERGGGGGAGKILGPGMPGSFTNMVSPQHSPYT PGSAAFSASSPSTSTVQDLPPPPSSSSSTQGSTSDLAYTTPLAPVHTPLDLVLVVSLS AFAPGQSIPLKVKLVRQSLAFTLALLGVNDRVSLVSCEMGLNGTLRKTPFLSPYLPHS RQRLEAFIETLGQGRPFGDEEGGGGGDEFEVPVGRDEKLDVVTAINTALDVVLQRKAK NPLSGMVVISETADGLRKAQMDLVTARLDAAHIPVHAIGYGRVHDPSPLWIVTSHTQG DYTFVKEWYHLRETLAGVVGGMMSVAMDNVKLHVSCVDNDFRVIKIVGPVGTVVVGAG KELDVELQELHYGQKREVMIELEVENAEGRYSGEGSSESWDPNASLRRAPSSNLGMGL LSVADNAYEDAVDEVPVCQVDLAYRDPSVSRSVARLAHPQLLTIAISPRDYPSDPAIV ARRLELLAGDMITRAVLLASRKNFGQAERITAEMKKIIRKMQDNITYHFADGSSSSSG AGGSRRDPGRRGTKKEREAMLAVERLEGPAESLEILMDGILGVGEKGSLDRDVRDHSA QQSSIMRSQRAWTTRSLLEADYCVPEVQNIIQLCGEWQSRF L198_01263 MQCLEHPHKHCRPWSTDLPSFSSTAVYSAASGFAHKNFPLECED LWSTRTSILPPYPDITPSSSILAVACVTYEAIVCWAEDNVQDYRYDVEDIVTLARDGF HTLGMSEGTSTRGWTTLRQALKEVPQDIQNEVKSQMRPMGTGPLTMKSKVFNASMAAS IREEWSTWGKELWERWWEEARTLGEKDIRALFSSRPEEHAKMEKSARRAGYDRACNVW KTNLREDCPWDNMADDDAMSMIEREIGEGNDDRKDQVIHFVGFGAIFDCEGGARQLHW KRRLGECGFRGTVYLHEKKCHRGVGFILLGQSVTGRTLRHEETRHCGVGFILLSETFI WGPKYRRRISEFDKVDDSILQIVVSPILRSIIDIASSAASAICQGQSSRRWSIKASHL VGRRMGRSKAKLRKTTVVPSIHPLATVFQDRPTPPRVSALLQKSSCTLRVHSPHAPIR HPDHLTFSRFEMAPITTCMMLFAGVVL L198_01264 MPDQHMQDDQDVQINTQPTLTPAGEELDHAQTGHTEAPCPEDLQ RGVWHSFGFQPRDPDTQNTLDGQQDAYLPSPLPSAAANQPTLPTTITNTEEIEMCAPP PTFEASPREGERTVLGGPGPSHTSSDRALTQQGHAAEGEEKQTESSVGPDRSSRGRGK RGRGRPRSNDIIRYPRPPRSSPRLAECAQGLQLQLGSAPTLEGGEDSPLATVTRTSPR QQTHLDVPPHHAGEGTNARYHPYSQPSTPFTSSFPSTSTPSRSARSKAPKTPTPNTPS TPSLSHTNSPTTPITPTSLARRRGLNQGYCLEEAKGLKDEVSPMKGSGWPGWGPLGSG GRRRRMGMSMGMGTGVGMGSSPGMRMTSMDFGAAIPRRPPSMTTSELPPLNPAADSPS RGGNVGDVGALVGGQEKAMEGPEDSEMGEEDTAPAPPTFIENPFLSQNTAQSVEYPPS PRSRAIKYGDEEEKEDGEDGGSCTMLSELHLKEDDSKP L198_01265 MALTQQQQDALDQVLAVTASATDGSRQRDEQLLRENGWNVQATI EQIFNLTDQPDSAPSSAGPSRPTNRSTSAASDQPHAPQSSRRLSGTPTRPRAPGTSGV GLGIWDKVFLPFTFLFSLFTGAWYFMIRTFLPLSFLPHLPYFLRPPSPTQRIPRPAQD PTATSLAFVRDLETLTSASTSLGTLPELYIGPYREFLTHVRKEAVVGMVVLVSSEHED DEEFKRGTLADRDVVKVLRDENVAVWAADISSREGFQVSQTLLTTSYPSIIFLSLNPS PSSPKLQILSTVAGPPSSTTSPTSILHTLTTSILPRSKPFLNRLKAEKFSLAEARHLR AAQDKALREAEGRDRERMRVEKQKAEAERLRAEREEREKAEKAEFVLGRQVWRRYARK HLLEPSSGPIRVALRTPFTSERHIRQFTPSPSTLPLFTFASTLLIPASQSTPDDPDSF PSSPASIDPTFEGHEKGEEGEEGDWEFNLITSYPRHVIKPVRAGGEEVWELIKKSGGV LFLEKKDGREWNVEKMGDEEEEDEEIVSDDE L198_01266 MSAQDHDAPSVKDPRIASSANSTIDEDDAALQKWGPHLPILLSP FYSPTSASIFNYSIVIWGAATIFGFISWLVTSPETWLHQERVERMKEVAGGEEKGSRF GVEGEKKEVVGENVGISGA L198_01267 MRALISSFISLITHFLPQRRMSGNGFKSPAPVLPGLGLHSFHND SPPFAGAATSEIGEPPALNLETTNGHPGAGPWQGSVSRMPTLGRTPSQAEAIPEHPTT QVPESKDISSLKNIQPSPSPPVPSDSPTTSVDKDHEFSQSRSRRLVSGYLFGNPPSPT GVNPTTTPPTALSHSHPSTATVTAATAEESQEPQASRRLSPTLEGTRYVGQPRLAELL DSPPLSDAEAGLGELRRDMRELDMDGTPPPPDAASEARPAPGGVVSLNEPLGADAGAG PAPRVAARKSERSRKAETGYDALEGRNDGEADLDLSAPQAEGGGSGAYLAGKAPYSFP RHRLRTKMHDESKIPVVIVACGSFSPPTYLHLRMFEMAKDEIVESQTYEIMAGYYSPV SSYYKKSGLAPAPHRVRMCELAVEHTSTWLMVDPWEAGQPEYQRTAVVLDHFDRLLNG GAEGEEQNGEKGGGGVVMKGGKRRRYKIMLLAGGDLIESFGEPGVWSEPDLHEILGRF GCLIVERAGSDVWAFLLSHDILYHHRRNVVVIKQLIYNDISSTKVRLFVRRGMSIKYL LPNSVIQYIIDHKLYRSGDGKKIA L198_01268 MDPRSLYTQPSATPVPGNHQPSQTTPATADSSPHSHQTPRLVPS YFHIDQRVLPGSSWTRIHHDNTPAQSSHVAFTTQGSSIPARIGQSGVSTPHHSGSFAS HHQAQTTIPNPTSTLVDEPALEPPYIGDTTDSRIGQSNLRPLAYHKSPPFSPAATSHG PDGTHSYQPPTYRYRPYSLRERGVVDKGRGRAVEKSDGVHSDEDERKSEEEDGPRYNY GYGGASQGRAVSTTEVSALIASAALSHMPIHDRAHRPAHSPSAETPTLPPMNTLHHNS IPRGSDLHCPQDAWSHGWHQNSSRDEPYESHGLHQSYGDLYSQSFYGNSYPYQTAAGS NTSSASYQATSRPYHDTPNQYDSRSPATNSLHFKTILAYQAVFSALVQECHYTAQTLH YTPFQEYMARAWDQYEAGGREDTGLLVDLFEKAVRGDAAEQAERAERAKQQLQPAGMG YEGDPWCRGYPVRADISGREAAYGPSYTDYQRSSTTSSKDDPITLLRQTPFRPNLNRS QTMDDTQAHRPRSPTPLRSRYSNLGPHPLEAEEDEQEQTGSKDTATSRRLKSLGRSKG RALSLGPMGTSLAPTPPPLTTAPKRRRTSLPHPSTSAPNPIKLLASQYPFRDRFNLAL NKFHADGDSSPVYLGGVRVNTLEDALGGGRGAVGRWSVGEVERIARMAGMMLLDEDPD KDAEADDTSKIADDQLESKNAREKRKNAFRWFRKSLISVYVANGGKEVFDRWERQMQG VTGREKAVGGKWDAFTAEVEEMWGRVTRELAQRKRD L198_01269 MSNTAPSTADETPPSAGDKRPLDDEPDPQSKKVKSKKAKSKKVK TVPAHPDWNDTSNGIRLVSSDDVAFFVPIYLLQTGSTVFREMFSVVVVQNPSSQTLSP HPSATSATQTHSIEMTDTEFETSGTIHMFLNAVQGISLRSYVNNVPVFDRVQVIESLL RFAQKWESGPALAAYERVLMDEATKSFWKELSRAPRHFCLGGSW L198_01270 MSDVDYVKLAAEQRAQIAAKEEYLENLKKMAEVDRKISQYLNRA PPPPSQDAGDLFFARETSIRPHQVVAEGTVKRNSFPKVSASMRPLPVRSDPPLVTLPR SSSAGTASTTKLVSGARKAPKAPTEGARMVPGKNAVRRLYIIPTTFFNRNRTSSSSSS QRFSFRHHPQLYAAVLEAAKQWSLSIPRGQLPYKRQSVEDIKSALLGMVYEAIQGQGI EHDLAYDVLTGGTMMFAGKSRHMVDIDLFPPTTRLVNGDDLGDHFCAYQQSFAVVDTA PEVERAFLMTVGEKWDELQGGKDVVGAEKIGDVFAEYEPEADAQGSGDEDDDIEFIEK KKENKGKKAENVDKAEEKQRERGAGKGKKVVAFWRDFRGRR L198_01271 MREAYGEEWWQLDDDEDDYVRRHHDLGLEFNSYGVDAHRLWSAN PGARERKKEKTVVEPVKSMSPYLRDILTNAAFLEWLDGEMPPPALYQEDFGRSRYDLC VARVRAVFERNDVDQVI L198_01272 MFNLTEGAPAQSHSSSTTRVTHHHTIEMTDDYFEGSDTILLFLD AVRGLPLSSQAQIRSKPDGEIVHALECLLQFAKKWDSDLTLAAYERVSLHEVTNCYWK DCQLTPIDIFALAALGGFSDVAAMMGSLTSEEIRNVTSWLEFQMQEANDYNQRPVEQV QLRELQELMQHLSDLRVDLIRCETRVSIANWDGWMLRLSQLYLDLNRTRKDFKYIHGL GNALVNGAALNDGTVALEDDLSDTSSEISEFSEDGVGEDGDDIEYEDDRPVELMFAQA AQDEAERARRPRRGAPRKPLDMKLFQTLWEDLETYEYIGARLGVDKRTVKNRLREMGL HRRKFSVISHRELSEKIDKISKGSMGAIGVIGVTGALRSEQIFVPRWRIRLCLKEVNP AAAALRWQFVVNRRRYYVPFINSLWHIDGHHKMFRWRIVIIGIIEGKSRKVVGLRAQN NNRAASVLDVFKKATEEHGVPSRVRADYGKELLDVKNYMIERRGDGRGSFIQGASTHN QRIERLWVDLQRWNTKKYVELFHQIEDEQEVPFHDVHLWCLHYTFLDQLQMECDAFLA AWNEHPLRTEKQLSPNLIWRRQSRRMREAYGEEWWQLDDDEDDYVRRHHDLGLEFNSY GVDAHRLWSANPGARERKKEKTVVEPVKSMSPYLRDILTNAAFLEWLDGEMPPPALYQ EDFGRSRYDLCVARVRAVFERNDVDQVI L198_01273 MSTTPAPGELPSRFGSFGGAYVPDVLVNCLSELEDAFVKAKDDP DFWKEFQSLYGYMNRPSELYLAERLTEELGGANIWLKREDLNHTGSHKINNAVGQILL ASRLGKTRIIAETGAGQHGVATATVCAKFGLKCDIYMGAEDVRRQELNVFRIKMLGAN VIPVTSGTQTLKDAVDELMNDWVESKDTTHCLIGSAVGPHPFPTIVREFQRVIGREIK SQFHEKTGKLPDAVVACVGGGSNAIGAFNDFLEDESVKLVGVEGGGHGVNTDRHAATL TTGIPGVLHGAASYIIESSPGQLFHTHSISAGLNYNSVGPQHAYLKDTGRVEYVVADD VQCLKAFKMCTQLEGIIPALESSHALWGGFELAKTLPKDRNVVINLSGNGSKDVAEVL LTLKNKEFADKLDWHVAQ L198_01274 MATSFATEEHHITLCLRDQEDHLVTQNNLPSKVYQHDVLKLCAA PTTEALIVVSSLKSAIRRDSVPESTSSHTPSPSLSNLDTIPLKLALFNLQKFVREEDF SFEFLLKGGMKILIRLLEQEETGLVTGNSLAYALQGIRGILEFESGWSELSDTFIRRL LSILLSATQPNILRPATAILRKLVISSPSPASASASAADLQSSLKPSPGYFLSGGSAK DRSKTNRNSKDNRQSHGAALDSKFYGFDRVYSLVKSLPLTTTHPGSGSGSGSGSREEG ESIKNAEYFYKSLIKRLESTGDLELVAQSLGLINASLRSGHQEGSLQYYELVWILENL GIKKYVARLIPSSQNPILAPHLLNFQSRLAVILQHKRLRSVRPGERGHQEKMLGEIWE AGRLGELVGAGGGGGGEEVEEVEELKEGGVGGVGLGMGIQGEKVGKGGRRMEGWAAMG GEVFGELGEGGMFRDVGELGLECLHYFATHEERFYNLVLEENAKPPSRRCPLIEASAS CVKLLAEHYKLASAPHYPHPPKTFRPFLLGFPKLHSLVLKFFIRMFHQSSSSSFDLAR LVPLVGSHLALTLNPGEEREKTWLDLENAFLHAEYGKVRKRQMEMMEREGGMWRSGAV RGLKEVVGREVWGVVCEQRVGCMLQGSWFNSATLLVPGITTIARPIANKPHRFLRLSE DRRTIAWDDFHERIGTPSFNALTRRVNVADISTIRPQTSAPINSRSPNLVSKLSFSII AVPSSSSPSAAAAAAAAASSAMRNGQGNAGMEGGNGGQGAGEVSLLDVDAIHAAQMAE WTDGIRVVKDGGMVDQDSANYVQVRSPFPSLSISKIQRVKRGRG L198_01275 MNTDSHSDDDEIDPSIARAYSPLHPAHVSKHPTKRLFAAFLLFG LLNNVLYVIILSAALDLVSAETPKGIVALFNIFPALLTKVAWPLISNGKIRYTRRVAF CTACSWLGILTIAFSTSLPPRLLGISLASLSSGMGELTFLQLTTTLPTKYTSKIALGA WASGTGFAGVAGAGIWWALRGLGVKTGLGLSSVLPLFFPVTYTYILPPFSHLPSDPSP YQPLSTTADDADDADDDLPQASPTVPGILISAPSSEYVPQRSPLLSSLSEPQAFDPAG EDVEGTMNGLRLTTKEKMVLLWPLVVRYMLPLCAVYVEEYVINSGVAPTLVFPLPATG VWSHLFKSPRDYYPFWSLTYQSFVFLSRSSLSLGLPPLPRRLLPLPAIIQFLVLSLLF LQARSFIFSSPSYTPGSNIGLGPAGGGGPNEGGGGEGGGGGGGVDRAIWIVWLLICLE GLCGGAGYVNTFYHVNHEGDQPLHPDPSLPLPPKSEAKKAMEREFRIGAVGAADSTGI LVASLISMPLEVMLCRGQVEKGRDICRNL L198_01276 MPPSTKLGQIATLLTSLLQDYFLSMGQKSSSQQPYPSDQRPEQG YNPATGALDRPGVHQASTVLRPRSPTTVATDQYPPPPPPAPGAVGGKASTAHSGIVPS TQHATNNPNTSPTQTLAPTQATQQHHPFAPSTFDKSPLRDAIRTIDSHPSPEPIALES VHLLEEGVAMVREGDPGFVFMDDVVDVKRAVDGMVVKAGTLGEGVSRRVAFAWIATVS FDTAHILCRCYNLQHNPNPQSPEFENFAPKGIPKLKLGLATFARSGVYIDELVQAERS GGGMGGMGGMGKGQRTRWAVERKMKADKKTVRNAFMGFIGSNMKAAVLEAFTDYRAEW KGRKQTLQGMAQSSNVHASPGAYDPHAGTHAQAGAAPAYTQTQTPTNLGLGGNVNANA NVDSPISNAGGTKLV L198_01277 MGQKSSSQRRDRLAQTSVMRYSTPATVAQYHPPPPEQNPAVTND IVPSPAINPPFDIYLNNRQDPFDRNDSSGLFAPTQHNFVIETFRGSPIISEAVGVVHH HANPEPIAHESIKLLKEGVTMIRNCDPSFVFLKEAEAIMRVVDKMVPKAEQLFELSES RRMSFAWIATVAFWTAEFLCGAYEFQHSSQPGLLEYDQFTPESIQKLIKSGFAPFARS GVYDDELVDDEKKTGESRNKRRKAVGKRMLADKKIMVKAFPAFVVRLKPPFPGTEYLR EATRKQMCQRPSIY L198_01278 MLLESLSTYPSLTPDTLHSFSPYPLSSREHLHKSLLHPSSASSS QAGPSTYRSSGISGIQLLPTNADPDEQAQDARQSAGMMRRRAEERVLMGRTPAGVMLG REDDEVTLEEEMMDQGQHDVTMFGHRFLLPYGRRQTQMEIDSAPSPSPSEADHERRQE DPSGILHHHHHPPDDDTENEDDEDVADLDGSVEDMDASQFDDEEDDDEGLEAEEAGVD LDDGMEDMDEE L198_01279 MAAPIEAAGQREVPKLYGERWKSKEGAGPSEVRLISADNRLFFV PKHLLQSHSTVLCDMLELPATESPPTITLSDPDLESAAQLALFLLVIQGYSFLAARNQ LPYVRCHLITVNRLSNFFQFAKKYDCPNALLLWENFLYYLAREEFRPLECTAKPLDIF VLAAQADLGGLASLVLDLYQPSLVTDTSHRSYPLSDYPSPFGYLQAHLPFTLGTIPVV SWKHIPLRYLFALQKSTDELDGSTEPPSLQSGVQSRKLRFEESLKLGKNL L198_01280 MSDATERQTLLPSSDTRHPLSQPPLTRSGSSATLIASNYGAVDR DTDSQPPKPKPEQELHHKQFHEIWALFMGLAFAVFCSALGATIVANLTIEIGSYFHAG SLSSWLGTAFLLGLTAMTPLYGRLAQVMGRKGCMLLALTFFLSKSLSTLVFMLFARGV AGAGSGGILTVTAIIISDLVSLADRGLYQGGINLLFGGGSASGAILGGYIYDKFGWRA AFWVQVPPVLFSFVMVIWKVDVGREEGEDAGGKTAWEKVKGIDWLGSFVLMMGISSFS IFSSLFTSSQYPFLHPLPLSLLITSIVACPVFYVVEKRAHQPILPLTLLTRAQPSIVL AGFVSTTATNFSRLYMQPVYLHVVRGLNGSETGLLLIPSSIAGSFSSLYAGWHMKHWK EYKWFQVCTSLIPWLQALSIMIGWGPETSATRLWSEMALGSLGGGATITTLLIVGVAI SSAIQQSILISSLSSRFPSNPDLVRKLIQEPAEIMPLLGVEDAWEARLAYLDSIRGVF GFVVIGGIALTGVCIMIRGRKL L198_01281 MGFSLPPPHLRAWYSYAFAAEVFSACALAIFLPITLEQMAREVG FYAPDLLEPCQTSSDIVEGIGRVCKAKIMGAWIDTASFSMYVKSVAVAFQAICIISVG PLADSTYWRKRLLITFAYSGSIAATLFLLFPHSSTPLLSALLNIISGAAYSTSIVCAN AFLPQLAREDEDVRRLWDERMSADVREEGPIEQDEELSDLSGGPDSGDEATHLLPHQL VPAVMAISTQDLADADNAVKTSLHDTTPESRYDALLSLTTSRLSSIGIAVGFFSGVSV LILLLIPVLALDGSTFSLRLGIGLSGIWWAVFTIPSWLGLPSNGEDRSEISTRQIKEA WVKMGSMIAPSQIRQLPGLYTYLLAWIFLSDGFHTTTYAAILYASSVLSMSPPKIILV GILVQLAAVISSTLVPRLQHRLSTPDKPITNFNILICCVLAAIIIPFYTSLGLVVSFA GLRTEGEMYVLALWFGLIFGPFLSYSRAVYAELIPPGHESTFFSLFSFTDKSASFIGP AAVGLISDLTGNIRYGFLFLLVMLVVPIPVLWRVGIERGRRDAVRWAERVQGRGGRD L198_01282 MSTSENSSPTDFAFEPPALPSAGHPFVSPGTYKADPLRQASYDP SLPTGSFTPAAANPPVGGYFGDTSYQPQPGFGQGQGQGQYGALNSAQSSFSSASGSAA GGSFSSVDLPGPSLPGISKEFSRPSLADTRRPATASAIQTRGQYGDYMIGGGEDPRRL SQRHVQHLSPNEKDEDDEGDSSDTENVSPETVYMPSNNRRASEPHFNLPGNGQNWNQF PPSASHDASGGAFGLPSAPAHVSPMGYLQQQQQQTTHLPPSHFSRPSFSNRPQTSDGL PSYTNTVTLPPASSIARHVPGGGNDSPFFHHPPGIPPPGARGYEGTFPGNKSFAFDGQ IRPPVPPAIPGGIRPSYIPPHPHPMLKSDLAPSDQMGYMSLSSGVLPPTSLPSAGGGG GSSGSGQPRKRPRRRYEEIERLYHCGWNGCEKSYGTLNHLNAHVMMQKHGEKRLPSEF KEMRKAWRKKKRDSATATANANYLTQASAWQANQSRLSLSANSSSGESDWDRRDSTSS AFSVGSERAGSFAAQGGMGMPLMGGVPGAGYAGGGGWGVPGVPAGVMQGVPMQGMMQM GPGFGNGVMMDSRPSTAGSMSSAMSVEPGRYAPTPPGSAHGHPLPHPHHQHPHHPHPG HPGVQPTFFVNPPPPQSLPSLSASSTASTPGPTSASASASTSGPGAGAGAIGNRRPSA PNHLLLPDHNLLDGFRVTEDHSTPTAGNPFPAGAGSAPGTRPSSSHGQGQQQQGQQQQ QGGEGQGQGQGQGGQGKGFATLNSPVNGSADYGQFAFQR L198_01283 MHRELLDVLRKLRWCKAQKVGPRLPGSGDGRRGNCVVTTFHPAP TRTRGKLGDPSTSDSHTITPTSASAQIKTMTIPNGSSPKEEPTLYGERWKSKEGAGPS EVRLISADNRLLFVPKHLLQSHSTVLCDMLELPSTESPPTITLSDPDLESAAQLTLFL LVVQGQKFDVARYAFPPGPYDGYTVTAYHIFYFLQFAKKYDCPTALRLLESFLYYLTR EAFTLPTCSARPLDVFVLAAQADFFKLASLVIQEYQPSLAPDNKPHSLLHRPSPFGTL PTHLPFTLDHIPAEAWKQIPLRYLFALQRSTAVLDGETEKGKTSANKLGSSNQMLRFR EYYNSSKHL L198_01284 MSSPSSPDSSQSHPKTCFCPNADLSLPIYDITSLCLLPAELRNL VFNFLTADTCPTHTIALARLSKHHYATLIPRLYRRLVVTDEALDQGLFEGLLAGFDED EWEGGEERLLSKRDLLGTCESLNFASIYAMDATGDACRKAFERKQAQSDVLCNGLRGL FDGVTHLGYEAECIVEYCQLEDNDRLPMDEGASRYGIRSSKAQHPALYNLSHVCFRLP YPLHSALSDDISDFYEIFPAFGSHARKSFVTRFHNCQSDRPMTGVEWTVRTGHTMIVD MLPTEIEEGGKVEVEVLSHNMVECCRRIICSARFDRRYGPPEIIFTNLGTTLSPDGTV RHLSPDVKQTVLDETQTVVRQRVREILSEDGLSEFAVVADKIYVRGYEACKMCPEVA L198_01285 MSLPAPHLSNPRIPPPEGDEDHTQLALMIAGEPYQANDKYLDRL RNRGAIKLDEIVKVADMDERMTLWREFGNVGEDVLVVQRFFCEYGFNVHFKGDNFIGA NCTFLDICPNTSLLQTNTCPTDTFAVTIGLHSMVGPDVKIYTPDHPLSPEERSGLSGK EWGKPIVIEDNCWICGGATILPGVTIGKGSTVGAGSVVTRDVPERSVVVGNPARVVKK ILEDGTVVKA L198_01286 MPSQPDAIYDVSDRFEEDFESIAISRRAASGSAIGEMGQHGCLD PAHQPFGQQLVSPSRFSYEQPPYPSASPAFRAPPYPHLPPDPAPNTYLDNSLRTSGSS SSIGFKALNQPSRHYNSSMDHPIVNAGIPGANHLPGREAAGVGSSFLVKRGRESETMT AYNSKKKSATFDQCRGEWGGGQTGATMGSAAGSTVAHQYQSNPPSTSTPFSSSIDSSA VPHPIETSDAFPFGPLQSGSGINTTPSELPSFGSSASFDTFTSAGIDLMYNNQQQWAS PPGFLQPQQTSGLDMMGMGGDFDVAGRDMGTQRQQGSGHGREMWMGGVGRPEGGAYEQ VQHQERPASVSAPMAPNQNQQSDSGISPSYVPPSYVFGLPDPGQPLAPPAHNSNAITA PHFDQTFLEDTASSQAASHASALPVTLPPNSSQYAYGMNVPPAGSAPDAASRSADAQF MQPPPPVTQGSSQEQAVAPLQPRNAPHPAPTHFSPSKSKAASDKEELGYEIIGIGKTF PPKFVHTPALVEAFNTVFSTVYSDIYAQKERQTPRPGGVTVMESQALANARSRQDIMK KAAEMHYTKTAKHLRMEGKNQEWSIHEAMRQTEIKAQDEAREIQESVLAQQQMRENAQ HAGQVNPPAPPAPFASSSQSSVGITQGTLSGDHGSAEQYQPQRHEGE L198_01287 MPQEPYKPCACANANISLPIYGITSLSPLPAEIRNLVHSFLIAA PCKAHALIFTRLSRDHYTTIIHRLYLQIVATDQAVDAGLYKSFSNVDNQSHQSDEHRT LPSKKELLDGCESLVFTSLYAWTQTTGMLKRRFIPMHHEDMFRSLNHVAFAPGFVEEI CRREAPPFNRCITPTALWYISDACIQLPESFSEDLAMNLGKVSSYLLEFGIGICGTTE TVLHFHNCQPTKLAMANERVTSFVYDVMSIDIPPTRIENAVNIAARQIDEIVEYCVKS VTDWDEMNTQFSIYGPSVPFGKEIVFTNFGTVITPAGLVFVISPSIAQSVIERVESEV RRRVRLFLESELPAGCGLEAHFHVRGYDECRFCPLRRSSSG L198_01288 MESLSQGIVKRDDSEAAFFISDSSPLFKYSSFSSSSSSSNMTNP WTAAYARQTDGYDQTLHLTSSNHSIIEFNITSMSLTLLVPQIEGCSATVSINGTTPVP ACATSSSSSSEIPWRLADLDYATHSIKYDSGLIPSSSSSGEGGQVIFWGVQGTRPGTE GGGRGGGTNVTVDDTFFRPSSSSSSSSSSSSSSSTATDTDTEEEAKVSITYSPFWTHL SKTSLTSSSLSEMGQLEGDFNGTLSVSQEAGDWVTFWGAGEAIYVYGTVGPDYGSARV ELDGAVVVEGMNLTSPWTMQYQLLYFQTNLDPSVATNLTMTNLGGSGGEKMSLDFVIL TAREDVLDYLSTPSSSKPFTSTLGGKLILFMLLPLLVVLLFSAFTWWILLRRRRRNAR RSRSPPDAGAGISSWVPWTPSKEKRAKARGGSRPWRHSQDSSSTSINNTTGGKAKRKY WLFPGTASSSTGGRGSPTNSLDGEGEDVFVSYDEAKLQRMSGKWSSSSGSGSGGAGRS PVSGRGGRFTPGLAAAGWGLGTVEEDERNEGSVSMRSGRSRSSSRSGWSGGNGDRKRE RGDDRDIMSVLDRTYATPNISSRRDTALPAYTPSNDARTNYTYSTAPNSHHTTPQALN RSLPASSPSSATGTGGAAGSPESQFRSAEEEKAVQLRVMRDVAGGQGYSPPRLDLALP GSGPGPFTPLPTPPISSLPHPTQSLPRPAHAHHAHQASRGSATTIGASDIISIFGAPA GSERRMSMMTDHTGHSQFYSRPGSVAGDVPPLPTSSTYPGWISGSVTPVPGGGGGAVR PRIDTDLEKNPYGLPHMSHGRDASGGLSAMGTNSNMGLMGLTPSGYESATLSGLSGTS NPWHRTLNHHTSQTPLLPPSSSSATPSSPPNSYPSPQSHARPSTAPSQTLPLVTSVTT PNSAQHQRSQSTFSHMTERSAARPDSEVIPFESFISSLNAAAAVAGG L198_01289 MPFSFFAPPHTARPSPSSEHSEFFFPEYPAFDFDGHLSAAGGLD ERRESAESASSSGSDETEADGDEEHDGDGRISGQRKEATSTPTFTRPSPSRSFSHPVH APVSSRATRPRGLTPLTPINPHLSSSSSSSSSSSSSSSSTFINPLRPSGPKAQPLARA LFARMAEGQGLPALGGAGGVGKGGKKPQKMVVPSKAFKTSFTLDMSASELARR L198_01290 MATAEDGGAESDVEGETVVAKGMSEEEYDEKVLRPQLANLEKLQ DRLEAEYRERHQKEGTRWGRVETADSNWSKANMERQNVTSEPPSYLASPSQAVAAHSP ERKNKDARKDRNDHVPGGLSAFVGVALPALVPEKKRGRPPKAKGPGEAEPGPKKKRGR PRKNPIPVDPSLSVPSAGSSSALGVPSSSLAPSSLC L198_01291 MPVDLGQSSSTASLSLPLTLEPLNRCNNPLPPPANNPSSSSSLD QQESAPAFATDTRSPGAVPHGTPTLEQPGSINPNQVPWGGDQRYKYLLGGAAHEGVLG ADKSGDENGENGEEVQSRQKAQRQQRQSTSEPSSVSTPSSNATDAAYEQNKLMPRWG L198_01292 MSKVYTGTPPVANVTPPHLYDISSLSILPIELQDIVFDFLTAIP CPSNTYTIVRLSKKHYYDFIHLLYKHIQVSDEAILRGLFNGLLDDVGGHTKGSLYHKR DLLNRCSSMVIASLYAWEAVKEAIQAWAMAVKNRRQETEGSVQQRLDGPFNRVFHMAF GPDCIEGVPQEGRGSKIGGNYQPHASFCFVGLSRKPLHPEAAPGTDDKLNTIVVSPGL GIKMMSDNVVTRFLPPADCNYPHSVGAGLEVLVGRDLLVVELLPVKMEETANDDKEGS VIHRPSGGHRNEDAVNAQIDYIVNYCLSTISGWDTETQE L198_01293 MSKAHPEIKNTHASPPSCHDIAPLAVLPEELPNRVYSFLCVNTR RAQTLIVARLPKSHHHRFIPDLYERLQVTEEILDRGFFKGLLNGVDDDAEGGSRSTRR RALALQRDLLGYCKSVVNTSLYAWAAIKDAIKPATSPPIGRPAVPTSTFSIPSPLPSS TDREEEPHTASHGAGDATETNASTPTPYDPGHQTQPAADQPPPSRPAVDRGQSSSAAP PPLLTYKPLYRCNNPSDNAHSDFNVPQSAATSASHHPWLTDSSANNRPPLPLDDPSSS TSPTSMLRAAPTPTLKPPGSINPNQVPWGGGQQGQAGGGRERPFLGGAADEEVESVEE SGDDNNGENGEEERLHRQTTSEASSASTPSSNATDAAFEQNRLMPRWG L198_01294 MFNVFEISPRFRFNLSNTVTRFDYHSNLDNEEMVAGVQALVGRD MIIVELPTTKLHLDVTAIHKMMGSRVEYMVDSCISTILEWNPDHSDSGPIPKGVIFTN FIDVLFRDGSRLSLTLDEKQGILDMFQRAVRRRIRATLENEVPTKVGMEDKVYVRGYE ECSFCRRLITIV L198_01295 MCASQQRYPSSQTDLDSSKTSSDPATTDDKKPLFDASQVTVVFV LGGPGAGKGTQCGKLVDDYGFKHLSAGDLLREEQSREGSELAEKIQEWMNEGKVVPVE VIMTLLKKAMKSSLSSPPSLPGWSTTQGRFLIDGFPRKMDQATEFDANICEAQVVLFF DTEEEVLVQRLKGRGREDDNEASIKKRLRTYKETSLPVVVFYEEKNKVLKIDCSPSPD QVYNTVKEELGARIRLEKYR L198_01296 MPPPDPDRPLKNPPTSPFHPSRTSTTLSKHRSNPNLRPYPLSSP AAAKDFPREVRLRRRTSYARLDRIHSAPSKEELGTDEDEIMESASEEEDVFVSPSVGY RYGKKSLLRGAMSMSNLRSSRSSVDRSRGTMERALLGSPIRKQATRRGSSAVLLNGHN DLTNNSTPRSSTFTNLFKSSDPFASSASYISGFGSATDSTLTSPPSSSSSSSFSSSSS ESAVSDSTVKPRSFFSPSSESSDEDDDDFVPSQTPTDTPQRTITQQPTPSTTTPEDRS PISNQPSSPSWETHLPSPRASEDDRMDAIQSGDEDESQSDETVHHQSSVISTALEETD TNSQRRPASRISRDLFPSLPTMNAAPPPQVPHVLSPPTSSSAVLLSDNRFLDLESSQV ASTGDARAQSESVLSAFERELRDTISSAFDMLQVTAVADPSTSPSFVDTLDTQESPVR LLSEPSSSPSLDVNDNSTNHSPRGERR L198_01297 MPSPQSEDSLESPPRDALRKRFRCSHPGCTKTFARKDYLERHAA NHLTARPFVCPTCSKAYARADVLKRHTTNHPTRGHKFKAALAQLAVAGERVTPPGQTP LPDHETQATSPVDAPTSPPLNLPGMDIVSKLTYPTSSDPQALSTLSLEKIENDNAPLN ELYSWFLGTDIASDDLISAADEAPCDWSTLFDLSSVSTPEVSEEIVDTESWRGLQDFI TSIGCTPPSAGLSEEEAHQYVELYFQHFQPLFPILHRPSLRSRGCHPMLLLSIILLGA VYVSSSSRTPSSPSTGLDKVDAMNLQRRLRLHIFDILETTKNPSLYVFQALFLGNYYG RMYANAASRDINQIYHSAGVTAARFHGLFTPPSSSSSKNATEASEEVPQEYKWMKWAA EEERIRLAWMVFVFDTFSAGILRHSVMLQCFSLSIPLPCASSLWQASSSHSWSSLHPT TPSSPSPAASLPFRPAIKQILEDSRMDDTISPFGRWCILHGLVSLTWSLSVQGADVFT LARRAQITVWKGTVYNALMGWQHRCMSNLSAMSASTRGVGEREGGGEDGLKEVTQAGV PLCTICVITLLTDMDAVRILAGAQKINGRDISAEDRTAARASLETWAQSSEGRQSCWC AVGMLQLVYQWIQAGEIDSMPPSTLWCIYLATLVVWIFTALIEERPIKTSPSLIPLST RCLIRAPLALQTSQSFLERVLASEDPINLSAVSNLEDCTALVAYAAHLCGEKEWGGLD GATRVLYGLLKHVELTRKQWYANC L198_01298 MHPFPLPASNISPAFFSRPEQRTMTFLTAPPPLADTITLQVYYG VSSPWAFLGAPEIDRIAKQYGLTIYLKPITVIVENGGIRLKARHEARQAYHALDLIRT AQFLQIPLKSSPKYYPQPPGGIELSAQAIIRIQRKFGVGAREARRFSYQVQRCIWETE EGDHCDLEVLKMLGRKAGLEEGDVQELIVDRRGDARDEAVKEWNRNHVEALTKMLGIF GTPDYVLNDEIFWGQDRLWMLEARVKELLEHGAKPLKYDL L198_01299 MSGNDYVSSKEPSSPNTSSDPVPLLALPSKKGPWQKFVSWIWDS DYYEKSDAERTLVFKLDCFMLTAMTLGWWVKNLDQSNAPYVSGMRESLHITGNQYTYM TTIYSAVVCVMQIPSNFIVLKVRPSWFLAASEIGWGVFTFAQAGAQSYQAMYGFRFCI ALFESFYYPVAFFLLGSWYTKKELGKRISLWFVASPAGSAFSGYMQAGIYKSMNGLHG LEGWRWLYIICGVVTIPIGFLIFFLVPDFPSNTNTWYLTPAERELALARCARNGTDTV SSRIKKSTILSALKSWQFLILVPWFMLHGLETNNGRQFANYLRGFSYSVSARNILPST MYVIQIPCIIFYAYLSDRLASKGRVWVIAAVCAWAMVPTGILGLWAPSEGARMFAFLA NGSVYVTPLFFTWVAELCHGETEKRAFITGAICCWWYCVDAWLPTIIWLQTDGPRFKK GFLTSFCAAVFAFVGVFVIQFFQVRDQRIARRLQEQREEEGGEAGGVGVEKRDDGVED GDVEEKVGVESVPGELLRP L198_01300 MTVELTQPLPGVRVAIDRGGIPLDLLGNADILLKGKDEGVEITF KLLSVDPANYDDSCSEGIRRLLQIIHRKALPKDAQLDTSVIESVRMGTTVATNALLER KGARFAVVVTKGFRDLLRIGDQTRPDLFDLSISGKADVLYRPEDIIEAEERVTMEGYS LDPNPVSHEELVKRAGESDDPGRVVLGVSGEAVRVLQELDEKQIERDLKEIHARGIDS LAVVLLHSYTFPDHERKIASIASQIGFKHISLSSHLSPTIKSVPRGNSATLDAYLSPV LRAYVDGFNSHFAGGKAGERTDFMKSDGGLVAAEKFSGLSALLSGPAGGFVGCALTAY SPTRAKPVIGFDMGGTSTDVCRFSGDFDLAYETVIANIKIASPHLSIETVAAGGGSRL HFKNGMFLAGPDSVGAHPGPACYRKGGDLAITDANLILGRLVVSQFPSIFGPSGDQPL SLSASLSKFETLADEINKGKEGKGYTVQEVAVGFLKVANEEMGRPMRKLTEEKGFAIS THDLCCFGGAGGQHACAIASSLGIETVIIPRFSSLLSAYGIACADLTSEASTPISGEV TDDFESSESYKGIAARIEELKSDTAKQLVDQGVKSQDVSFSVIIRLHYDGADTIFDLP PSNTLKADFLAAHLRETSFNLQRKVKLAGLRVNATGQSFKATPEDFAGELAKAEGSPV IFSATPNSTQEAYFDSAGNVKKYDTPVFLLHDITSGSTVQGPAIIVDSTQTIVVEPSA RAIILSDHVILKISKHTPTPTDDDGNFVVDPIMLGVFASRFMSIAEQMGHTLQRTSIS VSIKERLDFSCSIHGLDGAMVANAPHIPIHLGSMQYAVASQHEHWKGKLQPGDVLLTN HPQWGGTHLPDLTVVSPIFGPDDPTKVLFYVASRGHHTDIGGNAVTAMNPIAKELWEE GLHVGTFKLVSQGKFDEEGVIELFNEVEKFPGNSATRRIDHNLTDLQASVSANQRGAN LVQQLFDDYGTKYVLFYMKQIQLVARETVHEFFRQTYDKFGGRPLRAIDYMDNGTEIR LEVRIDREKGEATFDWTGTGPQSHSNTNMPPSLTHGAIIYAIRSMISPTIPMPLNQGV MDPVKIISPLGTIINPSGVVAVSGGTISTHRLIDNILRAFEAAACSQGCASSVGIGIG GKDEDGNVIPGFTYGESLGGGTGAGPGWSGQHATHVHCTNTRLADAEIVEHRAPVILR QIKIRTDSGGRGKFKGGEGMDRIFEARIPMSCSIVSQRRVFPPLGLAGGGNAGRGENL WLRKNEDGTFETISMGSNGMVKLRKGDRVNIKTPGGAGWGMPDE L198_01301 MAISPAPNNTLQASPPPGNVVQQPPLPSLQACYLGAVDSYRPSL PVTDLLDVDTRLEASLSITTGHNNTVTPTSAIPTSVIHSPNQASPMLYIPDRLSTPSA SAKKPSIHRLGAAKQPGHRPIPYNVNESPKKKKSVALQKSTVAMDTGSKEDDGMEVDP PCASDGIEMSNGGGGGGGGGANKTPLRHAKSMVNMPVRPPSTRKSPPDPNGDTRPPPP LTSHQYDPFFAQINESDVGRSPTQLLMDYQTVEYVNETVMKIPNIKVEAGKAASSSNG ADNGGGITGLRTAASVPVFPRQTDDTPGQRLPGDNTSSGVLDPNAIHAQHSSLSSSPP PATQLNDSSSYPPALLPPLKISPFIQNVLHHLCGLHYNAMMAESLSTLHHHTAHARYD AVKFAGEETQIIEKHIDQRYREASAQVLSKGWSLKVAQSMGQMAAERMAKSIEWDEEQ KQMKTKWERLQNGDLTDTTNARIHPRSLHNQLLGFTLTTLLVPQTTIDVNAGRYAHRG IVRFVGNSFGPIITNIQQMLEIQTLQDMSALRLLAYEVAWRVHRHEWLTSVTTVAEGK QVKLPETLFEDVGKGLMCKIMEAFNGRRDGVYQDTDGKGKVNENKRGLEEWVLKAVKV WKTTGEAMLGRYTAGQI L198_01302 MAESNQQRPPSPVPQPSSSHPNPNTASLAGDETAQQGELSVGAP VSPVDPEDPDSPWLVETMRVMKESVDRLPSRRLKSTPASSTAVVFGTGEASASSMAQE GHSESPPTAAQFPTSVRQSVIPFPGSRLAPQQGSHALPGYKPPSPPTRGSMSDEDFDR ANKELGYQMGPHYGRTTQPDLFPIKLAHLEGTMWNDYLAIHHSIFQLKLNYYRKEEGT EGCLAMQQELALLHGDWRISVLQKKVERRPFSLR L198_01303 MDYPAPDNAHAGGGHVIPTHDSSGVAPAGPSSSSRSTQQYPQQL RNSGGLGMGIGGGYGERSARSGTSQQALGHRPTAQEVNQRRLLSDFSSSAPMGSQQSE ISNADPAYQHTAARDGNLFPPKYDHHAPSIKDIYRAIHRSAYQTLLRDYSNEEGIDGP ARQQELALLSANAQLSGITKEVEEDYTRATRRLERRGELMSSAVQKAEGSAQKVAEDA LQELQDEVRGT L198_01304 MNWVEVDQQDKQYVSSDVPRRIKHIQFQPLIPKDIVRISEVQVS VAELYQNNEDGTRVTATQGPLDPRMGPNEKGKKCATCGEEAAKCVGHYGYIKLVLPVF HIGYFRPTINMLSCVCKTCARVLLPAGERSTFLKRFRRPNLESLQRQSAAKAVLAACK KVNICPYCTAPNGVVKKSGPLKISHEPYRAAKMANMKDEWMATFKSAVAENQAVATHL SKAVDDLNPLKVLNLFERVTAEDCELLSLHPEVGRPEDYLWQYISVPPPCIRPSVASE AGNNEDDLTAKLAEIVNYNNTLALMMDLGRGIEMISSNWEVLGQAVALYINSQAPGMQ AVGGKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVIGPDPNLRIDEVAVPEKVAVK LSYPERVTDYNMEAMRQAVINGSRLHPGANVLERKMDNGGTMRIAMHVMKEKEARIRW ARDLQIGDVVHRHVRDGDIVLFNRQPSLHKLSIMAHRVRVRPWRTFRLNECVCNPYNA DFDGDEMNLHVPQTEEARTEALELMNVKKNLVTPRNGEPIIAAIQDFITASYLLSRRD RFYDRQQFTQIASYLGDANLKIELPPPTIWKPERLWTGKQIFNLLMRPNKDSKVLVNL EAKCRTQHDPAKEDRFPPDMSPNDGYLVIQNSEIMCGVFDKNTVGDGKKNSVFGVILR DYGTEEAANAMNRLAKLAARWLANIGFSLGINDVIPGPILHASKDARVEKAYVDCDNY IELAKKGLLENSPGCDQEATLEQKISKTLSDVREAVGKTCMQELSRHNAPLIMATCGS KGSVINVAQMVACVGQQIIAGSRVPNGFQDRSLPHFRKKSKNPPSKGFVRNSFFSGLT PPEFLFHAISGREGLVDTAVKTAETGYMARRLMKALEDLCTHYDLSVRNSVGGIVQFQ YGDDMLDPACLEGDATPVEYVRSWAHARRITGNKGRALYPYEVRKIAARIYPESYIPA VPQEWQGCHYKFREITYQFVVDKIVKPMMQQRLMRGLPDADDEEMAEEYESLLNSDDP AVSRVLENANKVTEEQLTSFLENCRIRYLRAKIEPGSTVGAVGAQSIGEPGTQMTLKT FHFAGVASMNVTLGVPRIKEIINAAKVISTPIVTAELNIPESETAARIVKGRVEKTIL GDIASVIEESWTNANAYIEVHIDMDAVRRLQLEVTLESIKWSLVRASKLKIPEGSIHI SNKTSRVRLWIDEVDKDKGNGIYERLKFLKRAIPSVQIKGLPGVDRGVVSKDEKNDAY HRLLLTGYGLSEVMGTEGVNGLMTKTNHVMETQQVLGIEAARQTIYNEIQTTMQSHGM SIDPRHVMLLGDVMTYKGEVLGITRFGVQKMKDSVLMLASFEKTTDHLFDASLFSKKD EIQGVSECIIMGTPAPGCGTSLASIVTPAPPLPAKRSLLFEAAYKAGQSRLAAGMAVA MR L198_01305 MAAAISQPSSSHLSHFSRKQHLAQTFEAYRAELDDENALRESLI ILSRSITQLSKKLIFHLHRGATLPTSAQRQKNLEQGKGKEREIALLFGQIRDKLREAN KRKDGWEWGFWKWRKSVTPGLEEYIEGLSFMWYLEHGSLVSLEDVQKAVSDENGQPYL VVTPEDYILGMSDLTGELMRYATNALGTGDHETPLAVCDFVRTVKTHFDAINPDSLRQ LSKKQDETQRSLEKIEKVCYALRLRLIEFADRPDILAQMAKRALDDVADKGGSGPAAE L198_01306 MSFLSYDEKKDVSPPPVYPILPAGQEDDVARPEYFVDNDLKFVG EQGGNGAQATYQDAYGAPVEGKNPLGYSVGWWSALFLNVTMLIGTGIYSFPSSLLKNL GSVGLALCYWPIGLLISLAGISVYLEFASYFPSRSGAEVVYLEQAFTKPRYFFPIAFA IQTVILSFVSSNVIVVAQYIFRMTDHSPTDWESKGVGIAVLTACTLPVWLSTNASLRI SNTLGVLKIITLLLIIIPGFVALGGGFKAVPEPTANFHNAFEGMSSNGYNLSNALVNI IFSYGGYTNSFNLANEIKNPIKTIKRTANTAVIFVAILYILTNIGYFAVLTKYEITNS TQVTASVFWEKIFGSKAAKGLTILPVLSAASNIVTVIVGHSRMIREIGRQGVLPWPRF WVYTWPFGTPTGALITIYIISFIVVVAAPAGDAFSFIVALSNYPSSFFLALMTVGLFV IRRRRARLNLPPSEYRSWTFVVLFFLASNIFLLVMPWVPPPGGLNGGSYSFFYASSSL TGIGIIATCALYFFVWTRWLPKWGNYQLRQTVVTLPDGAVTHQIIKVKNDEVDAWDVK HDIAGRSLDEREADA L198_01307 MSYRDPYTTESYLSGLSISNNTVHHPSTASQPDTTAPSTARPFN LYGAFGETQLHTKADILSLSSATPSVPTKSSTPFLHEAAYGQQMAFQPESSSSAPYTA PYQHVNPADLRLSPQHATTVHEAQTNIVTNTPNQQHNTPARGMSRLVIAEGERPGQRS SPYDKGKERPRSKSMVEQSGSGNRKATPNSSGRRNRERRVTGDAVPISLPVFLPQLQQ QEPSSAYEPPESMSSQAANISSLHHAIARFYRASRSTQHSHEEAYNQIMDIVLKASAA SRRVWDGEWIGPSVDQSTDQAVGWGGIADLASPPPKFTSQAPTLPSSLDMTSRGGQSS SIQHPAPLETIWQDDPAATPHLSTSTISQSAIPPKQHGRSVSLPVPQATHQQVFQPML SNIPVDSGPQYSQNAVLPRPPVQSTPPTAHIDAQLASNIKIAGDAAYANVCKSASSLP ANTQERINTAGLEAANIMLEDFHPVYRDAVLRWTELGCAEDVASERGKYEVDVHGAGA VPSTVYPTPGLSSGPSSIPLPNVSGSTAALSFKLPNNDAHRYMTAFLIDNVIQRFNIG NYIPIGFLPPFMMTGTPVNSLEEALDPKLGWFWSEEDVLRVTEVLAREAFGTKNLDGL LMHYLSDVVGSPHDEAAAGRVSSLHPQNRVELGSLAVNCWMDELLGMYREKSVEKIGT MDDWNERERYMLEARRKIVDSVSRELVLNRKVD L198_01308 MSIRRKVQRAVLEVERKERIAAEETRARNGVIQEGTDEVLGEVA HGQALYEEEENRVDPTDSCQKLDNILSTLTNHDGTIMLSRQS L198_01309 MTAPASDPYPDAPLSFIGINGARLAYRLKGEPELPLLITLHGGR GFGSHDGDFAAFHPLSDTFRVLSFDFRGHGQSSPTYPYTFKQIVDDIEGLRRHFAGDE KAVILGGSFGGFIAQQYAITYPDSVSHLLLRGTAPSHHHEKEALENIRRRAATKAPMA SENYLKKIFGTITDDNEFRIIMFAIGPLYLDGAYDADKALESSRKTIYNAESHNSLYS EKEKYFDYREGLKTLSIPTLIFVGEHDWITPPCTPASPTFLMPRPLMKGAIIAQSKLI HERAPNSKLVIFPNANHSVHHDKNAEVLKLIRDFVSSSTA L198_01311 MPNTVTYFDISIGDEPAGRITFELFDDVVPKTAENFKHLCIGDK TNAAGVKLAYAGSAFHRCIKGFMLQGGDFTRGNGTGGESIYGEKASPLAYIAFEDENF ELKHEKPMMLSMANAGPSTNGSQFFITTVPTPHLDGKHVVFGKVLSNRSLVRHIESIP TNSDKPIQPVVISSAGVLSPDDIQKLEAENKAKQANSDGDIWEDFPQDEEGVNVDKAD EALVVGQKLREVGTKEFKAGNFAVALDKYQKALRYLDVHPEAPQELADGYRSTRLPLL TNAALAALKLAPSPNTNNLVVSLTTRALAIPDLTPAEKGKALYRRAQALVAKKDDESA EKDLKAALELVPGDAGVLNLLKTVEVRRKERKEKERKAFSKMFG L198_01312 MAVPCISLHDFDARRHEIIKELMDASTNVGFFTLQNHGISPTTI ASAFALSQSFFALPDSTKSKTALNGKNAGWEKNTQVRPSTGTADQKESMQLQFARMEG LWPADEDLEGFRKGAEGFMGEVQALSVKVMECFAEGLGLPLDVFTEGTVETGQGDCQN VLRLLHYHSTEGKSFGPNFWRAGAHADFDILTMLFQREGEGGLEVCPGRKVVGDFGLG QTWLPVEARQDRIVCNIGDQLMRWSDDRLKSTFHRVRLPEGDESRGPRYSIAFFNQAR INTIIQGPEKKYPPITGGEFIAEAMARNRMASAELARQAALEDAEEVASKVHFVPEHM RGQQKEVAA L198_01313 MSRPSLLASQTQTLLTLLNLNTAPAATTAAAGPFPRPASPGTTF NDSAQAPLVWKVLILDEASKDILATSLRVQDLREQGVTLHMQLHTPRPPLADVPAVYF VSPTLSSIRRIAEDLNPPLYSSYYLAFTSSLPRALMEELASLILTNDPSGSTGQLISS VTDQFLDFIVPSPNLFSLLPRREVKPDVNAGKGKKPVKEEYVEGKPTYVVLNDPKATE VTIEEEVERIAKGLFSVITTMNMTPIIRCPRGNAAEMVARRLDAKLRDHLASTSSRGA QVYGVGDLQRPLLAILDRNMDLIPMLSHSWTYQALVHDVLDMKLNRVTVEAPENGRLQ KKSYDIDSKDFFWAKNANNPFPSVAEDIDADLSKYKSDAAEITRSTGISDVNDVGQID FTSNTANLKTAITALPELTARKTTLDTHMNIATALLQAIKERGLDNLFQVEENAVKQN KASIVNVLNGQTDEPGQTANPTPEDQLRLVIIYFLSTQDGAVSKDDLKQLEELLKDHG ADTRALEYVKKVREFVRMSTMAAQPVVAAPPVASGGEWTRGFSVLGNRITDRLREGGI PAVGLDNLISGVKSFLPAKKDLTLTRLVEALMDPASASTQALQDTDDYLYFDPRTSRN RPGQGGQNKGRSQYGEAVVFVVGGGGYVEYGNLVEWAARTGQGQGGQQTGQGKKVTYG ATEIVSPKAFVDALGELGGV L198_01314 MKYSLLALAAAAAVAAQNSTDSVLIPSNITDSCTSFLTELNADA TLSECVTPLINATASFSPTAVAATNLTEDSINYTLASVCKTNTGCSDTTIRTWLSRFY SQCSAELTSSDGYNSDVRELYDILYVVNPLKGAVCSVDSSNQEYCVNEIVASESTDSS SASNSTSNSTALYANFAASDSVLSPIQLAASNLYIEVSASASSLKKRVLSTLSSRAAQ SVNMATIITPNATTYKSTNLPFLFLQPTLSSSALCTPCTREVMVSYIKWETQVPYALG LSKSPILGGQSALWSAINSTCGTAFVNAIKSQVGTSLSSNSSSSGAESMMAVQGMAGM TALVGATFAAGIVAIFV L198_01315 MPKRMPRVYLIRHGETEWSLNGRHTGVTDIPLTAKGEQMVLDMS PRMIGPGKLIQPEHVRNIIISPRARAARTAELLFGEHKPPKANITTDPEVSEWDYGAY EGLLSSEIAAQRPGWSIWNDGCPAGETPGESPQEISDRVDRVIARVRAIHSAHKDDAL GSDDANCADVLIFSHGHFSRCFIARWCDLPVQTGYHLCAEPGGLAVLGYQHRSLDEPS LLGLNWYTEDALAKR L198_01316 MSADQHLPQDKTYPPLSPRPGNGRPAPHISGDIEAYREEWGKSV GPDSDGWWREKALSSLTWYEPFGSVRGGGFENGDVHWFADGILNACYNALDRHYHTDP LKAAIIYEADEPSDSREITFAELFSKVNRLANVLKFMPMTWQIVAAFLACARIGAVHC AVFAGFSAESLGDRINDSHSRIILTADEGIRGGKTIPLNEIVDAALPRCPAVKHVLVY QRTGASVSMTEGRDRWWHDELEAAAGFCPCERMNSEDPLFMIYASVPKGVVHSTAGYL LCAYLAVKYTFDVHPGDRYGCFADVGWVLGHTHIVYGPLLLRVTTTVFKSIPTYPTPS RFWDIVDKWKITQIYTAPTAIRLLRSKGDEYVVKHDLSSLRVLGTAGEPINPEAWLWY YNTVGRGRCAVVDTYWMTETGSAVISPLPGAVPTKAGSATFPFFGHELEILEAKTGKV LQGNEVEGVLVSKRHWPSLARTIYGDHKRYLDTYMRPYPGYFFFGDGAYRDKDGYLWI RGRVDDVINVSGHRMSTAEVESALLAHPSVAETAVVGIPDPFTGQSVHAFVTLKPQSA PSAQTQTQPDALAKALTAQVRSIIGPFAAPKKIYIIPEQPKTRSGKIMRRILRKVVVG ETDSLGDLSSIGDPAVIDASEFGREWSIGGELTLEIVIKVVAESSPTAEARL L198_01317 MAPTPRKPVQRHEQSCDSSDSDISSVEDGDAYESPDNEEEDPDQ EYNVDAIPYAYSFREPEKKSRGRKSQARVMREEAAKAKPQWHYGVIWKGYLKALSDSP QPVDSFEGPKPPVIVRFWAGMGMTPSDGSLEPLDKIGDRYFLPSHAMKQWLNEEPTRS WKLFKKRQELQRAHVERVSRGQATGMFRCPKRYSDHYHWLKFKKRSEQAMGMKTEGRR PFSSRILNRSSDESDLTEDDDAVPLKATQAPRRKAAGQGKPNIPNIKTDKPAASKRKS VVTSSTDSDSSVDTPLSSSRRIKVPRPSNSRSGSQAGVPKTGKPTEVKVEERDGATSE ERVSETVEGQNDSAEKAPRAGSDKEGEKTGDAVDFGELDHGIFELPPLGVTESTATSA PITSERRSLSTAPTSAQAPPPASEYPTASDGTDKPPSSEKSIPPLGESAPTTVTAQAS PSVNTSSSIPAAASTPAQVPVTAPNQPVAPAKTDKPPSSKGSVPLADNSVQSAVPSKD PPAVKSPTPASAQTSAQVPAPNPEQGIVTFGTDKPPSSKGSVPPPGKATPSTVPSEAP PTVHPPSSIPATASTSTQAPAPSPEQTAVPAATDKPSSSKGSVPPPGKAAQSTVPPKA PSSAKPPSSTSSNPPSSTSLAKPPAGAQKKVKESYDPRKVPRKDPKREALHASSAAQQ TPAVAKDWLKTMKIAKRTQPVPEPASSTPPKPAQPSHAVLSTSDAVNHHSVHEPRREA ATASGSTRPLSGPSPHAPAAALPEPSAAGPGIQPLRVDQPVRSSSISDALVGESPVTV GHSPGQTGERRAFHPGSIDLTTSHNIETSSLPSSTPAAILGPGGKERPKYEQPKRIKH IGNDDEIITPQEARKRRMTGEDGASPVSPKRSKTSYFPHTSKTTQRKKDTDFLASTTR LSVGRASEEQQRPGVAQRKTSDGTPAQMRRVGSTTSSLAGSMTPPPVPRGRASLDRSR EGSGVTPTRDGTYSPPADRAGKPLNGVTRQGSADQPKPSIAIYQPRNKAQVPPQPPTT AVQSNAPNDPRLSISATQETSQSQSPAPLASGDNVQSQRQQQGTSHLGLTIVSSPSTI KPNYIPTPTSARPPAPVDSSPKALPTTSIPTPTTARLPSPLSKSPKLLLTLQRLRSDT KFPDGPELSDNGQAVPLASAANVDPFLCPVQSLNVIPENVVTLSPYLLLKHPKMWVRV MKALRGTRRWGAYLTPSVLCFLKQTWDDHDYDLSPDPTESFSALISSLPLDGGHAFHT GAPWGEAYGLSVSCNPPMKKLKRPLMEWRLWLTDVVRQNDFEALVKKCIGVEQGHFEQ MVARDAGQALPAPDPVDREEVILEQLRDLTAMKTNDELVYTRYVYVGHFELGDANRKK VERNYSHGVSPHF L198_01318 MTSLGPDFLGPNAFERVGTKQDVFRVTPATPGDDSQQQLRRRNA GQDGDQILLDGDGFEKEDNGGYFGHVKEGTQTLNERLQSGKDANTRMGRTSPLMGTLK LDDLRDQLETGIEKKFAPLHIPPHRRLQTAAVAFWAFFLPISIMLLLLLLSLPQCWYI LIPYFFWIAFDRAPLHGGRPQEWARSSSLWTYFAQYYPCSLVKEADLPPDRPYLFGYH PHGIIGMGAFATFATEGTSFSEYFPGLEPHLLTLESNFKIPFYRELLLIHGCGSVSKK SCANVLSQGPGSAIAIVIGGAAESLSAHPGTADLTLKKRFGFVKMAIREGADLVPVFS FGENDVSVHVGANAKGSMVYKLQKRFQKAFGFTLPLFYGRGLIAEVARTQLTKDVQPF RHPIVSVVGKPIHVERDPHPSDEKVRALQREYITELTRLWEKYKDLYARHRTKELTFV E L198_01320 MTATPPLTAEPGIITPAIATTPDETLPPAPGDRLSSFSDLYREE VALESAQDALAHLQASIVASAAATRASTKGSLWYALLGRAYTGSEKMMECATHMSVAK VQAYLLVERCAVVPAREALAVAQRIGGDAWDVRIRNMGHLVEWFDKTAPMVEDLAHPS WLHHYRSSSKVKDTLAKALDSLADLMTSLEYPGYDVKRAIQLESRSPTVISDDEKIDS ATTTQSEVDDALESASKAERRLQDAATAVSAIAAGLKEGAGWVPVVGLVCEVVSQMMA SAALVASMRVSALGLVERCAIVSETMLTAIKDCDGKLPPMMQGNISQLMRALDKNQKL LSDLASLSKIQLWRKSGEIGDKISDANENVVLLMKLFDIKSQITIANMLQTSGEQWKK DYKDLRTVIRENRLDGKQENEDIRKELDEIKEMMSQMFAQSPTTKDGANFGRQHNIFE SPKLPGRRLGTI L198_01321 MSSNGISPANLSRPHKTTMSELKLRRLKEHNTRLREDLARPRVR VSEASVSLIKHCSSTKDPILPSVWGPPGKNEDPYSPPEAGCCTIM L198_01322 MGLNSPIPVRLQDESRKAAKILRSFVDVNNNGLDKVIPRTVLER AEGFAIFTVFKAGFLFSARAGSGVVVAKLPDGSWSPPSAIGLGGLGFGGQAGAEVTDF LIVLNSHSAVASFMSAGSLTIGGNLSVAVGPLGRNAEGSGALNSKGKLAAMYSYSKTK GLFGGVSVEGSVIVERQDANRMAYGGNVSSKQILSGHILDGIDMPDWAFVLLDQLEKC TALPGGQKWINRDKENEEGGGGMGWSTPESGRGGGGYAFSEGSAATGSTPPPNRRRAG SLFGKDKEPSRSDSPSSNRRGSILNPFNSGTSSPRKGSLGPGHTSEAYTAGLTSDSQG PMGLGVGHGSKPSGGRARSGSLLKDGEVPVPFSYMKEKKDKDLLADWDSSSHQKTPSN GSSTQRDLLGQWDSDGNGLNASFSRLSTDPRARSDSRSRPPFDNILEHPKPSTSTFYT SVSAEPDYTPRESVSRYAGMDLAALEAQRAKQQDERARYGSPSKKNRPFSSYVASAPK SSRSEFSPFDDDSTGRLRSDSYSKPFEDYQKSARSIPSPWNSSPQKPSSSSSPKPEIR LKQGLDDLNDGYARAVALFDFKAGADGDLGFSAGQVVIVTDSVGEGGQWWEGRLASEG NYGRKGIFPSTYVEVLGLPKDLKGGVTRSELRKRIARDEFD L198_01323 MASSQTEDGVLALPPGDGLLFDVAVFRAYLGSLLSLVMSATPQA LNDTLFEDPNFDEKTVRFATDVGCQVVYITKERYLDVDEEDTPVARITYRLHLPPTPP HSSHTLGTLALIKTSPTLDSINALGTQLHFLQISSSTPYDGLHSLVHWGVAPWFDSYV SSKQSIATLGEVASKKGGEAQMGIPVTKKKFAELELSLLHLKQNVEIPEVHLSVHPAI RKAVVQCRSTGQRVSPDAVEPQSLLSDPAFLNKLQADVNSWIKEIQAVTKLNRDVSSG TASQEINFWLSMEHALEGIEAQLGGEEVTLALDVLKHAKRFHATVSFIADTGLKEAAD LVHKHNILMKDFPLDELLAATDLEKIQDAIYLIFAHLNKKLKLSPYPIRRTLPLVEAI SSDFNDQLLKILSSQRLMYMDYAKFEEVMALGAEVFGTWEENMKDFTNVAREVSRKRA EKFISIKINPVHAKLQERITYLRAFRRSHEQLRVMTSSTRSFTGLGGDAPFEIDMEQE VRLSYESVKNVDVLDVSPEGSEIWYTAETAYNDRVARIENQIISRLRDKLATARNAQE MFRVFSKFNTLFVRPKIRGAIQEYQTRLIESVKEDIRQLRQKFTANYRNSQAYHMSQM RDFPSVSSAIIWARQIERQLLTYMKRVEDVLGKGWESYADGHKLQVESASFRSKLDTR PLYDAWISDITKRGNLTITGRLFDVIRTRATATNAQGQLQLVVQFDPQVIALFKEVRS LIWLGFPVPLTISHKAKDAKRVYPHAVSLMESVRTYTQTLDLIEKNAEVEILLASYRA HAQQMIERGIKMQWDHLVNAYEGHRFLPGAPADGRESRHFLFVREFASVISLLQDKTN ALIEIYNDINRIVDELAVCDYNTEAFSSLLTQIQKSVDHLNLENYSNLDAWVATLNEK IDKVLSERLTNAIDIWCSEFSKDEDAPNAEVTLPSGHKMDITIEPLIHEIRIRNQVIY LDPPIELARQEWLGQFQDALGIVCNLNRIRSSRYEISLQVEEASVEETTYIGLLTTFP DGTLQQPLALIQDKVQTVSAYVDKWLQFQSLWDLEAEFVYNRLGDSLSDWGKLLSDIR QTRTTFDTADTRKGFGVCIIDYTNAQSKVNAKYDSWQRELLTRYGTRLGINEKDTYAA ILKARTDLEGLTIEGSSTARAVSFITLVQDLKRKVQVWGPEIEEFERGQRTLERQRFS FPADWLYIDQIQGEWGAFSDILKRKDDSIKEQVAGLQLKIVAEDKVIDQKIRNFIADW EENKPLQGSIKSETAINTLNVFEGRLSRLLEEYDLVHRAKEALDLEHTKDNRLQPVNE EVRDLKAVWTALSGIWGRLALLREVLWTAVQPRKLRQELDAILASTRDMPSRMRQYAA FEYVQETIKTLLKSNVLIGELKSEALRERHWSKLYKVLRLPSSHQASSMTLGQVYDLD LKRNEALIKEVVVQAQGEMALEEFVKQVKETWTSYSLELINYQNKCRLIRGWDDLFNK CGEHLNSLTAMRMSPYYRIFEEEAASWEDKLNRIHVLFDVWIDVQRQWVYLEGIFSGS ADIKHLLPLESARFQNINSEFLTVMKRVNKSPFVLDVLNIPGIQKNLERLADLLTKIQ KALGEYLEKERASFPRFYFVGDEDLLEIIGNSKDTRRILKHLKKMFAGISTLQMDDED TQLLGFSSREGEEVYFRAPIILKDFPKINDWLAKVESEMRLSLAYLLSQAVAELDGFF KLDSSLSLSDLLAWIDSYPAQLVVLAVQVAWTNLVETSISSAGLTGVLSLVSQTLDLL ADTVLQDIPVLQRRKCEHLITELVHQRDVVRSLITAGVRDGGDFTWLYHMRFYLNESV SDPLTRLEIRMADAVFPYGYEYLGIPDRLVQTPLTDKCYLTLTQALDNQLGGSPFGPA GTGKTESVKSLGVQLGRFVLVFCCDETFDFQAMGRIFVGLCQVGAWGCFDEFNRLEER MLSAVSQQVQSIQQGLSQAAHDPNAEVELVGKRLKINTRTGIFITMNPGYAGRSNLPD NLKKLFRSMAMTRPDQELIAQVLLFSKGFRTAEVLASKIVPFFNLCAEQLSGQPHYDF GLRALKAVLASAGILKRERHLRQLDENESASEVEADQLVEQQIMIQSVTETIVPKLVA DDVPLLKTLLEDVFPGVAYVPVNLDALKSKVLEVCKERHLVAQDAWLEKVIQLYQIQN ICHGLMMVGPSGTGKTQAWQVLLAALERLDGTEGTSYVIDPKAIDKEALYGTLDPTTR EWNDGLFTHILRKIVDNVRGETSKRHWIIFDGDVDPEWVENLNSVLDDNKLLTLPNGE RLNLPPNVRIMFEVEHLRYATLATVSRCGMIWFSQDVIGTSMIYAHHLEMLSVVPVGT DEDEALDYTTGADHSGVSLDVQRQIVDLLKPSFEADGLVNSALQYAEKSEHIMDFTPA RALNTLFSLLKATVRNVVGYNARHSDFPLSTDKVEAYVARRLLLNIVWAFVGDSKLDI RAAMGQHLVRESGVETPPLGPGASLIDYDVDVATASWVSWQSRVPTIEVETHAITSPD VVIPTIDTVRHEEVLYSWLAEHKPLILCGPPGSGKTMTLFSALRKLPNLEVVGLNFSS ATTPELILKTFEQYCEYKKTPNGVVLAPTQIGRWLVVFCDEINLPATDKYGTQRVISF LRQLMECNGFWRTSDLSWVKLDRIQFVGACNPPTDPGRVPLSHRFMRHAPVVMVDYPG EISLKQIYGTFNRALLKVIPTLRGHSDALTDAMVTFYLASQKRFTADIQAHYIYSPRE LTRWSRGIYEAIKPLETLSVEGLLRVWAHEALRLFQDRLVAEYEKRWTDDLIDTTAAE HFSSLDLSEALKRPILFSNWTSRNYISVNREQLREYTKARLRVFHEEELDVQLVLFND VLDHVLRIDRVFRQIQGHLLLIGVSGGGKTTLSRFVAWMNGLSIFQIKVSNKYTGADF DDDLRTVLRRAGCKGEKICFIMDESNVLDSGFLERMNTLLANAEVPGLFEGDEHAALM TACKEGSQRDGLMLDSHEELYRWFTQQVARNLHVVFTMNPPANGLASRAATSPALFNR CVLDWFGDWSDQALYQVGLEFTQTLDLDLATYASPAPFPISYRELESPPSHRQAVINA MVFIHESMKITTTKLAKRQGKYNHVTPRHFLDFINHYVRLFVEKKEELEEQQRHLNVG LDKLRETVTQVEELRHSLAAKSTQLEAKNVEANHKLRQMVKDQQEAEAKKAASIEIQA ALVQQDEFIRQRSNIVKEDLAQAEPAVLEALAAVGNIKKQHLSEVRSMANPPEAVKLA MESACTVLGHHIDNWRAVQTLIRKDDFISSIQNFDTKKMSKNLRDRMIRDYIDKPAFN YETVNRASRACGPLVQWVIAQVRFSEILDKVAPLRQEVASLEKQAEATKQQAQVAMDT VTELEASIAQYTDEYASLISETQSIKSEMDRVQSKVDRSMTLLQSLSSEQERWDAGSK TFETEMGTIVGDVMISAAFLAYSGFFDQQYREIMRREWMEHLAEASIKYKLDLALSEF LSTADERLGWQANALPADNLCIENAVMLNRFNRYPLIVDPTGQATAFLQNEYSDRKIT VTSFLDESFLKKLESALRFGNPLLIQDVENLDPILNSVLNRELRRTGGRVLIRIGNQD IDFSPAFTMFLSTRDPSVEFSPDICSRVTFVNFTMTRSSLQTQALDKVLKAERPEIDQ KRTDLMKIQGEFRVRLNHLERSLLQALNESSGSILDDDKVIETLEILKKEAAEITGKV KDTEVVMREVEAVTAEYLPLAQACSGIFFTLEQLASINHFYQFSLDYFLEIFDYVLLQ NPRLKGIVDLGGRKDILLQDIFLTSFQRAYRSLLHTDYLVLSVMLAKLRMRGEDGARV NEELDVLLEIPSGGVAQGLVLSAEQKRVMDGGIGVEVSKALELDFAENKGRWEAFMQA QQPEKSVPWPWAQSDTLLTAARHMIVIKILRPDRLLQAVSIFTDLAFGLDLATQAEYN LQTVITEQVGPTNPIALASVPGYDASYRVDNLCRDMGTSCSSIAMGSGEGFGLADRAI AEAARVGTWVLLKNVHLAPGWLAQLEKRLHSLSPNRNFRLFLTMETNPVIPVNILRQS RIIMNEPPPGVRANLLDTLRGVPEALLSSGPAEKPRLFFLLAWFHSVVQERLRYLPLG WSKSYEFNDSDFDAALNTINTWIASLAKGKANVDPAQIPWVAIRTLVKQAVYGGRVDS DYDQRVVDAFVDQVFTSRAYEPDFKLVQGADNQEGLAVPEGTQMSQFVTWAQGLPERE PPVWLGLPSTAEGVVAAAEGDSLMVKLRKMRTTEDDDDDGPVSGGSTGRPAWMTALKG HAEQWLSTLPKALSTSDKPSPTRSPLSRFFIRETSTGSSLLSRIRRDLSELIQVCLGD LKQTNELRALMSDLNRGTIPSHWRKFKIPRGTAVSEYVNNLSERLAQLEKLSAGAVDA EKGVWLGGLFQPEAYITATRQAVAHERGWSLEQLVLGISIANTGEADAFTVRGLTLQG ATWTSTRLALNDGQAVPLEPSQITWKCHDEVSKVETMSVVNVPVYLNNDRSDVLFSVD LETEEGRDTLAQRGVCLTVAWGRSSDPFGLA L198_01324 MDDNRPPSPPPFLDAPEHKYDLFYNAVQTLVNALGGYEDIESPP GSGHFDTIYRPGDSVLGVLKDLKKLWRKDDEDDERTVARCMAQAGLMKELVAILVECA DRGEWGRKVSLVACDLIAALTWPIDVAQELKEIEEEGPIITDYASLLRAQMEYKALFL KSDRPLRALLALMVPCLAKPRKDEKDTRIISLGLHVVRNLLAVKDASAEGTATGTKAE MAQLQSDLICQLDSLTYLQLFLTLASCSDKSDLNAFNVIVLDILHLIFRGVKVQELGQ DQKRVPLDNLAKLLDGEKKQKAMSSKSGYTRHSRFGTTITVKTAEQRVVLHKQNAVVQ DAGKILDAVKKGKKAPPKKMDELTQYITLSVEAMIVLQNFCRAFLETAFNTFFEYILR DIRMERTKIRPSDNIRVFYLTRFFIEYLLLLRNKLYDKGGSRERDELPLGLVAQMAEM DSVKWLFARLRISWDDKPKAWTELQACIDCFTQILLLIDDMATSPDEEDVEVAEIMQH QLYYNYDILDSALSVVREYKNQSIAYLDSIIHFAYVLLRMLERYSKTKSFMFIRKRKN THRKRKEQQVDPNDSEEVRRRNIPEEYGNEEEADQGPDQDAPTYEEHAFTFQGFEKRF ATEAIVNTLLVYLERFLEFDSAEQVKRVVGLMHRQVVKTHAEGLFYKVTTMILFQRIL ENKHALPDAPASRDLTTLITFILRKFFKHVEKDPYIIIEVLSTKARGKWRGGGGESDD DGMGGQKSRIKEKMGPAELAFVKKHNLSWSKQMSVVFAILHEAGQGYLIKWIIGVLEE VLAARQEIVLATDGGMDVDEDEDGNVRARNFGGPSEEAKSKFVQYDLQPEDDEQTAAI QKNQHFRLMLKLLSFDIPPPPTELDFEEDISPEQLAAAVKKSNYAWHIPEDVVPSNLE ASIGALKQYMEDPPSLDEDPKKLLRRKPRAPRRRRRSPSVQSYDSETGEVRESTRDSR PKNNARRKKAKKAAETQTFKSAAFIEDSDDEDEEATRRFFENEAKLRAEMDALAANGG HSMLDRGVKRKRGAGKGKGKKGKSLEIVDSEDEGLPLPSSTPMNDDVEPESDRGEHGA TTRIVTEEEIAAMNRRKETLADMRRMAMESDEELGDSGSDEDHEGRSMRPVVHSSESG MPIFEEAGDDEDDDEVRAPRASARRVVMDSDEDDD L198_01325 MAENILGLLLVTSSSRGRHVFRYPPDPASPNVRLAQPIYPSATF TATDADVDYKHRRAGGVGSSRRRGFDDRSSNASIRRSLFGPSTRGSSKASKNVKKDKK KGDDIRQRYMNPLAEGNDSKYEEESDQGEDSDSSSSESDFEPMWKAGSGGPQETSSTT GKTPHRQASGDDDHKAHGGLRSLDHSTLLGPSRRGSSSTTTPTVVGIDNGDKDAQKKA IESQYNYALGWPLEVLADMLTPPRAACNRRFELCVGNVVFLGHPVCAGPDGKWEIPPD DDELEERVPSRGRRMKDQPVALTSLDTVVEGNEAYTSTIAPGDADPKRKPTEEDVPNL VMFHLVIIIDKPDPRPGTESHDEHHTHTLGVYDEIYREIAFKWTAAAYRLQCESNLVA KEAWMIVKYKEKCLADGVGITECCRWTAAHCHIDRTLNYLYLRLHQLRTHPTNGLHSY LPITITNRLSYLLIETILSPRPANSDEAWAHWGELSGMLSDEGGSDDEESSDGEEEWD GVVGGRAPELNVKPWQTLLLIDDGNVARQDAVHFGIIGLPAESVEIWVDGRKSPGKKA LAAEVERYEDEAELLQSLVAACDVTKPLYEIAHLLRYDLEGIVIPLARDLVQNKRAML IDVVNPRLRTVVMPTTIDEHGTSFDQYSARFTREFPDLPRFARLISALSLEPVPFRKV IPGPGEPSHATRQKYMSALLWMLKQDLVVQVHTRARVFAKKEVMAEAWKKLWYRRRNR WLNIQKNRKEKETQGPQSPSGSDLMTPKASESYPNPIDALISTTPQNKTSVPPPPKHS APKGREYHHSFLSYDPALEMDSDEEFDGVRNKQQFSEEVEHPSKNEIPSFESSFIFKP ARAQKDEARWLRVIRGRADEVWASKFDL L198_01326 MATYIPPEEQNAVDMAWMREALNMACHPGSKAEEALSNDEVPVG CVFVKDGQAIARARNRTNEWRNATLHAELEAIDHLLPNNPAPLSSITLYVTVEPCVMC ASALRQVGIGRVVYGCGNDRFGGCGSVIGVNSSRPRLDSHPAYVAEGGFYREEAIMLL RRFYMSQNPNAPKPKKKATRVLKTEIAPPPSRGSTPQLSRPSSTAPTRRSGLESSTPA LSESSVEDPKEQGSGKSTTVGLQGLPIGGTVVSTPDLGEGVRR L198_01327 MAPKKVRAPQEAAVSLGPQVAEGENVFGVAHIFASFNDTFVHVT DLTGKETISRVTGGMKVKADRDESSPYAAMLAAQDVAVKCKEVGITALHVKLRATGGT GTKQPGPGGQAALRALARAGMKIGRIEDVTPTPSDSTRRKGGRRGRRL L198_01328 MSSVKQCPQCGPHGELVTDQSAGNVVCMSCGQIIEEGILVSEVG FAEGSGGRISVQGTFVSNYATGVAGTRGGRGGQQNTENIKANGSAKIDEVARKMYLGS GISIGAKRFFSLAVDNKFNRGRKTSYIVASCLYLQCRLKRDARMLIDFSEHLAINVFE LGATYLKLRSTLNFTDPMPEVDPAIYNLRFAHRLNFGPLVNTVAADASRLVRRFRADW MTQGRRPAGVCGACIIIAGRMSNFLRTPDEVAQVVKVHPNTIKKRLLEFAETDMAKKT VGEWRALSDAQLDAVSTEEKPPVVKQQARKREKELKKHLMMGIVYDEDEETENGDEND EDGPNKRAKLEKGKGREVDEADALQASAAFETTSSVDDEDDQLDPLAPSDYVSQLESA RDDPASAREERRRASKALMKEVRGVDDGVEEDEEGMDELEALAEDVEKEDEEGEDEDE GEPSTQLNTVSQGNPKNTEFTDWDDEIAVLNFFERKYFAGEKDLYQNKMHDRIKMWFG ARDPKEIHQEMEAVKRARWLREKGSKVVEEDRELADLDDEELEGWFELDEDTKQARAR MWLSSNGKWLEEEKEKQERKAAINKAKGIDPSKPRQKRKRAAPHKGPHKNYQEAIASV VAQKPMSRRLNYDICRTLNVKPGTLFRDRQSTAGLQTMDDDKEEEYYDEEEEERGDDD KEETYEDYRF L198_01329 MSRSSHTQALLGPELYKKVRETKILVVGAGGIGCELLKNLVLVG FANIEIIDLDTIDLSNLNRQFLFRKPDISKSKSLVAAASARHFNPNSGIKINARHGNV KDSENDLEWIAGFGLVMNALDNMDARRHVNRLCQAANVPLIESGTAGYLGQVTPFIKD TTECFDCVPKPTPKAFPVCTIRSTPSEPIHCIVWGKTYLFGKLFGEDDEDMDTEELDK AKAGGENAEEIENLKKEAAAFRQVRKNLSAEGGPLSVFHKVFKEDIQRLLAMEDMWKK EGRVKPVPLDYDTIMDGSFTVPPLRVAPAAQPANGDKSSGKAKPAALLRDQKELSLKE NLELFLDSCKRLSARAVAFPDTPLSFDKDDDDTLDFVLATANLRATAYGIPNKTRFDV KEMAGNIIPAIATTNAIIAGLIVMQSLNLLNRIHSSPNGASSSAPDVPLRNVFLRSEP SKPLGAFIPQHADPSCSVCRDVYVPFKVDLAKCTLGNFVEDVVMGWLNGTEFEKKEDD EEVEWTVFEAGRLLADPDFEDNHERTLEDLDVKRGKILTVRDEDAKYRPIHFCVCEPA ANATTPFTLPCAKPTVPLAYVQPEAVRDSSEEPEIIESVPPQTEPKDLPSTTAGVKRP APDDDQGDEAKKRKITVVDDDDDFEIL L198_01330 MPSNATTTPTAPASEVVAGGPATARAPAKRPAETPAVSAGSSVF KRAKVELEEDLASQDKEELVALVEKYHRLYIQSEATLENASQIRQTIQTRFEELEKKV ERERVEWKEETDQLKKNLSFWKGKAFSMTTMKTKKRVMGRNATA L198_01332 MAYTPPHLDPSIPPSRDNGYLETDSSHFDPSAKFGAPVGASTPN PYAHEPTTGALGYEPMGFSQEKPVKRERSCIPTNPTKRRLLFFGVPLLLVIVAAVVVG AVVGTQKNKSSNSSSGGSSGSSDSSGSGSDSSGSGSSDGSSSQDTWSSYITTGSGGDG STATSDLGNEFTYTNEFGGTWSQNPYDPYSVSGQAQSFSPSLLEDWKWGEHVVRGVNL GGWLVTEPFIVPGLYERYQNTTPKAIDEYTLSQAMGDNLATEMEEHYKTFITEEDFAL IAGAGLNYVRIALGYWAVETIDGEPYLPKVSWTYFVKAIGWARKYGLRILVDFHSLPG SQNGWNHSGKGGSVNFLYGVMGIANAQRSLETVRSITEYISQDGIKEVVTMIGLVNEV QGYVVGQDVLAAYYYQAYELIRGITGYGAGNGPIILIHEGFRGVAAWSGFLSGADRLG LDQHPYLAFATQTTDNHTVQAKTVCSWGGGTNDSSTQFGITIGGEWSNAINDCGHWLD GVDSTPQYESTGTGSCTFWEEWFNWSDATKESVKEYTMANMDALQNHFFWTWKIGNST EKGYATSPMWHYKLGLEQGWIPKDPRVAGGYCQGVGIGGNQFEGTYPSSAIGSFASTA TPTIAAVELASHSAWPPTALGPSPSYSAVQISLFPTLTQTGTRNVLATATHPANVTLG GGWVNAADTTGAWVRVKGCDYPE L198_01333 MAHYSKAPNNGTYPSSPARSMKTLFHLSTQVMQVPNVTHQEYQL ASLDEDGVLVLEHGAEGAPKHVKLPPGKLGQQITSNFHMDKSIVVAVLGAMGGEQVVS QKVT L198_01334 MPSFSSSSAPAPGLSFLSDFRPPKSPKRFFTLGSSASSSHPPLP MSTSTVGHSRTPSDLGFGVTVVKTPRDAAASSMKQAAGLITPPSKPPPLPPMPSLNSL PTLPSQARYPQPYSKNHPHSTHAYGHQSSRSVDNSYTFTAKLERSAVPERSTSTRADL RVGLEPRLGRGLAKSPSAAPVLGERIKDREEAKDTVGPMPTTPNRRSARSPFLSPGPM AVHTHSRSNSHASFLNSPPVSYHSRSSSVGGRSQRSSAMTSGSGSGIESSSSSIPPSP ILKRPSMSHRTTIKPPPRTSSKPDTPFHATLLSLTRKPLPRLPTGEQESVTLVNIEFA YSLSDPAKNENVTLPLEVLRKGGGCLGEWAQGCLIVQEEEERKTREKEREQREEREVL TKERERKMPAMTDGESAEESDLDSDYELNLLREEYLKSIYVAPSPDSSFSTLPPFPSP PLRFNPITPPSSHLSLPKSPKPSGYKRAQTRNQYTQLINKPRTPGSSNEPVVPPLAYE ISMIGEKGSTPPLNIRRKAPRLSLQPRAEAEKKEKGMFTEMRVFLTREAGAYHQITHR LLSGDWPELTPSLKARTEKELLWLGMKKLVEELKRPVLGPLLSEGKGSGLGLDIDLRD VKPFGTRGKEQGRKVRSERSERPEEREREREERKSGTPSGKWRERAREKSLVLRGGYI L198_01335 MAKVPRSFRLLSELEHGEKGIGDGSCSYGLKDGDDIAMYEWNGT IIGPPHSAYENRIFSLSIICGDNYPDVPPLVKFESKINLPCVNAQGLVDFARIATIAR WNRNFTLETVLVELRREMASPANRKTSQPPEGVEFPPVDLIALARQRGL L198_01336 MDSLLAEISKQKRALQSDGADEGPAKKYMRRADVERAKEEEEQR RKELAKQEEERKKEILQAEKAKRAEARRSALGKLNPASPAPSSSRNTPDPSLPSEERF NISPEECIRRLRAKGQPIRLFGESDKERRLRLRALELLEERGPSGAQGRNDFKWALEE MESGFDEKGLEKKARELHRLAEERGKLEGSGSAGTPVEGEQEEGKEGKEVEKKKKGVD MGILDLKLIKTDPNKVYPIIYYALKGVLKEWEAWMDNRPEEIRRSTQGKMAAATQVQS AQSLKPLFRSLRSRDLAPDVLRLLAEIVHHMQSRAYQKANDAYLRLSIGNAAWPIGVT SVGIHERSAREKIGQDNIAHVLNDEVSRKYIQAVKRLLTFSQTIRPPADVSQLMG L198_01337 MSKPSYPTQNQSGQQMYQASDGNWYPTSSMPQNWQGQQQPPQPS YGGGYQQPYGQQQPMYAHQTQPVYVQDQRGAGGMGGGAGAGAGLCAGLCAGLLCFDLC LFC L198_01338 MGGVSPEQCTATHDVPTLVLSLVLITGLVISYLPQQHLSIISAR TSEGISPWYLLLGATSSASGVLNLLIVQWPLFRCCSVTSAGRCFESLLGFYQVTLQWL LFSIVLVLFLIYFPKHLKYQVVKPISSSASSLAEPNYGAVQHHHEEHEAEHSLETDTS KSTITTTPEWRLAVTLAIVVALHLQVISHELSLASLLVLPPTTPPHPLLRSLATFLGV SATLLAILQYAPQIYQTYNSKLVGALSIGTMVIQVPGSVLFVLSLVFREGTDWTSWLA YAVTGGMQGALLVICLLWKQRQKRLGIDDFGDPLPEATESNEAQPLVNGQ L198_01339 MSAPSSQTAPLEPTLYAILPPALHRPILAHLSLQAIHAEAYHIV DRMYTTANPVLPGQLRSLRFRSQLVGGQGVQGKGKGKEVEGQREERWVHTLAYISAPL RGAEYSEAAVRALLAIEVQDESTTEEIEQFIDVLGFRHTHTYTLSGHLLHLSIPIPTS TPLTLHLSITRISTSSSETEESPAKNEPYLVQLRPSRPVHAIAQRGDLSLMDMVGVMR VMAGRVDGLEWSTGAAF L198_01340 MTHISASQPSPANSIAGPSPAQPASQIPDFEAVLRRVETYLDRA SAGRPVSFELISKNIQTRHPHTRTKTTSLASFCKTAQVFPKSKSLREVALELFDIAEK LEPNEDESHSEHGVERARVTQGWMRIEAEERAEQLEDELEEMRDEMSTRRRGPRSSDV QGDGPQAIVVELKDGANGAAGHSGEASDERPAPVAPVAQPAIPAQSWQPPAHDPAVIL YDQDVDSPLVPSTYPYQDDRARELLQNAQAFPEATSLRKTALEIFDIASKLHPHGLAS DEAVNPPDDQPLENAEAERFWQMYLVSEQARHYETQMRTEADLRAEKAENQVDELKDE AKEIDEWKERAEIAEVKLEEDTWASMKRRRLEAYDEIGW L198_01341 MVNQAPAVASLTTEDLLCPLTTIRDTLLRPDSDTIPIPKLRALL ARYKQHISTPWNPYERRSNTAREAIKKATFTLPQTEVAFTVADNVRKIALEVSDLLDM DESAAFLATRSYLDYSLDEETSEERIVDRVVLWVAEETLAVPQIALAVLKLSDDETPL GNLAYDVRVEAIGEPEKYIEGLFRGFSVLAQKEVDEKKRKMDALFWATLQLRLQEILL NLLFVLVYQIPKRSAGISEGLIRGCVMSAFGTSQANGDIWEENSEAQAIALRIRDLMV VIAIESLCLGQIISPPDPLESLDLNTLIQSQKTIASVHDFLVEQSTDLEPHIPEAAPG TVPLPLWPMPIICLVWSMVLRSLDPAVAPSSTVDGATTWQGMAIRALRLPSGLFPWVE TILSGSLVEGALQGTGGEEGAFYRKVFKGKLMRSDQTGNLRVFTDVLVGLTELVQLES IADRPGLYRSWELLFGGGSPSASSLSAADFWIADFQYEERRAVLDRSHFPYQPTNLPR TLAALTGQGEPDVFGTDAASQVHHYFTNLPSITHAYESAWCRGLGTEAGVEVVEAAQT LVLPGGESIPRGSKGVIIFENGSSQVMWVNQIISGWSLLLEILQAAAGLRPIDEKTQA SADHLEDSGYLSVRDLDIQQPSAEILAAGLKLFRNILHATPYLKATFLTHLIPEEHMS SGQALLQLALTVLHQSRIAELAMDVGVVSDAVDVVEALITTPSSNVWPALRASGFFDA SGKRKASVAGLVQAESVQGHHHLTTSLLRLILTLAQNALHVPEADTVILTSALRLVFF DIWNNFLTWRYSDVAKKYELSSLVTAIFDTVLSHPLSPNSEKPAPAAQILIDLFISST SPLTYRPLIEAVTQSNLLVPRLINSRRPADAELVVKCLDETMSFLATLLRASFLIGSP SSALPKSLLALPVSSADLNKIQLVDSLFEIASTPMTQTSNVLSVLVTLRTYIEVTGGE AQRPSLASMLRSPSKTFGDIVEASQKSDDFDVKAATWHLLASIVSNQPGCVLSVLGHN ENELTGPLKDAVAEVESWEEIFRDAPHLIAAVLNFIQSALRSAGADSAIGILRKNKQF WQNVFDLSTRIVPAPPSFALSLHSEDFVPRIKRYAYSVQAKANATSLLAAELAYAMNN DDEDEPETKARELVLSLFRNDLALQEAGLMACHTSCVPQLHEEQERKIDECGGNLNVL KTARVGCEREFGQSYLYDGVITVPESSSKQATANSALDLLNLNWSMLDADIALTRSFR LLTENIAAWAEGDALAMTAAIKASIAIAENVAGEYREGDIMLAIQVERLSILAVLLET ALDPQEEHKPDTLLVQRLSTYIYSVINSHSFPPIISLRQPDLPAIHQPALRILFLLLQ YLSSSESTASSVASREAIVDAGTVFALESADIVLDSIVRKVQPAFVPILSMVVGVICA ISRLSTSANTWLDRVQGVNLVSRSLDVLVKARITDGQIPLHISSILLLHLALAGNPSS AEKLAVSGILPAYSDNAIIAEAEMGKIEAPSSQGNTVHDAWCGMLLVVKALLATLPDT ASFTRTDIVPFLRVCSAQMQRAFAWNGETPLSIPALEEMELVVDIQYGVACALGPRSL DDYAVPALALLKGIRFAFSHPRLFSTLVVPSSEEEREKLEEELAVVEEKQDVDLLDVR RTPIIAGRATTLLRLLRTIILTLTVLTRSWEALRDAIESERIEDFILLPEDENASGTS SDPVGIINDIYVIVQNILERLPSLTPTLGGHYNMTPSASATQQIRDIASQLLESTALV SYTQLSLRHALLSPEERGFETEEVGMDLDGEGSTTKRRMSLSQGGSKEGMVIRELAGD LRGMLIGEGGMMGVLRNMADRAFGVEGEEP L198_01342 MATLSPPDHSTYPPPSSSPSSNTLLEDPTDDPTTTKSGNDTKNP ALLNHSQGGMSEETARAESKVKEPEAGVHVKLSQKRKWMLLFVFSAAQYLDIALYSSG LILTDSIQQDLGILYESSSWVITAYTVTFASFLLLWGRVSDLYSPKAVFAYGFLLLGL FSLVVSFMPNQYAFFVFRALMGICGAATIPSAYRLIVAVFEPQELNIALTMFIMSGPL AVCSAMIIAAVFEFVTVSNQLAGWRWFFRSIALVVAPFGIFALKAIPSEAGRLDNQKL DRKDRLKRLDVVGCALMLSGIILFVLGLTLGSSFGWRKPGFLVPFLLSWPIFVSLFFW EARLPEGYALIPPRFWKLRNTALIIFCSLAIYPLWAVTQLAFIERSLIVWDELAIVAA VRMLPSSIVAVIVALVLPRILKNVRNLRWPMVFGLGISGLLVIPMIYSEGEIFHNAYW RWLFPAFIVGSCANTVFFLCANVAIVTSVDKEMGGVAGALFQVALQLGTVIGLSVQAG FLTLHPGNVSNWDNVSGSFWFVVGWQLLAALLTAVFYRQPKKDEEDEDSGETAVMAQ L198_01343 MSSLSPAHDNYQPPSSFPSSKTLCEDANDSTRPGDAVKAASLKS GKEISQEDSSVDNSESHVNQNADTGAVHVKLSQKRKWTLLFVFSVAQYLDIALYSGAL IFTDSVAEDLGILYESSTWIITAYTVTFASFLLLWGRVSDLYSPKAVFAYGFLLLGVF SLVLSFMPNQYAFFVFRALMGICGAATLPSAYRLIVAVFEPYELNLALTMFMMSGPIA ICSAMILAGVFELVNVHNQLAGWRWYFRSISVVVIPFGIFALSAIPSEAGRLANKNLD HKDRLKRLDIVGCVFMLSGIILFVLGLTLGSSFGWKKPGFLVPFLLSWPIMVSFFFWE ARLPEGYALVPPRFWKLRNTALIIFCSLSIYPFWAVTQLAFIERSLTVWNELAIVAAV RMLPYAISGGIVALVLPRLLKHVSNLRWPMVAGIGLAGLLIIPMIYSKGEIFHNVYWR WLFPAFFVGSAANMVYFLCANVAIMTSVDKEMGGVAGALFQVALQLGTVIGLSVQAGF LTLHTGNVSNWDNVRVSFWFVVGWQLLAALLTAVFYRQPKKADGDEERGETVMAH L198_01344 MATPLPSLSPAESSYIAASLAHPAEPTRNDGRALFASRPIQVSY NVFPHANGSARITLGGTEVVAGVRLEVVDATGEQIKGQEVWKTKVTVDITPQAFPNTS SSIINNLSTHFQALLTSHFAPSLPALPILPNKKYFQPHLHLTLLSSAGNVPTALSIAA RAAFSGLRVPKTKVISWVGEPGEEEGAEVAKGDMSGIKAAIAGSKTKGKGKLVVKGNE DWDLDMEDGDGVAYLEGREQLPVLVALNIASLSYLFFSHLADTLQVPNSANIFLDATA QEEAACPTRILLFFKPTSPDSSSAPNLCGMRLEGPHGLDQSRVRGLLEQGASVAEELV KELNRSIPTSD L198_01345 MPPPAYPWANAAPSSRLSPDMSDRPQHQRSESEVIAASALRQPS TIHRSNASTPPSQHSPSGLRSRPSPRKDKGTHVLTKPKSSPNLSVPSSARDSTVRHVS HSSGAERIIKGTSRWLRDKLDMGGAEKKDDGWLILDLHSPSVVSESTNSRAVPAYDQR GYPTRQASFETARPVAGPPTPHSPTGPLISDPEIRNYLSDSHNGRHPQGSLGYSHKAS LSPLAAHFPVGLSALDTSAESDFFPGGHSNLSFGSGPRLAPSIYESSMRSHASLSSFQ DSDTDSVAQLREDDPFTRVMALGAGINGEKERRKRNGLQSMYTLKSLRLRPNQKKREN SKLFDLLPFHIDPSQWHDMDPEEDDSLHHPDAMDKHDTAYFTKRGLANLGCLLFLLLA VVMIFAGYPVLTYYYPPHHMDNNVGYNLGGINATGQVPSITNFFQLIDPDTPPEAYTH MSAETGEEWELVFSDEFNQEGRTFNPGDDPFWEAVDLHYWQTNNLEWYDPKRLTTKDG KLVITLDKIVSHGMNFEGAMMSSWNQFCFSGGYIEGTSILFLAPKLALTLWPAIWTMG NLGRAGYGGTLDGTWPYSYDTCDVGTLANQTLNGKPETSLTNGDPNNGNELSYLPGQR LSSCTCENDPHHPGPKHEDGTWVGRSAPEIDIFEATIEDRKGKVSQSGQWAPFSSHYY FKNTSDNYYKIYDEDETQVNTYMGSVYQQATSAVTVTKQNCYTNEKGCFESYGFEYEE GSDGYITWINDNKKAWTIRGSAMGPDEEAEVGQRIVSDEPMYIILNLGISENFGAIHY VGLDPLWPISMEVDYVRVYQDPKKRNIGCDPADRPTAAYIAQFPEAYSDPNITVFDNV PGATWPKNRLIDDC L198_01346 MIITKENRRAIYEYLFKEGVLVAPKDFNRPTHPDIPSVRNLEVV KAMQSLNSKGYVKTQFSWQWYYYTLTEEGLAYLREFLHLPSEIVPNTHVKPVTRAPAR TGGQREGGAYRAPRGDREYRRKDEGGEERPRFGGVGRPSA L198_01347 MSDGYTVKQEAIGDDAPEPARVMANGVNGVASGSGVNGDGQRLF KREDAWTPTPTARGSATPTSYTSTPSRHYAPPPPQSQQNQQQKPVQATPKAFPGQVDA EQGSSTGGSGWEKQLAGRKRKWGVMGFGKDEQEALADSWTSLHLAVELDQDATLNPPP PTRFTSVEDAVQRLLPYHIWQVHDEELNTWDKADVARETKEASELLLRLKNIKSRFAK ALLREDDRPAPLPSAINFLQTSHSAVRDQVSYLQSNLRHSKQQWSYLDQEQRRVAEVR RKAREDEEAMKRVVGMAMQEAVRPLGPGSGGTVSRPSIPAQQARPPIQESPTKRTRGR PPGTGRLQLAASSGSNLQAVASPNGNPIRSAAGSPGSATGAMTPGGRTGTPTPGVNGA GTPGRPPPPPQGPVSLTINISLLPQLVSIGLIPSTPVQATPAASSQKWPATIIKTLDD KKSVQVSINLGLCTKPQLLSLAKILNVKGSTPSAPSTPSSTAGAGPSQ L198_01348 MNQSRSVLELWVDILKAGEGVVDTTEHIDSIRFSKNVWNCAWAS MQGLVRSTALAFAPLSAEHSAVIKSYFREIVTVGFQAGLLWKGMVQYPTKEVSEGLDD VVEYCWDKITGMAKARGVGHKYSLLIDVEMGRPFEVEVITGAVLRLAQKYKVDTPRLE YTYVLLKVLQGEILREQREKLEEKAVGA L198_01349 MPYPPPITYATLASPLPSAPYILGITPSPSTPHLILRHPSPSLT IADNQTLQPVGELSGGHNGHVSAVSYGESELWSSAMDGSIVRWDERSRSRATVIKGLS AIHCILFRADYCPAFIRKSLPVTALTHSASDNLVIGGTELLSSEAHIMFWDPRNSGTP LYTHSSTHSDDITHLSLITGSSAFLPRSVNPEVHTPSTLLLSSSTDGLIALSNMKESD EDEAVVAEENWGQSIADAGGYLNKGKMRVWARSDMDEMAIWSVGRSGEGEVELQDQVA YPSSEFKFRTFTPPKSGPNITQTALDEFKAKATYKSDYLIGAVPSLGVSSAGGPMVAV GTNDGDIILQHHSSSASSYRPSAYFITGPATNRGHKDVVRALFHDLPNEALYTGSEDG VLAGFSLASLPERLTVGDKDVDDDGDAREEEDEDMDEESEIETENSEESDKDDDEDME DEGPRYGPVIGAAATGRDGLDKKGDRRKKRFGPY L198_01350 MGIFATNIYGQPTHFAQLAPRAVSSNVLSGLDPTEVDSSNPITL FIIQLVVILCFTQGLGWAFGYMKQPRVIAEIIGGIILGPTVMGRIPHFSEHIFPDASI TYLNLISTIGLILFLFLVGVEVDIGVMKNHAKASGLISAAGMVLPFGVGAAVAVPVYH NFVDTENVSFGHFLLFVGVAMAITAFPVLCRILTSTKLIDTHVGVIVLAAGVGNDVVG WVLLALTLALVSARSGATAVYVLLCAVGWSIILLWPVKKAFRWIVNRTGGDEHGPTPG TMLLALMIVFISAFITDIIGVHPIFGGFIAGLIIPHDGGFAIALVEKIDDLVSMLFLP IYFVLSGLQTDLGLLNSGHIWGYTILIIVVAFLGKFVGCCGAALALKYPPRESAAIGM LMSCKGLVELIVLNVGKSAGIINQRLFSMFVVEAVVLTFITTPATLAVYPARFHKSLR DPSHGAGKKDDDKELSITGAAASGASGGREHTSKFLVILQKLEHLSAVMLLTQMLEPP AASQREPWDASGHVHGETKKGEQSSENSFETHDDSTLTGHGKHDTFHNLFHSNKDHPA SSGLEPRIDALKLVELTGRTYSVMQSAEKDQLLGTDDALQLYRQFGRLRGLEITPHIS IIEQEWYPQAVADYASDLSTELVIIPWTVPVQGGASSDLIQPATGSSSTAVSQFDSIF GSETAGSPMYTHFIRRCFAESKSDVALFVDRGFGGMAGFRPGSGQHVFLPFFGGPDDR LALRFVVQLCQHQGVTATIVRVEKDEEEEGDEAETEEGGNGEKSGVELHQAALHSNQL TVGGQTQYPETQARLQSETADSIAWNYYASATVSPPRPAFVETALERISFHSTTSHQP LTYAFTCGESAIRATSAGSKTWRPMLIVSGRGRRGAAINHETELTRVLAAKGLSPSIG AELRKTVGDAAAGMILGGGALGTASYLVMEAGK L198_01351 MLTLDNIHKPFSDVPPLGHLLLPLAISAGSFIAIVLGTATVCSL SPSPTSSKQEDALPSYIYTSKVYHKRKIPSLAHHTFTSPAIFLCADIDALQDGGLDAP LRVVRQGGHPGTKVVGLRSLKYLGSGDASYRTKLEALLERYDVTAEEVGKVWMTTMPS LLGFEGLSPLTTWYVYGKAKDGQRGELKWLVLEVHTEFGESHAYVLGMDSPLRHEPAR GYDFAFTFSRSFHVSPFNNRSGFYRLDILDPFPLGSSSPSHIPKFKTFLQLLTPHKDV KLSALMVPGRQGVKLGEGWSTVRGVLGILTRWPGALLLVSARTKWEAYKLHYRQKLAL YPKPEPGCPFSAGQFNPPEAEHGKAVGYGLQGPPVSDEEKKARRVVEEWVERRVKELG VRLEVMFANEREALSVGEGRVLSVKTADPVFFTNLLMAPSPRHFLFLAFERLTVVSDA SLFVEMFEAPEGVAESLLSRWTRSVHSRHLAYLASTTTLPSPAYLPPPVAIPPHFTAS LSTYSQLSCLRIVSSTWVSERLAEWVFDLLGGTFIKGQEPWGWALWDRAVRRAAGEQG VDAIEDEREGSVLCVE L198_01352 MSKPFVPTPAISRPGTPNSSGYKQDDTLLRDLGERGERDRLERE DKEKKDARQEQVLPILAYCAASIMMTVVNKYVVSGANFTMTFLLLAIQSSVCVLAVST VKRLGIITYRDFDMKDAKAWWPISTLLVAVIYTGSKALQFLSIPVYTIFKNLTIILIA YGEVFLFAGNVTGLTLCSFALMVGSSIIAAWSDISSAFSKAPELDPIAGIDIAAGPVS TIGGLNAGYVWMALNCFVSAAYVLFMRKRIKVTGFKDWDSMYYNNLLSIPILVVFSLV IEDWGSESLALNFPASNRVVLLSAMAFSGAAAVFISYSTAWCVRVTGSTTYSMVGALN KLPVAASGILFFGDPASFGNVSAIGVGGVAGIVYAVAKTNQAKVEKAKQARASGGRP L198_01353 MSSPQPPRQSLLQNLSTQSLLLTHLFTALSLPLTPQTPPQISQL HTALQYSALDLAGLVREVEEHQKLWNKLQEKKEEVKQLERRVRGLVRSLEEGRRTLEG MVDQGVKEVAAIDKSEKEPILAKTLLAHAQALGKHSSAPVSTLLAPVDRAQYTPWPTE MNMRMGLLFQLEGSMSGMGETGMVGDQQAAQPEVEERREEPVQVEESGRRYDPNAVFQ LDLNSDDSDDD L198_01354 MPRLEESPSRSRASRGPLGIDAGAVIKRVKSLPKETWHRYEKLG PRSKAAVWIITALHFIGFAVVIWLTPRAIGQWFNDFALSVKAMGWKGVVLCNIFAVLS SHPPLIGFMPTLTLIGFIYGIWPGFLIAFIASNLGAGIAFLSVRRFFLGWIKKNDKWE AFGHVMRAKGLPLVVMIRFCPIPWNVSNGLFASIESVKFWQFMLANVAIQPRLLVPVF IGSRLTSLYSDSPTEDPLRFWINLASIGISGTISVVTGYAIYRLTLDQMRKLDQARAG GGVSDGDGELAAEALEGRALLGEYDGQGSGDEEAEMLTDPESRARGRAANGKANGRSL KVGGNVIRRTSSSETSDASELV L198_01355 MAPIDQTDQLRSILSTKQSALPPSARSKSPPPRGGRSASRGKGK GKQGDEDEESFLKESYQIYTHLASLRHLLTSVRKPYLSSAEPPPMSRRRPQRPTSSQA GDDGQVEEWAKWEKSKYLTDKERDEIDLRARMILRRCNERVGVLERAEKARKTKVNSS LPASSLFQLLPSLALPSSPSTSSEPIISAHHASIIWTLNDTMAKLTGTLSDMQTERVK RREERGRTLGNGASAEAERLGRARKAGEGRLIPDGVVVDVHDAALSPTMGSDPHLHSG LGIIDPSAPPIESQLSQAQMQEFESENNALLEHMSSTLSSVLSAESSLLEISQLQSEL VRHLAQQTEVVEQLYEDAVGSVAEVRKANEQLVKARERGKEGRLFLLVFILGASFSLL FLDWYAS L198_01356 MRSFSSIACALPLLASLATAAPAVLKGFEKRDPAAVPDGGVDAR PNASAPIYHTDSDFDFQSLNLALNQEWIELDLFRYGVERFSEEEFAAAGIDAEDISLI NFMADQEVGHATLLTNILSSNGRTPAKQCTYQYDFETVRDFVNFCQRLTRWGESGVYG FLPHLDSRPSAQLLLQSITTEARQQMIFRQFSGAHPMPVYFETGISQSMAWSLLQPYL ATCPAENPRIEWQIYPNLNVVNETSLLVDGYNAAITHNRTSLTSPGQQIHFTWDAPGQ NTSWNSSYSTSIGGNVTDTTPKYVAWVSQLNTTYTEFNSTGNYSGYTYQPDGVVFDNT SDGVVNGTMFVGLTDSNPYVTPYNLSLLNDVIIAWGEYQAN L198_01357 MAAPASRFQGVTDLASSLAVTEDTTVVTLQERYLAHKTYTALSS SALVFTNPYNYLPSDGDDSLLRYVQEYYRVAKEDDTGRNEEGAWRKGGLDPHVFQLAL NAFFNMKRTGQDQVIITNGPMGSGKSELKRLAIEAISEVSVATPGKKGSKLGLQVASA EFIQKCFGNAHTLSNDDASRYGSYTELQFNERGRLDGLKTIQYYFERSRVSQAPVNGE RNFHVFYYLVAGAPAEERAFFKLGDMIDYRYLNCRVRRIGVEDKHRYSQLRQAFKAVG MSSRLVAQVFQLLAAVLHIGNLQFTPGDGEQEGAQVVNADTLDVVAEFLGVSSESLAE VFSIKTVIIRKEVCTTFLGPEQAEQVRDELARTLYSLLFSWLIEHINSKLCKDSFGSF IALLDLPGIQRGSSVISTNSLDQLCLNFASEKLHNWVLHRVHQTAKQEAEAERLIFNK VPYFDNSDCLEMLSASRGGLVSTLDDLSLKKKAEQNLLDNLTKKYHSHPSLSISSSHG YRSASSFTINHYDGPVTYETSNLLERNAKETSTDIVQLLRGSTSTQFPEMESRGSSNP FIKGLFTMKGISMQTHPKSDSTIVAVQQSVRPVRAPSTRRKQRRSLVPVNEEDEDAED FGVGGGNDESYSSKDLTCIAGQHFHAVEALLKSFDQTQMWYIFCLRPNDSQLPSQFDL RAVKSQIRSFGLVELAQRLQGNGWEVRMSLEEACERYKVELARRGIMEGPAWVERLRD FKRLLKASDAEIGIGQQRVFLSHSVFRYLEDKLRARDNEEHLHQYDDAAFEGQKRIQT DPFSPHRHHNSAESRQLYDTSFPDESSAALPLVAHAQPNPMESTLDLDDMPPTSVPYG THYGDASTTDVGEYASSKSAYASSLHKSEKDPLDEDNTPGHTQETYKESIVRRRWVWM CAALTWWVPGFMLSKFGGMKRSDIRQAWREKLAINMIIWFICGCTVFVIAILGPLICP TQHVYSTSELASQSYTSHPDEAYTAIRGEVFDLSSFAPTHLTAVSVVPKRSFMTYGGL DATDLFPVQVSALCDGVSGSISDYVTMDTSNTTDNYAQYHDFRASTNDSRPDWYAEMM IMMRHRFRKGFMGYTKKAIKTQASQGSAVGIVDDVVYDLSDYIAQNGGGLKLPDGYTA TSQDQSDRQFMSSQVVDLFKYNSGKDVTKLLNNLAGTLGQDVVDRQKVCLRNLFIIGK VDSRDSAACQFSTYILLVLSIIMVTIIGFKFLAALHFGSARAPEAHDKFIICQVPCYT EGEDSLRRTIDSLCKLRYDDKRKLIMVICDGNIKGYGNDKPTPAIVLDILGVDPNAEA EPLSFQSLGEGAKQHNQGKVYAGLYEHAGHVVPYLVVVKVGKPNERQRPGNRGKRDSQ MVVMHFLNKVHFNSPMNPLELEMYHQIKNVIGVNPSFYEYIFMVDADTTVEELSLNRL VSAMMHDKKIIGVCGETSITNAKQSIVTMAQVYEYFISHHLAKAFESLFGSITCLPGC FSMYRLRSPDTNKPLFISNAVIEDYSENRVDTLHMKNLLHLGEDRYLTTLVLKHFVNY KTKFVRDAHAQTVAPDSGKVLLSQRRRWINSTVHNLAELVFLDELCGFCCFSMRFVVL IDLVSTIIAPVTVAYIGYLIYLIVHDGETIPTLSIIMLAAIYGLQALIFIFRMRWDMI AWMFFYILAIPVFSFFLPLYSFWKMDDFSWGSTRLVVGDGGKKIVIHDEGKFDPSLIP LKSWEEYENELWDHESSQSEEFLAPVKSEYDYRPRSTFSYGYDQPQGMQSRSFTPVSS VYGMNHPSANANPFGATDYRSIQMNLAHRPLLREESFDRMSQFDGGSLYGGQQAHNPF AGGFAGQRNQSYTSLDQAAYQAPYQAPPSQRGYNPSLHTAEASTMEDSFAAPVDYLGA MAITDSQLEASIRKICKNADLDNLTKKGVRKALEKEYGCGLDERKEAINRLVEKVLTG TFCGAWDDPELTCLPE L198_01358 MSRYYQQGVVPTLIPPQHAESKRDRKRRETVNKIEMLHEESWRA RDEKFGQMYKEFHAENKMVNSQPPTSAKFLLHAYPVSVERDARLEAAEEEFQYKASQA RKMYEQEREAIEAQYWESRDQIRQRLLGSIEDRRKRLRDEKEGGEVVTENLLEADTHK PKRRSFFTPSSASTPISLTPSGTRQSSHSRSKSPSSKINPADLMHHSSLTPSLALISA EDILPNDSSLHVRPAPAGTTTYVPTQTGKRGGPRGKAATAENGGDGKELAAPGTSAAL GIAAAQSSIRSRGVGGTRDQTLALGKSLAELAKMTQAAQLEIDGDWARMQGNTGRGRR TRGE L198_01359 MAHPIHLPLPHSSSLVAVPHPVYRQPHLIHTYSHNPDRSIVHDD SAMAWFDPAPIGSDLNYGFERLVERGDDDEHLDGLVESLEEMGRNGEEGERKGGIITW RGMLTRLLTSPYETRDGWEMTAFALDGSVYLELYDPPEARAAKKKGEASWARQAYMGY SYESWSTAKSYSHDESVSDHWGGDVNTNVQWANVVRSAIGDIPFCIAGEVDCVNGAPS ENNPGLEETVELKTNKVIANEWQEKTFVKKLLKHWAQSWLLGTPRLMIGFRDDAGILR SQQLFDTYMLPRIAATHSSTPAWHPSPCFHALHSIASLLTTHILPTDPLLTHPDLRGN KERVDREGLPSAVVWRLRFDPRRGFELFQTGQVGLVDGRWGGVLKEDYVRWRMGV L198_01360 MGILGGDDDETSSAAGASTGLTAASSSAADTKPASSSAAKEEES TTAKEATTTAAKAADAESSSSASPTTVANSGATSTGGVSDTVKSCLNQGLDSADCKSG TSANEGAIIGGAIGGALVIALIIYWLMKRKKKEGVKKGFWQGKAGMGGAPPV L198_01361 MHARLPSLTRFSNVYLRNRGFDVVEKVNNRDGAGIVFAKKNPWI KWSSRVDIKRAKENGYYDKWQEKVRLAGHSREPTLSDEGSDWDEYEDDGEERYDWEK L198_01362 MPYFPFFQHLEHLFLQDHLPLTSCGLIQRNAGWHHRSETGTYYD SMPDVISYLSPIALTLKASDQEQAAKRSMSSLVEKGRAYLYELMMKQEGLFVPPRTYG AVVTALHGLPI L198_01363 MTTETVGLAPQPQPSILEEYSNGVAPKYNSHDHLSDRSKVTEVD GIRGLMSLEKDDVVSFYSAGRPNPVTFPFESITLNLKSPLGSTSTDIPPSVTIDGEDL NVALQYAPSAGLPKLTSWLSDLQSTVHKRDKSDFAISLGSGSQDLMYKGFLAVLNPGD PVLLETPIYSGVLPPMKFLHAEMIEIDVDEQGGSAVNLEKALSEWPKGKKMPKVLYSS PVGSNPSGCSAPRERKLEILKVCKKYGILIFEDDPYYYLAEKHVPSYFALETEVYPEG GHVVRFDSFSKLLSAGLRLGFVTGPKEITHAIDVITAGANLHTSGVSQAVALRLMQEW GLEGFLNHGRQVAHLYATRRAQFEASAHKYLDGLASWVSPVAGMFLWVDLSEAGIEDS YELIRHEALAKGVLGVPGVAFYPHNRKSPHVRLSFSIVDLEQDTDLGFQRLAEAIREK QAKLGIKA L198_01364 MPWVGQDNNPSQPYIHNTYAPHLQQNYYGGVPPAPGIFNYSNAH AYGVDGWQQAMRLGLGNQQGSYNGGQPGHFYGNQQMIPFQTSPSQPSLFQPSLPSSPY QPSLFQPSLNQPSLYQPSLYQPSLYQPSHQPSSAPMTTRMQQTARKGKGAARRGRGRQ GGGSSGVNANPAAAALKARLKKTARCSLAPEGQASQGCSNATTAIGMMWCWSTMMHMT MMSMLMPPNAPTYIDSIAADLAEQPQEQTPYSGDMFVKALHSESSAEDPKVGGKAGGA GQSARPMEGKQVGFGADKIGNDNVGHKLLSKMGWAEGEKIGKAGGSGIDMPIVAVVKN TRKGLGG L198_01365 MPWVWQDDNHSHEYIEDTWDDPHREDPHYSQEGNDYDDYYGSRH LPASGSFGHRDRDGFSVDSWEGAMRWERENQQVDHYGDQQMVPFQTPIATTGTRTKQI ARRGGGAARGGRGGRGERVGTQAFSRVSAASPAPAPKERTKQTARCSRGAPAKAKAAP RAPKAKAAQKPDAAATTMEYWSTMVPTPPGAFIVPIDSAPAKKQTASRRGGAAAKFTK GAGSAEAPSNSSKVTLEYWRMTVDNPGSSSAQITPGPAEQPKKRTKQTARRGGATPAQ PCAAPAVPVVDVDNAGARGPRTKQFARRGRPFVYKPY L198_01366 MPDQAAPVRTDSHSPPPKRLRTSKACANCKTRKIRCEFNDDARP DAPCKRCKTYGLVCEITSGRDRDRDRGRAVQGGQGGSVNAASQSGQPRVDGEGVGGRA SMSGSMSGSGPWTPRTVQEDHSLNARRPSTSAPHPPNNPRPPLAAPPMPLSMPRPDHS PLTRPSPHSSHLDTPGTATHRLDFEAPRDLEEGTPPPPTTQFTVVSAPSVGASPAQST LDQLAGLPMKWRVGMRQLLENKVEGSHFCKPEPRMLGSTSLSSLIAPITHDNVGSSRV FVHDMRYGIRRRTTLQEEAMICWSLNGSTPIWRLPSDPLQRPMMEKLLATYADTIAPI FPVLLPQEVRNMRALSAFQILSMCSLASLSRTVPEAVCQSFRSRLYHLLEGAPGGNIW TASQANLSSLLVISLSAELHGITASTGGGICWLRVGVAIRMAQDLGLHRHVTDFGMSS LQQQTRTRIWSLCIMLDAWYALSHGQPLMIDTRFCDAKKPSVPDADDTSQTAYIDRYV YYTWKLTQLLRKTLVCLFDISKGPLASVDRTEMEAISEDLVNWRSKLSPELLLTTSSG PQGSCAALLELMAVCIQYVAWRPFRFPSSTTDTSTFNVTTQQWDALVQRSLNVAKWTV LNGTHLIDTCFIATYSLAHIAQMHFYHYLSTTSPKSLQALASITEAFETWASAQGDRL EATSLRYRVYDLVNQLNQAAAEGCVNPRASAADVWGILDPLFLAPRGAGSSGNMTDVG NLSANQMFGAGDTGGQHADPADLQLPESSGGEMQDWNTLMNMMGLTNTGWNNN L198_01367 MSTTTFNIPKPYQEPACHYELGTPERALLQEALQEILDHGPYEV PCVVDGVEVKTGNIAQQVLPSDHKHVLSNYHQADAALVEKAIAGALKAKREWEDVPFV QKTSIWLKLATLVSGPWRYKLMAATMLGQGKNAWQAEIDVRQELADFFRFGPDIVKTQ YESQPAINDRGHWNRIEWRALEGFVGAVSPFNFTALAGNLVGTPLLVGNVVIWKPSDY SMLASYMVHQLLLEAGVPPSAVQFVPGPPELVVSTMINNKNFAGLHFTGSSDIFKKLN QDVAANLFKYRGFPRIVGETGGKNYIILHKTADIKTTVPQVIRAAFEYAGQKCSALSR LYVSKSLWQAGFKEHLVSEVSKIKVGPETEWENFVNALIHKGSYEKVSGYVKKAKDAG GEVLVGGTGDDSKGYFYQPTVIETKDPKSVTMVEEIFGPVVTVYVYDDEKFEETLTLV DDTSDYGLTGAIFADDRKALVLAEERLRYSAGNFYLNDKCTAAGVGSQPFGGARNSGT NDKVASPVCFSRFMSPRVVKENFVKSSEWSYPSNIV L198_01368 MTALYSGTLAENYQTPFLTRSSARPSAPPSPSAPQIQRRAREER SKSLRTISSSMNVESWPNMRYPLWPVMIWKELDLSRNVNGKIVKREIRDIAREEWARR NGIKQVDEQ L198_01369 MSLRLLSRAARPSTSRIALRPFSTTFRRSDVLSDLDKQINPREI IEQKKKLMEEKYAQKLKERAEQEGVQGFEELKQKIIQPKLDAEKAARDAKDAEREQAR LAATEKVEDPVSERVQAERKKKEAKSQGQKDRTGVKPLADIINLPLIHLTPHDTKAIS QIWNAFHTSHPTLSNAFLSASLPSETYTSMLNVAKENPFFVLPLPRLSAAPVENADQP EIKTDEYEMFYLQWLFHPTPTAGSPASADTNPKALPFTTSIIFTPLEEFKRSGEWAQP YLVLTHYPELSQTHDVVLMRGEISPASAGGPDGSLLNPGFLLSQQQAQLLALALQRFY CTDIALPGESEQMMVERASRKEALRGFRERPEEWDWAGLVEKSYGGLV L198_01370 MSLTDIKYEDSKHEFAEPQHVEHGGSREAELARNINTNVNAKIQ NPLFGKTKEELFYDVDGFCEEFGFNDKTQLFRKAALLAQNPHKFDQYDELDAEDKAVI LREKTHKWSQPKALVFTVILASIGAAVQGWDQTGSNGANLSFPIEFGISDADGDPNQA KNQWIVGLVNSAPYISTSLLGAWLSDPMNNWLGRRGVIFISAIFCLLAPIGSAVSQNW QQLFITRLILGIGMGLKGATVPIFNSENVPASVRGGLVMSWQLWTAFGIFLGFCANLA VYKVGKLAWRLQLGSALIPALPLVLLIYCCPESPRWLIKKNRYQDAFKSLLRLRNSPL QAARDLFYVHSQLKEERIALGQSNVVSRFVELFTIPRVRRATLASGIVMIGQQMCGIN IIAFYSSSVFSQAGYGNLECLLASWGFGLINFLFAIPAVFTIDTFGRRNLLLSTFPNM AWTLLVTGLCFLIPKTSSARVPLIAVFIYIFAMFYSVGEGPVPFAYSAEVFDLAHREV GMSWAVATCLFWAAVLSLTWPRMVGAMTSTGAFCFYAGLNMVAFVLIFFFLPETKQLT LEELDYVFGVPTATHASYQAKVWAPWFIKSKILRQKVELKPLYHLEEVGGIEKDPEYA AQALGAMH L198_01371 MRLTHEYVSNAPSHLNPLKERELELRGLQIPVIENLASHQGSYD TLNLSENSITVLGNIPNSPRLQAIHAAGNQITTISPSLPPNVSLIFLTDNVISSLASL IPLEQLKSLRHLSLRGNPVTQQEHYKEFVVWKVAGGKLHTLDFERIKDSLRESSRKLL IDPETELPNSLAHQLSIPTTSAIPASSSAFAASARVNADGAGAGVKGGKGRLMTPDEK RRVVEALTSAQTSEEVRKLERMLADGIVPEGGMDEAIGKE L198_01372 MADSYYEEQPISPSQNADQLSANGYGDESLASPAASGPISPNGY PEEPSPVAAAPARKKLSSWVGFSNLPNQVHRRSVKRGFQFVAMVVGESGLGKSTLINT LFETKLYQPKQIAAPGTDRGKTVNIESISAEIEENGVRLKLTVVDTPGFGDFVNNDES WKPIVENIEGRFDAYLEQENRVNRSKLTDNRVHACLYFIQPTGHSLKPIDIEFMRRLH TKVNLIPVIAKADTMTDDEIAAFKQRILADIVHHNIDIFQPFQYENEDEETIQENEEI VSKVPFAVVGSDSLVQAPDGRQVRGRAYPWGIIEVDNEEHCDFVKLRQMLVRTHMEEL REHTNDVLYENYRTDKLRAMGVQQDESVFKETNPAAKQAEERALHEAKLAKMEAEMKI VFQQKVQEKESKLKQSEEELYARHREMKDALEKQRQELDDKKRRIESGRPLTPEKGSQ KKRFGLGK L198_01373 MPLFSHSSLSPVALIILLISTTVLPTTLAERKITVNNKCSSSLY LAVGGQGGNVTKTDGSAQPGGWEQEPGEYTFIAPDGWNNARIWARTGCEKSGDSLDCI IGACTAGTIECDGTEFGAAGATLAEFTLNGYSGQDSYDISIVNGYNLPMTITPSDSSC TKSSCGAETDILTQCDPYLAYPQGNDKIYSCNSACGNSFQFQDLTSGAFISADTDNSP VCCRQGSDGVASEDCPNTYIPFYMTMKSMCQDGYVYADDDLYEGAVFSCAGTDDLSYT VTFCPSGDGAGLDPPSLSSASSQDSSPGDNTGNLKNASGEASIWASGVTGTPISSIAG ASDGLSASTSSATSARASSGVGSAAVSWTGGVLSDAASSTLAAGGTSISDIAASSAIA SSGAAVATTSAGAAAATAAISPVSSSAAAAVDPALSSAAAVDLAASSVAVPAYSSKAW GGGGAGGGGHWGGGWIGAIQVATSSPAPVAPISTTVPAEGVTATYTSDGDVYVQVNVV ETQAVQGRNLDGMGSLKRKRWLGERDIE L198_01374 MYYDLFSPFPIPAAQADQSATKKSKKAKGKAPAPTPSASPAPIK ENLDCWEGLERQERDDVARRMAMIGHLGYSVTALTISIGEATNHVHPSPFQNKRPFPD LDPQSSNFSAPGSSNPGRTPLVQVARYHVRLDDGKAHCFTAANTQALRAYDILSVAPT TEKSFQLACTDLSNPGPNQISIITLPLHERPFTFRFNHKQMRQASRNGAVFELLYSAA LFPPPSTPPDIARRYRQNFLSNAREVVRITGGKGIIFSSGPSSGNEASLRGCLDVVNL GTMIGMPANLAKEAVEKTPKMVLLRAQSRKTFKAIMTIPKYVPAPVEDTETESGKRPA DVEGAGGESKKAKVST L198_01375 MAARRAYSSLPAPHTGAGPSLNARFIPAADLPKPLFRRIASQLA HLRSQGKDPATVSIPNPFLLHRARQRQDVSALTGLERFYWRKPQFSARRQKLLLQQYD PSILPPSPLNPTAEPRPIQWEDGTVINWQGEVLEKAAKQSPYDGRKVMFKGHIDERNK PQKVADRQERMKGMDKRIAAWRKSKADDKIRARPSLPF L198_01376 MTLVTRSAIRLSRRGGQQLRNARANAALFTTAAAQAKTVLPGLN ASSSRVTLPAKASGAARTYATPAGVQTGHIKTVIGAVVDVHFDSENLPPILNALDVQY GEGQTAPEGGRLVLEVAQHLGENTVRCIAMDGTDGLVRGQNVVDTGAPIKVPVGPATL GRIMNVIGQPIDQRGPIKGVKENPIHADAPLFVDQSTQAEVLETGIKVVDLLAPYARG GKIGLFGGAGVGKTVLIQELINNIAKAHGGYSVFTGVGERTREGNDLYHEMRETGVIN LEGDSKVALVFGQMNEPPGARARVALTGLTIAEYFRDDEGQDVLLFIDNIFRFTQAGS EVSALLGRIPSAVGYQPTLSTDMGGMQERITTTKKGSITSVQAVYVPADDLTDPAPAT TFAHLDATTVLSRGIAELGIYPAVDPLDSKSRMLDPRVVGQRHYDIATRTQQILQAYK SLQDIIAILGMDELSEEDKMTVERARKIQRFMSQPFAVAQVFTGIEGRLVPLKDTVNA FEEILEGKHDHIPENAFYMVGGIDDVKAKHEKSLKETGA L198_01377 MSSATPNGAAVNGHTDKYDHSNVAQFIGESSSTPPPVRGPSTGP RRAAELKRLAVWNHTWEASADTLDLLGANSVETAPSGRVTDFVKAQKGHTVITKVLIA NNGIAAVKEIRSIRKWSYETFGDERKIEFTVMATPEDLRINAEYIRMADRYVEVPGGS NNNNYANVDFIVDVAERAGVHAVWAGWGHASENPRLPETLAKSKIIFIGPPGSAMRSL GDKISSTIVAQHAEVPCMPWSGTGISDTMLSPQGFVTVPDKAYEDACVHSWDQGLEKA ESIGWPVMIKASEGGGGKGIRMVDDPEKFKNAFQAVAGEVPGSPIFIMKLAGSARHLE VQLIADQYGNAISLFGRDCSVQRRHQKIIEEAPVTIARPEKFEEMEKAAVRLAKLVGY VSAGTVEYLYSHQDDKFYFLELNPRLQVEHPTTEMVSGCNIPAVQLQIAMGIPLHRIR DIRTLYGMDPHGITDIDFDGDKPESVNTQRKPKPKGHVIACRITGENPDAGFKPSSGA LTELNFRSNSNVWGYFSVSSAGGLHEYADSQFGHIFAYGMERSEARKSMVVALKELSI RGEFRTTVEYLIKLLEKPEFENNTLTTQWLDGLIAEGMTSERPDPTVAVVCGAVVKAY LAFEASISKYGSVLEKGQVPPKDSLQTFFKSEFIYENVRYSFAMAKSSEYSFTLYLNG SPIFVGCRGLSDGGLLISLEGASHTVYYREEVGAMVLSVDSKTTVIEDEQDPTQLRSP SPGKLVRYLIDSGDHVDAGEAYAEIEVMKMIMPVTASESGIAQFMKQPGQTLSSGELL GILTLDDPTKVKFAKPFEGILPTFELKHGRYGTKPHQRLREHLEVLYDQLSGFDNSAS VGASLRVVESVLRDADLPYSNVHEVMSTLSGRIPQKLEDEIRSILDSGRAKQLEFPSL KLQRTIDNFIEENVAPKERAQVVAAIAPLKVLIDAFFYGLKVHEWRTWANMLNFFADV EEPFADSTRTQENVVLKLREDNENIDQVVKLVLSHGKIASKSKFLLTLLDVIKSESPR ASMTLESGVNDALSRLAALDSRPTAKVALKAKEVLIVGSLPSYEERLGQLEHLLKSSI TTNYYGEKGSGQRLPSADVLKEVTDSRYTVFDVLSTFFDHEDPYVSLAALEVYVRRAY RVYNVLNLDYEPGEKGGEPHIVTWRFKLGGNQDEPRTPRVDSTKDFTRIASMSDLSYV VSTKSEPIRFGLMTSYNHFADLVEGFPKLLARYPAFSSVEHQDKFGKDARHPHVMNVA LRLFNGDRETSDNELNERVHALANQYAEQISNKGIRRVSFVVCRQDQYPSYVTLRQSS DGVWKEEEAIRNIEPALAYQLELGRLSNFKITPQPSSNRQIHVYHAVGRENTSDVRFF VRALLRPGRFQGEMQVKEYLISETDRLVGDILDTLEVVSSQYRQNDCNHIMVNCVYNL NVTFDDLQEALAGFIERHGKRLWRLRVTQAEIRVVIEDGEGNVLPIRSFIENVSGFVV KYEAYQEVDDAKGRPLLKSIGDSGQFHLQPVNSPYTTKESLQPRRYQAHVIGTTYVYD FPDLFRQAVDKAWRNLQAVMPNVKIPTELLSAVELVFDEQGELQEVSRAPGLNTCGMV AWVYTLKTPEYPKGRQVVVISNDITYQIGSFGPSEDEYFYKATQYARKHGLPRIYLSA NSGARIGLAEEVMALFDVSWREPGKPEKGFDYLYLTDANFDKLNAMGTGSVITDEIEV NGERRHKIASIIGLKDGLGVECLRGSGLIAGETSRAYDDIFTISMVTARSVGIGAYLV RLGQRVVQVEGQPIILTGAQAINKVLGKEVYTSNIQLGGPQIMYKNGISHLTAASDLD GALQIINYLSFVPERKSRAIPVLPTGDHWDRSVEWKPTKAPYDPRNFLAGCTEEVDGV QTWKSGILDSGSFFETMGGWAQTIVTGRGRISGIPVAVIAAETRSIERVDPADPANEN SQESRVSLAGTVWFPDSSRKTATAIEDANREELPLVIFANFRGFSGGMSDMAQAILKE GAKIVDGLSSYKQPVIVYLVPNGELRGGAWVVLDPSINPEHMTMFVDNDSRGGVLEPE GIVEVKYRRPKVQATMARLDAEYARLKKEAENPSATPEEKLAATAKLEAREKHLAPAY QSIAIEFADLHDRSGRMKAKADCEPCDWENSRRAIYWSLRKKLNEIRVLKKLQNANPE LSYPERQAILDQLVPFDAASSDSEKAAFIEKSSDVIEEYVQRLRDQFCSETMVSWVGT NQDGVMSGFKKILEGLSPEQKAQVLAELGV L198_01378 MTDSAQRNFRSQLSGFRWANSVQDDSAQQAAAAQQSSNPFGRAW SSMSGYIPLRSEGASQEEEAYFALSRWERFLGFLACCAGGMACFGIAFLFLPILAIKP RKFALAFTLGSCLFMLGFAILHGPWNHLKHIFSPERLPFSLAYFGSLILTLFFAIGIR STIGTLVAAIIQVGALLSYVAAYFPGGQTTLRLGLQMMLRGAGSLLPI L198_01379 MSMNHPKPRQIRLPFDVPKPTKSVPQARICGICRKGDSRYTCPR CNVAYCSLDCFKSEAHDQCSEPFYKTTVLDSISADPKVGLEEKKQMMDMLRRFEEKEA EGGNGLEEVGSEAEDEDEELIKLFEGVDIESMGSNDIFKLLPPKHREAFIQALQNPDS EDTKALLEAASQDLLPQAPDVLPWWETEASWAERNREDESDGPRVAEEPETIPESVLE GINPPDRVGKKLAYNAIALRRVKYMAYLHTLLSYRLPSLHPTHLKSQDVEASELKAYF GLLTPFLVEPKSTTRHESLGSAWGSVWEAISSDTDVPPLAETLRHLLGLIPDLLHPPI TTPAYPRVLLILSDLYGLFTIPPGKIGGAVPRKVAFYVKALRQMDRTEWLHLESEIQK EVEKLERESGEGEVKEERRERLEIV L198_01380 MAVLARPISALNRPLAFQACSRRALAPLRPRFVSNAAKPPTAVV MMNMGGPSTVGEVNDFLTRLFNDNDLIPLPFQSILGPLIAKRRTPTIEEQYASIGGGS PILKWTQIQGAGMCALLDELNPESAPHKPYVAFRYAKTLTETTLAAMKADGVKRAVAF SQYPQYSCSTTGSSLNELYRVAKQEKWGGAGEVEWSVIDRWPTHPGLVEAFAHNIKTA LKAYPEQDRNNVTILFSAHSLPLEIVNRGDPYTAEVAATVWAIMQKLNFSNPWRLTWQ SKVGPKAWQGPQTAASIEGYAKQGQKNICLVPVAFTSDHIETLFELDQEVAEDAEKLG VHLTRAASLNDNPIFIRALADIVSTHLNDYDAGLIGPASQQLLSRCQMSKNPRSEATK KWLGSGGKNMVY L198_01381 MSTCNYGVHTCSPCISDSVKMTFPGWIVALVVPPLLLLKSRPLP SRETVIPPNQERVLLLGASSGVGKDLALAYACKGAKLFLMARREDVLQSVKEECLSVG GEGVEVLVFAGDVTNAEDLVAARDMLVKAWQGVDTVHILAGLPSTSQLLELGGVSLDR STPENSPPSGAKLAYSSSEGPSLDGMKAIEHEAKAVSHVNYLGTVLSLSCFLPLLASS SKSPVLHHLSSVAATVPAPHRVIYAATKAAALQAVESARVECEGCGVRFFSLCPGTID NGFRLKTADSQTGGRDETKLAIKDSWEKLLLSPKTVTDTILYHLSLSPAPQPLIPYPP FNFFRALDQPPKHLVHLPLTYRFAMFVRDTPLGWGYIEPAARRKYGLVGRA L198_01382 MASERAVGPSTIPKDASIASLPSTSYPPIHQGASLLLALRLQDR PILLIGGGVVAASRVYFLLESGAHITLIAPLPLDPSIAHYISHPDTASQFTHLAREFK GREDEIKVKDFDLVLTAIDDNDLSKEVCEICREARVMVNVADIPPQCDFYFGAQMRRG PLQILISTGGMGPRAGAMLRDLIVDALPSDVESSLQGVGALRRDLRERAPGVGGERGQ RRMDWMKQTCDKWGLAEMGRFNDEPTRTRVLEEGWEKGVVLGPSDLEGEIGSGWWKGL KGKVGPEGVWGVSGLALGAAIATTTTLWLSRRR L198_01383 MGYTLAVVGCGTMGVAILSGVFSSLEERQSLPPKLTSSSDEPPS GISTPTASQFFDAPEASLPSRFIATTGREETARKLRKTLADIGKLGQGVDIHAGDGNV KAVQEADVVLVCSKPNIAKSILEQEGMGKALEGKLVISICAGLKISQLKSWVPQSTTI VRAMPNTPCKIGEGMTVVTPVSDAFSRTLILNIFTSCGRCRFLDEKHFDAATALAGSG PGFVALVLEAMTDGGVMMGLPRSEAIELAAQTMQGTGRMVLFSGQHPAQLKDSVTTPG GCTMAGLLTMEDGRVRSTMARAIQVATNHAAGLGQDKK L198_01384 MDAFGLLLMAFSWALMLLPFTLYKTADKGFKNPSLITMFIVGGL LQISFIVWEWKFASHPLMPKRILNRSLICFCIIDFSYYSLATFTYWSNMNSITVCAGL IQKYTHRYKYLQLFGLCTRLVGQSLVYTSTRGHTGDAMLIMGLVLISMGGACSVVDSQ VATQASVPHTDLALAMALLALWTRIGGAIGSAIAAAIWANQLPKHLSANLGQYMNSTQ LDAIYGSITKARAVTEHRDLLRKAYLDSAWYLELPALVLCVLPIAAGLCKSNFFLSDT HNAIEEKKVVLRSLEETDEQVVREKVK L198_01385 MSSSHPRDTNSTPSQQDTTTDGANASSSTSRNRPQTPYNLSTTS GPTAGGTSPASQYSASPASVFSRSANSPTTTRRSQHRPYDHGRTASNATAVTVPDVDP QQAAHQANIQNMVHQGHFNPHNEEEEVQDDIGEFIDDMFPEGQDGEGGQDGGGGTTA L198_01386 MSLLEVLAAPSPELAGSRSGVLQTREWGRTSRGQFVKRQEDAGD QAQSSGSTSAATSVTESIAASDALSTVSSSEDPTSTASATPSTSTLSTDSETRSSQAA STSQTSQDSTSSTQSSSAVSSSDNSSSSAAQSASPSTSQSSATQSASPSSASQSPSTS APASSSATGSSSSSSAVQSSAAASSSSASTISAAASSQATSSQAPSSSPASSTPVSSN PASSSPTSLASATSAPASSSLSNSQISSSSAQGSSVGSSSSPVSSSPASSSVSSGSPS ASAQSSSQIAGSSATATSSVSGSTSGSTTLSASPSESTSAGVIVTPSGTSSGSGSLSA STSQPTGASSVADTSSLVSGSTSAGVSSSSASPDSSSSNPSTTSAGIAVTPTSNAASS SSSAAAATSIPSPSSTADIPITVTATNTYSTPFTTTDSAGSTTTGQGVRTVVVVVTAN QASSTQAAATSLATANADLETVYVTATNPGASTGSDGGVVIVTVTQTNPTTDQTGGTS DVVETITKAVHVTVEAGYTYTAYSTAGDGGSLQSSNAGQPRWDHASGHLLALATITLS ILFTTLL L198_01387 MTTPSKVAHTLTRVCLELRHKAGIEFVHLAAREYGKNVTRGFRR EVSVLLLVPDPDTSEGGIGHICMAEILVHLDASTNELENVASRFWYDWEDWTVPQYLD YVKDLDAEPLKVRIPDKRANVPWSTVMDSLLEAVQKVTRCMVDRASFKALVKRISDEL KVSDLQERVYEWQVEKVWSKGSHRKAFPVIRIAFKVMQGRSYREDPGAVMLMELEVES KKTCGCEESNDKPMRKVNNMSVSYDGEWPTFHHYRTDIPPPSLEDLVATEKR L198_01388 MASPDPALLANLRSLYAAADQSHVFTFYDSLPPAEQASLLAQLE SIDVARVNRIFANAVAAAAAITPAKENVNLLEQSPTVHKGEGENGNLVGNGPEDTEAK PLPEEATATVLNNPEEEAKWRAQGLEAIANNQVAVLLLAGGQGTRLGSALPKGMYDIQ LPSGKTLFEYQAKRIGKIENLAEETYKKEKGSVRVRWYVMTSGPTRQATEKYFRESGF FGLREQDVIFFEQGVLPAFSNEGKLLLSGPSSLSVAPDGNGGLYAALRRPLSPSSPTT VLSDLRDRNIQYIHGYCVDNCLVRVADPVFIGCCLSRKAAVGAKVVRKEYPEESVGVL AAKGDAFAVVEYSELSKEKAEQRTADGQLAFRAANIVNHFYTVDFLESVEEMEKDMAF HIARKKIPTVDLSSGAAIKPTEPNGMKLELFIFDVFPFTKSLCVLEVDRAEEFSPLKN APGSKADCPETSRRDLLAQQKRWLQAAGAEVAEGVEVEVSPEVSYAGEGLEWVKGVKI MKSGVLSGKGGLAELSA L198_01389 MKVLDILYSISLLSALSTLSFAAPLPESPTLADSTSISHLASNA SPRALPDGVELVSLATLQTMERRMVFTGHAAGDGNGHVKREFHPVGRPDAADHVSSEG QIQHLAKRKKKTKTNFKTTTTIAAAAATEAASQGLKLAMVYESITSQKTSVGTITEKC LTPLNDPSQQFCQTLLDGQSLGGGDAGLTGSTGSSNSSSSSAVSSRSSSSVASSSSSA VASSSSSTTASVASSSAAVSSSSAVASADIASSTKAAAASISSSSSADTATASISSDS ASVTESSTSSVDVTAASDGVSTSSVSSAALAAASGADQVTAIGAVSTTDVPGQNLQVL PIGLGIFGGLAAITLIVVGYVTWQRRRFKQQFRSRKLAEKAAPPGMA L198_01390 MPPQSHSHLSPQSDYSLLSSLDALSLSSALSKVDSRAGTSSASL SDRRSSQDDYEVISHPSSGRHTTLNVHLNMEETSSLATKTPTGSTGFAASDSAAPAGK SKYARRRERLRARKDADLPEGSTSSEISSQVTGHTESSASAVFVQSRVPVSPKPASVS VPPPKASPSKLAEVLARSDKDPERLSKSASRRARKWALKQAQAANKGGILASGSSSGA SGLGGSSRFGGVASNNFLSVPSSHVAPSLSLDSSLDDTSGKGKTRRSRRGGKQNKQRA ERLSETRDSSEADSVLDTDDTASVTTTGTTSRSRTPTGSSANSGRRRVLAWDDEDEDD SEDDEGGRATPVAQRRTAPSVLSASDASSSINSFLTDPRNFMMLKENKLRLWQSLCIE FGLVNLEEDLPDLPVRNTPQRPVQRPRADTASSSSTITSSSTATELPVYPLPRTLNQA RRILKEHAHVNLVDYFEARKVGAPAFVGAYKDLLWPTPSALRRYTRGEGKFAVKDAVK DEWLNPLMRDILHFSAGKK L198_01391 MSNGGNRNRDNQNNQNKRDSFGQGLSKWGIGQDTKSGGGFGAGS RRDDKPPRREGGGKSQADVFGAPLQSRGRDARKVSEEQPQSGGQDEGSSYGGGAMEED DNDRPRARKNHGRERKGRGESHSLLDRLRSDGEDASLPTTKHHRRNRQPEQPQPEQPR KPKAPKPKVVPVRQEKEVYIPRTVSTANLAKIFGVKLFPLQAKMLQLEMTEDERRPDY LLSAEQACNIAIDYGYNPIVDDEASFDIYPEQVDLPTDPVDGPLQPLRPPVVTIMGHV DHGKTTLLDSLRHTSVAASEAGGITQHIGAFSVPLSSLLPSADPNASAATITFLDTPG HAAFTAMRARGASVTDLVVLVVAADDGVMPQTREVLDLAKNAGDTLGLVVAINKCDKP GVDFNKIKSALGAEGIFLEEDGGDVPSVKVSGLKKTGLDDLVETLSTLAEIRDMRARR DGKAEGYVLESRVDKGRGNVATVLVTKGTLRTGSSIVAGTTWCRVRQMQDDKGKPIRE APPGTPVSVTGWKELPSAGDELLEAVKGEDEAKKAVGNRKRDIERKKLMKDAEQINAK RREERLRVEAETAAAEAAENGEVVETVREVKDDFKTLRLIIKADVSGTVEAVVGSLEH IGNKEAGVRIIQTGVGEVNENDIALAETSDAMIIGFNVSAPRAIQTLAKNTNVPLQLE SVIYRLIEKVRHDVAALLPPTIEYSVKGEASVLQLFQINVKRKEFMTIAGCRVNNGVL DKSQGVRVLRGPDRKVVFEGSMGTLKHLKKDVQDVRKGMECGIALEGFTDIKEGDEIV TFVKVEVLREL L198_01392 MKTAAVLIAALSAAASVEAGVHKMKLQKQPVDADSFTHGAHGLR PSPELEAEWLAFKHLGREYVDQLPLGGMGGAGRKIKGKKQPEMTEEEKEKYWAQMQDG GNAHMLKGGHGVPLSDYMNAQYFAPIEIGTPPQSFRVILDTGSSNLWVPGVGCTSIAC FLHTKYDSSQSSTYKANGSEFAIHYGSGSLEGFVSQDTVSIGDLVIKKQDFAEATKEP GLAFAFGKFDGILGLGYNTISVNHIVPPFYSMLDQNLLDEPVFSFRLGDSEEDGGEAI FGGVDESAYTGKLQYVPVRRKGYWEVEVESLGFGDEELELEGTGAAIDTGTSLIVLPT DVAEMLNKEIGAEKSWNGQYTVDCNTVDSLPELSFKFGGKNYKLQGADYVLNAGGTCI SSFTGMDIPAPTGPLYIIGDVFLRKYYTVYDLGRNAVGFADAK L198_01393 MPPPDLPPKGAPDAPAQATVQESQPPIAVPTSPPLPALPRPAFV GPTFAVANTPPPVLAPLPPPPQIASTSQPPAPIDIVEEEDELHGDESLNGSAPPPAPA FHQGSSPNKSSSSKSSPTKSLYIHTKDALLHSGVNGLSGLRSHNVHNGWAGSGLPQWP SATGPEGGGGGAVGPGASASPTNGEGPGRANRSVGYGTGPGKSRKSSCANCHHRKIKC DQQRPACSSCVRKGHNCKYLEEDESYRNHQPPRPAKPLSVATVNPLDPSKYPKHLPPQ ESNNSSSALLPALPMNTHVELGLPITSRPGSTTDRSTPEKPERKKKKDDIREKILNGY DTDSEEETPKEEDKKQDVDVELAALVENEIDELADDGAQDQRSQKRSVSVDDDINGEL LELASGPPKKKKKKKPSMQPPLPQVPPSNSMQFIPQLPPNAPLHHRSIRAAPPPLTNS RQLSVSAQSFPPTPGTSNPIGSHLSQRSISNHVSPGDDPYSFPLQNLALSLPTPEAQG ILLRGFWSDPILTEGIALLQSQFREDYARMMKRLPARTALGDATTLALLYLILACALR IMPEDTSRLLLAAHNNTPVPRSLSRIISGQPPSAIDPSPLDHRYLDHAEACMTFAKQW DTSTVMTVMYKLVLWRYHNLWDRDSRQVSQAAADLASGIKSAQGQGLGKEWHGLQQAD RELRRRIVWALYSADRHESHVPYTIVDVHLGINYPTALTDAELFAAPSTLLALPTSTD GAPTENTAFLLQVQLVRRLTSILDAFATIGPPHVEHERILSYDATLDGYQESLPPAFR VFPVTDTRWDAGMPFLPVQRVRLHAMLFGFRTGVHRTHLGRYLQPQAPIGVRQVISSI CLSSLRVQRSAKMLDPIVGLRLFSPQTVFENSAVLCLILYVDKMLMADVALASGGGTG PRTVDFMSMRSGVADALELLDMTVSGPSGSVSIAKKGAQVIRAMINVIDAPLDLTIAQ VQMNGAANDNSPVVPDNNGTPNNFAHEAPPSPIFNQIQPIDESAGQAGNGILTRPSSP AAIYSNSTAFRPTRVTPPGATSTPSAPGGTGTPIQAGTSGLAQGQLGPHAQKVISWIT AIQGPEGNGMVMDGLLKEPNWVGAWDRVVGSM L198_01394 MSGRGFHAREQKLVVVGLGGVGKSSLTMRFVTSQFYDQGYYPTI EDSYRKQVVVDDEAATLEILDTAGQEEYAAMADQWYTFGSGFLLVYSLTDRPTFEEIK NFHEEILRVKDRDHVPCVVVCNKCDLQKYRAVGQLEGRELARSLSAPFIECSAADGVN VDVAFRELVKLVRKDERRISLASQRLLEGPFLSQPQPSSREKERYPNHHSSRDNLKSG SNGYSSGRSRPVSRNGKEGQRRQGGGRGEGEKKGCCVIM L198_01395 MSSTTSSPTSTAPSVSASTTVATNDGSSPSTFYKNLFYILIGLL VIFAVFSFMSLLKARRRRRAIIDEAERLGVMVPGIPGYVPMRDRRGYQWLQSNGKQSP EWWDISGNPDKLDEGTGSKARSRMGSAHPSMASLQVPGEGARLRHRASGGRLNDPSDF TPVALVPPPPPPQALGPVPQSSVPHFPNHLAYRPSSMLPPKARFDNITPGELGKLEGL VGEGVEVVGIVRMPVPPWVCQRRGSDDEEDGQEILREWGGVELGIARMQVKR L198_01396 MPPIFLTRPRPRPRPIAASRALTRTLIPQPPRPLITPRPLPTTF SPTSPQSETNRKWMEGLIRDTEDLRARAREGGGKEVLERWKKRGKGKLSVRERVAVLL DPASPFIELSPLAAHEVYPDPLPGAGIVTGIGMVAGRKCMIIGNDPTVKGGTYFPLTV KKHLRAQQIALENQLPCIYLVESGGAALPYQAKVFPDHDHFGRIFYNMARMSSLGMPQ ISVVHGISVAGGAYMPAMSDLVIIVKEQGHIFLAGPPLVKAATGQVVDEESLGGGEMH TSVSGVADYLAQSDSHALSLAREAIHDLSPSPPSPPSPSRSQKHIQPPIYPADDLNAL IPTDPRQSYDPRELIARLVDASQFREFKRSYGPTIVCGFAQIHGYTIGILANHGPILS PSALKATHFITTCSKHGIPLVFLTNVSGYMVGEKAERGGIAKDGAKMVRAVARAKVEK FSIIVGGSFGAGNYGMCGRAYSPRFLFMWPNAKVGVMGPDQLSTVMHSVEDKRPGQTD AQKEKAEKKREELKKKIEGQCQGIYASARIWDDGIIKPTDTRDILGLGLELAHEERAL RGHGSGGGTRGQVGADGDAGDWGIFRM L198_01397 MSLSTSPSSVHPPVASVPLRDEPARDRPIDMDRTNSALSTTSTS SLPPRPLPRRRSTDASGGLDYYWSSLAGAGASSSSSSLALSLASPGGGSAMALSPPVG NASLSASPSATGLKQVRSHGNLGMHAGGGRQNSDGGYFGYGQQTHLGAPQRPYYNRAD SGSSTDSQNPNPNYISSPLSISGNRPLAADHLASSPGGWSATSNYSKSATSGLSVAGL DLQQRQWSYTTDESSSSDSQYSPVSYRKKLDEPTQDSSAQDRRWQVRSSAQSGTTYVP DKEDTSDVPPPKPQPEMQDKDWEKTPREAEVEQFTTPTAAAWQERPDLRPPQFVFAAG DRAGERLSPGSNSSHTHTQGRGTPVTGTSSASRYTPTNPPSSAQPSSPYIRTPQSAGN PTGPLAHFAANRETPRSAPAWKSEFEPSGGRAEGKEKNTVKGRNRRTLPAVAPSSSTD LAGIMNGDQADQPILVFPVTSEPEPINKALPTITHTPHSPFPSPPPKDDRPPTKTNLS SSSLASSSSSLSAPPARPDRSPSRGTAPTSTFITSPSISELTEMLGGAIDEIGLIDSR DTPPPNMSAPPKNAGSVSSDSNSSASSGLEKRPQMSLDTDVSGDGKGLEPPAELVNKA EKDPITPTSLPQRGMSLSASGDAEEEGQAGMGVASTLPASPTCTSPSDYHSPLPEHHS LPGGTVNYPPLPRPWPSAMQTTQIKSLASPGARALAYARAINELSRGDTGLGWWCTNM QGEMNRPISGSRAPNMRKLKMGSVNSAGGGGGLVISEPSNFIQGTPVSPSAPPMLDLA PVIPHPRNVSTGSEFPMRADSYSAREISQRVVDPTDQPTALPANLPYPQVQQAVNNPG FNGGGGLRPSQSMQSVSSFTSGKKGFFSAIRKGGSKKESMSLGPPTSSPIATSPGGSV GRKDIRGLPISAPRAGTASPQKSGAESPGGLQAPRVQASISPMGPRGPRSAVSPKASS PSQSPSRKHHGRSSLDTGLARMMPPPVLGRGEREGRGSLDGGVVVIGKERQIPISAPS PLSAGSGAGGVSGIDDIRTMVDVLPHVEKSVLRAYLVNNGNDQMRALGAYLEDERHGT VRY L198_01398 MAGIASPLSLSPAPSNTGNARSAPLAHPAARATLKGFDVVSAYR RALEDDKVPHPIAAILALVELMEASTASTVTGLASELTVGRQALINTQTSLGVRAGCQ LWERFFAVFPGVGEEFPAFKRSLISQGRSFCSITAPQCRERIAELAVGFLRDDCVILT HSYSRTVMQTILRAHQQHKRIRVYVTEARPGCLGMKTHQILTANGIPCEVVLDSAVAY VMERVDMVLVGSEAVVESGGLVSSVGTYQVALTAKVMQKPFYALAESYKFLRHYPLSQ TDLPMPSAASSTAAPSAPSSVPRSTIPLEFNTNLPNAPSLFQPTAPLSRPSSQAPQSR APSHPPAPQAPATPPQFSGRDGDGGKPFTRTEMTKEMEENNPMVDVTTPDLIDFIITD LGAPLSPTSVSQYLVAQFSS L198_01399 MPRINPSTLLRTANGYSSSLPRRALARPPLNPTPALMTRRLQST TTGPATGNAQQKASTEPPKEEEVPKDDEEKLPWWKRGLKVNWMFTTLAGFGALVTIYG VLEFYSTLTRWPQPVRKPLRAALKAKMRGDYGKAEQYFREALEIALELGPAALEPDPL LKISGIYAELAAVLELRLQRISAFVELRTALELFGSDPLRLPTSVASPTEAAALGGGP GGEWISPTYSLTEKDHVRAIGLYQKLGQLALEVASSPKAPTYESTIIEGINVSPHQVF KDWDDAAEYYLSSALNTMLKIGLQPPASPTSAPSPENPVILGRDINLPSAAPSENPED PEQGGQVDKRGLCMTMESLSEVHARKGEYNVAGQLLLQAVSVLMPAGEEEPPLRDRCQ AAMLMTTISSHALHPPSSKALKVSRSWSLRALQVAQQSLASEGEKSSLNDSPLEAAAA VCERARAVGLHNLGMIAEMEKDYPGAQNLFNKALSVARETGFSEGKRESLAALRRVQK LAEAAVNAK L198_01400 MEAVRPVEQAPPSFEQQQDLPPPPAPFPTDLLQNDIGSSSPSVD QADMTRSLQSVQRSIAQVLSAFLPDELAPGQGSPSQRNGNGEFHRLGEESQLASALME LLEVTYELEGLVPPPITSTEEPTELPDDTQTLPPNDLALSLKHLQHARSTSLTLNQLS PDSPTTPRPTDFHPSVGEVRRELAWARMESLSNVVLELVKQGAEGICGLVEGLPPRYE RHESDVGLPSYSDFPPTSTQLADSTLKAPDSKNRASLDTIAPERPTAQREKMLSDFEA VTLAIERLYDAAPRFQNQRVEIKPRAARRTSETMNGARARELRLEDSEKLERVKRKEL DEIWMLMNGGRYEVMEDQRAKSEGLSARTKERFITRIVEQSEASRLTEQDSKMGSVDA ELARARDLKDKDIFLRDLVEQSAGGRMNNQDADAPLSDLTAASLAKKQALLSTLISHT ATTRLKSQDYPTTLEDRLTQKHEQLVDTLLEVGSRRLPDQDSLPPTPRGARYEESETD PFEEVSVTDFLNSSRPPSPPSKSSEKEKGDKMLRERSNSEGGGKSKLVSKIEGAVRRG SVHLGLKSAPSFDVNSISYVAEHQENLRSVQIILQGSGLSNLDLTLGTAFTDQGQSTT QAIATSERDPSVNFPITLPAPVHTGQSVQVVQQGLSWECKLAAVALPPAAQSLTIAHA LSAPDFRRIKPSLLTCTACERPLSSLPSPYSSTLEQSYKDLPSQHWAEMIDIWMCHDD PTFTGRLAGMVEEGFWPKEGGVLCGGSWVLVEECRGRWENLKAGEGNENDSWRPIACK CGEVVGKQRTDDGKPGAGTLRLSKWAVGLLRDDIHAEDDAANPPLIRAPLSVFVVSDM LELAQAHASYRFIISDEETQSKRLYIWLFNSSTSISYCKGHQPAGPASPLPSPLRSSF KPNGGSERRSLKSRRSSLASVTAKTEGSGGDEKRSRVLKAVKVMFKSAEEVIDGRPPL PGFAGTNQIEHLAYPAEVCTRLEMILKESNKVYPAMKRGMGGFDVGFLERV L198_01401 MSQRVSFSPHHSQPFTLEEAVNLELDTLITEVIRLTNSLEHLYS SQDQLQEFLESEEGRGDPESQQACIEAIRENEELMPRQNERIALLAAALINKVRPDLG IGAPGWHAGDVFRRYGEPGGGLAGRRRWWASDAPVTTTEQAPASGEEEGLHL L198_01402 MSAKSVKLSPAIKALLSAPHAQGGPIPAPPRATINSLFDRIRTR GEAGGVGAPTWLTVSTGALVTTNSPDSVRALWDYASERSGGLGEKVEAAGVIRETALK CISFSGIPRTINALTSLRAHLPEDVINELSTTPTRQVLCQCYRVSDSPCRKLTPQNVT ETLARGQSLWDAIYEPLSAKLLSKLSDAHPDLPVHILTSHYSHLLSDPFTTPPPGGAK VGRVLTSVVSMACLRAQQGVGPQLTSHVFGLKKSLLEGGGAEREAPLKGQEWLTSDEG VRWVLESTDEISQVLTEGRASFAGPVKAKL L198_01403 MSILYSCTHLDPPSQPLPPSYPSSHPSFSPLEKLYFCEECDAIR CDLCVGVEVASFFCPNCLFDVPGANVRGDKNRQVCARSCFSCPQCTSSLAIQASDLAP GQEVAEGANAAAGAPYLLVCPGCKWSSKEVGWEFEKPTGIALQLQKIHTQTEKVQSEF DSIKDHLESYITTSNPPTPQRTRVPSRHISHLTQMAAKALHRPVPGMAGKKRAGPGLG GEEKGKEKVGWDELGEYKAKGSWRDLGLEKGLEAGEFMAGLEHGGWEGVAELEKRWDK SWESDRMAKATLPQRIPLKTKLTKRCPDPNCRHLLIQPDTKGIRMKIKMVAANYLPVI EIGRRRRRVQSGDLEEPSGSTLSASGEDAERRRKERRRRTILPNGMKEEEEPLSSPLR PSQTYTFQLALTNPLYDPIQIRLTQPAFPRHAPTPNHQMSVLTPHFTINALKDAWAYD EDEVDEEADGSEDAMSFTTGTGTGTGTGTHSTLGKKRMSVLGASMRDKRHNKELGVEK KGNISKVTVEVETLAEADGPVEFDLEVRYTYRAEGEGTPIENEGGRREERIKEEYKTF TFWVRVHVGDVEV L198_01404 MSLDNYHKLEKIGEGAHNPLLVATDVHPLTCAISSPFPSTLEKS LLKGTYGVVYKAKDINTGGIVALKKIRLEAEDEGVPSTSIREISLLKELSKDDNIVKL LDIVHSEAKLYLVFEFLDMDLKKYMDSLGEKDGLGPDMVKKFSYQLIKGIYFCHGHRI LHRDLKPQNLLINKGGDLKIADFGLARAFGIPLRTYTHEVVTLWYRAPEVLLGSRHYS TAIDMWSVGCIVAEMATRQPLFPGDSEIDEIFRIFRVLGTPDEDVWPGVRSLPDYKPT FPQWSPINLGDVVKGLDADGMDLVAQTLVFDPAHRISAKRALRHPYFDTVNLAAA L198_01405 MAMLKNGEPSTRPQPPPKEGSIPIYLVNGIATIWDAQTAATLHC EYGISGLRAGTLPGVSQQNGFLGLPMTLMQEETAFLLQEGIAHIVPLNPTPGPPSKAT IAAHTAARIARVRALEEKAAAEEVKRQAESRKLFDHGGEKARLKREARAKAKAEKAKA AGAEEPFEQKDVKEVKEKPKTQTVSPGHFHIVPAHPVVSSEASSSSTITSLPHPLFPF PSTPRHHALLSAFSRLSSLSYRVGLGPRFGGEWLVYPGDYLRYHAHFTSQVIVRDEPI KPQEIVAWGRLGTGTKKAGLICCWDDGKRGDEAVDEDREKEGEVEFYSLEWANFG L198_01406 MLIFSFFKTLTDQVITIELKNDLSITGTLKSVDQYLNIRLDNIS VEDPEKHPHMLAVKNCFIRGSVVRYVKMAARSVDTTLLEDATRREAKESRK L198_01407 MLPSFARSRVCARPFISSISPTSLTSSLRPFPSTTSSLLRSRVL TTSSTSLSSPSTSSPLDSTRPAPVPETSSSKITSELLAPKEVLPPTAPTIIDKLVPKW AEKAKPYLYLTRIDKPIGSILLYWPGAWAITMASTVHHLPPSVPIFYMGLFGVGALIM RGAGCIINDMWDAKMDRQVERTKTRPMASGEVTQLGALTFLASQLSIGLAVLTQLNWY SIALGASSLGLVALYPFMKRVTYFPQVVLGFTFNWGALLGWSAVAGVVDWSIATPMYL GGALWCVGYDMIYAHQDKTDDVLAGVKSMALYFPDTSRQVIAGLYTTFVSLLAFTGHM AGLGPLYYLISCGGAAAHLAWQVLTVNFDSRPDCWKKFSSNGYLGGLIWLGVAADYVQ QVVLGVAA L198_01408 MAPALPSSYIDAYNPTGLRQYPRRDVAEVLTPNSTQRVTLIVGA CYIVAIAILPVLEKLIYPFKLLTVGMHEMSHALAGVLTCATVEKITLDPQEGGSTRMR GGIPAITLPAGYLGSSFMGACLVACGFDTNASKVACLILAFIWILTLWWAKSSWVAWA TIALMVGLVLVCWLVADSVALRFLILFIGTMSCFYAIWDIIDDTLARKVNTSDASEFA KMIGCCGSRFWGAYWLLVACCFFAAGVLVGIAAFKDDWDTQASKARNFLGGTP L198_01409 MTSKEFGRTILVKASHNEVVKAQALLSYAESGMGAVDFAKAWEP PNSLITDRQEWLIDQAARCRLDATILMALAMAAHPPDSLQDLTNANMYTSSNVTATTS EGCQPYSPTQGEGNPSYPTRYWRHGDTHPPLTRWQSG L198_01410 MAQEQGQNPLQVLFMGTGTSSGLPLTPCLTLSAPYPQKWSDLVP ILQDRPAPQSTSTASVTSGSVNSVFPGSYDPEGPWPKNIPCTCCRSSVDPDVPEGWKN KRGNTSVVLRKKNGEGVWKNVLVDVGKTFREQAMRMFPKWGVKTVDAVLLTHGHADAY FGLDDLREWCERQGKAIPVYLNRETFGKVEEAFPYMVDKTKISGGGDVPKLIWKIIED EGEFEVEGIDVKVLPVHHGIYFNSVLPPTNTETAHDPPVKSEPLPLICLAFEFDESVI YMSDVSNIPDRTWKRMLEHPRSAKRHTLLPTPAETPDGGDTPRGAPPKSQSLSDLTNL SISPRGPSHLAAKDQHALPVLIIDALWPTKPHASHFSLAQALAASLRLKAANTYVIGS THPTSHFMWEEVCRSLQDPDGRDYEVREHPDMAQAEWIVRRVWDRVFGEGGNAEGLGE RWREEGGRVRPGYDGLVLEVGGDKGVERADLSGRALSF L198_01411 MSYGGYGGGGGGYGGGGGGYGGGGGGYGGGGGGYGGGGFGGGGF GGGGFGGGDRMSNLGQGLQNIDWQTTTLTKFEKNFYVQDPRVTARSDSDIDAFRASKE MKIQGKNVPRPITTFDEAGFPDYIMTEIARMGFAAPSAIQCQAWPMALSGRDVVAVAE TGSGKTISFALPAMVHINAQPLLAPGDGPIVLILAPTRELAVQIQAECTKFGASSRIR NTAIYGGAPKGPQIRDLQRGVEVCVATPGRLIDMLETGKTNLKRVTYLVMDEADRMLD MGFEPQIRKIVSQIRPDRQTLLFSATWPKEVQRLAMDFQHDFIQVNIGSLDLTANHNV AQHVEVCSDFDKRQKLLAHLEKISQENAKVLIFVGTKRVADDITKFLRMDGWPALAIH GDKQQAERDWVLAEFKSGRSPIMLATDVASRGLDVRDIGYVINYDFPNNCEDYIHRIG RTGRAGKTGTSYTYFTTENSKSARELVQILRESKAVIPPELEEMALYGGRGSGGGRGR GGRGGGRGRGGFGGGRGGGGYGGGGDGYNSRW L198_01412 MSSRCVPPHPSPSLKLTIPRPVVRKTYGKAPPRSSSTVSLFDAS PPPTPSLAGPSSSAYRSSSPSFDRLDSPLGSSPPRAVRERDGTPLFFSCDEEEEETRQ SRDGLVKEQSVKAKAKAPVKVVKKAETQSSLKGFFAPLPKPKKRPLEPSPANSSPSPS SNSPSSMLGLSRPATITKFIKTPTPTPSAPGKENKSTTRKPVQMRLTHLPLLHTCPQC GMSFMRGGEDEAVHRVHHTRVLRGIVWEGVKVKGKGREEGWRVVADDVEFGEGKGKGR GRVVVVDGSVGGPKINEILSTVDLVLSAPALPPPILAQCKIFLFLTSSPPPPPSESTA KRQKLDPTLRQANKDGRERVISVVVAQGIKWAMRVLKDGEGVDKKVVETGGFGSVSCE PTHLPTPLGIHRLYTIPSYRSHHLSTHLLNAACAHTVYGCELDPKKGQVAFSQPTESG RKMMERWGGGEVRVFVDDESQL L198_01413 MAPIPAEINVQEPKRTGEVSKKFHTKVVIIGSGPAGHTAAIYLA RANLEPTLYEGMLANGFAPGGQLTTTTEVENFPGFPDGVTGTEMMDKFRAQSERFGTK IITETIARIDLSVRPFKFWTEGEEEEADFMTADSLIIATGASAKRLFLPGEDTYWQSG ISACAVCDGAVPIFRNKPLAVIGGGDSAAEEATYLTKYGSHVYVLVRKDELRASKIMA KRLISHPKVTVLWNTVATEAKGDGDLLNALAIKNVKTGETSDLPVNGLFYAIGHEPAT ALVKSQLELDADGYIRTVPGTAQTSVHGVFAAGDVQDKKYRQAITSAGSGCMAALEAE RLISEEEADDEQLKTEEVHVPSQHYMGTDKE L198_01414 MDAGYDALEQAASSYSKNRDRDDDSRSHRSSHRERDHDRDADRH SHRERDDRHRERSERDYGRSDRDRGDRDRGGDRRERDRDGRGYRDDRGSGRDGRDRYP PPGYDDRPPRRRRREEDDVGIAAEPMTTHRDRRPRYDEDPAASAAPMRSWSPPRDFRR GGGGGGRRDDDWRGGRGNDRRGGGGGGRFYEERRSPTPQGTLSLEERKEKLSRSLWDT APEQFANVSAIEAKATGLFTYGPGRVPPPAHLGIPATFVAGSFPPSNPIRTNKRLYVG GINGEMTEKQIQDHYNKLCVEKKLVGEGEEAVIECQINNDKNFAFLEFSTPELVDSAL EFDGVELDGHALSLKRPKDYAGIDPLLQTFNGVVNPSVADSPNKLFVGGIPTYLSDDQ VMELLKSFGELRSFNLVKEGAGVSKGFAFAEYLDPEVTDMAIQGLHNFALGDRHLVVQ RAAVGRTNGVNMPVPGSASFLSQIPQLLQNSADAPPSRVMLLLNMVTPEELYPDQEYA EILEDINDECSKYGEIEGVRIPRPVPKSKKWESTQDAQATADRVKQTDDEAGVGRVYV LYKDVEGAQKAMKALGGRQFAGRTILVASVSEEEFLGPAPPPPPPEDGAPAPAPDAPP PPPPPADLDAAADAALRDIMGGM L198_01415 MSKSPPPPLHSFSSQETSLSSSSTFAPSAPTPFNTYSSSNAFAE QSFPGPGGEEPVTHHPDLSEEIMYDPHLGIDSHTVPSAATPSSSIQRANSIASHASSR LLPYRKPGLAVSTSSDIPFSSRPIPAPPIAIIRSDIDAAEHSYDADLSPTSVSTALAT PPPAVKFGIDLEEHDSRHGPGGVDIDGVRLEALGYDPVLGRTYTFWSSLAISWLNTGA LQASGFGTIFAVSGTYSYGGPVMILAAWPLAGIFTFALTLTLSELSSAYPVAGAMFSW TWKTARGGVGGERAWAWLVSGFVMGGHVGNILLVTWEIANIVVGTISLSIDFEHNAWY NFLLFLGVLLLVGTVGSTGWGQSHRFWLCGGAFGFTVWLVLCITLLATNATKHNPSDM FKEFYNTTGWSSKGYVYLLGWQFTSIASGADASAHMAEETQNPSRNVPNAMSTSIIAT YVLGYIAIVLLLLSISPEDAATVKSHSFAFGYILTEAISRPGAISICILMILVLVLQV LAQLQASSRFVFALARENGMPFSSIIRRTNGHRRPVFAVWLLVVVCAPFACMTLASES TLYSVLAVTACTLSYVGYAVPVGLYLFSRIDLQTEGRSMWSLRKWSKPIAVVGLLYSL AVVIVQTLPGSKPVRASTMSWSPVIIVGTISMCYLTWKSYGDKHFAGPIRAITKWESG VEIDLGKTLASSRSKFSDGSRKGGSGYETCDSLKLALSPYHPSAGTGLEEGTGGMAGV TVQSAGLSGMTSEGEWTSGSESESASGWTRSAAESRQARSVPW L198_01416 MKIFVTGATGWIGSHLTPLLLENGHTILALARSDSSASPIPFLP HMLPGLIIDLALEDTDILAKAAADADAVVHLAYNNDFTQCAESALVDVAAINAMATAL IGTNKPLVITSIIPISSLLSYPAASRFGSEKALSQYTSQSVRTCVIRLPLVVHGSGDF FGIVGDVVRSVRVNEVGAWAGDGENKWSTVEVKEVAELYRLVLESDTLEAGIVLDGLA DQVPFRDIVTVISNRLEVPTKSIEEKKMVEYYQWPLGQVTGYAAEGNNELTKELTGWE VKGRGLLDDLQYSQTYFK L198_01417 MPPVASWFDYFQSGKYNLLPTNASPLTTSLSPEMTTRAYLGKRR RLFQLCAMAVIGMLVLGGIMRHTGLPSPADRASSSLDEGAQDDREREMWGKTEQMGWQ SPESEGLVDLGEVAEGRFRLNIKPEESSVAEYYRRLYDFAVSLPMGLHSPFLSSLYAH LPPSHPDLVPDYPNAQSYLPSMISYKSIHMTDKTFNPSNELIQTWQRVNERDGWKLNF LDDSAAAQWVAKVFKRSDVEWAWNFMHRGVLKADFLRYLLPLVEGGVYSDVDTRPIRP VEQWGTTNPEILDLSESDGPNWRSQLSTHPSVIVAIDVDVHAFVNWQNSWPRPLGICQ WTLSSAPNHPIFLDAVRRVVNASHVVEDWEKWREGEIERLELEKADGWEKAVEDLKKQ MRDHAMNVMEWTGPGLFTDSVLAFLLARYNVTWHRLRGLHHPLRIGDVLILPITGFSP GGQKDFRAEGPDSPQANVLHNFRGSWKGDGA L198_01418 MPAEAKANEKYQAAAAQQPAAVCILKSPVDAIHILEAVRLGIVP RVTRRLTGHERSLIRPGTVWIWEEEETNMRRWTDGRRWGASRVGNGGFLVYNESLQSL SPPHAGGDMPYGDPYYQTPPRRPDSLVKQTYSTSMTHPVTGKVKKFHVVAYASKHKPG GDGTNPLPQPHQLPALRHLQVQSGIWPEWETRREADFTRRAAAAKQALYAASNGVPSN NPATVPAPPAPQQYPTQPVPPTAYPPVYPQDAYHRPMASPGYHQQYMPSPNVVPLEGG MYPPAGKFTQPYQSPRKEPTELFNAGYPPSRAVSQIPTPNAREAAARYHPYGAPPHRS TDRRVSPYPPQAPPPRSTTPVGEYPREADGYGPASWANAPRVSPTQSYSSYNYPRPGE ASRPRHFYEPQVAPPYNEHVKSEYGVDPAYGNDPRRYAYEEAEVPRRGPTPYQQSPSS YGQPVPSTEDLGQYPPYGAPRSPRASSSQGYSASGVTLPPLRAAFGEESGHNSAKVSS ENRREESERQSQSPTEVGAKPQQRNGWGEDARQLGELGRRMVL L198_01419 MAYTPTEATPLLGPQSGPSSMILSETKVYPLIHLIRVDIMAHID APLTYEQLLAPDSTYTIIRPLTEKYLALQNQATVFCLLLNKIQFTQDSNQISIATLSL TRSTLCEILASRVLRGWSERSLPLATVLLTPWSLFQGASEGVIERAKEEGEGDLLKQT GAALEMAIISGSKRFIRSPSCQKVIEGIWAGRIIYSALNAHALIADNYKKKPIQMYNP HKAPVLDHYRLKVPRYRSMLEYFNFLVLFILYVVAIEGLEGDKIIGREWAFIVYAMAF SLDKLAAIREHGLKVFSSSLVNGFDLVFMMIYGAYMSTRMYGLRYNDIYFMEMGADWL AIGAVLIFPRLAFVTLANNLMVLSIRSMLTEFFFLMGVGVFCFAGFVYALFTLGQGKF DLPQIGWWLLEIYFGLDASGFEHAHYFHPFLGPLLMVSYALLSNTLLLTVLVAILGNT FATINADAAAESMFRKAVSTIEGVKADAVFSYQLPFNLPAVVFMFPMRYLLNDRWFHK VNVFMIRVSSVHILLLIALYERQSYKDQTLMEQLGDFAERYVGSLPRRLKAAAGFDNF ASRSDLEAVFEIEREVGSFYAGWDDDLDLDEPDIFLPPAFEDAFADESPEREGAENED GLGEGQDLFAPQSAPLTASPSRLEHQIESPRPRRNSMPSPHRAVNQAIPQQSSLRRRN SSMHGPSPLAQLFVRSPESEGLPGRRTSLAGTVATSVQPAAPSVFTPPARKRGHSRSE SFPATSTSDQSQYSTSSLPRRGYARPSVAPITEGKTVSFASHLKDSEGDGVVEPGAIT HDQSENVGAVGPGGVPLGNKTSGTQTQPLSGRSVRFPTVGGHQDLQSPFGTTAFDTRS QSPRPSEKVAKASDVESLAMVKPEEEARSKGVQEKLDDMDKRQKRMEEMMERLLSKLD G L198_01420 MSTTADYIAKCPRRQALLETVETYWLDDPRRPPGTLDSSLKKHT TLINKLKSALLIGPSEVFAKDIDGLTLSKYLEEIVAAVVDGASKGRGDPEVAVDIIVH LHSRLAPDFLQQLLPLLLGVLSAGASLSLPLGKDGDKEKEKEDKERLAKQRPVLRIIA ELAMVGAWEEGVVKGSAEIGKILKGLMTNDPQFNNLPLLSTFLKYFGRAYLGSKHNNE TSNGSDATAEKQTKEELPEGVTELVPVEVQKKMRELFVGYFNTTSKTLVKGQIKLLEQ DKRNHEAYIKSGEIFEDRQQAYEKMIRAIERLNAGLQTLADLLGLAPPVLPTAATLSK SGLQIVDSGSSFTVRNDGPIAGGIWDDEEEQRFYEDLIDLKEVVPSSLLGIKEKSAKE KDEEPGNAEEEQIEKQKAEEEELRKQLEQMELADSQAQPESEKQGNDAVNTGGDAEDI AEDSADEEAPTTTVTEEDGLQSGPAARLSALFAALPESNNREVVDKLAIEFAFLNSKG ARKRLIKFLGEVPRQRTDLLPHYSRFIATLTPYMPDVAQGVLDILDEELRYLQRKKLI RELDSTRLKNVRFYGELAKFKAAKPFNILHVFKVFLDDFKFNIDNIANLLETCGRFLL RNEDTAEVAKRMVELMRRKQANAHLNQRQTLMLENAFYTCNPPQRVAREVVQLTPMES FIRHLLDEVLMKRTIDKVLKLLRKLHWEDPEVYAYIFASFTSPWEIKFGNVPHLADLA CHLQKWHPEFGISVVDEVLENIRIDLEENIFKFNQRRIATMKYLGELYMYRVISCSVV FDVLWSLLSFGHADPTSYPGRESPVDAVDDFFRVRLACTLLDTCGVCFEKGSQGRKLE QYLVMLQLYVTCKTELPMDVDFMLSDTLEALRPKAPRLRTFTEAATAVDELLASYKDF GVEEDGSDDEGLRQATEDKPETPEQVHAELEGTVEDDDQDVDVVIIRDQAKPDEDDAD ERAAFDREFAKVLADTTDARRGEQRKTAPPIFDTAVPIMRKKADEAKARATREKEQER EQAAKEEEGRMQFMLLSKKAGKQQLRSLAIPTDSTIAVNSKTYQAQSKAEQEQLKRLV LQNERRLERSEVEDIETRGIKLRFAHS L198_01421 MTVGSASEIEMDKHDGEAHVVDSATIPAGDAPFDDKYAGLDDPE RDAVFGVMQEGGVDYRSVGLSKSIVLMVKLTIALGVLAMPSVLMAVGGVPGVIIILVM AGLTTFTGMVIYEFKLNHPEVYSMDGVGYVLFGNYGREFFSFAYALFMICLCGSGIIT TSLAFNAITEHATCTVVWAVIVAVITFAFASLQTLGKVSILGWIGFTSIMAAILIITV AVGVQDRPESAPSTGPWEKDIAAFRTDGTFLGGMSAVSTVVFAYCGTPAFFNVISEMR HPKRYSTALYSAQGLCTAVYLTIGIVVYYYCGQYLSSPALGSAGELIKKISYGIALPG LLVGCILYTHVGAKMIFVRVLRGSEHLTGNTFTHWAVWLGTVGGSVALAFILAESIPF FSDFVSLIGAVFGTLLSLITPAYMWLFDNWAQRSTNKSLRYRSTFFLAVATFFIGIFI MITGTWAAVVSINDSYADGTVSGAFSCADNSG L198_01422 MSAQRPLHYDASQPLYGISFSNSTSHPFRTALSTITTGLSNKLC IVDLTSSPNTSQSQSPYYSQHNGTSNNGATPEFNQMTQTSLNVPATKVAWEPRESLRL DEGGRGELVASSGDVLHIWEVAEEQERGGGGYVGNAYGGGSSWSMKNRSVLSNAKGAH GSSPPITSFSWNRKSPNKLVSCSIDTTATLWDINTSQAMTQLIAHDRAVYDLSWLPDS SDIFVSVGADGSLRAFDLRQLEHSTILYESANDAPLARIAFSQKEQHMLACFGLDDSK VLILDMRSPGKPVAELVGHQAPLGALAWGATGPSSRSDTTGGGWLASCGDDSQMLLYD LTAPISSSRPASQSNFKPPPANPSPYSALSPSATPASQRTPSPADAVEILPSKGWTAR GEVNNLAFTNSGDWLGCVSGNRMSVLPV L198_01423 MPAEHILTQDAPAPLPGIYSQAVRAGNYVYTSGSVGMTKEGQMV EGNVQDRTRQVIQNLEAVLKGSGMTLANVVKANIYLSNLSRDFPVVNEVWKELMPDPK PARTCIGVAELPAGGTDVEIEFTAYDG L198_01424 MPHNDNSHDAYNALLPPAFIDDNPPPSRRFTVSPAHRVFLTILV TEFAFCLTDTTLLYAFKRMICDEYYKDHEWDHTGRHKCSVPAVAASYARSYSLAIGIM TVAAVVSVFATNSLITKYGPRKVFTLQPVSLFLCILIQTYGLSVGGLTGVHILQWSGL VYLFGSGAGLRIASNVLITRLVSEEERTGAFGVIDGMFKIGTALGYAFDGYLYDTYGL YAPFKTGLAMSVICIIVALLILPEVPEADRVSQETPSESLISPLKVFMPRKNHGPSGR RGGLDWNVTLLGLGIFFTVMVTGYFPRMLKMAGMDMFGMSAKTNGIMTSLNMLLEALF LTIFFPRVIKWGRSRAPAPPSSPSPLSPLPAQDSFDLYFLQASALYETIVTFITTLAQ SSWQIFTIAMVLPLSSGLSPACTGVLVNLVDESQQADALSAISLVGWCAYLTAVSLFG TIYASLNKEALGRLIFLVNGCAVLLAFIMFILIRKRRVSRLPGAYVQLQASPVA L198_01425 MLKDIVEHPSADPTAPSIPQPPQPPAPGGTGFPVPLHRSQRPSA FARARKAQQDRQTTGKQAVGFGTAVESVPAVQVDKVEKDVVGGLNGPGNASGSEWEQV REQVNEENKRTLEAMTSKERSEEVEELRERFGSKTMGLMQKRADARQNKTSLPQASAP SLPAVLEPVAGVDTKSILQEVSEENEKRIKDMSAEERTQEMEELRERLGDNVLEGLRR RAEARLGKGKQREAEQVIKSKVHTSTPPTAEVDPKPKFHESAKLEWLKPITTPSNDSS TRFDLSGAVLSTDAKASLPTHLGLHHHGESPDLAGYTLQDILYLCRSTVPSQRITMMG VLSKIIAKLNNSDLSQEVTKECEKEGIVQQAIEMGIDVLAGLTRGAGVIRASVDLLFE ALGGPSWSWPDDSPSSDTYQASIPTPLSANADPIGIASIPFDDVLPRLSELLSMPDAL PPTTTHQLLLILRRATLLPGEMCETLCPIVPVVIRHHVVQRAWPPRPDNSPSTDAIRF LRSITAGSRACAEELLSERVYETILKFVVTATWGEESGVEAELGQLLAFEVLRIYGAL GRYGLSASVVTSSGEVWRLFGAWVRERLATQSSPLEQSLIEAYFNLLSIWTTCAIDPH RTTPEHDITWAQVSALSWQDEVISAAGELVKQTRLGEMAAALSMLVSWTGGAKVNGAR AGEEEKHELLESLRSIGLSESIEKLSSGNEEEVKVCAAAVRLHTQLQPVGMLLNEEVL ATLRHAFMVPTKSPQRSVTYLRHGLLRLGMQDKTLSSSDWLPIALDLFLSFQIGDEPL ALELVDDILRSDWSSSAEPIARQYASLAHADRLEILRPLLHFTILPDVEHVIAPREPS HIYLKATASLRAPPATVEGEKGQSIVGLPLQADWLFSPLNELLRSGSSSALAQVPPDW SASETEIVQSVLLLGQLQCAVTGWEDRLGRSRVAFNMMKVFMLEHGQQGATTTSESEV FRDAQVALGMSRLMAHLTNPMTSSGSLMTPIESVSLPFLGAGVPFFQFYTDFIALYEA ISFSDLLFSQLLLPPLAMSYPMDYRKLLWNDHATALRGMRMKMDGVPVEDVKGLSGYF EPRETSTEVLSGYARALSRGWVLEDRNPFLFHLAVHHLAATFWEGTEEAKDSTRVGLL VGLLATGSESLLRRLLEWNLSQRGEGVVTEDVKQERKETVTKLTGARGTQRVEGL L198_01426 MSAQAQEFIAAAVQADPQLVGQNDKDKAAIEKLTGETESLVKDL PALNEKLTPLTYLHANYPSTADVGLYTQLHPSLISAPATQHPTLPALLRYFLHIQSLP SVSSARSSLPNAYPTLDIDISTLTVPERKAPAPKVKKEKKAAAPTTVEGAAAAVTGAA GAVAAAAASTVEAVKDAVVGKPEDAAAQGKKQKKEKKEKKEKPAKAAPEPPTGPLPSM IDMRVGKVLDVKRHPDADSLYVETIDVGEEEPRTVCSGLVKYMKEEDILGQHVVVICN LKPVTMRGVKSFAMLLCASSKEGKEEGGVQFVFPPEGSKAGDKIYFEGEKYENVAPEG QLNPKKKVFETIQPNFTTLENREAAWIDPETKSVHRMRTANGVLKAQSFVGASLS L198_01427 MSGQQPPNFNPGAFEFRPGQQQSFVPRQQQQYQQYPQQGYPQYG QQQQQPYGAYPQYGGYPQQGGYPQQAQGGYPAPSAPAPRAYQPPNRTVQGFQPPTIPS SSTQNGPPKAAPSLSIGGGAPKAAPSLSIGGGAPKAAPSLSIGGAAPKAAPSLSIGGG APKNAPSVSIGGAKAAPSLSIGGAKKEEIKEETPDSALTAAQSSPKPAVSDKAEAAPA AEKPVQTATPPVATDAQTKVVAEAPAPAKSGASTPTPAAAAASSATNYSKVSAKNDAE AIIKEQNAAGADAMRDLYGDDQKDPNIKSHLNIIFTGHVDAGKSTMGGQLLYLTGAVD KRTMEKLEQEAKAAGRETWYLSWALDNGKEERAKGKTVEVGRAYFESDKRRYTILDAP GHKTYVPHMISGAAQADVALLVLSARKGEFETGFERDGQTREHAMLIKNNGINKLIVV VNKMDDSTVQWDQGRYDEVTSKITPFLKAVGFNPKTDITFIPVSAQVGQNMKDRVDPK LAPWYEGPALLEHLDNMTIMDRDIDAPFMLPISEKYNELGTMVMGKIESGRVKKGDTL LMMPNKHTVEVAGIFSEQADEMDMAFCGDNIRIRISGVADKDITPGFVLTSVQKPIKT ATAFRADISVIDTKNIICPGYSCVLHVHTLAEEVTVTQFLHYYEKKTRRKSKKPPQFA KSGMLISAIIETTAPVCIEKFEDYKMLGRFTLRDEGKTVAIGKVTKLMKSEDVPDIAG LAIKA L198_01428 MADAGAAVLPVANVNINYDEESGMIEDFLLNFVPTPRNRRHQAP IPADDDAAAEETSEDDDDLANGLEGLNVGGARRQKHKYQRIMREVANRRRTDVVVNLK DVDDYFQDKGLLSNIENNTRRYIQLFADIIDKILPEPDQEVDFTGDVLDLIMQQRREM NAQIQAGERPGDTNMFPPELMRRYNVYFKPKRRQNEVLAVRAVRGSHLGKLITVRGIV TRVSEVKPLLVVNAYTCDMCGNEIFQEVTQKHFTPLVECPSETCKVNQTKGQLHMQTR ASRFRPFQEVKIQEMADQVPVGHIPRSMTIHLYGALTRTVNPGDVINTAGIFIPTPYT GMRALRAGLLQDTFLEAMYVHQLKKQYHAMEATPEIEQAIADLKEDPTLYGRLANSIA PEIYGHEDVKKALLLLLVGGVTNNRKDGMKIRGDINVCLMGDPGVAKSQLLKYITKVA PRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCIDEFDKMEESDRT AIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYNPKISPVDNINLPAALLSR FDVMFLILDTPTREDDERLAQHVCYVHMNNHHPELDFEPVEPTLMRHYIAECRKIEPR VPRELSEYIVSSYVRMRQQQQEDEAEEKSHSYVSARTLLAVLRLSQALARLRHDDVVG NADVDEALRLMDVSKASLQEQSQQRNGEDQTSTSKIFRIIKDMAQRAAEDDEEMGELM MMDVRGRVIAKGFTEMQMMDTILEYENMDVLMRTANGNKLQFVSA L198_01429 MATPRRNASGIILDPSTSQRVIPQSRRPDGTLRKEQKVRPGWTP QEDVGLFRSARRAARDPSYAAANTQLNTRASIPGSRPPPSRTQTPTPTGKDTDEDDLI KAMSNVKLDQGGKKEQVKTSEEGFPPLRGTGAPIDPVKKENETENGSPSTVAAIEPTP PGPSKPSDPAPVTSQKPDIKSPSPLATNHSSPSENKGSPSVITDRAAEVKRPSSSQQP QRVSGQANGQVPHGNQNQARSGSGAASGSWRSNWRPSPPAQQPAAGQSEKHTAVQGDG WGEAKEDKAERKAGGGLVEDIKKLA L198_01430 MRPSRLIASTRPIAQTCVSSLPLPCTSLRPLTSTTLPPPSPAFT QIRANHSHSYTTPPSSQIKDKVPVELSYDVVEPSKPSPEAEGQSLVICHGLFGSKQNW RSLAKAFAAQLGMPVYTLDLRNHGQSPHASPHNYAAMAADVHHFFQARNLTSGVNLLG HSMGGKAVMAVALDNKLNGALRTLISVDMSPARGKISPEFASYTQAMIDIEEAKVRTK QEADKILQNTEPMLPTRQFLLTNTRLSRDGPEPHLVFRIPLQLLSKAIPQIGDFPYKP PPPVTDASPEWQGPTLFIKGEHSKYINKHNIPVAEQFFPNMKLEVLETGHWVHAEKPA ETVELVKAFIQGA L198_01431 MPQIFDDAKNALAGDAKYRQMQGFTQEQNDKTPLTTYFGTKVAD TDQALKAGARGPTVLEGDAPDFHNREKISHFDHERIPERVVHARGAGAFGEFKLHTPL TGITTAKILNDTSKTSPCYIRFSTVAGSRGSADSVRDARGFAVRLYTDEGNWDVVVGN NIPVFFIQDAIKFPDMVHALKPEPHNEVPQAQTAHDNAWDFMSLHRQSTHMQQWIMSD RTIPRSYRMMNGFGIHTFRLINAEGKSTFVKYHWLPHLGAHSLVWDEALKIAGQDPDF HRKDLWDAIEAGAYPKWDLGVQLVKEEDEHKFDFDLLDATKIIPEDLVPVQRIGTLTL NRNPVDYFTEVEQVAFCTQHIVPGMDFTSDPLLAGRNFSYQDTQISRLGINFGDIPVN RPVCPFMTNQRDGAMAMTSKTNRTAYHPNRFDALPTTEPAQGGFQSYPEVVSGIKERK LGPKFQEFYAQAQLFYNSMSDTEKANMVSAYQFELSHCLEDIVIQNELNCMNEVDRGL AESVHATFPHLTLPAPKPNHGKKSEYLSQITGKNQVFTAEGRKIGIFLVPGFDYKLVE AILPALESAKTMPKIVAPTKGPVKSASGEEVTAEFTFEGCRSTFFDALIFIGGPDASY LPKLKIGRLTHAARESYMHLKTIGVLGNAAQWLVDIALPGDFGAQAKTEFTKENGVVF DPDNAKLQSAKFAEEFLDGVAHHRVWDREVAHIAA L198_01432 MSGGFVAPSGSLDQAKRDGDSATESGGVQILTRLTPKQEARLRT HLDDRLASLERDERTFKLKSLPSLLSRLSPLLQLILQIPPFHPFDHLRTSYLLTLTAV IPSYISALPLIGGSDILSDHKGDEETQEGEQEELEQMQAGRVLRAVLAFLKEVDRGWL AVLNGEAWSPPPSAFARLDEADSGEQDWEKNVLLGGTPVKVSYAGQVDQTERTRLRSI ILTGRSKMLAWARGYGSFPGSSLPGSAATPNSLKGSDAGEEEDEEWEADILNMWNGTL EAVAQGE L198_01433 MKFMLGDLPVLFPYDRLYPEQYSYMADLKTTLDAGGHCVLEMPS GTGKTVSLLSLIVAYMQFYPEKRKLIYCSRTVPEIEKALAELKQLMEYRAQEGANDGE FRGLGLTSRRNLCLHPEVSKEKKGKIVDSRCRDLTSSYACEKGRADPGSVPLCSFHEE LNNYEPGNLIPPGIHTLDDVKKYGQEKGVCPYFTIRRMLPFVDIVIYSFHYLLDPKVA EQVSAEMSKESIVVFDEAHNIDNVCIESLSVDLTRPMLESAARSVNKLSDKIAEIKET DAQKLTDEYQKLVEGLQQANDDEGGAEDNDMLVTPVLSADMVDEAVPGNIRKAEHFIA FLKRFIEYLKTRMRVLHVVAETPQSFLAHLKEITYIEQRPLKFASERLTSLIRTLELT NLDEYAALQKIAGFGTLVATYEKGFLLILEPYETEHATVPNPIFHFTCLDPSLAIAPV FERFSSVVITSGTISPLDMYPKMLQFQPVMEQSYPMTLARNAFLPMVITRGSDQVPIS SRFEVRNDPAVVRNFGSILIEMSRTVPDGVVAFFPSYLYMESIVSAWYDMGILSEVWK HKLLFVETPDAMETSIALRNYREACNNGRGAVLLSVARGKVSEGIDFDHNYGRAVIMF GIPYQYTESRILKARLEFLRDNHRIRENDYLTFDAMRHAAQCVGRVLRGKTDWGLMVF ADKRFARADKRAKLPKWINSYITEAHSNLSTDVAISLAKKFIRQISQPFDHTQTGISL WTLEDIEARQQQEADEADRDDTALDEAVEQNTVGDAVNGDNSGDVAMAEEFDLAGMDI D L198_01434 MPEQNAARGDLATRQAALQKSLSAAYLNHQISELESKVRTANIA SPAPQDYSVDAGALLNTRRDPNDDLGRPDDVELDEDLRGEEQWRVMVVDISALMWAKN AVKRLVAKGWEIVIPQEALSTLDLLKKGSTPSAVAARHAARYIEHALRFHTVLSSDPS LAIQSTTSYKRGRGVRIQRATETLPVDSMLDELAIPPMDGEENLPMWLDRVFRCVAYF KRVMDVEEKEWGTMEEGSGFDRETPPILYVGNAPVFVEVEQGRAEPTPSARDGDKNVD YTARAEGHVILQEAARFDLSLQVLRDDDVEVEAAGLGGRRPNHRGSNDRLGGGGGGRR TGGSNDRRGGRGANAGNNGNRRKEPKKELEPPKEVKILLRRQPSPADPTAARDASPDS HPSPLASPNVPDPAFPGPEIKPRDNSRSPALLARPPAASPRPALPSVNPPTQNMRQTQ NRPFPARSSMGLPPRPPMGGFRPPAGTMNMARNMNPHNLGQLPHRPPLNIDQNQNNSR AGDRRGRHGGVGGSGGGGRGRVGSNTNEFVLLQRPHSFVRPIPGASTPPTTAPTPAAA AAAVPEPVQTNSNHATNKAPGSGGIRGGRGQKAPPRIDDDLLPRDQAEKKPGILLLQR PR L198_01435 MSRSLRTLQASLKQSTRSFASSAPSSSAYPFNPAALQKSSPAPS NPPPQNLLTPKNGWSLITHLNKSIPPSPYAHLFARRGADRLPTGSVLTVVTYSDPQKK SISPFSGVLMGVNRGGVDTSFRLRNIVNRAGVEMTFKLNSPMIKEIKIVKRAEKGKGQ LRDLKRAKVNYLRERPSVMAAIARALKSAKAEEAAAAKESS L198_01436 MSISRAARNATKLNRAIPRVASATPRPFSTSSTIMSEYRIEKDT FGDLQVPSTRYWGAQTQRSLMNFDIGGPTERMPPPLIKAFGVLKKAAATVNQTYGLPA DVAQNIQKAADEVISGKLIDEFPLVVFQTGSGTQTNMNVNEVISNRAIELMGGELGSK KPVHPNDHVNMSQSSNDTFPTAMHVAAVVEINEQLLPALKELHAAFAEKAESFKDIIK IGRTHLQDATPLTLGQEFSGYVTQLERGIGRVESTLKNLGYLAQGGTAVGTGLNTRVG FDVKVAEEISKITGHKFETAPNKFEALAAHDAIVEASGALNTVAVSLMKIANDIRYLG SGPRCGLGELELPENEPGSSIMPGKVNPTQCEALTMVAAQVMGNNTTISVAGSYGQFE LNVFKPVLIKNLLQSIRLLADGSRSFTKNCVVGIKANEEQIKKIMNESLMLATCLNSK LGYDDVAKIAKKAHREGLTLKESTLALGKLTSEEFDSLVRPELMLAPTEA L198_01437 MATLPARLAKATKSINSWEEARSASLAAYRTWYRSAPEIVQLYG LHVSPTLVRMKIRQDFERNRETITDLSVMNIMLLKNHQEFQETMNLWKQEPHIMHWFK SIEDPPKPKTFLDKFYAGRDDPSQLEPTY L198_01438 MALNLPFSSPFKIPLPRRFIVLILSGAITILFLHTFAPSTLPPV FTPNLPHHEPDASYFSPSKWLPPILNPNTPSRPSEFDEEGQCLFVSPFDALSPAEKAR AQVLALEEISAGIVRAKAPPAEGNDADPDFDDEFSALSNETRKLPVGLSHPILGLLRD GEVKWNAMLAKQSKTLDQAVDAYVDKWGRQPPKGFDEWWIFAQANNVLLPDEYDAIVE SLLPFYALPIESLKTRMAEAETVAETFTLIVHDGKVELQWNDDYSRDTWWASRPRADS QINLMEPFIKHIGAFRATFTIHDQPSILLDQDRESELLDAARKGKVVTSKDEIDRPEQ NWHKACAKDSPLNKGVVEELSSDTFISSHLSAMDICEHPAYMENHGMLLEEKNADTHP KPHTKLFPIFVPSKTALNGDIPVTPIGKDGRRDDVGHDPDWSKKSGKLYWRGLATGLQ HNKGSGAKWRQSHRERLHFLANDKGEDYTEVLSPVGSSGEAEAARLPLRELGQYYMDV KLAGGHWQCDWDDGSCEEMNKEIDFAGKDSSEKSNEYKYVFDTDGNAWSSRFPRLMAS NNVVIKSTVFPEWNTRTLPEWYAYIPSKMDYSDLFSIMTFFRGNPSGRGGHDEVARRI ALNGQCWVERTWRREDLQAYMFRLYLEYARLTSPDRDTGKMDYVSGHAGESREAEEVP VAER L198_01439 MLGSRLPYQFSLPRDPDDSRIASIKPTFRRVWVRRPKTLAEPVK DLRREIVFRQAVRNLDSNSNTKLGHKKKRHPSKSQVLTELHKISPTPKKTDSVSPSPG KEEARETFRRNSGPMPSDDNGAAPCGPTVPHHRRLRRGVTQEAEDGSWSTVREGATSE AVVVSKTEEEKDEVSIEQDSSDDDEREEQSTSDEEEDLEIERWKQRRLASTDDEQVLN RGAARVVWQQGSKLSFRLSEGNEEESTGEVEEAYRLLSAGGTLSYRQSHSLASPPALA ADMAPADHSHTYPETLHHTLSTSLLLSPLEGHQGGASSAPQDEETQDVPAQEDEDFWI ERQTKKRAPSPGEDDYDEESEGPLEECEGALLPGRAEAGEPRRSPRRPKRISYWAGNS DEDDDGTDKDHDNPDKNDDYNDKDDDDYINKDDNDIDEDDDYTGIDPALLLGEPLSQT QQPSHDQPGANLPIWWDSIEEWERPEEAESVEETVNFGHRVRSSTPPSPPSPTPSFSR YPETLKSPSQLDTYTIISDTPTPSVSPLRHGIDGIRLHDDPSCDDPPSPYPYTANPSL HFWAAIYDVAASGDNQSEKKEKPVTHSISTIEDLRAHPSNAREAIVIPQLDPALPYST STRPSLSPDHLPAPCPSTHTTETTNGSGLLTPGPKRGLARKTSDVFEEEDREKPGMKR GRRGVD L198_01440 MFGIRAYQDSAIWKKPNWEPLYFALGFTCLFFLIRSVFRTVELS DGYIGYLATHEGYLFGLDTLPLLLGVAICTVGSGQGGISRLTETLSSAGTLVLKGQQM RRGLRMSIL L198_01441 MTSAPKMSATPGILSPEIEALTLHNKTPILARIYGAPFLTLYPL FYYAYHYRYEDWIKSEEWTFIFCVLLGAGHALSFLTTAWSSGINAKVAYTTADSMKTA SRIRVIPKKGRGKGDIIPLERKETPGSVEPAYSFNYQRDTYVYSSSDNAFTRIPYPCD AAPPLSIFQTSRGILTHAPLKDKPTPPVTGLPNLDTLKATYGSNECHIPIPKFTELFA EHAVAPFFVFQMFCVALWCLDEYWYYSLFTAFMLVVFECTVVFQRVRTLQEFRTMSIT PYQVQTFRDGKWTSVLTTDLVPGDLVSVLRTNPDSGVPCDLLLLRGTAIVNEAMLSGE STPLLKESVELREGTDRLDMNGADRNNVLFSGTKALQVEKAAEGGLTTPDDGCLAVVL RTGFGTTQGQLVRTMIFSTERVSANTFEAFLFIGFLLIFAIAASAYVWIKGLERGMAK GKLLLDCVLIITSVVPPELPMELSLAVNASLVALQKFAIFCTEPFRIPWAGRVDVCCF DKTGTITGEDLVVEGIAGVKSTDPKALVPVTASNKDTTLALAAAHALVLLDDGTIVGD PMEKTTLAALDWKISKGDQISPISKEAPHKAQVHIKRRYQFSSALKRMSTISTVSEPS GGKRWIAAVKGAPETLKGMYVSVPEWYEETYRWYTRRGSRVLALGVKSMNVQVEKVKD IHRDQVECGLTFAGFLVFHCPLKPDAVESLKMLNDSSHRCVMITGDNPLTAVHVARDV EIVDRDVLILDVKEESAGELVWHNVDDSVIIPVRASEPFDQSLFDKYDICVTGVALKQ YEQLPSVTDLIQNTYVYARVSPNQKEFIITTLRSLGFTTLMAGDGTNDVGALKAAHIG VALLDGSPEDLKAIAEHHKLERMKKVYEQQVRISARFNQPPPPPPPALREAFPELVKT QQEVAVAHANARKQSPLEKFDMTTITAKLSEMDEDQEVPQIKLGDASCAAPFTSKLSN VSAITNIIRQGRCTLVATIQMYKILALNCLITAYSLSVQYLDGIKFGDYQVTITGMMM SVCFLCISRAKPVEKLSKERPLGNIFNFYVLLSVLLQFAVHIITLVYITGLSKSLEDR GAIDLEKKFEPTLLNTAIYLLGLSQQVSTFVLNFQGRPFREGIRENPPLYYGLLGASL VAYCGATDFIPELNRWLQLVEMTTSFRFRLATSMALDFLLCYVVETGCKALFADIEPV AFVTKGRERREKRRREEALQLREAEKARLIAEGEKKGQ L198_01442 MPFHAEPLQPSEEIDMDLEHSLAAQKFKEVRAVLEGNRWWARRV HAEEPQFCEEQVKGQAPNFLWIGCADSRVPEVTVMARKPGEVFVQRNVANQFKPEDDS SQALLNYAIMNVGVTHVMVVGHTGCGGCIAAFDQPAPKTEDGAGAAGTPLVRYLDPII RLRHSLPEGSDVNDLIRENVKMSVKNVIDSPTIQEAWAKNRKGEFRPVYVHGWLYDLS TGYIIDLNVTEGPEPIVDHRVTPETAPVANGAEPPTPAEESEAFGATPILA L198_01443 MPAAPPMDDFPEESLSARSQSARSIAQKTARAQATERQKAQLSL EQEKPVIQTRYEYPELAPDGFPQDGFTRFDWRDCAMSQQNRSERQNGRWLYPSRPFRV QQKLPKLAEDHPLYPAMERYEDNFVPLLTAEMEEEMRIFEARLKEWTIQRLVGSGYAL EDTLATFAWSTKQGGAVYKFAKKRGAIMAPRFNTGTYVLFSRTDPNVDPLVNDQDQPI IATVRSVGNGNLFIAFDKPIPELTKGQWRLDIGYSDFVFQRQFDAVKALSYDPLEQDM AGFLDERDAAPKEESRKRREQGFLQGTALREPLFRSFLQASQGPELASDGIVQDLSEL APTDLPTNPNPQLTDLAAGIVKPSQLMRNDLLKSWAERYRSEKEVPQEVEGDPHVPLN RTQLRAIGMMLSQSLSLVQGPPGTGKTRVIVETIKLLKQHFQVPHPILVCAHTNVAVD NLLTGLDKAGLRVVRVGTEDRVPGDMKHTTLEARLEEHPMWDTLNRLEDQKMSVWVER KSAADAQRLMGEPAKESTHSQSSEPENMGIQKRHDHRNALGCGCGERSKAHTMDSHAD MKQICTTCISAATRKLQCIDFPIVFLDEASMATEPLSLIPLMKGSSHVSIIGDHKQLP PVIISEDAHSGGLSTSLFERLIHEGHVPSIMLDTQYRMHPALSAFPSKTFYSSLLQDG TPASARPAPKTAFLLADDPVADPFTGEMREVAERKSMTFLSHEHQESAVMKSLANYGD AELVVDIVADLLHKNPEMKVSDIGIITPYLGQIKVLQSSLYALETREKLIKLLGEART DELGDIEIKTVDGFEGREKEVIIFSTVRCNSGGYIGFLGDWRRVNVGLTRAKRALIMV GNKRTLSTAKMGARSNDSLPQGGSKVWKDFMAYLEEERMILDVEE L198_01444 MPALKFCGECNNLLYPKSDNNAKVLLYQCRNCNYTENATIEPGM AGRVYKHDLLTVAREQPGETKDLDTDPALQRSSIECPACHHHGAVFYQDQGRRITTNM TLFYSCINCKNLFRDPNIKHR L198_01445 MNIFRFLGDISHLASIFILLHKIQTTRSCRGISFKSQLLYLVVF VTRYVDLFVYPLISIYNTLMKLFFIGSTAYVLYLMKVKFRPTHDASLDTFQLAYILGP VAVLALIFNYEFTPFEITWSFSIWLESVAILPQLFMLQRTGEAETITTHYLAALALYR GLYIPNWMYRYFTEGKFDSIAVSAGIIQTLIYADFGYIYVTKVLRGQKFELPA L198_01446 MKFATLLSATSLLTLASSTPLALYRRDNATIPSCAEDCFNTVDT VGSSCDDGDVACYCTDEQVVGYLRSCLSASCSTSNVTNAVIAGEEICSAHGVDVSMSD DGTISATTTNSAIAQATNSSSASGASSTSEWSSASESSALSAALSSSTTALESSAAGS ASSSVSATASGTSATISASSEASSSETDSSSALSTASQSSASAASSGASSSSAASASG TSPTTISRASSASAVSSAAGSSGTAMSSASGFSSAVSSSTGSSTAGSGLAAANSSSSS SSSSSSAGRLVAGPAVLVAGIFGALMVL L198_01447 MSAKPEKVSKIPTDDDPTQQFTDESGAPATLPEIGNGGEESKLK VLMGLMKKLIGVKDVANLRLSLPASLLEPIPNLEYWQYADRADILAAVGDSSDELERM LAVLRFCFSKELKFIRTRLGKPYNSALGEHFRCSWRLPALALDKESGDPVVRTHVHVP IPGEPAYGGQGGSGWTTPVLRAEDGGKPSSEASSIVSSSSKASRKTKALDLSQVDRSV PGPGPEVVGDPDAGVVESEKVTVVFLCEQVSHHPPVSAAYYYCPEKGIEAYCMDQITA KVSGMSVKVGPGHCNKGIFVRVARDGPGKGEEYQISHPSAAVNGILKGSYYGTISDFI QVTCRGGDSGPTKLRTLLDYKEESWLGKPKFLLDGVIYRYTVGDEEQEGWTKPKQVPS DKIVGYIEGCWMKQIRYKLKGQKEWKILLDLDQLALIPKDVRPIEEQDEYESRKLWEP VTQQLLNKNWGEATKSKQTIEQAQRDKAQARKDNEETHHARYFEPDYEEGRPVLTAEG KEALEKEIKRCRGE L198_01448 MPPRKKPSRVSLPDPPSPSPSVPGDVPTPSTEPATPTTQGLRQR KKGDDKSGRGDKHHTEFNVKLKKNDDGKYHLLAPGTPFNEIDLSEYSFFARHPELEAR AKTWKAWIFGRKFFFPVGILLGVLIAVWAMDFSSLPADMKDVWTAFPTTLDPRDLLSN LTMVETARKAIESRDFAIGQEMSEKYGIEKQHPIILIPGIISTGLESWGTETVARPFF RKRLWASRESGTATMIRAVLQNKERWIQALSVDAETGLDPPGFKLRAAQGLDAASEFI QGYWIWQKIVENLATVGYDTNSMDMAAYDWRLAYYNLEIRDAYFSKLKSKVEMMHKHN KAKVVLCSHSATFKTSTSTYTDLTSLKWVEADPDEYGFGGGGGPTWVEDHIEGWINAA GTLLGVPKAMTAFLSGEMRDTVELHPAGSWVLEKFFSRRDRAKLFRRMPGSASMWLKG GSRVWGTEEYAADDPEDATDSHGRFLSFRHPDVPPHDSALVKSTVSPNLTISEAGPYI LTHTPPSFQRMMELNYSQGYESDPAILKKNGADHRKWTNPLEVELPNAPSMKIYCLYG HGKETERSYWYMQGEYEEDESRSDAEGSQAFCDASSPGCDNSTVLRAPLDFPLARKHW IDSAVTVKGSSPEVRSGVKFGDGDGTIPVISLGSMCVKGWNGTTPWNPAGIKVVTQEY LHSPDSIDLRGGAQTADHVDILGASPLNAAILQIAGGRGDLVKQKIGSKIFDYTAKMF WD L198_01449 MSRGGFRGGRGGGRGGMPPGVGYGYGQISQTEWKEGISRIKAQS QNRGVLYPPLDSNSTSYLTAPTEHESMILSHEIALETTLSSGKLPQNDPGAQIVQPGQ VPPWRIASERKVVGIEIESYSDRFNAPAPTGSSKLDPLALRMDQAMFPPALWSAYFDG QADEGKRPKKRRKVDDLDGDDDKVEEESQITEEEDFDFDDDESDHQDYDANYFDNGEG DDDDEGDDEGGDAYED L198_01450 MSKIIITGATGNAGSAVLSAAIASPNVSHITVLARRPPYETNPK VEFIQIPSSEYPTGFDEIEPRLVERLRGHGACIWALGISQTQVKEDEITHDYTVEAAK AFSALGTESEPFRFVFMSGEGARQDEKGWNLFSRVKGKTEKELAAMASKTFSPLTVRP AGINPTEQHIPRMHWLWGRGLRAGCATFKVIYPSKVIDASHLGKVCVSLAGGKGWDQM DAERVVSNVKLQKMD L198_01451 MSSCCGNNKNGCCKGNNAQASASTDASVPLLRGNKTDQSGPNAN ASNYGSVWSVNSSNTVTSDRAQQLQTVLGDPEPLGSKCSAHESGGQCCKDLKGEDERH LISPEIVRDVIIGLSDGLTVPFALTAGLSSLGSSSLVVTGGLAELCAGAISMGLGGYL ASQAELDHFHYLRRQTHARVLRSCSGEMEREIHSILGPLGVKETLSRLVAEDLRTVEE DIYGTDETVGVQGVVTQSGRVTPIDSKKSWNPLSWRKKPVEEESGGFEGTEDMGMTAF LLKFGEGMEEVPVSRLYISALTIGLSYFVGGLIPLIPYMATSSAEDGLVASAIITCII LFIFGGFKTYFTGATGGWSGYVYGAVSTMIVGGFAAGSAFGLVKVMGVQE L198_01452 MPGDTHPAHDIVMGDDPSAFTFSFPPTGPAASGPTVRRAPPAAI PNFPNATEHRSPPPAVPFSPSPTNSPMPHSPFHSPEQAGRPHARQFNVHQPFATPANE PPSPWQTLAASSGAPTPSIEGGPLGRSSPHLGYPFERLNIGNIWSGNNLFEEPAQSTR DPEPSLGDSGFFDPAALSAERRRTSLPIKLGSSLAPPHSTKSPSPLATNVGQSGVRAQ MISVADLAKVLPRSTTLVLDVRPPSSFRTSHIPDSHSITIPSTLLRRSGFDISKLVQM LSPESSRDVSHWREKSDIVLLDADSSSLSVGGVLDGLASKFTREGYTKGLWVVKGGHA AIKSSGATPLVSDGRDEASRHQEAAGPQGGSMAGGLTSAAFMQESTAGGVKSRRQPPP KGLTIPATPAFNLTSSPFGALSMDTATTERPTNRTPSMTIPGFDNARPSVAKLQPANP FFDNIRQNLELSHGGITERIPLNLPASIRQNADKFPAWLRELVIMGEKESQEQLAQEF YNLELHEQRRLQAVMEWHTKGSGGVLHYGEGFASPLPEGKREKASWERAVQDQCDMSE LQRLVDWETGKAEHEYFPFSITAGVERGTKNRQVCLKYKNIWPYDFSRVRLESPPDQD SDYINASFVQPRGTARRYIATQGPLDATYRDFWTLVWEQNVRVIVMITKQFEGGLIKC GNYWDQRQYGHMKLEKVKQTGGEDKGQPSAAAGFDFGPAAATPVSSQFPKSNEANITR TFLLSRTDKPEEPPRKVVQIQCVGWPDFDVPEEPETLLRLVNDVNVAAEQVKPEGCER KSEQPPVLVHCSAGVGRTGSFIVVDAVLDGLHREIHEEKSRNRSQSLIEEASQPEAIP PHHANSAPAASSGSQTPANSAPDTHLLSVSPVKRTLSGTPVTPPFTSSPGTLPAGDDG AAVSNFDLPAQQEKGMEGMDVDAPKASFKDERSAFSKYRGSSVASVSSDNDTRRPSLS SIYTPSERLSDESIQQRVGRPKPVIGSDRRHRSPSPISRLNHPVSSVLEGMRVQRMSL VQTLRQYLFVHRAIIYHYLNMIEDDETSSNIARPESVPLQGTQGKQKGSSGSSGSAGS LQSLGSGSGSFASTTGSVGSGLLSSSGIPSMTTGSASGSTDDESHTKRRASPTEIHPD SVLGSGAPGLTKRPSFKKMRPAVGSLASTAAGGTGRLGKGRTWMGTGESALEEKSPEE EKEIKR L198_01453 MSRPPVPNRIHQLPVRVSYYIPHSDQSYSIIFPTLLNVYIHPSA NEAGDEVWGSTYLKMVVKGVLGASPELYPAYPSASDLSLYVLDPRETFFRQTRGQGST DRSSNSEVWTGKGLVSWALAEAGIGKNLIVGRLQEDVAFANIGKVSHLSALEQLMEAD RAGSKGEAGWGIDISMGLNLGSGGPPAAFRRSQSSSRLVRRSSSVAAEEYQTQRSRPN VSRHLYDQAGSSSGLKPEAMSRAGSSTSRTGHVSRQLFSSEPASLPRNAPLCTPDLGV SSPALTPCPHPVSNTLVREPSQRPTPLITRPGAMVPRSVPPKKRAPPRKPPAPSSDNP ILHDAFSSLGENCLGEPDNLTREEAQRLLANPEFLSILGRITGTSYTGPSDPQLGDKR KRADEDGNAQPKKKRGRPTKAEKAERDARAAAQALAAKVKELAEAPDREDAACWNCKR TQSLIWRTRVLDDGKRIRVCNACGLYWNKAGTMRPANLWVADDDEESRAAGRLPASAT GYLNQPTVISINRSQESFKRTLSAAVNQDAERLARHKGRQVSTCKLGPMTSPPRGSAS ASNSFRQSAAVSSPGKNLGVFDKSFEAEGTDASPKDAPVLPKSVPHPQPLRHNAPVDS TIQTLNLPLSDDGPHGQNSGQVEEWNDQVSAFFDVEGFSMAPMTDGLLDHRPSISIHG PHRSIPIHATSSSMIDNHMPTDSSLEEDTVLSELFNRTSSMAESSPIAFDFSQLPPSS PPLSVLSSDALPHSALLLSSPMKKYSPSVSGQTPSASGLTPVDASRLLPSNSKLRNEV EDDNEMGLYDEIMKSMGGMAGGVDKEGETSSVSAQLHETSGEGIFAAFSV L198_01454 MGSSEKSVSAKPPSIQPVKVESGMDSGRDGAAAKLEEMGYKQEL QRNLGMVSILGLSFAIMAVPFGTSTTLSVALTNGGPATILWGWVFVSLISMCMAGSLA EICSVYPTSGGVYYWAAMLSKPKYSAFSSYLTGWLGTVGNWTVTASITFGGSQLILAA ATLYHEDYVPTAWQTCLVYWGALLFSLLINIFFYKHLDKLNTVCLWWTGASIIVTLLA MADTRHSGKYAFTEYDPSPSGWPAGWSWFVGLLQCAYTLTGYGMVASLCEEVVEPGRE VPKAMVLSVAAAAVTGLVYLLPINFVLPAIEPLLNVASGQPMPLLYKEVTGSAGAALG LLFLILGIWVFAAIGSLTAASRCTWAFSRDGGIPASGWWKKVNERFGLPINSLILSTV VCALLGLIYLGSSAAFNAFTGVATICLGLSYAFPVLCSLLRGRKSVRNAPFSLGKFGF AINIITVVWIAFSIILFCMPTAIPVTPTSMNYASVVFVGFAAIAGLWYAINARKHYRG PTLSTVQHHGETEIVEEY L198_01455 MSNASQISSENEGVPFSILDTDLYKLTMQNAVLHHFHDAVVTIK FTNRSPQMLFSRQSFQWAQERVNHLTDLRLTEKERAYLSKSCSYFSSSYLDYLQGVRL DPINQVKLSFMPKSTNGEGEEMGEIGCEIEGPWRDTILYEVPIMAILSESYFKFVDTD WSYDGQLELAKAKALQLLNPPAPTTPLVFSEFGTRRRRSFQAQDIAIKGLLSGLEEYR SQGGKGGAVSGTSNVYLALKYGIRPVGTIAHEWVMAVAAVHGYKGANGRAMDMWEEVY PPNTPLAAPLTMLTDTFTASIFFDEFISSPERALRWAVLRQDSGDAFEFLRGAKKAWR TVEDEAGGKQVIFSDGLDVEKAVKLQQGCDEIGIAASFGIGTFLTNDFYRVSDPSQKS KALNIVIKLNKINGKGCVKLSDDKGKHTGDVDDVRRAQEELGLA L198_01456 MLPTARKAYTRHASAAVQLWSQALPAESSRQALLRARRVHSHAV AAVEEQSQPSAVESKRDSYIQFKEKEWGRSGGLAAGDEYNPYAHLPAKTSKTSNVPSE WLDSWLNLHDVSALPPSPLPLLETFRGLTPTHPILALLTLPKLSHNDIIALTHNDVRQ LLARSTEVAASTPAVLHRVKTEDSAKALRVLRAIIYSLPSPGSTSDPMMGRYLRGKCL RQLINLCYRLRCPALAKSIFQERLREQLATECSPSIVPFKDIAIDLARTRDWKSIVKL FSPETFPHRYYTGRLVELYMQAHLGIRQSSKVPTIFELHDVLSLEPTVTAVNHLIQAY MEMGDLPAARAIAADANSGEPRDIATQQLALLKGYRVLGLEENLEKKVLSDIERLQLP LQGALIHALARLRLNVGDIEAAETLLRKLDLRRWGGGEGEGIEGSEHTKTMLFSLAVE NEDLDRVRWFWKDFAQTPRTITDEIIKDLLRILIKGEKVDDASAVLQSGLPNSPESAL ANEWKLPAGVRPGLQSLNFYLGAMAGLHGLSGIETAMSLFHLYRIKPDSASLKIIVDY ARASLVHRPYDLAELVSSIMDVSPDLAPNPALLDSLLADAVASMGRHSNRTIAYPSIL RSHSEDTFHPTAGLELSPDLYEPLASTITALESAGAQSTARTISSRLRFDAMSNSTIS GVPSARIVWNSLLARGFVPDARHIQALMQGYVEIGHMLEAQDVMLLSQQMRIPTTRGM HAVLLAGYGKKRKPMLARRSYEHIKELGETDPNQGLDLSVVTAMVQAYVNCGWYKEAA NLCYVDLKNLDATLDEKATIVIAQTLRANGDLRGALKVIEASEMSLGRAGAKIIRGSR QHALKQLAKPDISEDAKQEAEEVVALAQELLKERKTQEGKLKHTWAGLREKTRKRLSS AWTGMAGVKEGAGAGAAREGLRRVVVGNGKGTGIQALRARRARRQAIAKMRQKSAS L198_01457 MSSSKSSVVIVGSGIAGMSTALWMLESGKYSVTILEKNAVTPAP DAASSDINKIIRASDYEDRAYARFALDAIDFWRKPEWEGCYHEAGLVALSSPEEKEGM QFVDAAYKNSHDFGVDVQLVKDQASIKTTVDKDNRIPTGDFGNRQGYYNPIGGWAEAS RAVEVGLKRVQKLGGVVRANADVVGLVTEGKEVKGVELKSGEKVLADLTVTAAGAWTP KLFALPGVKGKLPDIQATGQSVAIFQLTPEEAKQYSKTPVVFNLDNGWYCFPPNPEGL MKMAIHSAGYINPVQEVGGVSVPRTKLTPGAESGAIPKVMMDELRSGLSEVYPELMKK DYAMTRLCWYCDSVTGDWLIDYHPDFSNLFVISGDSGHAFKFAPNLGREVLKIIEHDD SSEWRTRWSFYPPKEMVEPKEEKGDVSKEATEASGTGADVRAGMRKPIEEKDLVTPAD LKAKL L198_01458 MPAQSPYAHPLDPLSAHEIHDAVSAVRSFIQKGAYKNKKAIEKP IFNSVNLREPSKYAVLKREGVLAKEDLANVRGEKDDVKRQADGSPISYRIHIICPITS QSFEAIVDLPPNVTGIPGPEVAVVTDWVALEELIQPSLHTEELLWAENLCQTNPEVKV ACDAVGIDQKNIFVDGWCVGVDERFPGRRLQQCFIFIRNRPGDNLYAHPCEFVPVIDS HTGELLTIDYPPTNVAPGAEHPPSSAEAYSQTKVRDRFAPPTAPHNYLPEQIAQDDPT FKVRDTLKPLHVIQPEGVSYKLEGRTLKWQNWSVHVGFNYREGLVLSNITYDDGAKGT RPLFYRMSVAEMVVPYAKTVFPHHRKMAFDIGEYGIGALANSLALGCDCLGSITYLDA DFVTRAGGIETIKSAICIHEEDAGILHKHTDFRDLRAHVARNRKLVISSICTVANYEY GFYFNFGLDGSVELEVKATGIVNAYTLAAGEPKDFEHECEVAPRISAQNHQHLFSFRL DPMIDGIQNRVVQADIVSDEGSLGSDSNFYGNGFKVQKTPYTTSTKAVADYDAYKNRT WMIENPNKQHYSSGGNVGYKLVCKDMPPLLSKPGSLVYNRAPFARHNASLHPSIMFVT PFNRDEIFPSEIHINQNPGGEEFGITKWVARDDNIENEDIVLWPCFGVTHITRPEDWP IMPVEILRVHLKPSGFFDRNPGLDVPPSADKNSRNADEALANGVNGVHIDKEKVIEPN NGTFNSSLPSVNPIKA L198_01459 MMWAWKVAPALAAGCTVVMKPSELTPLTALVLADLIKEAGIPAG VVNTVPGLGATAGDAISRHMGIDKVAFTGSVVTGRRISIAAAESNLKKVTLELGGKSP VLVFDSADIEEAADWVAMGIWFNSGQDCCAGSRLYVQEGIYDKFLAALKTRAESAAIG QPHDEKTSFGPLISEGQRDKVLGYIESGKKEGAEVLTGGKKWPQSNGGFWIEPTILSN TNRNMKVVKEEIFGPVIVASPFKTEEEVLEVANDTEYGLASAVFTNDGRQATRVSSAL EAGTVWVNQYGLLHTGVPFGGFKTSGIGRELGTYGLEAYTQVKAVHNNLSQTMPWPL L198_01460 MPTYATVNVPSLGEIQVPVGLHINGEWVESVKKETFATVNPATG QKLLDFQHAQGEDIDIAVKAARKAFKTTWGNTLPAAERGALLNKLADLVERDADKLAA LESLNSGKGVRIAREADIADTIACIRYFAGLADKIHGQSIDTFGGEKFAYTLHQPIGV CGQIIPWNYPQAIFVI L198_01461 MQQPQEPPVSRKRSSRACDACKTRRSKCEELRESSDGTPSCKAC REEGQPCQYTLPVRKRGPARGFKHGVDSSSAGAEQQQQRPLRSQYPTATGQPGPANLG HQERSTLSSSRTQSPPPSTSGSAHHPPLRPNEPFFGIPTHMVDQLLAVYFTHVHNVWP LVCKPVFSPHNISAHLLLSMLAVAICVAPECAAEGFHATSLFHMAEHALLRRRNEIKV DIIQSFILMSLRQTGCGDKQSAALYANRASVMATTMGLHLDQGSSPQNTPDVSDLSLS YENDTRSRVYWNCYVLDKVVAEETGRPYVLPYRRSSVPFPGIGETDELETWPPAPMSS ALVPVSVRHVTPRRGYVMSCFVWTCRLAMIVEEIMELQLDGPCINAASNAWDRQFAQQ SREKRDPNEHRDMIARQLELWKAALPPHLDVDTNSPVSPMPHHVVGITWYHTARILLF SRFLRRRPASSPVAGETERSKDYYAVCSNAAQAVVDLIHLLDTNRVLQHVSSDLIHLL SLTTLFEAYDSVSTDPARAHRAKINFSQCCIWLKEFSSSWPAASSHRVFFEGLIMGGM QLSEPEVLPTSLREREKRPSDASSAVVVGEGIQRVHRHLSGNDNAPSATSSTITSPQF AFRPPRNGTTDVSPANLFQLPQYYWNQLSVNDERLQSQPRYTQDYDFNLYPSSLQYPA GVSPTDQFVTGPTPVSQTSRFSPEVSLSYPQQHLATRPQWAPMQREIPQGSMASNGGR SSSQYPSVPPPSAPPGPPTGAGENLDAVHSALMAYMMDAMKGGGTQ L198_01462 MSEDYLDVPGTVRMYEGPAGEEVVGAEGIKLVPAPSRDPNDPLN WSRGRKYLMLTCVCIYTVSATILSSDLYSVFDPLSASTGLSLDQLNEGTGYLYLFIGL STLISQPCSLAFGKKPVYLVSAFGAAAVNIWTAFAKGNGQWIASRLLLGFFISPSFTL IEVSIADVFFLHERSFPLGIYLCLLFMGVSLGPLFSGYIYEGIGWKAIIWLSTGLMVI VGLLLFLLLEETSFQRDHSTHIHADSSAATSDGIKPAFSSDRLSGTYTSKEATAKNAD VTEETDKKGISTSTTFQVDPTLTTATHIEPSTWPGPKFWKFVKPHRHAPGILLRGLTQ PFLLMRLPLIWWSGLMYATYQICFNLIAAISSGILADEPYNFSTSQVGLSFLSPLISA IPGAVFGGLLADKFVLRQARSNSGIAEAEHKLKLYIIPTVLTPIGLLMMGLGPYYGAH WIVYILGCFIVNLVGPLATILTVAYAFDCFHPIRPRDEHGVQACAQDAAPYLLSIIIL AMCFTFGFNYAITPWCFGWGLRNFAISSSIIITVINASVFLVVKWGKRLRRSQESYYR WVINL L198_01463 MVRSYMRHGPTQAFGIVTSPTANSTYSGRLAFVPGWEDVLVWDV KLGQLVSMWHSPGLTSQVTHIKPAPTPYTSTSQGSQTFAVSYQDGSIRLWIYDSESPE VEAEEAVTFNGHKKSVTSMTWDSDASRLASGGTEGEIVVWDRVGEVGLFRLKGHRAPI TGLAFVPHPKGSHAGFLISTSRDTYMKVWDLSTQHCVQTVVVGRGEVTALALREEDDS PVVAQAEEENGDGAEEDHVGGRWTVTTGSSDGESKVYTLSKAALATGLQPLADGSLPS LLVSLCSLPVSSSTHPISQIQWHPTLPLLALQTTDRGVVIMRLRTEEEISAKRSRRKK RDREKKKKAKGKDEKEDEVEEEDDEPVKWEERVAVWCVVRANAKVKSFAFASESSTSV KGGVSLLLALSNNSIESYNIPSPSASGKSKLADGSSPEPTKTHSIELPGHRQDIRTVS ISSDDGVIASASSGTLKIWNARTTACIRTMECGYALCSTFLPGDRHVVVGTKGGELML YDVGASTLLKTYKAHNGPVWSIGVRPDGRGLVSGSADKDVKFWDFEMREEGEGERVVS RLGVETVYKTKQLALVHVRTLKMTDDILALKYTPNGRFLAVSLLDSTVKIFFSDTLKF FLSLYGHKLPVLSLDISTDSKLIVTCSADKNVKIWGMDFGDCHKSIFAHDEAIMGVMF EKEAGSHNFWTVSKDRVIKYWDGDKFELIQKLSGHHGEVWALATASNGQFVVTGSHDK SIRIWEKTDEPLFLDEEREKEIEDMYDSNLADSLNRDPEGENGEGEGGEVEAVQKQTA ETLIAGEKIMEAITVADEDRLAVQQWEEDCEKAGAEVPRPPRHAELIARGDLEPDQYV LQTVKKIPAASMEDALLVLPFRMVVSLMGYLDEWAMQGKEVILVSRILFFLLRTHSAQ IVSNRIMRTPLLTLRTHLRQALSAQRDTMGFNIAALKFLKGRWEGERVAGMYEEEGMD EEAVRKRLEDGRGKRKRVEVRA L198_01464 MHLLGHNLPDHKPLKIALLTFYGISHKLSARLLPRLGIHSEALV NQLTEPQLTSLSAYLSSPSTTARPSDQTLELAPPGGLALKPVGHPGIFGGLDKGKGRQ EDPLNELKIETEKRRAMQADIAHLRMVGTYRGRRHAAGYPVHGQRTQTNAKTAKKHNR VERRNMGTFAFERFTPEDLPTPPTILSLLGRRP L198_01465 MSQSPLPQAYKLVRQILSSSPYGLHTKDIVRQGVALYADKLPRE ALDNIPEPVNERIHKGKKKHVPEKQLVPEGHPFISTSFLKNRVLPVLQSQNLIHKHPV HPKPSELDPSSPSAAKRGNAKLEFVWSLREQPADLPEGVSWSTAEQWERFIQGEHPGL VGRDYKEMLEQIKVAKRKEAIESGKARRTDEEIRAWEGRRVGVTTEKERYHLNKRREA NRPRKEWKNQEEWQRLLNES L198_01466 MSRPHLQGQVSFQDAVPPSRRAGRDDVPPRPPAKTDNSKNSLQT TDTVQSVGPGGYANPALGYDEGGYMEAGASSPGFSAAGDIRRKKSMVRPERERMDPNH RLWHYREHAAEDQVDVQPSSTGNQPYHLRTNGGANLRRGKSLLAREAEDIDDSSGLNL FKRGATIRRKASRAAPRQAPPSGAQSNRAGAGATKPDEADVGCCACLGDFAPGPKDCW MTYCYLITICIPGFVIRGIFGKKTPDAQRAWREKMGIVSIVAYLMTFVGYITFGFTQT VCGDTDLRIEGGLASSGSLVINGYDYDFSTWSHPAAGSLFNGSTSPLYMDEYMAGGMD ASFMFQNVNEHCLDIITPAPDTGIDYSGSSLGWYFPCNLHDQNGTSAVNLTGYTSSYQ CHTSSTARDDFSAIVPTAEIYYTWDSVKSEDRNLAVYKSAVLDFSLLQWLDDSEVSYP AFFDTIKTRNSSYAGKDITALIERAGLTTIAQCLTDVVQVGFVDSISIGCVASDIVLY VSLVFILGAVLIKFGMAVAFGWFLSWRLGNFTGESYQERMKRAAEIEQWTDDIYRPAP GYLRPNAATGNGAKAGGLKKPFLPTTSRYSTAAQLHVSSNSRPSTAYGMLGDRGRQTS SVYGNKLGPPTLLTTPPGSPLLRNSRSSTSLPFRDDSHMSSSEHSFGNQSPCPFPLGN VVPQPAADFEPFSYPLVHSILLVTAYSESIDGLRTTIDSLATTDYPNSHKVLLVICDG MVRGSGSKQYTPDIVLGMMKEFIVPPEEVEAHSYVAIADGHKRHNMAKVYAGFYDYDS STVETSKQQRVPMVLVAKTGNPLEANEAKPGNRGKRDSQIVLMSFLQKVMFDERMTTF EYEFFNSLWRCTGVSPDRYETVLCVDADTKVFPDSLTRMNACMVNDTEIMGLCGETKI ANKSETWVTMIQVFEYYISHHLTKAFESVFGGVTCLPGCFSMYRIKAPKGDHGFWVPI LANPDICEHYSENVVDTLHKKNLLLLGEDRYLSTLMLKTFPKRKMMFCPQAVCKTIVP DTFRILLSQRRRWINSTVHNLFELALVRDLCGTFCFSMQFVVFMDLVGTLVLPAAISF TLYIIVVSIIPQSVTGIPRPTISLILLAFILGLPGVLIVITSRKVAYVGWMLLYLLSL PVWNLILPGYSYWHMDDFSWGETRKLELGEGKKETDHGAKEGIFDSSHIVMKRWAEFE KERRWRNGTLERDSQYYDVVQRANSPRNAALPSNRYSLASTSETYNSGFGTQESNPLF RQSQSFASMSQAAPSPEHNYGEVSQLALPPARGAPGREHSPSSTESGTSNLLGQGSDP SSSSHADPYSYQSYTNEGYPDEAEQPILNNDYLPASPPEPAYRTALQPSQSQRGVSLV DTGPVRTAVNKDAVRRVSRHNRRSSSKNQLVSPISSGGHSSVLPPGAVS L198_01467 MISTLITPPCTTQRNRPVTPGGSPCIKAFPPTSSSASPHDINVK GGEDEMWLDFAGDDTTSTESVEGKKIGVRQKVLLVGMFWLLERVGLMNMTQRHQALVS FLRSTRAKLIVANTPATNEGMPALTYTISSTSIDSSIIIQTPASDGERAEDRLLLELK QGLEMWQAGGSRHRYERGLEVLPPLIESISLPGSGINKPAAKASTAEEDDGSLFSSLK RAGGRRLGGGHVKACWEEYIAGKAKSCVKAASGREKPRFF L198_01468 MSDAQLTGDRINEERAFIKRYTEGLASRKLEYPADYATPPDERP RKIPAVAVPVAAPPVSEGMDVDSPAPDTSISLTIKSLKPSLTIPVTAQLTDTVADLKA IVASSSSSAPAADSQRLLLKGKALADSKLLKEYTIESGATLHLMISKAPSTPSLSPPL SSSEATIPPPSSTAPNPPSLTITTSIDDASKAGTSMPLTVADQSAAPIGPQPEVSSAT FHTTVSEPLFWQRVHALCISDFETEQEANLAWETMFVSLKGRLSASEVAKIRDVVGVL GMNGQ L198_01469 MSAPLQQSTAQNTVPAQTAQPSFLQKKGLLGAAARGGVKPSNTV SPSDVLSPISAKLNNSKQRHFQKGRPVHLASQLSQLASQASPASKENKPKIDENF L198_01470 MYSQKFTQYLLEKCTSQQTTAHAHLLTEFFVDTAKQHGLNVFSQ EANDGAEEGGKGLLGEYNEVTRVYVDFLQAYLTAWQYAKTFHKELAAADPASLTQTQQ ALVKFVDNWTCDEFVEFVEGCAEIVDGAGMLGSRPGARWLRGVKRRCLRGASGWSSAF GLSYK L198_01471 MAPPALAPPISIPARTQPDPGLPKWEKILWRKQPYADNYVPPDF LAELDDIGKIKVPRPRPSFPALLFAALPITQHISTIAIFLAVLSALLDGRVTPESIGW GCFLGGLVGWGVWQRGWGRWKPREVQDPLIPPPTPIRTLILPPLLLALLSPVLGTLTS ATTSDSIWPLAAGLGFVHLLLSDFRTGEDVRVVRRRERNRKRRGSVGWKEIGEEKSLT SSLSLTSALSASVVLASRLPSNAHVFSLILLSVLFFAGWPVVAKSVREAGKGYSLVLT LSTATLALSLIPSAPTPFPQSSPVHPALASLPALIFLLVLFLVTMVGPAMLWYAWRWK VHRGGGWDVAKVRVRSKQA L198_01472 MLTQLHPISSESPAQAAAQSTPVSPVAQEVNPLNPKGLKPCCAC PETKSARDDCFIKSAPGEGDIACKDLIEAHKACMRGYGFKV L198_01473 MIAAPFLRPLTAGLRQQTRRGYASVLEQAAQPADELPLRLQAIK LYKELHRLGRDYPDPNYEFNKRLRRAFEKNSKVTDPEALKKQLELGEHIKKEVLALLS LKKFRHLRRSYHAHEGPR L198_01474 MEEPPQKRFRYTTYNQQLKNISVDVGKQRGLGWQKEEFNEDTEQ TSTPLTSEIERLSLLDLTIPYQDVQRILIPLTNSLPITLHNLPEIQKVFHNFYSNLAD GHEHTHSGLDSVLFLHQALYETCIGEAVVYVPETVKDLLRVGSLRALDPKLVERTYST LSLILRTIASSLLKSNAAAQAALKETWENLCPYLAPKANRSYVRRCVADAWTGVIRKA RSEGLHRLMDLLLSGDFQGMEAVWAHSMKGASGQLHSRGVAIYTALFDHLVNSPSVQQ TNTLSLVSTALVHHTSASVNQPIVEVVLDRLSKATSLSSTTAILHTLSAFLFTRKGKR FPSPLLKSLMQRFVELIPKLTNEAVVKEDLVKEENETRGAFRRWLVSGVIGALQAGQL AQWLSPGVVLIDKLWQSLDDHECFAFVNALVALKWTGVEQFILSHVAKASLPSLNTDP LSTLVLLNNLASSGYLSGGLSNVQGGRWRQSFVSALVGLLQNLGQSQLELKERRVLGQ ILSLVPALPGDADKFTPEVISLLKSTLQKLDKKTEDDLKNEWKSQGAWNDTHILGLLL RAADELIAASKADVSNDIKGLLVVEGWAKKVIEKWSWSREIMGSVVPHIERWATEIGL PHDQTLEHFMPNIISADSQLRLSSLQVLTAIAPTQPLHSNPEESTTSLHHIYNHCVQI ESSEMTLRNVRERTTEISRLTRALAALPSKELLPANVLAAVVAYITSQLKVNFRPIYP EAIATLSSIAEQHKEIVWETLWSELQKTNSSTAAIAVDLDIEYPEWALVKGETEQTIE KVEDEAEFRCPNLEKSRVIFGKVWERKTQENKLDEHEVISQISHDKLDVLNYEAQLLA TLGAMPLVAEKHTRLITPVFFSVARQGTFEDDEPSSATHLSTKQLQARTANYLELFSK FVNPKAAFRAEELHQLYLSLLSKGEPKLQGLAVKCLITYKSPSLTPYSDSLESLLEDN KFRDELQRMRLGVDSQDYALAARNSEAAQKTRVIVIEPTHRSEALPVIIRLLYGIMTS RRGRSSSAQGQAARKQAVLSALNGCSSEELGTLVDLMLEPFGETMEDAGKVTGRQQMG FLSLLTDVIRYLGPQLGAHWDRLVNNLVNLIGYAQERLTASDIVTEDAHEGDETEDVE AEKGMAPLRNIRSIGLKRLVQFLRSPVQFDVKPYLPTIFQYIISPRLDKLEVENTQAP SGTLDLIATLAAKPETAISLTGLDQRTLPKAFACMTAVKVKPAVVLKVFDIIDSLLVE DDEAALTKEVLLPHIRVLIDNVIGLVASLKASQNDDITRRLLTILSHLSAIVEDGQQA QQLATLLAPMLRNRQTHEKAKTNILATLQRLYAICPDFTDTSSKFFYQSYDVISSLFQ TLFFSSSRRALVKTFETFAAVDPSLAKSVKLVADLNSYSAKRVEEPDFDRRLSAFEAI NDGPEEELPQDVRAWTPILRSCLFFLHEPEELSIRTSASAVLQRFIAVVGSSSDGAYV NALQNIILPGLKRALKSKIELVRGEALLVIAQGVKLCDGVPELNEMKPLLAEGDDEAS FFTNISHIQVHRRARALVRLRSIIAEPTTSIQENTYTSIFLPLLEHIIAGATDVTDHH LINEAIQTVGGLAQELRWNKWYSLIQRYMKLGLGSGKTGQQKYYVRSVAAVIDGFHFD LGTGDAMDIDEKEGDDEEGDDDEDEVVKKDDGASPEKIMGIILNKLLPSLTKFVADKD DAESTIRVPLALGVVKIAHTLPGPSAETEVLRAITTVAQILRSRDQDTRDITRETICK IAIYLGPGWLVKLIKELEVALPRGPQKHILAVTTHAILVQATTQASDRFANLDDAVQL AVQIAAEVVWGESGKDVDSEGFKTKMREVRGATSRGFDTFQLLSQLVSPSKIGAVLVP IQDVMHASQAVKAMQQLDEALRRVSLGLNANSLLSPQDILSLCHSLISGNSSHLKAKR KAARPADTPEAFKVVMKRDVKAEEDFYPANAHKLVMFGLDLFVTAFRRGKFAFDDVDI LSRLGPMVNAIGNTLYSSTSNVLLLSLKATAAIARCPIPQVEGALHVYINNIFKIIKH AGGTAQTEVAQMALKTLSVILRDCKTSEVSETQLKYLIEVISPDLEESDRQSAIFTVL RAIITRKFVVPEIYDLMERVSSIMVTSQSTHVQELCRGSVMAFLLDYPQGKGRLKSQM TFFAQNLQYTYEAGRLSVMEVLSAVFEKFSDDLIEEYAGMFFVALVVTRANDDSEKCR VAAGELLKVLWRRLDEGARGKMAEVVKSWIAQHDENETLAGAAMGVLGLLVETGGEGL GDVASVVNPVLKESAATLQEAEEQDEAVELDYTLPHHTLATTAKLVLTNPSASSSLPW TDIVPHLLFPHDYVRYDTAKLISNLFANDADPAVVCEMLGNELCLDIARKGCLVLKGN RNENGEWMVGSGKLADEVVKCLYNISKYWAATEQPLAAKDVEEGADEEEDRDEEEHVK KPLAWLMSRMSFVARHLIVNRPAPHSFQAQEQWSAPILSILRFFAGVTECLSKAQAIG FLVHVLSPVYRILDEGGDLGQVEDKQVDDLRELATQVREFVTTRSGISAFSKAWEKLR QTVTAKRQERREGRVKLAFTNPELHAEKKEKKVERQKEGKKRKIRAFAEGRIVPGVKK RKQ L198_01475 MKEGGAYDTAEAGPSRPPLDTQRACSANEVLATSSSRSPSSTSS ALDEGNESENDQHEMEAQSVEDSIPNRPSFQQRQSHVTPRRKPHLPHLSLDLPLHTSA SELFSHPQSDSPMIDGDGIETEGSGGAKMSYSSLPPSPRGEFMGTPKEDIKVSGKDLS NLLGDLEMKRRGLGEEAVNLDTESETVVEGEEFWPARSRRPTLSEEDSYRRSTDDDDL TSPTSAAADTDSTRRGSDVKLGPIQSKTDVLGIWDLLKDEMGAEDWDGWVVDGKWERI ANFLAVPLAVEKTTLFGALLCLDGFLYNFTILPIRSLFALTRIFNSIGMGKGWWPIPL AHINSILRMLLLVIPTVVLLIATDASKMYHTVRGQDTIKLYVIFNALEIADRLCCAFG QDVLDTLFAKETLSPSVRKRGKGRKRQQARPAFFFALSLGYVLCHSLIFFYMLVSLNV AINSYDYTLLSLLISNQFVEIKGSVFKKFEKENLFQIMCADIVERFQLSLMLAVIALR NIIEMSGSEIAFLPKSFMKGKSLVDSILSPILFVIMSEMIVDWLKHAFITKFNHVRAS VYERFTDVLAKDVLLAGSLSSSAPLSDKRRQLAGRHRILLDQSPLVARRLGFASIPLA CLVIRVAFQAIGMLTTQHGSYADERGELGSGGSWDSVWAGLKVASWVGVGVCAWGCLV FLKIILGLSLLGFSAMRQEGMDEREEEDKVNDFGRSAVGESKEETEYNRQTKEYLTQP ADNLPDYPSFATSNSYNGSVPLPRAKQSNDHREKGGFAEEKAKSGGGKKGKKWRLEEV ERWTMVKRIW L198_01476 MAGGHGHFQPVKLDPAIERWSQMRENVYQHFKFTPRKTAQVITW AVAVPALVASIAMATDQKYDWAGKQKGSSLRIGTPAKPAPVEEEE L198_01477 MYRHPRSPPPGKYRSRPYSPSRSFEDDRDRYRRRSRSPDQREPY YERRSPPPPRNEPRYHDYQQYPQQYAQQYPPQRADDYRHDEYGYDRRPEPYRGPQYQP PVRDDDRWSHHHHLPARDNTAAYDDVYMNPSHPPPQAESERRDHYDSRDRVVHREQPV YDERNSPSEHAPPPRSYSESKPRGRAPSEQSKDVILLGLDPELTETELGKFLQIEHKA ALSSVKIVRDRGGQSKGFAFASFQDLEGSKAFINENYPTIQMPALHAHSEPRNVKIDF SAPPPGGHYQRPAHDGTRDIGVAGGGKRVLLVRGLTSSTTSSDVIYAVSKEIARMMGR QGQESKAESTIVRTVMIVEKGPRSSWGFTFVELASGELAAALLPFLISPQHQPSGFVI NGVPVAASFADPAAFAPMPAGPLGGEHLIRASRHGGIGYETIDKPDGTWVAYRLERAG PSEIVPRGAPSIKEDGTIELTPEHRSFLGSLAGAPPQALAAASVDAAKAIQQAGMKSV NLSGSMAPIKLGGMGKSKRKEEPAIVTIQQKRAKVDLEGDEEEDTVGADSKLLSRTKG AKIIPPTSNSSKILKNISKWNTKQSELAAPDSIPETGRPKGVSEANATLGTRRQSSSN RSAPPTSAGITSASATAPAPEPAASALDDFDYTDISSLASTGKVACLLCQRQFKAEDI LRKHVAQSDLHKARNFLFNNSGNPPWTNLRDPTVCQAGQRRKAASTPSSSSTPEPASA QYRDRAAERRETYHQPAKPTRADLNALTSSTAAYQGIRTFPSKPSKDRAAPPPPPPQE EVKKEEPNVGNKLLSKMGWQSGEGLGANGEGRAEPIKVQQFEARAGLGASKGVEAGRW SGPGGWQQRGKDVVSTPSNPRFSSLVAKFWAHLNASCKTRDRFNSEPKQQSD L198_01478 MDPFQHPHAPSEAPCSPSAITSGPQPAHLSLCSPMNTFSWDIIR NDIVTLSREFFPLVISEGITEDERAGVFALFQRVCVAATPQDKDEMAFRMGFYDLVRD TVSCHRSHPFDSSLANPEHGRPILDALRSIEPPAQALSTTAGSRFFEHDIMNELLSEV ENMELQYSSGTCSESSAVSSPTFSTQILAFPQPRVGQLLIQGPQGPTPSALVTSISVP RPPCPLSATSNEEQMPSKDNIVPWTLQTKPLPPINTSPLASPKGRLPAVTPTTPSRSK LVASSPRTIPDLSRLHITPPSGPRPLQKKHSSSPLSPISPGAYTTVSPTSTPCAPRAI APADEDHQLIHQHHPPAVQRRNATRLPQCPNSTPRAHRRGKSTTLEPTDTLYPVMQED QARDSPEGVDQKINGEAVEATTPAVVRRKLTELSEAILSSKIGLGDEVKLSIDPNTVK LTKVQKSWTISFETL L198_01479 MSEDQDNQSGGPMWSKFFEGLPGAGGDDDTASIVSASERPDTPS RSHLGHGRGLSSMTSPISEIMPNDSASAVDDGASDIGGKRGGAASSVAPPLPVDDGTY VFKFKTPSGRTHRFQARYDSFDLLRDIVAGKLVSDPFFSASSAPEGTEVHLPDPNVFA LHYNDDEGDLVTMTADGDVEDAVRIARNQKTDRVVLVVDGGKVWEAAAKDLGGEKAVE ALKAAAVEEAPTPVVATPAAAVDPEEAALVAPTANPATEPTYTSEKSAHPVHASQYQD HGRTVRSSDGQELVAGFLPKDMVLPAAIGFLGVVILGVFIAGKK L198_01480 MSTQKPLDTPPSSIRSQSSENASKPPPDARSVAFPSNSNAPSTR AESPSKLPRSVSFSVASRPKNAKQKSFSTAPGPPIPFPSPPVPLHSHVTSPSSSTINA GSGTTTPLSLPEPDGTRPRYHSSVSRTTIPRSQSSSFAGKLQSLRKKIESELSRKRPG SQNAQQVSGGGNKRQSKRALKGTVAGLRPSPALTVPEGMSVADASQLCAAKRADCVLV VDEEEGLSGIFTAKDLAFRVTAEGLDPRITTVSQIMTKNPMVTRDTTSATEALQLMVS RGFRHLPVCNEDGDVVGLLDITKVFHEALAKVERGSTATTQLSAALAGVQTELGPGMQ GNPQAAAMLAYVETLRERMALPDLTTVLDLRSSPPVVTPRTSVRDAARLMKERRTTAV CVMEANHGTSAISGVSSGGAPPKIAGIFTSKDVVLRVIAAGLDAQRCSVVRVMTPHPD TAPPTMVVQDALKKMHNGHYLNLPVVETDGRLIGIVDVLKLTYATLEQASYLISRQLD L198_01481 MGQWEIVEEKEYYDDLSTELELADDDDPPTLYKIGESFFHLPLR DARRQLKKDMKRYETDIEGLEARAEECEKGMKELKVHLYAKFGKQINLETTP L198_01482 MEELGDDLFGDESGEVGEGQGNVGEAWETVEQVSELGSSPPEQA IPVKETPVRPRLPSSIKKLNRLLSRQAKFELLEEELDEKFVRGRGPGGQAINKTNSSV SLTHIPTGIRVQSQPTRSREENRKIARKILSEKLAVLKATGKLPGMAPEEDGIEGVSV DMGGDGEEDENMSRKERRKKEEVKLSATYTKAEIRAAKERKRKADRAKKAKKKYGNGK RGQQEEEEGGEEGEGEQGLDKKD L198_01483 MPSRQVLILAVITPILLYLLLHRSSPQELPARAAFRQRLVAVGD LHGDIDNAKKVLRMAKVIDESSNWAAGTDILVQTGDIVDRGAHADQIYRLMQSLRGQA EGSGGRVVSILGNHEVMNAIGDWRYVTRDDIKNFGGSPARQQALSNEGWLGQEWLANY SVTALVPLSPHPSSPSLSFTHGSLRPSFPHLQPYPSAINALGHSLLSRALTPPLAPPY PPNPYSGLPKGHSQAEAELYAEGGPLWWRGLADREEAQVCEWAKELKEKTGVKRFIGG HTPNFEKIVARCDAGVIIIDTGISSAYGGVLSALEVVYTLTPIDEHSQDPLLLSTAVL KGRFLEKEEIHAVYQHGKKRLVVEEREVTLE L198_01484 MFSFLTKSTEEEPLMPNNAVGPAALGLPIFKKLKNRRVVLASAS PRRRDIFATAGIHPEIVPSTFEENLPHARFQGHLADYPISTGAEKAMEVYERLVKESP ENPPDLVISADTVVVFPPEKDTAEGGDAHGEVSEILEKPVNKDDQAKVLGLMSGRDCE VITGVSIVYPTIEYPGFKVHSISNSSLITFYDNSPQTIQAYVDSEEGLDRAGGFAIQG LGGILIEKVEGNYDNCVGFPSAPFWRWISELDADGTFDDAWDI L198_01485 MGSSSSKGIRKLPKSALPQSARGQRVPLPQQAPPPPIEEQPEEE SSGPIDYSRLKEEINRGQSAKGTAIDFSGEKDDALQRDAMDPQFLQNLHKLGPVEIKE AGKFIPADFQRTLSSRKDIYTSSSQLAPPGHLTLPFLVNLLDKLKTLPPGADPTPIYK QFGVEKEKMDVLRKWVNSVSVEDEDYVTVDEGNEIREMKSVWIGGLKK L198_01486 MGIHGHQVSLDPLPCDIHSTPPPSDPGSDNDTRSLDGGPIAHEI PRSPEGMEEPWEDRCQILMRENKLLKENCESWEDKCRAIESKQRYLAELSAICDAARL RDMKSELRNILDSIVREKEENMRALRELDRVRDDNLLESRKDIVPFPTSPHVASELPP LSRGISHRISNLFPPRRHSMSPYNLERGRSRVARKVRSVTGTGPPPLGKRVSVEQRLT IENWRQKAGESEEVVMQSPTSLTRPLSPMSGDEWQEVMIAAVHPSDRIDIARTQSSNT DIGPLPAVEGVVLETRKVLPVKARPVTKKYSSWLRRKVGK L198_01487 MGASIWGAIGLSAFYTVVWALNILGWRTARIRYAHPNLPSRLSQ RSPSATPGVTIIRPLCGLDQNLYNTLESVMKIQYPKFEVIFALQSEQDEALPVVRMIM EKYPDVDARVVINSIVVGVNPKVNNLMTPFRDATYDLLWVLDSTCSVVPDTLGRSIEA FFSNPISNSPLTTYDPEASPLLSIADDVRKPPVAGEVGLVHQVPLAVCYQKTWGSLIE QAYLNTTHSKMYLAINAVSIDSCVVGKSCIYSRDNISNLTSPAPSLRTLSNSPKGLEG FGPYLAEDNMIGLSLWHELKLKHAMTSDVVLDFLGALTVTDYINRRVRWLRVRKKMTP IVVTLLEPATESIVAGLYGSWAISTLLDGNMFPIFLVHMAIWLGIDLSTKAALETNVK HIGPPGSRVTFLMAWAARECLALPIWLMSMTSADVVWRGNKYRIVDSGKSWIFHRTIC L198_01488 MDKTNPWLTASDDPPPIPDTYPQLQRLDKSVVCQICKEPFQAPV SIACGHSFCSSCIRSSLDVQKKCPSCNEPASEGSIRRNRALEEIADAWEDCRPKLIEL TKPTMSRKRLASEGPSNVKRLKDMSGKAVNGSTSPCDTKRDSSEEVEVLELDESGESA APCPICQARLPIASIPTHIDKGCKIPKSKAGVGAIGNQKADWKKVFSGQSMKRDASKG KDAKEVEMKRITKPNYSLSSPADLRSILSEYSLPTTGDKSALITRLQEWIVLFNANLD TSHPSSISALRAKLAEAEASRKKDKDRGKDEVINQLGTKDGLMQYGIDKKAEFDKLRK DIMDRQKKSLRKGNGKDAPIELD L198_01489 MTSPGLLLLGFLPLLLLLVPWLVEPYALWDPAVLNVREGAEGRT TRWCNMGWWKETEDFSEAAESLAKKLLELASDAGYEGGGSVLVVHLSQPNPPSNLHAL TSLASDTQSSKSLVQSEHPKTASAVQYFTYSAEFRPGKDVGHPLDPMRGFLGEQSSQR FFNTDEDEDEDLLPHQENQPPTTGPPPYDLVYILDSAYHYPPSLSTFLSSLRPVLRET GLIVYTDILPPFNLPLWQAYILSYLLSVPTPNLADRPASLGAYKAELEGQGWVDVVVE DWTEGVLPGLSDNLRKRGGAWSLVGSVLNRATGAGWRFVGVRARKGTQGTPRVA L198_01490 MSTRQGRRSLGLPPLNPQTLENKKEPGFVEQQKFDDFRRRHREQ NREVIITNVEHKRLIKSLQDEITLLQNELLDVRREKLTVQAKVNKMERDNLRLRTPEV MRLLDILLQAAPALVNLRQTIAKLPTPPTNTAPSFAQPIMPFVGNPTATRPAASGKDR QGLGCWAEEEEGDWAEERRVTSRSRGRQTDVGSIAASRSPQRKAYPLSPARRSLSPSP KKASAIRLPIVPKRRRESGLLPPRPRSLSPEAVQTREEQPLEEPEEAAVEAVVAEEEG IEDEWEEGPVVEMKPDEALALVEEEDENEEDAGLPARKEQEQEKEKEKEKTPAQAGEG ETADITVAWGPSRLSTSSTEHVEAETAGRSRRARGSVVSYKEPSLNKKMRKPDGVQTE DAIKTLGNRHSVTPKKATPKKGKRDTRRLSSPVPMPDFSDRPSASSSASSRSASISSS QGMRRKSMLPKSNMGKGKARDDNQERVPSRSREELSDDYLDEYEAEANNDGDDLHQKF GKSLHIGSSSSSQSLASSAPSRHPDFETSKSRTRPTAQISSISLQPAPLNQHSPDHPS SIIASSGIGSRPSSVTARRPMNARSFTPTSATRPRDVLGEVGDNVEAKAAMAKEAKAR AVAGRRASALQ L198_01491 MADPTAFYEPEEDELLSQLAVPVQQYQPIGSETDEYRRLQELEQ AAYAQQTMLAQAQEQEMDQMAALELVPEDVKRFLVLFHKAILDNDLPTITTMYESGWN KLTQAHYSNNEWPEAELIAPLVQNDQVFLTLYRELYFRHVYAKLQPTIDDRFQSYENI CELFNYLLNSEGPVPLDLPIQWLWDMLDEFVYQFTNFSHWRSSPKAKNEEELELLAES PHIWSSYSVLNVLYSLVQKSQINEQLKAEKEGKSAEEVSEIAGEYGSKPLYRNLGYFS LICLLHVHVLLGDPTLALQTMEHVDLGGAAFLTRITACHVTTYYNVGCAYMALGRWPD AIKTFVSVLIFFIRMKQYHTRSYQYGSIAKTCERMYALLAICTTLSPGPSDENIMSIV KEHYGDQLSILQRGGAEALETFKDLYLQACPKYLNVNSPPYEDPTALAAWAENPPADA TQRQLDLFVRDVKSVAGVNGMRNLLKLYTSIDAAKLAAFSETKEGQEPDGEEEVLQQL MVLKNASSTYARGSGEGSLLDGERIVTNNLDFTIDQTMVHVEETTSHRRYAGFFIRNA EHAQRALTAIKSAPLPVRKSTSNASAPSSNPAGGASTIATKPDAPALAPAATPAASVE KKPGAWVPKSKQARVAA L198_01492 MFNHETDPVEATRPPPSPPNLAYLRSYSSPSHFNTSDFYTTQAP SYFDLDACHTTQPSILTRASSSEIYRHTPSPVTSPGSTTSSLSPTSTSGSSIYASSTQ SLLISRNKRQDDAEANWDEVKDMIGEDEKRAPSNRKVDVWSPWVACAIQILLSIIMVV FYTFPPGTKIRMWLLFSNAVVSIIAMIFGLITLDLRRVWKSKKVGPLDAFLFFAVVCN ALTLSFMLVGMFRGKVG L198_01493 MSTRDTTIRPPVTHLAYLRSYSSPSHFHSTTSYNAFARPRIKLH PRRTTQPHILARDAPPAPETHALSPVNSSTSSTSSNASSTRGLLSTERRHRRDDAEPN WQEVMEEEGEEFSGRGPWRRRAHEWNPWIAGCLQILLNVTMVALFSTPPDSRKWFLFA NAVGSVVAFVFGIITLEFRRIWKTKEVRPVDFFLFFAAVCNVVAISFMFVAVFRGYVG G L198_01494 MENIDIFGGAPSTTPKRPRAGTAIPSSSQVSIPGSQNVEDDPPA SQALEHNEHYATFRSDVVGVQYYRGLVGRGEYVMLRREPTNKYDSNAVQVVNAGGNQV GHIPRAVAMNLASLMDRNVISVEGRMAGQNLDGAKHYKMGLDLSIYLNRTMIPALEAE LRWVPGRYNPLPPQPIIQSQTGMHGGTGDSGSGLPGGDTAMQDLLQGLSKENVDIKQS DKVMDALTGDVDVSLLPLHPSPPGVLNNQLVVDLLPHQSQALQWMIGRENPKLPAAPE DPAVQFWVRQKGAGGRNDYWLNVATKTPQTETPVLGRGGIIADGMGLGKTLSTIALVL ATRGEPVGDKVSQATLIVCPLSVLSNWEKQIQDHVAPKQLTFYTYHGAAKALTAKKLE GYDIVLTTYQTVMAEAGDLLGNPDTPSAKKKKTTTPKGALALAKINWKRVVADEGHQL KNPKAKMTVGFTSLKAERRWVCTGTPIVNSPNDLGSLLSCLHICSPLNDPAYFRALLL RPLSRGDPAAHKLLQAVVSQILLRRTKDSKGADGKKVVELPELEFFKVGVTMDEETRA VYDEVMEHSRTEFEANMRSGEGNPANVLSMLTRMRQICLSLELVPQTFLDDIRKPPQV RDTGSATSIASLSPEKKQELIEKLKAYVADEIECGVCMDETEFAKDPSITDCGHPFCL PCITQVIKTRPTCPMDRHPLAQTSVLQLPPPTQDGDFDPSSQAKSIKSAKIDEVVKYL KLFPHHDKSLVFSQFTSFLDCIGTRFKEEGIKFVRFDGRMPAKERAEVIKKFQEPVKG DDDEDTPRVMLISLKSGAVGLNLTAASNVFLTDPWWQSAIESQAIDRAHRMGQKKKVR VFQLIAENSVESTVLDIQKRKDELVAKAFEKSTKEIKKTKKEARFEDMKEILGLK L198_01495 MSPRPFEGFLPPQYLPHASPNPHSFPQLQTQNPLPYQSAPAALN KSESFNVMSDHAPFPLYCPTPNLTPVYPSSRSTSCYSDGSICFTPQDVPSFFPEYSNV APKRRHTYLEDDSRSADTFAKPNTTSAFYGGEGSYMVPDTRIFSEPLEMSRHRHSIVP DSGDRTTSFEEAKTVPMGGMLRLAEEDEFEASPKRPKLATSPSSPDVPLIARRTRSVQ ACDPCRRRKARCIGRDPCERCAKSRRPCVFSPVHLTRRSSRPSATRRPSGLSTAAPIS TSPIGRHSLESPATSLSAGPSSRPPGGESPWLPISPQVPNSTGLGLQLHPAMTSASPS SSYSLTPNYSYYPGGPPGTEFPQGLARSGLGLGMSLGGPRGYESYDGGAGDDASWMGS GTTESSSARPHSSGDMGGAGQALWSY L198_01496 MSTLATADLSSDEEDVDFVPAAPKTKRPRKTKGKTKKAKSPGSA SDSSSCSSSDGESGDEEEGDEEERIAKKAKLEEKEKDEAEERRRKAREEFEKMKAEAA GPAEVRQAEEEMVGIKRPRWFAGETILETVKLRASDPEAIAYFEKLQNGDEKPVLPAE ESVTKPETPLAETSASPVPPAPQAPKPKGPPRRKRQTLEQMSAALDKGKKMTTLEKSQ MDWKSHTSSSTKMEDELAANRRSGGYLANRDFLDRVGERKSETYDQQGTRKR L198_01497 MAPTPQTPTAIHTYPASLSKASHGPSRPPRTYPTPSIDSDIASP PDALAPLHEYSSLQELLQKAGYKDTRVYTPEAEKIRRNIKKTLDENEEEVDALYGTYG FDRGVQHAHQNVTEPMMPMKRSTSILRSLAVQAAARSAASVGSNGPDQSWWAAWGKNP RMSPPEPSTEVGLSLARNGDGVRKIKSTWELESGRTRRAETDSPLQEERPPLPNCRQV SSPQEMTSAIGYTPTKFTTNPLDKSIFSSPPPPPALPDDAFGYCPLPDDYEAQCAEDE ALYAMGANDYDAYSLGSGSATPSIRSSFSGHSRTPSDDRAEREIRQFQDEMDGELNEV NDVGQRLLAGAVEYDGESEDEEEQEPIRTWSLPKVMVETPSSGEAPTIPMPSPPKPVE APRETPVEEVKKPLKYGDRAAKIRLAHSTPNLKKAETAPPALPSGWLGSIRSALLGPT PSTSSQIKARPPPSLRVSTAAPAQPRLVTASPVLCDSVSTDAEDLPPVPSPTEGSSLL RYRPSLAKLRQTFLGNASAVDGDALVLSPRLDWKQQGEEFAGWSPMRKTGKAEAEVAE STEDGEIDWSKSFFYKPSTPPKARAGEPETPKAKQSSSVSTGTVRGPRKQRSIKSLQA ALLLPVAPTPAPPVPAIPSHLLSTPRRIPQLAIQSPQATSPRELVLDGDEFYPQSLPD SSKRGRGSSKRGNGVRRRKSKKKSGLREGAD L198_01498 MDAPVASSSRHPAPPTQEAVDAYANVSFEAASQAQILRAHQRDT AQVYRLTELVSEILRSLAGTRWLAHKQTLVDLLVRGVYLTLTFGRGSQTLGEEYTDIL PYSTRRRNLPSRRSRSLTILLLLLPTLLVSPASTNYIRPAPVQRPDSGPESRWTRKRE KLAKFLESPVGKALPELHMILFLFRGRFFEFARRLTGISYISTLPPRRPEQRQASYEP LGLLMLLPFLYRLLPRRQPEDQSAILPQASRGTLAGDSEESPILAEPVGAPKTAEQTV LMSSSAEYDKPNTYLTQKAIALPERQCTLCLEPRGTGEGSGGTVAVTECGHIFCWGCL GGLEKVRLDMRGRAVLTPGIAGMSAVSAIAENGETHSRI L198_01499 MTFTDYPTFSNSPFFYDPRNPIVPETEEGHVRAHSNPTVAYYHP KNVGNYHYGERHPMRPHRLELTNQLVLSYGLHEKMSYHAPRAATEEELLDFHEADYVE FLKRVTPKNAQNLTKDWTKFNVGDDCPVFHDLFSFCKQYAGGSLAAARKLSSGSADIA INWSGGLHHAKKGEASGFCYVNDIVLGILELLRVHPRVLYIDIDIHHGDGVQEAFYLS NRVLTVSFHKYAADFFPNTGNLSEIGSDLGKYFCLNVPLQDGIDDESYISLFKAVMEP TITIFSPSAIVLQCGADSLGCDRLGTFNLSIAAHGECVRFIKSFGLPLLTLGGGGYRQ SSVSRCWTYETGVLAGVQLSNDLPPSNYYEFFGPDYNLHPPLTGKIQNLNTPKSLERI RISIREKLRYLGGAPSVQMQEIPPDLQGLLADAEKNGGERMDERAEERREGKGKETDW LAREVGGSGDGMGSGRYFGGARRKT L198_01500 MLPSTVAQQGDLARILSKRKRRRAHTCSSSSTPQESQENAWKSA PTSGAMPHSLFFALLFSLLAIVSAAQPCVRFADYDSINQMFIDGGPGAKVLLCPNRLY RLSGPIVFTAADQELATYGYPTGAERAVLRVEGRDSATAIQGDCRRCARVSVKNVVVD GNRKKLGRMKDVGLATGLVVLGGNEGQSVQESWIKHPRGFTAIHIREGDKLQCTGAVI EKNEIGPVGEEFDPEKDGDDPEMSPLGRPLADGVSIACRDSFVRDNTFYDNTDAAVVV YCSPGTLIHANHIFARGLSAMAGILLVDSTPFDGDYSGVVIKSNIIDAASRTIRVGIG IGPTVWSDDTETILTGGSVIGNGLKGRYMGYGIAAAGLKKWTVKDNWDEAKHEGRKSA RCFDEPENPDPMGLLYNAPTIEDSTFQVGFADHDFQYLVCIDGIYDKSNPPKHDLPPL PHDLGSPSENERDAEDEVDDSTGEPESGTEEPETAGGHMFATGSDMMDDILEHSHQRM MEAIDHLNRRVDVLGSKVQSEGGEPGSAAALDPQMSSHLEKLQRRMEHLQFNQKAHAE AANQLRTAIQSWDTETASVMDWQYDILLDVRHKLELSIQPADQAFDLDALREASFRAG GIPEDDSVHQVDDHSHPGIEGESLRQLSHRARYGVDGGDEWTLLGVVKLILVSASVLA VGWAARRWRKRSTHGKLL L198_01501 MSDHMLLDTVDLDLVVKVLSKTIFSPMFVVFLPLTLLSQVGSSH PAFLATCVWTAIICFFALWQHVDRIYSNQATWLLAPPKLKWEDQVVLITGGGSGIGAL LAETLAMRNVSVVVLTKYPPQYETINENIHTYICDVSDFKTVQTVAERVRGEVGDPTV IVNNAGIVTGKLLLDLTEEDVQSTFGCNTLANFWVLKAFLPAMLRKGQGHIFTISSLL GLLGAAQMSDYCASKAALISLHQTLRFELDNRYKTPAIRTTLVLPAYILTSLFERIRF PPGRLFDFFCPPVPPHAIVKQIISALDENESRVIRLPFYTNLARFSGEGVGLVPAWMR DLMQWLLGADHVMKGYGPSPDAGERLEVERSQQQEGHGVDIVNEKL L198_01502 MSEAAQELSTASLTSTVITQTLAPLPDLDTITTSFHLVTSGQAE DASQIKEAIGLGLEKALQVLGDVLAVAEGSAEEDVKGIVGEREAAVEAYLGLDEMRRR LDTWELLQPSPVASPIIEKSVGLDEGEKEKEGEEEIELDDPWGEDEGEERTTILDDPW AEQSPEISTKEVSGADEEEESEQSEIESLPLSLFQFLTQPLPLSALELASAVALGALQ TICQRHWQELYPYRFCILEAVPGWVQPSELLEVDLLPKLGAEDVETWVTPLEADEALP PPPAIIKSLYIPLSLSATPVSLPSRPTPMSSEDLAGWYTSHTLSLDSLGLLDIQLAWV QHGASLGVPSLDSLGEDLSLLSRLVYDGNLTHEQHARWNLASWRSAQEDDIVNAYLSG SVPGSIAGDIRSLLMPYLYVLESRAERAGKPSAKLVEDYLNSAILSLPLHLALPVFEA SKATIPTAERIIKNDLEVARLALACLYGSQERGSVVWGSMSSIFECLPVWELTGADAA DEELTSTTIDSISAFVRPSASATEPPTKKDLLIFFRPLPFASLSRALDILDVQLESGE ILARWGVEQRLGELLGVTGDKKEQTDLANKLVRQGGSSLAGAREEGWRRLWDDMQRLA SGEGLLKGALGTLTLQERGRIYLGGLLSSGNFDITRKMIKRLQGDGAVDAVIIEKVVL EASREFYISAKSGNLHSGNMKLAYDCLLVAPSSKAITSEREYIEATSRLSSFSSFNIT PSQIRATTEPLTLISAVLCGSSSDAYRYPDLMLDLASKLGCRSQVEKGLVWGMVGRSA VENEDWETGKGAVESMATVAQERVIKTKGKGKAAGKDLATADPEAKLIAETYTLAHLI ASRPDFSDIPTKREFISIALELCPSEAIPSILETFRQVESGQAKLDQAAKRRRLEGIE APKLPYEASALGVSEEERVLGSRTAAKAAKLALDIGGRLTNRQLPSPSLLSAATLSSP FGSNALLRSSSRDSRVESDGGSDAGYSVGTPGSTGTRELFDHLGREEAERVRKGARRA LVRGVGWLLGADENEISGADEE L198_01503 MATSSLPLPHNNASLHQQRSPIARTHSAYASNPFPPVPTHAPSL PMAQPQTYYQQPAGFDFGPQGHHAHVGGSHGPHAGPSHQPAANNALVSEAGQSTSARP RPPPSSATMPLIPSSALPHDPSYSSHQPSHASQSQSQPSRAANRRSHNPGATLSQPLM PRPEELTDEYVLHPSVYSFKQEYPRRSMVGLGPYIVLQTLGEGEFGKVKLGVHADYGV EVAIKLIRRGDLQEEAHASKVEREINVLKTLKHPNIVRMFDVLDTHKYIGIVLEFAGG GELFEYILANEYLKDVEGQRLFAQLISGVDYLHQKGVIHRDLKLENLLLDKHRNLIIT DFGFANSFSKEEGDLMSTSCGSPCYAAPELVVLDTPYHGSAVDIWSCGVILFAMVAGY LPYDDDPDNPDAGNVVDLYRYIMNTELHYPEHVSPLGKNLLQHMLILHPEHRIKIPDI MTHPWLKNHRHMFNKSVEECEAAFQEAMYKKSKQARKELQERRRVQMQAKEAMQAREK AFQRSQSSAPGTTITAAALDQGRRRPHSAMPGAPTMPEIIAKHSAPIADAHVPARSAT PSSIRAPVPAPPSEAVPIAIDSPRRRSESMAPGLSSTAPAVVSPITAEAQPLSANAPS QDTTLPPTAVAPVHPQVAPRPSMRENKNRHTIQVEYDGEASYDKMQEMGSEERRIEGS SADTSEGMLAPPPLPNLKQGDSSDVEMESGSSDNEHNKTPEMVESKKPEAAVVVPTTV PLAPPAETGVSAPSAPSADSQATSTVTTPAEQRSDPLSQAVSPSTPRASTFADKEAAN TTPRGPKTTAAPAPNTPKVSLAQEPHRRHGSMPPALSTTVPEPKANPQLNASGLPKPP PAAARKDRSRKGMSLDKFGLSKLLGHASQASSSAQGEGRMAPPSASASAVALQTQLQQ HGKQDRPVAETDSVKKSRRRTLQLSFHNRRDSKAPSNIAVPGTPLGDKDPNSDNRMPA SAGPRDPIKDLRRKTIQLGFNRQTTREIKPLPSAVPVSAPPYTESHPDLDPPQTPRDA IINQDEHPAQSSPSIITIDPYAAQPTYPNHRGSSSKASKVMDWFRRKTLTRDTIDELR SPGIKSDSQSSFVRVGDAASPQRRNEGSNLAMASYDSVNRTEENVGASSPLVEKREPE AGSAEEPEEESTAAAAAPSVTVTPPRPSTSKAPTINSDHQSPLIGRARSASTYTFNET SIRVHTGLVDQSALTTKPPQDVFKEVMQVLRGMGVDMKRESDFKLRCTRAKKRAAGAP PPTGLGSVMSTGSGMNPFSLMNNASSGKTDARGLPLPTSPSFSNRSSAGIKGLLRRGS SKSSAHPARISRGEFDTGTTPSQSTPNLELPELTARPKPEPLYGKELMDAGDEVKFTV ELCKMKNLPGLYILKIKRTKGNLWSFKFIYQTVIERTTTLTH L198_01504 MFTPDPYSLQARRHIPYLSFHKSLIRTICRPQQDDLLIIAKGLG LRRVVCALLKTYDRREDLVLVVGATPADEAGVGDELGIMGVRDPGFKVVGYEMHAKER EEMYRHGGLFSVTSKILVNDLLKGTLPCKLITGLVILHAERISHGSQEEFAVRLYRRE NQSGFCKAFSDEPEIFAHGMSPLKDMLVNLNMNSVLIWPRFNEAVKMDLSSRRADVVE MYIPMTDLMRQCQDAVTECMEAMLVELKRDHSLNLDLEDINVRNAQFKSFDTIVRMKL KPVWHKVGAKTKIHVAALAELRNLHTWLLEYDSATFASYINTLQRQHYNAEKVTTGPA RHVHDWFNAKSAATLVEASQGRLSRKKLEMDNNPQEDEGEFEEEEAVMREEERRERER REGDSVMRDDDEEDIMEVFATQTQVVPQSRSNRDDNGDEEQLIIEDDENADETLRSAE GPPPPVFRPVMVGVQDDLRASVKKRLRKGHEAVLEEQPKWGVLGKVLKEIEDTIARVS DTHADAPGTNITLIMTSSDRTCLQLRQYLTTMAKTDPPFGPNAGKRMMESLFLSNWQH EKNGQRLDGKAGSKAGMRMHRSGDDEVNARGDIEKQRSEEARRAERGRGRGVPSYKRR RQRGGSVAPAPRLADMERSRAGQDPASALLTSSGIIDEDDLQPLPPGTLPSDPVLGAD SASYGLMPENFEEEYGLIAPEDAVVIRPYGGEDDDILLQELRPRFVVLYEPNLAFIRR LEVYKNCNPGLSLRVYQMIYTNSFEEDRFLSTIQREAEAFKKLIDDRQSMVIPIYNNN PRAPMRDTFTRSKTTYSSRNAGGGEGASESRIIVDLREIGALLPSLIDAAGIKVIPCT LTVGDYILSPKMCVERKSLADLEGSLNNGRLHTQCEQMTAHYETCILLIEFDEDKFGM RTKEDSRREAAGRPSNNTPNTNPDEPWRQDTFYIQAKLVLLSLHFPKLKIIWSSSPQE TVRILSDLKLNHDEPDEATAMLKGSSEGEQGGALKSGIENAAAVEMLRCIPGVSGGNL RYVMSKVESIGEMVRMSRKQLKEVLGEEGGDKAYTFLHHDARFS L198_01505 MTALNFQVASQVAATAPPPIPKAQAWGRQFPASESTPLLDMSQG VPRAAPHSSVLESLKQASSDPQAARYGPILGEPALREALALETRVLYRLPEDGDQVQA EDIGVVTGCNMAFLSLLMTLCPPGKSEVLLPLPAYFNQAMSLSLQDVKPVYIPCDPEN KFKASLNKAREHLEGVKKEAGRERQARMIVLVSPNNPTGTVYTHREIKEWYELAKEHH LALVLDETYRDFVEDGEDQGKRGQPHALFEEPDWRGTLVSLGSFSKGYRIPGHRLGTI TASPELLKHITTICDCMQICAPRPPQLALAPLLPSLRPDLLSSSSQLAHRRRLFHSTV EAAPGWSVIASGGFFAYAQFPQEYIHASSVLGLKRKRLGSEDVARILATKCGVVTLPG SFFMPTVGDDEAWDEVVGGEVVREDRWLRFAVANVEDEVVISLGPRLRQMNKLMGVAE EELDQ L198_01506 MEDIIATLIIVTAVYFAIRWLIGGKSSGTAEGGIRGVTPAMVET VHSAFPDIPVPNIIYHLSRTKSAQSTSEEILERGFLAAPPPTFNMPASLLPTTTPPAQ VSNTAQPQNTKTASAIKAQSLIDRYNLSSRVPSLKGKEKAVEENQLAETSANAASKWE DSKEKREMGLKERKEKMILEARRRMLEKQAKEKEGVAV L198_01507 MSNNTQSFDLRAEEDAARRLNVGRVLPTSGSASTSRAKVSEIVG RAFLRQKADAISKNHGVKFDDDATLYLTSAIESSLRSLLELTRTAQIHRTSSSHLHPP PLSEPPSKSAPPSASPKPLWSHSLTSHPASVLDALNRQNRDAEQEFRKQRMDRLARDA ELQKARERRERAEAYARDNGLATPSGVDGNVAGSGDGSPAQSSGSSPSTPAPGTPTAS GTKKKPPKKSTPSASTPASGSGSSRDLSAEVQHKMTNLTAMRSAGVGKKYNWMMGNAP SVSSPLAGKKRKAEGAAGGAEKGKGKSKLGMTGGAEDDKDEEEGRKAKKPRGIPIPVR RMVNVLAPPPTPGQSHNPYSSNPLQSQQTSGQGAGSSRQVEDDKALTLTDLVFALEHG GLGAAGGQGWGSTTGDALQGVWARGGP L198_01508 MSTPPPDQVPAEGAQGADAPVEEKKLYTLETLAEHNDRESLWML LHDKVYDITAFMDEHPGGDEVLIEEAGRDATEAFEDVGHSDEARDMLLKMYLGEFQGE KKDKSKGSAAGTSTDSSEGTFPMWTLPLAASIAFLAWRIFLA L198_01509 MTLATTPEHPWAPTKSGKKVASVPHGTKKAAKKVVNPIFEKKSK TFGIGGDLPPKRDLTRFVKWPEYVRLQRQKVILNQRLKVPPAIAQFSNTLDKNTATQL FQLLNKYKPESTQEKKARLLSEAEAREKKGDKATTTDSKKPIFAKYGLNHVVALVEAK KAQLVVIADDVDPIELVVFLPALCRKMGVPYVIVKGKARLGLITGKKTAATVAITEVR SEDQQALATLVSAAKANYLDKYEEARKHWGGGVRGNKSINKLQKRAKALGQDAKKIDL SL L198_01510 MPHPQQVRDIKKFLEIARRKDATLARIKKTAIKPSATVAQSKAK AAKAPTHVTKFKIRCSKYLYTLVLDDAEKAEKLRQSLPPGLKVEDLSVKAAKK L198_01511 MDPLSNWTFTQGFIFGQASFLLILLLFVRYIVFSPSDQVDHDAW RKRRLEQHASSAAAIKASTSSHTPPPPASLLSKTKYDMSVHAPESADWLNVLLGQVVQ GYRNDLLSDGGEEGARLRVERWLNPKGKQLSWLDPIEVTKISLGSSFPLLSNARIRPA DGHGRLRAEVDVDYLDSMSMSLSTAVLINFPRPRFAVLPVSLGVELVSIGGTMSVQLH DPTGDQQHLHTSLLPDFHLHLKTTSLLGSRAKLQDIPKLEQLIVSRLRSLVQDKFVSP NYISVGLPKVLAGSGSNEEEQGVDGDEGAGQLLDDLGEGAVGAMKEAVGEGMKRMVED FMPSTSPLLELREENLVQEPEQYGTTTSAFGPGTPLIQPPGTFPHTPGTHQLRQGGNP FFPALPQTPTGSGRRPQLHPSQSQSQSHLNPPSALQSQFQGQQGLTSQSLYQASQFQR PERPGVVHRQSSGLSGAASSSLAPSQSQSQFRFRGQFASKG L198_01512 MYPNPERTHHLSSPFTPTPLTLPPNTPGYPFSSPGLLIWDLQEI AANNCAEAIKQFNNTHYKALLFGSGGRGWGKVGAGGGAGKTKEGSQEELLLLLSKHVN ALGHSAARNGVGMSCVCWITELYAYIAEILCGSVNLYLAPGSPLPQFILVRLAELVHE GEAILNGLEEINFAALKPRGLNEDWKAVDLERKLVYLIRKLGSLAHHWGSAFEQSSQP PKEDDVLYAWEVEITGRWDSETDYAHTQQKDLIVQEEAEKKEREAKEAEEKREREAKE AENKREQEAKEAQDNKEREAQKAEKKKETEAAEAEERERAEEHEVVDAEEAERRRQAS LKTQVRDEPVVAEGSGPGPAAPAQVTKPHVIAKPHDLPSSAAAGVRKQKSDASSQRKK SSKAKKDVGSACCCQ L198_01513 MPAVNNNLPESAQPSPFLSGGVPPPSSPASHCSVDLPLVACLDD LDGHLAKKQKNPERPAEEVTRLCDAVIALLAHLNNQGQTLLAADGADECGGVRRQSTN YGLISLG L198_01514 MSDQAVQPTRRNLRSQGDAPLQGSAPADHSSSPLTPLLPSEPHV PTSPVVDGAAPHSLQSEVDNGTSQSDPPLSVDHTPQTAPHDLVHGTHSSAPTVDFLMQ QNRFLMSSMADMRDEMRSLHLSQSREATPVSPPPRRPHAPKLKHEMFPKFRGHDDEDV DTWVTSVTAIFEFSGTADTDLLLLLPALLQGSAQ L198_01515 MWRSRYNEPEEPFPGKISKTEAAKKEHILMIQDKSYTERAIGAL KVLYSNLSDVSADLQAKIDEDIASSAAHNKEREIHKERLHAEIAKWEDIKAKAGDSFP GADTLNQAGSDSSEMTAADLEALNKACYLARDTLIMAAKDILQVLEEDEQRGAPSSSS TSRQKGTERGGSH L198_01516 MAHISTPADSPSNAVAHDDAAPSPTPPSSPPLSPLEPAETAHGE RLRRSLLVHSIVDTILRLQDRVKRLDTPSSDTSIFTSAEFRHRAEEMTRLGKDDHSEP ELSSDLDIIYSISHLLHLANDLDGIEESIQQQQHATSSSSLRQKVMTGANSRMHLKMA KEAREGMRHAFDEYDSRVLAEQRDERDSHVATQVAELYRVIVTDQGLQYSSDDIRLPK PWSLNKSTFAPPEEERRKMSPDELLLQADKLDDEAKTTLTKERVLKEKIERRRSFLNK QFMEADALAKLMREEAEKKKVVKTGWLMW L198_01517 MIIRLGAHKWLMTLNLEESTPLDSSFLDQLLASLVLIEGDLDLI SPGKRWRGARDREIRQVKAREARENLREAFDKFEKVSSRQEF L198_01518 MFARLAFARSIHTTPRLFSATETIEASLRNALKAAMKSKDKPAA ACLKSILSDVTNAAKSGPNPNEPATQESIISVLRKGLTQRTQAAESYAPSSPSAHPEN HASLTKEISLIRSFLPAAPSEEAVQAAVTKIIQALPEDVRSSKGAAGKVLQTLWEELG DGKAAVDKKAVGKWVQDSLKK L198_01519 MSTSNPQALASPSSQYSSPPRLLYSGPVPNRDRRASPQPRRGYS PSWPVDSSSPPPLPEWRARRHVPGAFKHYDHHYQEPSRQIQSQMGSDGRPGWRNVISS NDDFPGAYRRLRSPSRGREHFKKDPPVVNLPPDDYLEFAKPTSENKDTLISDKIIPKL LVLDLNGALVYRRRSSGSTPLPRPYLCCFLEYLFLPEPDHADGPRPWEVFVWSSAQPH NVRAMVEACFGQKWIEGIWEDQTETSVDAMKGGEGRLIGVWARDKMGLSGQDYSRKVQ TTKNLNLVIDHLNQTTHFNQPLSFSQKTTVLLDDSPLKAVFQPWSQIVIPEFDEQVNL TSRLAAGINPNSRATPDKETAEESQGEMDEVLLAVVGILDELRYVSNVPFWVRSGGLD LALNVDGVEEPTVETLPSHETFQHWYKDKELHAKWVEKGKEALKRKGIEVRHGLVGNA ARSPGSPREPRSPRQPYPEPRPTQSDISLSSATSDMPFDKEESAFARRKRLAEERATK EAAGIPLTQKEKKEAKKALSKAHHLFLIGQSLAKSQRAMGDPIHLTGTILPDVSITHT TTLSGDRERFLLVSLLQPTTTQSHIAERGIGRPLTNIISHHPLPLTFLHKGKATTDTT RMDPLYPARGHRQKGTTLIDATGEIIDRLLQMIPCL L198_01520 MQQPPFLWGAPPPIMNYPLFMPQQQQYPPARYNQPQSPSSDPQV SDSPQRIQIPTNEAKVALSLQGILKSFLDDTWHPDPMAIGIILLALNLIKGLVPDGMM KRLKTSWDLFWPPWQDRSAARGEPAKGKSIPASAEDERKRPSSSDRDTRPPAAPDKAK DAKTTSQSAEPAKETSPLASTEKVAPADTRKESPSEKPKEKSRERPEGSNKEEVKKER SEGSTRERSKERPEGSTEERPEVSTKERPEGSTKERPEQESKEKSKAPSGSTDPTASN KSGDKPKNEVKKASAEPAKKPEDGSIAKQIKDKERETSKDDKEMSQEKPKDKSRSSPE SRTPDKKGSESESEKAQKLKEDKALDPVKEVDKGKTRMEGERPHDKTPSKADKPPSDD TPQSPSSSASDTNDALMSGDYRPLKSALKKSKKKPKQTNNIHHNFFMTMRGFRPALIP FPHGFHPKPHYPRNTLWWNNVPKNADHIMAAPKVAKEPDKGDTDDGKEEASKGTPKEP QKEKKDEKKDEKPKPASSKPSSDAKDDGKSKEKELARAKAEAEAKAKAKKEAELKAAK SGSSSSSSAAVSKPSASASAAATAKPKSIPLNPELEKATYITQGLLCVLLYYLHQQLA FLLVAFFAWQYIDKQYTSLRPTEPPKTAVTSSSSSKPPPNSSTKPFSASSTDIDPAMK AKLDEARKSREAKERPVPKEDPASSSKRSDSSKAQEDLEKLEILIKKLKSRDDATDDT KERIKSAIMQRDALVARIQKENERPRGDAEKETKARPEEPSGHSTKGEESAETQLLEK LKAAEMYAKKYQAVESPTDEHRERLRKAEELRKELKAKLAELRKSGASGKTVRAQEAK AGADSSYTDASKGKNKVGSEEEGRDSKGKIKIQDPASSSPDKKDLETSLKEMDLYVRK YRAIDNPDADQKDNLTRAEAKRNSIRKQLLRVFERSTKDPSSVRAVSGDTGKGDTAHH DGPGKKLRQMRDEIKQIEAYIIKHRKLDNLTEEQKTKVASAEKKRKAMKAEASLLEKQ LVNIGSSGLTADEKAVIPQSP L198_01521 MSAWTGSNFGALTRSRTRNWSQPPAPKITQHSASLPPFLSPQRM SSSISSSSDVEAILKSKRELQEKPTNDVLQPVYRLLVPQVDEGSTSTSTHEDHWYCSK AASPLHREAATYLIFLFAFQRQGTSKLWVEKVEMILESCEQCARGFGAARRRMESKYL SDWPLHVRKNFFGTVDRWQSGFIAAQVKKATDPPYGKEPPTGPSLYALGRPALQVLMG EPSLLSHSNIATLIDDALSTPPPTSLSALGMTPVHARILSSTEVSKREWCLAQLPAAA RRPLSFTEWCSLGIGSDLQDLYNDGSLDGEARWTVMKTVIDSKVLDKETVERGLLKGQ LEDEPHGRTGRGLMPVLSGLISSDIPYLSSVLSCFHSLLHSTPTHLIWAFDTSPDLPH TLLSELRANPALQSLLEARFKTENAEIEDTAPSSTDKGKGKARQDGPLDWMGDFLLSV LDSDKNRSSPAKGSLAFTEALAIAMNMVFQEFQHPRLPEGLQASAAYAGFDALIRVYQ AVGPGNTPYNTAISSVLDLHSGFITTVAFRPQHQSLTAWSETRSAAREVLMTFFSADA TSTINSVIALAIISYDERKRLQRKKREKSENAPPPRYIDKLPQAVVRKDLWAMAYDAL SPTDSEGAAILLKSLVPFAHIELLDRQASWTHHGLEEVIKKDDWVTAIRSINAAIRST RESFPRVIESLASQADPRVVESLWKIEGIPKTIIILLLSPDDDIHTPVISIIQQSFED VDDRGDCFRALLKTYPNETVDGLSDFLRTFFEAANVTPESCSLAKWLVRCFHDVLEVL CGPSELSVPLLQSDSFLSSYSNGRAMTKRLEELWHLMTTSLALIFKRTLDWAPHFENE VMVDWMRDALIFGRQMTDHIRAFEAAVLGTNARSSGTLSESTARTSLVGKKMTKQLEL ILADLILWLRLTDVETLFQTHQLIKTILGRINKTSTDLSKNPTLERTLVDIDKFCRKT TRSFTSRLTDDSLSELSELLEPFNLAEDEPEIQFVRETKQPSSGTKDAKPAALRNAFS EMMKASGSKSASSSRASTPSEKRKESAGKRKDTGDEFDDEDDFFDLLSPLDLDLIEKR AVMKNKVSQTSSKLVPSSLQSSARALPPSHKIHVDLRSKYPPPKPASSSAFKSKFMKD LRKEHAASVHERKTDLGQSAPRLPIASVLGSGLGAYTGPPKARVVEPADSGSSASESS DDERSALKNLAAKQKSPARPARPAPEKRSIKVLADPMNDVLRRNEDRRAKAHATKMRL KPDYNPLYRYVLSWDPDHAGPLPPHGAQFAAALSKLQPVPSSFRDAKHYEQIMLPLYL QELWAQCAKDQAQTGPAVEAEIMSRQYEDDFVDIEFMVSGRGDFWCNDSDLVTLRQPG NPRGIFAKVMLFKKQMKASSIRARIMASMDVKELCGKSKWQIRKHFSLGTAIREFGAL KGLPWYESTLLTEAMAGRSARLPQPSFNDIEDAMQSFGLNQPQARAVVSSMQGKGFAL IQGPPGTGKTKTISGLVGKWLSERSIPISTNGQPVVKPKLLVCAPSNAAIDEVCKRLI LGVPNPEGGTFSPNIVRVGIDTSVNIAVKDVSLDSLVEAKINTNSNTQAGGDYARIQT ELDDVKQQIKDKQEAIRLAEGHDEKRGTLENEYHALITRRTQLGQASSKAKDAARDST RHLDGARRAARERVMNDADIVCATLSGAGHDTLSAYTFGTVIIDEAAQAIEMSCLIPL KYGCTRCVMVGDPNQLPPTTFSTNAEKLNYNESLFVRLSKRNAESVQLLSIQYRMNPF ISELPSKVFYNGQLRDGPDMAKKTAAIWHERNVFGPYRFFNIQGTEKKVGTSTKNTEE AMAAVELYGRLNADFGTRINLAMRVGVISMYKEQLRELKKQFIEAFGPAIVELVEFNT VDGFQGQEKDIIILSCVRSGPDLRHIGFLRDVRRMNVALTRAKSSLFVFGNGPTLERS DDRWKIIVQDARERGFFIDYTPTIFSETIHQLPPPPKHKKRSSVSKTIPSLSKRAEAS PPALLPPKALAAEISGSPQARKRVAGNDSGPDKKKRRKSSDAGSPTSTTRPWTKPEPT PMRELPKPSTSSGSDKPHKLHKVVGTTGTSSMNRPQQLTKPRPTPPTAPEDVLFIKKK KKVRRFCVSS L198_01522 MPDQTPHPSLETLTESLILAILPDLEPPSSPYCKRVHDTLLSRT LSQINSDNLGGARKEWPEVSQSLRGLAEAARIRVHEDLADGYEKAVNDLQGRFRQFRQ GWEEDAPVRMENLPQHIHLLLALSEKPTTLTLDFAHQYIHRTPVTGPTPDQLYYQRIM DENPYDPGESYDEEVIHGWTDSDSDQSLQSLSDAEDSPREEEIRTPSSSASKTQKKKN EEASSDDAQEAYARARNIVRELKHGYWSNQESVQKMPQGIHGWRQLCTATNAASISAS IDLPASGKVCACQVYAAVSDCQQLIKPSQLQRELIYALSGRPGIVLNFDAQGECQVIA GHPQVLMLTPGGLSGILNTFRQHATQASRIRLFISRSLMPGISESQVSKTQQAFAEAS RDIMEGFASWLADLETAFTKGVPEISGLPSTAATPLRLQRDLERLYGSLLQHLSAFLP HSHSPTLLLNLMYTTITNLQQTNDTKHLPALRRLFLRSAEPSWQMIGRWLQHGMPVPL ALADPEQVALSTLSLDDGERKLDPEFFIERDRDVSWADEDFWECGFVVGDEGWPAWIG DETGEMLLEAGKARGLLKTLSDSRDMTTADQWLSFEEVLSLPDGKASDEKDVDILGKI GEYLQPTCELARLHLRRVLDEDCGLELHLEAIEGVFFAKAVDVLHDWTDVLFQKVHQG ETWTDFQVLTSSMRTAIEDRKASWLNPMAIRITTARSQGVYRGPRAIESLRADYFVPF PLSQLFTPTSIGLRSEVFTFILQLSMGRWSLVQTQQLDRDIVSTRLAGGSDQDARALW SMRQKIAWFLNTLYAWVTEHVIEGQSVGYRARLEEMTSLKSMVALELEHVRKVRDYCF LNPASADLLEAIQTVLELSTSLSDCFASYTLQKTPRSTPQNVTKRRRARPMQRDASSD EEDHFQSVDGDGNRVGEIPLTEGSIAMRLRRMSGEIEQCVNALKEGVERLSIEREGDV WSILSFGLDSWKASSVE L198_01523 MWLTRTQNPLLAASSAAQNKEQEEDQLSDYVPNSRDLSVSRAPS VITSEEPRHLNTAPQSPEKDGEEEQEEDDELGDDDLDEDELADGKKVTPSVAKRAAQA NAKKAQIAERKAQHAEISKKRDAIEQAQFADSMKRFNYLLGQTELFQHFIDLKKSREP EFARMLDEQLAKTSGKGKKKANDARHRKSEKEEDEELLKNGDDEEQPFVFEESPAYVK GGKMRDYQVQGLNWMVSLHHNGINGILADEMGLGKTLQTVSFIGYLKFHCATAGPHLI IVPKSTLDNWAREVAKWVPGFNTLVLQGSKEDRADLIAERVLTQDFDVLITSYEMCLR EKSTLKRFSWEYIIIDEAHRIKNVDSLLSQIIRTFVSRGRLLITGTPLQNNLQELWAL LNFILPDVFSSSEDFDAWFKTKDESDPDAVVKQLHKVLRPFLLRRVKADVEHSLLPKK EINLYVGMTEMQRKWYKSLLEKDIDAVNGMTGKKEGKTRLLNIVMQLRKCCNHPYLFD GAEPGPPFTTDQHLIDNAGKMVILDKLLTSMKAKGSRVLIFSQMSRMLDILEDYCQFK NHQYCRIDGGTAHEDRIAAIDEYNAPDSEKFVFLLTTRAGGLGINLVTADIVVLFDSD WNPQADLQAMDRAHRIGQTKQVYVFRFVTQDAVEERILDRATQKLKLDQLVIQEGRAQ QVAKAGQGKDDLLDMIQHGAEKIINNDQSMLIDDDIDEIIKRGEGKTKEINSKYAGLD LDALNNFKSESLVSTWEGEDYSNKQQKRPLLWIEPSKRERKTNYSVDQYYRDSLKTGG PKADKPKVARAPKQVIVNDFQFYPPRLIELQDKETDHHKKTINYQAPLREPEEGETKA DVEAEQKEEQVRIENAVPLTEEEIAEKDNLIGEGFHDWQRRHFQSFIRALEKYGRDEL DKVVTDVADRTEEEVREYAAVFFERYKELKDWERIMDRVRAGEEKIKEQQDRIDVLHR KIKANRFPLQELKIVYGQNKGKAYSDEEDRFLLVRMHHHGIDRDDCYELIKRDIGEWP LFRFDWFFKSRTPDELRRRAQTLLLCIMKEDDKVEDEKKPTKGKKRPIDDLKSGAGSR DTTPSVAGGKSHKKKKV L198_01524 MSAADHHWQPPAPSLAALPVDTSKTDPTPAYYKLQFGDELTGFS YYVRTLTVVIGRNCERTAPVPPPAITNPSVPTNPPLDPNQPSPPVFTSSILRTPPVAD FPSPPTNQPLEAGPPSPKVRMEDYGPLVELAEAVQNEDIDISQMDEPIPSADASLDPS VKLEPGLDDSLSAPPPPPPPPKADNINHVDVDLGPLKSVSRNHAKIEYSADLGRFCLE ILGRNGAWIDDRYFVKGSIVPLTQGSQIQIATRIFSFVLPPAHAESPNYDYYNNPVPE NVENLPYPYNLPADEVGYQEFFAEAGPGPASAAAMAARQPPAFNAFAAADGYGLGLGF EGENTWGTWSEADSENESDHQEERPDYEGEWDDEDAAEEEQEESDEDDVEELEEDDDD EDVYEEAPKPRQTVKLKLKKPTVISGADDSDLSSIESEPEKPLKKTKKQTSSVKKQAS TKATASVPERRGSETSTKAGKQPAKAAKAKAAAAAKKESKSKKKAREAAMEIEEKETS ASVPPPENKSATPSKSKSKKSSKPEVESASVVGDDKPTPQKKESKKKNKPVPAEPKIS KRSPSPAARGPTPATAPAAPPPVPTLAAALASTPTPTPPPTAPAPQPARPPQGLRMPG QAQSGSRPPSQQAARPPIQPGVRPVGPMSQGMPGQSVRPGQPMSQVRPPSQGMPRPPL GNMSRVPPAPVNTSPQPHLPFYLTELIETPGRPGHIIVNVPIPPSGAGPRPPPGPILG LDGKPFIGPPPLKPTATFATIIHKALSCLPRGRGTLGEVCNWVAGEWEWFRLNVDSGW QNSIRHNLSLNKAFLKVPRIPEDDPESKGSVWIIDPLEGPLFVEKQKKDAMKNASKDK NVESRREKERIRAEERAKKQREAAIEASRQPRPHQQSLQHPTLARAMPPMAKPTVRPQ PSAPVSQAPSAAQPISANAKGVLQPKAPIVVVMQPITPALRATSVISATDSSGQPLPF VCDGTTLVLDRDTFGYLTSGILASLTSLGAAGAVDVLSSWIVNKRNKRPTPASKPGPP TGAVGGKPMGNPVAGVVRPSNGVAPGVARPPLAPQAAKPAAPPVGQQRPPPTAGAAPP RPAQLPTAPTSSSAPAPKPGGNPPVKVTGPAPPGTSLTKVIGMIAAVANVKGDVNIVG PNASKLLKYIKVMGVHIDLRVANEIWMTGVIPPLPEKKKAGGPPGAPGAPGAAAKPGQ GSPGPRPVQGQGVTPGAKPPSNGAPVARPTPPEGHPAPGATAGVKRKLEENGATGGTI QSNGVASNTNSTGSNGVAGGQGQSGGQEAKKPKLETSNA L198_01525 MAPKTAPISSAARRSKRVVDSESASSTGEPSASPPPAPAPRRAR APPAKAPARATRGAGAEKRVTYAEHSEDDDDGVVEEESEEDELEEEDESMEVDEPAPV NPLRIKLTVKRQAPAASGPSGTRIKLPSRSLSPQKKAASKKRKSREETLDMEEQGDGE GEQDDLEEDQLLDEEGFEDDERRHPSSSPSKMTARQRAKGNSDLQDHLLVLEEGRFTL ACTLCTDDTPARPTRALQLTEQEKIERKEEASRRRRRQIEQRLQDEQDETINRLLRAQ TSRSRAKIDDDNDPSTGNPSPSRRIQAPPEGMVRWTSKMGKEGAVVMSVAVPTGQERW LDMGAPSVEPKKDERMCNAPGCGEKRKYRSLKVFEKGGCSMAHLETVEAAL L198_01526 MTFKRRGGGRNKKGRGHVKNVRCSNCSRCVPKDKAIKRFTVKNM VEAAAVRDLSEASVYQEYALPKLYIRLAYCISCAIHAKIVRVRSAKPGAINSRKNRAP PPRAIFKDGKRVNPAVAAAMAAKTAQA L198_01527 MLTAIAIAVLPFLSARQYGVSTYQLALVNDAEIDENCDNLEPDQ SVCLGIEGQDCTKVYTVVANDTCAWVQEMYGISNETLYSNNPQIDAACENIYIGEVLC VDTDAFAYPDYNSTLYDSLAYTYLPYCDEQ L198_01528 MPSPPRTPSPTSPMVQLTHPTPPTSFAAHRLAIKSAEAVPRLSD FGSMPHGHSHHGHGHVKRPSISSASDPIVDPSRRVSFSNEAIGEEPKSPVYQIPQRSS NRNSLSLTSEKELASVHEDYATVPSAGHKPGIKPRPLSFQGPLTASSDTGFATTPAGK GLTISPSLARGTSTATPFGSIWSASATLPSNNGWITPALNSAKTTIGSGTTAGPGSQT PASGGGAAQVAKARGLAVAIVKEDGGVVPVTPTLGSAGLRSPGLKSPELKLMRVAESS TPGLGSGKLDTGKSMGKKEIILCKFYHTPGLTCTSRPCRFVHSLTTLKSPSFDLSHYT MLSPTRPADPTSGTFAHAQAQLASPTGNGPAKQLKMTADGVDLEGVEMGERVVVEDEN GEEVTGQVFLMSGGGKGAGGKGRDKYKTVPCKDFAATGQCPYGDYCSFLHDEKPRSEP EAEQSQSTPTPASLDAWSKAIPKARLVPSVKVDPEAIEKAHTNGLSAFAGPFHKTPFL VDQHGDFLATSRASSPGGVLTSKAQTPPALASEPASVLMTSASSAPPQTNAWIQGPPM SVKKVRSLKKVTINHRRELSVNVDAPPTTPTSVNHLVPPISAASIWTESDPATPFDPY VQRQKIMEMEAEARRVGLNQPKVMPRSGLANLAYDAAPQQQQQPQVHSSAESPYLPFA APAYPWGMPMSPVTSNGQEPSGPEVDGGLGVMWTPAGWAVQDAAMKNALRSAEVKMRH KDAKRRKPKNYYRTRPCKFFAEGHCPHGAECTFLHIIPASSPEPPSSSDSDSVEARSR TPQQHQKYKTLPCKFFNSSAGCVNGDDCAFLHTRVVPDSVQLVERPRPWRTKPCRHYQ LGRCMLGDACHFAHVEDPAWVASGAGRPRGFGSPSGMPSDVAQLTEESVEQTLEKIRD MRLKEDDDDEEDDDIQFVTHALSPSSSGYHLVA L198_01529 MPDQHELDAHHPSPRSSAATTVESQAGPSQSRSSSKDSQRSDTD GRPRPDKLSAPLQPLPSAPRGPSSPTTELSPLGQSALTPHLDICKYPTQQLLKLLAGL LQHIATSNDELRAESEEEQGESESERGGEKDEELEREQVAGGHKRSHSRASAKSVLPG SSPTTALFDGTPTPSSHSRSTSIMSSSSRQTTYPLSQFPLFSASTSSLSHPSALLAFH ARHVPSISIEAYLLRILKYCPTTNEVFLGVLVYFDRMTKLGTVDGIGGEGVRVGKKGK GFAIDSFNVHRLVIAGVTVASKFFSDVFYTNSRYAKVGGLPPTELNSLELQFLLLNDF RLRVSVDEMQRYGDRLLAYAEEAGQDIGEVGVERRKSLPDGEEGLGYGRREASARGER RAAVIGDAQSASIDSPTITDTASQSQSQAPLPPNAASSSSSQAPGPATAPVSPRAKSA SLDTFTSNPSRSQPAPQSQTQPQLPTHPSKSSLPSVSASGAFCF L198_01530 MPVPQVTLNDGTKIPRIGFGLGTAHYGKECTSHLLSALQTGYTY IDCAEMYANGSSVKDALKQWDGKREDLYILQKCGGGEFGTKNPKEILERLLADMGIDH VDLYLLHSPLLFSPDYTLSEAWAIMEDLQASGLTKSIGVSNFREEDLLEIQKTWKVVP AVNQIEFQPYNYHAANMTRLFDLCSSENITIECYGPLTPLTKAPGGPVDPVVSRIATE KGYETSQVLLNWAAQKSKGVVVTTSANKTRQTTQLDAIREKEPLSEAEMEEISEAGKK QFKRAFMEKVWNAAKP L198_01531 MHKADPWRREEGIGDPPSQPPDHCHAGPSRLRDAPYTPYAPTRR QPTVARHDGAKKPKTPKTLARREPRRRRPPHPASPLTLLPAFLLPFLHPVGAAPAPVP PPPVPTSRTGLHATKTVSGGSKATTPPLGALDRRVIYPENMETPTKLPTDVVFVDETA LPYLLTQLEDGTWKKADGGWYLYGRKVAQPSGGAMLVDGDGDGTVTDSQTTQTAKPSY AVEQALPNGWGISSNRTSIYKVPLISVASVIMALLITALIIFYVFGRRKRHRKQKRAK ERMRRKALAAAGLNESDINGSAAEAVFKEKLAEMEAKHKAKKKREGQMEFASGKVRGW NARLAAVRRRKGPRKGKGKGTTEVLEEENSDGEIARTIVEEPSPSAEADVPIVSPSRA PSPASSSHSTPPPVETPAPPNEAPFNPLTSPTPYFPPAYRPASVRSIPAASASAGHSH PSSSRALDEAGPTHVEKTQAPGYYPAPATEDGERALAVASRSDGKARVVDVDGYQAEV SGDDREESRRAHVATDDKWVLERLRMGASAPPAAQEADERGPSAPEVMLDEQGFEIPA VLDEDDYLYDQPSQPSTVFPAPPMLSRRLAQFHNLDGPSPSAPSAPPTESSPEIPSAP PALEGEIESSAPSAPPMEDEQVLPELDSAPSAPPPIEEGDEGHDLSPESSNSD L198_01532 MSASVSASASASAVPSSAAASSAVGTTSAPASSAAASSQAASSQ AQSSQAPSSAQSSAAPTSSAGPSTSEAASSTAESSAAPSTVTSSDTPSTFSSVEPSTS SSEALPSTSSSVQPSTTTSQQEISSSEALPSTSSDSPSSSEAQPSSQTSSAVQQSTAT ATSTAAQSSSSDAASSSSPTSTTAHSTSYVYVTVTDSNGSTRVTSTSVATGAADASST NGGSNTGAIVGGVVGGLAGLAILLALLWFFIIRKRRRNHQAFDEKTFDPSHVRHSVND PIDLIDPAAPAAGGAGAQVDPFPYQHPSSPEQHYSPEQSYDPYGHPSMTFPDARNYMN TGGYDAYGGDAAYAGAGAGAAAAGAYNYGNSAYSSSDQHSSSGPVSPNMQTSAAFAKQ REAAADRRESRNSLGASASGAGQQEVLGSPRSGDDTELPRRQSGFSDSRASVYQHTDL RSVEDEGEHLDEIPPKYVVSRIVVT L198_01533 MVPSFKYDVPAPYGAEESKYSKDEAPEKDESASKFRPITLKREK VRRSDDTRAASTVSHTAESARPPTPNAPPPPPLSPPPATPPAPPTVPHAPLLHQDKTR PPSPEREEGEYVEPPRDKSHKEKGRGRRKDHEPERFGMRTVKEEEEAYGRVFVGSSTL MGYETGRKLGEGTFGVVTQATERSTGREVALKKITTHNTRDGAHITTLREIKILKSLN HPNVVPLIDMVMSRGNPQNRKVNTEMFMVFPYMDHDLCGLLGNPDFQKRPSVHKSLMK QLLEGMAFIHANNVIHRDIKTANILVDKHYRVMIADFGLARPWTKEADMPPHLAREYT NMVVTRWYRAPELLLGATRYTPAVDLWSIGCILGELYMKRPLLPGGGDREQLAMIVAK CGPLSQETWPGWRSLPGFVDAPGHDWERSPRERHIAEDVTAYGLDRAGANLLGKLLTL NPSQRPTASEALDHPWFWIEPLPINPKTEAPLRLESSHEMSAWHHKQPAAPAQPPPQP VMPPRVAFSQAPQANPYANNPYAAPLSAPVQQPLNNYPHQSQHQPAPNPYQPLTGHAS NQSQPGHNAYRPRPAYAQQQAQQGYSQAQPSIQPLATGYGAGPPVSSNVIGAAHAAMG YGNGGGYGGQPGGYDGAVQGGYGQPSLAPLPQNSFPAPPPSGMRAPPGSLPKRPTGPP PGFSLAGGGGGAPRNRPPQNQNFGNGGGGNARNDGSYGYQAQPGHMKREHSNEQFNAN KRHKPDMTTGGGGGGLPY L198_01534 MEGAEVNHGNIFNIRSSPDGNRDREEYARQQRARIDQRHAATAL NGKSSAKAVPTPSIFIPKKKPNALSSGSQGSSQGTSAAIAAKRRLDRDAVQDDSPRAS SSALQTKSRTRAPPKDFAIELSDDSSPLNKPFATSASQRSKTTSSGLGNSEMQRRDRE IERAEERKRRNAEIEREEKRTKDKMGEKEKNAPSNKKSAADDIYASIFATRDKLHAIE AGQPSLSSSNPTERQKAKSRTSPVAIPDKPKSKGKGKGREEYDCIDLISPAKTRHAKR PTAKQASSSEYDTVNEDDDNSDDELALGNTPPSHKHDIYRSSRAPPSSLPRPSSQRSH ASTHSEQVLIPDSDEESSPGQSSGASRVRKRGSPERVAAPGYGDDDDDYYRDNSKKKK KKRMMDNGTGKLARQGVREEREKSLESVPSEPLSRATSKNPFAATSRTKSTALPPDKN APKPKPKLKPPPPRQRQMFNQKTTGGKITIVSDDDASSEEELDEASKELLRKQMQIKA QQRANDRQLRAHDGAKKEEARKKEQQQKKAAGKAKKVVDENDKGHSFFKMLENMDVHD DLEEFDDDFLDGPSFNLDTTMEEDDDDDDWLVGNYTDAQRKWILRHVNTRNLCPYCDE PLPLNPTEHIENLKARLEKLSKPAPTTRNPNARDLHWQQAIEFCTLHRAEATIIPLGI QAGYPETIDFKNLDSRLEEGWVWAEIEKIVKDPSRSEMFLQVKREIESVGKMRWGGIM HQSRAERLLAVKPGYYGELGRVIMTDHFQKLQQWNYLSPCVPPARPGSDVTDDLILPI SPLSAADFIANVLVPEASIFLIMDDNQCRSFDRDAYDDAARTREESAQYGNTKFREGD RQAKKLLEELRKGAPKKRERVKRLLGKKKVKPTNKEGDENKENRRPASSGAPAETGES STKKASQQSSDMWEGEIDDATLLGLGY L198_01535 MHLLPREQDKLILTTLGTLAQRRIARGLVLNRAETVALIASQLH EFIRDGKHSVAELMDIGKKMLGRRHVMEGVAEAIHDIQVEGTFPDGVFLVTVHDPIAS DDGDLNNALYGSFLPIPSSDLFPPPPKSTEPIPGALICLKKPVALNVSRRRLLLEVKN AGDRPIQVGSHYPFLETNPSLVFDRLLSYGLRLDIPAGTAVRFEPGEKKTVGMVEVGG KKLLYGGSGLGSGQFEEGLRETSVRDLVEKGGFGHKKQEKVEQGPIVQMDREVYASMF GPTTGDKIKLGDMDLWVEVEKDFTIYGDECKFGGGKVLRDGQGQASDRHDSEVLDLLI TNALIIDWSGIYKAKTQADIGIKNGIIVGIGKAGNPDIMDGVTEGMIFGSSTEVIAGE KLIITAGAIDVHVHYICPQLCNEALASGITTLVGGGTGPADGSNATTCTSSAFYMQNM ITATDTIPLNFGFTGKGNDAGVHAIKDIVEAGACGLKLHEDWGSTPEAIDRALTIGDE YDVQVNIHTDTLNESGYVESTLAAFKGRTIHTYHTEGAGGGHAPDIIVVCEHENVLPS STNPTRPYAVNTLDEHLDMLMVCHHLDKSIPEDIAFADSRIRAETVAAEDVLQDTGAI SMISSDAQAMGRIGEVIARTWRTAAKMKSVRGPLPGDEETRDNNRVKRYIAKYTVNPA ITHGMSHLIGSVAVGQLADLSIWKAENFGARPEMVLKGGVIAWAQMGDANASIPTVQP VFGRPMWGSQPRAAALNSIVWVSQASIEKGIVQKYDIKKRTEAVKKCRDIGKKDMKLN DHKPKMTVDPETYQVMADGVLCDVPPATTLPLTKKHFIY L198_01536 MNEDQESENEGRGGDLVIDDEPRGEDVEDDIEQRSQQMSSQGLR HGVSGGNLLVAGDERGNVSVMVSKTSKTSLTRLSETPPSHPILKCSTAHHPRSAKLPA LSTLPDANDSDAEDERAGEVVPGDEEMKTKPPATRIKVVMVKVMVGMEAVETKAMTLA SGYTVDDQTARERLMLYNWKVQNNVTRKAFDNLPLPSMSGYSSKRAAADLDSLLPAKR FSDDRLTMKYDRCPANHISYTGPHSEKEECPKCKAPRKINGKASAQWEYILLKPRLQL LFHHPQRNEHEIGLSIFSKKTICFGQLLNVITVELPSHPSTPQDGPPKTFILAHLRRA ATTKQKIGTRQWYWYEGFAAEELVDLGSVNELVGRVKSLRKPRRIYIIDRGTEASRVD IVM L198_01537 MSSSPSMSLLALPPASITLAFGGLTTSYVFFSGLADETWGTVPL LNGRLGSIPIDDKTRVKAWNAYYDRAHVGFIGASVASMVLNLTSSYIHPSPFISKLAL ISGITSILVVPATFALGLMPINKRLFALNDGAEPVKDGEGKELVQRWESKHYWRFPIY AGAWVFSWAAIVYDGRA L198_01538 MTMAKYLFATFDVTAQVFYRSTLSFGIVNLKPLLPGHVLICPKR VVPRLADLNTEEVSDLFQSVQHVGKVLEEVYKAQALTVSVQDGAVAGQSVPHVHVHIM PRHPTDYNGDNDQIYPLLEQSEANLQGHLAQSQVPQVNGKTEGKGREGRWACDEDRKP RTMGEMEREAKWLASLF L198_01539 MLVQSVLSLLPLLALVSAASIKGNIAFNDVLPVESLPIRSSVSL DHGLDRVLVKQDGSFEIFDVPEGIHHVETLIPGYVVQPLWVTISPPIPPIAEDGPPSL HIQSANPARQPLPPSSPSLTYPIVLEALGKESYFVPKGGMNILGMLKNPMVLMMLFSG VMMYGMPKLVASMESSDPDLSKEMAETRKKMAGFQNGDWAGAISSLLAGPEEEKPAKA VKPAAAPQSPQPSAPAAKSGGGKKRRK L198_01540 MPPLFTSTSLTTLLRSVAGAAKRIQPQAMSRAPQRTITHISKAR NAVHKLAHQTFPSLATPSHQLYQPTTIRAGARFASTQGPSVAKSARPFGAVGRGLQAG KRPQWGHGRSIPSNVGLGTARGFASGPASGIHAKVPMGLRAFAGLLDDDCKVNKTLPR ASRYSPYTRKAGHHTRKTCKSIDSSFIADLHHYFPLITVSVEESQLPLPPTPETLTTA GKTTVLSLPLSPSLEALLHPTSELTYSETSVGVHILARLTTGILPIHSAFSIHSSTRI IPLLNKLESLGVLDFHPGSPFVRAEVLRDADGQPDILRLVFEDRSVGNVRELLGESLR KHEEGEWWALWEERKEVVELSQGERREMMEQWSEQSEEREVDELVMPTLDVSALETPS SPSSDGISTPFSGDLSVTSSLFSHLGDSEDWSIPLSSVEGSEVESAYAGSDSWGSVNI SVVGGESESSESSEEGGVSVWWAGAGEGFGFVAQPW L198_01541 MSTALPFPELPKTDQGKRICQVVKVRPERLDEYKEVHANVWPEV LGALRQAHVVDYSIHYLEPHNLLIAHMRYIGKDYEADMRGIAENEVTKRWWQLTDGMQ ESFVPGATGSESGPGWWTNAEEVFRMEG L198_01542 MAKYRLKFYAVRTGRIPGVYKTWAECEQQTKGFPGAKHQSFLTR EEAQAWYEAPQAGPQRIFETDANSTLAELAMDVSEHRQPAPLKVYDGPAPDAASGVKR EREDDSLGGRESKSAKRETQDPSETDPTDPTDPIFLIVDNDGDEDLQKPSAGDAEEEH QPPGEPVLSPQQSKILHMIMGGENVFFTGSAGTGKSVLLRAIIKMFREKENEVNSKIA SQGLSELLGNPNAPGMDTSRWKLAVTASTGMAGVNVGGSTVHSWAGVGLAKENANDLY HKVQKSKMTKSRWLSTGALIIDEISMIDGDLLDKLDYIGQMIRKNPRPFGGIQCIFTG DFFQLPPVRAMRFAFEAKCWSHLFSSRNIKSLTRVYRQADTKFIDILESMRRGIVTDE NMNLLQSLSRVVEYPDDIEPVTLFSLKADVDRTNVSKLNALPGDAVTYEAWDAPGKNA GGYELSQEQAVKQLNNSTIWPEKLPVKIGAQVMLCTNLGDGMLVNGSTGTVVELLTIR EALVKGYYFPRPKDQGFNMDVLYPVVAFAQPKYVTKKIPEKTIIAGMSVECINAIGGV EATRHQVPLMLAWALTIHKSQGQTIERVKVDVGSAFAEGQVYVAISRAVSLETLEIRN LLPHKIKVSPRVINWAAPLEIAQKEQEMIDEAEEIARSAHEA L198_01543 MASLRPVGRVLLRRLLVHQFRPPIHPLPLRRANSTSSASPKIGV KLYPEPPSAEEEQPLPDGADSSSSSLVLRPYQEHAISSCLSALEKGLRRIGVSSPTGS GKTTMFMSLIPQVPFYRSKGKGKARVEDGEEKRKQTLIVVSSVELAQQAEAAAKRLLG PGWTVEVEQARRSATGLADVTVATYQTLNKTERLSKFNPANFKLVIVDEAHHAAAHSY LKLLHYFNQDVTLPSTVEPHTSLTHGNKTPIIGFSATFSRNDQMALSAVFEEIVFHRE ISAMLKDGWLAPAKMTTVMANLQLEDVELNQQGDFKSSSLATKVDTPEVNDLLLATYL TRARERRSTLIFCVDLTHVSNLTQRFRDAGIDARSVSSNSRADIRKATVAGFGAGEFP VLVNCEVLTEGTDIPEIDCIILARPTKSKNLLVQMVGRGLRLSPETGKSNCYIVDVVD GVSRTNGLVVTPTLLGLSPEDVDVEDKREKRHTKTEDDPLDGLKVERPQERSNPGVKN FKISYVDINDPFKLGFDTREVLQKVTQNAWVACGKNKYVLELLGASTLLAVTPDPDER FPFCITYRQPMPVELIEPGKKNSPWLPPRKIGFGIDLEQAFQVGDKYAEKIMGRERSL GLSRYAAWRQRPVSAKALKMFLSLSKQDESSSAGIVEINGTEVIASSLSAGQVSSWLC AAKNGAKSARGAIDKAEDKKKTKASAKAEKERLHRERNLALPPSL L198_01544 MLTAVNTRALIRPSLSAYLSTSASSSSAAAPPTRPRRRSERSEG SSVGVNLVAPPDPVSNIRPIIYASKPATRPSANSPYSASEFPAGNYGDARLDNMELEW RLRRERVDLANHRFWASTNQSFTSQQEHRLSLLPPASDPPSAEDIRLREDCLTQFYAD WQIANQDRQIRWVKEWWREIWEGLKIQAKLYVMKGVKRG L198_01545 MAKYTLINAWGGTVISATTLVSATYLLILLWKQGTGKLRVRLLM GMIISDLALGLAILPPDIMLILNKKIATGTAGCNALGFVLTTILFTQHLWTLSIAVAT FLLLRHPLSRITMAVERYSWLIAPGIWGVSILHAGVWYGKVGFANTGTLCYYGTKSHS PDLDRNLCQFFPRALVFILIIILYSRLFTFLRRPDTIQLSSATGSRLDPEAERASAGK KGSHILRPLARIKRLSSFGIESGSNHHAKEVNPAAPWEALEFIQVGNYNPLTMDAPPQ APLRSMSYNDGLSFVSHEHGRASRPASFLSSPASQLRDTFAGISSNPSSSRLGSLSSD ISQSTAVTQGEPFTAELLYNGGRRKSSASSVKFPAQPNLKGNALSPIISLGRYESNIV EESVDSEGKISKEEEVEIVEKEQTMEEFFAETQAPRLDEGRPGSFSGAGGQRSAASYF NRQASLLMLYFPIAYMFVFSASLYRLIYNMVKGEASPVLSIISNWMVFSVGLIDALVY GVAEFMIRRRVRRKMPDRI L198_01546 MASPAPQGDQGDLPAPWIRQYDANYQTFFYVNPTTNPPTTSWTH PSLSEGEVHPEQAQALQESSQVGGGQEESGGEAAKFLNSGSVADPSPGSYQQQGEGQI QGADGQSPQTGDRGIGSMVSGLIGKQNNNQYGQYGQQQYGQQQYGQQQYGQQQYGGYN QGYPQQQQQQSSGGKSKFGLGSGIAAGGAALIAGKLISSAVGGKSHHNSHGGHNMGAP PFMGGGGRMGGMGGLGSLLGGGGGGGMGGMFGGGGGLGGGGMGGGGHHGGGHYGGGGF GGGGGGGRW L198_01547 MASHDYTDSESEDTLQPMDRETDVPPSGPHNSGPTASTEDGSLK VTIRPNMGQQEGGSNPPTPRSKYKHSSVAERANYSSSEDEGDKSATSPPRKKKKLSTV ADEIGSKEKQSIKLTFGPQHSHLNHASPPSSPSLTGRKSYDWLTPSVAGASHTGPPER GTSSRGTSPATESAADEAIGGLLDSTVEKAAEKAAVKRSHHKKKAPDAPPGPGRAWKK GLKKALAAKMEDGTPTGTHVFSAPVASREPSPDPLALPAPHIPTQTVIYHPPIPPRAL SPSFVPADASTLGFPVYSNPIVPPKINLSTFPKVTNFFAPINGGDSGPFPRREKARNW GWQEKGIVGIGGGVLKFKSWARGPASELEKALVLEKERESHTPARPVKAKSTAATTPQ PSTTAVTPGTDERPALTPSTSFDKGSGSPGPGDDESDTGSEDIGAMSTPASKKGGPKK KGKTPKSKLAQEIVMEPYQEFAAGTSVHPAP L198_01548 MRLDNLDDGSNSPRRLQAAYHDALQTSYGYFKALHNSADSASWK PVSLPESVTSAGRPSSSAKGLGKAQAENVAVHRRTSKYGDVYRATVEVDCGSDISVDT FRGCLVTPETRAMWDRMVEEATTLDILDAHTRVTKTNYRLGWPSSPRDAVTISKTFVD SHTLIDISTSLPRSKHEPAYLRPAPPYVRAHVSLLAWCIQLPSSPAAPEGPAEGQVRV SCFWNWNPNGTWAVGGGVPQHLPFLVAGLINHVRDGSDKVPVLSAYGPDVSIGSVSYD TSRVTLNVHYAIVSTASQNEETESLRRQVEFGLSSTQSWDIQISVKTQLGKESSSTIW TSFVRQAANASPDASPPKRLVLRFAHSPLEPDEELVRVNVSIERTSSSTAGVRINGIP VAVERAESDSHFSERVEKKQRPLLEDTASLTGVSLRTMNTTAEKKGPRDDQEGAVMKG RSSLIRRNYIYFTSLLQEPEPKWKPVLDSRGVAIHQLDSIDKTLVVYRAEAVFVGVGI WDLFAVLATPGARSVWDKTHEDATLVEDVNELTDLWHLQSKAAWPVSARDSVMLRTTY KSPSSVHLFGFSIEDTNLFPSIPPSTDPTVIRTQIDLQGWSIESLSPNTTQVTLLEQS DPRGWSGKGSIPQVMMSTLAGVGEFAIKHGGPPVCTRLGGARTLLSRYDVEAETFKFE YQPAKARRSASSSIATDDGSLRSVSDDGSRGKIECEIRCDTDQWSNSFAIVIDPPQQN ISALRRHKLSPNAGGLWLTIEHDPVLLHGGKVAITVRRGVAVQGSKTQVIVNGSKIKV DVEDLPEAKVQLLKKQKRGRPTRAPLDQPPGLRLRKKPSTDLAGVSTAFAPASPLLPS PTSTWGKMASKWYTLAAESTRSAIIPVPASSPLPQVGTTPVEASVNALKQLSRIHTDR DGESTAPDAWQPVSERDGLKIEKRIVSHVSETFPVFRAGRIIEGFTAEEVSASVGGLR EDERFEKPTVLEEYGWGIKTSHVVAHTTFPFRGRSLLVASVVARMAEPAPPSPSIHGP QTPLSTIFHSRTSNFDPSTTNLDASKYNPTSLPPGNMILEGWILETIDPYSHEQYAIP STRCMYLASVDYSCSMPLSVNNVLNQSLPRSLLAIESILKNEGPPSRGREPGMVVLAP EQKERLGSSEKVGWGLENVDEGRMGVQETNDGDEYSLSVVLQAGPPSSSASPRDGSGT LSPPLKHTDSRSSVNSRSTVIDLGEEIRKGRKDLMVMEVEIGSKAVKNGCEIELNAVS LPVALHSTSPGSEPGTDGTTTPTGLSATASIDGLPLTLPNQPLGLPFKVSVISLAPSV LQAASLDPSLPPRHLLRVTLPTSGFDAPIINDPLTGPGTPMPRPRWLLDLINDGAVVS LKLKVTKSRESKILDGEGPEGEVVVQDEKRAKHLGLRDGTRPNVPQLVAISSAGDRDP NDKRIVRRLDKPLAVREEYTKEGVKVLRDKMEIKDTDSRRESDVEVNPHSPEPQSALA EQSRYSYNFWRYPRLPRFSASAPGTANGSPTKVLASPPPSSVGGSAAAGEDKAKAVVL SSNTSPNGPVATGTELQPSGLQEQANDALRPVTTLPGLVIACILCFLLGSLLRSLLSE ADFVIYQPATTLSEMLPGTQGVGEQWRELKRLAEWKIGWDRDLVIAIARRG L198_01549 MLKLLFPLLLATTALAHGDHSFDLADDADHALSYAERHMHTEHH IDSFDLESFFKLHDLDMDGFWDVPEIQAVYGLRHHSIKEKIGKVEMVDERAKNIVRRV LEALDKDEDGKISLLEFMLGGTGGLPSFDGYKDLGHHYDEESEYFLHHEELYHSTPET QTDDSYSHPEDIQHFRHHAEIEDEEDSRERKFEGLGNDANLEKDHVAADPLDHHAHAA GEGPPADDIPPLAEGEENLKPGDEVEHSAPKPIVPKRVDPGAAPQRILDGGKVGDLEG LKEVAAGQPGYGGGRPRTPEERLRSGVPYKYKMKKGLRYDEF L198_01550 MTLKRRCFRRRSAGDVEVAAEESRRSSIHQTPQTGKRAEKRRSD ASRSLVTVVGHYYESNDFIHCGEAFAEFFLVLKHVVFAHSLDVGGNALFQTFLMVVV L198_01551 MPSCRITNCTSHPLNISLKQVTALHFENGTGRAADSSFQPGKVW FTVEAVLDDETKKSRYSVLKSAATIAIISIAVGAVAATAGAALLPEVAALETVAAAGV VGSYIAKGVTATKTALVANSGTVAKISSSALPKAIDKLSGEVGGLTALQREVMSIVAS PTLSSDVRHKSTALLRKLHKSYSDDKDKSAAGSSERRDPAKPSATLAPITDEEAKQLE KEVEEGKLDDKVENTPVRTGEVLRAHGIYMKERRYFEIRVAEQGKLSLYDVAEKKYIT L198_01552 MAFRGYHDGIKLASDAATKAIQIEASLSSLSPLTSHIPTLQRAF PAYIAAAELYSNLLSSKLVPPGDVEGVKKKWRLVLDRAEKVKGRIEQLGGHVAKAQVG DEGEEAAVIRRGGRMNGVDLHLWSTPSPTFDTGNLYREATQPELAAAQLDLDPEWREI AEDCWEHQVRDGNWVLRQGPVADCSVVAAMGVGVEHDRLFETTFGWNNLYPQGADGRP RRSENGKYVLRLLLNGAWRSVVFDSLLPHSLRDGTPLFTTCHLNVPSSPTAVGTPWTP LALKGYFKVHGGYSLQGSNPSSDIYELTGWIPERTVLKGGFQREKEWSRVKEAWERGN VMVSLGTGQSVREGLVKHHAYGVVRLREEGDQRLLDIIDPGATSFSLSWDMVCVDFES LHINWKPGLLPSIATRHWSWAKPQTSSFELDIDTTNPQYRLQAQCSSSSGLPEVWVLL SQHIVNKDRPLDDIALHVFEEFGAGQKRRAGAVHSERLEQTNPYVNGNHVLVRYQLRR PSSSLIVVPSRDRGVYQTGFTLKAFAPEGVSLELTRLSRTMPFSETITGSLDSRNAGG QPGWPTHMINPQYRVVVQPTRRREKASGRITVRGDKDLTLNARLVWGKGELVFELSQD MVLADTGAYAHGVAYCDVPELPPGSHTLIISAFEPGQTGNFSFTFEATAAVALSTIPA EGAGMYSRTVIGQWSDETAGGRPSTGGYAKNPKVEVILPKAGIVLSRLYLPTLVPLPI NLTIFKRAEGGALGEQVATTGPYADALCGVSTGKIKLEAGIYLFVPSTYEQRSRGGWT LKVWADVAISAEPV L198_01553 MSSQLASFKVPEIDNEPMRNYPPNSAERAGLQAAVDKMLKQAPF EVPIVINGEEYKTGDIGSQPMPHDHANPLCTYHSATPELVQKAIEGSLAAKQSWEEIP WADKAAVFLKAADLISAKYRYELMAATMLGQGKNAWQAEIDAAAELCDFLRFAVKYVE ELYQQQPPRNSAGVWNRVEYRPLEGFVLAVTPFNFTAIGGNLVGAPVIVGNVCVWKPS PMATYSNYIVHKIFLEAGLPPSVIQFVPGSPPEVVKQCIDHKDFGGLHFTGSTQIFRK LWKDIAQNLDLYKGYPRIVGETGGKNFHLYHPTADIKSGVVQAIRAGFEYSGQKCSAL SRVYVPASLWNNGFKDELITETNNIKIGPCTEWDNFTGPVIGKPAFEKISNIIEQAKK EGGEVIAGGSWDNSKGYFIKPTVIVTKDPKSVTMVQEIFGPVITVYVYEDADFEKMPE LIGSTTEYALTGSVFARERSVLASSAHALRNLAGNFYINDKCTGAVVGQQPFGGARAS GTNDKSGSMAIFGRFVSMRSIKENFVAPEAYHYPSNLL L198_01554 MSAIEENPAVDTQVKKRKRTGKEREERKKAAILAQQQAEEVVQM EAREDDPKEKQKSEEAIQPETVAETARPTVDLDQLSKRVPQALKSLHPAFKQAKTFET RRLIKKIKFLRSKESKDNKEEIENLESQLALIHAISLHSLAQTHLLSKLRKHPRLKQT PLPSSITSQFTLLQSSNEAAGSTSNAAVLTGKAENRLTSAKGVAEKVKSVLAWVTGEE GAKLVADKKGAAKSKPVKKNAQAASDSEDDQGMFDEGLSRQIANGSDEESDSDDELAQ QHRAADAAGWESGSVSGGEDSDAESDDSDAIPVRPAKRTKASSPPAKAPKAPKPAKKE KPSKADLASSMFLPSLAVGFTRGDDGDSDPDLDDDPNGVAGREQPVRKNRRGQRARQA IWEKKYGKGAKHVVKQREEEQIEEEKARKRAADRARPRDSGWGNKGGASGSAAPAPVS AAPRAAPSAAAPQAQAGGQSLHPSWEAAKLRKQKMEAVAAANPSQKIVFD L198_01555 MLLSPLRRHVLRTVPRLWPQQRSIVGLSAAKQLWGNRLVISEEV ESALHSLDPVIALESTIITHGMPPPVNLSTAQSLESIIRSHSAVPATVAIIDGVIHVG LTAKQLEQIADIESEKGKGAVKVSRRDLAPALALKKTGGTTVAGTMYIASSLGIKVFV TGGIGGAHRGSENSMDISADLLELGRTPMAVVCAGAKSILDIPRTLEVLETQGVCVAT FGGKEFPAFYHPSSGCQSPWSVPDVSSAADLIHNSLTLPTPLSTLLAVPIPDEHAEAG SAVQTAVEQAVRGSIEQGIDKRGKEVTPWLLKRVGELTQGTALGLNVKLIENNARIGS QVAVKVAELAKSQVDASSALYVPFSLSSTPSSPSKPPKTVLPTPAPPASLPRPTTLVF GSAAIDLTSTSPHALAPGTTTPGTVFLSPGGVGRNIAEAAQNLSPSHSVQLVSAFGSL PSSAEAVEPDPFGKVLMLELASSGMRADGLVGREGKSTAVCSLTLEKAGDLVAGVADM GIVESLTEDVVEKKIQEEKPKMVVFDLNPLEGSIKSILKTSKSLNIPTFCDPTSTPKL PRLIPALLSLLPSSPASPRPLTHLSPNVLELDLLHSLLAETASEDTSAVSWEYINSLN LDATWRSKAETFTNKKGREWIRQEGMVQKMVACLPYVQSFWVKAGHRGLLHLRLTSTP PSSSADSIVHKLGQQHEGSYLALTVYSPPTIKPEEIVSTTGAGDTLVGGLVAGLVGQN GEAEEVWVERALDRVGRSLRSRRAVG L198_01556 MPQPPFEIQKYLSKSLPTLREQYPPGTGGTGPRAEWDGPLKGIE VIDDFNQKVLDYWKTIPQEPVQPHPNFKYPKIAQVISSFPDRLKSPRDLQSTLAALPL AANSAILTALDADPADLKAGAKALDAAGYESDGGEEPEVLPQKDVKTKGWVYKFSKSP LGSGEFLLTREGSDEVALVVRTINSSAFTPHDWDEYIATGPYHYETKSKASWYWSRSY GATKRLGCQYYVLTDWQRWTFGYFNKDGTRGWTSPVLHHNEQDPTIGHALFYWARSAI GKENGFANPKEKDLSGAPELFPANPSRRISQSGDRRPKPPAGSRTVKKANESDIEESD AEGDV L198_01557 MSVVPKCDEAGCTDNAIRVNSRCRFCKLNFCWAHFENETSHPCV TAPHPETNRDGFEFEKEPEASEILRHLDMHAIKAEVESIWPGHICNYVGKPQIWHELA RLCGQYNHSIVLGFADGQRWAMRIRLKQRKYLPDLALEASIDSEIATARALESAGCAV PRTWERPRDSLLSRNLTYFYQEFVPVGDCTMYTLWTEVYTQQTKTFIRNFAKWMISLE KVHFDKMGSLTLTEDGDVTVGPFIERRTTIDVAPYFLGPFNTAKEGYLAIIDVRLEQT LNRARYKPSRELLHYLVLLEVRHLVQNCCPELDVGPWYIRHNDDDTNFVRVTSEGSIT GVIDWKWATLTSKGAAFAAPFCFPDDKYSEGVNALGVRELALIEAYRELDRNDLAELV RTGRKFHRLFNVLFHECVTLTTLNALRRAFLGLADGKQGLPQTLKEWIQVAKQNYADD ENLPVMLKRSDDFVKNSKQAGTYSEHWGLR L198_01558 MTTHHPRTLPVILITGTPGTGKTLHSQLVVNELTEDGTPMKHLN IGDIVKEHGFHEGWDEEWNCWVVDEERLLDWMEEVVNPRDGPAQTGFVIDHHDPSLFP ERWVDLAVVLTCDNSILHDRLTSRNYPEKKITENVTAEIMMTCINETRESYAEEIVVE LKSEGQSDGEVDENVGRIAQWAVNWKKDQQE L198_01559 MSDPTSIAQQFTQFYYQQFDSDRNGLASLYRDNSMMTWESTQIQ GSAAIVEKLVGLPFAKVQHKVVTIDAQPSSLSVASLIVLVTGQLLVDDGQNALQFTQV FHLQPEGGSYYVFNDVFRL L198_01560 MLASLAIASLLSAAAANAVPCVQFDASWNLYAFGGDSDVRIGDN TTWSSPSTTTLTTSGRPPWTGNNTQCILSQTNNAMVVLGADSSDLSSVYIYDFSGDSW STQTTSSAPSDLGNSRSSSVYDHDTSVIFTLTTGSGLYQLDLSSVTTSASSDAVAWEA VEDSSFSVDGYTVTAATAANHIFYFGVPDTAAGSANVFVVHYAYFQPDAQAFSGTAFP DTAGQAISIPSSSNDVPYSMVFIPNDFSNTYIATHWTNLGDYSSMDDVPFSTDLINST QTLAAPTSQDETASYAASPGAIVQINAAGEIYYLSNPISSDYTVSSSASWSRLDYTLS GTGGTVSSSSNSSSSASASSSATGSAASGSSSASASASSSSSSSSGALQGIVSGNLAS LALGAVALGAGLFM L198_01561 MPPPALSPVTGNPVPPYYIHSNTLHFQDTHGRSLLLRGVNLSGS VKHPNGQPSHKLEGFWETAEAGEGDFINRPLNLDDGSAEVHLARLRAWGYNMLRYVFT WESLEHEGPKKYDHAYMDYIIAVLRRCQEWGFRVFMDPHQDVWSRFNGGSGAPLWTVY ACGIDPRNQTATAAAYLHSEWPSPEAPKPEEFPAMIWGTNYTHLANQTIWTLFFAGKT FAPKCIIDGKNIQDYLQDHYIDAVGELAKRIADNAPELYDRCIIGWDTMNEPGDGLIA YENLAVIPSDQQLKKGPTPTPIEGMQLGMGQALDVQTWNFGPIGPYRGKKEQIDPKGK KLWLSKEDEKTRGGGKWGWTRGEEWEMGTCIWAQHGVWDPATNTLLRPDYFKTLPSDP SHHVDFVADFWSLHWLAYSSRVRIHHPEAIHFIQAPVMKQPPKLPASFLGGRACSSPH FYDGLTLMTKHWNWFNADTIGVIRKKYWTVAQAVRVGEANIRKMMQGELSVLKEDTVE VLGKYPTLIGEIGIPYDMDDKQVYGYVNGGRGEGDFSSQQKAMDCSMNACDGPNNFNY TIWNYVPDNVHEWGDNWNGEDLSLWSPDNVEKRGYQDSPRPGTPLPTQGSSDFTASSA TLAVSSSDNESLASRVNLQTGDIPPSLILSGARASAAFCRPFPVASVGTPERIEFDIA STKFTYSVRVRADDLANDQIYTELYIPFIHYAANLDSVGKGVNNVQTSSLDSTASTLT SRNTFKADLIEDERTVKNLDPSSISVRSVSPATAPLALQIDIKVSHGRTEIEGQTLKW FYPVPKSGEAVYTIEVERKGGALRKDKGYLQEGSWFDVCPGECVVA L198_01562 MLANAWTFTPEDALAHYGTNPETGLTEEQVKRNREAYGENVLPE SAPTSLFKLILAQFQDQLVLILLGSAVVSFILALFEDAVEPGGSWLTAFVEPAVILLI LIANATVGVVQETNAEKAIDALKEYSPDEALVLRDGRPARVSASQLVPGDIVSVHVGD RIPADCRILSFSSSSFRVDQAMLTGESMSVGKIDGTIKDAAAVKQDMLNILFSGTTVV NGAAKAIVVLTGSETAIGAIHSSISKDDEEEEKTPLKRKLDDFGDQLAKVISVICILV WLVNIRHFNDPSHHGWLKGAIYYLKIAVALAVAAIPEGLAAVITACLALGTKKMAKRG AIVRNLPSVETLGCTNVICSDKTGTLTTNQMSVSRFVVSGEAGLNEYVVDGTTFAPHG VVTGANGSTISKDVLISANVRKLAEVCAICNDAKVTYHHESQSYSNVGEPTEAALKVL VEKLGSDNDAFNLSLATLDPLSRASAVNDYYESSLKRLLTFEFTRDRKSMSVLASSPA GTSLLVKGAPESVIERCSKILLPTGVQTLTPELKQELAQKQLDYGYKGLRTLALAYVE ESDNDVSHYKSNSADDYIKFEQDMTFVGLVGMLDPPRPEVRGAIAKCKTAGIRTIVIT GDNKNTAETICREIGVFGNDEDLTGKSYTGRELDALSHEEKVAAVQRASLFSRTEPSH KSQLVDLLQGLGLVVAMTGDGVNDAPALKKADIGIAMGTGTDVAKLAADMVLANDNFA TIEKAVEEGRAIYNNTKQFIRYLISSNIGEVVSIFLTVLLGMPEALIPVQLLWVNLIT DGLPATALGFNPPDHQIMKTAPRSGREPLVGGWLFFRYMIIGIYVGCATVFGYAWWFM FYTGGPQISFHELTHFHQCSSIFSHLDCSMFNGLPAQRATTVSLSILVVIEMFNACNS LSENESLFVLPIWANPYLVGSIVLSMALHFMILYVPFFRDMFKITALNREEWIAVVVI SFPVIVIDEVLKFISMRLAKSEQRALKEKKE L198_01563 MRIFQCAVMGSGGVGKSACTVRFINGSYLEWYDPTIEDSYRKQF TVDNQPCLLEILDTAGIDQYLTLNDLFIRESEGFVLVFSITQRDTFEEVIRTRESISR IKLPSETGTKVSMVIVGNKCDLEDERQVSTAEGEKLAESWDCKYFEASARTSSNIAPV FEEIVRVMRRNTRPKQRELRAKRGGKKCVIL L198_01564 MSSFSATKAVEALIESVLSQKNSRKRKRPTRSVTEELEDILPSL PILTPTPFRWHRFDYSPSPSPLPSPSTASDESSYEKETCKKSDALLLPVKASTFNRAL RIMSGLPVASRAARQAERREKPKERPPVWAESRQELCEALPYYRSFQSGLYMHSKVTF GYLLEAFPAPLVLYIMGDNDLLTLGLSRDAWASNGRVIISHGGGQCICPKLPNGKQGP PVLQADQSRSDARVDALLTAAERRTPIVLIAGEGYKELPWKLDCAYVVLGWYWISYTW VEAEPAYKGTKPPSGRDYFHRIKIRFDWVESQGDPWWDSEIPVSPLPVNQLSSTPRRP CGISSSSEAAEMILSFEQPSPKTSTHNFESYTESTPSWPGASELPISSPDLQGEDHNN LRWLYLPHLRLAKPQNLHRRSHLRSSLMPRLLPPSHPSRAPPHSADFHAHPLLPYEVV PPGPVEDWPEADEGGLGGAGEKTLWRGWVCSECGRANCRYRWEVWECQACGNSTAQLN ASHQVPLCRLTDLRDTFLGDGVIRHSTGVSASLTVSRELGAVVAIYELPEAGKVYHIM PFDKQYATELFYEYQREATSKSWFQRRSLKSGSLLAQHFAFNSGVPYKYIVDTLSTPF DESPACVTKAVDRITSSVATVWGEEYQFNEILSVCYREGQKMGYHDDGEPGLGPVVAS LSLGSSAMLSFRPKPGEKQRGNPDVALNITLSHGDFMIMDGHEIQRKYHHRVVPQGFR IAATARRISPAKPDVQVKAGVEAEDTGEVKYDDVVESCLGPDLSRLGTAQPVLDPPRY SSRELPQLPPLHSIFDHTLLPRRTPIQHPYPVPEPMVNC L198_01565 MSSMVMPYPQQPPYPLSRPSTPRPSAPLSAPPTPITPTHLLPAA MERARSTESVVSGANQAQSDTQESKQPREPKATRLKYEWEHLESSTSTPSDTQGDGDK KDSDRMDVDEDVAGPSGYLGADLGEPVSTYKEEQAQREEAIASMDSPAVEKEAELHPL VWGMPKWGREPERKEVARGVRLLGVEELPRLMEAHSLIDTPSSIMFPWLHGISDDGQK GRDMANFFGHSPPFEPPPYRGLSVMFCPPHHLDHQVKLMPNSTSRDHTAPYEIPHHKG SETDLSSSSSYYSTGTTIATSAPSLGNSLPSPMKSPLKSLPEVEDSQDEKNTGESDVT VDVAMHPCNTKRLSPMAVSKGFDEEHHPLPCESTDAAAAEQDVPSSAASDASSEMLLT LEDRPSCILFNALHVHDVFELRRHHDHPNKKPRFRPARLPEQINLRNLNIQQIKYSSV SDIVLYCKSGLGPGVLQTAEEIAQAQEDLYQQRSEEFYSHVKDSRNPGEGSSEPIRYG VWVVVEPFHKLEKKCPELVLIDSQGRQAVSHLQTDLFDREAKESRAMTRGSEVVEGFW VGNDCDMPGHTPDGVGASVRFDLCLKASECAEMPSASTLSMVQRKLLELDQHRGPTEE ATQAQTTFNWTASPATLALRNLLTAGPASNEVPAKRTASPSAEDRSSKARALPMEYQF VGLECSGSCRTITGQTRNLNTMTDRVVELVYFLRKIIEGRDNGKKRKVLVHCQDGYTE SSIVVLSYIMSSLSISLPEAFLHLQITGNRSFFVYPADKPLLRKIDARLANDRKAKAV KFLSATSISSSSVREAEERHPSSSPRWRSWGMTFGNKKEPANGKIASIKEKDGGGAKL TVDAAKEMLAEYEEGGSLAARQARVWFDDRRFDGFPSRILPFLYLGNLEHAGNADMLV SLGIDHVVSVGESLLGGPPNPHGSSPDNTLASAARAGKIKVLDLMDVRDDGNDPLRPV IAHACEWIEAARQSGGKILVHCRVGVSRSASIVIAYMMQHEKLGLMDAYMTCRARRLN VLIQPNLRFFHELFGWEVELAKREAEAGKKRRYEAEQKGVRDPHALKLIEDEPRRIVH SWPSFCRDLYCLNRRFLCN L198_01566 MDRQLESRLLIESITKPTKLSSKDTYSHLQNFLHSLPVSPQRTQ LERLTDALGVEVGQIDISEGERREAEREARRLEARQERRKKREEEEEARKQAELEGAV EGLEEEEEGDMENGAVGQEGEEGMEDRGDVEYGDVPNEDGDDEPDNEDQAEQMDEDSD L198_01567 MLPLFPVLAALVAFPSALAVSGITTDPSTVSDQSFDYVIVGGGL GGLVVANRLSEDPNISVLVIEAGEDNRDDERIYDPYQYSVAFGTELDWNWPSSQGRYI HGQTLGGSTSINGLAQTRGQKAQYDALATFLGGDDNNGRWNWNGMYFGMLKSEGFSAP NSQQQDAGASSNGAYHNTSGPVQVTYPDGIYHGPQQKYFKEVVSTNFSVASSPDADGG SANVVAFHPNTIDWSDNDHRSSSATAYFSPVSSRSNLAILTTHLATKIVLSSTNSSVK ATGVEFASSSGDENSDRWTVNANKEVIVSAGAIQTPALLQLSGIGEKSFLEGLGIDTV VESNGVGKNLQEQTMDSVGWTPVDDFDADGQGPSNVIAYPSLLGITSSSNVDIAQKIT DQLDSYAQDAYDNGAFISVDAASDYFNIQKDLMLNSSVGLVELFFDTGFPNGGLGVDM WSLLPFSRGHVKIASTNPFDYPDIDPRYFAAEVDMDIQIAGVRMARKIFQTAPLKSIV TEENAPGYDSVPEDGNGGSDEDWKSWIEDGFASVHHPIATCSMMSQDLGGVVGSDLKV YGTENLRIVDASVLPIQFSAHLSATLYGLAENAADM L198_01568 MSVGSTIDLSSLPIAFLELDGDEDYPDGSSSPPYRAGTIVWRNA QWKKLAPGETIGECLSPLDQNRLQAWMESAEYGEKAETLAVDIKSAEGTTLYLAKTIL PPSPSNGQRSLCILTSQIHDPPEVAPPSVSSSIDRASPGLLGPSSPFPRSLSISSNGS RPVDAPTVDTRSSTTSTSSLRSSVDLTSPDVQSPFQTPIRTHTPSGKTLSIQDDRKSR KRSPRGTTPSIKSGTMESQAAERWRLVESFNWAKTPIGPREQWMDALDPVLAITFESR TQDCAWLGPDLRLVYNLPYQELINHPAAWGQPASEVWAGNWDYLEPLVKKCLSGTPIY KDNDAIFWRRYGNDVLLEHYHTWRYVPITGKDGSIMGIFNQSMETTDTILMERRLNTT RELSEQMTFARTLEDYFDTVADVFRQNPADMPFALCYRVHQKDADALTITLECDLQVT VGVPDDHPSAPQSVPVDIRNKTTFGVSPPRPTSPTASMLSAQSANNNRVCHIADQFQS WPLKKAISTRQCVFVEDCSRMISGFPIRQWNELPISAIVVPICSEGSHDVPGSVMIFG INIRRPFDSEYELWMNSLRALLASSFIAVKSHEAEAKMAEDSAKMEAAKVAWFRGAAH DLRSPLTLIAGPLSDVLESKLDPTQRTALTMAQRNLERLMRLINSLMDFSRVEAGRME GRFVPTNLSEYVAELAALFKPAAERLGLQFIVEIEPRQELVLLDPLLFETIIANLIGN ALKYTESGSIAVRVSYTSGQGEISVTDTGVGIPKDELALVTEWFHRSSTALHSGTQGT GLGLALAKELLKLHGGELHVWSQTAGEAEGTHGSVFSATIPLDFKPQSSSQTQSPYFV EEFGKYGQIVADEAMRWAADADEASEVSDKETGSGGSAASSGNRFAEAFLFNKKDVVL VVEDNVDMRKYIAQLFAPHCTVLQASDGKQAYDMAVKKPPNLILADVLMPKMSGMELL QAIRSHPDTRIVPIVLISAVAGDEPRMEALLNGAEDYMAKPFKPKELLARVHLHLQVG KKRAKLEALYAQRETELAVLSDYCPTGIFRADTKGHIVYTNNAWRTQSGIDDSDPDSW PMYLTQESHHSVLELWRAWVLGDEKSMKTSWRWANDTPVRASLVRLEHAKHGFSGVLG CVVDISHEERRLVEAEERRREAEESKHQQELLIDLTSHEIRNPLNAILSCSDLVKQNL TTLQEQLRASGLNGFIPSPELLSDLEQDVEALESIHQCGLVQERIAGDILSLARIQLE MLSLHYIEVNLRREARKVSSIFASEAKMKKVDLTLTFGPTIEQSQVLLIKTDPVRLGQ VVTNLISNAMRFTAGVDDKKIIIQYDVSFVPPAEDTCALPSDIGLPTTLPAPEDTPVW LFVSVTDTGPGMSPSELAVLFQRFAQGNKMIHTKYGGSGLGLFICRKITELLGGRIEV LSELGTGSVFRFFIKTRAVSPPTAMAAFVEPTALTPISSSSPSSSFSMSRTSSNQTPK KLVSREEGDRILVVEDNLINQTVLKRQLVKAGLSCDVVNNGLEALNTIRENNRQYRRG GVDRTKLYDVVLMDLEMPVMDGLTAIKEIRSAEAAGHLERNMVIALTGNARQGQIDQA LAEGMDDVVIKPYKIDKLLMKIKGMKAKRSELELNVIEDS L198_01569 MSTGASASASSPRSAMEGIVEENAQAGDDEGDVEKLLKKVELEK KIHQLQRRLELASVKANNGWTDMSIKDIEHKQLPATPSRHKAPLSVHTSSPMGNSQVA ASPAIPYEPPSPSRPWQLIDVLWQPLPPPSHGNYPPSPSSPMKRSREDDHPEAPRPSG HGITYPMSLASPGAKRVNGHRRASSSLSGHTLDRRMMAGPSSPLRTKFEDHEKKRRSH SHSTHDRSRRVGTTSQDVDAAKALTFMLGSGSEDGGGSMSRQSSSEAILPVPDSFASP IPTSPSANPRRLSMQSIQTTPRAGDLRTPSSHARSRVPPGSGSSAERDRPEEDKTAAE LMMFLAHSPSPMKSAKRGGPPDSPNRPSLGAAARVLFADEERSKQTPSSSSSTALSSA SSSFSAGSSLPSSIGHKTHSRGGSYSQSNLALAPPITPDSTDGSTLVQI L198_01570 MSCCSDLPPVQAEYTPKGTYTTFSGLKTYVVGPEDAKAAVLYTY DVFGFSPQILQGADLIASQGYRVVMPDFLVGKYATAELFGPGAEAKRNEYFSQFPGAI PTQSKPLADSIAALKAAYSRVAILGACWGYKAAVVTEGLAGVDVFLAVHPTFPAPEDA EKINVPALIISTSGEDKSVIDAIEKGVEAKNPGKNVFKHYADQVHGFAAARADLSGGA TLAAYVEAYQLFVKFLKEHL L198_01571 MSSSSPSISLDKSAPDQQRTAQERTPESVTRPIPVERKSTNSQH APSQDLKDHEPPPYSAFSKPTKYLIVGLGAIAAIFSPISSNIFVPAIPTLADQFHRSE SDISQAITIYLVFQAITPSIFGAMSDSFGRRPLYLATLVIYLCANIGLALTPTSTYAL LLVLRALQLTGGSAVIAIGYGCVADVAEPRERGTYAALFQSGALLGPALGPLIGGILT QTLGWRSIFWFLVIATGVILVPLTLILPETLRSLVGNGSIPPPKLNSSPMELYRNSKV SKKQAADGIQVEQVERPPRKPAIPTTLLLHATIRPRNHLDLLLDVFATIYAQDISPGK GGAVSASYNLVRCAFGAIGTATIQKMYKTLGAGWTFVLLTGLVAVFLPFPIAVIRNGK EWREARLQREESKKQSVEN L198_01572 MSPTATPVKDNNAPSPTITPLHDPSHQAKVIPQTKPLGASNPNI VPGMATDGAAPGLGHKALLSRKFAKANKATISPTDQIQSPCTAKLTSAKQRHFTKGKP ASLAQSFVAIRESSSASSPAPAGRKTDL L198_01573 MSAEEPDFLDQLIAAHEANPNPSQKEVTYEDFQKVLDSTPLFMR ETPEEGPDGNHPILDALKTLVFDGEGDEVALNLKNHGNELYGQKSYADAIVAYTQAID AKPADHRLRITLYNNRAASHLMLRKYSSVLEDTSSTIAIYSAGKKSGLDQDKGLVKAL WRSAQALVALRRWQEAGDVIPRGADLAKEVGEDTEGWDKLRVQVEKGIKQAEEHAERL RRETMTKLALKQAVSDRGLIILDTPSPPDNPNPPHFDPDSIPPTDNESGWAPPTPHTP VVFPVFLLYPSYSQSDFITHFHENSAFEDQLAVMFPTSPSNPQVPWTEWDEKHEYYVP NLVLYVETSEKRLLKVGKELTLREVLLKAKRDAKGSVKKDGVVLRDGLLSFVILIKGA QERAWIEEFKKARDAAQ L198_01574 MAEQAAPQEEQPNRINGIVRSVVMFLGVQMAIKYGMSYFGIGST PAAPKAPINPSDPASTPLANAPRPQATATPPAHPAWDLGSPLSIVFYTSTSPAADDID LASPLLQWDDLTYGNWADEREADLLLDVPESVQHNGSWWLHTVLVKDGGLPTQARAPG SVFSQSKLLTRFHTKRRIRKEKKLIGSSDEDEKEEEEEPVEVPHQIGSHWANNLTVSI VSGGGEVVPSGLAPPVLPAYTFVDSPSGRKYYPPVFFNDFWLMKESLYPINETTKTLP LHLNYAPISNMKHQLFSAMTQSFDQASAQGTGGGAEFDEIKRTLLETSPWLLITTAIV TLLHTLFEFLAFSSDVSHWRKKDRDLVGVSLNTILTNCFVQLVILLYLHDSSEETSFM ILFGQGIGLVIEAWKITKVTNVRIRPSTNVIGYSLNFEDKRVLTEDEKKTQEYDALAF KLVSYAAIPMLAVYTGYSCNYSTHRGWYSFIVSTLAQAIYMFGFVQLVPQLIINYKLK SVAHMPMKAMMYKTLSTVVDDFFAFCIRMPWLHRLACFRDDVVFLILLYQRWIYRVDY SRVNEYGQVNEGMVEDVGGDVKEGAKPETKKSK L198_01575 MARSTAWLRSISRQNLAAIIVLYIAALHLIGLYLFTQGFLLNRV TIPHISPAYEETIAPTHSKAVVIVIDALRTDFISPHYPEPFSPHHHGVLTVAAELTQS HPEHSLIFNAYSDPPTSTMQRIKGITTGSLPTFIDISSNFASTAIDEDSLVHQLVAAN KTVGFMGDDTWMNLFPSSFHPDMSHPYDSFNVEDLHTVDNGVIEHIFPYLHPDNQSSW DVLIGHFLGVDHAGHRVGPFRETMGTKLAQMDDVLRKVVDQIDDDTLLVVLGDHGMDD KGNHGGDSELEVASALWLYSKGAPIATDSSALRLGAVASGWPMYTYPGSSVPIRHVNQ IDIVPTISLLLGIPIPFNNLGSVIPECFGKDLETLELAQRLNAEGIWRFIEAYGDRDV KRGLEDVWKHAVSQTDDLEKSIIAHRTFTLAALSSLRALWAQFSVPLIILGSLVLVLS FFTLVALYVGVRNNGVQWDVYARLALETAIMGSGVLASVAGTVAGVYTRKPIVAIKVF VVAAAIISEVILILPLLVKFSTPSSFTINRYAGPIILAAHALSFASNSFIMWEDRVVL YLVITIPIIYLFKALSAPTADMRLKIIFLSLAFTLVSRLASAVTVCREEQQPYCSVTF FSGVTPTAPTWALMAILFVAFQLPRAIGITLANSKALAGPAPFMLGVLWRVVVLSNAV YWMLEFLESYEDLNPSKVPIVSFVKMWIARCSMGASLGAIPYVWLTSPLCISVERTAD QATGEEEVTVFGFANALGSTYLLYILGPFALVHLVTQPMGQLTLAAWLVGLLVYLELV DTRRDAIIFTSSFSASAENPAAFDPSALSATIARPTFTEVVPLVLAGFLTFFATGHQA VISSIQWKTAFVGFSSASYLFSPILVILNTWGAFFLTALCAPLLAVWNISPRPRGSIP VLAHGLQVVLAFLIYHTLIAVASAITAAWLRRHLMVWKVFAPRFMMSGVTLLVVDVGV ILGLVGLRVVSWKVKKTFGCESV L198_01576 MPPPILALVHYIHPALLSLPLPFLFAPKSSPPPALPGITPVTVQ VTSPRRGLVLTALALLAVTSFLDLSVLVGDILSARARYGEELPARLVGTELWAEVVYG VGELLVWGIALVATVWRERFGKGLLVLGVLGVVGEIVNLVFLVKRELHASGSEHLFSI LSLVPSSARLLLLPLLLSAVATPRVSFEPATERTGLLSESSEGTQSHSRETTAASDYG TFVPGTGVATPAPGPAQTDEQIAEQQRLQQEERNKQRKIKINKQLGSKRQPKKMLSLK EAWPKFKKILPMLWPSTSPKLQFYVGLTGLFILIERGLNPLLPITLGWVIAALGNWSS PVDVWKALGTYLFIRFIDSYGVISALQQYFWIPVVQYTDREMQLMSFNHLIDLSLSYH TKRNTGEVMRIVDRGSAINEVFRTCLFSVIPTIADTVIGLAVFLWLFGPLITFSIIGV MVPYCVFSFVATRITQKTRREYIDNDVRQRGIVSDVLTNWESVKYFTSESREAARFEE AVDDLLKVDYKWRMGYQVIYATQSLILVVAFAVGAVLLALRIMNGNGSSASFVVYVTY FSQFTRPLNQLSSLYKEISSDITDAEKLLALLGEQTDIKDKPDARELILTDGVIEFDN VTFSYDGKKDAVKNISFKLEKGQSLALVGQTGSGKSTILRLLYRFYDIQSGHIYIDGQ DISSVTQASLRRAIGIVPQDSVLWNDSIAANIAYGDPNATDEEVIEAAKAGRIHDKIM TFDEQYDTLVGERGVRLSGGEKQRVSLSRMFLKNPRILVLDEATSALDTETEREIQKS LVKLAEGRTSLSIAHRLSTIINSDKIVVMGDGEILEIGTYKELIDKDGTFATMWRRQI YTEAELLEGDAIDQFAHALPTAADFRHYRETGEAVKSPTAEKKQEGSHAGNGNVPQLE AAVPAAKEDVPEVDAKNDAEGFEVEQSAPAPGPIAEDPKALSFADTVKADAEPKTEEV EAEVEQASRESASEETKEEVAPKTEEVPEPEVKAPETFADKAAAPVAPSGTVPEPATP KVVPFPSASSPRPVSFPGPPSSSTPANHRLSTLSAYSASPITPGNEPPSPTKSDAVSG FSDKSGTPGPGTEDKRRKRLSSIKGFVRRISDQGGLTRSASGLKSPRVSTDGGLPETA ENEADERTTLLSPLTAEARTPTPGPAAKPQAPIKTHGGGSSSKEKKKNKRSRH L198_01577 MARDRLGNVNRQYGSVPPLLIQTVIDPRLYSEQPNPAPTYPPPG NGVIAPSRAPNPYAQQNTAYGANAGNPYQNQGGDPYAGAAYGQQQSYAMGGVNGGGGG DFWTELTNTNSALSQLQEQIQAVRQAHQASLSSTDPEAAGYAAKLNDQARTLREECKD QIKLLYKSAKGDRAQRTQAEGVKTRFQGLLQEHQVIEKEFRKKVKDRVERQYRIVKPD ATEDEIRQATESDNPQVFSQALLNSNRYGSARGAFREVQERHAEIQKIEKTLTELAQM FQEMAMLVEQQDETIVNVEQQAQGVDQDISAGLVQTDRAVESARKARRKKWICFWIVV VILCIIALVLGIYFGTRRN L198_01578 MPPRKSTAASARTSGRTKKAAGVAQPLLSFQSQGKPSRGATSKT KPSLQKESSAVSIPGTKADEGDDDDIQVVDEPQGTKDGSAKGGKKPQLKVKSKEWSKV LKEAEAAMGDLEPIHAGPNTHNDIHHILRVFDLSTKYGPCVGIARLQRWERAKQWGLD PPDEGEDDASYRENVLSAWL L198_01579 MTNSQTNGDGANGPSPTVPQPQSAHAPLSGQPSPLANASSVQPH GPSPPQAQGDHGAGQDLSALDDNSDNAKRPRLRLAHACDRCRRRKIKCDTQHPCTPCQ QSNNVCTFETPSRRTVKPKGTSAERVSGTGGVKRPHSPGRSFANLATGRGENQAGLEA RLAALESMLRDVPPNVHNAFLSTLDARLGSGTGVGIKEGGSGGEGVGVAAEALGGTTT NLSELVGMSTGYTPALSPYDQQNKLAADWGLNSSGGPDLNGWLGAGLGKKKEEVGMDE LAKKLGGMSFFYEDEIGQAKWQGATSGFPLLHLLAAHNASKEDDDEGAGEQEILTSPA AESTLSTSPNNPHLSRRVSSASTALNRTSTAQPKRSQSIGLGAIGRRASSMEGKRKER FFPDRTPRPHQTLNPEASWKVITGVIPPDLMDTLVRCYLSTSHLLWPFLHVPSFLADY ANPAQWGEPGFTCFIVAVCTLSSRHVDDPRVRANPNDPSTAGKQYFELFKRLRDLPSA DRPTLYSIQAAFLAAIYAFGLGNLSKAFALQAESITLCLDGGLHRSVDAYDHFDAVEK ETRKRTFWSIYSWDKQSAALFGRPPIIHLRDCDVTEPIIVDDENLVPEGIKDDAINPK SRMCAFVATIRLHVILEGVIDSATSPSAFPTSPFLARAAATIARRMPQTETLRAEEEL LEEWKRILPKYWNYDTDTANSRDPIRITQAERLHCLEHLVKMIIYRHRFSGFVAIPAC TPEERTRHLDLCKKAMQCALTIIADHVHISQRGMMTYYGVHVIHQLAQAGRTLVAVIL NCRNTDFRPIIAPSIEGLRSCVGLLRRFSGRYLCGLRSADIIDEFCRVCNIPVDSPRV PDSAGRPSPAWLRPVRKHIATTPPISDSPASSGMMNFDPNALHSTDSGRPSLGGLGGL GSSAPELEALFNTAAYFNVPGEQDVNPVASTRESGSGGFMPTLGGLGEASAPFDRFET LTPNASLGNGNAMLSGQDADSNNYTYNANASASDADQPMSEHQQQAGARAGNLSFDYG MNGQGFGGESLATAGMDNVSDGLKQGGSALSAATILSLMEEGSFDYGSVFTDQAP L198_01580 MTTAAPHTPPAQHNDADLDGKPQVLKPSPTHSIDYKDAAESPEV LEKTRRKYSLGLYHWTRDMWEHARQDVARRSSVSSTESDVPSPRKHQRSYNSDVGKDV GAVPAYH L198_01581 MEPSPSTSHAKPHAPQAKQPWYKQLSFQKSKKVFWRHLQFVGPG LVSSVAYIDPGNWATDLEAGADYGYKLLFVVLMAGLAAVVLQLLSVRLGTTTGLSLPA QTRILFLRLKERYPKYRIPLTIGLWSLYLLAELAIIATDLAELLGSAIALHLLFPKLP LFAGVIITAVDVLVVLLFFRSSSGRQGMMFFEFVIVALVLAVFISFMILLKLIHPVWK DVFFGLVPSHTLVKPGALYIGVGIIGATVMPHALFLGSFLAGVDRLNMVPTPPTKNVP KNIPMPSLNPFRRNRSPSPADSELGACASSLSRTASNTNIPEESPELGTVKNVDLVVE DSGMGKEDEAFALAQREYEREVRMFDRIRWVDLHLFHVTIDTTLSLLGFALTINASIL TLAGAAYYYNTDSSAENAADLFGAFALIKSYIGNAAAIIFALALLCAGQSASITATLA GQVVSEGFINWKTSPFLRRLATRLIGVIPAAIVAAAVGAQGINIMLVASQVLLSIVLP TVIFPLVYLCSKVDLMTVQGPEVEDFELRHVDTPALAPSAEQEPVESSERTAVETPTG NDVVEPVSEGRRSKSYVSPKWVTVLGYALFGLVVLANGYVIVELCLGNG L198_01582 MGVMTPAVNQLALVKRVWERIAPLQLAERAWDNVGPIIEAPYPN YANRQVLLTIDLTASVCAEALALPSLSVIIAYHPPIFRGLKSVTLSDPIQASLLKLAA EGISVFSPHTSLDATPNGINNWQVALDTFK L198_01583 MGGYAELSSPLDVREAVRMVKAHLGLKYVQLAEPAVVQPVTSLA VCAGSGGSVFKGIDAKLLITGEMSHHEVLAYVASGTSVILTNHTNTERGYLSAVLKPW LQDELDKEAASDEDASSGAKWEVLVSKADADPLRVV L198_01584 MTTNSPDLSASAPSKEDQYRQLRRPPSQSRLSSLMVPGRSRSGS TASQNSVNVEDGGATGRITPEGRRRAGKAATTSLLANDAKYKKFRYQVDKSLQSFESI NEWADFISFLSRLLKTLQSQSPPYNEIPRKLIVGKRLAQCLNPALPSGVHQRALDVYA YIFSIIGVDGLERDLTIWSSGLFPFFQYAATSVRPLLINIYETYYLPLQVQLRPATKA FILALLPGIEEESGDFFDKILFLLDRLSGAVTPTFFLQNTFLILITSSTSRLSALNYL SRRMLKPPDHPEATVETGLLIRGFAAVLGDDNMLVRRSGLELLLRVLKLDSGVYKSAT DKDKELLMRAVTDVVLQKELSLSRRVYTWLLGPGDTPTDQLRFFKSHGLDLLASTVLT DMLELETQKDRSDNENGPDLQRPFKVFLSLLDKWEVGVALSRKVTINALRIVRRAQLE GTPGASDTASALYDAVEPTVIWKDLSTAVIDEVSGGEAHDLELILWLLRNVPQTDEEV TNIHVPSLLQCLLAEDRKNAALSLAVHLIETVPTTILTRSSTTIDWASDSPPVSQVLY SEDRLPETIESRIQAETLPSIIDDAFAINMSTIDATDTTFLLNSIRLVSALIESETPS LALVDGSSWLSAVVRGLNKVSTFVVVESLVCVALKASRCAFIQPPLDLTSETNMFAFL DSLFRYLRPSASLYHSRAVELLWDFNQLAEIHTLENVIARRMAQRPFNSSAFEAFGVF WRLTDDSMLPGEIFNVPICAVLDSLNSSDPNIQRHAETWLRLNLRSYLRVVDPIMSRL IDPVIKYNSSKGVYDGPVDLGRITYYIEAIATLFQFGGQGLSKTFAAQEFGSSLHSTF VHRAEGQFPEIKTYLELLVMILIRFLETEEDSRRGNTQPLVVRLQGAALSLLQTVVSR GDVPYPLLNDLKAKLVENLISAVQKGHLTLQSKMLHLLHSAIHASAPRRDHPSRVASH THRRAASSIFEKPPPLEISPSEFEYQLVVMIMRGVVTPSNIPVLQHWVDFVLMTVPTL ASRPALLHTLVECFSQETRELVQRMRDAHEKASGHRDEVIHDETLSVTDAEVVMTLNA LERVLTLLGNQALGRADETPNPQTESGSRILGLVSNVFTVEAPSIDPKAESPRYLDDA IHALLVTWSATLPPQTSDALNYAPYEVIHSRAKRVLEKAFTAQPLAVMSSCVHVWSLC SEQVSDTAIFDCIDALTPSAQKVLELTCELASGKTGRMIPDYRVDPSYLAFLEAYVSR LEAPIAVQIWSTLFSFARDVAGGVTSSSSRAQLYPTLQCLTNVCLTISKTTALEDRRL RRDVQDIYVKLLDLVVSNVSKIAEGGIWDRTTMAKEDSEEDTNVEKGLEQIFDYLSST IIPNLRALLVEADRVNSACSGIAVSIIAPAFRQQKVDVPILRLVLQLSHIPSATKTWR SYISDSFGDSRLFKTKPPIEVAYWKALVLALFDADKERFPDLLSRITSASSANINIFT NREQEMLVKCGNLRRLSLLLLSAERNHYLGQLPGIQERLVEMLRSSSLSARVHSDVYL CLRILMCRISPQHLANFWPVILAELLRIFEQTLDEPPENNSEDLQLVLAACKFLDLLL VIHHQWMFVTDTTDAAYPPEEFVPESIMDRLADVLSELDNKPSPNLSQEELVPLASPT TQGPAPLRRPRLSGVKTMTSLHQLQPFLARASMDTFESVYGDYGVDWDGIEESLTGEI FDA L198_01585 MSHGHTSARHSGGIESGDEIDTETKIAMLASLVDPSSFSTDQYL EALASSQGNVASAAEQLLMPRSITVLGKRKANPTLKGWLGQPTGATVNKGKSNEAVDS TAASKPFKTQASSPKHAKSNDTSPNAFSLPKQSSSTTSISVQPRTQPQGPIFLSSQAS IDAQSLPLSVFAQPLPPSLASALYLLMMEESEKWGNNRFYIAGKAAKSPHTTSFYARR EGGYGNGRYFYAGMEQGPAKNYFPVLEEAASLVEDIVNEHLQQRQRYPLEWAGRWRAN VCGANRYDGAKSSVGWHADQLTYLGPYTTIASLSLGTTRSFRLRETPVSDRAFAVNDK PPRTFELTLSHNSLCLMDAGCQERFKHTVPTQKAIDMYRARFDTNQNPIAEHKQAAST SRINITFRFYREAFYERIKRPKHGHVKHQKQYESQNTTSLTTI L198_01586 MPSDTIISANDSTSNKDASNSRSSSSVAPSATNGSLSQEEESTR QESLGKEDTPNASASASSSGMRARHNNASGQEDHRANEVLAFRTLSKEEREIYETMGI IFSYSYD L198_01587 MNVAAGPELAAAVSNAGGLGVIGGLRYTPKQLRNVIKEIKDGLK SPDLPFGVDLLIPSLGENARKTNYDYTGGKLNELIDVIVEEKAKLFVCAVGVPPKEVV DKLHKHGIFVMNMVGSPKHVPKALAQGVDIICAQATDTNQGGEGGGHTGRTAFSILIP ACVDACKGKKSPLTGGPVHVIAAGGIFDGRGLAAALMYGAQAVWVGTRFVASTEAGAP KIHKDLVVSANHGDTDTTLIYTGRPLRVRQTDYVKSWASRHDEIASLTKEGKIPHDVE LEKHPELSMKGRSWLMGDVSAMIKDVKPAKEIVDDIVNGAKQCIEHGQSFIAGGSTRA KL L198_01588 MPKSTNKSAAGPPSPSSTAPEQPGNEQPTVSQQPAPAQTSTKAS ASASSSAPPSHAHPAFPPAPPLNNLPTTETDIISLLTLAPHQILSTTIPLLAKVADGK PFEGANLKPIERKKMGENTLALYSEGDNLYGIDDEVLKNAAGALVFILSARMDSGKKE PTVYNDRLFEFSITVCALAEPAELRAAPSRVDFFAWELLRLAQRLDRVNEALSALAIL VEKVAYRNTFSATFGALLEACLLTRKYDHAPYVLDQIFLDVTTCAPKYLDFLTYYHHA GTVAVALGDLKLAKRHFVMAVTLPTHNASAIQISCAKRAVLCELITTGKKLSLPKYTP VAVSRVLDKSMVPYNELAKEYDGRNWKNARKAAAHPDFEKDCNRGLADLALNNIYRHM ILRRRSTYSRLTVEQLVDRMRVSGEAPDVEEVMYTLDEMVRSGEIEATLTPSPSNPES NAEAIINFSTASKTWITSESLEELHRANQLSVLFEKELVKGGQALGTSKEYLQKAAQS SDLSGKKGTNKGAEFDQLMDAEDSEDPRASSGGRQKRNGVRGVGGNLGDMGF L198_01589 MFGNSIASSSTPSKPTSPSTALPARPPPRSSRRQMPSSAAMFVF AMVVPMVAANPIPIDSQTRPRLRISPSSIAALLPPNLPSPPSMSSFPLVHLFSATGES LARRTNVTGPILDVALYDPSSQAYRLPDNYIKLNQHLTPGYIVLSYAIAFVGSLCTLE LLIRRTTNSGWRNQVLLTAAGICFGAVSTFAMHFIFNNALVLKHPIHSEYPDIYLAYN AGFTVLSLVVSCLAMTFAFYVMGTKFSDWSFKKSAKKSSRGRIKRGSDNRASIDADEY REWKSTHRKVLRRGTIGPGIPPRGGSQSNWSALEPESYSQRPSIKDRMIFGSRMRSGW KDDLGNMYGERDKDLRELEFRLGKVAVERELAKRANGDREDTHDDCHPPSRRPSLILA HDFAGQRPSSRRGSTIFTPGFNFPSSTFTQTHHEDPGPLRPASPDTPFPSSARLEWRR SSLPTDMLSSQRIERPFPGHTDLARILSLPEGDVDPNLSTSPDRSHASQGSQSEDEGK GSLEDDVTELNHEKSNYDGYIKKKKANMVDKNGVWGKLGVILGFDVVTLGEVVKIFVT GAVAGFGVVGMHYIGQASIIGIPYVAYRPGYVVGSVVIACGAVIIALYIMFIMLRPKL KHTWWSKILVALILTVAVCAMHFCGMMGTIYAWPRDKGTSKHSQLTGTNAAITGVVAA LAFTACIACAVFFVLHSLHQRREQARRRRVVVASVMFDDRDRILVSSTDGMLPMCDIA NLTGGVHSSSGKASFIQSMTSDSTVLGLDLSTGHEAFVSALKLSWLWKSPAGSHMSAS GSIDGKSQTQSENNFAATLADIRRGSMGTSNITTTATGSRAVPISITKFLEKFSISAG QLALRLTGQMNGITRLGVLYDQILTTGWVKLENSTDTVSKGQLIFLVRRVTSAAERFD LQSRHFMFAEPAAVASALHRTLSVPYDHIMPLLSDIRTFCDSTVHCTIQPGKLYAGVA VVQATPFDGLRILLEKDNRSQLPMREICTLGAPPSEEEGLSGTAEEMGEALSLLQGLT MLSVITRHVELDIDATDQALSQRVNALLAALERAIVPMLDDILTGEDMIHILPRLSLH PILIPLTPGGRQLATLPGKRSAYTPPYTIVFYANYDAAVNTFTDQWLPFGLFRAQSSC VTAQKVQVGQRMEKIWAETDEESGHAPGRRPSKVQFDFPSHSGGQSSSTAASSSGPAF DNNLFNGFSFTPKTEDGVDGGNPDTVQRRSSLKQSTFVPTQVRKNSSVPSRVNSVASS SDGPSGGSTTGTLGLGIRDVNMGLGTAEGPSMAKEIVMRQVLPGVGLWDQDWLLNLLR SKLRAEA L198_01590 MSSFGHSYRVHTYGESHCKSVGCIVDGVPPGLQLTEEDIQIQLS RRRPGQSDITTARSEYDTVHVQSGTEHGVTLGTPIGLLVHNKDQRPHDYAETDLYPRP SHADYTYLAKYGLKASSGGGRASARETIGRVAAGAIAEKYLKEAFGVEIVAFVASVGK TALPFVDEEDEVLGKQYMDLVNTVTREQVDKEITRCPHRETSIKMEETIRAAKARDDS LGGSVTCVIRRAPLGLGEPCFDKLEAVLAHAMLSIPSTKSFEIGSGIRGTTFPGSSHN DPFVEGIDAKTGGRRLRTETNWSGGVQGGISNGEDIYFRVGFKPPATIAQEQSTARYD GTGGVLAAKGRHDPCVVPRAVPIVETMAAIVIMDMVLQQNARMTAASLLPDLTHLPPT MVLPGKSTVKKVVEGSESLGEVQSQKPGEE L198_01591 MPRPGQAAARRKRARISTTPPPSTPTSKSATQQEDGGSPNPRAQ CLPMADLPADFDGVPEDGAQYLAMIYKANKSLPFSTRMEGWVSEAEMEIEVVAETGAK NKERHVALPKSSWEATFPIHFQGYRKNLAERWPPATRLPYPSDYPPLPLANRRSEWYM FVNGYSMDNIPSQTDRRKGKGKEKVALTEEEAMNEAVEQVEVEEEDDWKKSKGAPREP LVSLLQQLNSNQAVQVLSHFGHWLTEAITQLPPPLPSSPELLPTQPGGEGKREETSPP PRPPNPFPPHYARWILALLMLVDPYLSGNQTSTLRDLARSAMRVAGWRWVRAVVARDV GEDWRLGAGGWGLLTEAEERDGEESVDEMLARCWTVVHAVAAGWAQRDLLDDLETLFT L198_01592 MPSLSTEAIQNLAKSPQAGNATQTASHPIKNGESSTHANGSKDV PAPQVEAATSSDMPRQTKKEGPKYIQPDHFSPMNNNPNGPEVPSRGIPNIGDGWLSPE DDPSALRGIPVFKPTMEEFRDFEGYAHKTTAWGQYSGICKIIPPTEWTQSLPPITAST LSSVEIKEPIQQNLIGSGGLFRIANVARNKRRPLTIEEWFDKCQEKKFTGPGPKDLDR TVNRDSKEAIEHRARVKQEIQQEKERKKEENKRRRENKAKKEAEEADAADEELSMNEG GRRQDSKRTTFVKQESSLLFPNPTEPELDDPSLAGEPRPFYRTFDFTKDWLAEGTTLE DYTPESCVALERRLWKNLGLGEPSWYGADTEGSLFADKDTPWNVAHLPNLLNRWDLRH LPGVNAPYLYFGMWGASFAWHVEDMDLFSINYIHFGAPKFWYAIPQQQAERFERILQA YFPEESRACDQFLRHKSFAVSPYRLAKEGLHVNMLQHNQGEFVITYPRGYHAGFNMGF NCAESVNFALESWVELGRRAKACQCVNHSVRIDVDEMLSSEAKRLQGEQELLEAIVEE RKKPRKRPSSEDKATPRKKIKSAPSVLDLPIEPPVALPPLAPAPKKRRPKKVLPLSSP AVETKAVPPRDIRTCPCLFCPGSSVEDLLPVWAPPESVKAKWYPRDGPVTVHHSCALP MPGVGIEDMQLADGSVRAFVVGAENVQGARWNLKCASCSDKRMQKMGAKLQCTKGKCP RAFHVSCAHVDASVRLNVWVVEPPPLSTHPSPVNTLEVEDPIKVELLCPQHNPDMKER LEKQKAQALRDKVLSIPLGSKVKIKSEGASLEYVLLAISGQLEQISVQVPAGERSVFP WSSIDLRPSQAKLQENEYARTHTHTRRPDPASMDAPRPSPTPQPALARPLRMDEMLNP TPSGRQRYEDSFKRQSNMPVPVPSGYYIQAPAPTRMVHPHPMTCPPSPKSYAFPSQWH QPQPQPFHDGAQRSTSATGPPPPQAWPRNPPVTSQSGHPGYIYSAITPYHSLPLNGRP SISADPRTSNSAAQNPAAPASRAGPPPPVGSDASGVGKIDLGLSRMQAIMSGLQPLTV PAIHLSGTNGKGSVSALLESVFMAAGLQVGRYNSPHLLEPRDAIRINGIPPSKEKYEE AIRIVEGINRGSKLGATSFEIATSAALWLINDLRVDVMIIECGMGGARDATNILPPER TLASALTTVGLDHTNFLGDTIAKITHEKASIAVEGAVFVVGRQMHEEVPHVARGVAEA RGARVFDALPTEIHSVRLPPMSLYPFRPPPAIPVRTHLSPIRDLDFSVIDTQMPLPGK HQLDNLSAALAILHALRNDARALDIMPTLGRLSGHIIQRGVFNCHWEGRCSWIDWRDG QRSHPILVDGAHNADSAHALRGYLNSLDVEGPRLPTRFILSLSSSPGKTVESVLAPLL LPGDEVEVVDFTTPVEGMPWIKTVPVEEAVQVASRYVGPQAVVMGGKGVKGVHRALVR ARDEGRLPVACGSLYLVADVYRVLQGAR L198_01593 MLRPYIFAAAQYGIGFGPWNQTKLPLCQPGCTKHSASPANGHAV TYKRFGYAWRRSPPDFITGIYILFFRLLERHSDRAGTRQGNTSFTHNSKQLGGVFSGN GLPLSEMQDLEWQRDTMCQDPHTSQGLPALALRGKVQGYWRGKFLFYDYELYREMTEG NMRRVYTGMFADQAVEMELKEIVVKVREEDVGGDGPMFGAGFKDLDNVDEEVKAVEEG YGHEIVGDDEPVPEGWTKEILLAGRGRNAWGWCRIRGRVRSWDGLVILCLTYARVPGT TWLWRGYTLSGGYLTGRWHDTFTNSTDSKSYEGPFSFVRAGDPCYPPHFPQRLQESLG VDTFGGGMPSAPIPIAIPSEGPGGSKPWHAQYPPHPNMDVEAVPKRRAVAQVEDEDRG KEETTSIISPGKPENQDNDTRTPCNIRCSSIRGRFMASQ L198_01594 MPHLASLPRHIQSRIAYHTVLDAPNAHPAALLPLLLACRTLNHN LTFAHNPQLYHELYLATFDSTAVTRRYQWMLTHIFTRGVKGYNLFSDPRPWAIDYRTR WELCGRWREVAKLGTIDIPGLCDYARFAEDLWDVFFLLSENGKLKPHSEQSLAADPSK TKRTWFS L198_01595 MPLPYGRPWLLEISDQPWCPPYLRDPVRDMLTFLWTHRVPPFQH RAPYEGAVEVLNQVVDEIETYDRDSGIRGETMRIVDFGSGAGGPVRKVEAALNERRLA DNNTPVPVSLSDIHPVPSAISDSTSPTLSYIHEPVNAFHAPKSVSSLKHLRTFFLSFH HFNNDHGRQIIADSMRSAEALCIFELQECTLGSVIMILMLAPLTWILTPLLRPSAKTL FFTYLIPLTPLILVFDGLISVYRTRTPKQILYLAKLANLSLALEGEAKDNGDTDWRWE YGYKRHTWPFGRLSWVVGRRDRRDDPGATETETETEGEWSEAETGHDM L198_01596 MQQPSSDVQDIVIDDNSPAPIEVEDVPDVHLYEAYAAKCMPELG LEIEDFQFQTWRIEHWSQQPKRIVGPEFSCGGHKWRILLFPQGNANGQPNDMVSVYLD YANPKQAPEGWHACAQFCLAISNPWDSTIQTSSHAHHRFVAEECDWGFTRFVDLRKLY TADPANGKNRPTIENDEVEITAFVRVLKDPTGVLWHNFVNYDSKKETGHVGLKNQGAT CYMNSLLQSLFCTNYFRKAVYQIPTEDDIPSESLALALQRVFYHLQTSNQPVGTTELT KSFGWKSLDSFMQHDVQEFSRILQDKLEIKMKGTPAEGAIPRLFKGQMKNYIKCIDVD FESSVVEDFYDIQLTIKGLKDLRASFNEYVSVETLDGENKYQAEGHGLQAAKKGVIFQ SFPPVLHLQLRRFEYDIEKDALVKINDRHEFPYELDLGDFLDESADRSQSHVYKLHGV LVHSGDLHGGHYFALIKPEKDGRWFKFDDDRVTPVTDKEVLEDNFGGDMVSGLVPSHQ RTQARTLKKFTNAYMLVYVRETELDTVLAPFTESDTPPHLKARLDHERELLEAKKKEK DEQHLYLTAKIITDDIFSQHQGFDLASFEDKNIPATDLPTFRVLKNETYAVFKSRVAE HFKIPEKDFRLWVLVNRQNKTTRPDVPIHDSDGAQSMESIRNTMAARATDLRLYLDFN PDHTQFNTLHADPNNHPIMLFLKWFDCSRQTLLGQGKVFVDKYQKTSDLFGIIQEKMG WPSSTPIKLYEEIKAGMIEAMKVKQSFVQNEIQDGDIICYQVELPEKEVSDLDAQGLY SSVPAFYDFLQNRILVHFKPRYEDKSNVAPEFELILSKKSTYEVMANRVGDYLKHDPL KLRFTSAHNQNGAPKNTVKRGLNQSVADITQTSYYSQHSHIVIYYELLDISIVELETK KSLKVIWTGVHNKEETTHPFLLPKTHTFTDVAEQLSKLVKLEAGGSGKIRIFDISSSG RSQREHTGAEMIGNLAEPTELFAEEVPVEEASAGEGTKVVNVFHYWREPTRTHGVPCK FVLLEGEPFSETKLRLQKRLGVSEKEFSKFKFSLVTSTVFKQPSIVEENDTIYDHKWA QDDALGLDHVDKRPSKSSGEKGIVMR L198_01597 MGILNKFKDQFSSSNPEYDFPAPAAPLPLGPDAIYRYRKQRGVN LGSWFSLEQWICPHVFRGAAGPGQSDFDVASGKDAKRNLEEHWDTWITEDDFKWIASK GFNSVRLPVGYYHLCGALPEVLKNTDFEPFHHIYEGAWGRIERAVQTAGNYGLGVLID LHGAAGAQNHDAHAGLSKGKIGFWDSKSNQASTSLALRFLASKFAPMPHVVGLELLNE PQNHPKLQPWYEKAINYVRTVAPADFPLYCSDAWDTDHFARFVGGRSDFVVLDHHMYR CFTDEDKRMSGYDHANELKGNYRGRFAGQCEAAKGALVVGEWSASLDNGSFGHGMPDG EKDAQRRAFVAAQLELFESHSAGYWYWTFKKGEGWDAGWSAQNGSQAEILPGWVGSKQ FKGMPPDHIKQQELSNNHGKCSHTNYWANNGGSPDPTVYAPGFSQGWDDALMFLSAQG TPSELGFIHQWAQRRKPEYEASAGKKLGKAEWEWEHGFKQGVEAAARCCLG L198_01598 MGDALAVEEQRSLMSARSLTPEPVLLTQSRSELVKRCRAMIIKL LPVEVEPSQITDATSSIITPEVIAAFAKCGGDFGETIPFALLRAQTNFISEAYKNPAD YDENTCRGLAAEVLARRIVHNLPTDRLESVMSTRYRYRESDGDVSAPTSALETAIDQH CTDFLSSSEAQHVVNSLWRGDWVQRNNDDMDIDYVPYEPVETDSFWRHLNPDRMAVPR YQAVFKILVWFVFLFVYSQTVQGPLEHFDADRKWDFYEVLLYFLSGSFLIEGKSFLPG GRKFADGKIVRVAPRPMAAVGFWTVVNIIIDVLLVTAFGLRVAGLSVDPSDTDTSQHL HFRSFQVLSCVAPFIWMKLLTVFDGFQTVGTLQVVVSRMLRESTIFFILLTIMGVGFV QSLYALDAADGESGGKAIVINNLIQALLGSPDFESPSERFGYPFGLIVFYGWNFVATI ILVNVLIALFGSAYSDVTDNETDEYLVFFAHKTIDLIRAPDSYVYPAPFNLIEAFFIA PLEFVLSNEKYIALNRVVMTAIFVVPLTVIALFESQIAHSKSRRLRQYFQGPPPDEDD DPKIEDPSCDEDEGGEISTVKFEELISVFPNTAVTESSVIHREIRSVKEQLERLEKLL EAKGGFK L198_01599 MTIDLRPDLVVEIPIPSVCKLMKNMKNIKNMKTGGRELLEPHMM GAPSE L198_01600 MSYADYITGISARFWEKVRFPNKFTRDVVWGIVLGITVSLSSTS AALIVQDWRRKRAMRRIPPRPIELRSDEIVPGTIGLIGNTPLMRINSLSDALGVEIMG KAEFLNPGGSVKDRVALQIIEDAEASGLLHPHTGSVLFEGTVGSTGISLATVGKAKGY ECCIIMPDDVAIEKVQVLEKLGAIVERVRPASIVDEKQFVNLARKRALEFGQTELTNT PTHGNAVAVSTLAAPSEVEHDNFLPSSLEHKPRGFFADQFENESNYLAHYKGTGPEIL RQSSGNLDAFVSGAGTGGTIAGTGCFLKKALPNLTIALSDPEGSGLFNKVRYNVMFDM KEREGTKRRHQVDTVVEGIGDTFLHASLLTLQQITHNFSLGLPVIDDAFRISDVEAVA MSRYLVQHDGLFLGSSSACNLVACVRLAKTLKKGARIATILCDSGARHQSKFWSDDYL KKHNIPIDTTIIDTLLRT L198_01601 MSSEAERKRRDALDALKRKKVSSSRPPVSRSPSIEALDDPDVLV PPSSPGQTPVQQSKYFGGSRAANDSKEAPGQNGNSGGSTSQKKNPISLAGSPQDVLRA IHGHKSAQEPIVVSPGSPDTPQPPRRLKRRYVDPDEEESPMKPSPLPARNGSSSRAPS LVLAAQLAQNGEEEKMGNKLKTQFPHIPRSTILSSLRKHSSDYDKAVLEISQMSSAVK EQQTTSAGPSSSSLQRNSTPISASAVSSDPLRNGSNPKKNESSTIYDRRKRAKRDDGS EDEFSGGGESDSDFSDDGSRKKRRGEDDEDELDDAQEAALRAFNNDTADSIAGTIACR PEQATSIIKHRPYNDVDEVREKLGKARGVSFKLFEQYSEIMEGFVQIDSCLNRCDAIA QEVARTLAVWRGASDKQDGSVVGTPKADSLNDVKVDVAKVSELLKNETDMKKKKILKQ YIQTQPALLSPGTVLKDYQLLGVNWLNLLYSKKIGCILADEMGLGKTIQVIAFIAALN ERSIAGPHLILVPASTLENWTREFKRFAPSIDVQTYYGSQSERASLRNELKQQYRAGE LEVVLASYTQMSSADDLSFFRRKIEFETCIYDEGHKLKSCTTKAYTDLLSIKPKWRLL LTGTPLQNNLQELVSLLMFIHKETFNDAEPYLRAIFKSQGHASLLSHTRTTRARTMLT PFVLRRRKATVLSLPPKIETVERCEMTAAQSKLYKETMRKSKKVLGEMSEEALEGAAE DVDAAGGKKPAAKKGAKPKKASGLTTSGSNILMDLRKAASHPLLFRRLYDDAKIKKLA KECLNTPSYCDSNLDYVIEDLEYMSDFEISNFCSSSEEAELRKYALDPKVFLEGGKIT ALVDIINRCKADGKRILLFSQFVMILDILEKALDHLGIRYTRLDGQTKTDERQGLVDE FNEDTEITLFLLSTKAGGVGINLTAASVVVIYDQDFNPHNDRQAADRAYRIGQEREVE VIKLVSKGSIDEDMLDIGLAKLQLDDMVGGEETTNDGTDAGGGDDKTAKETRKSLLTQ LRTKFNDVEGTES L198_01602 MTIHSLYIFDRHCDCVYYQDWHRTRPIRPPPPSSFKPGVHRIPS IPHPSDNVRPSIFDENHNPRANVVSVQDGLGGGSGRAPKGLPFDEESKLVYGVLISLR SMVKRLSGRDEAFTSYSTPQYKLHLFESPTGYKFVLLSDPSSDSLRFVLRQLYVGPFL EYVVRNPLVKMDSREEGIDNDLFRGAVDRHMRGLTMFGS L198_01603 MWGGRPASPTFSTTTTGSKINLPENHTLITRKDLRQSTACFEEL MAAAKAYRNALLAMSSATAAFATAMEACSRVKGCRSSNSALAGASGLQYLVSNHEQLL ADTVYRQFEIPLLEALDEYKLVTADRLAQYEKSLHEQSQKIRKTEADNLKVGRRRKRD LQQFREALAELQRQVDDLDTIKASYHEEVLEGEDEVWETVLGRVAFVVRSQLDFYEKI AGKASDPILEPMVMSIPDPFDAYGPPKEEGQIFSVLAPLGLLDSSAPQSPKPGLPRTS SPTPASATSPGPSASPPKSPHSQLLSPTKQSSSSSSINADNVLGQFNEWLDEADGGDE IGRKSSRERRELSVIDETQDGVSVLASEGGERDDVSARG L198_01604 MQSGRQKYQQYQHNVPIEILNPGGGTDEDAPSLTITMLGAGQEV GRSCCVIEHRGKKIVCDAGLHPAHPGIGALPFIDELDWSTVDAILVTHFHVDHAAALP YIMEKTNFKDGNGKVYMTHATRAIYGLTMMDTVRVNDQNPDSSSRLYDEADVQASWVS SIAVDYHQDIVISGGLRFTPYHAGHVLGASMFMIEIAGLKILYTGDYSREEDRHLVIA EVPPVKPDVLICESTFGVHTLPDRKDKEEQFTTLVSNIVKRGGRCLMPIPSFGNGQEL ALLLDEYWNDHPELQNVPVYFASMLFQRGMRVYKTYVHTMNSNIRSRFARRDNPFDFK FVKWLKDPQKLKETKGPCVVMASAQFMSFGLSRDLLEEWAPDPKNGVIVTGYSIEGTM ARTLLGEPDHIESVKGGTINRRLTVKEISFGAHVDYAQNSKFIQEIGAQHIVLVHGES SQMLRLRAALRDTYASRGQEVNIHTPKNCEPLTLNFRQERVVKAIGTLADVRPEHGTR VKGLLVSKDFSYTLLDPKDLRDFTGLSTSTIVQKQTVPIGVDWSVVRWYLEGMYGEVE EGVDGEGKEKFTVMNGVEVVRVLETAVELRWSSSSSNDMIADSALALLLGIDGSPATV KLTSAPNRHACNHGHLHAPAPKETVTSPEFERLKMFLEAHFGDVSGPHIEAPQNGEEE WLSMEVKIDNHEAKIDLITMKVESDNVDLQKRVENVLEMALTTVKSLSQTFMGSGLDF VAAQKTEV L198_01605 MSLPNFPSSPHRPPAESSPVLIDLPSAGAGNHLPIWLLALCGAF TAVATGFSVMSIVLQLKNYRKPALQRAVAFVIYTFLQLLITYLGGERSLLITLHGRPP IPHPFPVGLFLKPMDVSDPWVLLNLKRGVLQYVQVKPLLVVVTVISKATGTYQEGKFS AASGYTYVSVVYNISICLSLYCLAMFWVAVNKDLKPFRPVPKFLCVKGILFFSFWQGI GISLLVAMHAIKSVGPYTDAEHMSLALVDSLICFEMPIFAIAHQYAFQASDYIDNSLI HAARLPVLYAFRDAFGFKDVWQDTKDTFKGRGVSYQAYEPAEGGLHYGLGRQRRIRAG LRYSKGGKAKYWMPTPESEARSKSVIRPFTSLKRRVDETLAQREGYAPLLPQQANQVV HDDPYAPSHGWTGGLFSSSDSEDSDAPSLDFHSAEEEEDGLYERARRIGYAGFPNVDV SREEATRRRREEEEEILAGRRIRGEREAFRRTRTESGTKSGKGKGKERVYGAWADRDP MERVGSASSNRSQSSHLKPKPLPTKRSKSPLPSPLSPSSPFSIGEDEDDNGDEEGSQG DVDEPAKRPGKKGKKVLPPDAVDLVKDDHEAVEAARERERRRGEPQTKAPKHVYRRTI GEADDEKGRVEEVQRVYAHAPGEHGADPEPEEVVDEVETSVIVDPPKHTMTLDSIDDD NPWA L198_01606 MDKPVGKAPSFAGSWTKLNPPLSPWISNEITKMGFTKMTPVQAG TIPRAIKNQDCVVEAVTGSGKTLSFVIPVLERLSKRDYSVKRGEVAAIVIAPTRELAH QINAVFNQFLSALVPPEPEVDENGEPIPVASSSRSPSPEPYEKPQIPLSMLITSGTPT PYETFQATSPAILVGTPGRLASFLLNPRGLSLVKVSDFDVLVLDEADRLLSSPDHRRD VERIMKHLPKQRRTHLFSATMTDAVEDMIGLGLRNPVRIVVNLKEKKQAGQEQKERRT PMALQNTYLTCRNAEKTLQLIRLLQSESRAPFEASKYIVYFSTCAAVDYFYRVLSRLP RLSKFHLTSLHGDLPPKIRETALETFTTHPSSCLNPSVLLCTDVAARGVDFNDIDVVI QYDPPTDPKTFSHRAGRTARAGRKGKAVVLLAKGREEDYVGFLETRKIPLTEHAYVSA DLEPVPMPSTLDSAAVDLMTEIRKIILTDRELADKGAKTFVSAYRAYSKHEASFIFRT ADLDFHQQGIAYGLLRLPAMPEIKDWRKKREGEKKKIAEWKEEGKDVEEKEDIPWEDE DVNWDTFGYTSKQREQARLTTLAQKAAAKTSVSALAVKAEARAKRKIKAEMREAWSDK KDRKAKKEERHDKRDKRKQAEWEKEQAEGEGEGQQGDLERIIRMKEREAREAKRRKLE EEEDDSADEAMGKEYQSLKREVKEERKSRKANKGGEAVSTGGMFDDLE L198_01607 MNPFRTTLLQASTSFRSSVRRAAAPVVTRPAAQLPWKRCFADSP KPPRSGLDTEQQKARDQSTVGPFTLKAAGFFIVTGVGLYMYFESEKTKVQQRRLEELA SRSVGRPNIGGPFQLTTQDGVPFTEQDLKGKWSLIYFGFTHCPDICPEELDKMGEAVD MVDKATGKGEVLPVFITVDPARDTLPQVKKYIKDADFHPRMVGLVGDYEQVKKTCKVY RVYFSTPPDATAADDYLVDHSIFFYLMDPLGQFVDAFGKATTADQVASKVLDSMKKWE AAGGNAAAGV L198_01608 MAESPTPPTIHLVFDALTKNLLPDPLLPCLASTLNLYAHLILFS RQLSDDAEQILSIHNQLLRENESRGVQDGEALVGERGCLTEVEGPRVSEVKRRSVEKM FRLSVEIRKHHIARLLSTRGALLSRAHTLIDHYFPDYSLEKKSYKQHAHLHISSMTKP EAKVVAEGREQVLGWVEDAEAVLAADDYEGPLRYDIFIPGQFKASVHAKALAESMCAE AERIIRDAEDIQAILANDGSWDSQAYEAEFKNRGKKKGEDEKKKEKARRRYTLPARSV NRSPAPESTRNPTPSPPRDTSPPAIPLTPPPARHRARESLPRPELHGVHDRGPSPDPT PAHLKSLPRRSFSTGKKTSLRGSSISRVVAQVKAQETPSHAALPRRESGPPRPPINWK VNRERFSYMSSDSEDFSSEKEVAASINPTTSRTTEKASGPQTIESPRFVPSSPPRRVS SSPVPPPTKPAARTIAVKAAPGSSRLSHSNAVAGPSRIPASSLPATANGRAYEPRVSD GQKTTKERDLATPSGTASKAKGSQGANSAEASSKKRIRQSYGFAGPDFTAPVGQERPK KKTKPRATG L198_01609 MLRNLRPLLRTVPSSSRAPATLPFRQLSTSGPNYATSPEGASPV KAHSVEELHAMTADEILNQRGGKKAEAEMRHFTVNFGPQHPAAHGVLRLILELNGEEI LRADPHVGLLHRGTEKLIEYKNYTQALPYFDRLDYVSMMTNELSYSLAVEKLLNIEVP ERAKWIRTLFGELTRILNHLMAVLTHAMDVGALTPFLWGFEEREKLMEFYERVSGARL HAAYFRPGGVAFDLPHGMLDDIFKWATQFSSRVDEIEEVVTGNRIWKGRTIGIGPVTA QQALDYSFTGVMLRGSGIPWDIRKVAPYDAYDRVEFDVPVGKNGDCYDRYLCRVQEFR ESLRIVGQCLNKIPDGAYKVDDHKIVPPPRASMKESMESLIHHFKVFSEGYSVPPGET YTAIEAPKGEMGVYLVSDGSNRPYRCKIRAPGFAHLAGADFMMRHHFLPDAVAIIGTM DLVFGEVDR L198_01610 MGSDNPCTLTLEDGTHYDLNQLSSSTADYTAQVGDTSYYLNVCK SVVGELYKIDNPDDVGGFVRRPDGDFSLGQVNTNLTLSPMVNDPLLTMTGGSACPGNP SETASTAIRFICSPSDFDAGKPLLVASLPPQDPCHFYFEWSTHVACPTNPKRELESHH YYIAFFAILAIAFLTWFGGVTMYNRLKLKRRGIDQFPLPDFSSWHLPSIHIPSRSNAN SSSNGASRPGWGSWNRRSSGYSNVRADEHDDEETGFAGRFSLEESDEDAEDLTTVGVG EANVWRNHQQERDSTDGQGKGKGRAEHGGLVDV L198_01611 MIHPEIVAPPGLRGFLSGLFVPLQNASAIWASGMCTAYSTETHK IGWLVPACKTDDAILALRKLRKKEYSASGQVEAEVELIARAIEEDNAVVGGRWIDLFS GSMWRRTLYIMIMFVVYEAGGNQFYNSYGPSFFLASGLGSKSFTYACLVQMAGTIGSL MTILSPTESVAGRWSKKDITTNTSAQNAAVTSFVMLLWSTKLAFATHAFIVTIEMGRS RMRKKLIFVGALFDVLSSLAVSSPLPMS L198_01612 MCSALSPRCTNINKKHKKRVRKIRLPEIISLSPAQSSCIPLNNL WAADNIAGHYKRAVSCGTIQLTGNISGAIIGFIFTSQSAPRYRKGIYFDIAATVMSMC CTIVLLLGTRAKISKKAKQVAAGAPDDNSLGDENPHYKLFL L198_01613 MCTAFLRTLPRTIPRAARNIHSTPRLLASEIPTSPFEDPIFKAF ADRVKQHQGAVDAMKSVMNVMQAKGFDKNKKPTIMQMMQMASDTELKAAASTLMTELQ KAGVGMDEAREIFSRAQAGTPRS L198_01614 MILLHPPPRLLSILLPLLLSLAVFADTPSRNPFGARSLDTLSAS QVQRITESDPPEWESVSEGHLGKLLIPRASGSTNNTLVQEYISSVLTKLGWHEEKTPF TWETPIGDVDFTNLVYTFNPDAPRKVVLAAHFDSKWFPDYPANQFIGAIDSAAPCAML LSLAEFLTPLLSARSSRISSLQPLSRPSDPSFDEEEAAETTVQIIFFDGEEAFHDWTD TDSIYGARFLAQDWAETFLPPEHPLSRRRLNPAPTTLQTIDHLILLDLLGHPETTMRS YYRETDWLFDGMAAVDKRLREAGLVEVEVAEKFKGRKGEWFIRQRGWPGAIGDDHVPF VDRGVSVLHLISAPFPPVWHTLADDVSALSLPALRRWNKLLRVFMCEYLQLSPDDLNS NEGEGTDRARDDLVGS L198_01615 MSGTSLAIPPHLLTVYRGLALLSKDRIQRLRAIAEHIASASYDI VCLQEIWIYKDYEMVREEIQHVLPFSRFFHTGALGSGLAIFTRFPLIGAQALPYSLSG TPAQVFAGDFFVKKAAGNVVILHPVLGEVEIWNTHMHAAGEHGPDTRQSHRIAQSWQL ASAIRGGAAKGRYILVMGDFNSQPGSVPIAIMRDHANLQDSFLVAHPSANTDLPHPPS SAEALIKYGTTCDSPLCTYSAGKPIPGNVLEHGGKRLDYIFYRQPAVARRRPLVWKYR DDAGSTGAENGGSSLSGDAYIESGKPLQDSMAQAPKLRCISSEVILTDRVPGHQFSYS DHFALLSTFVIEGPSQQYQSHEPPSATDSESHGPSTANSLEPLISQNDPERIGSNLYA AGDPFKYPSDGNSLSHSTSIPSTQKSTTIRTALNTLRLYTRISQNTAKRHLQICLLAL LALIGLTVGCAWQPKSWLQPIFTIVGALLGATAATFLYTGFVWGRWEEGLLAEITEEM ELELRVVEMEENMNR L198_01616 MPQSSRHTTSVNGSSGPRSTHTNTQQSRLQRSQTEPTFRASGHT TLDSCLRRSRRSNTTGNVKQPVRFSDIDKVHYFWPLEAASRRIDDDSTTRNEQPTTED SRNLIQDPAASYDDVVARPEPKERRNTTAISRPSYQDTYEIDGIDMMAMATEEAMKEI IPSMAESWSGRKTGIESLARVTRTIQEDDGLTLGALVTMTTSGSMEERERHPQRTLVI SIRMTMKGMIRSIQGVGGKKVDSVLNPPGSLGVKCLTKLTPQAVRGERIRASQNREMR GIETISVMMQGERVLRTRGVMRQHGRHPMTGRLLPRGDLLPSMRLAHTPLPYLVVAQD EGQRHSWRRLQRLAVLDVPRQAERWRREQARIQ L198_01617 MPSSPSRMTHDHPVRDQRTSHAEGSSASRAYRPQETSPRARESP PNGDRSRRQSLVASPTQSDMSVKAAPRPSLTSVASRSSRVSEQKPTHSREDGKKSSKK PAYDDPDSDVESTAGVSRGKGRTGMDENERRRLEHRRMSMFQNDVEDAEKPRK L198_01618 MYHLLRGLHDYLTRKDEYSVVIIGLDNAGKTTMLEKIKTMYNPT PGMAPDKIGPTVGQNIGKISLPSTTLHFYDLGGQRDIRSIWEKYYDECHAVVFVLDAT DQARLSETWEVFDEVLNSPRLLNLPLLLLANKQDSPTSLSVAEIREAFDAWQRSRSHR NGDDENQISSKDKGKGKSINQGEEADERMASLDVMGASALEGTGIREAVNWLYIRVQN ARKM L198_01619 MSKTYSPEEAEALVHSHDPEHPANLICDLCREFYKLGWVTGTGG GISIRKDELVYLAPSGVQKERIKPEHVFVLPFAQSSVPKPGSQRDFIRVPAKKGLNES QCTPLFWNAFTLREAGACIHTHSQHAVLLTLLLPRNAPSFRISHQEMIKGVRLGGNGK TLKFYETLEVPIIDNTAQEEDLTESMAAAMEKYPNAPAILVRRHGVYVWGNTWEQAKT QAECLDYLFEVACKMLQNNLPLEGDE L198_01620 MEDDTLKESQQSQSPPPPILQDDGNSHTLTEEPMEIDEDAPTEP AESAEEDPFDQDEIKYMSTGSAVMRELEREMAIMYGSLGVHPQTDKAASSRSARMTPP QRHGSATSSTAVASPTARRRLQIITGSMTGTGASGSPESPQSFRGRAPRRRGSPLVQV QSMQAFPATPESDAGGPGIEAENQESPSSEDQASKEGSPSL L198_01621 MPAPRTPPHPTHSPSHHHRRQSHGQEAHNVLDTAPIQNNKGKGR AEPLDINLEGDHGEGQPRSSEPMSVLLDEALHTSLSPPSSSLASSLESASPFAKDAHS PIPEPPQAHYPPTLRPSHPRMLSQVQLARQTLPTTSMTYSGSFDDQPNGRQRSSSASL VAGLGRSSGEGESSSGWQRARATTALSDDPFPDLDPSTGLPVELSPRRRGSDVSDAPS LHLQRTITDLLSAPSKSSSSKSSFMPSLPNLSLPRVSLPSTPSFDFTGKGKGKDKEFS TTAAQDDWGTWATGWWSGNKGKVDTMMSDEDKADTVEEEKAKLRKKYRTPKNPIVFCH GLLGFDYLGPASLPPLQISHWRGIREVLESNGCEVLVARVPATSSVKDRATILAEVIS EKFPGREVNLIGHSMGGLDCRYLVSQIQPKTFNPVSLTTISSPHRGSPFADYLIDNVI GRSNLPSLLGMLEAMRLPQSGDGTAFSALGTHSMREFNAEVIDSPSVKYYSWGASFDP GLIDTFKWPHSIILGKEGANDGLVSVKSAKWGEYRGTLVGVNHLDLVGWVNTMRYAVA GWSGKPIAFKPATFYLEVADYLAEQGF L198_01622 MSSPGKHAKAIEYQGRKLHEPALSLHLALAWIIIRVFPINLDYQ YLSDVYSLGFTHQILQILAREVLEVGSQPATFVSLREETDKLLHAELPQVIKRNEKKR ARGLELDLEYEKAEKGESWLGELAERFMDVSEGCTFIDSLEKELRERLNFSEDDDAKN PPPMDRHSPLGVFSRNLINIHRKLSFDETVHFARQIALWCGVDHSGPSRPVTMWSLDR RSGMEDSLDKRMKSMQDYRAASSSGDNSGALASLRSFYDYQSPHAGRGQHQHALLNIA TFHYASNGLDSARLAIDEAIRVARTAGDKECLQHCMSLSRRLQTETTSVAFTSTETIK IHQTPIPLSRLPEAATPMDELWSIKAALDLGEPVHVAFRRVYAALGLENQTLPPESTG TEDADRSYAKQWPTAQKLDFAAWHATQSSLWGMMGSRALLELHQELAEGDLDGGTDGR LSVVFAQAQRATESGDYDDALTQLIDLSTLRGMSMSAYHRWARVLWALLERRAQFNND PDTLSYIAALSPSSPLSQVGPGGPPRTSAHPSPISIEGTSDHNSDISSPVALTQSHIL SSLRHASSLLSTPSPALPHQILPSILSSLTLASSLSLWKIYRFAIVLLAETMLLMEGV PMAGKVVNEVDRIWAQLMKDGDAEGVMRGAGVLGRAWIELSLKSGVDEEHDKAVEYLL LSQQHAQRLEHRAACLETLSLLAMLAALRSNGHFPKSKFQSHDNEQVQEELVQRYWEV KEGQSDGQSRGIKSVADIGEIVKWVGVRIAEGWQ L198_01623 MKGLKKAMNLSRTKSEKSKSPNPPAHKPQPGGSNPSSPSVNSPN RPAADQGNGQSQGASSSQGLQGGAPITPRRSPVSDKTSPAPPLVVISGAPQQPPVNSE MPSDPIPHSPHGRYGSPERNLGPDGQPTPPKAGPLNRLRGPKDTIPVVGKTPRKQRSS RFYVTEKVEIEKLPGFNEVRPEQRNELFVQKLQQCRVLFDFNDASSDLEGKQVKSQTL HEMLEYITTQRGVITEAIYPEVVNMFATNLFRSIPPQVNPTGDAFDPEEDEPVLELAW PHLQIVYEFFLRFVESPDFNTNIGKRYIDQSFVLQLLELFDSEDPRERDFLKTTLHRI YGKFLNLRAFIRRSISHVFFQFVYETERHNGIAELLEILGSIINGFALPLKEEHKTFL TRALIPLHKAKSLALYHPQLAYCVVQFLEKDPSLTEEVVLGLLRYWPKVNSPKEVMFL NEVEEILDVIEHSEFIKIMQPLFVQLARCINSSHFQASRLSVAERALYYWNNEYIVNL MGEHISIVLPIVFPALYQNSKSHWNRTIHGMVYNALKLFMDMNQEVFEECQNNYREQR KAESDKALERYDEWIKLRSQATTNWHQSHPNAPLPTSLTEPPPERPEPYEEEPIMDVS VDMTANGFDPSESFTLDRSMAEEHVPLADPGVDRAPMSPASPTQAGPGHPQQGGPADG TPPHMRRKSVLPMDAGVMRDLQVSKYALLDTAVADDFK L198_01624 MPADAQADDPTSSHSLFQTLPYTSYRCPFTPLHTAPQHPTARLF PQQLIYNTFFRRNSVFVATTFVAAFSFSIGFDLATTAWWDAHNRGKQWHDIRHKYLQA GGDDEDAE L198_01625 MITLAPSRLLSKPILAIACLGLTFLLLSLTLVSHEDGREYVLGV VQKVGKGLSVKGNDGAVIGGEHQMEDAMREWELRRALQHEGTGSRIQAFLDKARAGQG FTVAAVGGSVSKGRGLTPPRASKRPSSRANSPRSLEDASSDDKLEDNIDITDAPPASD DAIPAEAESDPDSSEESKEGSHPISPLAHLGASTLYSPENLHVQIFEWLDRAFPSKNP ERKNRFVNGAQGGVGAAYFGWCFKEHIPEEVDLVLLELGINDLLEFDVASQYEHLVRG ILEQDSKPAVINIETFTTLFPSLISSSTFHHDVLSFYDVPSLSIRDVIIPRLVAEPDH QMSRWFRTGDDVALGDAKVREWGGVPVDVMHISAIGHSLAAGLVIRYLQDQIDKSAPL GFSALGRFAETISRKPILRVIDVPPTTLTGQFNPFTADLRHAPVCRSQNSGKMHGKVS SLEDDFGDGSAKGLVLADGSHGWSEWSWKEKRYLIARTPGSLAKFEFTISPPQSVPHT EDPSAALLLAEEEFYEHEPGEGEGEEGEDEVGEPGGPYNPDAAHHSRRSRTRSSFSPH HARHSPIPHGKRHLSSPNARQNPQAGGSILIGYQRSAKLGLGSVYCWVDGDRESGKRV DGWWKLDKRNMGMVSEIRSGLEPGRHTLSCEVLEETLDPAGGTEFRLFAVMHD L198_01626 MSMDGDLIALVNKLQDTFNHIGGDSVDLPQIVVVGSQSAGKSSV LETIVGRDFLPRGSGIVTRRPLVLQLIHTPPNSGSGGRSSSEGSTEEGYLPNLDNSPS ASAGAGVMRPGGKSMGDGTGAEYAEFLHINKRFTDFEEIRKEIEAETFRVAGQNKGVS KLPINLKIYGPGVLNLTMVDLPGLTKVPVGDQPTDIERQIRNLVVDYISKPNAVILAV SPANVDLANSDSLKLARSVDPRGLRTLGVLTKLDLMDAGTNALDILTGRTYPLKLGFV GCVNRSQQDINQQLPMEEARNKEEEFFRTHPVYRNIAHRCGTKFLAKTLNSVLMNHIR EKLPDMKARLNTLMGQTQQELNAFGDATFLGEQHRGSLILKLMTEFSKDFVSSIEGTS LEISTKELSGGARIYYIFNEVFGHALQGIDPAQNLSLADIRTAIRNSTGPRPSLFVPE VAFDLLVKPQIKLLEAPSLRCVELVYEELMKICHNCTSPELQRFPRLLTQLIEAVSEL LRERLGPTSEYVSSLVSIQAAYINTNHPDFVAGSAAIAREGSAVQDQQQQVPRLASSD EKSEEESSSEEEDEGDDVVNGYPNHPRSASASVPEIRRPTTASGMKEKTKRQHIRTAS GGQHGSQNANALLGATAGGLHAHSISGTSPHGAKETFLNYFFGGPNGNDPLAPHTGQI QRQLGKGQPGHHAGLSPYGQQQRQQGGEREKELLPDYAGAGRRSGRLESSASFDMKSL GKHLEATNGEEIPHLTPREEMETTLIRSLIASYFGITRQTIEDLVPKAIMHLLVNFSR DAIQQRLVTQLYKPDLFANLLFEDEALVSERTRVKALLDAYKEAFKVLSEVSLKST L198_01627 MASITGLRLTTKLLHRPLATHAAQLHPTFCQLLRPTPAHLEWRY YSQQRPTSKRKEDENENIEDKGTPAPSPIPIHPPAAISLPSATAAVTTSQSSSGGKDP KPDASSILKLLSLAKPQWRLLSTGVVFLSVSTAVNLSIPWAIGRIIDFFAPGSEATLL FGLPLEQATGALAVVLLIGAAANSGRSICLRLAGQRTVASIRNQTYGKYLSMPPSHIE QAGVGDALSRLGQDTSIVGQSLSENLGEGLKAILGAGAGIGAMYLISPTLTYVMLCII PPIAIGTFFYGRFIRKLSLKTQEAMGGMSKLAEERLSAHRTITASNTQPSESGLYATK VDGVYGLQKKETFANGLFQGANEVAGDIGMIGLLIYGGVLVKRGEITVGDMTSLFIYV NWIEWSLNTLAGFFTGLMKGVGASQRIIGLHALPSPIPLSIGQDLPKSNVGSIELRNV EFAYPSRPDTKVLDGLNLRIDKGERIALVGGSGSGKSSIQLLLLRFYDPTSGSVLFSN KDIRSYVPESWRSRIGVVQQDPILFGGTIEENIAYGHVGATREDVKKAAAVAHCDFIE KLPQGYATMITKNSLSGGQRQRIAIARALVGNPSVLLMDEATSALDSESERAVNAALN DLFANSDITVILIAHRLSSIASADRVVLLEGGKVTEDGTFNGLITKKDGKFRKMVEGQ LARVQVGEPEKSPDPEEEEQHKIEEGKEQKEQENEKQSKEKAGVKAAPTTSSPFSQGG QKRQAHTLARRAHSEPRPFFSAHPNPLSSPIKTVYDAANAPVPSFPDLGLPSISGPGA PISAYKPLPPMTWKRLVGVYSALSKRNLTILMTLTATTGLALSPLPLSIPLLLNLTIG TLLTSAAANTFNQILESPIDAQTPRTRVRPLVTRRVSSFHAAMFGLICTVVGGTVLWY GCNPTTAALGIGNLLLYAAVYTPMKRFSVANTWVGAVVGGITPLMGWTAAGGSLWPTA EQPLLWHTPGSDLPDSPNPLIPLTLFCLLFSWQFPHFNALSHMIRPFYALSGYPMLSV LSPRLNALVSLRHAILLVPFTAVLAPLSGCVDWSFALTSALPNAIFVRDAWKFYKQTN EVRAKRVFFVSLWYLPVVLGLMLLHKNAAQWFQNLWGSKKEIEEKAELVVEERR L198_01628 MSRRNHKERAQPLHRAKLGNLEKHKDYVHRARDYKSKQDRIRKL REIAAFRNKDEFYWGMIKGKTKGGVAIGDRGNEALSTDVVKLLKTQDMGYVRVQIAKD EKAISKIRAELEVTAPSSSSSDEWTAASELAEVEKLAKMGIVLNPRQSKKGKGKGKAP AEGHVVFAEDRSEFEQYGESSTEEVEGETEEAVDLGWEDPQPSKKRRSKAPAPEVVEI DEEAAAAASREHRLDLLSSLSAHLNRLKLLRQAESKLETTKGMMGKGAAQKVREQGYA EDESQPEDRNGERKKWQGKVWKWKTERRR L198_01629 MKITDKLQKAEKEGRPFWSFEYFPPRTAQGLQNLFDRIERMRDL GPEFIDITWGAGGKNADLTNSLVEICQSTIGIETCMHLCCTEMPKEKVEWALKQAKQN GCQNILALRGDPVAGTSTWEPTPGGFMNAIDLVKYIHQNYPGDFCVAVAGFPQGHPES PLTPEGKEQEMKWLKEKVDAGSDFIFTQMFYDTDIFFDWVKRVRAAGITVPIIPGIMP IQNWEKFDKWVQRENIIVPQHFYDALNPVKGDDEKVRQVGTKLVAEMCRKILENKEAG IKGLHVYTLNLEKGARMLLQELGFEGRREQIAPLPWRPSLTPSRRDETIRPIFWANRT RSYISRTEDWDEFPNGRWGDSRSPAYGDLDGYPVSIGISTTEAYNLWGNPTTTAEIYN LFARFCRGELTKLPWSTQPPASETSVITDQLSKMNELGYLTINSQPAVDGVASDDKVH GWGPSGGYVYQKAYLEFFVSPELLSPLIRRIERDPRITYYAVNKQGDLRTNTHSEGPN AVTWGVFPGKEIIQPTIVEAVSFVAWKDEAFELGLQWANLYPAGSPSRELVTKVMNNS YLVNIVANDFRDGLSIFEPFLLDQTPVGKVVEGVKDVVEGVVEGVKSVVAE L198_01630 MSMSRASTRSPSGLNRRPTMDRSASHTGTSPAASSPLQSTFGGR INGSPEEDRLTMRDREKDRPDEKGSTRGKAGGVGVGVTRPKRRLSSLPNLKPKLNRAM SATSVHSPPQSPLGEPTHNPYRTLQQQSFHNNAQSHGSSTGSSTANNYKTTYNRQNPP RMDEKVGMVGYATAMAAASREKEGPPKLWGVELKWISLITLALQNALLTIIMHYSRIT ASPNRTYSAAAAVLANELLKGTISLFIALTRIDKDLTTSPPPALYGEKGGDDDNRLSK LPTIFHASRLRTLSTAIFSPDCYKLSVPAILYVIQNNLQYVAASNLDVATFQVTYQMK ILTTAFFSVLLLRKRLTRAKWASLILLAVGVGIVQIQSTSAPAAVHTSHAGHEHGLRS EIPPDHNMATERVMHPMRGFIAVTLACLTSGLAGVYFEFILKGSSSSNSPPPDLWIRN TQLSLFSLIPALVPIVVNPSGPDGAGYITKVLGCFDNFNGWAIGTVLTQTLGGLITAL VIRYSDNIMKGFATSLSIIISFMASVALFSYPITLTFVLGASTVLFATYSYNAPTPAN AIAPRTSIAVAPGSPITTSAPILGEPEKPSRASSVINLLGLGGASNAGSRKPSMTDVR GYAGTGGSQLGLSSYPQSGSVSASAPGTPAMSSQSGYSGRSSPAAVPNTNMHGGPGTG FQRGTVGDKVRPILSLDIDRKQG L198_01631 MTRRSPPSHLTLLPTHIPTPPRGAPKFFLPHFPCSLPTTCSSPI PSVPLGSYAYPSFSPSPPTEISPATHSRSSSSSSTSSHSSPGHKKSLSLTTSLPPPPP EIVSEKRYITPTDAAGDGRKVAPWLRSRGFDQAGREMGVERVLRPLEGALVMRR L198_01632 MAPSDPTYAPVAANDASPDLNASPNPNRDSAFVDPEAANLLGRP DSTFMPAPSLMSRDSTRNSITLVGGSPSLGQGDRRDSWASGAALNPSEGARGNAGSSN LANSAPWGASSLNSSRLSTSDEDDEQGHITPAVAAIGTGLGAGAVGEKRWAQVGENES QKKSRKWLWAALIVGALAVIALAVGLGVGLTRNNSKGSNSNLAAETGDNGSSSASSTA NGSAASASASATSSAATSGTYGSLITLEDGSTMTYDNEFGGTWVWDEANPFNNSAKCN SWTPALSEDWTWGKDIAFGVNLGGWLTTEPFIVPGLYEKYANGTAGTAVDEYTLSQNM GDNLTKAMTEHYDTFITERDFAEIAAAGLNWIRLPIGFWSIETWDDEPYLEGVSWQYV LKAIKWARKYGLRINLDLHNVPGSENGWNHSGRLGTINWLKGVMGLANAQRSLDYIRT ITQFIVQEQYTDVIPLWSFINEPNGNGIGKEVIGSFYLEVHDMIRDITGYGKGNGPHL AMHDGFLGVSSWYDYLPGADRMVLDQHSYMVFQDQPTGTLDDLKKMPCQWWASGTNTT SNTFGPTIAGETSAAWNDCGKWINNVGAGQRYDGTYEGYSDKALGSCDYWNDYTQWNQ STVDALNHFMLGTMDAMQNFFFWTWKIGNSTDVDVPQPNPFWHYRLGLEHGWIPKDPR TAAGTCLADGVTENSFNGTYGHDWVYGAEKGDIASSDSSSWPWPPATFTNVPSASMSK LPQYTQTGTPITASAPTFTTPGSSATIDAGNGWANANANTRSAYAEISGCSYQPEYSA MDLAIPSSACGAGITQAEKRSEAVPTAAPARRDSSRR L198_01633 MGIPVVGAIIIGLACSFIQSLGLTIQRKSHVQEDDKPLLARRPA IRRPLWLIGFAVYITSNVFGSVFQLDALPIVILAPLGAVSLVFNALLARLLLGDKFGV SWVIGTGLVAGGAVTIAIFGVVPDEEHGLDELLALLARGSFVAFFTIVLFITGAVLAL AHFTSWHIYRNLQRNVEEETSPISMPPSNYASPRSPAAIPFRTTRPRSVSPPSKPTSE ERDSPLGLKTPTRWLPALSIPSNHLEENHEQPTSSQARTLTSCGLGFAAASGTLSGLC LVLAKAVVELFMKTVDHWRTGAGRNEFARAETWVVLLGMSIIAVAQIWYLHVSLRYAG PALVCPLAFCFFNLSSIFAGLVFYDQFGQLATYQILLVSLGTALLLLGVWIVSAIQPE GAAVEVGTWVEDNCNSDSESDVDEELEAGEGATLLGGDTSNEPESSEPARHPRPSDLS IPPTPPGRYHPVFAPSPGTPASPLSPRIRQHSHSQSHGPHGHRHRGPRYGTLIPDIGP HGAPAGFSIGLGAASPGFALRSGSMSEYSHHPHGHGTAHHGSGSLLGPEGRNRRSGSD GPLGLGAIMRGENPDVENQIDARDQEGDVEEALRGWDTQRTTRRWWDVRRLWKGEGKI RLAED L198_01634 MPPATDKTKQAKRPIKSLLDFGLQFFFDRKYFWHFTSLLFLGEI LLGLLVIWKIPYTKIDWPAYMQQVGMFLDGEQDYSRIEGETGPLVYPALHLYIYTAFS KIMPSIDDVRPAQYIFLGIYLLTFLSVANIYYLAGRPSANGKHYVQALLVPLTLSKRA HSIFMLRLFNDPIAMLILYWSIIAFQIGGKKGWRVGCAVSDLCCSLALGVKMNILLFV PGLLVLLFQYRGIYGLVEGVSIIALVQVALPAPFFLSSTNHALSYLSSAFDFSRQFLY EWTVNWRFINEELFLSRERAVLLLFGQVIVLLTFAAFKWSPIPGGAIRVVNNGLLLPS QPAGEPSLLPAYHIPLVLFTSNLIGMIFARSLHYQFYSWYFHQLPFLLFSGGAWNSAA IGSIIWALIQWPWEVTPATAFTSLQLLGSHLVLLSGIFFCNPISKEVVPSNIKSE L198_01635 MARPDPYYNIPVSGCASSAELAAQFETKRSLAGSHLQRTEMVVD PGAVGRGIEVATGYPRPLPSNSCGALSSPRHSATPHSPAPLRCELSVALETVLHRARG FKVDDRGS L198_01636 MGSRQDVTGQITLESSLLSERIVFCSLLVLTLFLLLVGFITVTV IWYRRESARKRETRHKPEARWGPWSEEEKRFVKRSRSSSLIFEKDHASD L198_01637 MSIPHPSLNTSQGLADSPQAGFNLASPHSSTAPGAPESGAAALG NLNGSKVIETEDRKVDGDLGLSKKIDIGRGKGVGFGVKKEEEEAAAAGLRGENGNVIH QDETLRKGHQKLESIVFRIENVFDNIYLRAKPYTNKLLAIAEARPLLFTFSAIWLSFS AIPIVVFLGFILLSIATILAVAVSTLLVLVVGTVVLTLSAIVATLVFASFFLLPTLFV TTTFSAISISFLLGLFFVYRLYQHVSSATQEGLSLNNLGRGVGGWAGEVGDRVGEVAD YAYPFQSTKPPSAVVSSLSEKLGLPTQKQAYSTGQKEPFDEKATLQAYQNPPALSEKV GLHNNYNATVGSQPVVIT L198_01638 MAPPRPQTTSDPNPYASLISQNISLVPEFTLESGATLTDIPVAY KTWGKLNERADNCLVICHALTGSADVEDWWGPLLGVDKAFDPTRFFIFCANTIGSPYG TISSVTTNPETSRPYGPEMPGSAVKDDVRLHYILLKSLGVKSVAAVVGGSMGGMTVLE WPLNSPPGFVKAIIPLATSARHSAWCISWGEAQRQSIYSDPDYKDGYYYEVEDEDKKV QVDLMKQPARGLAAARMAALLTYRSRDSFESRFGRRAGGGKSQVPRGGVRIMGGPETT DPSVPSASDLTKSPREKAWREHNDGHKGAGQRSASRRGSDLSEKGTAGNAEVVKTEEI KAEGKNVGTGEEPPKVFSAQSYLRYQGDKFTGRFDANCYIHITRKLDTHDLSAPSNDS SLNSLSSSLPAHSLSSDDENVNESELNSRLMHALSLEPPALVIGIESDGLFTTSEQRE LAAGIPDAELVVIPSPDGHDGFLLEFEAINGWAGGWLRRKMPEFYGERVISLEEYGAG EAGFEVKKESVFGEAEADVTRW L198_01639 MVYEATSSAPVNIACIKYWGKRDTKLILPTNSSLSITLDQDHLR STTTSRCDSSFEAGDRLWLNGKEEEIKEGGRLSVCIRELRAWRQEVEDKDANLPKLSS FPLRIASYNNFPTAAGLASSASGLAALVASLASLYSLPQSPSQLSIVARQGSGSACRS LFGGFVAWREGTDPAGSDSLAEEVAPREHWPDVHALICVVSDAKKGTSSTSGMQKTVE TSTLLQERLKIVPGRMDAISKAIKDKDFESFAKITMADSNSFHAVCLDTAPPIFYLND VSRAIIAVVEELNRAAGRILAAYTFDAGPNAVIYTLEKDMPVVLGAINKFFPTAEEFE DPFKTGVKALPEGYNAGVVREGGWEKGAVKGLIHTRIGDGPRKLSAEESLLNEAGVPK TLA L198_01640 MNQTPAIAADLVNNPPPELQRLMDDPRTTDSARQAVQEAVSPPT AAAQLAGTKATKRLQVVNENQEFTKELSPYLDKWNLLDKGFAYDVVAVFGSQSTGKST LLNRLFGTTFDVMDETQRQQTTKGIWMCPSEYSSALVMDVEGTDGRERGEDQDFERKS ALFSLASTEVLLVNLWEHQIGLYNGANMGLLKTVFEVNLGLFGGLTVCRPQEKTLILF VIRDHVGATPLANLTATLAQDMERIWASISKPTHLADATLESYFDLSFAALPHKILMP DKFEESVVELRKRFTDRTREDYVFQPAYHKRIPADGVAFYMEGIWQQVLTNKDLDLPT QQELLAQFRCDEIASVVTEAFLASSKIVRRPVESGSVVEGLGALMRDWLNTALGKFDR DASRYHASVYQRKRLDLLSSLHTSLSPLFLGQLKNVHKTETARFSKDIVKGVKEPGYD FREVVEEGKLRARERFLEGAREVKVEETDWEFEHELALLDEDLKIIADKCRADETKKM VNLIERNVKRQLLEPVEVALSQPSATMWDTVLQTYKEVSETAEKAYLAKAKSYNCSEE ENVTALASLKARTWLALRRKLEDQTSDATISATLRAKFEDNFRYDEAGVPRVWKPEDD IDSAFKKAKDDTLELLPLFAVVSPTDPELVPELPVPEPSFDLESDPSPFDPSTAFTLL SPTKVLAVESRFKREADAAYVEAKRSMVSSVAQIPVWMYGVLVVLGWNEAMAVLFNPL YFAMMLVLAASGYIILQLGLAGPILQITRTVFTEVKTIATNKLREAFAEVPEAQRILA DPVPASAASQRERERDGDLVRGEIVEK L198_01641 MPFRPPPRPYPSSSSSIIQQHVASHSLYKLFAGMTFHIVSAKLE EDMARLYEYIDELGGRCVGVEDAWFIVTALKGRARLARSLDTHWLESKPVLSVMYVYD AYETCLKAATSQSPSSPKLPPRDEYRLAFKSQQPLTASYPIPEALDEIQEPPSKRRRM ERSPIYGEGESHDDMGLVDPDVRLEDIPASCVLRGSPLICVNQDIVDAIRPIFQEREF EELQQKNSNVLSYRRSLSVSVPRKITSGQEALKLQGVGEKVAKRIDEFLRTGTVAESQ TILSSPRFLALLAFASVYTIGHHRARELYDLHHCRSLEDVKRHFADMEGDGEDTKDER EKAKRRKEGRMKAVDIVEEWMKLKEELDQPIPREEVEEIAACIMEHVGAFISDCQHTI CGGYRRGKTQSNDVDVVLCPPEQDQVIGLLRAAYLRMSQLGIITHVLHVTHRDITTPI RAAPQNFDNLDKAFVIFKLPGPGRLHRRVDIISAPRDRYASAILSWTGSMMYERDLKR YAENERGYKFRAGLIEIASGKEVNLGTEREIFKFLGLKYVPPELRNAD L198_01642 MAILEDVPDSPPPIRNRKLPRKSKSKSQKKATADSEIPLKRPSS NPSPSTPPLLDVPLPGTVPAGFVPQYVSSAEEIEALNASLESRHTCQDDVHDAREDEI FNTLIMAVPFTFLYILLDILVHLQYSHRPSYDLLINHTIKAFPTLALLVFYTNRHAGH FSTNSALMAASILSGCRLIWLVNKASWSVVTAQAPSMGTLWILTIIQLPLGRAVMCLV IVGGWIWRSGLSVAP L198_01643 MAHNQSSAQYLASARPNTAAPLPGSLSLPRPNSTPLTPQYSRTN QVRPLSNGQPFRPNPNVHRTPGQDVFGRSRVPAPVHVHSPSYTTNTQQASASGGDRRR GAEGLGLRGTMRNEISRLMYGAGDVADPLMDTVDYMEDMVVEFFADLCRPVPPIRSNP TVQHQAVPLTAEILRHRLDSTTALHKYRERFDHMLHMSEVLKQHKRVADPNFHDIVNQ VGGEYLGLHDNAPGGGATGGDDAGAGSGGQGQKRTHDGEGGEPPKKKGRPPKPPGERK KPGPQKGWKLNRDPNAPTKKAPRDPSMPKRKYVRKAPLKSQMGTPAPESQ L198_01644 MGADGGSIPDRRDLVKTKGKTEQLDKAAIRELFLFCALSRKPLT KPVVIDPIGKIYNKDDLIEYFLDKSKYGDGDQICGHLKGVKDLITLNLTSNPDYVPPS TNATSTINRAPFACPLSLREMAGSFPFVALRSCGCVFSDAAIRAVVPNLTKGVTAQAA EKDELPEEARPVVNAEEKSKQEVACPNCNKAFDPTSVSAIIPINPPKEVQEVLLENLV LARAAAKSSKKRKADKVDKSSKVDKSVDGASLATDAPPMKTARTSSSSPAPRAVPSVS QPPSVARSVQEKLLEQERKRLQAQQGMSDAVKSMFKSKKDEGEKRSGMEDFFGRTFTR YAA L198_01645 MDNEHGFVSPQDMLVPLNDVDPHPLKDPDHAVRATISDQTADTT TSTAKVAELHALASRPDKHHMEPINVSTRLSGASNSDRDITVPLNDLSFATYAMPKAS QTVSKITQRQSTPYRSRRTSKTSERSGDLSLESARNQTEDDEADNQVGRKEEHPLGTA PVDDTWSVAVSFPSPPVTPNHNIAVHPLADTGATRLPEMTVTRPLTPRPKQREVFSTR GSSELGLSGALVNRPREIPNVDKENEHSSGRSSTDFWKTEDSWTDPFGFWLLCHHVTS RFTGPYHTSVPARHLVQRCPTPPSFSSMSYRNSEASNLSTEVSSQQPSSPVETGWKGA QVLARMEGKVDQGFSQRQGAKELFKKRRGNKRASRVCRKRRTSSIDLSDDEGSERAKR IIHYEDLRMNHQLAVEEVWD L198_01646 MASASTTPISYPPQKQTMLERQASRLSEHLSRIQSTDAYPPESE GPRATGESDGPGASDEKSGGLVPIDIEHVPVDNDPREWSPRKKWGVLSVMSFAVLGPT MAASIYNPVVNDVRSELGASEAQIGMSLSLYILFQGCTPLIWAPVSEIIYLLSYAIYL AALIIGSRAQSMPVLIAMRVLQAFGSGPALAIGAASLADMYEQHERGAKLGIFYGVPM FGPALAPIIGGGLGQAFGWRSVFYFLSCYAFIMECFFAITPDSWRRERSRLYQKAITQ ATVRAEQADKKDIRRKAKLASQQPGGLDTIPATPSETPGATPMGSRRGSDDDNVLGQT RDRTSVNVTLEEGKGEEGKLVKVTMWQRLIGLRGRGRTVSAERKAKIKPSARDLNPLP SMMTILKRPTNILIIISSSFSFGAQYTIVYTASLLLGEAPYNYDPLKIGLAILSFGIG NISASVVGGKYSDMVLRRLKKKNGGVGVSEMRLKATVVAMPVLIVSFLAYGWTAHEKV HIAGIVVCLFFCGFSLLWIYSSSLAYLVDSNPGISSSAVSCNSMLRGICGCVMSQIAQ PIRNAIGDGGLFTLFAGVLALACGCNLLLIVKGEQWRSPDHKFTWFRKSSGKEAEDEE SREDIKH L198_01647 MLSVSLLRPVFGTGSLATRLLSTSVSRSRKVIDPTLPVVPKVSN NSPYPIFFKEYLATNGNNYRDASGKLNMKEITVAAGSAFNALPQAERDVLQKRASDER KRTAEEYRQFWVSTSKDTKSAIEQATGKKLRYPGGKKAEKEDYKTRTGNPGKPLNPYL AFAEAVRSELLAQVDADLPPLQRSQAVAKLSGAKWLGLSDAEKEVYKSKHKEQKAIYD AWAETQTDLKKATPKKRRSTSPTKK L198_01648 MAAALRNLRTALPALRRSVAPAPAFAARAFSVSAARSAGHGPPQ LLGPGAKAGEVPSDESQSTGLERFELLGKLEGVDVFDMNPLEVTRLGTTEDPIPIYSL AIGCTGYPVDSHDTIWINVTHEKKHGKCPECGSVYTLNYQGSEANLNPGHHH L198_01649 MVPQENPRKFAWINTSRKRPSSAKQRKLTQPPLYASQSVSKPVR SARKPAVTNENELTPQVAKKRPLPLGLPTPAATDKPDKGKGRAQDRGAESRDSPMPLR GGGRLMVGSTGSGGMERFKVHGDGESSKSKTPTSTRRSPMKEPNDSRQRPIPRTPNLY QSLSPARRSPDLGSFPVESELETPEPICGTDTMRRVREINGIPPRQKAPQASPTKKRK RGASSGENAKSPRMATRTRSGKGERPTHRTARGLPLAEHPSSDNDPSRSPRPAKKSKQ AEPPHHFGNIFARPREGKSAQKSSPSSHASRKSTPVRSSSTAEDSVSPIPKREPLTPK KTPRRPRPPSPAPISSPSPRHIQANVRTGPNELTPGASQQSFELPARQTSGGPSRLLS SLHPTGEQDKQMVYHHESFDLVPPKGMAGGVQEGQPQQAEGRVYVPVDTSETLFMAGN DVSGNVLSPSVREEEHGRGEEPASARQSSRIAALARSSPSGTESFLPKVSKKPIQTPV REWVKMESQESQQSQQSNLFGDEREEAARNLAESDEGCEAEAGTLDPALNETYADNNL FQSHPEGRVPTSSQSSTGNVASRTQSCEKPSQSREEMPIVATTTKTRSPQQQTPQRTS QRIGGAAMGQMATSPLPASASRRPPRRSQRSRDNEEDDLMDEESDLRSSQLGPTQSQG LFQTIPREASLAASPSSTQTTPTQPMRQSQFTESPVSQHGPFSPAELASARLPDDLTG PGGSDLVAQWPDTERDSSPIANSVARGPAVVSGEKPRMRKRKQRGEAISPQTSGDVLI GESDDEGRKVVSSSAKMPRQAAADTESSEKKEEDAVANAEGESEKPQKRDAFSVLMGP RRSISAPRSTGKGKDVKGKGKTPAKSTPKASTVRKPATRSATKRKTPRAQPHDEGQTS LPSQGFFKNRKLRKTEDQIRSFDKAFSEEEDHDFEEEQEEGNASAGPSRTTAHLSGKP LISLLGRVPHDPRLNEGGKREIRRRRLVERAQATLKRTPSPLTPLSPSPSTGSPLPPP PGHRDEAEEDEDVHPFGEEMRQQASREDGTRYRQSQATTSGSQTSAGVKTPGSTKNFI DMLGGSSSQIYD L198_01650 MSPAASARNGASISSADVMHLEHEHSAHNYHPLPVCFEKGEGAH VWDPEGNEYLDFLSAYSAVNQGHCHPEILKSLVEQASKLTLSSRAFYSSNLGPFAKKI TSMFGYDMVLPMNTGAEAVETAIKLARKWGYEKKKIPDGKAKVLSVEGNFHGRTIGII SMSTDPESRNGFGPFLDNVGPQWDTGLIRYNHPEDLEKVLEKHGEEVAAFLVEPIQGE AGIYVPDDGYLAKCAEICKKHNVLLICDEIQTGLCRTGKMLCYEWDNIRPDMVILGKA LSGGMYPVSCVLADKDIMLCIKPGEHGSTYGGNPLGCAVAMTALDVLVNEDLANRSQK LGEIFRSELTKLNSPFIKLIRGRGLFNGVVIDETASKKGRTAWQLCLLMKSKGLLAKP THVNIIRFAPPLVISEEDIRKAVKIIGESLAELDTLEKIPGDEGEEHDAVIELED L198_01651 MQNKAEQDRNQEATVYLGNLDEQCTDALVWELMLQAGPVSNVFL PKDRISQAHQGFGFCEFMSEEDADYAVKIMNQIKLYGKPIRVNKASYDKKQVDVGANL FVGSLEANLDEQSLYDTFAVFGSLAEQPKIARDPSNGLSKGYAFVAYNDFEAADAAIE NMNGQFLGGKQITVQYAFKKDGKGERHGSEAERMLAAQAKKRQILPSTGGNLPYQGQY ANALSAAAAVQPAPVYPPPPPPGMPVYQPQAPAGQPAYPGYQQMPQGYVPPPPTGFPG AQYQMPGQAPPPPPPIRMGF L198_01652 MASLGLRRASTSSRLVKRALSTTPRSANLSQEQQKPPVRINDLL ATISAESKSRNARLDKAGKIAYDHGEGQSMERRSYFASDVDAEPGLDWGSVGIAEEIP GLNVGRVVECRRSGQVSIGLILAAILVMDKPRLLLLRSTGEVWPISSNDVQFVMPSSL VPRSLAEECWSPEQLQSWSDSSEATVGLPSAAAAPPPEMMEARRKVSLLLRRVQRETE KMCQKLRGGVFKQGRVGGAEAAYEKWASEEAEERKCITAVEAAEYILNPQAGGTTGTP TTEVKPNTLPAYAAHVLLMSRPDMFISDQSDMWATGTYVVRSKPEQARIAQLQEWVQI AQKPGADGVESPVRTFVEKAKIVLDLARQTREETTGQHFKPLQHNLPEWSKTDLDIIS CLLAYVAETRSTQLTPFLSLSLAITRLILSDPQIDRGTVTVMLQELGTILPWDSLETA RIVEADRNAMTTTSVAGHVRGDDELLRGNELDELREDFSHHKVFVIDDATALELDDGI AVEKIAGSDDVWVHVHIADPTRYIPPGHELARQASVRGSSLYMAEGSMPLFPTDLIMK EVSLGANVERDDGRQGSMVFSARVSKAGNVEDSKVRMGWVKKPRVITYASVNKALGLP TSSYSRPFGGPSTSTEPPMPEITAEDAAELRLLYDTARNLRARRFATAGYEWSKPSAS ISLPHLPVGPNPHLFSRHHLLSTPQLFSGSLPIEYRVKTPVEGIDAGSLVAEYMVLGG RVAAWFCSERNLPMVYRASAAPSPVATSQTLEDLLAKRDPETGIIDAFATLGKGWYRP AGYISLQPEEHWIMGIVGESKGYVRATSPLRRFDDMLVHWQIKAALARQVGLSREHVR GFEKEDIVRLAQKSDEGVKRAKRAGMVSDMFWQTKVIGRNLRSGTWPLPEGWGKDETA VDVRDVLIGKVTSAPENAASLKGELTTVRLDQLGVSAKVLHPAGLTWDVGEEMKVKLD LAEEWPNPRIQGVRLV L198_01653 MASFFHIVFVGAVLAAIGAASWFLFPKGQNQTLLRTSTLLTLTA CYLMWAITYLAQLHPLINPRRSDLRQEY L198_01654 MRIARLSRTFRPSSPRVSLRLPSCKREQAPHPPLAVLLTKCLLP PLLALILVLTLIPLLLPTINHHGTTFFTIHPTGSSRDTTITYLPSVNETSPGASASAR AAIQNAVADVAKSAGEDSEVENAFVQNANMTLQQWLGLEGPSIFAGALQICSQVSPND SIDCTSSSQAAYHAALLPLSLGDALFALPTSPSTPILLLVSGILSLLATVVFICGLVT WRLPRIPLLAHRGRRPPLQAAPHRPDYPGDTESVRNLVHDDPEDEKKEMIKVKWGSGP HPAFFCLVLAALGVGGGAMLEVRDVGRAWRAWDDVQAAEVGLEFDVGPLTFLLPFLPL CHILVIVVGPAPMLCTLLGSQRPKARVEAPHMTTAQPQISTPTAQPPQPTIRITEPEA SVTATNPSEKLRDKHLSFSETPMVQHGRASSRTSWRNTFGVGVAS L198_01655 MAGFTGDQGDYNIANKFVSCLCYGKQAEGIELGRDSISEYVGAC ESCTDTPDIVKKDLQGMLTVCTVQAQNGTADLALSYRPQVYHTNITEPQVAYY L198_01656 MPPPTSHRPNPLRALFQPSGQSEILVAIFDHLTLHDIPALLRVN KYANDIFISSSHLQLRYRRAYHSLASNVSLSTPGRPSNSADEVATLLERERRLKCLRP SEIRCLHIPKGDVIGVKDNHMLVSECVNQMAIDPSDPDQENYWDGWSIWRMRDTTSFD GESRGARKLQGMWRWKFNFRGIVDAADICVEDNVIAVAYSVDHPPCESIEVGSMLKVV HRVYFYTLVPPVGTPQQPDGVFPTPIPHPDAKLPYIEVLVPARLHLHRIEVHMGAGGK VGLLLTSADHFRSNFVGLWDWKSGVSIGKLSPTAHTPVCDDFRFLGPFIVSASMKELP KPADDDFMDLFNRQPPPPPPPAKAPPPPPKPKAPLRPEKKSAKAPQTKSRASMYDDID YDSEEDSGSTASSAPKTKTFSIEGVHWRTPEEILGRPFVPAPKNPTSVYDDIDYDSEE DILNEPIPAKPPKEMVYALDTLSHLPADRGSKPTKRRSDKHVGPGQEIVGPCTWYEED IPYCMPLASLQLPALNTVPPFGVSESPLDVILDYCVIDTDFQAVRLAIEDFAVDSELL SGERQGLMPFVLAGSTADGLGRSMPTCCKGMVDIKMLIDKSVEAMQWHMAKAQGVDLK LLMLGTKLNKKHWRDQLAEAFVLFSKSATEDGWETDEDIYSRYLPKPKQKANQKRPGA ATFSKKAKKTGYKWDRPYRVPFKDWQSAVSMRFNGRPEVSVSGTRTITIERIPNAKPV IRKKDGQMMIRNWLVMHDYSRRTYRENPLKPRQSLGGLPPPPPLDTAATAGPSTAPLA SEEPHKLFNRPRIIKCPQPVPLPLAGMDSEPAIYKTSKMEDQRLWTKRIFEEEIVQSH LPFTETRTKVLFDVARPTVSALFDGRSLVVGVDQGAHIFTF L198_01657 MPPQPLPYELWSHILSFCVEQPDIDGYIDTHTLANALRVSSTLF LAAAPHLYLRPAVAKDPYSFVLGANHDTAVYPSSFWSTEQIDYEYLRWGHKKAPLLRH LRQVTFFSVYSSGFTDTAAPDPYAHIIASIGEANAILADILHKCSDRIAPKFETLCLR DPYELPPYHIPIPAYQHFVQLFTRAFRPTLLCMDTVDPTFSPNLNNCEGYTLPELVVI HCDLDWKLNGVWGTRNRVCLVDSKARAGLEQLVESTGNLDLGGEDSEGGHEGDVATTM GPVSWEDIGTEQGQDFQTASLEVSHHSHTSHYAPPAAPPVAADELYLVAFDPEDFDED DGGYPEDDYTWSDDEGAYDDGLDYLHEEANTALRESRHAEGRYTEDDVVYMLKDFLKR ASQGCNRNDKKKRNASAAKTSFEIFGLRTFMTTKWLEIGDHEWAPEDTEEVIWERGLK RIRDSVLDYLETELRIHRREELLVLRMEEVPVCEACGRGPRVKSRMDDDDC L198_01658 MPGILPRTRRPKRAKKQPKLTKDQRVHPASTDIPPQSGQAPFKQ TRHKPLPPIPPETKFTSQTAEGWHAFKWELERHDVYLTNIIEQMHKAPDKTSNEYKAR VDGVRKLRRDVFELGESGRHALVGSRAIIGLAERTLMVVRNMYQRTVKMTPNMDGTPI SPPVLKLITDLSNECKKTSLPVKISNTMTLRRNMEQRYLDTIEKRILDMNTILAYIVH KLKLRDKMIYQSDQWPVEAVITWREEIHEAKMSQRVPKAQQNPRREQCLVQ L198_01659 MSIAHSSSSKRRSPPVLRSHSPSPAPPPSLQASASSYGHPRAGV APVSRGVYGGIPAGDADLVSGDEAQGPAGASSAQPNGGYAYSTTLRRQRSIDVFPPFH PSSGRRRDSSPHAASPYGRSRFPLSGGSEYGDQDEGFYERIAKMGRRIIGKKDYEEIR QDNEEKRLSAERRQRETPSAIYAHKSIEETLEIFGTHPTDGLPNSSIAPLLARYGPNE FELPPSDPLWLKFAKQVYENPLILLLLGSSLVSVLMGQLDDAICVVIAVTIVLTVGFV QEQRSEKSLEALNRLVPHFCHLIRNSTPSTPLANGLLPGDLVTFSVGDRIPADIRLIT ANHLEIDESALTGETRPARKTVEACDRGEGEDTHGEGGGKALGERHCMAFMGTLVRNG NGSGIVVGTGTASEFGVIFSMMQDVEEKRTPLQLDMDDLAKQLSIFSFIVIGFIILIG VIQKRGWLEMFTIGVSLAVAAIPEGLPIVTTVTLALGVLRMSKRKAIVKKLPSVEALG SVSVICSDKTGTLTKNEMTVTHIYTLDDLVDLTPHLHAASSPFGPRDPSTSDLWTSPA LLKTALIGNLCNDAFKDDKGVNVGQATEVALLNVLPVLDTDDQRKSFVRKSEIPFSSE TKTMSITGSLNSASDLVYLKGAVEQVLHRCRYYYVTDSSTPSLDAATQKVIIERATEV SRRGLRVIAMAYGFPGKGAETENNLVFVGFQAMMDPPRRGVAHAVTALHGAGVQIVMI TGDAEPTAVAIAKQLGLKVTSTTSGTLDDTAPPLSAGTSCILGSQIDQMSERELMERV PGITVYARTTPRHKMAIVKAWQMRGAVVAMTGDGVNDSPALKMADIGISMGKSGTDVA KEAADVILVDDDFASILPAVEEGKSIFYNIQNFLSFQLSTAVAALSLITLSTIFKLAN PLNAMQILFINILMDGPPAQALGVDPVDKAIMRRPPRQKGDHVLNRSLMYRVAFSAAM IVLGTMWIYTVETSDGSMSKRDQTMTFTVFVFLDLVSALQNRGLTTPMFRNRMLFLTV SVSFICQLALIYIPLLQHVFQTEALGARDMFTLLGLAGTSMGLHEGRRWWERKQSEKE ISERGVGSIA L198_01660 MTAALLSTSYPSAPTPAVQKAVLAAASSQHARTNLIRLVKSLEV KAADSDYDESSVALKKEWETVLYARALLDRLQYVNEQSSSSTAAFTDLDRSLRTVETV FRRRLISPSPTPSSNPALIALPMSSSSSNQTNSSPVDKFALPSNEFYEESRLAPLPRA QPTTVRSARRRRAQTDDYLAKINREHTTGDHASLLPLKLEETREPHGNSVAGDRDNLL RGIAPESGLGAAQLHEELGGQLADMSHRLKLNAVHFSNSLENEKHLIDDSQDALEKNL ASTRTNKKGLATVSKKSRGTTCMTFGIILMVLLLFMWTYMLIRFT L198_01661 MEVGTSASSAQESNLQPSSNGAVAPEVASATRSLRARPSSIDVP TPGSSTAPGAGRGLSKKNLDVINRSLDSTSVEARREQRIQEKEAELKDVVEEHDSAIR EKFHLERFVTLLEGWNPEQAKLDNSQWKESKHNLLSLLPSQPAINGAPRAGPSCPRTS LPARTTRRKAHEQSEILANVVTPAPVAVTPSAKGKEKAVEPKTGESSPVKAGRTGRGK AVNPNGDMPPPPLPKGRRAGRRVTMGASVEPEPEEDVEVEEPVSNKGKRRGRMSLPDL PAAKKLKSGRNGIPANESASPAVETPTSERPSPSPFTPALPSLAHLPFPPPPQRPRKR LVGPRKVQYTEPSQIPPPPQHDGDISQILNSYIHINDTGAQPDLKSLESRAKKDGYLL ARVTYLQRHGRLQRLLDEGVVSGPSASHAKIIRAPARQTDHHDTLLAHMVQVRNAILN EAKAKPVVCKKIAKMVQTYWERIEGREERERLAEEKERKRLMKETMKGLRKRWALAVK IIRAKFLEEQKQEQDRLGKVHLQNILQRSTGLLESQVRGPENEVSDESEEESDATDDV SAAEDSEEDEDGERSDPESSMPPTSIPPESEMADQSGDDGEDQEEEDDMDTEVASEGH LHLLVSEDAMTADDAVDALVDHSEEMTVPSSLGAGQDRTESIAFQSPNGGPTTADDAP AVNGRSSSASPSVTRRPSRRVRKSVLPLPSDPDPDANDVEFNVSTSDIDDQDAELDVE MEDGDDVDRNQGPDSEDEGLLADADIPIEELLKRYGYPAPAAAQAEQETASEPSKDDV ENTTGEPKAETQVSQEAPNGTEPSESTSLGETHDGTASTPTQVEQADRDQSLTDATLP ASLDQTTFESKRQRRKRELWSPDDVGPQRLLSGKRKKVEFITEDGTISTERLAEDVPE AVAGEGSEEESEEESEEESEEESEEESEEDSEEDEEEEEPKEPEINEHGAARITPPFL LRGTLRPYQQAGLEWLASLWENGMNGILADEMGLGKTIQTIALLGHLACSKGVWGQHL IIVPTSVILNWEMEFKKFLPGLKVLTYYGNQKERKEKRVGWNTENAWQVCITSYQIVL ADQHIFRRKSWCYMILDEAHNIKNFRSQRWQTLLGFKAKKRLLLTGTPLQNNLMELWS LLYFLMPGGIGEDATSVVGFANHKDFMEWFSNPMDKAIETGEAMDEETLKTVAKLHTL LRPFILRRLKSEVETQLPGKFEHVVYCRLSKRQRFLYDEFMARASTREALTSGGYLGV MNTLMQLRKVCNHPDLFEVRPVRTSFAMESVVKGYEPSDILLRKRLLKEEDDRRVDVL ALGFNVTHREDTSPWVAQTRQKLDASDLLPYAITPVKHGKPSIPPVKDTRTVEGWLKY QAWIKGEASQKRWESIRHINRQRCAEKPLYGNTFLQMLGNLPNFLIPSEYRIRREDTF ADLTPPAANLITSLPQRAEALEPIIDRFAVIPPNAVARDLTTYALPGIEPISHPSLTD PSFDTLHRSTVKLQIAFPDASLLQFDCGKLQKLWEMLRDLKAGGHRVLIFTQMTRVLD ILEMFLSYNGHRYLRLDGSTKIEDRQVLTERFNTDPRVFVFIASSRSGGVGINLTGAD TVFFYDSDWNPSMDRQCMDRAHRIGQTRQVHIYRFVSSHTVEENMLRKAEQKRLLDKM VIQEGGFNNDWWGKVGWKDMFGEVPGVANASTGAEPTEESGIVDIDVEGTPTAEDVEH TRPRVGEERDLAKALAEVEDEEDVEAARMAQGEGELDLQEFEEGPKGTVKKVRVFEAE KRETPVAVEADGGEGMEEEEEFEDEPEGVETYMLKWVAEDWDFFISYRA L198_01662 MVDSAQRRGKGSKNELPTSSGEIDPMEDSTQTVCALRDPELTKN TDYVKAVLGSYINVKKSHGRPVEAISKKLEPLEEEVSPWSKRSLDNQLTSDSFAQYPR LADSFVAIRKEHGLPSSNILEPPAKVKLSERVAERRHLHRKGAGAEQEKV L198_01663 MSTGAQSPSRTSPDPAVPSNVPQMTQADQRPGVSGTNPGAAPGE QSSGGVSASAVKTAQASADSLGEAGHSRKDVETGSGPSS L198_01664 MCENWSKELWASAPWSVINKTVTPPSGDLRDYMSFAVYYWPDCS EAGNTTELADEEMWNTCKYVRRDGIFNPDIYLIQNPQALINVSNYVFLTALAYASTGN PEYSANLDHALHTFFVNEKTKMNPNLNYAQIVRGPGYSEGKHTGVLDMTVIAKIISGV NVMKALKPAEWRQETEDGFLTWVTQQITWMETSELALQELASPNNHATFAYNQLSALY AFVGNNDMAKQALEKFYNGVYLDQIWPNGDQYYESMRTRPYHYRAYNLLALITNAEIG DFVGLEPSGWERKTNSGTGLREALEFAMQQDPEATKEDNQRKQLAPSIAAAIRKFGDP DGKYADFLYTIDPYYINQPWYALNAGISDSGIKWGILETTYGPIPAQPTLPVLSKTAS HAAGAKRTWAPRGSGPMAGKVAPTDLPL L198_01665 MSDEKQHVDGAQVAEHNSRDKGVWIVVHGKVYDVTDFLDEHPGG AEIILKYAGKDATEEYEPIHPPDAIIENLDPSKHLGPLKADTLPTEEPAPAPASAPAP ASVPYKKPALVEILSLHDFEAVARRVMSRRGWNYYSSGADDEVTMRENHNAYQRIWFR PRILRNVGKVDYSTTILGHKTSMPVYITATALGKLGHPEGELCLTRAAGTQDIIQMIP TLASCGFDEMVDAAIPGQVQFLQLYVNSDRERTKKIIRHAAERGVKALFITVDAPQLG RREKDMRTKFEGVASAQQDKGKDKFQRDQGAARAISSFIDPSLNWSHLQELMDAAQGM KVVLKGVQCWEDAVMAAEAGADGVVLSNHGGRQLDFAPSPLALLPSVVQALTARGFMG NPNRPKFEVFVDGGVRRATDVLKAIALGATGVGIGRPMIYAMSTYGEDGVAHALQILK DEFEMNMRLLGAPTMADVVPQMVDTSALFGTSASVTMYEDNYERMLPVGVKAKL L198_01666 MQERTKRRKAARADDHEPHRTKKQLPKVSPPKKDAETPASAAGQ RSLSVLFLYSRPYAHPDTPQKCSADKPVCDQCSKRSDSCIYDTGRPATRIEKLEAELA QMGDPKSDSIPPENPRPSTSSYGSSVSQGLVTPYASGPPVSNGSLPYVHEPLYADSFR DSGTPDPGLYTRQAMAMTVEMNFAPSPAFGAAKGEPDGYSDQLAGWTWPTAGLGLEWT APQHIQQTAASPGFVAPLGQSPFTVPHNNVGEGNYWSPSAQKNRAVSGSVVGLGRGDD GLARMRISSLGTLPVQAEYDFGSTSSSSINIVPQRQASSTPSFMHYPPPPQTSTPATS GNGDGPERDLTEDDISQSARDYLLNLFFCPDPPRCRFGSECFTEAQFHQRLSLPPPSQ PHPALLFSMYTIASSTSYIPAIKSLEESLYDITTRLVERAMREAQGEKDGGRRRLVDV VNASKNLSKWLFLKGRDLEGFVWSSKGISLAVACELHKIPSSVLQESQMCSSSASLLP PPQSQWELCERIHAFWSAWGNERGRRLRTPWPSLLRDEMILTPLPRPPDDYLNGTILQ VPDVNLRTLYNGPLTDDHRGIDSLYSFLFASLHLFHRASTVSSREIESPMSYRLLSTL DPTRSPREAYPEVCEEITGMCVWVESIVPDRWSIHASAGQEAEGWKNQDAPIIFLLLI CARIHLLSPAHQADQQAFVELVDRAADLVGRWMDRIAVLEEESRSKRGAMGSNKGSWR NKHGLCGPYRETLFDYVVEKLGECEGIAMRSGDHADALRFKEKALRVDGGNQRLRKCG SVSQ L198_01667 MPSSQVLPQQPEIRDSKDYGIKDVWADNLETEFAALRQAVERYP YISMDTEFPGIVARPIGNFKTGSDYHFQTMRCNVDMLKIIQLGITLCDENGDSPEVST YQFNFAFSLSEDMFAPDSIDLLKTSGIDFKRNEEEGIDIEYFGELLITSGLVLFENIK WVSFHSGYDFGYLLKVLTCEPLPADETDFFRLLFIWFPCIYDIKHIVRSIKTLRGGLQ EIAESLGVKRIGPQHQAGSDSLLTAAVFFRIQTIYFDGHLSDDYYKNYLYGFSSGRLG KNSPATHGDNLVLVDKPY L198_01668 MGDARAHSIQASGKKAAKKGGGFLSGAAKPQKVQKADWSEGLTK KKAAGVPDMTLLSTITNESINDNLKARFQNQEIYTYIAHVLISVNPFRDLGIYTTDVL DSYRGKNRLEIAPHVFAIAESAYYRMTTEKENQCVIISGESGAGKTEAAKRIMQYIAA VSGGDSGSGIEGVKEMVLATNPLLESFGCAKTLRNDNSSRHGKYLEIMFNGMGQPVGA QITNYLLEKNRVVGQITDERDFHIFYQFTKGASAEMKEAFGLQGPESYAYTSRSGCLD VSSINDVSDFQETLRAMQVIGLSSDEQNSIFRVLATILWLGNIEFAEGEDGNAIVTDP GVADFAAYLLQVDSHQLQKALLIRIMETQRGGRRGSVYEVPLNVAQANSSRDALSKAL YNNLFEWIVSRVNVSMKPQSASEYVIGVLDIYGFEIFQDNSFEQLCINYVNEKLQQIF IELTLKAEQEEYVREQIKWTPIKFFDNAVVCSLIEDKRPAGIFATLNDATATAHADPS AADNSFIQRSNMLSANPNFESRGNKFLIKHYAGDVLYSVAGMTDKNKDQLLKDTLDLI EGSQDKFLHTLFPDKVDHTSKKRPPTAGDKIKLSANLLVDNLMKCQPHYIRTIKPNQH RSPSEYDDKAILHQIKYLGLQENIRVRRAGFAYRAEFSKMIQRFYLLSGATSYAGDYI WTGDDRSGCEQILKDAKIKKEEWQMGVTKAFIKNPETLFYLEGERDRYWHTMASRIQR AWRAYVRRKLEAAIKIQRFWRNQREALEYERKREYGHEVYAGRKERRRFSMLGMRKFM GDYLDVGGGSAQGEMLRNAATISPAEQVHFSARSELLISKLGRSSKLSPRFLIVTDKA VYFVVSQNKDGRVVTSLERKIPLVTIRAISLTNLRDDFVALNVNSCEEGDPIFTCPLK TEMMCTILTLTGGNMNVNIGPTIDYAKKKEKRAVIKAQKNEAVTGEATYKSHTIQVGS GEPATSRSNPMPPRKPKAPKASRTLNKPSRVVGGNRPAAVTLPGATKPSAPAAMASMP SAAPVAKAAPKAPAAFGGAARAPPAIPGRGAPPPPPPPPVAGPPKEMYKALYNFAGQA GEMNLVKGEEVEVKEKDDNGWWMIAKDGQEGWAPSNYLKLIPRAAPAPPPPPPANRPP PSIPSAASAGNGSAVPSWKAKNAAVSNDSSPASSRPASSAAKVPPAIKAKPAIPAKPS IPAKPQFGAKPALGAPAAGGKPPIPTAPKFQPQAASKPGKINQPGKTSGQLDLGAALL KRAQRPPEDD L198_01669 MPVTTRTSRSVVAHATEATNKANSRGAKPSPPKAPPAKRQKKET APQPKVGTVPAPIKVVGSYTPALLPPVLNFDLQTAMSHLSRADPRFTQLFRHLPCRPF VNLEAVDPYKTLVTSIIGQQVSWMAARAINARFKALFGFTEENEGFPTPEMVIAQDIP TLRSVGLSQRKAEYVQSLADHFQTGRLSTDLLQNGTDEEISKALIDVRGIGQWTVDMF MIFSLRRPDVLAVGDLGVQKGLLKWALAAHGALERKPVTGATPKKKGKGKAAVKKEEV VDQVDVKGEGEVDTFVRDATPIRQPPSSSAPPTPITPGPSEPTHRAALHTPATPLDFK PSQIPPTPATPTAAPEEFAEVPPNTLPTPTTEEMLQAPIGHPEWEAHRAVSLPDGLGV DVLKSRLNGKKVKGGQYLTPQEMEALTESWKPYRSLPVFYMWPVAGES L198_01670 MAEHDDEAVGELESQLGWTSGHSAGGGYFVQGNRLYNNSTWTYR FPSDSHEVVRMDRQHFVLLRSLPGLYRGPVDVVLKRPGVRRRILDIGCGTGVWTYEMA EAFPQVECVGIDIMPIHHEHELPNLTFLCANAPSDLRTFADSSFDVVHLRLMLTATSQ YEDIVKEVHRILRPGGLILIHEVHVTFVSAWEGFRPEDLMPAMTQMTVLVREAFRYRG VRLEFFESMANVLSSAGFSPEDTDTYYHYRQGCNNQPDSQFGQEDAENFIAYAFACRL VLLESGVTDEEGFDRLWRQMSLEVRGQSSGVGGPLGGQGVLSAWGYWWALKH L198_01671 MADATKYGNAADFDVELHSIYAAYIGLFESSNVDWWDKSWGGYF VSFNDFLGFGWEVMGMFSLLLLIVDGVTGKPHIAVRREQIALFARMIRTRQVHSQIIF GENLPKDPPTTLPLTPVPTRNLALRRLVNIRDLSAYRKLAATLPAAELSYLRSRVRSG EPAVIEQLFYAGGSSGERDTGGVGVRDNGVGYTFACVKTNWWEKGGAPYGVVPGQGKT QPGKGPQGKGLVLEVGMAVLRCANLRAVGVWPPTPEDNYRKSHYVVDEWRTNHSPPTF PRAYAFGRSEYVAEKKIETILDATLNALASQETDGHANTLILLTIGDGTPLPLPNSST LPANILVFDLLALEFNLLRRAQAQGIPGAPDRHQPLSSLVSLLQTLQIPVTPYAPLGN AGNDAYYTLLAFQKLVMGETRLPDMLFKQPGPYSAMPFPAGAGNRMSMAMPFAPVMNM PLPVMPAAGHVRRESNSSRASLRTPASEYGSYPPSPAEEQKRRRMTSDVAKRRPSSTG DALGAYSFNNRSQSQQRSLGGSSQNLAALGSGRNRQSMVRSQTVFWDDAQYAPPSADN QGSSLKAPQAPLHGESTGASSNSSENRGRPKDGPPVSRSAGSSVRLAAGRGVSFDGTG GDTNAPGRGSAGNSPVNGTMRPPSYVAGNGSAASVKPSGLSSTNLKKHDAGRTDSSGT ISTGITKPSSNSNSSGDMVIMPTKVRHSTGAGQVVNDEKANATADVKGDKEKKPKKSK NIAGAFAKFWVG L198_01672 MSLTLSRQPSSPSLALGLPPAFPENNIMAPSTSPSKMPRTKSKH KIHRGDVESTDSSDFEEAPKQLVKGTPKRKVVNSVEPTPATGQSKKRGTLAERLAAAA VNKPKVKSSSSVNGGLKASSSATQLSASSTSSTVQTPTMQARTSLIPSTMPVKRTAGP SIGGNPSDKVVVCVRIKPTQSPFATMAYDMTSTSLTLSDDHPKVKQRGGKAGREDTYN YTFDKLLQHPSTTPELYTAKVSPLVDKAMSGFNSTVFAYGQTGSGKSFTMTGIPTELG IIPCAVDGVFDAITADTDRAFLLRVSYVEIYNETLRDLLNFKKGPLKDDEKPVIHTQK GKVYVEPLVEEIVSTPEDVMELLEKGNAQRRIGATDWNERSSRSHCVFTVVIESKPRD KDGDEDIRLSRLNLIDLAGSEKAVSDSERRGEGKHINQSLLALREVINKLTEKQKASH IPYRNSKLTHLLENALGGDSNICVICTMSAEEEHCGETLETLKFAGRCSQVKTHAKKN ILPASERAMIRAKDQEIEELRARLLGLTGDSAPPKAGPDADQITDLADSVAAMEARKA KLTAQLAKLNGEILTSELPRHSASSFNLPQTPSKPKRRRISDFSAIMSSGGDRMGLGM GTPKKAADRRAVSNLVGVKEESETSATLGTLDSVHEGSINFEHDIALATLRKNLAHKE EELVLANRNLASALSRASQLSERDERVAALTVELSTALQQLSELQSTLLSTESDLRDQ NAQLESARDDLVSNIGDKSSKIDELENKVLELRKSREEMAIEDESRLQEVQKELAGIA GEKAKMQARVELLEKEAVARKGAEEGQKAAEEEVQRIQSELDSLRESHSQAQSSAASS SSKAIEHETTISTLTSQITDLLAAQASRETALNAVKSEHAEITKKRDQAVEDLDSFQK QAMANETKVLSELREEIGKLRKLREDDKVEWEKQKAELEAGFAELKEREDKSKAQLAE ALHTLESAAAANKKLESNVAKVDEAKSAAEKERDQAVDNLKEASGRAKIQLEQEIEYR RSLEAQLSSLQQQLDAQRATIENVSQDLQCERESAKELSEKLATAHTDMETQEVRIEK AVDERKDIEARLGEMESALEAGEEEWKARIDKESAKRSKVEGKLVELEKMRQVGNTTE KELIEKLSSLQTQLSADQTVTDDLRKKLEIEVTAKMVAEKKVVELGRQKVTSSAAETD LQKQLENAERRRKEAETKAAEVEKEREVELAGLRAQLDAEKASKADVERKLSEAAEQH VLKLSADGEIRSLLDKETSARKDADSKLADLAKKLESSSSAEKQIQHKLDVESASRQA AEKQLVELSSKLKSVSSNESELGARLDKETASKQAAEKRLADVQRGVETKQASVLVDM KKEIASLRQSLLKAQSDAQSSRDEATAHREKATKLAKDVVELEARQKNEISMTASSVS ARLRTLSTPGPWAKDGDMSGPGSKGMKSRGLKGTTTGMGIGERESSMGTMRARDQDEI ERLEKVIEVQKEIIDEQREKIERWSKEMEKQREIVRLLTNDHSNTTYSPSPRVPSRTH AKAHSISHSPTESPAGSTPAKAIPSTFTARNLALPSTPLPGTPTPLPMHPSQFNNATA RKGRRITIDHDMDLLTETSKVNRAKLLFESPEKNGKALPSTPVREPRESLRVNQAAWS IPRQRRP L198_01673 MRATVLGLARRQLLHPQPRHINQFRLLSSTAVRRDVPSWPNPPV PQSPVGPSDSLQPQGGSSGSGKGKERWWDDWLKSASFQAALTTIVGLGMVFGSGIGYL EWYKDHVLRRIMRAFEPGYDPALELSKLNGPNSSHVKRREQPLIDRIVRGEEKGGYYL IIGPKGSGKGTMVLDAMRKINADGVSFCESHPDLEVFRLRFGKALDFDFYEDWQGSLF SRADPRNGGPALDIERALNKLEKVALRYALKNGRPLVMAFNNIHLFPNTEEGHSLIHQ LQQRAEAWAEGGILTMVFSSDDYWCLDVMKKNASRMRILSVYDLSATESLRALRHLRR QEITHRLSKSGTGGEVQLESDQEFRRVYELVGGRTSYLARVARADNMIDEAEAMIESE KQWLLSKIGLIPEHDDDVMDEQKWASCSWLILRHLAQAGPALTPYPPALPSIPSKDDV EYRPVPDNDGEHVRSSSSVNITPPVEISSEDLVLPKVTFEEARRIMTRADFLGALDHY HVVAIDLQHNVRPDSTLLLRAAQQVVAVEGFDKDLDTTRDRVDQIEGLHRQNELTVKE PFRVMFDKRDGKPVWEVIGLGESFVPEGDDEEEERQV L198_01674 MSRQMGDQADFAAPVPAAPPVHTPATTLRAPALAHQTPDVSKSI LRRSFSTPALKYPHLSYPPSSVLSAASYNSEMTEGITRYSPDNTPRSSASRPQGSGAA HARQHPPTFDSPTPHNVHYNSSVRMDGISGAGAIEMGELSGIQPPATFPLTEYPYGYT RQDPVPPEDGDELNNRYAYEANGSSRKKAGAPSCNPWDYLVGDHVPELLDNNLVARSG AARYGVEAKHGCKVRRRFTKRELEALEVLWSIAKSPSKYERQRLGAWLGVKTKHITVW FQNRRQEEKRYTRGDQQEHGNTSRANRGTFDPVTGKWRPVPASCISGLEPPPEDKISV VRAISVGEVTRETWLARYPSATGGGPTAAPQSSMTGSPSSASSKRGTKRPAQLLSARL SGQSLDEVLQARESGFGLGADKRYRRGSKGAAIEGEGQERNDRILSLMPSDPPSMGLT EMDPEESDGDDGGVDVEALERRKRARTLNGLGRATSFDLFASSSRAKQLAKPSKEQGH VLGQINPNLSIRPSASTAALKKPTLESIASSQAHRRPRSRGDDPHSYSRFKKEFSRAQ STSAIPQSSSRNESRRTYQPSQLKTPNLGYARSHSMSSSSSKVITPEDVREPRPVVKL EPRNEKDEEVIGAAEMLLSLFGGAP L198_01675 MPPLVQDEEGGVFCPQHKRRCKQLTSKSSANPGRHFYNCPLPRD DPGRCKFFAWADELPPPSPQKAPQANLAPQTPSRFSTSGGQVLGRAPTSAAAVALNTP KSLSRKDRGRSESDDGDDLDWDGVDLDKMEKDAIASSQQSSARKMSSQESITSTPTVG FNDRLNDAISGAKRSRDDDEATSPRPAKRPIPEQNPFLTGSSPAHPSLMPTIATLQHV SDDLYRRDRKEAATEQMKESMRKKIRSLEEKNQTLEERNRVLEARVRQLEAMR L198_01676 MLRPLSTRPLVPLSSRRPGMHPGVTRRPLPPPSRLRAFHATARR EVIPLIPVAGLAMFKGASLLTVATVFSRILISFFPVGTLAAFKMIRADKWMDSEIVRP SVGPPAEDFYRMWCEGEQAVRVPMEETDRLIDVEPGHLGGLTLADGRVAYPVPMPPRS RHTGFKSRTGPHYTPQALMEHSNGNRKHVAWWIRRGYFIIPPLPPSAEPYYKSLPQKD REEVDSLRRYWIGLKFFKDCFVAGRILLALVFGLPFLLICTAYIAGLERVPLTGRWRL ILLTPEEEDAISTSLGGGNWYRSVVNLLTTAEHPAPPIVPTNDWRWAWVQGILTRLEE AALSECRYTPNTSLAPSDPDSLPIPPPTYHPFTPRPRASSLLHSVLPGGDPSTGREHL EIGPPYNIMLMQKDEENAFSYGFGGKRAGGIVVYTGLLDHILVSHDPSPVSAQPSQTG LLRGLFGSRSPPPTKPTPEQDLHLACVLAHEMGHLLLSHHLETLSQQQVLWPSVLGLS MDLIRAFIWPFTFFLGPTVNDALANVGRTGTEELSQKYGEIGFQWKHEYEADLAGLRL LARAGFNPHSALSHFSSSVASLQEIQPIDKADDGLTGAVFKLWSRATHPSAGDRSEAI RKEIERWEQERMGGK L198_01677 MSEPPQLTDLPAQDAQELTAFVEKRRWFETKLKSLEEIPPVYPF VHPSLSRSRDSGLFVRDGEDGSQWQLPDQEQVKTWRKERATIEEQVFEFDGGDLERMK KKTRAATLLPLTPPSTHLVSITLDLIVLIDRILSLLRRRGLLLDLTNLRLQWDQTRWA AMTESESLQKEVDAMVHDKARWVPVDMSTPAPVKAGRKSLGATPDTSPQTPIVRDVSS NSISSDMGATAEGATKRRSSTASVNFSSGRPIGTPDRSLHIPLLHSQLVNLRIRHKNL AKTHMSRSGALLDRMIDVASHLRDLGDTKGPISVEADEKKDGGAVPDELLDLQDELDA SVGELGEKVEWCGELEQHWKLSETHHSSSVQAEQLALTLIDELQIALTRPATSSEHRR LSALLEIASKRLPSPITSSFPRPSHEAYPDNDEYNENVVRALVRTHESASEEIRKGRE GLDWYARLVKAKEAVLEQHTKLLSEKEAIAHLVRCLDNGQDDIPRPDIDDTSCLMTDH ATWVTSVPSWVSQLEPFQESVPITVRSGTLAVYQYRAAYKTAPKSLRESLPEEVAGDE VDVAEADLERLTELLKRSRESATRAEQDFRILNIAQPIFTSSTTVHQTGLELKSTLHI ALRATTSPTSLTSHEDYSEIKEKIKLLDGMIKQDVATPLSSLHFLLKECGRKLPVLRH DLSERSSNLIDQQTHLRSLLDLLKRARQQREVAKAVEAEATKFMRKLGLIKDEVERVR EQGKVGELQRSLEVILVRVEGLWRDVEKWEGELAERTPFLAAAPPPVDNRVIPKAAAP IPPTSSSVPPMTPPASRSNTPQHPNSDIPIDLAAVDRKVRGIINAHAVSVQSAIAHCR SSCQAGILDLWIIQCVQAAQILDNSFIAWQKTNNKFSAQVENLSNRVAVPPTSVNAAK ATVQAIEAMTASHEPRLRAHEKEIKEAEEALQGAVGHKPEWLRDADDVRVEEWDRAMV TATTAAADAGEQIKALDESAWELLKVAKERLERAVQNPRVLISPIAPSSLEDVFGPAA SSPSSRTASPLASPLASPVDDLLSGIQQIQQELEGLQVELVVDPRSVEMQATPLLRRL PSEEVAGKIRGGLDRVAAKTKGLHVPPGHPAGASLLYLEDGLREKQSMLPRLKQLVHF STAVKGCDAAFNSLLELVDNYGEHQKSFLLSEHRRVETILKDVYQLAEPVEKDRRVAR EVKRLSNTRKDLKALVDECMDPSKKSNFDDAASEMTRADSVLSMASTVLPRTPSQSFS RLPRLSNSAFKSRVTPNTLTTPLRVSASLQAPTQSSRNRSVSDTPNRVLFGSPGDTSS LPTRARASLPLHSAGLPSLTGLPETGSRLRKSSIPMRARLPSTTSLSSLAVSPQFNGK QPPRLRRTGPLPSALGHSRVKREYVANPKNKLDVAVGKIVNKLDVAVPVRPVNQNPPH QVEEYQDMSGQYWIGSEGKAKLCFCRILRSRTVMVRVGGGWMELSKFLLDHFADLAVV PPLQPAVTGNGMRRSYSSSTSLYNMGANAPMPLTSASLAAQSAQTSLPLSHSTSTVAS LLSTPAEEEVSPAHLASLPEDDPNHLSPEKKPRGSFGRATPRTPGSADRDKSFTSTSP LSTSASPGTNGSPLMAFHFMRKAAESPSARQKEKEKFKGRRSTLGREKSQTNLRAEI L198_01678 MTFTPPSDESRARQDEYHAFARGIAAQPALENTISFQQPPPSQT QPPGTMYPPPGYPYPPHPALWSKTSPPELSQAAPLQMPSDTESSGSSHRSSSRSHDNG LTLDLSSYPKDFKFQIPSFLTSNYTGVPTWPPGGEAWSGFTGPPLFGSDGQMTPGNML NSSFCSNGGPVQTQSHDPYYSQNGVYDWNGMGGDGAMKQGQDMGGYGMVYVNPNPSPS VFGQRNQANNAQRPQAPQQPGSNGSTPRMAGPQQSNGSHQSHGPGPPQPTTLQPPSHQ HNSTPSPRMSQPPSSGAMPTSAILPGRPEYPNNTHAPTHQSSIHNIPSISIPTDGKGG PINFASSSQAPYVPSLNAQAMLQGGYPSALHDGPGLYSTTGFDMLGVLGRVAARKNPS TVLGPVDLSCSLLVVDIRRYDCPIVYASPSFTKLTGYELPEIIGRNCRFLQSPDGNVV KGAKREYTDNQAVSLLKRSLDAGKECQTSLINYRKGGDPFINLVTVVPIPWDGPDIAY HVGFQIDLVDQPNKILNNIRNGSYTMDYTHSIAPEKPLSLADQEHGGQSMGLSPDVLE VMGNRASALSNGTEEAGRMEWLKMVLDNTDDFVHALSLKGFFTYVTPSIKRTLGYDPE DLLNKNVSEFAHPSDVVPLIRALKDATQITDDATPKPVSLTYRMRRKNGGWVWVESMG RLVVEAGKGRKAVILSGRVKSVPMVTWGSVAAYGGLAEKEFWAKISFEGLLLHLTGSV DKVLGYASEDILGQSVFSLVPGGTNGPCSLRDLHNESSSSSPSVIIANTIRKALQGED HCGAVSLQQNLVHRAGHEVTVVIMFYAPKKGQESRALPQASESMTSISTDNSTGPSPS SGNHTNSIKPTSLFIQVKLLSHANSQPASQPPTIASMTNARALDRPQHENVLAELEIE KDTSWQYELHQMKMTNRQLKKEIAALRAKGVGRIKKRKAEVVEEPEEDHKRPQSMGVG TFGMGGQFY L198_01679 MSTEQATGFVRDQFLSWFKGAGGWYNETAVDLIPYPGMNYGATA LENIKEDTPLFHIPDSLILSPYTSTLKEHLSSEEWEQLGHGWSQLILVMMWESIRGDE SPWSGYLGNMPRQFETPMFWSEEERKYLAGTDIQDRIGREDAEQEYTNVLLPVISAHP ELFPAGSPHTSIDAFHLQGSRILSRSFTVPLSRFDNTPKAAEQPEDSDDEEEEDQGVV VMVPFADMLNAAFEKDNAHLFVDEEASEEFKSGVMMRSTKKVTKSQQIYNTYDAPPNS ELLRKYGHVDVLPLPKDITKLLKSSKVGAEWPFGNAGDEVLLSGEAIVESVADKLGEK ADDKWREDIQTRIDWWLEEGQEDAFPLGYDEEIDDGLISFARLLSNGEDWEKAQKKSK PPKPTVDGDVAAIIIKAIEQRKSTYEGAIEDDIALLKASAQWTVANSPDTPKSDETPV SQQRKEMAAVVKLGERRILEIASHVIKKAVKTEAAASKKRKAGSVMVGKGKKLS L198_01680 MADPQAPAKGTGEPAKENTADAAASVPQPANPTPASQDAPESSS TPATDQNVSPTALQQSLNKENFQEEVGQVLGSFNSWWGGVKKQSASTFATIRADLDKT VTQAQADFEYLKTAKVEVVAKDPAQFEAEQEVERAKKAAQKEAAAREAASKARGKGKE TDPAEDPSLFSAAFINRLTSSTSQLQNTLRTTLQSTIDAAAHNPALSNPSVLREQLVE NLRLSSARENLQLSVKQAEKLAEEYLRKGDQWVKDAEKWMEDAVKVMPPDGEEAHGVS LGGDYYHFSTSGAPTSGTNSGAESRSRSPAGIIAAGSRKDALLGQLREDKNMLLVDPE GEAETDKRKAEFREWVKEHWNDETRKAGREDEALVGAVRIELVPEQLSDDQFWQRYLF HKHMIEAEEQKRKLILQATQQQEANDDFNWDDEEDSTPSVAAPAPSTTAPAADTVSSS ATPKASTDGKLPSSAPGPVKSVTSVATSPRDSEESYDIVSDQAVKAKPAPPVETEDDE DSDWE L198_01681 MSQQYSQYDDGFEPTQQSQPIYSQTQNSQAVPNSQLEPHRKYWA IFIPTTADRQILKIPWSKPFIQIGRGPQSLSKNDIVLSEKRVSNKHCRLTLGMPGKSG GPSVNPLYQMWMNGEKEPEVWIEDLKSSNGTFVNSVRVTGRRLLQQGDEISLGHQGAL PNKDGTVHDVRYIYRSVGHTGTVANKSSDPVGQVYERYQVLERLGAGSFAEVYKAVDV STGDMRAIKQIVKHRFASKLSNLQMFYREISITRSLEHENICRLIDWYEDPQHITLVL EFVDGGDLLDYIMGYNGPGNSAEELAAAFTLQICSAMAYTHSLGVTHRDLKPENILLN NNPNGPPTLKIADFGLAKMVHAGTMLTSMVGTPVYVAPEVMMQNEQGYENVVDSWSIG IIVYSMMTKALPFDEKSEDPFDVRIKARFTQEWDHHLLDDWGISALAIDFINRLLAKD PKERMTMKQALEHEWLAGPSSQSQFTESQQEHHRLGGDSTWHIKEFDDSSFESMPFGE AKSEVWGEPPTVSGTRIESIGGRDEEEVGEHEGSDDFSQPMTGLRLDTPVRQKPPKRI SERQALVGSSVPDLDNEIARDTVLMTPPLTASGSCTDPNLRAEGPDSPEKSMPDSSRQ KRKAARSEDGGSIDEDRMREFGFGTDADGDGETRAEGRRGKSEGAEDLSASTRGRKSM RLL L198_01682 MSLPTPNIAHLTEEDYDHVYEPAEDSFILLDALEIDAEEIRLSK PAINLEIGSGSGVVSTFLSNLLGPNESYVISTDINSYACKATQRTAAANQTTLDGINC NLLSPLLSRLHQQVDILLFNPPYVPTDADELSLTQEQKDIGGAWAGGFDGMAVTNLVL DQLPDLLSPAGRLYLVAVQQNKTTDIAERMLAMGLHTKVGLDSGIKEKEVSDLGGRR L198_01683 MGTAAGWKGKGGSTFFGADRIRLKSTQERRGLYAKQLASEHLLV PSPPETPIFLTPPKPGMVQDKLHSSRGLRVPTSSSSSSSSSSYGTSPSSYSSSPTRVC YDARSLFGYDENDESLPPLLPNRSASSIPGMRPIPWGRSSPLPSPRVSPAAPTTPTGR KQSSPLAILPSFPENGSTQALPRQLR L198_01684 MRLKRLARLGTAANTPQPQQQEEPQAESSTPPPAHPPASASSRL LANLPPASSSTSPSETPKPTAPSPKPPAKPFLAQPKPNPSPSTLSLKRPSNASTSRPE PVGPRVVSTKPLQPLIKADYKTWETVKIGQVFAVTLSRSKAQDSDWSICWLKDLEEEL KEENYPQPLATTPDLIDRLLIARLSLDPAVMAKSDDSDKLTILAGLPQSETVFEYLAG CWKRIYIANKDAQKYSFTEEEKGQWKQVMEKIKALVVSYCGMTLEDPTMFPQPEGKPT GPAEFLPLLLSIHQPIAGDHLTSAPTPPPTVSGPLQPADLLPFLQDLAAGFTPDTLSD VISPTLSLFFQQWFSISPTPDILGSEWRRYLGAMNALVQVKAVAGLLPSLPIWVAPNV VAPKLEWQSLLGPLTRLSVFPREFTSIFNVYNSIVRASPEARESILDFFALTLRLNSR RAGMRVDPRLVSTDGYMTNLQVVLLKLFEPVMDARFSKIDKVDAAYFKFSKRIDISEE TKVRATKEEADGYFGEAMEVDAKPNFISDLFFLLNAFLHLGLVKTISTRGRAEKNLSE IEKELKRTEASRGDWEGNPALTAQGEAAIKKLKGDLAVLHASIHAYDTQLLDNNLIRP TVSFLGFLMTWLVRLVDPDHAFPAKPVSLPLPKEAPMAFRMLPEYLFENVADYFEFLA RYEPEALDDVDKDIFITFSIVFLTPDYVNNPFMKAKLVTILSYGLYPAGYWRQGPLFD RLSVLGVSTEHLMPTLIRFYIDVEATGGHTQFWDKFNYRRDMGHIFKALWGNPLHREA FINDDFDQFIRFVNMLMNDTTFHLDESLTGLTKIGTIEAQRANTAAWAAMDQAERQDL EGELNQAEGSVPWHTQMGLDNVKLIKDFTATTMEPFVAAEIVDRLAASLDENLMTLVG PKMTDLKVTNPDKYKFKPKDLLAAIAQIYLNLASAPEFIRAVANDGRAYSKKLFEKFA RTLKNRAIMTEIEVAEVVSFTQKVEDMKATIDMEDEREIPDEFLDPLLSTLMKDPVIL PVSRISIDRGTIRTVLLSKEADPFNNVPLKFEECLPDIELKARIDAWVAEGSTKQADS VMDVDQL L198_01685 MAQVVTNLDQPSTYRDNRSEIDRETERRLLAQSTTLYVGNLSFY TTEAQMYQLFATCSLPELGGGIKRIIMGLDRNTKTPCGFAFVEYFLHEEAVNCLRYIS GTKLDERVVRCDLDPGYKEGRQFGRGRSGGQVRDEFRQEYDSGRGGWGHQRLEEERRR QEQDQLRSQMQFDTYAAVGGLGMAGADVPRGEEFFADRTKRDRSEEEDEDLERREDEK RLRGENDDE L198_01686 MASHRAAVLHDKDYVEPNPLPKSVPHVDEVGTTSAPLKSASFFI GQHCKEVNEDFMLCKQENRDPAHCLSEGRKVTRCAQEVIGKLRENCLAEFDAHWSCLE KNNQHFQACRKPEKVFNTCVFTKLNLSKNIPGSPEGQPQIHEKANPIYTRVQK L198_01687 MSRRARGGGAVRGPSSALTSFLAGLGVEPAHRVNTWGDRSTIEG NAIPEEEDIDPANAVTGEMVEAGPSRVGEGTPVLDEAGDLPVGFKRGRGTDSEGVPTP KRSRAASIDSDDLDADDDYAARPSTSRAEKASSKGVPRGPLKAIGQFMDCGQCEKKFT VTAYTKEHPSQPQTWLCVQCSYALAIDPFAKPKKAAAKKAPKKDRAKIIHYEQKKGVK PLGDMCIGLIGKYIEDVEQLGDIGGINMDKVCRIICKGRRLTPETAPLFYTVDRTSLD MFDCTRLDPPAYLALANLNPNLTSLRLDLVGQLSTDAVSHWAKTLTKLTRLELLGPFL VRKPAWLELFRALGEQLEGFLITQSPRIDAETVKELVERCPNLTEIRLKEVILDNDIL AELAKLKKLRSLDLSSPAGSLTDEPVIELIQAVGGGLETLDLTDNAELTNDILPIIAE HCPQLKNLHLRNVTELSNEAIAAFFKSLKQKQRPGLEVIDLEKGHECEDLALRELIAH SGESVQWLSILGWRQLSIESLQSLTQCKHAKYIDVGWCRQVNNFLIKDLLDGCPALEQ LRVWGCNNLSSGVPRRQGVKVIGIETHSI L198_01688 MLSLSRSLRSSQAPLRSFARSTPLLANKRFNSGKVSGPVIGIDL GTTNSCVSIFEGGAPKVLENAEGARTTPSVVAFTKDGERLVGQPARRQAVVNGENTIF ASKRLIGRKFKDAEVQNDIANVPFKIVAHTNGDAWVEARGEKYSPSQIGAFVVNKMKD TASAYLGKPVKHAVITVPAYFNDSQRQATKDAGSIAGLEVLRVINEPTAAALAYGLDK NDSAVIAVYDLGGGTFDISILEMQKGVFEVKSTNGDTHLGGEDFDIAIVNYILAEFKK ETGIDVSSDRMAIQRIREAAEKAKCELSSAGSTDVSLPYITATAEGPQHINLNITRAK LETIVKPLVDRTVDPCKKALSDAGVKASEINDVILVGGMSRMPKVVETVKSVFGREPS KGVNPDEAVSIGASIQAGVLAGNVTDILLLDVTPLSLGIETLGGVFTRLINRNTTIPT KKSQTFSTAADGQTAIQVKVYQGERELVRDNKLLGDFQLTGLPPAPKGVPQITIIFDI DADGIVNVSAMDKATNREQSMTIASSSGLSDNEIEQMVADSEKHAEADKARRLVIEEA NKGESFVVDTEKSMAEFESQLDNAEREKVKKLLGELREIAAKGAAGDATVKADDIRQA LDAAQQASLGLFQKVYEKRNAEGANQNTESSESSESTEGEKKQ L198_01689 MPRLPLPLAAPRLRFAARSFATVDAASSTPAPPPRRKFPKLDDD LSFDDFLSGDIPTENERVVLGNTKQPRLPSFLKHPIPTGASYSGIKKDLRGLGLHTVC EEAKCPNIGECWGGGKGAATATIMLMGDTCTRGCRFCSIKTSKAPSPLDVHEPENTAE AISRWGLGYIVLTSVDRDDLIDGGAAHIASTISKIKQKAPSILVEALTPDFASKGVDT IHTVASSGLDVFAHNIETVERCTPFVRDRRAGFEQSLRVLEEAKKGAKAAGKEILTKS SIMMGVGEREEELHEALRRIAGLRQSDVDVVTFGQYMRPTKRHMKVDRYVEPEEFAKW KEVAEKMGFLYVAAGPLVRSSYKAGEFFIENVLKKRREAAAEKATAQLSASSAASSEV AAEI L198_01690 MYEPHLVNPTNHYGTHENEGMSLLDELDKIAPIQSFDAFPKVEE TYTIKSRRGGVLTAVMGFLIFLLVLNDLGEYLYGNPDYAFAVDDDLQKDLQLNVDMTV AMPCHYLTIDLRDAVGDRLHLSNSFVKDGTNFNIGKAHSIKNAASPLSPSASEVISSS RRHTPNQKSFFSGISRFFSSSPDRKTASAYRPTYDKVADGPACRVYGSVEVKKVTANL HITTLGHGYMSFEHTDHNLMNLSHIVHEFSFGPFFPAISQPLDKTYEIADQPFTIFQY FLRVVPSTYIDSSRRKLVTSQYAVTDYSRSFEHGRGVPGLFFKYDLEPMSIIIRERTT TLYQFLIRLMGVVGGVWTVAAFGLRVFNRAQREVARKIVGEKEFIRSATTHTPSPALV HRESSGYFGGAPGMMSRATSWVKGGSPGGADLGGNWNR L198_01691 MNRKKYPSKAKPTHPSTTTRKGKEKAATAPPPARRGSRGRNAVA GPSGGPVAREEIDDGEIEVLDERWDVYFHAFLLHYSRHSECYWYRDSWWFPETGRNEK TLHMFVAARWAPIDNLKYYNLHLPNGKIMENVMFRYVGPTTPKNLWLHDYIGYNLNLV TIDMRVLDP L198_01692 MSSLSTGDVASTPSETQTSSEGDIGTPNTQPTPSPEGEEPFVSF EEWKKMKMAEEDAEDKTTPLPAPDQATQVDTSQSPTDPVSSSGATSEKTDSSSVKHSD ASSKSPVPSAGVKSQTFSSSSQSSSHQPSATPLTHHHNKYNYASPDCSARIHSASPHT QHPSSLLHKSRDRYMLTPCRANEHWVVVELCDEIRIEAVEIAVWEFFSGVVREVRISV GGEDEEEDEDDPNDDVQGRGQKWKEVGSFVGRNVRGSQTFKLSSPTSFHRFIRLDFPS YYGSEYYCPVSALKVYGMNQMEAFKWEQKQFSAIAKEKDRNGYKEKEKEQEERRARER QEKEKKEVEERDRQQQREKELDALEKLLHEQAGRLNSDTMTDSGIFPTVVEEKPSSPS APETAVPVSSDPKAIENETDTRSDSLLPSVSSSVSKSQESSESSSTTPGETSSVTPSS KPSDSPTTPPSSTTSTYTRSPPPPPRSDSSESIYAFIIRRLNALEGNSSLVARYIEEQ AKVMRSMLKRVETGWDEWKGDWEGDDRWRWQQERMRQEDRLGKVMSQLEQQRAAFENE RQAIQTQLRVLADELSYERRRGIAQLIVMITAIALGVASQSRTIDAFISPLLTEARRR KNIYDRKSISGPLTGLRIDMGAGRPPAIIGQPRPRAPSDSALGRSPASPTPTPKVRGL SRHGSSSNSNRRPTTPGKKRAPQLVTPAFRSVSDARYDFASPIASVPNTALDVAASLV SPRPRVSFPGNPRAPPRKLARSSHLHTIEADRVRGQVQSSGYDVDGGSPLEVVKRRRP RSSLANGSIITGSPVFHRGHDENGAVSDRTVEDSQGEWGTETDFETEASASEIDSEMD RGAEGEPGKSQTPPSYPGVFLEKEAEVRSRD L198_01693 MDQPILFDTFLLLLLRLLYFLLSRKFLTYTINPTLRDISQPETI LPATASIPQDGDRPRRAAPLGIDSDYMDTDDNTPISSYPSSPAPFTATLASSSRESRR DDYPSSSSSLPNLPNQTGDNIELHLIGQKLKDASSGVSKKVLQLSHGRSHDKGTKSLK KTTKGLHRMSRILFSVCFAESCTLISLVLFHSLGLLHSKSRQVNFSVSLHVILAIVLV VIPLVQCLLLTYRTKESSTASTSPSRSTSISFTTHLIISLIPFSLYIFLFTRIPPYIT AVPLVLSQSEPSLDVDDPSATAATLDEAIVQWSTSGPEGWEQGGWLAPSLGRVVVLGI VVLGGLSGFGAVRTAWNFFEHHRAGGKSLTDNDLLQAERSLYRVRQDLISKKEEVERY APVSGSSGGWMGRMFGGENGQVASLQAELGGLAAMESQVARSLKAMKVRKRRQDFGHT YRGQVYNLVGYVFAVYCAARLLMCLPSLFYAPRTAEQDSGEIPTEGKGNTNGDWISFF LALAISKLPKGFADIDVPTWSRGISLVLTGILILSSLAQILRSLSKILRLTSKTVGAG FLVLSLGQLFSTYVISLLIQLRTSLPPTLPEADPLMYPNGTQIDPFPAAPADTRGDSL LATLPDFRVFGRLFDILFLLAAVSTALYRYIVLKVASADDSGDIYRL L198_01694 MTTEPSSTTYGARASSSLSSISSDERGSQKPAKVAGKKHAREAS PEDKPSEGTKAKKPRASTASSKSKAPAKPKAASKSTSKGVKPANGIAKPSANKANGIL DGDSDLSGLESDSTPTEKSVAKPKPVKGLKASASKQKAPAKGTQAGGKVETKKAAAGK DKKVPPATKKKVEPKPKAERKPKVEPTPPIFEKIATRLSFDDGEQRMALRELLWRFRY VLSIPDRSLPALDDFDRPITEANVRLFSGALLDMIKDEYDAKKSDDNEDILEDLFNFR EELRYYADLVRFAAIFNLLSEPLNLRLPVAPIDRRAQNDEAGLRNLFDLDENSPAPAW TTGFAAPSRRGASKVPEPAEVVKMLLSLAERALTTPKMRGNIENFTVETKARREHGNT TKKEVNAWEERKKKLSEERIKCKTAGETKANTTRTNKEFQQHKLRIAQANVNLRSELH LACLRFESLGTDLDGRIYYALSHRPVTDDTRPPVGWGTGLIVWGSAIEGRVSEEDNLP SSVRRWTHFGRAKEVKELHTWLKWKFDRKTEEIKKGKGKGSSINSKLEASSLAAVPAS PTRGNQATAEDDASSASSGLTPPPVSSTEEMFALVHPDDYEPSQEALQEWSKDLLGKV ADVGEWLEVLEWKGLGEQ L198_01695 MALPPTSHENTPNAWFLQLRSTNANTTTPREFSIAKLACTDTKD IPTKDGKPTKPAGLVHGGVILGRKSSQTKEHGESGVFISPILSRAHAQLTVSTNGHVY ITDLSSLHGTSILSAGSSELVSLDPYSPVQLCQNDTIVLGKDVYADGKEFTPFKLQVV FAQPVFGKGSDKGTRKSIGKLTPEDCTGKFLALSQIPLFEKNVSKIDDAPVVIDSDDE DDIEMLEGDKSSKYGIPAWMRYASEAPISQARYENAANLSDEDGDDIVAIPNKEDIGL QRVAKSQSILYSDDEGDDDDYPRGTAHVSLEGDVVASEDEDDNEQDSPEVQGVREVIR SFTCRQSSPEYSPSIVPAAGPEKNLNAQSLSLSKESPLSLSSILNQAEGRGKRDGSLQ GKSLFDDYQSSPAPFANCHALEGIDSGDVFDYEFQATAQRAAVEQDKYAEDTEVNQSA KVSASDLAAFLASEILPAKSVPAFSVEDSESESGDVVEPAQAPVAPQHEPKGQNAFDD AMSAGWPSEQDQAPVQSNADFAATGGDEVLSEGEEESGVTTGDEEQPLSAVLDDQDEG LVSDAPSDTSYESEDSAVDDKESSASESEGESESGSESESEGESEIDASKHEAVKKQR DLLRAITGKDIKKLNSKQSKLQWFGTSLPDIYRGPEECLDDQQLAEYMRGAAERGADA GSDPEVASQAESEDEDEDEGEGEGEDESVQQESEFEARNDDKDVQSETEEESDDEDAP CPTQYVEAIDVDAPDTLQPAADLAAISDPIATPSTSSPASDRILTPIIGQKRSLPQDD EEDEDLVPVLQLKRLASVQVPTETPGESSVIEVNTAIAQDNKVVQPPAKRRNVAQAMG LVVLGAALGSIGTIAGLMQLAEE L198_01696 MYALNRLAKSQPALKNATGLLKRNASTTSAGGVNVIGFENKGPA ATSSLTVAIKAGSRYESVPGVAHVLKSFAYKATANASALRTAREAELYGGVLSASLTR EHLLLSAEFLKGDEEHFLGVLASVLGSSQFYRHELNELVLPVVESESLTAQASPVAVA LDLAHTVAFRRGLGNSLYANKNYPVSINDVKQYGEAAFAKSNLAVVGTGISTEALAQA VNNSFGSGSSSASQLATPAAQYYGGEARVPLDIHAPATSVPTLVIAFGTSSPATADLK VLKHLLGGEASVKWTPGASPLSKAAEKIPGSSAKAFLLPYSDAALFGVVVSAPTSALV KTLATDVASIVKNAGEAKEEEVKRAVAKATFEDASSTESLAGLVAAAGPAALSGSAPT FESFSGVSASSVSKAAAQLLKSKPTVVGVGNIAVLPYADELGL L198_01697 MQTKLPPLLQASSGAVGSAVGNAIVYPLDVATTRMQNDAKRSPA RRLTLILTLHRLLSRRNALSRVYDGLEADTLSTFLSSFLYFFAYTTLQKALSQYRARR AEHAGSSPAGGLSGKASDTTASNKAKPFEELIIGILAGITSKGVTLPISTVCVRQQVN ESGEADTRSMLDTLKTIYADDGLAGLFRGFGPTIPLTLLPSLTLYIHSVLLKAIVPAR HRAHPPGYITFFLGALSNALATIPLYPLVLVKVLDQSGSVKGKEKAQEGGILQVLKDR VGKEGIKGLYIGLEGQLVKGVVQQGVMIVEEAAVRFYGAT L198_01698 MTSPLRPALRHRSPSHISFLPDDPAFPSHSHSQSDTLSPLKGPS GWRNTSPQKKWHVVIEFGGEFVVREQMRKEFDDDGGSVLAVLQATPHQQLVHLLPQLH LPSPLGLFFTLVIPYPSARKAPKVTDSLWPTLFSSHLIPDPSPPLAHSNPSYGCLPIA ATLELKVDKDLARWWNGWLREGEGGFGVGMNLGISSRMADAERKRVDSIRSVKSMEPV IDSEATKNDRQEDASVSIEVVSLPATLAPWPASLSQQPLKVQICGFAPSLRPSPSREI SEPDLAPLEPSNPPPAAREIPVPLETFDLPTSPKDIPESPYDNGYTSSVPYSPTLSPP APEALASQRFLQDLQGMLEGVQKEKKNLEVKVTSDVPWADLASAAWSEATSWGPPDTP SPVISPRPLTPPIAASAEPIITRETEIHPHAPHPEVIEMERDIQVQRHLPSSNVAHHE PNSEDSKGYGHHPSWTFLPRGPVEAKPWEHNWPYYRSQDVPEPEGLKQFPREEHAHVQ EEIKVVVTHEKEQAVETSLADLVSPQASAFAKQQAFASPQAPLSRDIVAEGLDTASEF EFDAGYFPSHSGANGEEESDDLEGFDIITPFQEPSSVLGKKPEDLNFDMPSRQFVRED YIPDTPSSPFDSDSSQEEFESTDPGGALMDMMAPLSRVSAAVQPVMHARAPLLGTALD VIEEAEDSSVESPLVPRGSALPEVRIIQTTVEHAPSQEEDTETFEGTVVSSQMETWET GLVGEVEVHTLSGQEVPRIVENRSTEVEQRDSESRSTTPEEQPLPPPPYEPMFNPLPR QAIMGPFPQIAPRPPSHLFSPNLINQMSPRMASPYSRSGVGSLPDPHVRLDPQRSDEG RPMSRGMTGLDPRQNMRPTPPSFHADSSDSEEERRVEVWEQHETPQTRRSIFRPRFSR SQSHFDTSTSSTPVHAPRSSSISNLSSVNIYRSSAAYEGARDSTFSLASVTSTSSKAP ARPLKRLSKSVSGFSGAQSVEADGALNGLRPAMMSLRDSSMYVEGKGYPYLEIYHPPR ARLVSATVYSSVYPRLEIYAPAKSAIILTERQVKLVDYSYPAIVIYPAVDRARSAPRT ISVRLVDYQYPAIALYPVVARPVSRMVWHPATAVRSIKVMLVDFSYPNIILYPAACSV KRVIKREVETRKTSVKLVEYAYPDIVLYPAVIRAVKPVPRVLISAPPTQWTPMSKSIR VDLVPITYPDLVIYPAMAPVYREMDMAHLGASVKVKRADYTYPHIVLYPAVAGKRNVK APVRSTCQVKLVDYNYPNIVLYPAAATRVRVRREVRMSSVQVELVPFSYPNLIIYPAT SARRDVGIFGSRSAVKVELVEFLYPDIVLYSSMSQPRASSSPVVIAEASSGTVTPSDN GYTSSVPYSPNTCFAQPSYEALSTQPFLMELHSLLEDVAERKAKELLVKISNDVPWKD LSSAQWSEATSWGPPDTPSNYEYPVATVAPRIDNIAKSIGALRSVDVLLRPFTYPDLV IYPAAIQVKLVQYVYPDIVLYPVMPNRARSNRKTHQQLVAETVHEHKAPPDDKYLSVA DGLLEAARRRYEAVRSSLSKIDTEMIPPPNHHRSGSSVSSIQSASTQAINTPASALSP SSSTRSRSQSYLHSRSPSNSSLPSAKPPPKDALPALPALPVTGTLKGDLLKFGHSRSS SSVRSTAASTAVEGNSPGVLSPQSTGTRTPTRARSSTLVSERMKALMEQTQKSPPLPP SAVNVRGIRSSTYGTMSPPHISSTESTPTKVEEKPGHRPVGKLSNTMFRNWQ L198_01699 MPKIRTQRTKPPPEGFEDIEDVLEDYEKKMRDAESETHEGKRNV EGVWPIMRLSHARSRYIYDLYYKRELISRDLYDWLIKQGYADANLIAKWKKNGYEKLC CVRCIQTKDMNFQGSTCICRVPKAQLKKDVVVECPHCGCRGCASSD L198_01700 MGKWQHTKYDKELASKFQCLFEATENCDRRRKGHSKGAVSDRAF RLFVQELDPNDAATQDWFLELLRRMRKPSRRPHSLGRSQNPETFLHDAGEWMMSPSPP LSLQEDDQSEAGSHLSHLIQDYTQGPIAVPSSSRFPSPERRQRMAVPGEPSSSNARGR GGWRFAPFVPHASRDISRLVRSPLGDERDHDTASTPGVPSRRERSPPVSDASLSWLAG YNRNAPSSDPRPLRPLPVRSTTNRPPIMFPRNTRDLLGPLSRSGQSSRTASPSGALRS THNALVGNNSHRDPYRAQPGPSSQSSDTDLRAMSDHLATALRRRRTHDEIDGAQAEPR TNGRQRTDGPSGRIEQDWRVDDDAWRAAEAEAEGWGRDTRRDGVVFDSADMLGENGEN ARNVEEGGNTFWAVDEDWEDMGMPYPTRERDFPPLALSHLLDTSQYDDPYLPDEY L198_01701 MSIKVDIPKSEQSRADGNISFKKGKWAEAIGHYTNAVIYNPQDP VAYCNRAQAYLKLDKFQDAERDCTSALDLPKGKGNIKALYRRGLARKGLQRNAEAIED MEAIWKLDRKNEAVKTELEELRVLWRKQDEELKKPPRRPLNPLSLPKPTPSSQPIADL TSQTQKLDIAPKPAPARESLEPTGPKKENSFAAIRKNRDGKKMSFASSSNGSGPGTNG TVQEKEGGDRDKKEEAIQSALHGIFPPSKPNSSPAAQQGAPKGPLVQPAETKTVPALS KNIDTTSTSPGAGLVLLRHFTPSPAFNYSLISLYPPPNIPVILGSLLEPDTLGQVLLA LEEGVQSENGEDKERVKMVLEGLKTTKRWGINVAMLSRREKEAGEGAWRGCGGEGKWA L198_01702 MSDASPSHRPPAHIPPIYSPAPYPQPAPVTAAQGPPRLPTLTPM PDSASTTHSFAADLSMGSVKTEDSASVGEPNGQTVQMQALQQQQSMQQPQQGGPQGLK GKNGATGPADNKPKPHVCNVCQRGFTTGGHLQRHQRIHTGVKAFKCPFPGCETRTSRQ DNLQQHYRTHLSPTLRRGSGSAARAAVNAAMEAAGLKSSTARQPRKSKSATGTPTSAS TPHFPSPYPPQAGPNPYAPYMYDQAHYPPPYPIPPSMSMAQPPSASSSRVPSPTNPNG HPQHAHAQLPPAHQPSFFAQPHYTQQYPSYAVPPQHQQTYRYPAGTMGYAPAPGGYAH HGLYGTGMPEQGHMYNPMQFQHREGSYGLPAAAYGGGGGGGGGGGSGGGGGQAQRSPT ANGYGHPRR L198_01703 MSSKTGGGKGGKSKTSGEVKVLTTRSSKAGLQFPVGRIHRFLRS KNANNVRIGAKAAVYVASIMEYLTAEVLELAGNAAKDLRVKRITPRHLQLAIRGDEEL DMLIRATIAGGGVLPHIHKSLVAKPVGQQLKKSKSVVA L198_01704 MSTNTQRHILHRTVPLICQALITSPDDETVWTEGFRILSNTKLQ QVLPLTTSIWLPLLLKGLETTRPRSVIEEALKLLVGAAGTLEPMKSCIIKPLRALGKS GDMGVMEYLTKAVERLDITVRNEDNQLIGKGPRSNTSYQGQDPKLVSRVETATQHPLL AEILAHNLPPKPRSVQHAWTHTLLSSAHDSPSVWLHNFYQATLEASGIPEVVITSRLG SMVHGDIFQTAFFKCYVSLEGDPMFMSCVDRALIDLLSDRSISRDILVVILDLLAFFS KERKSPLSHGVQEAARACAFESFRGALNQPLPGVILWYMEQYTEMYPTQENVSNIVEA NISRVGSSGYDAAWSSLLWLENDWNVEPDPMWITSLSHWQQGLDAQIKIDETQETTMY SSFNIRMICYHALGDYQKGYELAQNYFEGLNDIERRTSAHWATAAAWHMGDFDTMADY LAFHPKGTSKSLYKAIIDVRNEQYASAFHHINKAQSLSYDELQVKLGVGPQVALKSLA KTEFLVELQEAIQYKSQPELRESILNTWKSRFKRSHADANSWLRRLEIWTLACPPTTF ELQSCFLNTAKLCESAGMHEAAQSIIRRTAPEVTPPGCKVEYTKLRFDWKDAFQQRDQ AAMEAVLQRLNDHTDRYLQHMGLDRDKIEASGLGLQPVSDVISNQERLTKLIVARRYY RLGEWTAVLQGSDWLQDENSLVLTYTSLASKLDESWYGASFSLAERSVTLFESSGCSK SDGVAVGSYIVPALRGLFQASRTKESPEFVIKALLRLVTLWFSFGESQAVLVEVENQL NITQVDTWLAAIPQLIARLGTPHKDLQYMLINLLKTISSRYPHAVIWPLLTATQTQKV EHHEAARVIMNFICTMPDGTRLVDQAELVGKELIRVSISLMEKDHGKGLARTSKVLGR RHDQATGASLVVKMQYPETPDEEKFVQRFGNVLLRINKTLLRYRSTRQMSLVNSVYTE LYKLWGELDAHLNQWKVTGNKLHLGSTAPRLLTLRDCILTVPGKYDPHIKLDDQAFID SFHPTVHLLFSKMLPRKLVIRSYMADHTFLLKGNEDLRGDERIMQLFSLINTLLNHRP DAFSRNLHLLPYEVIPLSPSAGLVSWVPNTQQLQSMIQTKRDKYHQQWLNDAETSSIL GYDPENGRPKPDQARMDVSAEIDRYDKLPVNTKVQRLKSALSHSDQSDIRDVLWQRSP NSDVWIRRRTNFARTLGVGSFVGYIIGLGDRHGSNILVDQLTWGALHIDFGDLFDVAQ ERSYLPEKVPFRLTRMMTNAFELASRGGLETPGSRGSYKQASLIAMGVLRDSRSTLLA MLEAFLYDPLLSWTNSGEPSSNVDTTGAPTPDKEAQKVKSPHRPSYVVPQSVAQSVAV NNQSGDMEGYYKFENSLVSTYMQTDSYMAKVEGNGMTNGRALQVLGQIEKKLIGFHKD NEQPLSVNKQVQKLIEEATDLKNLSQADASTVGYTLGWMPHW L198_01705 MTQGIHSMSTMLKRLEAVTSRLEDIAVSQHSQSSGSALKSPTSN THEGLAGHVPPPPPPPPPAAAPAQQETPVLTPIVQAYQDQILNGALQEFLGKAKEVGG LVAEHSALFEPLVQSQLEFLQLASAHTKPSPTAFAPLLEPQAKAIQAIMEAKDRLGRS KEGREWGVCFNVLGEGVPAWAWVQVEPAPVPYVLETKNAAQFWSDRVIKQFKETNAAA VAWAKSFLALIAAIQVYVKEWHTTGVTWNPKGSPAPATFPTKSASSGGAPPPPPPPPP AAASPSAPAAAPAAAPAAGGQAALLADLNRGGSVTSGLRKVDASQQTHKNPELRSGST VTEAGAGGKKPPALKPKPGSFVAAPPKKPARLELEDGSRWIVDNQEGNKAIKIENTEL HHTVHIFGCKNSVVQISGKINAISMVGCKKTAIVLESAVSSLSITSSPSFEVQITGTI PTIQIDTTDSGSIYLSKECMNTVEIVTSKTSSINISVPTGDEGDFEEKPVPEQMKSRV VNGKLVTEIVEHAG L198_01706 MSLAYLQQESTTPAPDALWNLAWLHSNNIISASADGHLRIYDSS SLTAPVHTIPSHPLAISSLSVTADGTRALAASLDGTVVAIDTESGEILGKVETASEAI GEDEFKVPAFACAIHPQGTSWAWAGCGSNIGLGSISSEASSEGQGILSGPRSAVDVGK GKFCMDLQYSPDGRSLAVSTEQGQVIVLDTETQSIVASYNSHNKAVRTITWSPDSQWL FSGSDDKLIVLYDVRAGSTNGAGGQGEGAVATLPGHQSFVLKVAASPDGKLLGSVGAD SLVKLWDISQRTCVFTSSTNAEAWGISWQPADAGNLAPGKQFAVAGDDKVVTIYRAAG AV L198_01707 MSLADSVDSLPPPDDPGRILSVQSHVVSGYVGNRAATFPLQTLG YDVDVVNTVQFSNHTGYGFTDGHKTSPDEIAAIFNGLRVNGLLTHPKVLTGYIPSASA LQVVAKNIESMKDKDPETIYLLDPVMGDMGTGLYVAHDVVPIYKDMLSLASIITPNQF EVELLSGINITDLASLRKALYHLHTTSPLPHIAFSSIPLPIALVASLTLPPPPKSYTR LLPHPLPPWYDAVGVGAPEDEVLVCFASSWVDGQMETHAFALPTIRGYFSGVGDLFSA MVLAHFKNPQAKTDLPPLAYAVSKALLTVQQILLRTHIHSLAQAEAAGNATPRPLHKA SHQPPSVIPSDAELDDVLPSNPKDPKRKARRMRLRELRVVQERALIEDGGEGWPGKKL TWEQLLKGHV L198_01708 MARSTVPAIPQHRTASTATTSRQNALPPSPTNTAYSRRGGRSNS VVTSVRRHGISRPPTRASTHADDSPPFVVALLQGKGSGNEIGVAAICLLTGKTVVTQI ADNATFHKTIQHLYSHPPSAIIVPDTMLQDDNKQQYLKHERRTIGDGLLVEQLEEEFE IECMGVERALWNRETGRDFVESLAVDDELKASTLMAVEDKFYALCAVSALFKYLKLEK GIEIQERSLRIRYAASEGTMFIDVDTARSLELVRNGLTNKTTNTLFSVLNHCHTPMGS RLLRTSILQPGNFVKLIDDRLDATQELVKCGDKLTIIRSKFASVAQLDLDSILSQISQ QQLHIMEVNVTDTRISLLLNLMEYLQVVQALREELANTESHILRSIAKDFSGQQLDQV FGIIDACLSREVSVGKSAKGQNSRISRLFAVRASFAPLLDVARQTYQENLQDIYDSTH SFTCQVENKGRNFYFTVPADDIEHALPSEFYGELQLKRCAKLSQSEQEVLLISGQIVA NLISEVMGTLSGLYHCTEAAMKLLTLSQVRPEFKDTLAIHSGRHPILDGTLGTGECVP NHVYASRGSANFQIIQGPNMSGKSTYLRQIGILTVQAMVGCFVPAEYACFPLPDALLS RLSNDDSMEKCLSTFAAEMATSAMILVGLATPRTLVLIDELGRGTSSLEGMGISHAIA EALITRKTLVFFATHYHDLAVILGNLPGVVKSHDASKETSEFTSTFSYKVAEGAAPLS HYGLETAKLASLPQSVIERATEVAEKLSALEEQGRGPSRSILGDILTED L198_01709 MSRPHSLNKGKGKDKEEDEKRKWEEMTVEERAEAEAKQRSFERS LAGPSVGKAGLMRDQTEINRIIAEASKGSKYYKNQVRKDEELNEKITWYRAKRDELMS MAKEEQIEAEADRILMDVEALRDLSQTIIHVDMDAFYASVEVQRDPTLKGKPFGVGRG VLTTASYEARKFGCRSGMAGFIAKKLCPQIILTEMHFDLYIAASKSVREVLVQYDENL MMASLDEGYLNITPYMSTHSMTAAEVVTQLRAQVEEKTNLTISAGIAPNKMLAKICSD KNKPNGQFELSFERAEIVKFMRDLPVRKIPGFGRVTERCLEGLGVETCGQIYEKRVEL LLLDHWFGFHGLCKAYLGISDNTVAPGKREERKSVGVERTFRDKTNDDDILACLADIA DELEKDLERLQYAGKTVTVKFKLHTYENKTRAKSVTRFLTTAEEILPIAQELLKRELP LRIRLLGIRLSTLKDLTVEEKGIKGFFKPPAENTAEESSKRRGRMSEMAKMDEDDGEI YNESDDDDDPIFVESHPSSHILLGKRKSPTPSTDVPSPSPGPACPICGQHLPGGTSNQ ELNDHVDWCLNKDAIREASRVSPAVTKRLKADQKRPVVIRGESSSPVKPKEQMKEERV AERGTISAWLKKKS L198_01710 MAYPTSPHDPLELLNKLPEKFEDARKSGQLLFFPSEAKDIYISG KKFNIRLCPALQDKSKAKSDALAAVKAERDDGSPDKKRPRTAGSSKNDEEGLEDKQNA QEPFKPPYVPELFVGSLKGIDGEEGMSILVSTYAVLPNHFLLCPPSYQAQDLPPTPPQ LATAYSLLLAASRNPSNPANLLAFYNGGPGAGASQSWRHIQFVNVPNGRAPVEDWVQS VNFERPDRAVIVPDLPYLHVVHPLPPAGSLPYPLTEEAEENLVDVLALALMKLLDMAF DAARRGGGRKDGGWNLLLTLNHIHLIPRNLPSYPLPSPHQPLELNSLGYAGLILVRSQ EEEAALMSAAEAEGGGLVGLLAKCGVPRETGEKVLEDEAVFQGQVDAGLI L198_01711 MSTLEAPHESTKPSKWGKVRSSVKFTAAAKEKNRATKADSLSVS NAPMQSSAGAAAARSLVLFFGFLFRRPSKLFKPNRGKQTVDTWLGLRQLAISTDQHLS PAFIRSLLQNRTGLIAVGLTILPPMLVNATLGFLLFTSHSLFSLGLAKLDWFQRKVEV ENEKGEMIVEEQEEELNLETLIRGPSVIPNHPTVLSAVAGAGAGLIQGMAFTPVDNVV RLIHQSTTSWVNILARFVHLPVPKTPDNMKGSSVTPVQAIKNFFSDDAWRRNRNWWIG WRWAVSRDALSYSCFFATFDVTRRVGLRVKGMFGGNIEHDWDNIFILDFDGNAHDDSI SSPSPPPSNNTSSSLPTYRPTGDQPQAPTLARVAQAATIVTGGVLASLLAQAAGRPFR ECQRIMQLDDREWARRAAKGEMMARRPHFWQALLKPRPGARPHPILNTLQTKGLRPFI RSEGEFKSAQMKRELAQEAQAQGKLRGMVGTVVKRVGWRVASVGPWGCGFLVWAWIGG EV L198_01712 MVIVKRTSAVRRRASKPPRHPTFTTSGPLCVICVSDQAPRKSSD GSSIPIFCGTCEGGVYAEGAILQLIGKMDDELIEHEVDYLHDTEQTGLFGTSPSRIGD TYKQALLDLLEKCSYLDDLITKTRQRFPSVKASEAEVRDALRQLDTHLETYPSSYFDP KLDLRVPTIVIGDHPPAFALAF L198_01713 MSHDNKVSMDATTIDFNNSTGAKTQLSSAGHSVPGSEELDRYVT DDVPRQQKMSVRPQTAPEGGLIAVQPLQKNEMQPSYAQDLGAGSIDHGCYGSFMNGLG ACIGALGVVPCCPCPNPFHNISQGSVGLVSRFGKFYKAVDPGLVKINVCTEGVQVVDV KIQLTGVPRQTVQTKDNVSVDVDSVICWHVISPYRAAYGISDVRSALVERAQTTLRQV VGGRVLQSVISDREGLAHEVAEIIEATAEKWGVAIESILLKDINFSIELQQSLSSAAT QKRVGESKVIAARAEVDAAKLMRQAADILASPAAMQIRQLEALQNMARNSGSKVIFVP MNLGGMGAAGLNNDVADQIRASGHEGENAEAGPSSASNAGLITSMANI L198_01714 MESDAEVILSTDEVLKLFGTIQTAVDATISSSTFLFNKVEQKDE ALEFGQGLSLLNLRPHLLLSSLHQLVILLSLSLTSPQEEQPNPASSSSLTTPFTNPRS RPELSSLTDILTELAGELVMNQEVMDKTRSLENKLEYQIKKLVGLAEAEEKRGKEVVE DVEEDPLSFRPNPTAIVNRNAPKLREGTPSDDDEAEGGDGIYRPPRVAAMPYSEEGAP SRRERERRAPALLSEFAATMDSAPLLESTSGLSVRPTSSTLAKHSNSISAKRAAELKR INEFEEENMTRLVTSKRDEKRRREDEEALAMGFGVGPSRGRRGRNGLEAELEGVLGER GDKGVWDGVSGKFGARGEVLERGKKRTGGSGAGTSGSGGKAKKARFEKELARRRK L198_01715 MFFRAYDGTINHALQGLDQLALPTDQREWEEGIIELQPSATYEN QPDVQEYLKLLGSDMAQDRDDQIDEGRKKLLDASQKGFGHFWAQLLSDPCIRAKIGHD VLDRTYTEHSEKYRWDSVLTIVSEGRG L198_01716 MANNHTDLCLTAENSFLTPGWAAALSNDFLRTKFERLYSQDTQK RDGDSDLFIRYENLNIPKVRFRISGHYQSVDNIRIPIPDVVPMFPLPGTCGFDLIMGR LYLDSTHPLSIALQHCLISVEDVYNKRHHGLEAEGLVVRALEREGRDVESHLQGLDNV ENKRVEEEEEEHVKEGGRDEDGDDDEEEDDEVWSWGWS L198_01717 MVPVPQEELPVLEALINIRNRLTALKKATHPLQDTTRFIRAPDV MPIYNSTVKQITRLVNVRDEQSQSHPNLNSGSSNSAKPPLPEPNRVDQLLADVFQLLS LFFLTIGKSRETPATYCQIASMRQILSHMNESGAYTEQFLIPFRERLDQLKQVIKQDS TEGKHPEAVVRLMLSKLEGVERQLDELFQSLTVLSIELVPIHQRLVALRKQLSALAAE PKPSKAEYKAVLEELRKIDGKRVDGKFLGPGGSSVPEGQALLSGLLETCFEITQDIKA RDAEEDVSPVLKPIYDRLLEMKNALDQMTLTHRWTLRETDLYNYAMSLREIDSMRVDG KFVDADGNKAGGQYALMFLLRRCYGLIYRLMSESEPISEELLPIANKLSTIKKCLNEV LKYGGPYTPRDLYPYHLALHQIDTLRKDGKFYADDGSIPEGQAILVAQLSEAHELLEM LKESMSDEEDDDDE L198_01718 MSPASDDEGVDQLYDSDEDVGSDASEFTPPPGADDPEGLQLFMD RITEGQLEDPEHQVMDCKRLYEMVKDESIDLDPSYQRDVVWSTAKQVGLVESLMLKYY VPPIIFALINIRTLQEKYICIDGKQRVTSIIRFMDGDIPYSRPGSNEKFWWTDVGAPG KRKVLPGPLKKLFEKIKLPSVTYKELGDDQQRDIFQRVQLGVALSSAEKLQAISSPRS LWITFLERKYIDGESGTLKGLINWDLKRAKGFQNTAFFVCLASMNFDKRAEGSRSSGR STWTSGFENCSPAMVPTTRKLKTFVERPDEPNDAFKAKVELALAIWVKIGQEFGQSMF IPYVIFTHAAHLTIPQLAKVIGNLRVEIHTKHHGNVLTNAKTAQTFSDWLLKTPASIA KQVGNKEERQFAAEECEKEVHVGVPVNGEGPAKKKRRKG L198_01719 MAELQEKPSRIDTNDKKDKSNPDALNEDDAQTNVSSPEDDLVLD GVEPVYAAKAGVLNQAINDIGMGRYQWQLFCVAGFGWAQDNLWLILTSLILSPVANEF NVGQPALLTLSQNVGLCAGAAFWGLGADIFGRKWAFNLTLGVTTVFALAAAGSPNFAM IAVFDAFWSFGVGGNMPVDSALFLEFGNHQYLLTVLASFWAISQLIVTGVAWGLLGEF TCEEDAVTCLKGDNMGWRWLLLSMGGLCGLMWFLRFGCFQMYESPKYFMGKGKDEEAV RIVHEIARRNGKESTLTVEDLKACEIYGSEDQQVETNTKAAFKRKVRSLGGDHVSRLF ASKKLAFSSGMIILIWAFIGLAFPLYNNFIPYTLARKGAAYGDGSTYITYRNSCIIAV LGVPGAILGGYLVEINRLGRKGTLSVSAILTGVFIFGSSTALTSNSLLAWNCVYSFFS NIMYAVLCAYTPEIFPTRDRGTGNALAAITNRFMGVMAPIIAMFADLESSAPVYTSGA LFIAAGLFTLILPYESRGKASL L198_01720 MGRVIRAQRKSGGIFRSHTHHNKNPAKLRNVDYAEKNGYIRGVV KDIIHDAGRGAPLATVVFRDPYRYKLRKETFLATEGISTGSFVYCGKKASLAIGNVLP LGQCPEGTIVSNVEEKIGDRGALARTSGNYATIIGHSESGVTRIRLPSGSKKTVSSRC RATVGIVAGGGRIDKPFLKAGRKHHAMRAKRNSWPRTRGVAMNPVDHPHGGGNHQARR HIGHASTMARDAPAGQKAGLIAARRTGLLRGTAGKVVDTA L198_01721 MSTPPPSTPAPTPPKPPVPPAAPAELTGFRSALAHTGIPHSVLT YSPRLPSRNWLIFWSLSISVTSLYIYDRRECKKIKEETVKRVEKYGQEPLPGGSLGEA RRVKVWGGKWGGDEDTDRANRYFRKYVKPYLVAAGIDYDIPANPLHGSIARQLHASIL ALRRTSLSLDAPAPTLSLPGYNASPLQKLQREVEGGVVIVGRASLKEYLEGLRRGWEG GVDKWEWEQQVREQLAKDDLAVFGPEDAAPAPAATQNIPAHWHVPPIPLPPSPPICLL PFTNHLGFLQLPNMIYDFFTERHKVQAGADAAISLIEGGVRDMTREDAEHWEESSQGY YNKMARTTKDRMQKARDDYYGELTKRVQDARAYEMGEREMTDEEKKANKVTKIADLKE ERVKRELRWMGNEEGWDIVKPDTPATWRDNWEGWLKVYDLPADVKENGL L198_01722 MTTANREDSVYLAKLAEQAERYEEMVENMKAVASSDQELTVEER NLLSVAYKNVIGARRASWRIVSSIEQKEESKGNEAQVTMIKSYREKIEAELAKICEDI LEVLDKHLIPSAASGESKVFYHKMMGDYHRYLAEFATGDKRKDSADKSLEAYKNASDV AVTELPPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKD STLIMQLLRDNLTLWTSDMNEPDSEKEAKEETKAEEAPAAA L198_01723 MQSPQDTGHSAASIDREAKAHAEDLKSLLANSHFPWSKDVEAAQ KQCRNAHLKLIFHHPLSPYSQSLDPLWLHTTYALIQSYRDVVAGLERVAQSQAGSPVG NGGGRGGRRKGGGGGGAGGDVKKALTRFRQVLASEETFYRSIVARIASSYELGEISGV ASVLKQVKLPVDISSPHEASPLYEDASPLARRGSTQSLSLAEKKTKLGLLYKGLICLG DLERYREQYKAPINGAGRRAQERVREGGRFEAAGRYYTAAWSLLPDDGSAWNQLAVIS TYIPSDFSTAYYYIRALSVRQAFRGAEEILQKFFSRMFDRGRIRWKEEDPVERENGMK GVDDSLKDHLSSRHLPTETIVQLTALLIGVHFRNRSTAGVLPTGNPVASQDPKVVKRS YEAEGKALEVMLRVWTVYFLVATEEVRDASLAAGGSLERSALDDRPVDGAGIRQDEMP QLISAVLRRNLPSLRLISKWLKLNSSYLSRLSNTRDSNLICSTNLTTAQGDFERQYEA FLGLIGKTFPLDRLPSLEEALEEDIDMRGFLGLVSGSASGGSNGLAKFSAQGQTSEQG ILDGRSAEREVHPNEEHLMRLSDLQVDGKLILSFTQGHHSVEPQPLDIHQSSFSIPDA TTKAYPALTDLPHVSPALLEQDDLGSISTNTEDDPVTLAMRASLGEHGESELGEGIDD MEDDDDEEVIVWGRGSNAFAQPPSQSQGSFLSNGTPQALPSLAPLHQPPYTNTSQSLS AQHSATHQPKPQLHNFQQPTHATSSIMSGMSNAGGSGAPKTAADLLHDLLEGGVNGSV SPMAQGPLYGQTGSPFVQHSPGFAPLGGFSRSISMSGIGLPSPHPLPLPNGPAGHTQH RSNGFPQFQPPSIPQHSQQQSQQPFMGAAQSGGMGMGLGSIWTMTREESGKGQSRGWD GVAAGNGTAPPPGFGLNAPQPPGPYPAPTQPQPQVLPNHQQISMPTSRPSTTLQAQVK GTYRPSPSTNQPSKQAGVQTTRQPTGPPSSGPMWGGPGAAGAASGSGAAQVTMPLPSV KAGEGAPYYMRPGVFGSTGSGIASGPPGLPAVAVGGGLSLGGSGGGIWDSRAGTKEVG NAQGWV L198_01724 MPFSSSSTDSFSNHLPPSPPPVHPAPAALALSLRRHLSDQSFAS PKGKPKLRLRISQWSVKNRKSKGEIKRRNQEERKAEDRDEEEYNFMRANGLLAPEEYE WERKLEHRTSVARLGGKGVKMKMISLPSISTSPSAFSFPAPPPRDSISKSINLDDYET KTQSLLAGQFSDDSTQTMSLSGAGEPEVESEIESEPEEQATPTAVLKVAVGVPAIVRS IPSMNSFMGQPSFVLPEEVDIFYRGGSWSDELGEGGELEAIPPPPETKQVPRAPSQHL SVPNSRSVPARDGQFTDADSYYWKAEDGQFSDIGNEEAHKPAEKPLPVAPRDGHFMTT LKSSRPSIGNLKPSHVSDLFSPDVTGVTFNAGEDEDWSESTPGYHRRDRMISSKFYEH CETTFETEECDTTAGALMVRQDSHKLYRKEYIGSDGSTTTLKQHRRTTSVGSTSTDAT ARQPEWPLGTALTQNLLQKAATKDAHVRTHSDSAPPRPSTDSIAVRILSGTVKKKKPP RRSVDHEASPAGNSFDDVDPPREDVEVIESGLSTHPSIRRPSISSSVVTTERISFSAP RAVRPSHSIRSKRANSTTQAPEPRASSTYTRQSVTRSHTDSSVPRCLLDLSHIYAPSS KQPHHPVSQNKPHRKIHDPFAVFDPYPPIVPVKQIPNDPWIMITSSMDDDMLRKKGWK KVEMRRLEGEGFWAWMNRKVHGEGLNEARERMLAVKGEGVDGAVHE L198_01725 MDFDIVPYEDIVWGRKLGSGSFGSVYQGSYLGIDIAVKEILPSN EYDVHKYFEREWRIMRECRHPNIVLFLGLCQAPEHNGRVFIVSEFVPCGNLRQYILSS NPFPWRLRLSFATDVARAIAYLHARQCIHRDLKGENLLITSNQRIKVTDFGFARIASR NEEELKRMTYCGTDGYMSPEIINGGDLNLPTDVFSLGIIFIEIMSRHVVDSKHFTRQA PSFVPDPTEVTARASPGCPRAFIDLALACTAEDPADRPKMTEVLSRLRSIELQVLQWM DEPGEHVGSIKLHRREGKRAMPVFDAPQAPETVADPEEDEEKRAEEEALKRLAEMRVD VESGQAAVGERDTAGDVEERWRTARWKEPRSFATYVTAASAFTGHQPLIESTPSSINP FINPSIQNSHSSFNSDAARSPTQTASVLQPATPFAEETVSTMTIKNGGTAAATVTPTS PTSPGAPVGATQDHPYSTLVTHCGGSDPDEEAQEMHSPPIAIPTPQSPRSPSRTRKIV NNNAATHRFTLVDRDPISLVGRKPLASTLTSTFTALLPRKPPSSPGGKAAPASSKKPT QGFGGISSSKCAVCSKRIGQRPAMQCDDCHGKPQS L198_01726 MSMAPPRKGENWELRQQLNSEYRDKRADAIKRVIANHTIGKDCS GLFPDVVKNMQTDDLEQKKLVYLYLMNYAKTQPELVILAVNTFVKDTADPNPLVRALA IRTMSVLRAEKILDYLASPLSRCLKDENPYVRKTAALCVAKVFDLKPELCVEYGFIET LRDLIGDGNPMVVANAVAALGDIHEASLSLPQTPPSNDDEDDAPSRPNQSLFIIDSTT LTKLLVALNECSEWGRIAILTTLARYKTSDEKESEHICERVMPQFQHVNAAVVLGAVK VIMIHMKNVTRQELIQSLIKKMAPPLVTLISSPPEVQWVALRNINLLLQKRPDILANE MRVFFCKYNDPSYVKVEKLEIMVRLASEKNVDTLLGELKEYASEVDVDFVRKAVRAVG QVAIKIDEAAGRCVGVLMELIETRVSYVVQEAVIVMKDIFRKYPHSYEGIIPALCANL EELDEPEAKASLIWIIGEYAEKIENADELLGAFLETFREESYPVQLQTLTAIVKLFLK KPDESQAIVQKVLQAATTDCDSPDVRDRAYIYWRLLSSDPAAAKSVVLSVRPPISLPQ TTVAPAILEDLLHEISTLASVYHKPAATFIGKGRLGADDVARRNLDAEDDASREKALQ TVVAGQQAENLLDFDDDPAPSNGPSNDLSGLASLSSPPPSGGISNQQIASAAKSTNPL DELMDLFSTAGMSAPAVQPGQPALTPQQSGGGLSGLEGMASPPAATPQPQQQSAQGGQ DDLLGLF L198_01727 MSLGWLFRPLALASTLAFSALGALSTRYQRARLYWNLILYLSAL GMASTWGIVVTILATASGQRFNINYYVARTFYNVARPLVGIKIEVEGEEHLDDLLTAH GGKGQSAVLLGNHQSMLDILYLGRILPKRSAIMAKKELKWSPVLGQFMSLSGAVFVDR KNRTDAVQALAVAGEAMKKKGVSLWVFPEGTRTSSPESNLLPFKKGAFHLAVQAQVPI VPVVCENYYRLFDGRTRFEPGVLKVRVLEPIPTIGLTVDDVTSLAESTREAMLQALRE ISVPTSSSSGPSTSSAGIAPPISAIVTDPPAGAPIHLNIFNNDAQSALRQRLAPGSGE HSREASSEGSKRGATSRDGETTEDEMDEDAVLMKKPTGAA L198_01729 MVRDSKSYENESLILLPACLLQSARTVDIPQSVLEDLKNFRFKN AKGTTAISIKIVKSSLTMAVDEEFEDQSIEEIAEELPESGPRYVLISHELKHPDGRTS YPIILINWAPPSSPIGLMTLHASALSYFHQVSEVSKVLEVRDGAEGLTTQAVNEKLLG N L198_01730 MAAIAPALVALLERAQRPDRLGSLLKEAVVLVQTVLELLGEEAV GISFNGGKDCTVLLHIYAAVLYARRTPTLPEGLLPKPSPHIRLPQPQQTLQPSISPQP SYNPSRPARTPPPVLSPLPTIASAPESPPHPHSTHHHPPSPLPYQPIRSVYITAPNPF PELDEFVLACTERYGLDLWRFGGGMKSALEEWLKCGGGKSVKGVLVGTRQGDPNGEVE VLAHTDPTWPQFLRVHPILHWTYSDVWDFLLELNVPYCVLYDEGFTSLGSTTNTSPNP LLKKEVGGWEPAYKLQDASQERAGRH L198_01731 MSSFLGAPPAFEEAAGTTVAPTPSLFDAHDGLGTSPLVSITDMS GLPTSRPPTSAEIDAVIAAAIANAPPPASSEFSSEMHRSVTPLMTNLLPSAVGSDGRV NLFVGNLPYRVRWQDLKDLFRKAGTVLRADVSLGPDNRSRGYGTVLMGSREDAARAID RYNGYTWQTRTLEVRPDRLPPEYEPQAHPVHHNANPRSAMYSFHSFPGQSHAPFSIPG HLTPQPNGTWHGQLPGSRPFSAGAGAGLLPGMGLSPSTNPASLPGGSPLPGGQSPASL FSASTVPISVQTTGGAQPLAASPLAGSLTSGQAGSAAPSAINQARRDSLTPFAYVMTP PESSAQLPSSRPTSSSSPKPTSPNGGRAPPPGTLGPLPPSMFAGIRPSTAGESDSGLG VSPTASTRVPQLEGLANQGMGLGPPSTLHDRVIFVSNLPMSMQWQDLKDMLRPAGTII RADVATDSHGKPRGFGTALFATEADATRAVALFNDREVAGVRIRAHLERDIRPELASR SQRGSGESSGLGLSDSSEQVSAAGVNELLKGNGITPIDTSVPIAKPDPSPVARLPWSL NTSVHAQAQAQPHGRPLADHRPIAHHQHPHHPHQSHYRQSHHPGPISMPAYHPMDSSM GPNPLSPLHTRGLPPMTPSMPGFVFNYPSTPPAPTPHWGPFSPGPGPFSPGVPVTSPG AFGYNPFLNAAPGAPVNMYPAPPQGQGGSAALGTPTTQAFPNGPIGYGQYAGPPGAPP AQQNGDQAQQGQDYFANAVPPQGSGLGVASANSREKLAKSPLSVGRTDQVSPTSILED HAAEKEAEMSEVDDLAKLAEGLAVKEASKNQLQADKVETVKRSASGLDLSELGAGGLS VLNQRTSMDDGRLGRH L198_01732 MRHSRPMWPIKKEEDDRKASQRVITMFSGGPGGLKRRPYRKTDE KIQGIRSVLRNDQSAHSFDNVIPPSPTPTYLPHAKREIGTKAAAQAAQNHKNYESSLV QSLRRLQVEREAKLKPPKPDVPKKMTADKEAKADQFLSDRRFHKTFASGEVDAASLKR LKPGQWLDDEIVNSYCGLMCERFANGKNGRKVHFMNSFFYKKLSEQGYAGGKLKRWTK KVDIFALDTLVFPINQGNMHWTACAINFARKRIEYYDSMGDHGNARKSVFRDVRDYLN QEYADKKGGKMDWTGWTDQFNKNTPQQNNGSDCGVFSLQTLEMVVRGRDVVTLGFEFT CQNMPFLRRLMVYEIGEGRLEQRSWGAPDL L198_01733 MPAPPTDPAAPADTPAQHRLVMDAPQDLEENPEQIELLSLRYRM ERDRKRVKVYELRDASWFDRGTGFCRGVVDDASGEAIIVVEPEEDSPEAEEDAGGFLT RELLLHTKVERDDIYGKQQETLIVWTDPATSLDIALSFQDAEGCEDTWQFIVEVQKHL LTLPGNHYAMADPRLAWQPPSLANIRDQEFCLRAQAKSAAGRERAMEHILNEEYIKQL ITVLEQAEDLESIVDLHALCSLMQTILLFNDNGIFEYILQDDIFLGVIGMLEYDPDFP DLKASYRQYFQENARFREIVHIPDAVIRNKIHQTYRLLFLKDVVLARVLDDPAFNILN GFVFFNQVDIVNYLQGSDTMLTALFAPFQSSPPGPPPADGQPGEPLDEKKRDTVMFLH QLVGIGKAIQLTPRMALYRTLLERGILHAVEWALQRPEAKVLHGAAEILTLMVEHDAN MVRMHVFREEEKKERTLMVEIIGLLHTTKNGGLMGQMADTLRTLLEVPADTEVSDISI CWDRLMSDVQSFIAKKEAPLSDTFNVYFYESCGALLFKPLLESSDFKSQPVTKLARNY TTLLQNLTELLSYCVTTHGHKSSYFILSNPISKKVVGLLYIKDKPLRHAALRYLKACL RTPNHFIHRHFVKNDLFEPLLTLMEDETTKDNMMSSACMEVVEQIRKDNLKTILNYLF DSYTDRLEALARRPLLRTCLVGLKARWEMNNEPPPLPPGLSPSELAASASKTDVGEGD SWIEGEKREEDYFNGSDDDEGSSPSGPSKSEEGSVPAKRKRHPTTSSSGGSKKRTLRS STSSSSLANSSTTGSAGPSSGVLGLDYDDASSDPESPFSQDQGGEDEDEELGTEDGAV PLTTSTSLLDRTISRAQALSPPDHSKPLTTTAPFAPVSISSTAKPDEKSSSAKEDEGM DIGEVTAKMREKRRREEEEEEEGFAGLVVGNKPRPVATVAARVSSASGGGTVGAGKGE EGTNPNMEGIVEEVDVGDGDKEGKGKSRKGVKEMGNKIRLITQKFGGK L198_01734 MKPSSSSSGTSLSSTADDEGGDTADRRAPLSSQPRSTSTFTAFS GKLRDHLRARLETGVDDEPEVHDTFLQTCEREAAFVIAREYSCHEQDQRVKQQVLSQA TASTQAQELEVLFMLREPGSLFWINVMGLENTLLRKTASICNLEPDTFLNALKRSKHR LHRTTVEFHSSFMYFQLLIQQTKLSTGKGNHLSDPFLDMVQDSWIPPQSRKASEDVKD SKKRAKRERWEKWVKGDEWKDIVNVGFMSVFVIPSVNMVITVSLPYYGDPASRLAIDM SKASIPEHDQRQIYKDCRLLGISAIHRVVGYASGCMRQINQLISEWERDVHQNYTTSR ARDVKNLVHTILNFKRRLLPLKSVHQKVIDDDRQPRNLLHRKEIDTDLELAIGILAQS QERMCEVMEDIELALDRCRHLEEFTFVSVLSSHLCYRI L198_01735 MSANNADDALSSSPEDAASASTASFLTSLFMNSGIAGGAFVAFV IMRRWLKAIYEPRTYIPPTHKQAPVLGTHLLWPLWQILMADPEEILQKNGVDPYVFVR FTMMMAKAMVPIWLISWLVLLPVYSADSHVDGKEGLDKFTFGNVAKAKQSRYWAPLIL DFIFIFWFFFVIWHEMKHWLVVRQRYLVSRSHSKLPQANTVLVTGIPNHYLNEKKLEQ LFSHLPGGVKRTWLNRNLKEMPGFYDRREHATKLLESAQVKLIKIARRYKLRQEKKIE KLERKGKPIPAKLLGPANHRPHVSSKRDSVPQLPMLDFAADRKAGFSENGRSVETDEQ LQVAEAPPSSAQELELVDRLVPHHKRPRHRIKPRWSPIRLGFLRLGHKVDTIEWCREE IALCTAELEKSRAKLMEDIEHPGKDDRYPPLSSAFIHFNQQIAAHMAAQCLTHNQPYT MNARYTEQSPPNVIWSNLSLNQYERNVRQAISWACTGGMIFAWTFPVAFIGALSSVSD LTSQFPWLSWIEGDTFDKKIIQGVLSGILPPVLLECLMMMLPVSLRHLAKFEGATNKT GVELDLMTRYFVFLIIHSFFVITLSAGLISSLETFASNPQAIATTLASQMPTASTFFI TLVLTQFTGTMGTLLRLWKLSIYYVQVILLGGTPRSVYSTRYDLNHPQWGETFPGITV YAVMMIAYCVISPVINGFGAAFFIFATMVYKFHYIWVIDQPASSDTGGLFFPKAITHM FVGMYIQEICMAALFFLARDDNGKASALPQGGLMIVLGICTVFFQYTIVVQYGPLKHC LPLSLAHLSYGMPKEAHHEDSVIGEAYHDEGEKIGDGERKRDILRKFGNSHINFTPSR QPLIDRKAERQESGDTVEVDIVDEPSEISFSPTSPCSEKGKGGAIKRDREATLVGKTE LSEKGTYLDDDRGPDVVAVPSPSRIFSSSPTKTRSRGSNISLSAPPYASRPSSPAPTT ETYAVDNPEDGHASRRHHYFAVPGGPGVLHHKVEDEATAFFHPATKNPQMVIWLPEDE LGLSKAEVEANMRAGVKSSLEGAWLNEKGSVQISKPPPDDQ L198_01736 MSTPAASLPRSEHEPLATERNPISDATLTIRVIKSFEFRTQKSL VLQHLDLRTLTVGALMEQVREQAKTAPGFKAYRALVLDTLKLYTVAHGHKTTNLIINL DHEDWILSDLEKTLADIGAQNETELSFFNREAYEKFKADPEIKWD L198_01737 MSTASPDLSFKVLSLLSKERAVYGLRNGDHQRYRKHCANKIHRL RQVTGAACGKKTFKAPPKVEVASTKDVRQLQLLLFSAERALAHSHEFKVLKVNTPSLK RDQLSWLRQALKISTQLHTIAQSLYLGEAERTAHIDARTFGEITIYYLTVRSELVFEK SNWVGALADLACRRKLLDTFAEGAHDSYDQALATEFIDSHDPLIRFCAYKLGRAESHD IDGVMAEIDSEVLEEALPGFPSLVERLRAETGAEEMESGRKMLEDVEFAGEKVDMRNA EIVGIMVKVQHALKKLEREGKGKGGRGIRRWDQVLSILGEAEGIARKLLEDHEATGST SSLRSSRTGQSLTLAHQYIIYLLLANRIRRDLALVESLTTSSIPEDPAQFKLVGGKAK LEEAVKTLGAIVKLYDTTLQSLKQAAELGIVQEKEGVRSGVEGLETYFHALRCYNLAR LHCIHPTPSYASAVQLLATASVSLRQATDFLSMPVDEAVLSISSDALSTLTKHAAVLE KAAKKGLFNETVQRPVFFDMAFNYVDLPLESIQTHAGNHKDSGATKDTLVKQKEATLQ KTETQNLAIAKKGRDTRETTPAVEPQDDQQQGKKGWLGGWFGRK L198_01738 MSSRGGRGGGRGGGNQGSGERKKRESILNLAQLMDQMIKVAFMG GREVTGTLKGYDQLMNLVMDDVVEEYEGAYGRPSRSLGLVVLRGPNIVLVSPADGSAE IENPFQQQS L198_01739 MASSHNPQLGSDPYFAYPTPAPEQVESELPPYFQDENVPLGLVL DRFAKKSNHDLRVLLGETLPRLPAKDRPKPIIEYAKTTRQALVKYLAVLRWKTSVDIP AHLTAAPPLTTSVGPTSFPTPHSNGDMESSPSYQSLNKPKSDEEKKSLQGKVTDAKRI AHFLEHQNQQHELAIEHAKHVVNVVEGLRERNPDILTALSLQINGTYNRLPTSVVDLY LPKQPLTNSSILKVLRKLNQQIRYRLRCLDHVPSDLIIEGIKDGRVYVRGSHWRAELT VVGFGDASRWWLTGLAWGWKAKDRGIDDPGGMPGDSKQNKRFTGDELQGILDLVNAEV LVPRDVSLGEVDQDLQLDIEPYSKTSVDAPLSRLYNIIEHLSLNYQMETLYSQAVVLS QGRWRGHLLPEIDRIAKTLRLRYWIRHAPDSSRRLHSQGQKPASSPLIFGGSLLISTS ESNTLNSPLEDLLNDISLCEAKSCERSLKMELAIKWIVEEGGAGGGMNAGDVMDNLQL QLNPESLTVEDLLSVATRTHAAHLTRAYTVPLYSSPRLIVAAPPFFESSTDSTERPLT FLIPLPSRQTQSRSNLGIGVSSSTGLLEIVDEGAKLDGARKDRARTSSNNVNDNKSSL SDEVWRLSVAAVMDNMETQLRQLGYHPTRHIPLRLKELVKANLHPLSTLFLALPASPY HYFVTQVQPPMGPAMGIDFQIIKLVPSSSDSGIGTKWDVGDQTSVSLEKLRARRKIDA AEPQITPPLYEVDNHDLKELCILSNALVAQNIVEQQLKDRSIPYTSVFPPPSGPASPK SNSPLAGMIPTLCVDVRDLLRSLGRKGAGAGEAAVDVAFPKVWLQIEGWWDGGLCEVS TIVVLRHQPNMPSADSVNPLVDGVDTDGDEAHEARAEGIFFDPLSSIVRFKAKTINQC VPSFLEQWERLSKVIAVAGEVNRLNQKPVLADVKLLSFDLRKASLQYAPNHSASVTYS PSNDSYQITFSLSIPSSSSPNPPARSNPHTLLASLFSAKLNELTAQSSSAMRQKGEVG YQLCGLLQGTLPILSLSEDMRVQGASGWQLVVLDITRFQFVRDYKQCRYVLLVTLLPD LRHYLIQDGSLFEDSSGVDSYLGFLTPIPDSENLFFNALKTFPNSKVGAAEAPTLVSG LSDKGLYMESSSMVLDGGKAVMCGIAESGSLMAKLLSLIEGSF L198_01740 MEKVPPTISSRIVPSPYITSHVTLATELQLQQVLFSCATRNRRD PLATPFAASPAPSKPHAPTLSSLLKDRPKLLASVEKSSRAVRSFESTDALRRMWCARE TWAEDRYKLLLDEGDVEAAVNVLTSLDFAESEQGDGNDVHEEDSESDDE L198_01741 MSDIGQRRAEAETIVNILLVVATLQQTLDLPDDFDSCDPDFAEY FLQLIILLRASGLPNWQSHIGWLGLFTPEMTLLAMDTFSDYLQYLQQTRSVARPLTYN RRQRLGENVWFVIFDHQSDKDFKRNARMSKETFHSLWEAIRDDKAFHNNSRNPQEHSA YQLYTTLVRLGRRGNGMSHGEVAEKIGIQAGTSFLWTMRTLEAIHRSLGSKNCISWPD PEERKRIRREFGLRSPFRKCVGLIDGTLIEMEYVPGREDKRLWYGRKDTYGFNVLVVA DHECRIRLIQSGFLASSHDQRVYHNTRMFQEPQDFFSNGEYLLADSGFTPNPNCLPNY KNNAGGRNRHAGAARDHKVFNDHVKKVRVRIEHTIGYWKARFQSIKLLSLQVKSSDHH VLNMSVWIGVTALLHNWVIDHEKLEDEEIIDPDDLEEIMREEAVASDRMDQEAIQELT EAERRDNGWRREAVRKQIEDMQARGENVAYVDPEVPLFA L198_01742 MPAPPSTPATAKRSNKNAGGDDEEGSSGWRWKHNKKNSTICVGP DGRTGEDYLIDWMSVPENWAAYSDSNNRAKFAATVIYKWLLEKKIKNFDKAKASGVQD RIQKMKKAFDEVLAIQKPTGEGVTEDDLARDIETWEAKIKEKCPFFFTLLPSLKDRNA NITAQSSVHQMGDIEVSITPSMARRVSSRSNIEDQSVGMEDEGDENDDVFSVRGTRAN YLSTNITSNHGVADEPTSGARGAGSLSNGSRARASLNAQDTPTSGKGRRGNASLNEQL QGLFKDHSTANIKGRKEIAEQQAQAVLEQYKVAEQARRFEGEVPMKNERLKVEDTRYE ARLKIEQEEREAKRKRDDVDAHWRNVKAQREELRAQDEMAAKKWEFERELIKEYVADG DTREEAKRKAIEETKRRFTYD L198_01743 MGLWTLRMGDSIRHCAEVFGQKRLDTVTGSLRTLVLALLSDDII DEWIKIPSADEPDHPQIKDYSPHVFAGCRGALDGTHIGVKVPKRVRDVYVSGRKDEPT INVFAACNFDFDFIAIYAGVEGSVHDSRVLEMARATGNFAMPPGQYLLGDAGYGLSTD VLTPHRSVKYHTWEPGAV L198_01744 MLNSYHRGIDEGDREDMEIGMREDRAEDQLREHNSDNVRAEGGL QSAGDMKRRRDLYTELLWDRFQSRPRRGRPRGSRGVQEGRRRLV L198_01745 MQTPSSSSTPATGANERNGVHKKQVFTVPQHAIIDQKLFDVLMD IKNDFDQGLIESIIPEIPEDKKGKQPMKDHRPLEKRALEDEKVAKIGVNAPSYWENRF QQLKRDWYTPIANVAGESGREFKDGKLQISEEEWEGLISTNKRYKRLKEQPFHHFDAM KYILKNHTTTGNLAGPIHRSRPPNRSTSPPSDSPSSHRQLSTSSRHSLPPMSFAQASA RRHAPVISLEADNVSRKTKGVVERERN L198_01746 VYRPQTDGISEQSNKTVTQVLRAWTDDQGRNWSDHLQQVAFAMN NTVRCSTNFTPAELVFGNRPTLTPLILAHTNSTAAAGFHPTKEEWKIAV L198_07155 MAAPGPSPGLAQVRAFPRSRTGSRKNTPSLPADRLFSEKEAGQT IATTNPKTGQEGRSGKVLALGYAVIEVGEDEDSVPPLSVFYPPSSHPKPAQARPQCFA RRVLLGWLSPRTNVYGRWCTGYCRRHSFGMDETTVNGELDLFTDDVHYIEPLPANVGT TTYAGVGPLLPLGSGTSTRSSEIPSVVVCTKADLMDNAAEDVKFNGGRWEEKTDRARQ ALGNVCLAYGAALFYTAPTQPTTYALLKSYTVPPPLISPPASPNTVSAPAIVGSTKFP FNHRANVLDRDAAMIPSGWDSWGKINVLRDGSDPAQLEKGWKVSLSRYGDPQPTRPPH ERPQPRFQPIFRHAASATSSSNVPSGDGRSDWRGR L198_07156 MISQWVTRAKQFYYEIGDNDTRPRFISNTDSPYNDILHCGSLNS KSYCFDILSDNWASQYYIVRFKGPEGVAISRRDFCKAWALSRRTVAFLGGLQSSIQDW GVEQEHAEDLETISELLRKYEAYWDELSFVMQHISSWERIGYLPFVHAPLPPDYKSLV INPGKPRSRRWILKGVDDYNWVPLEAYFRCESPFSALYIFYVKKILELHEEGFEDRWF AMWDKWGPDPAEYGLYRFPGDVPISESELQLRKKSQGVDFGELLSDFGDISRTPVRGY DE L198_07157 MSSAAPTQPEDARPLPVDFVLGPIVNPPTHNVANPTAPHVANPT APHVANPTAPHVANPASLSLVPVPRWRELVPVLPTAWPGWTCTDGFQGWLRGIFEDIA GQDKRAYNKWVDNEFRKIDSLPLPLAQANDMRSAAKKKIDDHRRISFWATAIIPTFVF MNSIVVCLVGGWPRGTPLVLTVTDAALLDLLALPKIGLLYALSSSNPRSATAAIKAYP DVPCAVSLEPSTQEGRRRNDLRVRGGGGALRNADYDLKVYGLEDKHMYVEQGGKPREM EWLDWVQGRIMAWLSKRDAEVVEKQPRIYGGRSAPLFFLRVA L198_07158 MAPTLPFLNKAPQGSLSAPSTSLMVKADLVTQEEMSRLTDFYPY AFTDFFGSGTRGEESYIVTLEVIGAM L198_07159 MQLRVPPKFHLLSSQSLLAVVLHLIPRIHLYSPTPTSPSPLASG PSSAPPPCWPPLPGFPSLSLLPPLLLVSASPPALSAKPDQRHTRFEVNESGIGYTQDR IELRSKTFCFKGAEKRVGCLYFLRRVSTLLGQKDGSRFRRRPWPKAFVRTPSGGALPV SFHSLGALPDTAILTCGFGLECTSFEKSQGAVVSDSIGQILHGGGGIRTQDYRGR L198_07160 MARPFARRAAAPLVATAVGGGIVYLSVAALEPWKYQNPFLIHAD SAAQPDFRVDPKSQLPFRVDPETSIEFPITLSTTTPTPALTLVGLGVRKVSFLRIKVY SAGFYVQEGATRCLHYIPGWSSFTAQHLLTPPTPSADPLLSPQLSGEALMANLLDQKV GCAVRIVPNRNTDFGHLRDAFVRSLNARQKAMRQEGALSEAEEERLTTSIQSFKAFFP SSVVPKGKSLVLLRPAEGGIVVEYEGSILGRLDDPWVGKQLMLTYFADNGVVSEKLKE DVAHGLEGFVQRNRPPPQ L198_07161 MSTVTFENIYHGDSSQLGKLRFNPVGFGWKAYQSEDNNPETYTG TDIRHATFFRVARSYQLRLTMRNAERPRITYDGFPHGELDKLKRTLQEYFDINIETKD ISLKGWNWGEAQVQGNDLAFQVQGKTAFDIPLSQVANSNIAGKNEVALEFNPSSNYSF DAKDLSKRPPDELVEMRFYIPGKSMKKVGSDAGSGGEETDLDDEGNEVSAADAFHNLV KDKADIGAVVGETIVVFEDCLILTPRGRFSIEVYQDSLRLVGKSTDHRVPFTSIHRIF LLPKLDDLHIQLVIGLDPPIRQGATRYPFLVVQWPKDEVVNAELNLTDEQSAAYPDLE KSYDATTFQVVSRVLKSLTGKKVTPPGSMRNAQGLNGIRANVKATQGELYFLEKGLIF ISKQPILLDFSKVESISFSRVGGGVASARTFDMRVVSKTGTADHVFSAINKQEVGSIS AFLQGKNIRLKNEMEEATIDIEGGFSDDDEEMDSASEDERPSKANKANKASKEKAKAV KNSAPADDDDDESEDEDFAGDSSSDGGSTSGSDDDDDDSGMASDASDPMMEELRKKQQ AKKPKKKAEESGSDSEKPAKKKAKKNEDDE L198_07162 MSSPIHSFLFSPPPSPPSRGSDQDHNHGLTSLKSLLLPVEFIPR SSSEKGRSPRTPSQSRLGFETLSLPSPRGLSTDSEATPKARRRSVASTTITHQPINVP SSPQVPFPSTIPKPFLRILFLACVVLSSALIMYEFPAMRPHGIARGIASEGGRGFVEL MDPLGEEAYYQPPQTQGARMLWGRKSVREESVVMEQLAQEVVAVAAHPKATRPALRAR PLPESHKLLALQSYILSSAYNVVPSSVDPSLPLDANTILGIGSHKLGALGGERETAWL KDVEGEWADEVVIWYGGDGASQYPHSVLDIIESTHNSNRHPTFIPVHARSDRETILAI FARLGLPLAQSPIIMIDNKPIVGDLETLEEMKLSGELGRRLTSVGWKHVEKKVGSKPK MAVIKKQPPTDQEEAEEALVEA L198_07163 MRLAKIFTKKHDKTEATFDDVTLAFYDAGRSLMDTRKTVKALEI ERATLLHSMAAVTGLEYKSLRSDFLETRKEEGFVRGDNKISNAELLGEWMNSSAEERS TWDHQPWENMRSRLELEGQQTSRASEIVQADNDILRSALDAITTYRDRSAHMSYNRQD KALRRVSQIQGHMTSQRGEASGSASSSAGESADNSGSPSWWSNLP L198_07164 MSSTTTLTIPTKTSSIGESMVPSWLRLHAPQSIGSSESSAPSSR HESLRKDASSWRTRRDWGTGRRLGSSKDVDRRTSSTSSAARKKSTPSSVATKKRTLKD RFLDHVSFYASEKEHGRSVYTSALGDDASWGEKRTFKEDLWTAAENWTKRVKAKANPR VRFVRDQSRVSVKDIDEDVMTAATYRLLLAREMKTGGGSLYMGELEKEDGTTEFRAKG AHWKLTSRAPTASRLTSSSFTDS L198_07165 MTEPSEISTGRSTEPSWLSRRASNEEESSDGSSLPSAPSSHSDS SESTGSDTGVGERGCSPARRLESYDDDDDEEEDGSSFDQRSSSGSERPSFRANVLATE GSVLRDDFLKRVQWYQSEKQLGTSVRGTALIDDPSGSEEDTLSRQELWTVAENWTRSV KSKDDSSVNFGTDQSGVSVSDVNEDVLAAATYGVLVDWEMREGGGSLSMGEAEKEEEG GGGGTEFRAKGKSWELMSGASGASLSTSSTVW L198_07166 MSLWLHGEEDFISEAGAMNVFLLKEAKDGFLEFVTTPLSSGIVL PGITRASLIELLTDHASGKVDFPLEGVPKNIRIVERDISMGEIVEGLKDGSVKGMFGC GTGVVVVSIQHITYSGTPLTWLGTSPSRPAQRGRIADGGRGWAVEVPEWQGAGVAEKE GGREEVIVA L198_07167 MATPPESLPSPSLSSSSHSHLGSLYQSTRASSSASGSQPAAQRH PNYTNGDGQYNSMSFLPSTVSPPPNPPPYIPPPQHAMPPDPIHLATGAGYTQPQPWPN CPPGPAGWRAAAPPAGYGGYRSSVPNGRHLPTNPEFPGQRGFATRPGSAHGESRERRR DRRDRDRDDEREIEEEVISTIFVVGFPDDMSEREFQNIFAFAPGFEAATLKFPSGSAR REPAAAALLAELTQLAAHQNAQAAAGSGEYNEYLAAQLEEEISSLTLATASTSQSTTP SAPMSLTPSVPSNPTLGPNPHPTRRQTIGFARFKSRLDALAAKDHLQGKKIDALTGAV LKAEMAKKNLHTKKTTSGEELVGMLLRGKLGGLLGSGAGQGQPPGIAVPTAREAWDSW SSLSDKEPRNRPDDIPPPSHAPQPSFSSQTTFVPSSLPSANPTSPTLDAKSPTQGQYP GHGGDSKALLALAEKADELEGWNIHGAAGLSLDPYSSISPPQPAQAQGQQSQSQTQGR SLGSTGSQGPQGYGLGVMGPGFGGGREYEEGVGRDGLGGQGLSGRGVNGANPADQNPP INTLYVGNLPAVSPPTHPPGFLEESLRALFSRCAGFKRMSYRQKINGPMCFVEFEEVG YASQAIKDLYGHNLGGLVKGGIRLSYSKNSLGQRGNNHPPQLNTSLYSGIPHNVPIPG LGMTSPSSASIALPNGSASAGPMPYGLQHQQASLSLRDRERERGGEPSTAPLADLRRE SAPASHGHSNAHFSPLSGTSLSPTAQPFNIALPPTSPRNHYITSPIPHRISPTESASS SYNSNPTPSGSNSNSNSASHSASGVPIPSTRSSGSAFQPFGGAGSSGSTSGFSPVSSP IRTPGSFQWLSTSANATSSNVSSGLGSGLGSGLGAVGSLGVGSLGNLGVGSLGGGGLG SGSLGGGGYGGFDFGGNAPVGSLNGAASAWGASERRD L198_07168 MSPIFNECPPITRTNPRSSNIESAIGVPPLEALNNGITDAEKEI QRLREQVRVAEWVAESELNQTTLREKGVIMAWVGYGNPLRWERVSKVYIKIYICTTPS SPSSPPLSSPSPSSSPSDLHDLSDTAELLLSILKKGYGGSYYEREDRVARNLAWDVEA LKGVRDRFRGFGYVRFESRRELVEAVRRAEKDGGRCYGWD L198_07169 MTWTGYGNPLRLERIIKTYTRYYVLTHQAHSSPFTSAAAHDLVD KIRMGYKGSYCDREERVGRSLRKDIEGGWGGMRGFWGLVHLEFGSRRELEKAMKAGDR RHE L198_07170 MALGPIHCGSFLLLAATVLLLVSSISAPVIHNISFLNINQGSSS EATFGVFGYCSNIIGSDSCSDRTLGYDIADVTGTLTNFSYVNDHLESLTKALILHPIA TGIAFLSFLIALASDHIGFLFAAFVAFVAFVVSLAAMIIDFVMFGVVRHQVNDNTDAS ASFGTAIWLTLAATIVLFFSSFIVCFSCCTNRTRSRRDTEYAQPPMAQNGFERRRWWN RGQYRY L198_07171 MPPLTPLQISQLEEYGQNHFGENKELAFVPMLLGFALTCSFFGL LVLQVLFWVWRTAKRDWWVIKVVVAHVFMSATAYMVLEFYWISQNFATGFGTYYRLYK FSPIADFFLITTIMQTPVSGFFSLRAFRLTGGNLYSAIVPNVLLFISFGSCIALRIVA PEYATQIVYSNHPVTFSVLFVWAVTAMIADLIVTFTIAYALLQSKLGFTGFVRTDKLI KKVIMYILPSSPHVMIGGLMEKCSISVEAQMIPTLSSLAFLITFATTPSTEISAIWLF TPLMYPIAFMAVLNSRKGLARQLAPRLGQVADSSQPPTLRTSSSNLTYDHPGLEGVLP QLDRSPKESGRVQAGQVAAFPACLKVPREGEGYVKEEKEGKEWKSEEGRRDEKAERDE EERIGMGQRLSRQRYDIISATGSRTGTVSGEDSVGSVNVVLGGDIGRP L198_07172 MLFTTYVFLSPIGLTSTLASPGPLAARQDDDPASHPPSAQVIKP EDKDMEWFKAAYPHSLSHSKLSFEDLCPPRFNKDSEETDKPIWVRTAFFADSVPSEKK RYDPEGMSFWL L198_07173 MLASRNPPPPGTEPRLYTHIPSPRSSISHSVAPSRTSMDSDITH VPFSMREDEVFYDPVGNGEKVSRGSSLLRTLSRGRKDKGAKVERNTSLMRGKDGSSVE GEVGRKGSIVKRLRKRLSLSKESSALVSPKSPGEKESESGDKEEMILLDQVDDEMSHH PQAERAIRQNRPLTDASFTSTSPPKRAPTWRRSLASSILGPTSHSTPQPMARPPSRSQ SPFSRDEAKPTPAPRARDPFRSPPRGEERESTTKPLRVGSRSAGKREIGKLSSPPNPT CSTRQTQTPQPFKTGRFLTADQILDLPLPPHTPQGLPSRYRPGGTTIFDVYPDEEFDY HPNPFENEATAGRGIEAYSLYDISHYTAENSLSQRRPYHHGGQDPSVDWSNAHEMLLD PSPSNSARSSLNDSRRFGDGGGYGVGEGDVKYGSGRRVPLKYEKVRRSGTVRSTKSNM STSSKGSILGLMGPIMM L198_07174 MSHYTTKPKSRRPQPSTHLPSSSHPLPHPAHANANPRALTEDQR GEIREAFDLFDVDKDGAIDYHEFKVAMRALGFDVKKGEVMKLLKEFGGEDGLMDFVGF ERISGSLSPLLPSFSPISSEGEGAKPPAVTEKILSRNPEHELRRAFELFDDDRSGKIS LKNLRRVAKELGETLGEDELQAMIDEFDLDQDGEINLEEFLAIMLDGE L198_07175 MPVPESYEAYKNPHLALVFHLFTPSTIIHLTPPPIPQLELHIMR FTTYTLLALLTLTSAFALAARDPATDKAPPKKTNKAPPKGNNGSPHPRATNAPRADEY PGLARVIKPAGMTMAEFQDAMRKVADNAPCFGVGVDRFEDLCPRFYGDQQEGDYPRWV RTSFQAENLGSVKRYDPNDVATVNCVYQPSQAKVLTNIGIDVAEALGGDGIYTILA L198_07176 MSWQAYVDDQLLATGKVTKAAILGKQGGVWAASAGYDLSQQEQN AITQQYFSNPDSVRGSGVIVNGFKFMTIQANEDEVIGRKGERGVFVIPTTQAILVAEY DTPISAGEANVVVTKLADYLKSVGY L198_07177 MPLFKLTSKRIQKRQREDELGITELKAKMREAGEDVPDSEDELS GEDSDEEDGSDDEGEEEEEDEDEDEEGGSDAEGSESGLKRKRSGSESSLGSEEEEYDD EEDRLDLTPEEALQTPIYAFAPPPSSSDDEDVEGKDKEESDEEVDDSKMQKLCALCPG KVMKNEHMVEMHLASNAHKRSAKRYEAHLDAHNPSPDTDPRTIALSLLPSHSAPAPKA EKTEKKKKKSVSKKDRLTARLAKAQQSVSTTLEQQPEKLDAEKLKEVVEGKGLNRKAK RALVARLKEEGRLVVGLGEVGEKGEKKEKPTAKKAKKD L198_07178 MSLQGELTTFPCNNFIYPIFTSGDLASERAVLFIGGLTNGIGGV AFTYPLSEALKGAGWRLQVLSLSVFVQFHWSSAFGGYGTGSLDRDREEMQTIVKHLKS KELMRSIGVKTVVIMGHSTGSQNVMHYLSSPSNADPSADIHVVGGIMQASVSDREFCK DYKHYNDTLPLAQQWIKEGRGDDILPKAFCDKAGFGDVPMLMSAYRLHSLIGIGGDDD YFSADIPSDPTPPFTHSLSSSFGALTTPALALYAEGDAKYQVAHPTELLPKWAEAAKG KLQWRILGGASHGVEEQGPQGVLCEEVLKFVKQFE L198_07179 MSLPAGITLAQHVPPGAEHILTHDALQFLAVLHRTFNTTRLQLL ANRESIQKDLDAGKPLTFLPETREVRENTAWACAPPAPGLEDRRQITGPTDRKMVVNA LNSGSKTFMADFEDSNSPTWSNMVLGQVNLYDAIRRQIDFEQNGKPYKLSENPAVLIV RPRGWHLPEPRLLIDGTPISGSLFDFGLYFYHNAAELVSRGFGPYFYLPKMEHHLEAR LWNDVISFAESQVGGGKVGGKTRATVLIETLPAAFQMEEILYELKEHSSGLNCGRWDY IFSFIKKQRAHKGAVFPDRSDITMTVPFMDAYVRLLIQTCHKRKVAAMGGMSAQIPIK NDPAANDAALAKVRADKLREVTAGHDGTWVAHPALVPIALDIFDAHMPSPNQLHVLRQ DVRVTDKELQDPSVPGKVTDKGVGDNVEAALSYCAAWVGGNGCVPINWLMEDAATAEI ARVQLWQWVKYGAETAEGTKITPAHIQSLLSTSSATVSKLPGIDPLHVKVAAAYMAGQ IKQEWPSDFLTGDLMGVLDGEELGAGEGGGGGAKL L198_07180 MPHRRPIHTPHRLPSPLPTPPALLPHSTFTFIASWQGQLLVCTL VLCLGAVYFFVRAPVGAWGVRRREEVARRRERELMEMDRHGGRETEGEKERVRTGSVS ALLSTGGEKDDDATPIPKNAKERGRERRKDLKKHKTLLPRAPNSAQEDTSAGESSAPP SAARSPLGAGADLPAGGPGGPEFEPRAVRAAGDGGEGEGEVEAAGEGVGEKRHPPPPP IILPLPDSDQDGERIAISPPSQPPVSHLQPSSAEPSPSDPQEKEKEQAYKKSEGFSII PDNLPASLLAPLSQSKKKKKRTKKASGLPLGRGGGLSAGGSGEGEEEGKGSSEMSRGG SEVEDYSTRSSSPNQPSCSLAESSQRQRQPQPLQTQPTPDSESLHLLQLLAARDATIE NLRAEVGVAKAEEAKKSDELDRARTNEGRLKGEMEKVRRSVSGDGEGGGGGRKEGKDA RRREHDWQTRYSSLQKQYTTALSRLAQYESLLRDHPPPPPPPPSTQFSFPPNNLPPAS PMGLHYPTTPLGAPAPYSPGRSLSFAGGAQGGGMGMGMGMGMGYPSLSPGMYPSPMLA VYSAQSQSQSQWQGQGQGQNPSGHPSPAPSFRRASSGMNLFNSPSHPHPHAQSHSPFV SGINGHMNGGHGTPTPTPHPNPNPNPNGDHRQDRQDRLDRADRADRGETAGSGDDVRL AALAGPLTPGMGGGFDMSMSSFGLGLGAGGGVGGSTGVMPMGQASRPGSARRTSQVTS PSSPPSSSSRPRSNPNPPTHSHSYSQTNGNGFGLASPSPSTDSQHRQNLPLPEDASAS PRARGAGAGAGAGAGMHHLDIGGGGGGGGGGGLAERRRLSVESSVLKKKKEKAAPLEA LAAAAVGSNSAFALDEDGKDGSLNGDAGETRGQSEGEGEGEDREGEEKEEEEEGGGSV SGSPSASGSASLSASVSASASASLSASMTGSGSLSNPLSSVGECITLEALPGGEGGEG GGEGEGEGEGKMRLASPVHLQPVLAVSPSSPPPANSTLASALPSPTSSKHSRAHSHDR SVESLQQPRRSSASHIFDASASANASASASASASVSARQGQGERPRQDRKRSEVFVGE PIFASLAHTPEQVEEMRRMRGVVAE L198_07181 MSTQPLLQRTAKKRIALPVRVEPKVFFANERTFLSWLHFAVVLG GLAVGLLNFGDKVGKISAAMYTIIAMGVMLYALVVYQMRARAIRLRTGAPYDDRLGPT MLCVALLAAIVTNFILKAVYE L198_07183 MTALNSHFVFGTTAAFSHVPPVISLALALLTSTPDLVISILLHK NSEENSLSMIEGTPQDVVSRLKLVLVGEKTEWNSVALSYVQMMELSGAEYAKILAASL SIIIWCTDCAPWPTPTVFIGDYTSFFFIPVKSKVEENFPHLKPAKFIGYNPQMAAETL LCEGAEENGSLRWIDKAVAEFDSEAVSPQDNQLLNDKTKSGDDKTMPAEERTARMIKA YRACVTESKHVVKIPGWTPFHVSELWSLNIDWNEMSGLGWFRWMSGRQALAQVPEAWI SCFPSSVFEPETIAALRKDAYITDGGKKPYFEVGWFERKPKANWGEGVKEFLDKYEAK SVVYISFGTIFDAGFGLPVIFDYLEQTKTPYIYACGNQYDSLPQHVKDTLAKSQAQGF CIAPNWVDQVGILSHKSVKAFVSHCGVNSTLEGILAGVPIVSWGRRGDQVLLASIIHH KGLGVELLQHREGSTIGHATAHRPEVTVTGKPEDLKAELAAAFEKIGGPEGDKMREKA NALATQIRAKRTGEWEETIKLFGQFGRE L198_07184 MFKPITLFTTLALIGTAVSAADWRLLANKPSGQSIAAFQADFET TCPIFDTSNPDGARATFFRAGDFQGKNTDTQALVFCTYNAADGSVYAVTRELVESLGG SIA L198_07185 MFKSIFTLTALALLGTSLPVSAQSDPSNTTDTYDHSNHTLGGET GTTRESRLADIPVYARNYTRVSQKPENDDIESYINQFVSTCPEYHVKNLTTEVNSGFG ERGDWDGNNEDTQALIYCLRTDDNDNVVDSFIEEIVSQLGGTIIG L198_07186 MLFNAPALLTTTLLVLTATFPVSAVDYRLLANKPGSGSIESFKV SFKIQCPFFNPTNNTNANHRSTFFVPGDWQGQNTDSQALVYCTFTKQDGTAFAVTREL VDSLGGSIA L198_07187 MASSEHNRIAQPTEIPIPEEKRQLHEQAEMAAFALKAQLRRNML KTLKTQQVLRVLLDEPFFKRANNVGCYLSMKKGELQTDGIVDHLLRRGSSLYTPYIPA PPKHSHNPSAPSPSSPAPEEDMKMLRLYSKEDLERCPEDRWGIVDPGLERKDGEGGLR EDVMSANTPGLDLILIPGVAFDQECNRLGRGKAYYDRFLERYTSSNKPRPLLVALALF PQILPSLESVPTTEHDFTLDAVVSPEGLVWGHPESFERAMSMVGRG L198_07188 MAVPPLPRVVILDYYDSYTNNIVTLLAKTYTDEQVLNNVVIIKA DKYTWEEFQKEVLPNVDCVILSPGPGRPENPADIGFALDLLRLHPVPILGICLGHQAI GYAFGGKIINTPKITHGHVIPIAPVQPPLGLFASPLWAPAEQQKDTFDVVVYNSLAVD PSSLPDELEVTAWSVPTPDRPSTIQGLRHKTLPIWGVQYHPESISSTKGSPLLLSFLS AVHAHHESPASYPPIIPPILASCSYRIHPSASNPPSHPSHNPLPRLSLVSHPFGSTGQ EQTTDDIFNLFIRSNKINESGIGEIWLDGQTPLRPTTSSLATPSFLLTYSLETRAVRL HRKGLAVETYVLEDGATFWQAFADLQTALSSSLFASASYSSPSVEEEEDEKDVWKGGW SGFFAYEMKEESLPGYLRRPPTSLSAITAQAEGEVEEVDACWAWVDGFLQRTPGGEWV ARGILREESEDGGEREGRGEGGGRGLEGWLRKQGVEFGLSAAQWKTHLERISTALSSP PSPSPSPPSPAQAPVQPPAPESTKPSAFPPFHPTSPGSAYKSSIEHCREAIRQGESYE LTLTTSFEGDDELFEMYLHLRKFNPAYYSAYMHFATLTSPSLSPSLSPSPSPSPSSSS PAYQAKPAKGLTILSSSPERFLSISSKREVEMMPIKGTRARCVRVGREEDERRGRELQ EDIKERAENLMIVDLIRSDLLPPCLPSSISVPKLIALESYGVHNLVTTVRGKLYPPST ASPGGGEMEAIARCFPPGSMTGAPKLRSVQLLDGFEKGKKRGVYSGALGYVGVDGVVD LSVVIRTIVRQGDRLSIGAGGAITWLSNPQGEWDEVLTKVKSVVGELEGL L198_07189 MAPGKTLRWVDEALGSTTMTTTLPPSSRASSQSGFTSSHSPSLV DRIEIAQPARERGGLRSGLASFASAKATSVAERLKPRPYSELYESSRRSSRPPSDNVH FHVRSSHHDSSSSSSSSGSDNSNTASQSIEDWVDQQADLGLSSNAWPASIAPTANHYV SGSSQSSAPRIRSWNSSVWSQPQMPPSTGPQEGPRGILKRSATPSNGPWSSAYSSSKL SYGQSSKSSNTESDDIVSILRSVLSEALSSRADYNRKPASTYVSSRVPSASRPSSSHF SSSPSSLRLKVNIYNNSGPASSSSGSTVPSFDMARDLGPDVLERRRIHAKRQAFNSDV RSLAVSYGYVEPEGKKLEGTGPGAGRRLGTWND L198_07190 MLFSTFFTVPLLALSFLTPASASPLAKRYTGVKIQSYKDGKCLS PNSDTNANGVWVVSVPCDNAKTWDINPGSGSVKLSGTNFVLDAGTGKDNNEGVKIWQS YPGVFQQTWFLTDDKRIAITGGNQCLDQGDNGPQTYACTPYNTNQVWNIIEGGGGTPS SSSSSATPSRTCVAWSS L198_07191 MTKLFKSAIVLAALALIVSPVLAADYRVLVNKPDCQTVDQFKFN YESLCPVFDVGSPNTYKGVLVEAGDFSGDNNDTQARVFWEQSTDNNPYADLD L198_07192 MLAIFALLPLLALASASPIQKRYTGVKIKSGLGDHCLTPLFTQF QEGTPITTASCADAKTWDINPGSGSVLLHGTEFAMDAGTGTDNHEIVKLWTSYPGLFQ QTWFYTDDNRIAITGGNQCLDETNAGPQTYECTPWNDNQVWHIVESDTPTSFVTSSIT PLPTGSASVSDNGTVTTF L198_07193 MIFPTYFALVACLAPLAFAVPTSQPTKRALPRLGGVNLAGCDFG INTSGSVGGSATCPNSSQISHFVNDGVNAIRVPFGWQYITPSWDSPSLSSDFFSAYDK LVRGVLDAGAYAMIDLHNYARWDGQIVGQGGPTDDNLAAMWTLLAEKYADDDKVIFGI MNEPHDLDIDTWATTVQTSVNAIRAAGATSQAIALPGTDYTAVGGWGGERNDALLDVA DPSHGSDKSLLLIDAHIYLDSTSAGTGTECTRDGTDDLKLLATWLSANGRKAIISETG GGNTDSCKKYLGQELKYISDNSNLFTGFTIWSAGAFDSNYELSITPVDGVDNDLFNTA IKAYLPGYVSLSGRGGRTVANGAL L198_07194 MTVIQQDKLRIAVIGAGPGGLAATINLLRLPFVELSVFDQATEL REVGAGISINQNTWRHLQLLGAAEAIEQYTKRGDGTKIALEQRNGRTGELLKRTYQSV DPNKPARSRIERYKLQQALLQQIPQGLIQLNKRLDSIDESNEEGVVLTFKDESVEGPF GLVVGADGIRSAVRQHTYPSHRLSYTGKTAFRTLIPASAVAHIPNLPPASTFWHTAKT HVYTNFLDGGLFEIATRAEIADDPENGREKVSWGQKVGREDVVGYYVNYCETIRQVIA APTEWLEFAMFGGPRLESVISNGRIALLGDASHPLSGAFGSGAAFAFEDAYVLAQSLS LTHTHRHRTDRGEGASKAAVGEALELYDGVRSPHYKNLVHPLPLPRPPVSNSSLLLLP PTFIFPKALANKKEQYSILNAFSHNTHATAASHPPGVDEDAYIAETTRRNWSAENEWI YTYDVTDVWAGKVRELEQEKEAKEVGELGQKVKVLSVGDVPKENVFATPVEA L198_07195 MAEYYAFPRPIRTVAVIGSGPSGTPAARQLQEAGLQVRVFERQD KPGGIWNWKTDSVLPLSVPTPPPSRGAFTPVIREGGVYDDPGKKERTLFSPPNPCYWN LSNNVPTTTMAFKDFPYPPGTEPNVSHTRISTYVHDYTLHFGLDKLTSYNTRVELAEK VGDIWRLTLRRVVDEGDDKAREEYWTEEFDGLVVATGHYNAPYIPAIPGVDEWSATWP DKIIHSQGYRTPAPYEDKTVLIVGIGTSGNDISRDLSPHTSKLYLVGRNVLRGPKAYR EQRKMQRQFVLPNAEQVPEIKRFLPPPAGKGIEEAEIELTDGRIITGVDGIIFTTGFQ YSFPFLPSYHHDHTLTSPSPSASQSQVTPIITDGDGVLNLYRDVFYIPDPSLTFLGLS INTSAFSFFEYQSISIARVFAGTARLPSESARWQAYKEVLKTKGSGRYSHLMNKDGER AYVQSTVEWLNAEAPLFHAPPISGHSPEWIAESDKIPELIAKKYGMTLEELAALKAQP GVVPEEEYVPPALLEEKALLAAGGAGAGGKEGREYKTAKEETNARASEGIARRSAAAG VVASA L198_07196 MSNPTPYTEEPLGGDKTGARVDIESREVIEEPPKSSWKGKIWDT SDRPPLERKLLFKVDAIILTFASIGYFLKNLDQTNVQNAYLTGMKEDLNMYGNQLVTS TSIWTVGYVIGQLPSNLLLTRVEPRWVIPALELGWGLATLGSFGVKSYKSLYALRFLV GLFESGFYPGMHYLLGSWYTPAEIGKRATIFWLAGHLGTMFSGLLQAAASKNLHGVNG LEGWRWLFIIDAIITLPLAVAGFIFFPTQPLQGKKTWWLSDEEFSLAQHRLTKIGRAG KAPWTRDKVKGLLTSWHTYFLPILYILWNNQCPQTAIGYFLKSFNSPPYPGGDRRYST SDINQLPLPQTAIFIVVAASWAWMSDGIFRGNRWIFVYLGAFISIIVCIVGMKLDLYA NIHGTFAFYYLSQIGQGAGPLILTLINEICSNDTEKRAILVAAANDLAYVVQAIVPNF VWKTVDFPEARKGWTYSMALNVALIFWMTAILYLLRRDQRRADASPEPSSYQLAGSGS GTTSESSSEKGVVYVNSDGGGGTETPGSLEEKSERRY L198_07197 MSSLVPLVDEPVGKVHVHVESREVIEGQRKGSWKGKIWDTFDRP PLERKLLLKVDGMILTFACIGYFLKNLDQTNVTNAYLTGMKEDLGIMYGNELVTSTSI WTVGYVIGQLPSNLLLTRVEPRWVIPSLELGWGSATLASYGVKSCKSLYIFRFFVGLF ESGFYPGMHYLLGSWYTPAEIGKRAMIFRLAGSLGTISSGVLQAAASRNLDGVHGLAG WRWLFILDGIITLPLAVAGFLFFPPQPLQGKRTWWLTDDEFRLAQTRLINIGRAGKKP WTRARVDKLFHSWHTYLLPVLYILWNNASAQSPIGYFLKSFNSPPYPGGDRRYSTSDI NQLPLPQTAIFVVMAASWAWISDGFLRGNRWLFVYIGATIGIIVGIIGIRIDLYANVT HTLIFYWFSSIGQGAGPLILTFINEICSNDTEKRALLVAGANDLAYVVQAIVPNFVWK TVDFPEARKGWAYSVVLNVALIFWITLVLYLLRRDRRKALSHADFQHGLTTTDPRGKY GALSDSVTLRESAPWLDADEEEEGEDTPRLLASLDKR L198_07198 MAPIAVDAPAQPATAGKPVTDDDITALKARLQSTDLSKEKADLV LPPDNTLKRYLKAGIDLSNGYPYFPPKPDFVQDVFEADSKLEKEGWVYEDPGLRADKE KKALFGAAKEVRDLTKWIGTEIVGLQLKDLTDQQKDELALLVAERNVVFFRDQDLAPQ TQQSLGLHFGDGQIERHPQAAQVPGIGGGVSLIWEKGRKDGLHAGKSHRVPYPGGGFG WHTDLVHLPFPPGYTHLHQDTIPAVGGDTLWASGYAAYDKLSPKFKTLIDGLNGIYRS AHKYKDSANPDADSKYVTRVHPLVRTHPVTGWKALWINRGMTLAIEGLNKPESDILLN YLHGVFERSTDIQVRWNWTPGTSAIWDNRSTIHTVSYDYDGERHGTRVSSLGEKPFFD PASKSKAEALGLSGWVDGKDWGGY L198_07199 MSNPVPFVEEPVRKVRVDVESILAVEEPPKSTWKGKIWDTFDRP PLERKLLFKVDAIILTFASIGYFLKNLDQTNVSNAFLTGMKEDLGMYGNQLVTSTSIW TVGYVIGQLPSNLLLTRVEPRWVLPALELGWGIATLGSYAVKSYKSLYALRFLVGVFE SGFYPGMHYLLGSWYTPAEIGKRAMIFWLAGSLGQMFSGLLQAAASRNLDGVHGIEGW RWLFIIDAIITLPLAIAGFIFFPPQPLQGKKTWWLSDEEFALAQRRLTKIGRAGKSPW SLEKVKRLLLSWHTYFLPVLYIIWNNQWPQAPVGYYLKSFNSAPYPGGDKRYSTSDIN QLPLPQTAIFVVVAASWAWVSDGFLRGNRWIFVYIGAIISIIIGVIGIKIDLYANVTG TLFFYWFSNIGQGAGPLILTFINEICSDDTEKRALLVGAANDFAYVVQAIVPNFVWKT VDFPQARKGWTYSVSLNVALIFWITAILVLLRRDRRRAESAENPPALEGKDHLEGFGA LSESGSSDRDGVPRLNGKEEEEGATTPGSLTGSLDQKY L198_07200 MSDAGRQSLTDKATSAVKPDSEKSYVEQASDFVSGKLDAAASAV QPQEEKTTTQKVGDAISGDNKNRDVV L198_07201 MYKARKRQATPATSTRASTPAESVATKKQRMASGGGRPVGRSQQ PTPTATSRRENTLADDTGSVSGRQDESEAERGDVILEGEMKEQRILSLHGPHGGNLAA AWYDPEERKIQILEDTKDTMSWDLAVLLLEQIRPTLVIMSSKSHTSLIERVDLYREEN EAELLLLPSRSCYPKSASISLTSIRLPDPSRSAIAAPDTTDQTEYDGEGFSARGEWKE AGAGMGAYRLNLVKLGCWVNVNAPLAIVAAGVLVEQVKKCRAMEIMPGEEYFSGLELT ALESMDLEMHMQINKDALTSLAIFDVESHGFMYSDKEKQALSMFGKLDSTVTPLGRKL LHTWHLRPLLNLDEIAHRHDAVALFSATENEYVVSSLRKVMKGVRNVPARVTRLQSGR GSYVEWKCLVDALTAALEIRGIVCELSTSSSIPIVNKVRHVITDDLVVFCQDMNTVID WDTSRLEGRVAVRPGIDEELDEWREVYAGLEATLNQVARMISPQVPPGISHSINVVYL PQLGYLAVIQAETEETPEIPRWENRFHTEDRFYYKTREMEDLDDHFGDLVTLMIGKEI EIVQRLTEYLKGYEPSILSTVEVIAELDCILALSKAARDYGLKRPTMSNDPVLKIRKG RHILYESLVPHYVENDTVIAGGGRDDLASMMIITGANGSGKSAYGKQVALMAFMAQIG SFVPAEEAVIGICDKIFTRLQTRESSSRPASAFMIDLGQISQALRGVTEHSLVVIDEF GKGTIPSDGAGLLAGTIEFLLGGVCPRSVVMTHFHELFANKIIKEEAYPVKFCHMKTM VLEGTDHLEYLYKLVPSMNLSSNAAECALRHGIPPNIVARAKTVTDHISRFDISSLLD ATLTPQNLLEVTASEELAKKFLVWKIDPEEGGEEGVMDVLKGMIEETERVMERTETGA RTGAEVGSEIGFGDETEEEGDSEDQTI L198_07202 MAAQYKALGVTPPISTEAPKPTDLKSSETLLADLVALNQFESDQ ERKVRERLLSNIAQLVAKFVHDVSIKLGMSEKIATEAGGRIYTSGSYRLGVHGPGSDI DTICVCPRHIYREHFFGEFQDMLRAWPAVTEISAVESAFVPVMKTVISGVEVDLLFAR VNLPEAGDGLDIEKDEILRGVDDASQRSLNGPRVTDMMLNLVPDVATFRTALRTIRLW AKRRGIYSNVLGFPGGVAWALLTVRICQLYPTAAPATIVGKFFPIYYQWNWPQPVLLK KIDNGPPNMQHSVWNPKLDRRDQAHRMPVITPAYPSMCSTHNITASTMSIIRKEMLRA MQITDEILKNPGTTWQPLFEKSDFFSMYKTYVQVVASASTSEGIKDWSGMVESRIRTL VQDLEQTDTILTAHPQVGGTNRIFYCLTEEEQAAASQGELTTEMINRTEEDVKDKEHR KIYTKSFFIGLEIEKKSKDGTSRVLNLFYPSKKFCATCQNWEKYNEMEMSVILRPAKR SVLPSYVFPDGMPKSKKSKRAQQNSAGDTGMNDGSDGQGPAKRTRSENVIPENQTPQP LPNGVPQSNGADPVPSQNGAGPVDLKPPPGIENMPPLSTAAMSSFATAAKGVAGAQDE NKDGLIVLNQTAAP L198_07203 MPQEASPLLKGTSESTMTVKAVVMSPKAYTSRPLMAKAAPNNNS SETGSTSKGNATVDLNRAPSKITVSPDSALDRSSPLPLSSYTTSSASGDTPSAHVVQF TESEDILEPGILHFTFEIVVAVTGGYLGARRTLSQAGRAILSWSANRGLEEQWERWKV DMSFWVWMAAADTGGLPYEIVAKWLDEDPVAKEYQYDNLLDVVEHIQEA L198_07204 MPPSNFRYKVRASKGALQVPCAPELANMLQCFATTGDLRHTQSC ADSAKMLHACMATGKGKGGKQGSSINHLLGKIRR L198_07205 MSSSADTTSTIVPPSPTSPTASRKGRRISIQEEDRPANEKFSNI HRSPTQHSGNTPIYHGTNDVGIHRTTTSGSRRGPPDLAFPYLTTNISRGGITEEYRVE TREGYIPMDDPERGLQPVRSRASTLHGDQFVLHDKEKGGMGDKKLVTWLENDPENPRN WSNLYRWTITGIVSCAVIQVAMSSAIVTGDFKDQEEYFGVSSEVIALTVSLTVCGFGT GPLLWSPLSELLGRRLLWLCPFLVYIIFNIPCALAPNIGCLLASRFLCGFFGSAPLTL AGGAIADIWGPEERGFAIAIFAAAPYTGPVIGPLIGGFIGKYANWRWIYWVNMIAAGV VWAATLTIPETFAPAILKRRAQKMRQDTQDPSFVTEQELFRKPLNEIVIETLIRPFEM LATEPILLCMSLYISLVYGLLYAFFFAFPVVFGEDYGWDDAKVGLTFIPVFIGVGLAL CVTPWLEKKYTAKGDQAEPEDRLPGMLIGGPFVPISLFIFGWTAPPYVAAAGGSWVGP CSAGIPFGFGMVLVYFSANAYLIEAFPDYVASALAAKTVVRSAAGAAMPLFITQMFHG LGNGGAASLLAGVAIIMALIPFGFAKWGKQIRAKSKRAAI L198_07206 MVAVEKFTKSMPVPPAAHDYSGLPAVTSYPKANYYPTAIVPIRP KIRCPKAFTMSMTIPPTTYDITHDNIKSAIQDYKSEEAKLDACPAVTRRIIDMKMKKF GRGGWEEFATEKRAENTRIFVQDMARLGWNVELAKRVEAEREGRRLGYTWSQQSEFST QSDTWAFDIPTMSNEDDAGWGGPPEKVTTPPALPLPTLAPAVEPATTSAPRHNGASPQ PPTPSIQVTESTVYKTASYPSDGDGDDADDWAAPITNNDTSNGWADKQHVGEGKTTGG WVQPEAEPVPVPDSPSHPDTSLAKAHGQGKGSPEVERSAEDGGDESAPASPAMEKSPL RAWGFEDTEDSGGGDDGSAWDDAPAQPSGGGWGDAPVSAGFGGDSQSAGGYGVGGGGG GCFKCGQDGHFARECPNAEQFGSECYRCHKRGHFARECPESGGGDGQECFKCNQVGHI SRDCPSAFGARDNGYAQRSQSQRSFGGSQASSASVQQSQPTDSWGNDNAQPASGGGGG WGDSAPAQESNDADDGGWGSAPAAPVDWSVPPPRTYPPAKDLHLGQIDADRDGGIGIH VSSLTTGRPWEKQFVPPPADQGWGGFKRRGGSKSGSQAGRSERGSQHGGEGRGGFGAA SGGGRFGGPSGGGWGGAAPQQSNDSWGAAASAPAPQAPAGGESRWSAAPEPASTNSNN DDDDAGGWGATPAVIRAPAPASKPSAQRSSSFAEEPATTPTFAQQSTSWADDTSPEPQ AEAGDAAGWGAELASSAPAASGGGGGWGDDTPALSGGGGGWGDAPAPSSGFGEDSYGG GGGGGGGGGGGCFKCGQDGHFARECPSAGGTGRAGGGDDGCFKCGEQGHFSRECPNGP GQGGSECYRCHERGHFSRECPNGGGGGGYGNSGSRYSGFGAPVSGTNSVGLPTAGEVT GWGARKAFLVENGNRPPADPYTSSLGAGYGNGRGSNSRPGWGTSALAKERDLREPGFE FFANHKVELPQYKKDFMQGSEAWDHDDDHNPAIAAIAQEPEHDSNSGWGGRAKPAAPP PVESNWGGAPVATDDGDDDAGGWGLPTPKAGNTNLPTQDAGGWGGAAPAPAANNGGGW NDISQQAGKQASDAQSSFGGSYSRRSTGARQPWSERRHLEQAPGDSGQSSNGFGQSGF NQGFGGGAARSNWGNDGRADQPGKWGHDGFQDLQRDNQSKGSRGSRGRAAFGGPPPQQ RGAGGFGGSTGQHDNGYSARSGSQASRGGSAGGGDWGGQSASGPAQSSGEDAGGWGDS TNMPYLEGLKISESGVPDQAAEEDTGGW L198_07208 MPSLGTTLPSPIPGAPALPPADAPASTYPPTGPAVERRRSSSIK HPHPHAHPHPVVGHAAGSYPPPPRKPLTPTGYVPAFESDDEMFKERYIALQLLINELE DENNLIAYRIAKEESRMRQLGLPLPNYAFSGPPNPAPVPEVGAQGALPAGDVPRGREG ELSARGTASNGVSEREREEPRGVLPESGRVVSEKEFVEGSVPRHEQPFDQPNGTKRRR ESGDIDSFPEKINIVANFRT L198_07209 MSHQDDAFPELPAAPPSRVVGQGYSSHHPVPTVQGYRETKQRHE HEANTYAEMVERRERDAQERERKLSEKTADSSDIAASDKVSSGADEETNAAKVQKEKK DEPNASTGANEKTKLMEQMNANQLKPTDRFLKAEKGQRRVRDPITGTEVIVKDADPKD FDPTRPATQGKNVLHHAFPPPQPVSVEIMLGKLRIVQYSIAGVHFFLWLTTAFGSGIW KFFLRSFIMSITAFVLMTSVSLIERSLQKDVEKVRQDMGRQRGEAFSPPVPESVEWLN GLIKLVWGLVDPAMFVSIADMVEDILQQSLPGFVDAVRITDIGQGENPFRITSIRALP DQPGDEDYPKTGWINQGNDDIKTKDTAGKDLEEDEAGDYYNFEVAFAYSALPGQGQNA RAKNIHLLIEFFLGLYDWLHIPVPIWIQVEQVFGIVRLRVQFIPQPPFVRNLTFALCG VPSVEVSAIPMSEYLPNVLDLPFVSSFVKMGIAAGTAEMSVPKSMTLNLQELLSGATV GDTTAIGVFLITIHHCEGLSAQDNNGLSDPYVVLAYAKFGKPLYSTRIIMEDLNPVFE ETAVLLLTLDEVKSQEDLAAMLWDSDKMSADDLVGRVQIPVEELIRRPNQMFRREDNL MGFEDANDMPGKLVWSIGYYEKVQLKKELERSPSAEEAAAAPEPAKTAPEMEMHPADV APNPAKKDLPPPPPDVQKTKPDPKWPSGVLSIILHQVNNLERQNLKGASGEREGEAGQ DTDQPSEQSDNLPSGYGEFIVNDDLVYKTRVKQYSTNPYFEAGTEVFVRDFENTVVRV VIRDSRLREADPILGIVSVRLSEVFRESSSVNQIYALTEGVGFGKCNISFAFRGMQMT LPPNMRGWETGTLELSDVSFTSTNPATFEPSRTRLRVVTSEQTESMPKKEAVVSGNTV SWEMDTLRMPVYSRYQSSVIFEIGKTGGPLAALGIAAKPDAIAVLWMQDLTDDVEQEV KLPVLVSEKITNLRQNAINDQTAKFHDFEIVGELTARIKLDSGLDEDHEQNLKQSQSR RHALEAYDHIEGEAELARKQAHFADDGIIDKHEKKELDKAHKRQLASRERGPAQVKAF RSAKWMMKGVKDRLPGNQPKTREPSVQTEA L198_07211 MSSDKVTTNQNEKQLDRADTGKTAVTESLPFKAHTALSEKVLEA LGSNPTTGLKESEVPPKLDQYGLNRLKPPKKPSVFKIILRQIGNAMTLILIAAMATSF GTTDWISGGVIAALVLLNVSVGAYTEWQAEKTVASLESVGAPQATVVRTREGSGEGDT KTIPVEEVVPGDIVLLKNGDIVPADGRILDGHISNLECDEAFLTGESLPVAKQSEPID EEDCPVGDRLCMVFSGSQVTKGRARVIITHTGMGTEIGKIAQALEGKAKNNRKGFGAF WWKTKVLLGVEETTPLQIKLNKLAYFLLAWAIIIAIIVVASTGFQNIPLSMATYAVAA AVSILPASLIAVVSLTLARASTDLASRNALVRRMDAIEALAGVENVCSDKTGTLTVGR MVVRKFWVPTIDHRPSHPSPLDTKGGQAYSFETGSDPFYPRGVVRADQSTDSSSSSED PDDREVENQEQVVHVEELEDGLRKLALCASLCNVATLSRPVDEDSQWEANGDPTEVAL QVAAHKLGHGKPFLTHHGKHASAGGKVGKADSVRSGHSARPLVFGVNGHFEQLVEHPF DSTVKRMSIAYTFHPADSSSHAQPQDGYVACFLKGAVERVFERCTKVGDKGDELTEDK KDDVLRKVDALAAQGLRVLALCGKTYPGKMADEIKHMPRDGFEQDFTFLGLAGIYDPP RKESPGAVADCYRAGITPRMLTGDHPATATAIALSIGILEKSYGKESVMTGQQFDALS DEEVDALPELPLVVARCAPETKVRMVDAIHRRGQKTVMTGDGVNDSPALKRADVGVGM GTGSDVAKQSSRIVLSDDNFSTIIRAIRKGRSVFKNLAKFLLYLLSGNLAEIIVLMIG LAFKDQNGQSVFPLSPVAALWINTLAAGPPALALGLEPTAVDAMEQPPSSFHQIFTLE FYIDLIFYGFLIGSLSLVNFVIVLWGYFSGNLGMECNEGDSDICSSVFQARATCFATL VIILMIHALECKHFYKGLWQINLRDNRILLWCVVVLVLTTFPVVYIPRINDDVFLIGG LKWEWGIVFGMIFVYLLAAEGYKYVKRVWKRRHDPVQAGKGGDKTLKMESTIAPPV L198_07212 MDVVLHYADEFALDHVYNYLLPHPSIPTTEKLGLLNTTSSPSPY TSFSTPPLTGSLLSRDSLLRQYISLLSISVLGAYALYFSFCSLSYFFVYDRRLEHHPK FLKNQIRKEIKSSLIAAPYIGIITVPWFMGEIHGYSKMYENVGDYGWTYLVGSMVWFL LFTDFCIYWVHRLEHHPRIYKYIHKPHHKWVVPTPYAALAFHPLDGYAQSLPYHIFPY LFPLHRYAYLGLFVFVQFWTILIHDGDMISGHVLERWINGPAHHTLHHLYFSVNYGQY FTWADVQFDSFREPRPELDPIHESLRMMRKKGLIDENDKPIPRIQNKKNE L198_07213 MTEDSLPSSSQTLPSPTLTPPFHRRLLSSLTSDIDAPGSTNLIS IYACFLTGFTSAPSFSACFIWCGFQTGNVAQLGLAIARCFAPGEERTVGFQKPDQQAL TSLVAFWIGTSLGRVGDWTGARKRRWLGLATFIQALLAMAAALTIHFSGESGLASNRG SPSWRTPLGMTGLAFLSATMGIQGIVGKRIASPMNTTVVLTTTWVEIFNDPFLFSFTY APSRDIRIMGGLAVFLGAFVSRAILDASSSAGVVGVLAGLRMVQLGWWFLIPDKEVRK VETVNKA L198_07214 MAERSRSPTVLYDIERPSSPSSSSDFSAILNHKRHWAHRALRLD FPRPVHVALTCISITASAIQANGVYCWGTYGPVVAQWKKLDGTEAQTIVVGGIVGVYL MAAPLGWLTDRYGPRVGSLISGCLSAAGYLSFAAILDKSTPETPALYLWLTAAFFLVG AATVGSYFACLTCASLSFPSHPTLSLSLPLSLIGLSSLAISSFSTLPTFLSPETHDLD PVKFLFFLGVLSPAVNLFGFAFLRIVPPASPHKSLHTHLPGDAPDSDADSDQDPSLDP LSASLNLSEHTPLLIGGPRSALDEIEEDQARGRDVAWGLRELIRDWKGFWVFGVLCAL VVGPGEMVIASIGSILTSLLPAPTSLLSLASPNPLKLRNKHVFLLSLSSTLARLITGI LADYLSPPPTPFKNPEHTPGDPTKPEHVLRQVKKVRLTRSGFAGLCAGVLAGVFGWGG SGWLRGESGLGVVSVGVGAMYGALFTLTPAIVSHHFGPTNFGLAWGMISYFAALGSVI YSYLYALLSTPGTETECHGPHCFRVTFVVCAVSCVVGGAGLALLGRRWKI L198_07215 MPPHYTWIVDAGDDDEDYLPSEGDYQEHDDDDDDPEGVSRMRLE LEDDPMDDDSQDEAELGFADLLQYAQAVADGTAPIQLSSGALPAALLQRLGSRAAAHT QDGWASKAKERQVEADPKGVELLRSGEFGRVGGWKVGGTGKGGRKRPRDWNKTVTGWS PPRPETGHNLVPNDPGTVVARYPAVPYVGQYAGEDYSIFYTATQYFTLHLYSTTANIH NGSITRPRPPRSSLAPAPLRTSQFPSSPGANTEDEEDEDDEEEEEEDDDDSEDEEDFI REQMFGRRRNSNEESSMKTIKRVQGVQGNWTVTDCDVDKKGQNMIYSSITPYVHMLRT DEFDTEHIELDFNSPGERHRYYGHGIWSIRFSADGQEIVAGASEGNIMVYDIEAQRRT LCVQGHIDDVNAVCFADQSSTNILISGSDDAYLKIWDRRSLSSNIPSGVLPGATEGIT YVSAKGDGRYVVANSKDQAARLFDLRMMRNWGEFGGEEDAAGKYGARGFDYRQMHYPR PEPRSHPKDCSVMTFTGHSVLRTLIRCHFSPVESTGQSYIYSGSSDGRIHIWSLDGRV AQVLDRSQSTPLHPTSSSSSSTFSDPSAPLPPLPAQSTSMSHRSHTVRDVAWHGFEPT LMSTCWDMDGGYRRGGSVAKHEWKGMGKGRGSVEDWRVRREEEGEEGEREREREGRRE RRGLRRLYA L198_07216 MKSTTARLVSHIPRPQSYIVASKRTPFGAFGGKLKEFKASELGG IAGKAALADLPSEVQVDQVFFGNVTQTDNSTPYLARHVGHLSGLGPTACPNPSYLDEP KLTRFPDRLCGSGFQTAINAVQTISLSEADVCLTGGTEAMSMSPYTLSGLTRYGTRYG VNLVQEDSLAAALTDQNPGGEKTPMGMTAENLAKKYNITRDECDAYALMSQTRYAEAL SSGAFSSELTSIPLKPLKSIPQSISSDEHPRPQTTLSSLSKLPSVFIPKTGVVTAGNA SGICDGAAANVIMSENAVKKWGVEPLVRVAGYGWSACEPEIMGIGPVVSVREALKKAG RGLGDMDIIELNEAFAAQWLAVQKELELPTEKTNIFGGAIALGHPLAASGARILGNLS HNLHRMDKKWALGAACIGGGQGIAVVLERC L198_07218 MVPQSISGFFSPLSKESLNSGVTLTSSRTLHPILAKAETIYETK PDGFILTSVVYGADGDIPDIFIEVCSPRASHQSYKDRHDALVIQRILNLVAKNALASA TEDGKGIYRTARQAKQAVHQAIKDLHGIHGKAGYFRFAHVHFRSFDKLKEARMATKPY ITQGQGASVNLDQACQQVMDGLKSTRQALERELSEQKQKKVLFAEKKRMLDMVLLEDR RTARWIQESSFKSCLSSVNFFSSPPLYRSQSCVY L198_07219 MLRTATSRLLPRSLPLRTFSSTPASFIRIRSSAPSPAEKPPHVP EDIKNPEVVETQVNDLGGQEVRGAPDLGTGKAAAAAKGKEAEAPLEPPSTSTTLNSQP SATPGAAAEDIPDTPDFSKLPSLDIDPDLPAPAAIEQPKSEQNEGGEKKKTGAGKKEY VSSHEKSRRMWIRLGYGGLAVGAIGAILTMESKPVEGAGKSEGIVTQVTNNVSELMDY FNKPAFKQLLPDPLPPPHQRPYTLCIDLEGLLVHSSWDRQHGWRTAKRPGADYFLAYL SQFYEIVLFTSQPFYASPLPPPSETTGGPIAEKIDPYQAYIPYRLFRESTRYLNGKVV KDISYLNRDPSKVVILDTNAEHVGAQPENGIVVNPWDGRAGDKGLVDLIPFLESIGIF APKDVRPILTAYAGKDIPTEYAKKEAEAKTKAVEEWERAHPSAATGAGSGFLSSMFGS VSAPGQTRPNQPMTYLEQKRAQAQRIYQEEQKYWAENADEFKKLIEEDKQKQLAEMKG SLLGFLGGPGTKTPEGPKEKL L198_07220 MSYQIAGRAIKNEYLALGTIISTIGIAMAATGGDKAAAPASSAP VAVSDDKTITGETPEEEDL L198_07221 MFSAALAFLRPSTSAPRQAFALPQLLGRNVGEGQVRFRGQLAPR RTKYRKAGKGAPGGGSLKGSTLHHGTFGLRACSSVRLSAAQLTSCQAAVRRRIKPVKG AQFYLRVFPDIPVCVKGNEQRMGKGKGSFEYWSCRVSPGKVIMEVGGGNIREEVAKAA LKLAQARLPLQTEFITTSSFPRLGSISSPTLTPPPAAQPVPNNLAWMDAKEEGGARKT VLKREEREVEEVVKGLGALELSKGGVGEGAKGVDA L198_07222 MSHQNGFPYFSAGPGYVQQPSQPHPQPRPQPQPQAAEAEDPGTM LNVYPFMTYAPTARVAQHLSSHSSLPQPSPTAHQVYPHYTQSFGRIEQPLTPGDWEMR QAADMRVRDVLEAQRQHGGSYGYSNAPLPSMRQFNYNQVPPTPVPDVNPYGGYSQPLS TSYPFVPAYMPPPTPVSSAGTRHTHPATPDSMTGDSPASVPSGKEYFEGFVNNTLARH VSQERPAPARSQNGTPSNLPRHVISQEELRGYDQYRSSPEIRPAPYSQPQNGQTPPQY PPQMSYSQPQPLHQAPGRVPFPSQPIFQHGVDKSATLPLARGKSPAKRPSISSMAMRS PHPSSSVTSTPTHERVMSSPYPSSSPDPLGMPTPSPSKKPRKNRTFSPSLARSGNLAN DNAMGIDSLSMREKSVSVASNASGEEQKQKIMLRLPMHLATPQRSPAPEEGQDEDAEG DEEEDGVDWGDDGVRDAEGDWQMEEGNSSGTVYGGAAGAQIQMSGKTGERDTRNSWQK LQTLLEDISEENDSFTANPTLEDLQSSDIKFFGHVSRDGSQALLSQKTMSKVIRYVLR VQSGRKRPGQEANGPERVWDIALVSTLLRLLERSIRDVENIVVFPDDRKAAVAIEEKA AKKKGKGKAGSASPAKPEDAEVKAEIPLADIQACEDKLMRVKRSVAAAECSLLLLDSE GISKQLYSEELLSTCVKTVKDHLEQVVLPVIQGLAGDKIGSSYLARLVEQEYNAVAPE PKLPTSLSSHFHHHTLSAIAQSICITLPRLTSLISRDDFHFSEGLLIQTVYLAKCPLF VVDPVVGKKKGDKDGMAVVKSMRMEGLSLLRGVFARYEEQRQWIIEEVLSSLVGQAGQ SHDQALFQLSNGKSIHAVSALLLQLIQASAYGAVAQVKKVHTASTEMEVLDRQETEKV DTVAEESRICGETIESALKSATMVAGYILSKATTTRATKTSLDTDYKVILSLFINDLL AVLYRPEWPAASLYLSVFSKIMINALDEKKTGTEATAAKGVALDYLADIVARLKNLSI EMLGEIKVATIDEVISEASVTGLTRLIKAQGSIRAFLTSAARDDGSFACSLDMASIVW AQELQAGVKKTASVLEKLAVEKDDETKALGDNLRTIGSMLSDTLRGVWLSDDSLFEVN DPKQGEQAVQASIAVSRGRSLQGAIDPIIHTLLSTMSNTIIGLRTKALRGISSIVVVD PDVLRLPQIRHALEERLSDASPGVRDAAVELVGKYLIQKPELVPQYYHQIASRVMDTG LSVRKRVIKILKGIFATMENRKMQVDICCRMIALVDDKDPGIKSLSTNTLVDMLFSGE GGDAARLFVDILSNYRGTYEVMEKALDEVSKECESVGQKGRFGTTIDDLINRLIDATE ENDFDSLSHIRAIWLLAESDPSQIDMQKANVLLGYLRPPANADDQATNELLLKVFQRS IPAMPRTASTFANSLTKTLMPMISKPAGGFTALREIIGCFCAVTNHLTKDWPKAINVL RACEAKIRSVRPGVKNGSVTTLNPAIAMMLYITALLVEGCNLDEIAKQDDAIDAQVRR ISSQPLAVYFFELYLDFASMSTPHSAPTICLGALFRAYPSLLQLSETTDWMKKTFESN DMDGQCRLLGVLHQFLSSEVKKRASAGVANKDVSLLIGNSKELQDSDYSTVIVQNNIE QIFKCARSQHVPTQNAALDVLTFVVNQGLYSPVHTVPILVTLETAEDPIIAERALALH STLHDKHANLIHVLFMDSARASYQYQRGITAEPSGHRNGIALLSSWYEMLSEKRTWRH DFLKALCRAFDSDLDAEVDIGFVLYIAENLATMDYKLQEEPMTVVQALSRIVSTCSHL ATLMEEAHINAPPEESIDGQAINLGKLSGETIKAGRLADASIIVGLALMLKNHLVSLY HLQEDKCAAHVPGKKSATGDKPSQRRGIQVLDLDRMSMVRGIATVGDFKQQQTAFLEL LKEDGTLSENGD L198_07223 MGPSLGSIGRAIDVNSGLAETFSCRAEARDYCDTRPVRFPAVLP VQEVIPKIDHSENHLIATHAYDKIPLNYTLTSLHPLPPEIREIIFDFAGYISHKPTLL NVLLTSKKNYLKYFDNLYSRVRLTATNAAPFFSHVLGLTQADIAADLDPHADKLYEYL IGVGNTILSWGPRTWFPSPILRRIYAASCVDVLILSDPKSVFITRDAILSFQSWVTYR PKDIWDPTRYKREGDQLIELQSCQWSLFYGLEWLVLGEEVLREMRDTTIDWQGIFSEM SSGAGKFNRHINVCCHFPNIDHLDVPTAALAPFLQKDIEDEGLDEGQIVCLHNVNPAH LRLPVQAEEMRIYLPPSDPSKPEAEAGFESIASMLKTYHGMAELPVDARE L198_07224 MPVPWEALIPCGLLVAMFGVTGNLFSAAKFLTNDGKAPRYNIDT WESMMMERDRRLTGSLRGQSTEPIAPKAFATNSIWETERIH L198_07225 MPPKKQQQKHEPKSKDQVDEAPLQAVILADSYNRRFEVLATDQP RVLLPLCSTPLLSWTLESLALSKVLNADNPFILVHSPLVSNYDLSKIVDAHKKRREVD KNFIMTMGVGRGGRPHPESPVMLVHPPSSRLLHYSPHPLSPAQGRAEFPAVLFTDPFP VNIDTYEIWSGTAPRSVSDNGGYRDLGVDICEADVPALCTENFDYHDLRRHFVNGVLT SELLGKKIAVHMVGEEEEKMDARAGGGRYVERVRDTRTFGEVTRDVLRRWAFPLAPDL NEPSGVEYELRAGNVYIARESVVLSRTTTLTGPLLIGPKSSLSHNTLVQGSTLGANCK VGDGSAIRNSYIFDDVRIGEGCLIEECMIGKGVVVGAGCKIGRGVLLGNGVKLGKNVS VPDFARIGREPYRGEDYDSDDSGFEEEEAASKARSSELLGDESVGFLWPNEEEEPASD SEDEDEDLYEHPANKKLLQLGRRLSNLSSAATSLSTLSAASSSAPSSPVSMASSTSLP DMPSLQLNAGPPPAFYHEAVASLQRAYEEDHKIENALLELRTLVMGYNAGLDRAREEV VKFFVSKVDISGNAVSILGSATKVFTRWGPLISNLTSDPTLMILDAQSYTAHNPAYVP WFGIILRGFYEADLVAEEELVEWRDMKESKGENVREEEKAVWKEIWAKGKGYVDVLEA MESEDEDDESEEEEESSDEE L198_07226 MSVVHSNEEYLPSSYDKGEKGEQYVYQTETGEENVIPREEETHR ALSPRQLSMIAIGGTGLVIGSGTSLARSGPGSLFISYVVMGLTCCGVMMALGEMSTKF PSRKGFAGHATRCVDPAYGFATALVYLCKYLIISPNQIVAGALVIRFWNDSINGAVWV TILIAFVISINCLGIKWFGELEFWLSFIKVLTLTGLILLALIIDLGGVSGQERLGFRY WEDGKAFLPYKTTGNVGKFCGFVNALVLALFAYMGTELIGVTVGEAKNPRRTVPAAIK KTFFRVIFFYMLCILLVGMIVDSQSPLLAQAAKKGTGGGASASPFVVAIQSAGIKVLP AIINACLLIFTISAANSDQYIASRTLYGMAKDGNAPRIFTKCNSRGVPWVAFIFTGCF MGLAYLVASDDALKIFNYLVNTVTIFGGLTWVSILASHVAFMRGMKAQGIPRDTLPYK SPLQPYLTYCALFMTCLVCFFKGFDAFMPWDYKTFITNYIGIPVYVIGYCGYKLIRRS KAVKMHEMDLSTGAREFDDIDEDEEEEMRYKSMTFKQKVIYKLRNW L198_07228 MPPSRPHYAIQNLATPSRLRSLLGTTATSLGARQYRRASSPSNG YTPSPLSVSTTTNVYPSVCSSSNVQSLPLDPGPGPSTDLAMREDADMEDLDDDMGIPA LPLEPLNVDLPSETDLFADCVARFPFDIPTSLVPSVSQRQRNPFREGPMQGAGGKKMP FLCRSLVLDSGVQYAGRCEYRRIR L198_07229 MFGAIVAGRLVQTNLQQIDETHFVFPLEQPYDINHLTVFLLGTV PFPEGYGASVHFAWPGKEYIPLGVLTNGKPSAIFRVRSHLPPGATIGQPSPPAQLGIE VASLAQLEVIQAGLNAATEGKGKEMVRNLDVGKIAEKVVRNLFNYLHSFGGEGALTPT TQIPLSVFQQWYTNFTRKIENDKGASFLDRED L198_07230 MDRLVSYSRRTQYIPSSYKYDAPPSDTIRRMWLPDDAAGFLPCW IRSQEGDESDPEASAEVQVATTGEMKRVPLWTLSPMNPPQFDGVEDIADLTHLNEASV INNLRTRYTAGGIYTYSGLFLISLNPYRTLPIYTSKIVAQYRSRRREENPPHIFAVAE RAWQQIGEERESQSILITGESGAGKTENTKKVIQYLASIATSSLPSSSSSSSLSQAPS SGLPRSSSFKGRDEMDLSDPSLGLLEQQILQANPILEAFGNAQTMRNNNSSRFGKFIR IFFSPAGAIAGANIDWYLLEKSRVTARATGERNFHVFYQLLAGAKEAKLADRLLLEGG ADKFDFLKKTKLEVDGVNDLAEWRLLKDALKVMGFTEAEQFELFRIPAVILHIGNLIL TGSSSDQAFLPPDMQSVADKICHLLGIPVKEFTKSVLNPKVRAGREWVTNARTKKQAE DELGALCKFMYEKTFGWMVDRINQALDRPSQKVLSIGVLDIAGFEIFEENSYEQLLIN FTNEKLQQFFNFHMFTLEQEEYAREGIQWDYVNFGLDLQPTIELIESSQPVGILSLLD EECIMPKATDLTFTEKVQAQWEIPRGGKSENRHLGCAKFKATRFGAGFVVKHYAGDVE YHTSGWLEKNKDPINDAVARLLSASDNPSIATLFAEYAEDTSASAGMVKKVRRGAFRT VGQRHKEQLGQLMQQLNSTQPHFVRCIVPNPQKTPGKVDVNLVLDQLRCNGVLEGIRI ARLGYPNRHSFAEFRQRYEVLTPGVIPAGYIDGRKAAERIAESLELDKDFYKIGATKI FFRAGVLAELEERRDNCLHDIFQRLQSAARMHIARRRILKLINRAQAVRTIQRNARAY LELRDWPWWGLYVKVRPLLAATRVDDELGKKRAELLLAKERAERDEAERKMLEDLRAG LVGEKEKVERDLEAERELAREKDTMLARSKGREAELLEKIEELENDIELLDQERERAV TAADKVKEKLSLVQGNFEALAEQAAMLEKQGSDWQKREADLLKDSKERSGSLAQVEKE RKALEAKVEELKREVGTKEDGMKRLKERFEASGVEMEKRLAYEKTKAQSGNDQVSALT DDLRKAKSQLAELQQTIKTHESTIASKTRDISTLESHKTASAKAQEAADKVKTELAVQ IDSLTSELAAREKEKAKEASARGKVEQELDGLRSLMAAKTSEDEQRREVDKSRDQEMS RLREQAAQLQKTLDDQRETALQLANKLRVDVEGLKQSHTSVQRELKVKVKELEEKEKE VVGAKAKMAQAESERRRAGDELARVQEQLKDTEKRLQGTVQARDDLESRLQSLQDEYN GLEDAVLEIEADKANWSKSIEKLASQLQEETNQRQHFEQELYDQQVELSENRNVAQQA ERELAKAASDIKARDKEIEYLRSRENKTVVEHYHVMEAAKKFTDQQLAEQISENSRLN KLLKSLETHRNRLNADLEDLARQYDQLKASKSKEARAARASLGPEDKGAVAALEDERK TRRVLESRIAAMEKDLQEQKKQLSAASLTAGKHSSLESKFSRLENEFLNLEDLHTNVS AENDKLKGELSELQKLFAKSAVPNASNLRREDLLRGLQLSHDALGKDMSDQLRKLTDA TPLTPSKRASVINGNGTPAQEDPAAARRMRTLEQEVESLKQQLVDEQDEKDFLVEQMD KMQEETGNGGSFSHDQAMYSHFRLKTKALKSQLDHWLAMDDAKAPARTHTPRIEDLVQ LKHLLAQLDPETSPLK L198_07231 MFLHTAPSCIIITLLSLVSTQTTARPLTLVTRNTPPSDVSELHI ATVNIKNSNIADPNADPDSPWSEKGWDDRKTRLVDALLSTGPLDIWGAQEVLNNQLND LDGLVGDTFAHVGVGRDDGQEAGEYSPIFYDSSKFDIVRWNTTWLSETPDTPGSIGWD ADLTRIATLLTLKYKAGAQEGQLVHAFNTHYDNAGTEARAQSSLLLRATIYQWVQDVE QSEGARGDAPVVFFGDFNSPPEEDGYKNMVSTDPLPNGNASYTFLDTYTHLLTSNSSS SSTYGTPQTRPYGPEHTYTGFEAPGYNMTKRIDFVLVGAELDGAQSGSGEGRGGWEVV RYGVVDNYVEGDRDGFDARWSDHRAVRATIAKQT L198_07232 MSDPSPLPSTWDACDSWATRLENAVSSVPPLTGASNYHPWAKKL QIVLSGVRGCLCLLDEPPDASATPSSTSPPAAILNHLDATLALVLVGLLSPALVSQFE SVILDHPSRAARTLWLKLEAAYGTRWSFHLWQSVQALSSQPQGSTPVTEFMTSRKQKF EALKAAGYDFDRWFLDNLVADLAPHFGPTVRGLDFATLTFDSLYAAVRGVDDSHRLHA APPSSSMTLAAFGTPVNPRVPSSAISASTSSIQRHSFHSSPLPRTPSVPPHTSRPGTP PLPPSPVTLVVNEVTIHRSTKHRSRIYLLYHYTHPEKKHSTTSRWDSFPAFLIPAIRG FWASTPAPPLLYYKERALALLPPTEA L198_07233 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWKGLRVTVQLTIQNRQAAVSVVPSASSLVIKALKEPPRDRKKEKNIKHSG NVPLDQIYDIARKMLHKSFAKDLSGGVREILGTAQSVGCTVDGKNPHDLIVAIQEGEL VVPDE L198_07234 MSILPLYYQDGNHSGGTVHHLVYPDENPEVFEMVRLQHGSTLPD VPSSEPVYVVEYPYPSHSRATAVSRLPPLRAQPGLTTLEPLAPVHHLVLLLLLQEEYL GPSRTMALSPTVFHFVKYDVYRSVTMSRDLLKGLDGDRKSRQRKLDCLECVHELYIDS MDALWDLANLHSPQRSYMFKSFFSIMPNARTVHIHRRAIVAQYTLRKGKRAEEPVPWS WLKEGVMRQLGESVEVRFYGEYDTDCTGQSVFARVTYALPGKLLKSQESAEELEERRL RRKEIRGKLCRRIGIALGAIAFMTFWGAMIWIMQRKAHKYQDQ L198_07235 MGPFEAPRRSSNLPDIIPSRYSATPQTPHLIPQMSCRTYSSLTL CIAHILSSNQLEKCPTQVKIFTLTGAGGHVVLLPAIHIHSPKLEVVQGAEVEGEDEVA AEEAPGVGIWNPRVRVAKAVGPETRKLVRIVSDDMSEPLTTSVPLNNSERPASAPVPS GSNATLRATLTDLVIGFLPLHRLFAVDKPPPRSPLPYIIPDTMAGYGVAVKAVYEDIV CQRTATHS L198_07236 MPRNIAVEDNLYLDEAEEFILEHAVVASPPRSWRPKPTPSNPPT TSTAPPERTTTTRRKMDWTEEVKAHPDEPLDESYWKKGKRRASGVADDYEVGDEEGGA DQERCIICLMGLNDRTIVGVCGHEFCFECICIWSNQSRKCPLCSGDMAPFLLHDLDAE APTKYYLPPLPSKPAQETIRDRLPTRQERARSGEPIVPDEVDLQVARRRQYYQHELYV KHMGTNRHSRFRPNPTPRQISEDPQLAQRAQAFIRRELRIWPALDVEYLTTYILSLLK SIDARSEAIVRLLSEFLDTDEFPSAAEHFAHEVYSFMRSPFSYLSEYDEIAQYDPIPQ SLSPRGRSPLPKALSPQGRSRSRSFDSLSSRSYSHSRSPSPYSDYSRSRSYSPRPRQR SPYPRSRSPYDRYRSPPPYNRRPLSPHRGGYRDRDPYVNPGWTAQLEARERKREKNIL KRRRREERRAEHARARQVVPPNGWGQAGQGSGQGMKERVELLPSPPKVQRPLDEDVEM TSSAPSLSSRLAPASRDMQRQAPPHLASRLSIKGAAAARQGPLGKVSINEEIESRRLS LKERLDKARADAEAKKSAATEGMDAVPAVKLSLKERLERAKAEGAKRYAGIASFTPPP TSLSNEAGPPSAPSVPPKPVRTNSQGDTKQGRRGKLQLRLKLEHERALMRASAQAPPA ATPTPSITQPTSRPSQSPLPSTPAEGADQGVEATMEKALKRMTEQERQREVRRRLMVA KLKAAETDQERRARELKVRLMERKKGVEPGVVV L198_07237 MPASQPPSSPSPSHNPAQSYPSAQSHPSLNHLSTSSTPSAASSP PRTPTSTRFPISNPSTPISLRRSPPSTPSPSRFAATPYTLSPPTVLRSPRPPAIPQFS LSNMVFTSQSNYLAAKVDVNFEQGGVVDMIGGPNTGTSYSLPGHIVLTFNSLPAPLEG RLREIQSLEVVMEGKSEFWDDAGRYTPMRLHSQTLSLATPSSPLLIPSTDPSRPHAAK FQLALPFDMRLPGWLPSTQASDMTATSYGCLVKAVVGWTGPSSSSSAASSSSSASSDV FMDDILPSRPYTKTSPASRLFGNSSLLPSSSHPSSSKFSSFTILRHRIAPPSSSAQAP EVQERHFTLKPEKDSTSPVECVVSVPEFVDVNGEEKSLKISLRIRARKDVFNQPSASS SSTPSEQSTQTEAEAEESTGELESVPMERESRQKKKDEDVLTRMVELGMEVEEVEWFS STPSASFLSTFPVPDEQPSTHSSEHKLLSPPSPNLSSVFGQQDRPFKGTRSRSCLLSE DGNQRNFFFADEGLGLSEKWRKVNVVLPMPELGSHSQEKGVNGRPQAEYNGPFMRVKH TLKIRIVCKNAHGDDAQVVLLSTPIRFATCPTTLPSRSAKTPALPAYIQLFLENGDLR ECDPLPLYEDCLPPSPPAPTPAPSAPVLSPGALTPLALVAPSFALIQPTPTTATPSYQ SIFHHPVPVPQALPSPSPSTSSLEGCSSRSASPTPSSFAGSSVGSTTDLIGQLGSFSS PLPSFGTFGNLREGLGLGLGLGAGMGMEPRRSGSPALSSGDEDEAMSGSSLQPQRSQR PAGSKVMRPLSQRSAPRQA L198_07238 MTKQPTTPSPERHEEEPETAKPARPVRKAARRTRSANTSPRTLE KKATSRGKPSLDQVAEAQEAGQSAYPTPRKTPRRIVVHDELPPAEGSRKNDGLILLLR VMEEAEESDSDRDSDRGDGASRLRAGYQQEGFLI L198_07239 MSARLSALRRPLARSIRPQVLPRRFNSTVPPPRPPAAGISAAWY ALSLALFTGAGFLLGNVQTLPPSTSLQESSKAIPHQLQNQPSYGSRQDYLSAIKELQA SWEKKGKGDKVSTDDADLETHGISDWSYHSAEKPTVVVWANSTEEVQEIVKISAKYKV PITPFSGGTSLEGHYSSPYGGISLDVSEMDKIIEISEDDGEARVQAGVKWEDLNAYLK EKGVPLFFPLDPGPGATIGGMAGTGCSGTNAVRYGTAKAEWFLNLTVVLPTGEIIRTR SHARKSAAGPDTTKLFIGAEGTLGIVTEASLRLAPLLPTKCAVVTFPGVEEAVKAATE VVNKGYPVQCVEYLDGKTMEAINKGGLAGRQYNAVDSLFFKFQGSDAQMAEVATSVKS LVSKHGGANFEFSKSDKEADALWQGRKAALWSVLGLVDGARVWTTDVCVPISKLPRLV RETREDFDERGLIACHFGHVGDGNVHSLALFRSPEELARVEEAVHSMVERAISLGGTC TGEHGVGLGKIEYLKQELGEGTVNLMESVKRMVDPGCLMNPGKVSLLFCCVFGYEVQR GANNGSVDLPEYHS L198_07240 MGDADLDDSQLLGPRERLAENIRVLRGRLEERATGPGGQVLPEW ITYVPYETTIDGVPITTGSLVELPLTYYGPSIPLAQGWTYGGSTSPASTASSASATSG QESLGSSSSSATTSSRSSARPSRSSDRSRSRSNTRTSTASEATTSVTSATDSASTSLA RSSSGSVVSSSSSSPSSSSATLSSSLSSLTTQSSVNSTFPTATSTSTLGAAPTSSHRH LLAPLLATLIPFGLALLALLILLYLWRRKRPNKDVGFFPWLFTPKEWAAVPVVTSDKP SEKRKEGPPAAVPAGLRSPDEKSALLPDFIAQHPRNSSSISTIAPLPLPRPSTSAGEH DPEMKDLVQQNQSLLHRLTLGLGWAPTPSQRHSGSFEGVRRTSGNTAERGENPSSGVG MGGRMVSGAMAGALAAAGVFGVRKERSAAGLTTSSSGNETSGTGQKYERVLDEDQLFY RVPPQTSSSKGSNNDSSSRSRPSTGGGRWNRQQPALPEGSSSGHETRPSMTFSVSVPE TPGSRHLSERDMDVAEFGRNIPIPQISPSKVRPVSGEREHMRFPIPPGLAFALKKQPR SKSSFADVGKDKDKDSRTSTETFYSALTGREPPAYSPESPPRPQGSPIHRDNEDYRHA SVSVFGSVPATPVPAEWEGTGSSQSHTGQSDSQTGPLSRETSPHKSLYAPSPVSPALI STARRSQVTPVGDDAIRPMRRLFGLSPTPRSSTDSREPVSARGAEEGEGDDSWKGEPL IDERDLSTSGDGAGARGRERERGRRRMVGEFGQGLKSVPLAGASRTQQAPLPHSHQGS IHSSNLSSNPTSLSPAYPTTSSYQPSPLSPLAPKSPHSMKSHSSLAHSLSITSTSASV SASGSSSGHSVTHSTQGQGVRGKRSAALLVPAGAVAVIAGGGGVPPVPPVPAPAPVPM VVPAQEYNEKEKEKPIEEVEEKQELLEKTPKKEKEAKTKRWSPPKPVGPRPASGEKAT IWGGLKR L198_07241 MSILPLHHNNGAHQTRPQDQALPMEPLRPRDDLAIAFPAPSHAG RCTLCSSYSTALTQLASLPTTPKPILTTLTPLAPVHDHLLALLLKREYLGPSATMSLA PAIFDHAKYNLYRRVGMTTGLLVGLEKRKSRKRKLDCLRCVQELEIDTMEGMWALTNL HSHKRSHLSVPLLNTLPNVHTVYIHCRVLSGEYILERRWENGECDVEMLWGELKEGVM KQLGGGAQVVFYGTNDVDDEGRAIRKRKTFALPGRLLKAEGGEKLRYQRRKKVATLIL SFTLIFSAIIVIATWGGVGYGMWEIAHKKKGQN L198_07242 MDNEPSHPSWWDEQMRKSTEWFIKFREDGGMQPPTVEGGEKRIT DQDGNLIKDDEQAQKVLSMWSSIVGPDFEDALDKNKSSYYAGYHGRGRAHATIISKDD VSTALTPKPYHYGDGPDPAPRTTITLNERFQPDLENVIIRVKEGNRWDRENGAARGSS HVLIRTEYTPSLIPSTTSVLAYPASLEDLDKLSSEARALWDGTDRETTAYMQQIIQNG GTAFDLFDRDRHHSTTIEKSIFPYHYQGYQVGRHDLDRRATARSKYISASVCRALDEQ HMRNSTRSGSYIPPYVSAPNEYAWDQAHKT L198_07243 MTNAPPHYTLGPHPPHTDPDITPSPSDPDPPPLPMSLREPPGPD IPSPTRSSTLSAFRASEGPTARAAKLRSLWNSLPSLPSLPDGDEPTDTKKMQLPGQGT TEALSLERAERLKRLYEEELVKTVAKKRPESALWGGPDDLEPEVRHLKGKKGTGVAWG DFRRFLWDKERELWDIFQDLDKNGDGRLDSLEIREALSRSGIDVTPATVSELVHYLAS HASEQGAPKIGNKEKGRTGVYLTFGDFRDFLIMLPRKATPFEIYKFYQVRKRFSDGRG AARVDKEGDINISFPKAPNASSTSTAAGFFHPPKSHGLEDDEFADTPSEPLPEDELVG EAHEDRHEAWRFLLAGGVAGAVSRSVTAPFDRLKVYLITTDDFSSFNRKPGIGHPFKN GFRAMTNLWGAMKKIYVDGNGIRAFWVGNGLNVTKIFPESAIKFVSYEQSKKFLAKYW DCVSDPSELSSSSRFISGGVGGITSQLSIYGLETLKTRIQSDIGPNEGWRQVKRTAGE MWKAGGVRTYYRGLTLGLIGVFPYSAIDMGTYETLKTAYCTSAQTDDPPVFAVLTFGA LSGSIGAASVYPINLLRTRLQASGSSGHPHRYDGFMDVYRQTMRNEGWRGLYKGLLPS ILKVGPAVGVSWIVYEEAKRQLGV L198_07244 MPLFLGLDASTQSLKASLLSARLDILAECAVHFDSDLPAYNTKG GVRFGHNGEVNSPVMMYVDAIDLLFERIKRKGWDVADIKGVAAAGQQHASVYWNRSST HILSSVDPSNSLTSQLRDAFSRMVVPNWQDSSTTAECQSLEDAVGGPQALAEITGSRA HQRFTGPQIMRFKKIDPEAYGRTARISLVSSAITTLLCLDGEIKGIDESDACGMNLWA MNRKERGWSEELLEVIGGGAEGADELARKLGTVETDGGRIVGQIGKWFVERYGFSPDC AVFPGTGDNPATFLSLTLRESEGLISLGTSDVVLISTNTYHPDAEHHAFYHPAQIAPP SEQETEKRSGSEPLRYFNMVVYKNGSLTREHVRDVYFDKSWDKFNAAIEQLRPKQVTD LPASAAFWWLLPDIVPQGAHGTYKYTTSPKAGHLFELPSAVRVQHFPDVRQEALALLS TQLLSYRSRSSTILSDGGGPFVASTPALESPAPRVGRMYATGGASKNKTILSLLADVL STKVCRNVEYLDGEWSDAEWNSCSVGVAFKARWGWARANAQTEAGKWISFDQVIQECR AERRKLRGGEGEEKDLEEEGIRVVAQPGEGARAFERRIEWWKGLEQKALEDQAAGEL L198_07245 MGAYKYLAELANKRQSEVSQFLSRVSCWNYRQLAVIHRAARPSR PDKARRLGYKAKQGYVVYRVRVRRGNRKKQAPKGATYGKPVRQGINHLKSPRGLKATA EERVARRCGNLRVLNSYWVNQDGVYKYFEVILVDPSHKAIRRDAKINWIANPVHKHRE TRGLTAEGKKNRGLGKGSKHNHNPKKATWRKHNTLSLRRYR L198_07246 MADFVKLSIFGTVFEVTTRYVDLQPVGMGAFGLVCSAKDQLSGT SVAIKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDVWSTGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPSDDVIQTIASENTLRFVQSLPKREKVPFATKFPNADPTSLDLL EKMLVFDPRTRISAADALAHEYLAPYHDPTDEPVAAEVFDWSFNDADLPVDTWKVMMY SEILDFHNLGDISQNEAEGPVTGEVPAAAAS L198_07247 MASRLAPLIPKRALCPSILRCRASPLLTRSQSTSTPRPPYLIND RLYPTDAWSNCPPTILSKTGRNLHLLPAHPIAIIRQIIEDHFSAYTALIPETPAVSVH QNFDELGFPPDHPGRSMTDSYYLNKEHMLRTHTSAHEVESYRKGLDQWLLSADVYRRD EIDSSHYPVFHQMEGTHVWSEDELRTLPELNAKLAASLASCPILIEDTTSISSSNPYQ PHHDPAHAAQIVQHLKHSLNSLIFRLFGHVVTKTGEPLRVRWIEAYFPFTTPSYEVEV WWDGKWLEILGCGVVMQKTLDQAGVSNKAGWAFGLGLERLSMVLFSIPDIRLFWSFDQ RFHSQFSAGQITTFRPYSKYPACYHDMSFWLPVGAVGAVADKGAGGGEGGVGAGAAGG KGRVFHENDYFEIVREITGDLVENVALIDEFTHPKTGRQSRCYRLNYRSMDRSLSNDE VGALQKQVQERVVGEMGIEMR L198_07248 MVASVSNTWSQGQGTAFIQAINSSGRPDVKLFQSIVNTIDSENP LTILSWVAHDSHHKPRDLFSPLVTSPTTATPLLPCSFTPPRRHTSISLPPPFGLGVYC ITFSSSATSSSPYCATPVHRRPNVACPHEPAVKFSSGSTKNSRK L198_07249 MPWQKQFDSVSHLKTSLIGNSVTIPISKGKFVLGTWQGIYLAEF RHSGAGWGGRGSGRKVVATILP L198_07250 MSSAHTITKGDFVLVTGVSGFIASHAAKEFLQQGFRVRGTVRSE EKGQYLTKLFEGLGEFTYVIVKDVNQDGAFDEAVKGVNAVAHLASPFYVFNIKDPQEL IGPAVKGTTGVLESLQKYNPDVKRVVITSSVASILSMDSVKSPYTAAHVEKNGLQSSG FHSYLASKTLAEQALWKFIDEKKPSWDAVAINPPFVLGEIIHQCDKPESLNTSVAAFY EWASGKKTEGDLPSPMGNWVDVKDVALAHVRALTVPEAGGNRFIVGAGALSAQDFVDG IHKNFPDVKNVPVGTPGTHDEICKVLDIFDGSKAEKVLGIKYTTLNDSIIQMFESLRK RFSTI L198_07251 MSFMPHTDIYPITKPTTATLLSPSPTDDKLVGFSERKKHFDSTA AGLLGLHFAEGNLEHRQLVELLVKQVHTLGWKYAEKVYYFGTVNALSERFTQLADLLC ERLLPDVQTNGIIPTQNARLLYRVIDEGSIILCHFGRIRLNWLDAWNIEEKGKIDIVE EVLSHLTWRLINLVNIFEEPELNAKKSWQKVISAMWKDDIEKRHRVTKPPPALADYYQ YAEYCVVQ L198_07252 MAVLPRQDAQPVSPSSSSTGSATSSTSSSTDGGGQYVAGSGSTS LYLFTFLITIVVLALISGALVLRAYVLRRRFRRRVEEAIRTGNPLPMDAAAALGLLPR RGSRKKEEKVAVMPTMWEGEMRRDVEKGWDEEDDLLNKGRDQWDGMIPVAVTHFPPPP PPPPPPPQTLPPILPQPPSLWRQMFTSSSSAVPSEQSLRPALNSTASSRSVPNMPKVV PSWSAPDTGEEVVVGVMIAMPCLESGEELWEAVEEGEERDMPEVSFGIMSARIGGV L198_07253 MASVKIFSLAVKTLAKPIANTIKAQATQHETFRTICMGLAQRMH RTEARMRMGLLNAEAGNVKPLNDTRAIQNGATTLAETFLFLVGAGLIVGESIRSSKKE SKRRDKVSDRLDGLEEEVKRLNGLLDEEREKGKGSVEEIRDRSDGMERVVTTIVQNGL KAGWLSLGHKDGEEGEIMKLLEANKTRSQVDS L198_07254 MRPPPTSPPPIDKKKSPPPQPPAPKRPPLPYSDNTILGFPKRRS QFESSYETLLDFIKPSQRGLDHKKLLLRLAEQVNRVGGRKYVGRQMGFGVVEYLAEKY AMIARRLCKAMRHTIGPGGQPSASQIRLLYQTVE L198_07255 MSPPLDLTPVDKLEFLILVDNCQSLFSTLPPEFTHELPQHLASP RNPIDPLTGLPMIDLDNYCCGAHGLSVLMKTTVGDEENQVLLDSGPEPSSIARNISAM SVDLTSLDALVLSHWHRDHSGGITQVLNLRQDQLHTQGRNPEHDKLKVDLHPDRPIRR GIARFPATEPHCVLPPDPTFQEVEGSGGKVDPHSDPHEVLGRDGRKTGVGVSGEIRRI VDFEKGVRGGVRWEEDGDGKGEWFSDTMIMDERYIVIDVKGKGLVVFSSCSHAGICNV ITSLLPLDRPIYAIIGGLHLVPTNIQPAAQTVDFIAHKIKPEVQYVLPLHCTGLEARG WLREEMGDRCVPAGVGMKGVFEGGSDEGYETAIGREMGFRIVM L198_07256 MAATQQAIEVDEKKVVGKSSEASVDAKEESTLVKDHDFYFNFYS SLQNQANMIGDISRTGTYRKAILGNAAIAFTDKTVLDLGAGSGILSYMSAQAGAKQVI ALEASSMAEKIEIMIKAANRGKNNPHLKDRIRVVRGMVENKKVQAEVLKTGKVDTIIS EPIGVMLLHERMVESFILARDLFLKPGGQVLPSAGHIFFCPFTDEALYTETDQKAQFF NATLFGTDFSELYDAAREEVFAQPVVGMFPPTSLVSTPLPPQQSFDFHTVTTDDLLAF TTPIDFVVSRTCLVHGLASWFDLDFNPRVPPKADGTHWGFPVAPSNAWQWMAQENPLN PGATPPPPADGLNVALSTGPDAVRTHWQQARLLLPEPLAVNKGERITGSIRFTVNEAR SYDLLLELEVDRPGLENWKGPNPLKRKANYLLQQQCFNYSYNPDAAAMGNLGLNGGLA M L198_07257 MSNVAVEEIHIATVNIKNGNFNGPSKPKEVWAEKPWKERKTRLV DALLSSGPLDILGAQEVFNWQLKDLAELFGPSYAHVGVGRDDGKEGGEFSPIFYDASK FDLVKWGTMWLSSTPEVPGSKGWDADLPRIATLLTLKYKDPSKKGELVHAVNTHYDHR GTTARAQSSLLVRSAIWQWVKDVEQAEKPGKVGPLVFFGDFNSPPEEDGYKNMTSLHP LPSGQPSFTFIDTYTHLLSLSSSPHSSASPLKTLQTRPYGPAFTYTDFAPPNAPQAKR IDFVLLGAEVDDKNNRGKARGGWEVVRYACVDNYVEGDVEGWNARWSDHRAVRATIAR PA L198_07258 MFLNTLPCCSYILLAALAGVTTTTARPHSLFTDNPTVLSRSNSA VQQIHIATVNVKNSNIAKQTASDYIWGEKSWDDRKTRLADALLSTGPLDIWGAQEVLS AQLSDLAWLFGSDYGHVGVGRDDGKEAGEFSPIFYNSTKFDVVRWNTTWLSTTPDVPG SVGWDASLTRIATLLTLRYRDGDQEGKLVHAFNTHYDDQGVEARANSSLLLRATIKQW VDDVEQDENAGGDAPVVFFGDFNSPPEEDGYQNMVSLSPLPSGNASFTFLDTYTHLLT STSNSSLSSTYGPLQTRPYGPAHTYTGFEAPGYNMTKRIDFILLGAELEEAQQGGGWG ARGGWEVSRFGVVENYVEGDVDGFNARWSDHRAVRATIAKQTSS L198_07259 MASFQPGGEKADQIREKIGVKTSDDKHAYQRTIEAIDSHKITQQ ASLIRPGHTCSLVTTSMPDDDCPTASAEYKIGWVNIHLPLIFDDGVKWIVRIPRRQNG DAPANLHNLVASSEAATLRVLHQNGAKVPNAWMPVEGNLDEAANGRYFFEEFVEGVPY IDGDYMKTLHPDRDRFAASLLEFAQHYIVIADIKLEVKGIGSLYPSDNSCGYTLGPIT SMGTFMRPEPPYFLGPFKTLRDRYITHIEQALFHIRNLSFLMIAPMRTYVWLLELQDI IKECEVLGREEDDFYIRHADDWIRQTMRDSEGHLTGCLDWEWAYTTTKAEAFSSPLNL HIAHPWSEGDNALNPDELLMIDCFIKLGRPDLGDCIRQGRIYLRLEEALRIDWELFYM NRRGNFNGVLAAFRDQGQQVPGPFGSDEELKVWLESLEKKRQENGELDEVRATWMAHG DVRDEADRRDEETWEDVVAEQYKKLGLEEDDSAEK L198_07260 MRPKAVTVVKEELVKAEMAKEGPWGRLQAPTPTIAVGTGTVLAG GHWGHQIMVRAHRGCDLR L198_07261 MASYTSAQSDDHLTFATPNAPSGSFGTGAGAVTGSKVPRNLSGI LFGRPNLSGSSESASLIPRERSRSPIRNYTANLDQITDEDESERGQSGGHDQYENSAS GAGQGRRPTKKKSLTLTYAKKIKQRSKYYVPITEWLPNYSWSLFSGDAIAGVSVACLL IPQAISYASGLARLTPVAGLWSTAIPALMYGAMGTCRQLSIGPEAALSLLIGQMIQEA VYGDPHSVPAHPDLEAAAIALITTFQIGVITSLLGLLRLGFLDVVLSRALLRGFITAV GVIIFIEQLVPMLGLATLLSHSVSPPTRPLSKLLFIFSHTPQINKATAILSFTSLTFL IASRVIKQKIAQRPGGFWIKFVPEILLLVVGTTILTETLGWNNLGVEVLGKIKGGSSL PFGWPIYSRTIQYFNFTLPTAFVSAVVGVVDSIVAARENASKYGYAVSPNRELVALGA ANLSGSVLVGTGAIPVFGSITRSRLNGQIGSRTQMASIVTSFCMIFTIFFLLPHLFYL PKAVLAAIITVVVYAILNEAPHEIIYFWKMGAWTDFAQMVMTFFLTLCFSIEVGLVVS VIFSLILVIQKSTQTRIKIIGRLPGTQTWVPLDSDDSAQEEIPGVLVVRIRENLNFAN TGQLKERLRRLELYGMGKSHPSDEPRRESAKALVWHMGDVEEIDASAMQILYELTKAY DERGVGVHFAHLGPEQMKSFEIAGITGILGPAHFHQDLSAAMREVETMGYGTSIFARF EDNA L198_07262 MPPTRSSKRTQSRVQEDTEASETSSPLASSSRPAHMAYQTPAVN RLRHAPRVRESLTRSGILSNDITSRADQVSGLAGNFLRRLYEGRGLTVAWSALNDCKV SNIFPPHAPPLPTYDEIENALHPDHDLDRDALFRAVRLSNLSTFLFSIFSPETASDTF LPFDLEEEDEEGVRGLSEDQMTLRARVRRRNYVWEKAWKMFWTMVVPEQKRDSERAMA LWLDFATQIHLRYQCPSPTDDPSDALPEISGILDNDFFSQSALLRFGLWTLPDDAGEK DIAKKARASNRWEDMAEKRIKDLREMTFEEATEEFDWEDFRDRLLAWVKKNVLMDEGT SVFTPPKGRRPSLAPLAYRSISPLKPLSKLPGPLRETIIPRQEGEEGKQEDEEQASDQ REEEEEEEQRSTDQDEEEEERANDEEEEEEGGEQAADQDDEEEEERAIEQDALTQVAS LDDEVFRFNPAPTHAANGVTGKKKFKFAETQDDAVQIGWDSQTPEKTPVPKRKMSGKG KGKARMVEESEEEPLRSDTDAQSSQSYMSENARQGGAISLDTDDNEDPDDLYLDDGQT DPPPLQDVKPAPSAPGRTTRAMSRAQSVTPAPAPATKKRRKRTGDKEDPEPVVPQAAG GQPTKKARRAKQPPPTLTETESEHDSEAETLATQASNRGRPAPGTTPSPAPSSQREDD EPTPRPRAGPSAPAIVTRDEDEVMEEEFDLGQLLSPETEPPHSAAIPSQSSQRSRKAT TRRDARRINEYTTTHDVDHDGFFEAMDAHEKEQSSKSKGKERAQEVTIEPSPPPALSS RAAQKPAEPSRPERSYRIGEAGPSRRPASSRRSTLPTGASNIDWHSPAFMGDDAPMAA QDDYDAQGEAMRENGYGDYDLNPEEEEEEEEENGHPSEDGAFATDSSDSEAALRKIRA SNKAIAARRRQQAPDEDTEDESDTQSSTGSSQGRVRLNRPAKKPRPLKKPLSPQSSQP GGTAKHLRRSRKIIDPDNDPCMLEDGQPLKPFKDLDAPIKYRPDIPRARYTAIWTDEL SWLLYRTVQKCPAWVRFPLRIVWYLHGANGRLGNEFAGFDMAQLKSRMEEITDIRLHG GHPIEGNARGFIKSAAVKKSLGWELQREKRRVQAAIDAKLDGEESERLDEEEEEEDQA PQKKPSRPVKNTKKTARAPKSTKGRARKVAQSPDSPESEDDGHLSNAEAGPSNSIGNG KGMTAKRRKILKDMQADRDDESESDSESTVVKRRLTRSSGRVATLGNRRPYVEIPRSP RSSAKKAKQTSPSKLGAEDTQEGEEGKGNQLYGADGLRDELDEDDVDVDQQDEEDAGA GEAAELPPAGQRAPTVPDSSGGQPHVEGTPYTDSLEAANKEEEEEEPTKDEEQEEEEQ PPGQQQAEEEQPTGGEQAASGQSNASAKPRRLFGGFFSRS L198_07263 MFLSLPPAASAKLYGNVKPFVSFESTPSPTTPSSTASTTPSSSP SSNKSSSTTPPRQKLRRSPPGIDPAVASVLFLSKDRARHTRHHKSTPMKLEVSYKDHM GRKKGGMEAIADALKDQDKRGAAEKARERLAGIKSSPVPAPKRQRVEDEGVEFYPRSI DFFGDEDKKKGAKRANEKMREV L198_07264 MSHNSGVFPGFPSPSPITYTTTTTTTNNNTSSYGNAPGLQLYDI SCVFPASSTPSTINYTSITNDDGNVLGLQLSALTPFPASSGDKPKPKARSSTHVTNTN NIKARGKEKKKHAEGYKRKSMSCEHCRQRRKQVSILVSISPSESDSTLQCDGDNFNAC TLCTYNGIECKYNVAKGKRGSQKALKKLAAMGRAPSADGIIIATPSTLSLASSSSTSS MSPITPITPAKSTVELSSGHSPASVSAGHTSGFPNPQTFMPFVPTTQVQPPVSQLSSA VDSNGLLAGWQIAQLQQALLDRVDRCFSNSAAATAASEFDPYEPPTPRTTPVKPTYVR PTDVSPIQAPTFSLLNASVPSTLPAMDVTRPQPQLPAFDPQTLSESERLEMEKLAMNV VFDKGSFSAAPEAPCEAAPVASLATPDTTPLKPTVEMSAVSINLSYADFSEPQPTPWL SAPQIQVEDEAVPEDEEDVDWGMYVNMEEMEEVDDGGSWGALESPFNNTPFGDSFTPI ADEAADNGMRGLWNWE L198_07265 MADIQPVQAAKPYVPTTTPAIPNPPLPVEGCASFSNYELAASLL VVPYILQKFIPFAPNGWKTYWFLFVLSGVPTAIGYWYLKSKFGKPINEKLALPNRGLE YYLDIKDKALRDKYVLGGKKIPMQVFHDAYFDKKIEFKGDILDVLEYRHDWASFEFTP ELFRYVFMNLIPDVVLHSQSQDEEQVRDHYDRGNDFYSWFLGPRMIYTGGVVTDLTRE ETLEELQDNKLRLVCEKLELKEGDTMLDIGCGWGTLATFAGKNYGVDITGVTLAREQT KFGTERLRQNGVPESRGRILCMDYRDIPHAEGKYNKISCLEMAEHVGIRRYSKFLKEI YSLLSDDGIMVFQVAGLRTCWQFEDLNWGLFMNKYVFPGADASCALNWVIAQLEHANF EIKSIDVLGVHYCATLHRWYKNWLSNEEKVKAKYGDRWFRIWAFFLAYSVIAARQGSS SVFQITCHKNLNAFPRILGVPSHTSLHAPLRKIEPVVSHTEMWE L198_07266 MIEPVVPLGPFKHGMAWVAYRFTSTVLDDIWPGVVFFTGVAVMV ACVSEFTSVNLGINSVMLTVLGTIVSLVVSFKTNNSYSRWWDGRNGWSNLTTHCRQLA TLIWIHIPNAPAPKPDAPKPEPAQPPQTPDAASKSGKSPFTPNSTAQTLSPSSVAQES EEDVSTGYHVHGWRTPAQEAEAKKRFEDDMAARNDQQAREDMRGMIEKKTYIGLARLS HIQAFAVSMKHALRGERGPFYSDLYSLIAFLPKYNPASHPPITRDHLLALWQNGIPRQ KSSRATDDIAVPLTVPIAFTSDALNQPNLPNPFDDEEKRIGEQVYGPAPDDYKEQAVR NASEYVGTDDPEVFVVTSKRDGRKSITQRRSIQVQGRTGQGGEQVTLETIELMPPRHP PPPRIWDFLPPLRIFKSIYDMLNWKKKKEISERAKGGKRRRSAMGTDMQIPQEILMYL SMYTADLTRRGLMPGPLTTAFANAILELQRAISDMEKIATTPIPSAYTFHLHLTVYAY LFFIPFQVYTYIGWVVIPATAVASIIYLGFLEIGMQIEMPFNYDQSDLDLDQFCLRIA HQIAQLTAFPTNIPSQHVILSHLNQPFLPTLRASAPDILGVPERQPMPNPRGFASSFS TYDKPPPSSPSSSSTEAQQRKPEVKQMQKSMRDVELVLNANWKDVTSDARNVIGKPRD QLENRTGLEVAVLML L198_07267 MPKKTYQITTLSPLPVEIRLQILDDLDLVTDKSALAVFARLSRS FHQKTIPKLYHSVSLSARNATQFFSGLPRQSVMISRAEGQASLSEEERQSWWEGKLQD KRSSTARRLCLLGFVRELVFEDLFAIRACDQADRALGSLGLAKPPESWYPPEARYWPH PQPYYVFHGDVPLNIRFRVFIPQNPYEGVSAESVPKHWQQLFIAKLSKQCSTLSLPQP EPGSEMFNHFGSMFACISSKPVLCFEDVDPSVFLPIAAYRIQPLVILRLRDEKDCREP HWTGVLKCLRSC L198_07268 MTEEDPSSPQRLPEDVMYVLLGHVGATFIPPYLAPLLRVSRFFH KTLIPFLYRLAILSRRNVSGFFYWLSYTDKIDDDEREQWWQGDLADKKSAVARRLAML GHVQGVYFEDYVTARMFNSGVSAFLAQGLDSPPLSWYGYTPSSRDDSSPARRLLFYGR APTIVHLSHKFLGGLASTALKDEDRRVQTFQSMLGPFGVLSIRQPEDLRRPHLSYVRS YVGPICRRVKPLVLLINDYDNSGLAEILSSSQRGIAMRLRGSADTRSIAQTDEVVKFL SREPLFYIIYNFSMDEAIYDHSKGERVRNLILNRAFAAASQSPQERIVRLKVIMQGPQ SDAATLPRMMYDIFEMMKKG L198_07269 MTDADTQKLPYLPEDVLLIILELVESTASPSTLAPLARVSRSLH RRFFPLLYNSPILTQHKCSGFFSGLLDGSIDEEEKKHWQEGELEDKRSPAARRLIMLR YVWKLHLDDLEAVEVCHAAIGAFLELRLGTPPRKLYKPRILDPPPSGFLFYAPIAPTV HLSSTLLDAWRSSESPCDSMDEWFKLFKFITKPYGVLSFQMPEKRPGTEAEADGATYQ SFLESLCRKMKPRLLVIDDIVPDDFGGTCLDYAVFASQRGVAFRYRDSADAEAIVHTR GKVAELLW L198_07270 MSSSRPSALPHLPHDVVLLILDHIDDTAGPSTLASLARVSRSLH RKFIPLLYKSITLTQHNCSEFFYGLSPADTINEDEREEWWEEELEDKKSAVARRLAML GYVQNVRLEDFEAVTICHSAIATFLSLELKAPPKSWYDPRKPTDDTRSRRLLFHSRIP TNVHLSSNLLDSLRTSEAPLNTKTWWIPLLQRMLRTSGVLSMEQPEGPAMPYVSRCLE PICRQICPRLLVLDSFEEGGLGSMLSASQRGVAIRFRDVDVNSRINEQYFEALNLISI HMRRTPKPLYYIIYNVSSKGVPVSFGVPKHKGVPVPISEKERIRVSIVSYAFVLAAQS PRQRRVKLKVVMAGVESEVSVDKMRNDLVEFMKTG L198_07271 MPATAPSNHPRLPPEIMLMVFKHLERTASPSTLATAARTSHSFH QDLIPVLYRSPTLIKDNCRSFFHGLFSTQCLSQEEKDAWWEGELGDKKSRVARRIAML GLVQNVFLADLQAVRICKAAVAALRAKGLEAPPNDWYTPHMDDSYPRILLFYGRVPLN VHLSSKLFLSLAGESERLTPTLIPDALGGMVRPSGILSVQLPREECNKMPYLWRTVVT PICLEVDPRMLVIDDVGKGAHVTSPPFCPPGVAIRFGNDVGEPEIWQVLESFYRKYLH SITQNSLHCIIYNYPPKGLAELKRQDTVENAILSKAFSKLHQSYAPREVKLRVYMEGA VKSNVSMEEMGNELREFMKSA L198_07272 MWEMHSILSSAPAVGVGSTTSDVAGALGATRAKDDVWLVQVVLM GTVGGGTAGGVAEGGECFGGGERPSGGRGASSCDRRLNDPVYIRYEPPTQSDDSALGP DFYRSRGRLVYQLSIALADVFWAPTTQCWAYKFLYVNVPTRAAYRKSENNLLPKERAL VSDFRPPLGRSPPPKHSPPSATPPAVPPPTVPIRTTWTNHTSSLALVAPKTPATSEVV DPTPTAGALDKMLPAVPLPTVPTRTTWTPHPSFFAPSS L198_07273 MTEADPSSPQRLPEDVMCVLLEHVGPTASPSDLATFSRVSRYFH KRLIPFLYMSPTLTRRNVSRFFFGLFYFDKIDDDEREQWWQGDLADKKSAVARRLAML GHVQCVIFEDYLAVIMCNSAVSAFLAHGLDSPPMAWYGYTSSSQDRSSPARRLLFYGR TPTLVHLSHTFLGGLNFNAVENKDLRVKVLQSMLGPFGALSIQQPVDRSQGPHWTYVP DYLGPICQRVKPLVLVIDNYDTIALAQILSPSQRGIAMNLRGRLIAQTDEVVKFLSHH MRLTPEPLFYIIYNYTVNEASYDHCNGERVRKLILNRAFAAASQSPQKRIVRLEVIMQ GPQSNAATMERMRYDIFELMKKG L198_07274 MGKSVNKKKSQSGLSTPPTLSTTPPNKALYPTISLRSSSPSSIA SRENDHAQGSSAPSTQLSARSSLMNVLTKPRHSPMSSISGGEGLMTPEEEYSDLSTVS SYASSIISGTHNKESVGVEEIAKVYEDYLETPFVQTQATIKHSEFGHDNNPNWRWTSQ WNPNEAIHAEEEPQPSYMTLLSTYTSYILLIVIGHIRDFFGKYFTPQNYAHLMPQNGY AALNSDFDSFYTRRLKQRLDDCFARPTTGVPGRTVVCYDRVSNDQNNNFHFTGTSTRA LNVSSYNYLGFASSTGGCADAVELAIKRYGVASGGVRHDAGTTDLHIQCEKLVAKFMG VESAMIHSMGYATNSTTIPALVGKGCLVISDEFNHASIRTGVRMSGAAMRWFKHNDMK ALEKLLREVISQGQPRTHRPWKKILVIVEGLFSMEGSLVPLPILMDLKRRYKFYLYVD EAHSVGAMGPNGRGVCDYFGIDPREIDILMGTVTKSFGAAGGYVAGSKELIDRLRVRS HATAYAESVSPAVLAQIIASMGSIMGIAPPLAAPADDDSDALSIASRPAVYGPAPASL LPPWLTLPPQLLNGTEGRERLRRIAFNARYLSSGLRKLGFIVYGNRDSPIIPLLIYQP GKMGYFSRMMLERVGADKTPIVVVVVAYPATPLITSRVRFCLSASHTKNDIDLILRAC DEVGDVLGLKYARQEMSVEEVVGSAEELVAASSV L198_07275 MPPRQHPSYPTAPSYPTAPVSQGNGNAWGKGHVPPVPALPDWSP PPVPGAAAYSPSVGGTSIADTLRGNTPYRRRRRQNKDPLATPGTPGTPGAGAGGGADG EDWAEVEPDEVFRRLPVGEVKRIEAKMRSEALGKQSELRSMVGTRYRDLLTSASQIAT LRSSSLRLSDNLKKVVSGCLDSTSLGDGAHRDGVDDDAESNTSEGEEVIHMLPVAAHM KLLLDAPEALYALLGSENYLQASFLWLISRSTKESLSSLPPAQSAPYLHLFGKQWELL APLRGTIAQRAGESLKDWQADGESSSSSEKEKEKEKENQVAECLLGLVLVEGLAVGDA LALFLNQRAKAVKEILNHPSPSSSSSSSKRQSLSPQTSRRSRARTNSLLSQNPTNPNP NPTRERERIAKALVSAVQCLLQTAQTARAVFGPRPRASGVKEGNESLFEEKIRLVQKG ESSAGGLGVGVGLSPLKGVQTQASGQASKHQRRTSRLFSISLPLPVPVPEASPSTDKH AQAHHSRPPISALQTLQPLPSSQILLRHLPTPITSFTPFITPGPRVDADAVVQAWERA LVGVFGEVLAEGWLGGLKSVRDVWFVREKVLDVLRSFSPSPSPSPGSSTVCGEFEKTL ESGWTTRVESVWDENLAWLVTSIHSTVLSGATEYNASDSGSGGDKDPQGWLFQELELP FDNLPTSSSLLSLSLSLSSPPAPASASASASASGPNTTPTPTPTPYKTFLTSLRARAQ LRTPALDRVLSQIEALARGLREDLLAVDLPAGLRERYARKAGAVWGDVVGALERVLEQ VGAVEGEGEIEGEGEGEGEGEGKGKGKAGKELFVGRVALYLSEKSVFLQDLAGEAALD RVVIEKVQKSLLDIHARSTKTWQKASITRALSLLRPLFSPLRTSIEITSFWPVSPSPS SSPSPSHAHAHAHAHAHAHPASPSHPIIQALSALAKATTELGIPSDVVQRERVGVVRG LLETFVEGVAGLPGWGERLAILSDRDRDRDREGGGAWEEQGVVDISFLLFLTGSDALK HPLVQRLLRTLPPASREALSGSLQGTNLRTLRQTQLLLHPLTSHLSPGSVSVGGTVPV PNGRESVAKAGGKAVGGGGGGGGGGYRPDKNGFLLRFGAPVTTSGTGTGAGAGGGEFR SPVPVGKIGKRMGLLSLAV L198_07276 MAIVQDITAEEDQVASSLHELLASLRTLVKGLDLPVNVFNETDP FALSQHDSITFLSIKQISTTITEIDEDWGWDDVSPEQQAQLLGPIIRLSGDDPWSSPS IRREIDSIQPHLPKSLPLTLLHSLRPSFAPHPSLSSASRPLPKPTAGSEGTIDMHDVQ PFKDVSSWGVANILSWSASRLTQEEIERYLGIVLPPTLVLMDDYEPRWREKGISALSS WIFTLPAQTLQNMRLPSLLLPSLIHSLALHPHPPQPSILPTTLRFLRYTTEAGSEERA RWVGEIVEKRLVDGWVYAKDGREGREVRIGLAREVEVLCGEIGTGIARWTRQLIPNLL NPLQYAPTPLTIPHLSSNLSALLCLIKTLSPTGLGGRWRGKIMNVMARQWVLCKERRG VDDGDDVIVKPIMELIQRIINELNKQFPGDVPRELGVLRLVVKGGQLDGLLLGLGLGP CLSSSSSSSSTCSPSPSGL L198_07277 MTDPVDSAVQYKRSHPKESFAKVANRFNVAPTTLNNRYHKKHAS HATNNPRKLSVIQEKVLVDRINTYAERGTVLTQARIRELGQALHGRALGERWGSTFVE RHREELDSGFYGCVEDGRQGAETSEDETYDSVDLSNPPSQASQPPPPAPSPGTPLGPR RRGRGALRNWKLDQIVALAQSEAEAEMVKAETVRVEKELEDVRAARAAMALDWDTGED QEAVQDLPWGLYDVKYRDDNREALRKRKER L198_07278 MTEADPSSPQRLPEDVMYVLLGHVGATASPSALTTFLRVSRSFH KRLIPFLYKKPTLTQWNVPGFLFGLSSTDKIDDDEREQWWQGDVADKKSAVARRLAML GHVQSCVFEDYDAVIMAEPLVLVIIEYDNINPAGILSSSQRGIAMHLRGSVNTRSIAH TEQVVVFLSEHMRLTREPLFYIIYNFSMDEASYDHCNGKRVRNLILNRAFAAASQSPQ ERIVRLGVIMQGPQSNTATVERMRDDIFEMMKEG L198_07279 MTSVIMNNNNNCEEEFLPSYEPHGFDDSSSVYVDYVGYEDYEDE SDDESEQTARNVPNGLILTCVQNGNWFLGSHFSAAHTHIYVCRNPSSISPAARSTVAS LASILELAYQPSLHESPMWVAGKLEDDIKWLKGSIDKFRGFTHIRFKDRKAYYKAVQA VKAAAGTCRSPKDREVEMIFPGGVRVEA L198_07280 MHYLTLSTLVPPFLSTLTSPSLLTYAGGPSTVSHIMDWRELAAR PTVHKISFPGVEGWQKLKGAWAGGKKVGSNVEEEGDGDDGVVDLQKEREREEWEYGVD RKRGWIIGATWLIAFAVDIIPLYYLIRRPTHILDFALTLIFNHFILTTYYSASFPTSF FYWIIQALGAVMMIVSAEWLCVQREMKTELDIGFTPNMEEGRALMSDPPFVPSSQAGP SRSRVEEIELAER L198_07281 MSTTAPSNYPRLPPEIMLMVFKHLERTASPSTLATAARTSHSFH RNLIPVVYRSATLTKDNCRSFFYGLLSSQCISQEEKEAWWEGELGDKKSRVARRIAML GLVQNVFLADLEAVKVCNAAVRALRAEGLEAPPNDWYTPHMNDSPPRTLLFYGRVPLH VQLSSKLLISLAEELPPDRVTPTLMTEALGGMMRPSGTLSVQLPRGYYRDSDLPRSAP SDAGDRSR L198_07282 MTDADPPKLPYLPEDVLLIILELVEPTASPSTLASLARVSRSLH QKFIPLLYKSITLTQHNCSAFFYGLSSADTISEDERDEWWEGELEDKKSAVARRLAML GYVQNLRLEDFDAITICHSAIATFLSLELKAPPKSWYDPKKPTDDTRSRRLLFHNRIP TNVHLSSNLLDSLRTSEVPLNTKTWWNQLLQRMLRNSGVLSIEQPEGPAMPYVSRCLE PICRQVCPRLLVLDNVEEAGLGRMLSASQRGVAIRFRDVVDVDSRINGQHFEAMDLIS IHMRRTPKPLYYIIYNVSSKGVPVSLGVTTHKGVPVPISEERIRISIVSYAFGIAGQN RRQRRVKLKVVMAGVESEVSVDKMRNDLVEFMKTG L198_07283 MTEEDPSSPQRLPDDVMYVLLGQVGATASPSDLAAFSRVSRYFH KRLIPFLYTSPTLTKQNVSGFFYPLFDTDKIDDDEREQWWQGDLADKKSAVARRLAML GHVHCVVLEDSFTARMCFSAVSGFLAQGLDSPPMKWYGYTSSSQDDSSPARRLLFYGR APTIAHLSDTFLAGLTFLGLGDEYQRFQTFQSMLGPFGVLSIQQPGQDLGPLQSYVPY LKPICQRVKPLVLVINDYNNIRLAEILSPSQRGIAMRLRGSMDSRSIAQTDEVVEFLS KHMKLTREPFFYIIYNFSMDEAIYDHCNGKRVRNLILKRAFAAASQSPQKRIVRLEVI MQGPQSNAATVKRMRYDIFEMMKKG L198_07284 MPTLLITGITSYLAPHICEPFLRAGWDIVGVVRSKAKGEAVLKS VGLREGVKEGKVRYEVVPDLATLGEKQWVEILGGVDAICLAHSPSPMDFSAKSYDHFR SPSVDAVKVILTAASKVDRIKAVTLVASSSGVLNILAGPDEHAGKIYTAEDYIPWTEE DAIDPAKNFHSGHWYSISKKYGELAAFKVKEETGSSWSLATFPTERILGPVTHVSFLA DLKKAQDPSTATLVQALLGGKDGELPFDFNPLYVDPQDVAHAVFSATSSHANGRFLLT SGKFSYQQFVDYARKARPDLGEWIVQGKEGGGVLKDVYGFDAGESERVLGVKYHTLEE TARNTVASFEKLGAYAV L198_07285 MDRTGIRSHPPLPNDAILVILEHIALDTTASLSHLANLSLVSRS FHQTATPLLYRNPTLSKRNCFKFFRSIFSLDEIDRAYKEKWWEGWLGDKESTAARRLA MLRYVQNIHLADLEAVIMCGTPLGILRLHRLQAKSKKLPMPPTDDSSANRLFHSRAPT NIHLSSDLLTSLSRTELSEVDRDCFLKIINMLRGSSGILSVQQPKRRPLGVAAAIRNY LEPLCRLVEPQMLVLNDVQGADLSAALSVSQRGVAIRFRDSRDRRSIGQCSEIVAFLS RHSTLTREPLYCLVYNFSDDGSFKRPEEAERWILRVVWTPPRPFDDERGVRLKIVMEG AQSNIPVERMGDKLKKFMESV L198_07287 MSPSASPSASPEPAASSSTTAAAAPAPKVSQYQQGRKQQAKENG GRRRAGGPGKKGKVFVEDKKDLLSLISSISGEAEALSTSRISKVKDVQQEKAVQQFKR NQDVGAAGGKTLSGAERKKRARAKELKEAKTKVLEKEKAKKDRKAGKQTPADGKPAKK SVGFA L198_07288 MSLPILPILPSLQTRPGAPSRYSSIRHRRGWLASAFLGETVGVF QLVGGEVGVHEEEEEEEGERERLVVQPGRVEE L198_07289 MSLLRLAPLALAVVPMVAADAAQFTFAWPGSPRLCDVVPLTWWG GEPPFQAWLIPTGGQPFIYDIPDSYYINGTGTYPILLQVSDGYAYTIMMSDANGIASG GTSEASIVQPMLSSPNSNTTLLSSQAPCLRNASENSTSLDFTFSVSGQVAQCATGLEV EWTGGKEMEPYNISVIPMDQGFSPWEIVMEKGTSWANRFLVNMTAGSRFTLMMNSKLG YGRGGVADIYEVTPSSSKSVNTTSCITQPSLPTGSWPAAATILSPLPSASRPPSPSNS SSSASYSNWGSPAEKKGKLAALSIGVTLLIALLAWGIWWLLMRRRRGSRMRVREKEGL ESHFLTTTTASSSIVSPFSPSPSSATTPATNLYSLDLASSSGESVLASNTPSAGIRKL DTGRGSSLIGARDRSSSPASLRRTTSLHTLSDSQQMAEVQTEGGEEEEEKHQSYLPTP TPALRLSNPDTYGDVEADPQSHSLSTIPSSPHSSNRRRPLRPLRPERTYRRHADAGRL PDPADGRVGEGVVVDLPPLYSDVPRDRDGDGDGTRLGGGARE L198_07290 MPSPVPIIAITGINGFVATELVLLFLAREWHVRGSVRTPDKAAA VKTHPAYERFFQQGSLEVVVVEDLDESDLGPLMEGVQAVAHLAAPLPKLDDPSLTLDD FKRPIVEPVLRILQQAHKPSSTIKSIAFMSSAASSVDLGAPPGKVYTENNWTPYTDED CKSLDPHQNPMASVIWYFTAKKQAEQAAFEFHKIHQPAFSITTFCPPMIYGPAHYLQP GTNMSTVTGSQEGFVSLFKGRDAPLPGQFFWSFVDVRDVVDAFHVALTKTVSGRFLVS GHEYTWQEFTDKLQLIRPDLSSFFPLGQPKCKSEGGGYTPAKWAFDSKKLREELGIEC M L198_07291 MTEADPSSPPRLPEDVMYLLLGHLGATTSPSALTTFLRVSRYFH KRLIPFLYTSPMLTQRNNFGFFYPLYYDDHKIDDDEREEWWQGDLEDKKSAVARRLPM LGYVQCVSFEDDVTAGMCNSAVDGFLAQGLDSPPREWYGYPCSSQDDSSPARRHLFYG RAFTHLHLSHKFLGGLRLYLKPENESRRVQTFQSMLGPFGVLSIQQPESLRGLHGYFV PHCLRPICIRAKPLVLVINDYDDIKLAYILSPSQRGIAMRLWGSVNTRSNAQTDEVVE FLSSHMKITHEPLFYIIYNFSMDEACYDHCNGKQVRDLILNRAFAAASQSPKERIVRL EIIMQGPQSNAATVESMRSDIFELMKKG L198_07292 MTILWASHHHQLPAKPSGVSKYLTVPSSPAEKQQPSLSQSSPKA DEISTSTCISRSSSSDSLSSTASTTSLAYQLPPFPMTFPGFDVVDLFKLVQRGIFARK GGLGDRSQEVKEGEEIEMMDTGKSG L198_07293 MESSPLLPKMPAPANIWAAYNINRYLVGVGLLLGVVVLWTASNF ITAGLETGDDAYNKPFLITYFNTASFTVYLIPTMWRRYRNRNVLQDDAHPTPSAGYLS LPASPSHRRSISLPRSGAFPRSRSASPSARLIPLPDSLNPAHPSFDQDRPPLPSEEGV TVHVLPKLTVRETAEIAAWWSVVWFVANWAVNASLAWTSVASVTILSSTTGFFTLALG RICGVESLTTTKLFAVLASFLGVILVTHSDSTISPSSDPSFPLPIDKPTHPIFGDVLA LTSAAFYAIYVILLKVRVVDEERADMQLMLGFAGLFNTIFLIPIFPILHYTSIEPFQL PPSPSAWLICLINFFITLSSDYLYVLAMLKTTPTLVTVGLSLTIPLALVGEVVLPVAG MGVTGWSVAGAGMVCCGFAGLGWQEYVKNAEGEGGVVVVAEDGDDGERV L198_07294 MSQYLDEQTPHLRHLHAQLALPSSDLDADLARIEQAIKQVITGI IREREAQVDVLKDDIEGRKRDVAGLGRAVGERGRRGGEVGRREGEEGEGETLPRQLER LDEQADQLKRIYDERLAHVQTQHQTLSHLSTLLGPPFQPPAPLQPIASSSKSYQHQHQ HQHTEGEAGVERERKKPRETIAQAIANGHQHQTQGKGQGGEKGVWYDVGEGVSDELDT AETRRKNLCQAFFNLTWLHSELALPPIPTSTPHLFPSHLLPPYEEEESPGLYASYEKL LHRLISQNPLPPGESEDWPEVDSLEGMEDIEPEIPLIEWVDEVTELWAGLKEEHEGRI QEMYNLVEPLWTKLEVDQETMDCFVEMNRGSGDATIAAYEAEYSRLLDLRRASLSSFI LSARSTISTLHSTLIYSPPEIALFPALLDENYTEDLLALHEQEIQRLEEEVEGKRELL PKTREWFTLVGEEEELEKNAMDPGRFSRRGGAMLREEKLRKRVNLLKPKIEQELLTLL PRWEEDNGRPFMVAGERVVDKIQMALEEKEMAKEAKKRAKQGLGPLPSTSSPSSASAS SSARPLVPSRTVRATPAPAGRSTSTRATATAQSTAMSERQVLGKRGAPGPTPTGHGMA KRTRGEAPSAGVGIGTGTGAGAGAGSMRPPQKSGRSVSTSTYHRPTALHNHHNQSAAS PTPFHGHGTVRSVSGPHHSSTTTATAGGMGMMMTGKKTGLGLGGLGLGDGRRPGAAAA GMGVAGRRKSFKPRASVAPPSLGAGAGGGAGGLGGWREEDGNGDGDGGDDDVF L198_07295 MPPSPKPVNMDAAKKKALQQYKNDDGHFSLVRNFRLADLITIMN GVCGTLSILTSARFLLLTSNLPGPPSSSALNTLYFAHLLPILGFGFDALDGKVARWMG GGSMLGQEMDSLADLISFGVAPAVLAFTLGLRTPLDLAALLMFVSCGLARLARFNATV ALIPSDASGKSKYFEGLPIPSSLGLTSLMAYWVKQGWFETGLSLKEGGGLPGGVVRLW GVEGGWGEVHLVAGVFAIWGATMVSKTLHVGASSSLCLSFTNPPMQVPKL L198_07296 MTPPRPLTLAFACLVRAACLSTLFLADGPQTEFTFQWPDETREC DVVELTWSGGKAPFEVWLIPVFGQPFILSIPDSYYVDGQGSYPIELQISNGYIYTVMM SDANGIGTGGTSEAFIVQPMLSNTTNTTNTTTSPSPSPSSCLRSASLNSTSLDFTFTL SGSLSQCSPGLEIAWTGGEEMGPYNYTLVPLDRGFMGWTVKVPGSGEGGGEGWLDDWV VNMTAGTRFTMIMSTKTAFSALQKGYGRGGVAGAYKISPSHSTSSGTGTSNDTDSDTS CNLVQPPLPTGSWPASAVLNTLPTASLSPSSNSSSSSNSSASDPDEVAAAHRRATAGR IAGTVLGVLLGVGLCDLGGWFWRRSGVRRRLGPVGRLGYLVCI L198_07297 MVSTVSGGCSGMREKEWRVSRRWWARWPSYLTHVLVLTALQSLY KVADISLAAFGRKEIELAEREMPGLMYIREKYGPSQPLKGARIAGCLHMTIQTAVLIE TLTALGAQVTWSSCNIFSTQDHAAAAIAATGVPVYAWKGETEEEYLWCIEQSLKSFPE GAALNLILDDGGDLTTLVHEKHPELLANIKGVSEETTTGVHHLYKAFKDGKLKIPAIN VNDSVTKSKFDNYYGCRESLVDGIKRATDVMLAGKVAVVAGFGDVGKGCAESLRSYGA RVIVTEIDPINALQAAMAGYEVTTMEEAAPRGNVFVTTTGCRDIITGAHFEVMPEDAI VSNIGHFDVEIDVAWIKANAAECVNIKPQVDRFTMKNGRHILLLAEGRLVNLGCATGH PSFVMSCSFANQVLAQIALWTNTEAYPLGVHMLPKSLDEEVAKAHLAQLGVKLTTMTK VQADYLGLPAEGPYKPDHYRY L198_07298 MPSSFASLFCRNKASHVDPLPAGYSSRNGALGPTFVPLQPPPDV GLDPDTFREISEAKQKIDLALSHMRLVMSGQGRGRSGGNSDELNLVYNCIRDYSEETL NRWLNTYNDYTAALRQLGQAGKYVEHQQRSASGAWPTPARGLNDSTSRRRAMPLVRQE TFATLPDVSQPSEVAQTFGQGYDNPQILPPDHPFFQSPSAAAHASDAPPPVPEKPRAA RPVSFQPPPVSKPSTSEIPTRPRPSSWQVAQETSGAFLKAVERLSASESLIPQEYAHL LQRATQQEQPDTSQPISPTTTQVASADSSLNANGMSATASRGKRTIPRVRPPSRDAPM GEKSSAFQQITKMISNPDPSAPSTAPASSASSYRDASGSKASDSPSRDTIELAAAPHV NETPSSVKTLKATRAPPTPPSNSTFGQVTPTRTPPKECPAAHPVQHPPPLSPSATANL LTSSASSSFTDGNSDIRPTSLETTFEESLDPLSRPSKSPARFESRPRAKTLDSPAPQI NVNVPSPGLTMRPSEAHEPHPTPMQDKAPQYSRPTRLSDAGSAISPHLSATSLKPDSS IHTPLSETEPISPRKHHSDPTESSQRNLQDVLTPPSKSFSTYSTPRAGYTTPDGSPVK KSNASMFPRRAELGERQPLEERRANTEPQESVEVMSGNPQSVEVVDGNDAVGNSVK L198_07299 MNTSNDLKSSVALASPQEGQQSTTKDLPGEIVANGHQSSSTRPT SHPPSKERPSSSSASQRSLDHARPDPEEPSPEDLPPSQHYLDGRGNLFRVTFIGVACA TQLFAQAGTGLIMVPLYVIGPALGTVDPGQLSWMVASYGMTVGMFLVAAGRLGDLYGP RLWWSIGIAIMVVSNIGSGFCKSPIPFDITRAINGIGSALSLPNAIAILGRTYPPGQA RNVAFAMLGALAPAGFWVGGLIGALFGQLVSMGWIFWFTAILMTVFLVGGLLILPPDE PHRNPSERVFDYLGALLLALGLGLFNFCWNQAPVASRRWSEPYVYALFIVSFVFFGAF LWWERRMGKNALVPVEVLTRDSLLVYLCLWLGWMSFGTFLLYTTLFIRNIRNHHDPLT IVAEIIPIVPGGMCAAMLVPVLIHRIPGHFIFLAAMFSFVVGNLLAATAPTNSTYWGN TFFSCVLVVFGPDLSFSTGQLIVSDSVSKEFQGIAAGLVSMITNYSLSIGLGMTGTVE SYVRGSGTSTHDILKGYRAAFYFATGLAALATVVVALFVRMGKQDKHQGEKH L198_07301 MVLCLVSYCPTVSERLGGNQPDSWYKANHWARTTAIACFVLVAL VAIVNWTRRYVEYRPRKTTAFLRGLGYRHVAFIDLSLGVVLTLTTLFLALLVWCLQMQ PYYRRGVEWGNSPLGIRAGYIAQGLIPFVFVMGSRINPLAWIARVEASRWMVWHQYGA RVLLFFSLLHTFVLMYAPYRQGGMAWVTAYWNKLNLWKNFFNDMGLLINGTVALVALG WIVFSSFAKLRNWNYEFFVIQHVLSVTLFIMSIWPHLKMGMPDCIYYAYASIAIWVFS VVVRIAWESVEMAGVGKWKGTAMVEGFGGGDVRGIGGVTKLVVETKRGGWDVGTYVYL RVPSINPFQSHPFTIASAPPTDPKTPCPLTLLISTRSGITKRITNAAVNYPHKSIPVI IQGPFGVLGERLERFDRILVICGGVGAAFGWPIVSRFGKEGKVKMVWSARSLDSLKWF EDDTTVNRSSIQLHLTSSPSQIPEKSTESDTEIDCYTPLSTTGEEKRLQSSSVPSNVD VRTGRCDVRSTVRDYAECMGDGEKLAVIVCGPVSMLAETANAVSSLQWDIVLGRTGLG EVWMHKERFGW L198_07302 MAPSASKQKRLADKAAKAAEKGTTSSKSSTGASTPVTSVEGVTE EMAKLQAATDRGASGVLVSDPKGRDIKIDQFTLSFHGRLLIEGAEIALNYGQRYGLLG ENGSGKSTFLESIANRDVEIPEHIDIYLVSGAVEPSDVNALDYIVASAREKVERLEKL AEDMSTADEIDELGLEAIYEELEEMDPSTFEAKAGAILNGLGFTQAMMAKPTKDMSGG WRMRVALARALFIKPHVLLLDEPTSHLDLGAVVWLEAYLSTYNHILVFTSHSADFMDT VCTNIMDLTPKKRLEYYGGNYTIYTKTKSENEVNQMKAYVKQQEEIAHIKKFISSAGT YANLVKQAKSKQKIIDKMEAAGLVEKVEAPRLLRFNFEDIRRLPPPIVAFSDVAFSYS GDKKDYLYEDLSFGVDMDSRVAIVGDNGTGKSTLLNLITGALQPVEGTVNRHTQLKLA KYSQHSADQLPYDRSPVEHIAALYSDKFPDKDIQFWRQQIGRFGITGAHQTNPIKQLS DGLKNRVVFAILAMEHPHIILLDEPTNHLDMESIDALAKAIKEFEGGVVIVSHDFRLI SQVAEELWEVKDHKVINLTKQDISIVDYKKALAKRSQHQIEKAKLISKSASKGVA L198_07303 MSVSPEHVSQILSHLQLPASGTLPSPPLEFLTKYIQNLPSSLLE PFSFISPKERTSIPTIKRRRLIYSTTSPPSLSSAQGRLRWPLLWERLGGDPFAETSQN AEDEAAWANSSFMQGTVGNQQVRKLGGFLRLMEEDREAEDVRAAKRMERRLDQVGEEF EEESDDEEDAANGGEPRVEVAEDQEEVERVFERRLLELFLDGLDTIDYSPIDFEDPPG GDPIAIRDAEDRYFEDESPSRTPNGTAQGNLLRSVPANADEKSVQNGQGEYDY L198_07304 MLQPEYPNSLRSLQNDNNQLAFNGDPEASRRETGPGKGAAGGDL VDAVLGPGTASGQVGKQQEGQEQTSAGRKGPTVADVLTTERALSLWWGYARDSVEITQ RFESKTQSSTLLVPVDRAIMALTRKPCPSEGSSSEDAVLKFISAHIIDGTPEEGPLPT LLPDFSVYLVKDASAKGGWRVKPGDIEVLGERSGVNGRVLYLDEVLPYEKDQEVVV L198_07306 MGAAESSMFNSLEKNSNFSGPELMRLKKRFMKLDKDGSGSIDKD EFLQIPQIANNPLAHRMIAIFDEDGSGTVDFQEFVGGLSAFSSKGGRDEKLRFAFKVY DMDRDGFISNGELYLVLKQMVGNNLKDQQLQQIVDKTIMEADKDGDGKLSFEEFTAMV SSTDIVKQMTLEDLF L198_07307 MSTSLAPLPSSSSSSSLSQRRSSLPAALSTPLPSTPSARRPRTS KTPLSVQLFQKKHLSEFPHLYSIPDPDEQERLMEQEREALEEARRIGRFMRESILAKG EELVSPVQTPRGVSRPLSLTADKPFSLAEAAEISPFDPVEQLSSQKAARGSFDDVNRR VPRPLSLLTGKPLVTPSARVTSPTQELQSSGRRPLSLLSRRQLESRPMDLVRVSEYTA SDLSSANSLAPPVKYDMEQRTPDLSTPIFSDTYSPDPTIVTPGRAHIGLALEFQSPNG ESAADEEEPSSSEANTSNSFRNSTYSWATSFSGETEELRTAAQYVPSRASRENSVEKE EVLGTPDRAKQRRKRIVAIAHTARQLEGVGSREVEDPTLYSKLVKAWNDRPGVMPTEP VWTPAEEVPPLLPTPFSEVPSHISSESSGPFRYSLASSIHDLGEEGAVERGAQILSEK AWLKTPLYSSEAYFGAHSPSQPFPSSSFVPPKRGRDVSNSYEARESLQSEESEEERGP PVVTPKRVVRRVKTDISQLKDAKMDPSSFPEMPPTLGLGFSGTWLMANYGVGSSRSAA GEMGPDFQHGEGGVEIKEAKKGYKHHGSIHSLGDLVPQSPRQPQPPVTEGNSLETIDL TLSASRPTSCYNTPAGRRHRQSLDCDLPPPPPPARVRQSTDYQRSSLSRQPACWTPSI TSLPQHIEHQHQLAPPIDLHLPRTRVAHGWDEMPSPPPIMAGMGEEIDRSETEEGEWV EDLGSYRSSVIYSYRSRSPSPSQSPRLPIAQSPIQLQHHLQVRPKSVPPTTPVREEDH LAFPDMLNMPAREEVGRERGEERAEGVLRVKNRRPSCGPSSYPDTSAMGGAREGMSEG DNYDPEKATVSSKSQTSSILFVFGFLMPLLWLVGGWLLPSSSTALYTTTEKSARIPNW LYHPHPRWG L198_07308 MTLLRPRTAPRSLALTRTYRPISRFNHSSASTSATSQVASAVSD TVASLRDTFRQATEFLHSPLYDGVEEKGLRMLVFGKPGSGKGTLSSRLIEHYDIAFVS TGDVLRKEIADKSEVGKKAESIVASGGLVSDELMLEIVKAELDRLKGKSFILDGFPRT LHQGQLLDNVLNQENRPLNMVVHLNVPDSVIMARIAARWVHLPSGRVYNTTYSAPKVP GKDDVTGESLTKRPDDTPETFSKRLRAYYESTAPLLEYFEENYPESLHSISGSSSDEV LSAPATSAFTKQASRSDAASSASAFSSPTTIISASSSSPSSPSSSSSSSDAGDECPIE TSKQNAALDKLWPQLVDLIEPFKLERKTAAAVPGAEGLEKVRSAADDLRDGREEGVGR AEKV L198_07309 MSTPTHSGDAHASLPAQLNKLLSEEGARTPNGGNRIRKDTIGYK SSPFPLKAEQQASVTRILSESGFMPQELVDGEVNWFYNALGIENSYFLWEKPEAIADH VLALYSAKLLAYTKHDPEQLVIDLEKIIPEGVDKSREGAVWIHSSKAGISARDGPGAA VEKRIDELYLDESSREKAYRLETYRSAGSISSTISQQLRCYFISRCSFPNSPPVKTPE GTTDIRSVSDASFLEKASENTLEVYQRVMNEVEQRYGPVIEMFEVEDSRERRIVIGYK MGGTHKFFSALSDLYHFYGLYSARKYVEQFSNGVTIISMYMNPVPNTRAPPIEHSIHQ VVREASLLYVLPDNPFFSVTEDETNHAVQEATYAYVGWIFAQHFCNRLGQAYIALKNV LDEANPDHAEVLNKIKMRFREETFTRESIREVIQNHPDLIRMLYINFAMTHYPAADEA SQLTPTLSFQRLKTEQPLSDEDLHALIRKSAANQHAVQILEAFLIFNRHVLKSNFYQP TKVALSFRLDPSFLPDVEYPKIPFGMFFVVGAEFRGFHVRFRDVARGGIRIIRSRGKE NYNSNVRTLFDENYALAATQNLKNKDIPEGGAKGTILPSLGANIQQAFEKYVDSIIDL LIPGTTPGIKGKIVDVTKRPDPEILFFGPDENTADLMDWAAEHARSRNAPWWKSFTTG KSAEKLGGIPHDIYGMTSLSVRQYILGVLKAHGLNEKDVTKFQTGGPDGDLGSNEILL SKDKTVAIIDGSGVLYDPSGLDRGELVRLAKARKPIDGFDSSKLGEGGYKVLVDDKDF KLPTGEIIPDGTAFRNTFHFRVKADLFVPCGGRPEAVNISNVNQLVDAEGKPHFKYVV EGANLFFTQQARFWLEMKGVVLFKDSSTNKGGVTSSSLEVLAGLGLNDQEYINQMIFK DGKSSPFYQSYVKDIQQKICENAAAEYTCITKEWYRQKGSKARTTISDQLSSTLNQLQ HQLELSDLYDNVESRKNVLLQAIPKTLVDKVGLDVLMQRLPEQYQRAAWSAWVSSHYI YECSMEASNVDFFHFFSKLSV L198_07311 MSFVKHLFVRTDDPPCDLEKNAVPYSELSQTYSDPFSKSPVGTA TQRPSVRTPPLPPLSQHREHPSPPRFQRPRAQPPSPASPMTRLPEFSRFPQFSPTKQQ TYSSPDRLKMYTSLPYCHSYTISRRERDGSHHPVTTIHTKPQSGLSSASPAVSGAGMP PDLTPISNKHDPLLPLAIECIQSLCADADRPRNALTPSPIPTHAAASRTPSAQFLQVP QVTITDNTRDSTATAKTFYAFQEFGWLLEYIGAEGTERERFPAMTRESKASFVESCAR EKVNMAVRSARATLLAQGAADGGVGGEKGKIIDKLEELQAFFSEDGSREGSDGKATVE EEDTTLRGGESGKFDTSLDRSLNFSRPLRVDIPASTRSSQTSIFPSRAPKDGYTDIVA PRLTQDFNTGGLDLSDVVKVKRFSFEHVEHPLEDHDESEGAVNDSFASDTSEIAATLG TERQRRTRPPVPINSIRLSRWPSQVDPKYLSRPADWTPVKMQVAPAPFAPQEKDVERA GESWLKGAKVKTREEEMARWMFVLGFICPVFWIIGGWWVYPSSDKVERRQRPKSNTRS QARSTPHTSVDFETGTPFIPITPNRSSYPFHESVSSPTALLGQQSTDLRGNMVGQKTQ LSETGMGKKESWWTHEEPMVRYCRHAAIVAIPGMVFAAVMLVVSFVFIK L198_07312 MPLCLVSYCPDSAERTAATINADYWYKAQHWARTTAIGCLILIA LLGILNRSRQNVSRRPRKLTAALRAVGYPHIKCIDLSLGFVLGCFTLFIALFIWCFQT KPYYRPGVEYGSAPLGIRTGYIATALVPFVFAMGSRINPLAFVTRIEASRWMLWHQYG ARVILFFSVIHTFVLLYAPYRQGGISWTNAYWSKSNKWENFFNMDGVMINGALAIAAL FWIVFSSFAKIRNWNYEFFILQHLASILIFTISLWPHVKVSIPDAQYYVFASVATWCF SIAVRAGWNFMEAAGVGKWSGTASLHGFGADEDGRGGATRLVIKTKRGGWEVGQYVYL WVPAINPFQSHPFTIASSPPTNPSTPSPLNLLISTRLGLTKRIAQHALSNPSRSIPVV VQGPFGGLMEDLKRFHNVLVVCGGVGAAMGWSTASQVGRHGKNVRLIWSMKTIDCLSW FEEDSSFDRSNLTIHITGTGAPSSSSHPKRLSTEKTLAEIEAGPLSTKEESSQTPFTA QTASSTGDEEEKDLLPSTASPNLVYERCRINETARTIASQMDVGERLAVIVCGPVSML ADTANAVASLEWDIARGKSSLGEVWMHKERFGW L198_07313 MPRNRQTSTQNSAASSSRRPQISAPILQSENSFSSRFGPLASVR DTGSSASTSAPTAEEQSSPSQEASTRSAPARRDNRRHGMQLPSSASASASRNQQPFRG NAEDSDQSTEFEEDFSSSCDSCSEDDDDARRRESGSIVIGVETSMSRLGIRGNSGSQN GDDSGSECAGSCSSSGEECSESDSEEE L198_07314 MVRSITSDEHFKSVISGPAVVAAEFRNPKDASSRKMSSEFMLLE DSYPDAGVYKVDIRQLSDIAPDMDLPGIPSFIVFRDGEEIEFFLGEDTNIGGLSVSHL SVLGNGLSAETALSQTLLDRVSSSGETDTSLKENPQKR L198_07315 MFQRAQGSIDGTMEGDNAQANMTSRFSSDSSRPTTGNASQAPVT DRFSSDTDDRPFTGGQGSSGDQGMRSMWIDSSPDSATRHERDTELQRRQLRWQQRGHQ VGASEVSNAGREVDIGLETDPDTQQENLQRVQPVIRLCMAIRLYKISKDDTHA L198_07316 MQLPTLTHLTSYWDHLGTVWQITLILWSYLLYLTFATKSYRIRT ASAYLIGCFTFPVLTVFWGAMDSRGLALDGIAGDRMSLIYENGAYANIQLAQQNETFY SSPVPPTSAVGESKVYTPTPLVEQVGQVVFTCGKNVTSIGALTPTESALTFVWPEVDC AQDPFIGVQLTS L198_07317 MDLQWDYILKYVLIGDSSVGKSSLLIRLTDDSFNATEPTLGVEF GSKILHIPDPSPLPDVSPSGGGGSGSGSRGRGERAGKRVKIQCWDTAGTESFRSITRS YFRGAAGGLVVYDVTRRETFEHATSWLSDLRAHADENVAVILIANKTDLCSHSPPTLT PFGQALPPSSSDPSSSISPSSSQTKLKPRAVSTSEGQLFARQNGLLYVETSAKNGWGV EEAFEMTAREILGRVGREEAERKMKGRKGLSLGQGGGGKGGCC L198_07319 MRLAESPSLSLFGSLPLAKLDARLPVPSLYNHKQFMMSAQEEHQ EQDWQINDEDIGMDSDSDGDNDKYDGEIIIGGPGPSEEDEMMMEVEGEGEQQREDISV GVNSCPHHIAPSRHRHRTSQQRRIATLLLLFFSSPHPSSSRSPSSHQPSKRQLPSNSP LRPSTSSNRSRLTNANSISPCPSHSSSPSLLRQQPPRQPTRRQAAGAAAVAGAGADTV GVGEAAAVAARAGTKEHEVWGD L198_07320 MTHEHQNVSLSSSSSPRFPREPTATTIPVINATTTPRSAAFTPA YDLSGATSVSTLSSKKTDAGKSTRGMGSARGGDTAGSAVVDGAAPRRSFTQPRVLTPS TAAGDISASPHSRERRYASLPPTFGPSHHSPFSPSHPSLPLGARAGTSHVERNCRVNV REEDGSRGEPRGDTLSLPPDASTLGDRRLLSIASIINPPSASRTFADLEPVHYLILKE LFLLQPVKVICLSPYLYQIFIPLLYHTASLTYSLFSGLTGFSSKIPVFHALSFLEVLH IKDLNGLMIFCTYTLPAQHPWGHPLFPKLRRIIVHWDVIRSQAATTHALPQLHRSNQS PDRLSFHAPHPLPELVLHVELSNSCLWDTPAPYREIKALAYIFTPSSLILLLSHSGLA APTTLNHPTSSYPSFSDVRYDWPRIIPSLPLHWPSAHTLKIIVEPDLIPPSWPDVKAV ARREMAVRQDVGKSVWALVRDLEGQVRAMAQESLYVDTRAMGGAVFSGHAPAMFSSTS GGTSAPVAETGFTAASNATHHTPPSPPHPHTYTTTFFTQPTIVQPPRLPQLVAQVILH IPRAKSFEDASRSLIGESPWGMVARSESNQSEDEEKQGSTRDGGQDDEDLADEASLPR PLHPALVNYPCVLIHTDTASFFDGHALFEEFDEQDWGARSSWRKARVEGKEGGDCAWR VVFGPNECTPFILYPPRPVC L198_07321 MTSLDIPYSSLQRLEPVHYLILDHLFALAPTTILRLSKYHYERL LPRAYTCISLEDITSYHLRSLLFKYQDGVVNNVFPHPTLKPLWLYTSKLNFLDAISIR HLSLALNVPYLAKIQTIQFFPRCFKKRTLLDLEVMLVKSTNIHRAVVCYTAEDVRMGS PTKVPDPNGISRSIALCFSCLTFHISMPVLTGAEWQPQPLVKALDFLKYCYVGWTVRV IIDLGFASHCLSSDIVRLMGDELALIVGSGIFRQSRRLPLPLKLLFRYEGDVDLVEDL RGHIENRQRYLQANVSDIEEARLASQIEGYWREFVELRRIGGREMEELLL L198_07322 MALNNDDRQQSSTSPAAVDSAPSSDTLYASAPATSTPSSSSNPF SPDYELTAADLALVRPEALPTGNPFEPDFPLSSSRFASGEGPGGLGGGGVLPSSSQWY TLTTPTGRANSAQYTLNPSTGLMTILISDMTPESRIDGAVRFQVSSASLEGGEYDGWD RWVGDVMQYNTVVECGDGRGGGGGGYGLGSMDDAVDAIYEGASEAEKRGVELNALETL KEFYTRINSQASA L198_07323 MLAPAQLLPSPAPLSITHSTPPLVPPRPVLERLHPVRYIILDHL FRLRPIAVLQLSKSHYQKYIPQLYSRVTITKHRVERWAASAYHTPEYSPFSARDALRA HTKTLRLCSSRGLQYLSALLLSHGEIFSSVGCLQISPKLFPRGANADSILYSIRTIIN CPHINITNIALLLDPENHNSAKTYIACTCIAEIVNAGNLPIDTLTIHIPKIPIWKQSI MRDYVIDIGYLGLVWGSRNRLRLVFDLNARAYPTGGNVISFTSGIRYLTTALVPMLAL PRYRHFKIEFLINDQGRPAGGGAPGGGWLVPEATWRTMQEKGPDTTRYPAVKMFWEGN VRVERVSGKETRDALELPC L198_07324 MERKQKVVVERHAHVAQRQSPNVATNTPCGSIVFHRCILRIGGR AARRGPKGVERLGAGEILLNSVDRDGSGAGFDLDLVKLVKCAVGIPVVSASGAGGPGD FEEVFRETGTEAALAAGIFHRKEVGIDEVNSFLEGSKMAVRNVKP L198_07325 MEPTSDFRAPLNIQHLLRHLIPDDSQTKFLEGLQMASVRLGRET RIDERVTALMEAHMNKVFNQFIMQGFLAQYNPEGSNQSFRMNLQQAIEESNAAHREGN NFFYADPSSENTKIFSTVWEKVRCHQRSMGELKLVTGTDLKLYRTYEDCMILGKVITP VKSGLCLSFHIEDSCGAVFPVVIRFPTAVPHFSLSLPDTLAKLYPIGAILVIKSPRTG YIGGQRGITVDMPYEIEELHPNDPFLEGVKWQDGLKGDAPKGWKKYKDAGNAEMRKNN PLVAIRLYSLALSDPEVKSSPSKTFTLLLNRSKAYSTLNLHGHAYRDAHRAQAVIKTS STTLTLGEQDRLQLQLAKAALGLRLYQTALDACGEASSEEEIRKTRERAQKRMEEQKS GVYDWMAIFRESLGGPLVDMDVGDYVSPAVKVSQVPGCGRGLIATQDITPGELIIVSK AIAPSRAKVDAPNVYVNCYDVGSQTHVPHETYMWVYRAQYKLHDEPVQLEDLASFSTD IHPSPPDDRTFDEEEDVRAECIFDSIGDGDIPYLPLNNFRQILKSNSFSGWSLPPKGE GLKGVDPLLMLKRYQDQADLLHGMPALLNHSCLPNTTRCWYGDMMVVRATKHISKGDE LTTSYVAYEPSYSFRLSVLTNWNFTCACTLCQADSSPRDDPQRRDQIMDANMILLFTN PSLIGKPDRTQKQQQRRRAEDLEKLVRSVEATYSSERGQGTKGHLAKIYYSMGRSYFV SGQHPEAVKALNHFLEYAGVTLTTPAQERQTGRKVHESHYLEDEVIVGMLLLASMERV KALISHLPQALLLPLSQKCTTGAAGTSASSLNPALHHTRSVDKASSAPTATQSETPVA GPSLGDKGKERQDANADSTAQAAPVSPTPAQLSPAPTTGNFLASINITPLGDAPGPTL PQTPIASAPPEHAAADTTPAPTLLLARFAEMLKASQATAPLLDPTSKPPGLEDPAYPG TASANDTPPAKPLPPYDELYDVSCQLNQPSEERDHLFEALQQAQAELARMRTACLPQF GCRPDYTLTPHMSHHSTRLKPSGLPKLKGTSHKKVDPWIAQISALLCSATVTKSDVIS FLPRAFKDRAMSWYVNLGPAKQLALVTWSDWQEAIRRQFLRANYAMKAQVECTHRQPR SS L198_07326 MSNSKLALATRGSQGSEDAPVEADRLEESLDLDEMPRPTGGDVE QKVTLPRMGNPGDELRHSGGSYAVDDYIRRPNSLSSVCYWDFVARYQKLTAKSARKKG PQKAAAEFLPSHPQFRAHRIQTRRTPVVPILSGPTIPRDSPVSSEEHARTMLALFKPW RSPADLVPADGSTSKELSSCVNYLSLQEPSGLNFLLMNWLNRRNQP L198_07327 MAPSTKRPAPAPTPEHPPKHPRRDSDGRDERDDSPPLSFIAHSH QSIDGSAYGDPGAGVGVGVGGDDDARAKAARKEARTMRNRESAQRSRNQRKQHLVWLE KRVVELENENKALRASSPASPAPPAGAQSSSLSPSPSSSSGPRGQGGQAVKREASPAQ SVISLATDLGLPTELVSGGSGVNLSSVAPPPKDLDLDLTPSAHALPCGVPTSPPPSRA EGEGEAEGEGSDDINVLLSQNRDLRERVGLLEGLVKQVVSLSSFSSFSSFSGVSGLAP LSQPDVPFPFPFPVSASGSGNDWPTLLPLPNMSTSGLQGIDYTSGAEGMGGMGVSPPL YTSVLPGTVLTPTSPSFPGLALTPSHPASTSPSPSPSSTSPSSSSSSSHLQPNPLPSS SSSQPQPKPLPPKKQDSDSDSSTKTKTKTKNTKNKSCSQPSPGACHSAVVWKHAFGSD ESADLSVSSSTGSTATGSTGSTGSTGSTHDPASRFDFDVEADMALGGLDMGMGMDMEG GLDMEEGVKQWDLEMEMLLQDLEGRDTGLGLGLGLGLGGGLDGSVGVGAGLGTGGVLV L198_07328 MPLAATTRERLEVWRNAPGGRGEVEGEVEMGGFMGWNLEECSTI SEQHNTHMIQHSANAWSSLNRSSIHTHRSELISHLETLLDAGRMDDYGEGRGVVMVAG NADTLMRVKWSLEMMRSYGSTLPVLIYHFPEEAPAEDDPIRKTLANLSAHLVPVPGQT KDAHKPKSYHLKALAITSCPFRHVLYLDSDSIPTRDPEYMFEAPNYKRLGVWMTPDYW KTGANNPIWAILGVKCRNEWEMETGQMFVDKKRHLDVFLLWFTFSDGDKDIFRWALLA LRKRWAIPGRWVGAAALPSGTASGDFCAHTMLQHDSWGAPLFVHYNLLKQIPSGVGRG FSWGRTKQLPLFNTWPATPGTARLGEPGVKPDDGGERGLGDEDCDMLADAGEDGRARA RGKEMVMRRAARERGVRVRYHGGWISALCIDLEYIDPRPQERQDEDDEAREAREAIAA AAAAAAAESESAAPEAPEARDTKDEDGSRPHSRSASDSSDSSGSEKKDGEHAEGAEAG GEEEKKKKQEEEPLWEGVVYPDWRQSPIEVVQWRDDEHLKTFEKTLYDFGFKPSGPGF L198_07329 MYHTVDDLALSPLDSGAQNRRSSFSSRPLTPSVFRPRSSPPASN HEIAAGLHYRLLTPASSPICSACANESDLGHDQVCRLCETWSIRRHDSINRVFQSYLS RVAGAVVSLEPSTQEGRRRNDLRVRGGGGGALRNADYDLKVYGLEDKHMYVVDGRGKP REMEWLDWVQGRIVAWLSKRDAEVVSKAPRICSAPLFFRREG L198_07330 MAFTGATVAGNYDRTEHASGPALARTTSRSYYDSHPSDDLPPQT HQEREQELHHLARTLSRSSVRSPTANAPHRTLTRDTVYENPESVFDYEKGSDLDPFSD KFDAKKWTRMVFQASQGSQPTRQAGLSFKNMSVHGYGSDAGKYYQTTVSNIFQKTFGA IRDQISGNHRKVQILNGMDGVLEAGEMLVVLGPPGSGCSTMLKTVAGEMNGIFLDEES QLNYRGITPKQMYGQFRGEAIYTAEVDVHFPNLTVGQTLAFAAKARAPRTPPGGLSQA AYAAHMRDVIMSVFGISHTVNTIVGNDFVRGVSGGERKRVTIAEASLAGAPLQCWDNS TRGLDSANAIEFCKNLRLNADYMGITSVVAIYQAPQSAYDVFDKVSVLYEGEQIFFGK TTEAKQFFLDMGFHCPEQQTTPDFLTSLTSATERTAQPGFEGRVPTNPQEFAAAWKKS DKYRELQEQIQSFEAKYPVHGEQYNSFLESRRAQQSKHIRPKSPYTLSYAGQVKLCLH RGFERLFADPSLTFTQLFGNTAMALIIGSVFYNLEDNTSSFYSRGALLFFAILMSAFS SALEILILYAQRGIVEKHSRYAFYHPSAEGVASALTDMPYKILNCILFSLTVYFMTNL RREPGAFFFFMLISFALTMVMSMLFRSIASLSRSLSQALAPAALLILALVIYTGFAIN VSNMRGWARWINYLDPIAFGFESLMINEFHGRDYDCSVFVPSGGPYDSVDASQRVCST VGAVAGSSVINGDMYINESYSYYHAHKWRNFGILIVFFLFNTFVYLSATELITAKKSK GEILIFPRGRVPKAILARSTESINDPEAGGYAGGSRAAKQLTGADRADAGIIQRQTAV FSWKDVVYDIKIKGEGRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDVLATRVTMGV VTGEMLVDGEQRDISFQRKTGYVQQQDLHLETSTVREALRFSAVLRQPKAVSTQEKYE YVEEVLKLLEMDAYADAVVGVPGTGLNVEQRKRLTIGVELVAKPALLLFLDEPTSGLD SQTSWNILLLLRKLTEHGQAILCTIHQPSAMLFEQFDRLLFLARGGKTVYFGEVGAGS KTLINYFEKTGAPKCPPNENPAEWMLSAIGAAPGTHTDVDWHQAWLDSPERVAVRQEL SRIKDTKGGKGDAANKEDTVEKSAAERKAEYAEFAAPMWQQFVVVTLRVWQQHWRTPS YIWSKIALVVASGLFIGFSFFKSGTSQSGLQNQLFSVFMMFTIFGQMVQQITPNFVTQ RSLYEVRERPSKTYSWKVFMMSNVISEIPWALLMGLLMFVTWYYPIGYYVNAEPTNSV HLRGALMFLYIESFMLFTSTFAVMIVAGIDTAETAGNIANLLFSFCLIFCGVLATSDQ FPRFWIFLYRVSPFTYITEGMLAVAVANTNVVCATQELLSFSPPSGQTCGDYMGTYIS AAGGYLLDASATDACQYCTLDSTNTFLAQFKIYYSHAWRNWGIIWAYIIFNIFAAVLI YYIARVPKNAGKEQATPDDEPTRTTTNTKTVPAAPSSEGTTVASDTATEKHAVRSSAE GAEVSRV L198_07331 MEDTYTSVEVSPGMAEKIMELLASGGGNQRIQSNSESDSSDSSI SPSLEALEKYTKTLTLTDTRTLETLGKTTQPHNICPNLQRLHIHSTTFSTNVDEALDA DQAGARLRDLLRVFTRLVPSVPHAVFSFSPGEFLVTADEPEKGLPSIITLRQKAVVPI LLPVDTLTFLLPSALYTESEWTSFGDSVKLYELALCWVPRKKVRFVFEFNQALTGAKQ MGKVFSRLILVAGEIYGEKPYPAVKLEIAIPYKAGFTEPIAKTLTTRLYGIMGATLEA CRAHELEGDGKEIGERGEEEWGRIMRYWEGGNVEIKGMGEEDVANA L198_07332 MIQAAWYHLLLRSRDAPFFASATEAHDRLSDEFKKRLEGLLVLH SNKDMIDHSAAMGGPARFTPLETLHPLIRTHPVTGKKILAIHAGHAQRIYGYKQEESD YLFNFLVDVLARSQDLQTRVHYEEGTVAVWDNRTVLHSATYDFKGDERRHVVRIAAMA EKPF L198_07333 MWAASIPVIFVVRYLDRRQKRIDQKSTITDEPRNDGVSDIESQY ASDEDLPKREVVAVPVSQAMWEQLSRI L198_07334 MASIFWKLKHELFLSDPTWDRERREEKGVVRRLDIFFESYIILS AIVKYLDQRNISNAYVSGMKEDLSLYGNELNFFTTYFNIGYLIVIPISTYVINSVVRP SIWLPTLELLWGIFTGTLAAAKSAKTIYGIRFLIGFCEGTAWPGILVLLLSWYTPAEI GLRLAIYESASYVGAIFAGGLQAALYTKLNGNGGLAGWQWLFIINAIITVTVATWGYF GCPDYPNKPNPMGKWLQHRHVKVAMRRMGNQGRAMPVGWNWGTVKSLVSRPQNWAIWA GYTIYGQAGSGVGYFSLWLKSLKNDDGSARYTVSQVNTIPIAVSCLNIISLLVILGIS DRFRVQWPFILICAVNGLVWSSVLAGWNVPDALKMASFLMLSISVPGANLFAAWVGTL AKHSAEERSAIIAAFLTTYYAITAGAPLKIWPASEAPHYRIGWTYASAMWAAVIPIVL LIVYLERRQRRIDDRCEIELQVGDNGLDVQSNEVVESKVIESRDNEKYGIDKDVLRGE AISVLEDHGVSGESSRVWEERSSAGRVLAEPRRG L198_07336 MAVYSQHVTVNQSLIQQQAKADFPSSPSHPKTLKSTPILLDPSC LVHPSEPPVTDKLYSGFLEHLGRCIYGGIVDDPKNPSPDNLLQKQDAEGHAAGRLGWR KDVLACLAKNGELEIPMLRWPGGNFVSNYHWQDGIGPISDRPKRIELAWLSDESNVFG TDEFIDYCRASGAEPYICLNMGSGTLEEALSWVEYCNGTGNTHWANLRRKNTGRNEPH NVKYWGLGNEMWGPWQVGNLLPSEYARKARQWAHALKLVDPSIILVSCGETGASDWDR EVIQALLPFADMHSIHFYVPGYEYEKNVFGPAAAEKHIEICKSLIDLANVSRSWERMP ARDMKICFDEWNVWDELKAPGSAGLEQWYDYTDMLAFCAWLNVLVRKHKDIGIACLAQ SVNVISPLMTNKDGIVRQTLYYPLQLFSKYMKDGHLLQLPFFPDVYTGPTYPVYIQQT NIKPSYIDSVAIIVSSEDDSEKSASIRLSVLNRHPEADWSSKVAFTGFVEIEKVEIHE IYSDDLAAINSFDDPNSILPNIVQMTEKDWDGTVVVRKHSWSFFIFTGRLV L198_07337 MLPPKVYTFLCGCFAAMGAMLYGYDLGVISYVLVAPDFLKTIDT TDENYIGFITSSMLLGAFVGSIPASLIADAFSRRMAITVAGVVFIVGGVLQTAAQNKE TMFAGRFFAGIGIGMLGLLAPLYQSEIAHPSARGMLTATFQFFLGIGSFLAGWIAYGV AQTHREEPMAWRLPLGFQMLPAVPLIFLTFLLPESPRWLIIKGHDSKALRSLARLHAQ GDESDAFVLGEFTAIKDKVEAEAVMQQSWRLIFNFQDRTNMRKVLYGIILQFSVQMTG VSAIQYYAPSVYASVGFSTHTSLLINSINSVNALIAQFCCILFVDKVGRRLPLIFGNI LSGTCFAVATALAKQFSDGKGSRGQGIGFVIVIYIYNFFFSSCIGPLSWIYPVEIMNT AIRAKATAMVNMAAWISNFMIGQVSPKAFADIGWKYYLVFCVCSFTNAITFYLFFPET RGRTLEEMDMYFREMNLIVPLTKGVGRIDVKERERELADRGIDQQQGDGKDEKKEIEH HELA L198_07338 MASASTSRPISRPSPTILYHPFQLLQPIYHTLIDYLFLISPLSC LRLSRYHYNLYIPLLYDRVVITKAKVDIWTTALKRAKPRDLVDVAVVMRQCTKVLTLP DWEGLHGMVYMSDDRVAFPGVTSVLVPPRLFAACPEGRRAGVMEDLEILLSERCGSVR NLVVQLGAVDVRTALPQLHSPAKLNFPHHCHLNAETLTVCVPVIPVGASDPFVWQDYI NNVADIAISWAVKGKIRYLFKMDDKTYKSLEYRRTFCIALIGIISQLGSFFTRAPSCP IQIQLLLCHPLAESTIDFVRKNTVQVLAPSLGENKARLMSFLDDMLEIKKVSAEEAMW AVEGWD L198_07339 MDEEVAALIVLADTGNRCAEIPSHRQKTFVDGVKVASARLGHHA QIDEQGQAQMVLHMKKAHELHVLETLWSGQLLQDLDPSLFLTRQQAECEATNPDDDIH YFYANASSKTTKVFSTVWHGAKCYQKPLAELQTIGLQDLAMSKTHKDRMIIGKVITPV KSGLCLKFHIEDPSGTLFPVLINYPTPIPHFSLSLCDTLAKLYPMGAILAIKSPRMGL DDKGMFAIKVDMAYEIEELHPRDPILEGVKWRDGLMEETSKGWKRYRDAGNREMKINN PLVALRLYSLALSDPEVKQDPITIFDLLLNRTEAYRAVHLYGHAFRDARRAQEVVENN ISLSPDQNDRLHLRLAKAALGLRLYKTALKSIDATSKLSPFGLDINNTRYRVRARIVE KEQGGYDWLAIFRESLEGPLAELDVPNRMSSACRVAFFPRKGRGVIATRSIAPGEVIM VSKAIAPSNAKLDAPNVYVNCFDAESQSHVPHLTYMWVYRMLHKIYDDPSLLNVLGGF SSDSNPSPADLPVPEEDEDARLKLLFAPVAPSLSLDAFRQIVKTNCYAGRSVPARGAG VEEIMDERLSVAKDRDPVMFLHGMPSMMNHSCWPNMTECWYGDIMVVRASKSITSGEE LTMSYVQNNPSFPSRLSSLSSWDFTCSCILCRTDSSAYDDPNRRAQIMESALPLLFSI PIPDVNVTDEVKDLHRRKAEELEKLALVVGETYAEARPSDLMGELAQIYNRIGKSYFI AGQHAEAADALGLFLVYAGITPTTEAQQKKLGRMIHESHNLEEEVILGIMLLAVLRDD QAGILPNTFWLQSDVSQDADGNCKWVRSALWLHNVRYGGGKALFMERYGPLLAALPVK FDFEK L198_07340 MAGSIERHHPLTPIPTSVPTLRLDSESFLCQADTRPHEDPEARA QLTKQNLSLLLSNPFEGGIRITTASKAKHLRDAERLEGLVLEMGRTYAPGRPQRTKGE LARVYSRLGRSYFLAAEPVKTEAAILNFLTCAGVSLTTWEQERRIGRKVYESNCLEDD VILGMIVLAVVKGAKDMQQGNKWAQAALWIHNVRYGGGVASFNERYNDHLLSHFPVKF DLGE L198_07341 MQPPAPFRFLTTGKSITIPWADHNAFSESLSYAAARIDHHTTID DGCKNLMIPQFKIAYDQHVLEGMHAGERLRDLNPDLFMTRQHALDWDTGFPQDTIFFY VDSRTTKVYSTIWDGMKCIQRPLGDLQMIEAGDMVMNRVHEDRMIIGKVITPVKSDLC LSFYLELPSGTTFLVLITYPTPIPHFSLPPTTTLAQLYPPGSILAIKSPLIGFNANGG YVIKVNMPHEIEELHPSDPVLRGIKWEAGLEEEAPMGWMGYRKLGKEAMGKKNPLVAL RFYSLALSDPAVKATPIKTFTLLLDRAEAYSTLHLHGSAYRNAHRARESVEKNDNIPL TPGQTDRLCFRLARAALGLRLYTTALQALQHASPRSYLSPELKKVRQKVEMRMEEKES GVYDWLTIFRESLETASPAELDIPDYTSPACHVAHIPGSGRGVLATRDITPGELLMVS KAIAPSRFQLDAPKVYINCYDAESRSHVPHMTYMWVYRLLHKIYDDPSVVPVLDGFSS SGKVPPTEQLPQLEDEDARLKLLFAPVPSELSLDAFRQVSKTNAYGGWSIPAKGTGFD KGLNDEAARKCNKEVGVLLHGMPALINHSCWPNMTECWYGDMMVLRASKHMSAGDQLF MSYNRNEPSYTGRRATLLNWDFECSCFCQNWRTPCQCLYSSLRQYS L198_07342 MTTLAPPQASRQSSSSSESRSSGRSVSPTATLGGIRQRRVSGAS GQAHGGDEYRWLSTVVADGTGDEPGVDVKSKRDEKTYGHLKAKTKIEVVDYSSDPNEE GMNLASQFPGEKLQEWLDSTHGKRRIGEDGKPTGVRWIHIDGINWQVIKTLVLHFGQV PPPLSLFACYLTPLHCRLHPLAVEDAIEAESSPRSKLDFYRNHLYLQLLIHRTRSSDH AADEIAEGTRQGEGEEARENGIGNGHGIVNGNGKAGLFGGAGAGRRVGLPDDIQGVFE PSMIAPRQSTGSHPADASEKEAHKLTVDELSAEYMVPIRRNILSIFMLRTLISLDGKP AREVLEPIYARLRDEQSLLRRSADVSMLAQAILDTVADLSIQVSQTFESEILKMEASV LVDPQMETVRHLHVLSSQLIRLRRALTPLLHVCYVVRDQDLQRSVAASSVGRWSGSGS QGAQGAQGAHGGQGGQGGSHLNPLNQRHSGTNTPQVDDSASVLSILSPRSHGNLTALG AGSASAAAGAAGANPQTAQLGFFSPLTKVYIEDVIDHLEIVVGSLEQFVSTCDHLTDY VFNVLSFQTNASMERLSIVTVVFLPLTFIASYFGMNFTHFAQIEGPVSYFWKVAIPCT VGFFLVFSASYLRALGVTLARRVRRWEKVRKVGRAGRSGGGGKGR L198_07343 MSTPKTPREAALAAAEARLNPPPQTSDAAAQAAPASASASPSGT RPLFAPAPRHTEKEERDLTIKFNRLLDRGIIRDNSYQDAAEAVETLIKISTNILNSDD PKFRQIKASNGMLQKKVLAVKGGHDYLIALGFRTQTADFTKTYMFQKSLRSVHELEIG TRILQDHLSTLQSRVSASAQSRLSHAQAEAARRAAALAEIEADRDSVRLRALREKVGR EAREREAREKEEALKDAEGAGMGMGEGEGEGEVDAQGTARREGVVSIEQDEDEDEDED SEEEDHVGYAAASGVNESYWGDGRRLGD L198_07344 MAAPATPPRRPAKRITQQPARPRDPAIERALQLSTFEGNYGTEE FISVLSDKLIDSSKTTPGPFNPAPFLQTFSPALDSLLALRAQVAERTKKMETDVRRAE REYGKRLRELDGGFETIGKSFTNLESKITDVGRTAIRIGEQLESLHQTRSTAQSTSLL LSYYLSSTHASATDDQTSPLEQLFATRASRGGREKLAVVLRRLMIVAKDRRREKERGE RVRDQIESYCERFEKELLRLFDRSYRKGDPRMMAHCAKTLQEFNGGASCIQIYVNQHD FFIKDHHLDESSSPDTVDLWAEIANPDSPAPTSEPALEKLCTSIRETIAQESQIMKAV FHPNSSAVMEGFLQRIFAQIQQHLENLVSRAASTSTLALLRILHLTHTICAALVDDLK VYDGVLTSPLGPRSSLDAGSSSSSVGGEWSGKGAGAGAGTGTLSAYLDRVLEEMYVPW LEGSRYLESESKNLVELYAGLLSRFTRYHETVLEAKPNSLLNKVVHQLASSSSAASSA TSSSAQTAAQAIGRYANLFTSTKDNPSGTSTPIVNAQAAAIAAGGTAGARTDAVSKGL EDRDRVWSTDGVVTVGMAERMLRWHAEAVGRCVELSGGDVGKNALALLKVLSEAIGRS FVETSLDSTLVLLSSQTQDHKTPPDLSPLQTLKATAGIHVLWQKYSGMAVVPLAGGNA GLRREMVGLGRHGVVRLEGKVNEVVQKGVDLILGHLAHILTKQKKNDYKPKDDDISFA RTITEPCELAVAFLGEVRDAVRGCLTGRNAEGFLVEVGVGFHALLLDHYKKFPVNPTG GLMLTKDLASYQDIIATFSIPALNERFDMLRQLGNSFIVQPNVLKTYLTEGHLGKIER ELLRPYLMQRSDWSTFSRGLELDEAGVLGGEDLISVPAGNGNGNGGGNGGGGGRITGM LGGAGSRLSMVGVGGGAGSRLSTMSGVAGAGMGRLKEMMREFEAGDESSRKAGIGGQG GGVGYQPTPLFYMGMH L198_07345 MVNEADTPPTNRLHHDVDREEMEDGGQRRVKRRTGPFKRSRTGC GTCKRRGKKCDEEWTSDGHCQRCLIGQFECTGRTLVESKKKKRPDNAPSPTRYASPSP TQAWQEEEREPGTSLSGGSIAALPPNALLSAAMQSNASSNSISPHNSFTLPTTPSHSL DNTAFTSHASSSGAPRKTDQVQASAAANVNFAWYNVNHAPSPAPHHDPSSFLSLAQPA GGPSSQPAGSAMDWAAIFGGAGGSGDGSFLATTECGALAFDPALAWGKGGEATANDLL DPAFFGSFMGPGAVRFSRNDVSLAEIYARVIESWLVGIPSDTREYVRARLLALNDSSN TLRNVKYAVAASYIALLSSSSAQYYPRCRLSDLCRKAAGIVEPPYEVLGDNTPDPMGN VGISTSRRGEGRRGEGKEAPYEAGQISQRMKDYAEGVTAVVDADVDAGKWVEDASRTL RDVVVVDEAHLSDLLWGVIDLQFMEFVRSGAKKSYAHLALGDRLVRSALGSHHPGITL KSLNTPEQLSLRLFAVADLGRCIVERGRRTIFNFWSDHPSEASSAEPPESSAWSSYLG LPDPLLILLAEIVNLAADLSSSSSASIKEQAGELETAIRTWKAPGIKLQGAGAEESGV GVGRVVVGEIWRLTCLVLLYQSVHRVGGLHPTLRHAQSEILSLLDALVPLPRGDLASS TALPAFLAATLSITKQDRERAMRHLLRAGPEKVWLDNVAVVEKIWEEVDESGQLPDWC QKMNKEGMCVAFF L198_07346 MAEAGPSTQTRGSPAVPQSVNRSSVSLYPLSGILRSIWDVPAVA PTTNPPIDTLSQSSVPNAYPSDIGHPGNGIFGGPLRLVPFDLSKSHHYPNGLTIKPYE NVDQDWMGSHWIECYPSIQHEHHFQYQHVVLYLREFYVDPKAVYPTSWNTDVNFHSNR FYIPLGKKFTDLEIVKSVREHDPKLLKTGTSEVTSQMSTKFSGIKPDVCLTGYHIGTL AEGTYRQELEGGGKLRRPIAPKGSSTLKSSSKLDEAEELDIRRTIDQHLKGLQYANME AITQTIFYSLLGHDVSKCRSAMALVNGNYTRILNLSHLVPEGEEVDWEGVALGAGGGR RILVEADPDVVAKLRRRDNHCLSPEQFGLLAAGEPFNGIQWQAPNSIIANYEDWTLDQ GAKDRLDATQLVFFENSALYPLSESFATAQDFSPDLSLGHPPTYYKAGGKSTLSQLST YPFLLLLLRQPIKSSHRD L198_07347 MSRTAASTPPPQTHFPQKTATPSMRHNAHSTSSPHFARHGHGHH SHSFARRKVKNLPPGAFKKTPEDSAEGDAGDAMGRREEALHGQQSIA L198_07348 MVMGVVNTGRGFIRDEKRRGSITGRRSTSQTGTSTPSSLTTTQP FRPPTASLLYAPSSQPAPPFAQPTVQSAGVMVQKPEEEMEEEEAKRQRDGSTRGIGGS WSPRGCVASGRREQTSHTNHSVSATRTTYVAMVMQRQAEIEQQLFTTSSTFFYCQHQP QHHRGEERRGEHESDDETDTSVSEISGYASSPMGKEEKQKRAKELREAMASSLLCCRH SNASLPSQPHETETLSTHSPPLPQHQARQPTRVRTQSCGAKRPMVGQGQQGEEEKRRK VEEDEDVKMVVEEAVDNGVILSPSESAKASVSAAAQGGQMSASVPDLSKAHAQNPTPV FGMVVRTSESHPIIVSPFFPSELLDILSRNVLGQVEEGRG L198_07349 MSSTTNNNSAASEYNMETRPAAPNPDPNANPNPHPHPESNLPVR KGSQWSFRVLAGRLLSCLPEERSGQEFRAGLVDLVLMVALVTWWFAMSGLKEWRPIGL LCLPYVVGRWRWCTAPYGTRVFDASPAEAAEAEAAADTAPAHVAPAHAAPAEAAPTHA APTHAAPADTPADTHPAQSSQSDRIEALLVSQAHIISELRDKLQAVELKVDKLQDKLE AVESKVDKLGDKPKAPSFRSAWRPGPRFTGNREGLSTGWSLGGLRGGRRS L198_07350 MPWESPVSKDFLPESNDLFRSWATATNGAILMRWIKANCAFAAT GPYSSLYKDMDGFIFQCYQQELGLAMEMLVDWEAAHHVPRTWEPIGDARTNTPENGWR LIHSSATTSSRYPRCPSPLFIYTVKDMFKFISYLRTKPLMEMLGDVVPDCEYYVFPPN FPIKDSETRLLNVEDEEIQGAAAQEIQGVADATRDLPRVPSDSSRPGPVSVLRAMFNK ASDCLDQVLDLRPC L198_07351 MVSTPHRWRRVGKSVLALHYPYVVAFEPTFIEVHHVETGHLVQI ITGNNIRCLFADTLPSRVNAPPLPIVGCTPVKLEGHTAVPRPTPDTPILPTLQPTFMP RLPAGATTPTRLLHSALALARLPKWADTPFPIRISRLRRDLR L198_07352 MDWAARRMSCGNWGGQILEERCTPGGDLFGAVALGILSSFVASE PVEAGPQCSARNVSLRYCRRHSPGLDETTVNLQNLSTWLSWVETWASEAGERSEVEEL HERLPSHLQHYTELSPAIVGTTTYTVVGPLLPLGSGTSAPREFLSLWFAKTDRMDSSA EDVGVKGGHWGERTDRAQHALRTVYLGYGAALFYIDPTRSTTYALLKSYLLPRLYTVP PPPNPSTASPNTVTTPAMVGSTKFPFNCQADVLDRDAVMIPSGWVS L198_07353 MGLDIFRQISKTNCYGGHSVAPMGLGFEGMLEKRILDDFTAAVG AGRVGEKKMLLHGMPAMLNHSWWGNMTECSFGDVMVMRASRHIAKGNELLIFKKMIWA KTPEEFQRHRAELAEPARNYIDTNDTALYACHALRIARFGLVSSNTVEQLNDWLLVAR GGDIITLFSTIYDHLSASFRDRHDLLVVKPRLVERDLIQHVFRKVAAEQCKAKYYRVL VTGRAGRSIGGAHEEEFDEQRFLLGHEWDG L198_07354 MKQELFNFEGVELMVMMMKEKRLARSRAIKLLDYALQSPTGAPS CICFIIVTFFSAFMGKYTHKSKNRHLQTSQSHSPERIRLITKFVEEGYAKVERLLGLR EAAESRLSPVKQNIDNEKKTLRAEGAGEEEIEEMEVEWYLRKMDAGLASLQNTNYVIA WV L198_07355 MSFGLVSGGYPLHIDLMEDVGGAAAGEAELRDLPLEDRLSETST IKFMRCAFFTVT L198_07356 MPAEYDSYRQIWGPMVTEQLGNSTAHRLSVPTSRAYPPILSTSA SPTGASTTPSLPASFGVTTTPAQQKILLLVTSVNSFTQRVLFYLTHERPLLQSAKRRP DWEKHTAEDVLRILNASDSQPGAMLHPLTSDAKASLFAYGAHLHKSAVTLPPPLFTAF GFTSFKQVPAGTPIATRLGAVLFKTAQTPTSSAAICITHGRVPRGKDKLIDPKIPMAN TIKLAGHGEILDNVQGWTQETNGACTTQNCRTLLSALQWAADPSCGDVKVLAFITGDG YVSNGIAFNPIEYASSPGQETWDNICAIDDRLVAQELKTKCEVALGRVFAEVAGVEDQ EGGGGYGWKGVGEEGRGKSARGDKGYDIAMEHSRLKSAAPSSQPSNGPPTPPAGMSRY SPSWAAATFPPLSPSTPSDSLPPRPRDLAQYLPHRRYRLFPLLRRFRRAAAFMQGQGK ALSERGIVTVACVRWNTAAGGVALATACDVVLAGRGVGLNPSSRGMGLHGSELHSFSY LHRCGPVHAAEILREMKPLTHRSLSPMAPLSSPPWPRPESAPLPPLPPSPPLHHLRKE ELSQMLLDSFYPIRSERYHICRYKFVRKSEAGSTPARYAVHEEERRQDEGDKAEFDDA PGWVRGEDPQDPAAPFLSDLCAREEDVLGSVGGLDGKERNCNIITGEEWRIVDPDSGL LSCTVEILPLGGLDGEPFNVVVIDEMQMLGDENRGGSWAKAILGTAAKDIHLYGDETT VELLRGMITSLGDTLAIHEYNHLTPLLVGDASIENEYSKIEDGDCVVTFSRSCIFNLE RVIESQGKKCAVVYGALSPETRAEQAKGFTDENGLCKVLVDSDAVGKGLNFAGRAGRF KTGADKNIATLDEAPFSSGYATTFKPADLPVLRKMLDWKLPPIARAKMDIPTISLIEL AALLTSSLTYGDLVKHCSTLAKLPPSIAVTDPFNKLAIADVIKTYRLSLSIS L198_07357 MSACLDMSERNHGRTHLLSQLTACSRKKKLAKPSQPQTHAAGAA QAGQERRSRKGLALVYEVIEAGEDEDSAPPLSLSYPPSSHPKPAQARPQCFARKVSLG YCRRHSPGLDETTVNGELDLFTDDATWASEAGERGEVDERLQSHLQHYTELSPAIVGT TTYAVVGLLLPLGSGTSTRSPGIPIVEVCAKTDLMDNAAEDVEQALRTVCLAYGAALF DTAPTQPTTYALLKSYLLHRLYTVPPLLNLPPTSPNTVSAPAIIGCTKFPFNHRANVL DRVAVMVPQRMGYLSRYIPKSAGQEPEEDDGEPFEEFLQVLLPSFASPPPQSPSNLTT VTEPSQNFFSRLLDLLMKDPNRDSHQYFRHAPSAISPSNVPSATNPGAGGFNNTAVGP MGGAAEGLSLQACLLKDKFARLGKKDGKAAPGTLAPAMPNEALHNFFQFLLANRSKMG GSAAGGSTKGTSAEGAGEGK L198_07358 MSVDTISHSLAHTTLSDAKGPSFASCATSHEKSLFMQAYDEACK KALDEFDEEKRLDLEEVLEMLKEYADLKERERTKQVIEEQIAEDKAELVESLEQNRLH ILRTGGFPYFVQLKINL L198_07359 MVSGQPQILSLRELEIQAGKRLPEVTSEYFADGAGELQQTLRES VEAYARYRLQPRVGRKISLIDARTSIWGGTLSISNSHRFSAANDHKQSFLPIGIAPSA MHQLAHPDGELATSRASSSRGIPMILSQSATASIEDVTSASLNDTRKLYAQQTTLVED WSANLALFRRAEKAGCKAVVLSVDCSVPGRRLGELRNGFVKPAHLTFPNIDYGDAPDL HTAKTERSPAVTFDRNVTWETYRRVVESTSLEVYLKGILTAEDALLAVAAGVHGIIVS AHGGRQLDGVVPPLGALPGMVDAVGGRIQVNVDGGIRQGSDVFKAFALGADFVWIGRP ALWGLAYDGEQGVQLVIDLLTEELVNVMALCGAATLQDITRGHLGRYLQG L198_07360 MASNHERSESHSDKASLNCFDDSLPQVDAGKEHKLRTDHIIAPA GTTDLNDVNLYGYNPWEAQKEAKEQDFVDLKTMGWFVAALVSIAESMGTGLLNFPNTF YQLGMAGGVIATAGAALLTYFAGCMMVDFRNRHPGVVSYPDAGAVMFGKWGRIIFGAG LVVKTMTVAGSHALLVQTPDRLELPFKPRYLRRLVGVVELTMSQMSISQEWKKTHILS AISIICLFSSSLIVLGGVATQPAPVLVKKGVPIVWHATPKNPTLISMITAISNIVFAL GGNSAAFTFCAEMKNPNDFKNFLALVQGAGFIMYITIGAGIYAAGGQYVSSPAFSMTQ RPVQIAAWSVALVTLMISACVRPLSSRAKAYELSSFGSDSSPASGLLGSFACQLIPFF SPLTTIIGSVFTTWFSIGFCGVMWFYNQHPYFAKLGEVRKLDTPLKWFLFFVASFCLL FVSSLPLLVFTQLGSVSETG L198_07361 MSRYLVYRHSLEPERLKGKKILELGSGTGLVGLVTAMLEPSSEI WITDQAPLLELMETNTRLNLGEENDSVHVTEFNWGEPVSSDIPIEDIEVILAADCVYS EPAFPLLVQTLCDVAPLGKTIEILFSYNKRRKADKKFFAMLKKHFTNAFVEDDKPGER ERYGRQGVSLMRLTRKK L198_07362 MSGQIVQTTPYNDTAKAEGDVPYNDTAKAEGDVEHIEKAGGVDY KAGAMEAENAEHAMTVLEAVRAYPMACFWAFIMSFCIVMEAYDVFLIGNFIAMSEFKT RYGIMDSTGAYVIATKWQSALQMSGQLGALIGVFLAGPLTSRIGYRWATFSGLMVLNG FIFIMFFGDSLPVFFAAQLLEGIPWGIFIANAPAYCSEIVPLRLRAPCTQMLQMFWAI GAIIVGAVTYVYNKRMDTMAHKLPIALQWLFPTPLAILIFLAPESPWWLVRKGHYDRA ARSIERLGTKAKLNAAESVAMMRRVVEMEQSATAPSYIELFRGSDFRRTLIVCFVYAA QNLTGNLIANQAVYFFEQAGMTTDTAFALGLITSALQMVFVMASWVLTTYFGRRTLYL WGSAVNTILLIALGIAGSIGSSHSAQYAQASLGLIISVLFTFAVAPVSWVYIGETSAI RLRPLTTGIGRASYYIIEIPCIFLASYMLNPTGGNLGGKCGYVWGATGLICFVIAFFC LPEMKGRSYREIDLLFRRGTSTRKFKTTEIGVDEDQ L198_07363 MALITPLPYPFDLSCPLPKSTKPINAPHWPFPVAGPSTYSQEPV DLFGLAPLHAFAQDIARIPPHAIADALSPLMISLPSIESRHRNTILPEISTSTSADQT RKDLTVEELAVIRAGMAFRLECRAIADSGEMPAQKTVADQLEKREYVISTFASIELTT IRTLIQLMILLSYTQHTPRPLSPPLVEGKLKKRKRSRTSNVSPTSSPDTATDLLLDRI SIWQALSGLDLDLDLDNLTGMSGNASGGGAKSVKGKEKEENGLELMLRAFWDDVLVPF YLKSHPAILQSYHEKTFGTPAPATLFPPKTSSAEVASGGGAKSRKPKLTRALGSADGQ VYPSSTSSSLSRAPSALLPPAPVQDTERGRPEKRERQPAAGSRAPSRTGSEVSVGSER SFVRTFSRTESQSQSQGQGQFRRSRSVSIDPSVPLPVASSSTIGGLGKKPLARTASGK GKEMMNIQGRQVGLRRAGSKKLAPSAPPGDASAPAADSQGRESQSQLGQRGLMGRKTS SSSNPFRPKHFLSSTSSSSLSFAAQQEADTLIMATPSKPKHQSQTFAWHPTPIQEEPT SLDRDRDRDGQRSVRKEFVAETPVVGGGRMRGEWAYGSLGEGVLEEEVSGEGLGGLMV MTDEEDMGEGTGEGTGEVGGGRARAMFVPETPVK L198_07364 MPPLTSLIAAHDGPFHNYEFFPPRTDAGLVNLLERICRLTSAPL QRPLAVSITWGAGGSTAEKSLELAEQVVKMGVEVVLHLTCTNMAKEKVDLALQKCKDL GIQNILALRGDPPRSEEYAVTPNVPDFFQHADDLVRYIRAEHGAYFCIGVAGYPTAHP DSETDEDEMKWLKVKCDAGADFIVTQLFYDVDGFLAWVKECRAQGIKQTIIPGIMPIQ SFGSFRRLVNLTKCPVPSSITSDLQPISSDDAAVKQYGATLATKMVKQILDSGEIHGV HFCTLNLEKSVRTILDNLDWVERDQRDSVSSLDRNRFIESDEDARPSGIPINGKTRSY SNSASLSISPSDASHLAQLGLTSVLPPKSQTHSTATGAPSGPGATGEDSWDEFPNGRF TDVRSPAYGEIDGWGSGLKITAAQALKEWGVPTTLSDLSTLFTSYLQNSPATPTTPFC DLPLSPESQTILPHLLKLNSADKCFWTVGSQPAVDAAKSEDSVVGWGPRGGYVFQKAF VEFFVRPEEVEGLVRKVDELGKSMITLYASNKKGDFKTSTDPSAVNAVTWGVFPGQEI VQSTIIEQESFLAWKEEAFDIWTEWAELYPRQSAARKLLEGVSEEWWLVSLIHHDYKK EDALWKFLLDA L198_07365 MSATGVPGDTVNLGAPLTATTMATTGGDTSHVTSGPPPSTSETP TLDDKRSSGGNTLAGEEIDEKAGSSNGKAKRLTSNSNEDVVAELEPHHVSVSRGKHEF AALDRKYSSISQHSNELARHTTRQSLQSTFSRSDRVTSRHTADEAEKQKEEEGEFHLA DVLRSNRENQDEAGIKRKAVGVVWDDLEVIGAGGMKINIRNFSSAIMEQFMMPVIKLL GAVGHNPFAPKPKTIIHPTSGLLKPGEMCLVLGRPEAGCTTFLKTITNQRAGFMEVKG DVEYAGVPWKEMRKLYGGEVVYNQEDDDHLPTLTVAQTIRFALAMKTPRKKIPGVTPA QFQEDLLDLLLSMLNIKHTANTIVGNAFVRGVSGGERKRVSIAEMFCSGAAVCSWDNS TRGLDASTALDYAKSLRLLTDIMNQTTFVSLYQAGEGIYDQFDKVLVLNEGHVAYFGP AKEARQYMIGLGYRDLPRQTTADYLSGCTDVNERRFADGRDASNVPATPEEMGAAYRE SAICARVTQEKEEYAALIKRDATAQEDFRQAVMEQKHKGVGKKSPFTVSFATQIWILF KRQIRLKFQDTFGISTGYATSIIIALIVGSVYFRLPQSASGAFTRGGLLFLGLLFNAL TSFSELPSQMLGRSVLYRQNEYRFYRPAAFAVASVMADIPYNASLIFIFSIILYFMGG LYSSGGAFFIFFLFIFLTFMVMSSFFRTLGVATSDYNVAARLASVLISFMVTYTGYMI PVQEMKRWLFWIFYLNPLSYGYEAIFANEFSRITLVCDDSYTIPRNIPSLGVTGYPDT LGPNQMCSISGSTPGDPNVSGSAYMAVGYEYYKSHIWRNFGILCGFFVFFMFLQMFFI EYLEQGAKHFSVNVFKKEDKDLKAKNERLADRREAFRAGELDQDLSELKMRPEPFTWE GLNYTVPVPGGQRQLLNDIYGYVKPGTLTALMGASGAGKTTLLDVLAARKNIGVVGGD ILMNGRPIGTDFQRGCAYAEQQDTHEWTTTVREALQYSAYLRQPEHVAKQEKDDYVED ILELLELQDLADAMIGFPGYGLSVEARKRVTIGVELAAKPELLLFLDEPTSGLDGQSA YNIVRFLKKLCAAGQKILCTIHQPNALLFQSFDRLLLLQRGGECVYFGDIGPDSRVLI DYLERNGAPVPSNVNPAEYMLEVIGAGSRKRIGGDWGEKWRNSPEFSNVKQEIIALKE QALAQPVEENANASEYATSFMFQLKIVLERTNAALWRNADYQWTRLFAHLAIGLVVTL TFLQLDNSLRSLQYRVFTIFFATVLPALILAQIEPQYIMSRMTFNREASSKMYSSTVF ALTQLLAEMPYSLICSVCFFLLIYYGVGFPYASSRAGYFFLMILVTEVYAVTLGQAVA ALSPTILIAALFNPFLLVLFSLFCGVTAPPPTLPYFWRSWMYPLDPFTRLISGLVSTG LQGVEVVCRDDEYNVFPAPSGQTCSDYAGAYATAVGGYLNNPDSTGDCQFCQYRTGEA FFTPLEIEFGTRWRDFGIFICYVVFNILVLLVAARFLKWQRR L198_07366 MAGENKASTSKVPVKGKGGKGRQPQPKIKSNQLKRNKANDELKE LKSRVDSFEPPSEITQFTELPLSDKTQKGLKSSHFLTPTPIQSLSIPSALQGKDILGS AKTGSGKTLAFLIPMLERLYLEKWGPMDGLGAVVISPTRELAVQTFNQLRDIGKYHNF SAGLVIGGKPLKEEQERLGRMNILIATPGRLLQHLDSTVGFDAAGVKVLILDEADRLL DLGFLPALRAIVGHFTASTLPNSRPSRQTMLFSATQSSDLAALAKLSLYQPMYISCNK PGEEGAVPANLEQFYAVVNLERKLDALWGFVKSHLKMKGIVFATSGKQVRFIFETFRR LHPGLPLMHLHGKQKQPTRLDIFHRYSSQKTALLICTDVAARGLDFPGVDWVIQLDCP EDVDTYIHRVGRTARYQSSGTALTFLCPSEEEGMKARWEEKLLDVKKIKIKESKMGGL KQSMQNFAFKEPEIKYLGQRAFISYMKSIHLQKDKTIFQIDKLPADAYAESMGLPGAP QIKLGPQKKAEKVMGGDKKGEVEEEEEEGGEESDAEVRGVVGSDEESEDEEEGEEDEE SESEVEADKPKSQAIRTKYDRMFERKNQDILTPHYTALISTEDDDNDDVFTLARKDHQ LSGDEGDDVDTEALKAELKKPLISSEDLSKRKLKAATSRKALLKDRPAPEKVIFDEET GEARDFYKSGKEVEQEESNEERRKAFLEKEREIMRIQDKIDKEVARGKKQELKRKRKD REKELRAIEAGESLAYIGGGSEDGSDAEGGSYGSPSRSPSPERRTKKQKMSAKRDEDS DEGDMEDEEALALRLLQGS L198_07367 MSDEQQNQQPFSGGDFSDLSGAGGSYSDPMFDPSHPYWSQSSSS ADIVGDYVRLNPGSLKDTGGK L198_07368 MSRPDEEELVDYDEAAEEAVIAPAPTAAATNGDKTDADKKGSYV GIHSTGFRDFLLKPELLRAISDLGFEHPSEVQQECIPQAILGTDVLCQAKSGMGKTAV FVLAALQQMQVYSLFFSFFFASTPSNTRVSEPVDGEVSIVILCHTRELAYQIKNEFTR FSKFMTNVRTGVFYGGTPISADQELLADKEKCPHIVVGTPGRTMALVREKKMNAGKVK HFVLDECDKMLEQLDMRRDVQEIFRATPHHKQVMMFSATLSKDIRTTCKKFMQSPLEI YVDDETKLTLHGLQQFYLQLEEREKNRKLNDLLDNLEFNQVCIFVKSVQRATQLDRLL QECNFPSICIHSGLQQAERISRFQQFKAFEKRILVATDIFGRGIDVERVNVVINYDAP LDADSYLHRVGRAGRFGTKGLAISFVSTEPDQEVLKKIQERFTVAIPTLPETVDPATY MTS L198_07369 MNYPHSMDPNNIQGDPGPSSMAQQAQAQYRPSFPQPPPGAAGLG QGQMNMAMLQQMAQAQGVDPQTLLLAMRQHQQQQQQLHQQQQQQQQQQQQQAQGGPPG QYQPGQPHSNPAQLAFQQQQIARILQLQGRSGPGIPGQGGAAGGPNSPVRPAQPPAHQ HQHQPPRSMPPPPIPGTPQHHPSFPPPAAEHGSSPSPMTMGGLTAQQQQQFLAQRAAF MNSPQFGAMQPQQQGMLLQQQQAHFMRGVMMQNAQTVQHASAQAQAQAQAQGQSPSQP PGGNGIINMSPGIHRQPTPSQHPTLSSLPQHMAPPRPGSSASTRPASSSSSPHQGSPG MMHTPPPPPPPPGMYHHQHPHHHTPQPVPVQAPSPTHSVHSHHTPQMGASPMMNSGVP FPQPPPPPPAAASSSSSTLLSSQPQQQQGYSNPSFPIPSQSPSGPEQGVSAQGVQPGV GQGGQGQGQGQGGGQGGGGLPPPPQGLSGVGLQYTTQQQQQMASALGFMSKAVQAQQQ APGPEGARPGSSSQTSQPPLSHPHPQPQPHPHPPTLPNLTNLTPPNHPDFPFDARLLA YLPHATNPSWKAQMQQQNPQLAAAVQSAWAVVQRGGLSREMGGRMQAAMGALKVAMMG MQGGQGGQGQGQGVQASPNVLQGQGQGQLKESPNAATQPTVALPSPALKKARLSGVSD KASGARDRDRDRDRTPSMSGSGRGSVPPAGESPAGGPQGGMPPPSFIPSHSGKEKDKE KETTPGEDAPAAPAPAPAAAPAAARKQSGTGIPKEWESHIPRDVPITRIIPIPIPPSA PHFQTALPPLSPEQIDHVRDWIDRDVAYIEGEKKRHPKTLAKMKRWAEENDKATEWWM LRKGEARVMPQNRLRILWPADKDRLRSQKSHRGRKQVKFSQADFKKMAEVEDHLVPVR LDLEEGHHKLKDTFMWNCADTVVTPELFAQSLCDDFGVPHHLFAQRIITAINERVHEY KDQVLPLIQRHTKEDCRGKLDPEGDAESKALIEVFRRARNWEEEEEEIKTDPDDEDIK LIPHDPNDPEALDDYRPWTVEEAMSLIPEDAPEELRILIKLDILIGAQSLTDSFEWDL GSTVTPEEFAGMYVTELGLCLEFATAIAHDVREQIIVHQRSLFIVGHLSNQGVVLDDE IRAAFLPPITNTLRREDVAMASYTPIFNELENAQLANLEALREKEAKRKKRHGRARRG VVMPDREPIKTHRTLLNNIGPNGVALLANVESAPRETATLSRRRGAALAAEANINLLA QDLPIPAPPSPAPPPPVSRGKRHGRVQKVGREESVNGDTPVSAHLQLPVARGGESMDM VNGAVASPAGRRRANHSRIPDSPSEPPTPLSAKPESAPLPPAYGSAPPSSKKRKILVE PLKAVKAEVESAVPSPTLSSRPSSRKRQAKSKSASRNRKRRMAVIDEPSSGPEPSASD SDDSASDSASDSDSSSSTRPRKQKKKAVVAVAENEPTPAPRSSAVPPSVPVRSSPVVS LESDLPDWANKALADTRGRYQKDDFVVIKKPRQDPSLPIEWRVKCNDCPGKIYTLGPG ETLNNFEVHLKNKAHMNNRTAREEKRNA L198_07370 MAEERRHWQAVIKAFDGYQHYHMSAQHARKMSVLTLPKAEKEIY RMLGYMDKVEAVDEGIRQNQEFLDEMIANPVFSEMLQDPEPEDIGHSHAGEYSHDHSH GHSHSHSQPQPQTASRPGSPSKPSRSQLDAAQDKVRSTLRSFVRDWSPLGQPERDACY RPCLEALEKHFPSFHDYPEGGEREEVKVLVPGCGLGRLAMEIASQGFTAQGNEFSTYM LIASDWVLNQTTRPNSHTIYPFLHSFSNHLTTEHHLLLSTTIPDVCPSEVFARTPHAG GGEKYKPGAFSLVAGDFEEIYGQPSPSPSSSFDREGGGDKEDEKEDQTGQWDAIVTCF FIDCSRNILNYLRTIHNLLPPLSPSAEGGGGGVWINIGPLLWHFENSPTTSTKGERSV ELSLDEVKQLGDLVGFEIREEKMIKSTYTSPPESMLRHEYTTAFWVATKKEPRVLIQG DKVVPNPRYTDTKSA L198_07371 MSHSVFLGASTGIGYYALLQYLTDSPTTTATVLLRKPDPFKADP SLKEFLDAGRVQIVKGDATNEDDVKKLFVQDAAYVISTIGGKPHMTLHGAVNDQPTLC TDAALVLLHVLASLHAQGRKLPRVVTVSTMGLGENHKVMPLALRILYAWLLHSPHQDK LGLELLLQRASSVLPTPPQSTFATLPFLSSSSVSSVKENFLPEVIIIRPALFAGEEPA KGREVTKVGEQVTTYTIRRREVSRVIVEDFLGESEWVNRLPMIGY L198_07372 MSSSSSSAKPSSSSSGAKPSSSSSSSAAKPSSSSSSSAAKPSSS SSSSSSTPKPASPQSMPTISANAWMSFFLFCCLVLIILQGPLGLTGGGSLPGVAQWGQ WPNWGGGWWTGTGGWGAMGGAPGCVGLVAEVIPWCQNQTPIQPQPQPILRITQPQVIH RYSPPIARYLPHPQPQQPRVVSYQQTQGSQMGGQGGFATPEGGAGWSWFANGPSSENT VRQYASQPQGRLQLQVSTGPQQQPGKPLSRLSASLLHARRHLFPSPHILDHLWCLYQL TKKPIHPTTPFHNRSKPKINTHVQSTNHGTALPYTLTLTNTPLISYPLLILNIRIINT TSNNNNNNGLFIDINNHTLNLALTQTQMGIMPIPTMPPTHRHLRLGMLMGCINNQALS CNINHKAPLLLLLDRGR L198_07373 MGELTKEEQHRLRIHNREKSQRARDKKKNEALAAAQNTKSASTR QKSGNADEPLDGTEDDFASLVDFEDNPEYVPLSPEEMDALGADFGEICWDKNFQSQQ L198_07374 MPAAYPVPTKVIQELWDKFTTWIMRSYPIRDYPLNLRPAMVFAT AAWIILLGILGMAPLPELPVNDKALHFFGLGFATFLLYFVIDVPEGPGRRVWYIRRAP LIVVGLLAFFIGGIVSEFVQATLPWKTFQPLDILSNLLGSSLFLYLAHLAHLRHLKKQ EILSLYQPLSAGGVGRYRDAQGREHRFEGAASPVQETSSARGVGGRGSQGVIWDEESE TGSRASDDGNRGGEGGTGTGYGGAGLPVFRIDDEDALPPGNRPGGVQLS L198_07375 MTAPPSHPATYINFPFSLILERMPSEKDPNVFPPKTWLERAKYY GDKATLAERKGCKEDMYVWYTLYCQCYLHAGTHPDWGEAKKKDPALAARYKEMKPMYE QYFGKAKVVKEQLRAAEAKPTTSSSSRPHPTRQPSGPETGSIGNLRDRMAALAGHGMA VESVQPTAGAAKRMSRDMPPPKIVAKPAALSGNYLSGAGRARSSSGSHKSPTTTGGAQ VIVTASSRPSAPSPAPSFVSTHPTGSSMRSNNQANLGPSAGPSNAASPPSSLIHSASV TPTDRAPSTYTTPQASPLPPPPTVRLNPSPRPPNGAHPPAPPAHQTFQEFERNFPSLS EFTKEWEEPLPNPHSPNGDGADDRSPNGYSNGDAGLPNLPSVPTSRPGLPPPPSKPDF GSFSPRDERSTAPSPVSHNGPSRVDDVAGPSTQRPESSAGRAKEDDSRANGVNGKTQL NFPVAVPTPPTQARSPLPPTPSQSVPPPSLSAPPREKPRFPISNSIAPDQLRGYFLNP SVEMLFLDVRPQEEAKYHVAQEYESRTLKEVNVVQIDPTLLMRDGMDIPRLEDSLSLS PPKQQTYFANRDKYDLVIVYDASSTNWPTSGPLQRLWNMFFNGHDPKKLQRPPVVLIG GHNGWIEFIKMRAGRHQAHKESRSGVLTSADVIVREPDVVSPVTSGQKKANRDMPVYQ PSQYSKTITENFASAPQSMTGESRYSQSHTQSYPSSYKSPPPSSHQHHRTGSSYSVQA PIAAPPQASIHPGPGARRRSDYIEHSGQTYSGATPSTSSTPQPQSQSQATSPTSNYYS PPSTSIAQNLTSPRQGVDYPQAHALAKVAMPPPVARPMERHDYSSMGGGYMPGQGHGQ GQGQGHLVKSHAQRDLRQNGVVAGGEKVGYWLDVVVGLTGLKNLGNTCYMNSTIQCLS ATFPFATFFLDNTFKKSINLDNPLGTKGELAKAWAGLLSVLWSEKYEFLSPMTFRKQI IQFAPQFLGTDQHDSQEFLSFVLDGLHEDLNRIKKKPAPVEMTPEREAMLETSPPEVA SESEWQIYRQRNDSLIVDLFQGQYRNRLQCLTCHKTSTTYDAFMYMSLPVPTGKTKVV VQELIDEFVKHEIMEKEDAWYCPRCKTRRRATKTLSISRLPPVLLIQLKRFTTRDGRF WDKSETPVIFPIRGLDLSRYLPARRQDTGAGGLDMNDPRTQVGPFKYDLYAVSNHMGT LSSGHYTAFVKSREGWKYCEDSQISSAQEKDVVVSSSVSWVEQGARGIYPAWLVQLLP SFGYQA L198_07376 MDAGTTHAMSWTEVTKGHVPPPLTGPSVTISPLPLPHPPTIYLF GGKSVLTRRLTANMWAMDISSRTWTQIDPGPGPSPRYFHSMDVYQDKLVCFGGMSDAE PNMAVHNDVWVFDCLARRWLPQPSPSGGIGLGIEMAAQDPGLVPSPRYAHLSAISRGQ LVVSGGQHSDNSWIYEINVYDLQSRVWVSKTEQPQAGGMFSKGAYRSVAASSSKRVIS PAPESKPGSQSYSVDEAGEGGDVWCYSNYDFAKVRRELDIISPSSSSQPTAKHSPPPN YEILDKSALMRGSSQPPGLRFPTGGIVGNHFILCGLYLASVSGKFSIWALNMDTMTWR HIEPAALAGGSWNRAVVWAERGKVLVFGNSQLDLAQDYSRRAVNLDHMAVISLEAFGI YQPPSLVVPPKVQQTGLSMMDEKLASDFEVICDDGRRVKCSRKVLSERWPWFNEQEKT LGRETQNVLTEAPAVDINDTLLGSFTPARLSPSTLTLSEPFPVCVALVQYFYTLSLST PLQNRAPILSALLFMGKQYGLERLVRLVVHALHGRLEGGGGTAVGIYEIATLAGERDL QVRALNIVHGGSSSSSRGHRSQPDASTGVENGTSPSNEFRPQGGAAGNGAPAGNTQSG SFAPGEAPIRRARADSDTIPFDTTPLAESDVPEEDHRQVTALLSSLRVKSNGPASSLR PSIAMRRQSERSLASAASAQSPLDSPVLPPLLPPRSAMRVPPPPIAPPPAGRLPPVPN VPNLPKTPDLANSSCDHRHSGGIFRPSSPTNSDATNLPATPSESLRESWILPQHRDWS ISTGSGGGGMMDSRSSSGSGLTGLLPVLPEDDPMPTHGNGRFDLFPWKKQAMTEHSLL PPASLHHSQSQGQGQTQRSQSPNVSPISHNLFSFESSPLPTRAQSVQQSMHNSPIPPT ASRVASYSSSTFSPTYQQILQNNRHLSIATQSSGTSGLSNMSGMSNPLSPTGTDWSEE SIGGLIRSYTGKGKSGMGREFDSSSISSGSTGTSSKKAAKEEAKLIREAEKRQKKAEA QAHFEQLRAEQARKMALSKAEAQRRDDIAAANSKAQLDKKVMEKAAMEREREQEKADA KSLKGAGGSGKKSKWGKLANGFKDAVLFPEGGSQSTMF L198_07377 METNLAATTAARKERLIALRRKKEEGETSGTFALKQRNYDPETR NLRKRDAEDGNQDTVEKAVEGLAEMIIKEDEEKRAEELDLFNIQPKRPNWDLKRDMDN RMSKLDRKTNEAIATIFRQRLQSLRKNQDPSAQVDLVASINAAEHERDEQTREESEEE L198_07378 MPVAVPPTEGVESPPKAPASTATKPRKRFVGSSKTASSSKGVVR KVANQVPDDILQDPAINAAIAALPGNYNFEIHKTIHHVRRDGVKTVALQMPEGLMMYG CAIADIVERFTGALPMLLADVTYGACCIDDYTAREMGAEMIVHYGHSCLIPVSQTTLK TLYVFVEIGIDTPHLSLSVRRNFPSSRSAFQRLILGAKEAAPGGKVPIALESSDAEAR DQSSSVAEEDLPTRLALVSTIQFVAATQGLRQDLENVMPPLEKEHVEEGGEEQDGMMV KVKRGDIGVWRGKYDVTIPQARPLSPGEVLGCTAPKLKDVDGLIYVGDGRFHLESIMI ANPSVPAFRYDPYSKKFTRETYNHTEMRGLRGDAVKSARKNLADHGSGSWAVLLGTLG RQGSLAVLKSVQNSLPEGSLPPMLLLLSELSPAKLALLPEEQISTFIQTSCPRLSIDW GYAFSRPLLSPYEASVAVGRIRGWEGLTLEAASSGPCASGQGSCGCGKEEEGQSCGSG KGGEGEGIMQRLEGAGEYPMDFYADDSLGPWTPRHRPPRERAARPRPLRSAVA L198_07379 MPTPSTAGSPLLIPHPERSAIHRFTTQQRPSSPRASPYPSPSPS PPGVSRPGMSRRPGPPRRTHSFCATEQQTFALASASTSSHLSPDKACIVAPPLERTLS SIGSKGNMSSPEQRMARPLPDLAEIHEEDDMTTPKKARFDSSDRRILTEMNSNLQLNT PGHSPVTIVSPSPVKVPTILVHPSLSPPRPSLAPLRTSSASSSASASSTSSRSSSYTI VTPASPRGMLFNVPVRERDLDEEMEDVDGVLGYMDVVAAAAGKKKRPVSCEAAVERVV NGVDRLALSC L198_07380 MPAADLPKPPDPLAPPLRLIVALASLCAKSSVTLSASAQKWYEA ESTSWIRSVCKLLEFNIEQLPSSIEPSHVKLAADEQREEWSREEIIRIAGIFIEASVA QDAQESAKKGNKEGALKYTPVARALSYRTAQLLGLPANELIPGAEKNLAHTLFKALKS ASYHEQKDKVESARAAQSQGWGGSLGRHLATGAGVVAGGVLLGVTGGLAAPAIAAVLA PLGIGTVLSASAAPVVLGTLFGVGGGGLAGRRVRERWRGVEEFSFIEIGDGNKATQEE IDDLQASSKKVKDDAAAKAKEEAEKKQEEEKPGEDGSISDEQAAKNVEAGRLDIEQRL LSLTLKSGTRTSISGPNDSFNATPESPRVSLNKPKDEKAIAEAPKPPSLTATIVAPGL LTVSRTEAISAWRAICSSVSSSQATLAPRVVPREKGEPIGAEEVKEGKQLGLKDGRDV YLLRFESAAMLKTGQDVEFWVESKLKGYVKKEIIKRTLLNAYFAAVSLPLTVYSMASM TLDNTWVHAQDRAKKAGRLLGEVIEKRVQGERPVILIGSSVGALTIQHALLYLASLPT PPGARSSVPAYVESAFLISLPAAPTQEEWQQCRSVVARRLVNVWSDSDFVLAGVVRLH EVVSKAAMGSSGIRVAGLGPVEYPGVENVDVSSVLRGHMELQAKMPEILNIINVDA L198_07381 MLVNPLHLLGALSAAVFATAGDSAWHLDYVNTLVNEALDPVVSP NAQASHMHKIIGGSRMAAYYNFDDYAGAKCSSLRVQADKSNYWMPNLYVLDNAGTSDV TFTPVPAKIRFYYFLSQNSDAELVSPFPKGLRMLTGSPNNKAATSVASFTCQINSGFT DSVILDNFNFERDCPWGMKTELYFPPCWDGVNLYKSDGSHMSYPSQDVRDGSCPWSHP VRLPAIQLEYTWYTSYHNPETALKGNLAWANGDTTGYGIHGDFVNGWDIDVLTKALHN DTSCRQGKATPMDECLTLYASFDASAQASCTPEKGTLTEPILGNDDLVTIPRLPECNP VWGSSGSKPTCSPAVAGIDVSSFKGTDGAYIASTAERRDYVAPTTPGWHTIACLKDIS SLTGGVSYTDSSMTQESCQSTCLTAGYQYAATGQQGSTWNCKCGTGINSKAEVKPGMC TVACPGNSAELCGGSYLYNIYYAPNGTTSSANSTRSDGSSYLGCYSNPSPTTAGLLGQ STYNFNSNSMTTEVCIQACAGQGAKWAATNSQKNCFCGTNLTYGTGAFVNDNQCTTKC SGNSSEICGDYYKDSVYDISQVTGAAVAGYAAGYQGCYTDSGSHLGLTANSWTLSSMS VNQCINGCSELGYGYAGLYSRSQCYCGNTPSSSKSVLPTSQCQAKCAGNSTGTCGGSA AMDLYTVTSATVTPATVAAKKPSSYLGCFKDAGSNLAFSNAYSYSATTMSVDICKSAC QELGYAYSGVENGNQCKCGAAAPSSQQMVSSLYCTTNCTNTASQTCGASGYIEAYSLA NSTASTAMPGLSASAYVGCYQNSDRVLSTYSYTDSSLTVESCRSSCSGLGYSLASVYI GNSCGCGNSISSSLTKLPASQCQSYTCKGNTTEYCGGSTQAAIYNTTGSATSTSAEGY TGCYSPGTFLTSAGLNYNGAYMTTGLCRRTCRANSYSIAALTNGNACYCGNSTSYGAV AASSVCNAACDIGSGNSTLNCGGTHSAALSVYDTTGAGSQPPSGYPANYVGCITDNPR KLPNLTYTSGGMSSSLCKGQAVKSFGSGGLQYGTENGNECHAAPLSVKINTPLFPESY CTSRCAGNSAEICGSGGRLSWYIVQGNAAGGTTTSAAATSTTSNATTPAVSTSASSPA AASSTSSAAGAATSGITVVDGTTYLGCYSDSGTDHTLNGTRSTTNTMTAKTCVSYCSG LGYAYAGVEYYNECYCGSYAPLASRKDSTNAKCHYACKGDSTQFCGGGGRVAIYQASS VSSSAAASTSKASTTSAAASTSAASSTSKASTSTSSSSSAAASSTSLAITTITGSTYL GCYSDSGSDRTLKGTKSSTSTMTAKTCVSYCAGLGYQYAGLEYYNECYCGNTAPASTK KDSTDAKCLYACKGDSTQTCGGSARLGIYYTSSVSTTKAARRSLPTRASERDISRVVH RRRGLGRV L198_07382 MRIFPTILGFALSALASPQLVFPDSDSNDDISLLYQSQSPLIST LNPVEGQADPPKGNVKYILPTERTFLLNVPEGYLHGKEHPVVFTFHGAGGFSEKQQRV TELSDPMLKIAGKPFLTVYAQGVNSTDWNMTHIWKGAPYENKTVDDIAYVYDILHTIS TTYTIDRSRLYACGKSNGGGFTALLACRPDTSAFFAAFVPVSPALYQGVYSFHGCQED RAVPILQVHGVEDDDTPFYGRKPEGGGYGPEPDVRLWRREWALRNGCVGRWPGQYPEP EVKEIYEGVWEEVWDCPKGEVRALSVEGLGHSWPSTLGLDLAGSPNQTANFNLTSPHL VDFFSKHQLLL L198_07383 MSSEAPIALLSVYDKTGLLPFAKGLKELGFRLLGSGGTAKMIRE AGMEIEDVSNITKAPEMLGGRVKTLHPAVHGGILSRDIPSDLADLATNKISPITLVVC NLYPFVLQTQKPDCTLAGAIEEIDIGGVTLLRAAAKNHGRVSIISSPSDYETIIAELK EKKEVSAETRRGLALKAFEDTKSYDEAISDYFRKTYATPDVGEDSQAGAGVGYQRLGL RYGANPHQKPAQAFVENGELPIKVLSGSPGYINLLDALNSWALVKELAAGSNLPAAAS FKHVSPAGAAVGIPLDERSAKVFGVDDLKELSPLACAYARARGADRMSSFGDFIALSH PVDTPTAKIISREVSDGVIAPGFEAEALEILKKKKGGKYCVLQMDSNYVPPEIETRQV YGISLQQKRNDCKIDESLFQNVVTKNKDLPQSALTDLVVATLALKYTQSNSVCYALNG TVIGLGAGQQSRIHCTRLAGDKADNWWLRHHPRVLALPFKKGTKRADKANAIDLYVTG EAFEAEGGERAQWESLFETTPEPLTKEEKVAHMKELKGVACASDAFFPFPDNVHRAKR SGATYLAAPGGSIMDAECIKAADESNLVFCHTNLRLFHH L198_07384 MEEQSSPSEDGSSSSPGLDAGASSSDAPPSTRQDSFNTFMKSIQ TQFTKLKSSKSAGSSSAEPEVMELVPEEEREPVSLYSERALEEAIDDVTREIQENLAY QRLGQACLVDPATRNALPSIEHVYTAYRDIMDNATLHDTIHSFVSEKMELERNLALEA VNQGEIGDLGFAYCFHPDVDTTPGSWGLNMSISPGWGGNNLVHIAPVEGIKFGNEEVR SWFAEGEKSVMAETGKRLATEGTELVSNMKRYPDPRVSPMFEWDTMDERIEQYDWHGI GKSIKETLAGTIDETIAANGEQRREGGFSGQGEQRNIWLQPITALTEDGLSASLRWSS KDGFFDGTGKPDEIDIGSLVEGGLEAWRDIKGKKMVRD L198_07385 MAEVIGLTPVTSQFSQQATETARGSSALAEQQQLGDEPESASAL PPVDTGRRAWLFLAGATVIEIIVWGLPFAVGILHVYWTNTLFPGYGASTITLAATLQT GLLYMSTAFFGPIMAAFPRYQRHFQILGLSGACISLISSGFVTKPFHLVITWGCIFPL AGSLYMPCATLLFEWFFERRGLASGIMYAGTGIGGTVFPYIINSLLSSVGYKATMVSI GVAYAIIGGIALIPTTRRVPLSRYGSGEPGPRRQGLSLGFMKSFTFFMGIFTILFTSL GNFVPSLWLPSYADDLNLTSPSGTALISILNAASVPGNFLLGFMSDHIPLQIVISISC IGTALSCAFLWGWGTGGGTLVGFCIVFGLLGQSFTALWTQMIVYISKDDPLASPLVFC TFAFMRGVGNITSGPISGALLNISALRGATGAYGFRNYGILLIYTAVTILLGAVTGLL FKAR L198_07386 MPKHVPTFKNLLHTSHTRPQQDQQAEAPRPRRRQVNREIPAYLI GQNPWVPNSAGGVDAELAELLRRFEHSRWQASRTTAGPAAPPSWHVARQKASRVSSAP SVEPEYPPVTRGDLEQSSALLSHRPKPRSTPVPSLLEYCFSAMLRYIDDVTVIYAPDE GLEAEEAEAETYTISRLVREQVTYLEPHLKIALLDASSLVPEPYRPISNDSIRAILSD APPDSDDEVEPEGEEPGDDDDWDDWELAPVSLPVTSHFALTRHPSPHNFLQTLPFTTS LTSINLAYSTIPNIDALVQVLPAGLKELSLVGVNFGKAGEQTVKRGLLRLGRKLIVLK VLDLSNPRYALPTTTLTSLLQPAETQLPSLRTLGMRNLPLPLLTASQSEQGVKEDEGE ALRVGRKGLGNVVRGGGRLRWVDIVWV L198_07387 MSRPQTSAYAASPSLLPYPPNSPLSSPRIHSHPILLQPLPPASA LAQESTFQSELELDRQTRQIKQEKELQEALQDQQWSVERAQVRDQQGTWSKHQKKPDL RPSISDKWGQSQAFAHPPQAWELYRAIDKHDIEFIMRIRDHSFKMLLQKSGPDFPIVY AARLGPQWRDIVIILVGALSRYVNHLDPEDFEKKETKDILKGLRVNLKLAIDYSLHSS SPHLLASYLQVLIMSEGDEFIHKSISSLALLFRSSPLNQTKPVQSAEDTVRRFCTKQL REVQEGVAEVEEYVANAVLDLVIMAVWSLVAGELGLERLPTHTFARDLRTFQTFSEHL SDPETTPKLPKLKVRTRKMVNVLKELAGDNVKGVRGRLRDVRETFDEGVL L198_07388 MMVHVPEADVLKSAPRHQLSARYPSPGGDSSGEPEKGAKNPEAW AGLQQQQHAQQQALQPPQPQPLAHDRWQRSDLRLQYAVFVAFAVSAIRLGAGLVLTWK GRTAPGHVLYDYAGPGFWLSGAFVWYPSVIAVWRRVPGAQLRARRWNEITMAAVLVLI VYTVGNFVLYYRYTHQ L198_07389 MLINQDSRLLGSYFGEVPSGLWSDPQDHEFDINEQREGRAPDLE FWKEIDKGWWFDGEFVVTTAHQQQHKLSAHNRSARHIKEGRDETSGAEVASKFYAQGY GRQPDSVRAASSSSSSSSSVSSLRTVVGDSSFTSTKTLDYHKATDEGASAPTPAFVPQ IISVHGWSLLHANPVEVNRHWAVDTYLRDHIRPYIPEAQLVWLDYPRAESAG L198_07390 MCQVRYGRYQGVVLVSAATDGKAFVWDVATGRVRAKHSHSEPIT TVTTHPFPKLHLVTTASADSTLKTWDIRTGALVGTHTGHMGVVNGVMNGVAVAPAPGG EGKALVSAIDEGVSLIWKI L198_07391 MPTRCDVVRTCCLEAPVPTSAAAPQQQHLSSSTSAAAPQPQPQA QLQPHTYPPPPPPSPAPRPSSSRSPSASSPSPASPQPASPSPASPSPSEAHRSQQPPN QPRAL L198_07392 MADSVLPIPQVSQPSGHSSTSKPKLYILDYGAGNVRSLANSINA LGHDFEWIKDESDFDKAEKLIFPGVGSFEKATSALHTSSNLSKHLLNYINSGKPYLGI CIGMQVLFESSTESPGSKGLGVVPFGITKFTAKDAGVEGGKKSVPHMGWNRAWRAHKQ EGEEELIHDEDYYFVHSYAALLPKLAPGEQPPKSVDDFAYTLSRYGSETFISSIRRDN VFACQFHPEKSGPAGLDLLKRWIEAPVESLSSKSASSSSSSSKVWQPTNPDPLRAQGN GLTNRIVACLDVRSNDAGDLVVTKGDQYDVREKSDEGGQVRNLGKPVELAQRYYLNGA DEVAFLNITSFRQSALLDQPMLEVVKAAAETCFVPLTIGGGIKDTVDPDGTVHSALEV AGTYFRSGADKVSIGSEAVIAVEEMLEREARGEPAFSGKTGIENISKGYGRQAVVVSI DPKRVYVDTSSPDWLSSFPEKHLPSLIIGDKATSRTAPQEKGKAWWYQCTISGGRAVR DIDVVQLAKGVERLGAGEILLNSVDRDGSGAGFDLDLIKLVKGAVGIPVVSSSGAGGP GDFEEVFRETGTEAALAAGIFHRGEVGIDEVKSFLEGKKMAVRNVKP L198_07393 MDTDPEKGPSSPSSPTFTHNNPHPYGAPPIPHISLRRRRLISQI LLGVGFFSIAGWVIWGMDADAYLARGRPIDASIPGIPLGADGTPYPILFEPDPPSSSS SSSPSPTASSDKDPNHVLSHAEKMAAQGKIKPQSWGLDLNPDHLTAGLSPWPAKPEVN ESKEPLSVLGHFADGIYDYGPEGKEEYARVMREFVKIAFPKAAHTVLLKGLDHFLGDS SEEGGDWAWDKEKFVWQTDKDGRRLESPEVKSWRGWKTKNEKWEWDLLTDKDAEKWVK KVLAGSRVRQVWDGLPSGILRSDMLRYLLLLLRGGIYSDTDTTLLKPPSKWGAPPTTP PVLFNNGDGWLTPEQKERLEAGESHDEVLGRASVVVGVEADVGDREDWYDWWPRPIQI VQWTMASTPSHPIALNALLRILHATSTAIHWSHTQAKVVKILLDQGRYADAESLAQVT ALNEPKNGGPVGVMAWTGPGVWTDAVLSYLKVGWGMRWVDLKGLREPMRVGDVLILPV TGFSPGVGNFGAQQRGDPQAMVEHGFAGSWKTHGEP L198_07394 MNDSPPTDIPPILLPLLAALSSLLHTTQHLFDSLPGSPIILRYI RSSYQNDPWRSLLEVLLVAFAIRTLLKGRTRGEEEGKNVIKFKEHEIDELVDEYQPVP LVEELVDYDAHTLETVPIVHGPNGARVKLSPNGKSVLNMAIPDWTGLVENDKVKSIAI DTLREYGVGSCGPSGFYGTIDVHQQFERDIAEFIGTESAIIYSQSFSLVSSVIPAFAK RGDIIVADRGVNFAIHKGLQLSRCQIKWYAHGDMADLERVLQSVEKDRKRKGSKLTKM FIVAEGIFENDGMMLDLPKVIELKKKYKYRLILDESQSFGMIGQHGKGITEYFGIPAT EVDMLLGSMAVGLATGGGFCAGSQVVCKHQRINSSASVFSASLPALLATTASFGLTVL KEGGALNTLQANIALFRQQLVKLEPSADDAHPNKDALISIPSHPSSALIHIFLINPPP TLDAEERVLQDIVEEALSSHGVLLTRARRLRGQEVFEHEPSLKMCVSSVWGRKEVEKA GQGVKAAIQKVVGKRR L198_07395 MSITLRLSSRHHPRLSSSAPALIPIPRLAAKRYSRLCALSVDTP EQAGVGCRARREWANGQLGLGGVPRVARRSGGVLGIRSHSTKPTSTTKDKPQHAHTHK HEDGEECDHGHDHSHSGIFHTHAHDHSEGAEQLMEALSKGQMDRGTRITLLGLGSNVA LTISKGLAGLWMNSASLLAEAGHSLSDLLGDFVTLATWRISRKPATDAFPWGYSKFET FGTLTVSVILVGGAVGIGLHSYHLLLQTLLPYLETFPPGTLLRSLAPHLPPSIPSPLL ELFHSHGPSALPHDHAGEHVHSHGVEAATSGAILNPHAAWFALASVVVKEWLYRLTSK VANEEHSPVLKANALHHRADALTSLVALSSILGSSFGGYTFLDPLGGICVSFFILHQG LSLSKVAFLELLDAGVDKSTKASIERIVGGLVDGKDLLGVRNVRGVKSGGQTYLDLTV VVPPHMTVVDSHNVEQRVREVVMRKRKEVREVKIHVHGDDPNELLVVQDGDGGKVAKK GNGKVVVGVTSDFGREGC L198_07396 MSVHEPSSDLEQRLEEEEVAPPPAPGATERFRVPEEATRIVTNY MDSSTYKEHKSRDPTYTAIGPAVQGGLVSGKRSDTKSHVQMVQGDRWTKVEGTLFGAG WSVGEGTLLEGNLMIDPGVAMELSDLESLEDVRKEAAWYMPPPEDE L198_07397 MDALKRTDYPQRTDEAKYKKLGSTGGRLNQFIGGHYAKYNLSSL LFAHRLDDKEHVKLEVWSAPDRSKPSFEEAKRQTYKPAKKGDSFGPSWSNHWFKVTLR IPKEWAGYERVQFEFDGSGEAMIFTTDGDPIHGLTGGFGGDRRVEFIVPQAARDAGVA HYYVESSCNGMFGIDNMQPPDDNRYYRLNSADLVVPNQEAWRLMWDFDAIHQIYNTLP GDSALAKKAQWVANEIMNVFEEGSLESVKKGRKVAQTILGEDWEKHIQDESEKAEKEG GTLWGVGHCHIDTAWLWPFSVTQQKAARSWSTQVDIMERYPEHRFSATQAQQFKWVEQ LYPSLFARIQEKVSEGNFQPLGATWVEMDTNLPSGEALVRQFLYGQRYYESRFGFRSD TFVLPDTFGYSSQLPQISRQAGAKNFFTQKLSWNSINNFPHSTFNWVGLDGSQILTHM TPVDNYNSQCNIDDIRKGVTGHKNLDVTSQALLLFGNGDGGGGPTPPMLEKLRRARSV AQRPDAGGQLPLVKMGGSFSDFFDSVRDETKGGVRLPYWRGELYFELHRGTYTSHASI KKGNRKSEILMREAEYAATMATLADPDYKYPKDRFDAAWEDLLLCQFHDVLPGSGIAM IYQDAEKKYAALHASISSILEEALSVLYPKTKLVGKDEKAVGQVFAVNTTPGMARREV VKVELGKGVKGLKEATAQVAESGDAGWVLMSTGEDKSLAMPQGVFVSPRVEIHEKDDV FVMSNDNLSLKIQDGRITSVYDKALDKELIPQGQSGGLVIMEDHPNAWDAWDVDEFHL EKQTHLKFGSLRIKEQGPLRGVISTALQVGKSRIDLEIIVDAVPASVREGARSMIRFD AVVDWREKHRFLKFELPLDIYSESATYDTQFGTVSRPTHRNTSWDAAKFEVCAHKFAD LSEFGYGVAILNDCKYGYATQGNVMRLSLLRAPTEPDADCDMGTHAFSFAIYPHKGTY TESDVAQVAYAFNSPLTARHATEKTLDIASLSQFATSNPFSISNAPNVQLETIKRGED DDFGKRGGNTNSLILRVYEHFGGHARAKLNISGLSIARAEIVNILEDHLEDLTVYSTS DKSNTSHVELNLRGYEVQTVKLTVKNVHKKETDGWVKL L198_07398 MLFGDALSLLARCSDNDRTDPEDGLTCPKRWSIHKEEKCSSQRL DINSAPGTLLQAAIAPGNQRKAPVIPKPGPALLYTRPPGTFQPSLPQQQQHAQQQPPP QPQPPQPQPPQAQQPQAHARWQGVDLPLQKTVLFTMFVSAVCLAAGYWHNFDHPTVAG GRLYNYAGPAFWLSAALFLLPGFIAGWNRVANAEVQSRVWIGVAVVALLSQLYNMSSS QTPPQSQEHQPHTHSQCYVSERNLPGCWVVADLEGPQSQEQHQLHTYPPLPHESSQHS PSSPSPSPSSPSPSPSSPSPSPSSSSPSESPQVQQLQQQLEQQEHQQEQQEQPQAHAG WQRTDLGLQLAACAPFAFSLHAIFLGAGLVLTWKGRTVPGRRLKDYVGPSFWILGALL SFPRLIVPGTESTALGWLAVAMAALVLGIVSTVGNMVFASQGHG L198_07399 MCDDMGTNGMDNSFESFEFLSAPSGDADLSAADNSETLGFVGDG ALYAADEGSVLGNGLGHGTFPAALDTHLPGEMMIQVAEEPAQNRVPQVYTQVPIAFPQ QQLYPAAPVAPAHGSVATGSSFAPAVPGIQGDHQNHRDPPTNGLHVVASGVSEDPFAD ITLETINAFNGPCNPIFNTHRLLTTPMAPIGVPQASIIMPTENTQQAAPPMMDWTNYP YEPTADHMLPPAAMPVDPMADISPFHVPPAAAATLGLDDSSIVSAFLGDPPYQSMDPR QMPVTNHIYQTPTGAQANPDIAQQTNHHISAAPQPAPSFVPSPHVLGNDDSSLTLNSS ATQPAAKKSASKRKRQSADEGDKGSSLQVTDEDGETTACIIKNGKKIKVQLEDGEVVQ EVQRVWTGCNECRRRRRKCNRLHVDKKEPCDSCIERGIPCVYSDKERVHASKGKKKSP EEAAAFRAKKEAQKIEDARLLAEGKSPRRKTKKNVQARMVIRSSPIKSKSASKKGKER EIEADTTSASSSDFSSPPASSFDEGSHFWSGD L198_07400 MSWSYILNHLSCINTSPINTSVKTARRLLTPADYLPYLVFPTQG SGPLIVIVVIAAEFSHRFEPDAINTELTDKGQALPNHSSAVTFPASGSVATSHSTSAG KSIFLNTISTAAFSGLHPSLLDPSSSTPPAVPDTPSIKKRKRDPDDDGEQLRSLLERS AATPEAHFLLGTLFSFAGWHDDVPGECEEYELPLIPLRGPSNKWLYQEYSIFEHQPEG IPEKVDRKGKGKAKEAGPPSPALAASASPIQTINSLETDADYLTSNSNKMGLEGQCSD ELVRYEGEGEANSSKVTLEVLDERDRLSSEGYVKEREDWINGFIGRRYL L198_07401 MSDRSQSPEEEPRRGGRARKQVAKFDASQPDGKKGKRKQAEEDD EDEEESEEDQSDNEPTPKKKRAPKAAVPKKPRASAAAAPKKKRAKKAAPPTAEGEASQ AAEPEEKTDSPLYNALQQPDIALQPLIDDWIETYQQAAGDEVSEQTAIHELVVFFIRC CGMSTEIEQAEATDDDGIPDTIERIQDESVRVALATYPLISKAKHLKPFKSNLNEFLQ HFIGSLALTPIIFHTVDTTPHSSLLLPLLLNWLMCMSSSPLRPIRHTSTYITHKMITA LCDVAAQVSKDLSVKQRQRDAEVRKAGNGAAAQKRVKDAEEKVKEEQERKATLEAIMN EVFDVMFINRVRDADPAIRTDCLRELGVWVKKYPEYYTSSSTLSYFTRGCNDLNTHAR LETVRALASLYSRETFVNSARTLTMRLAPRIVEMATKDIDLSVRVAAIQIITHIDKTG ILEDEDDDLRDQVAKLVFDPEPRIRKAVGGYIVNLWEERKDGMKAVWSGLRANKKKRA GKTTEEEMSTRLEWKSLAALLVYTYKSLDIDAQQQSEVVDKQSALLPTPSSPPMTRAI AAVEAISAEQEIWRDWESLADYLLLDHSTSEEDMWLLNEDEETFMLQIFLACIKHEQD EEEESERTKTLIKVLPRLLPKHQADIGRIAGILSIPLHMELNLYLDMRMTPAYESLWD DLTKQFLHHTSPTVLTPAIQAINKLNANFPLANVNEVKLGELEEALFAGLRDVIGSED VALVTLEDDQIGQLEATLLRVTLLQRSMDMTEVMEDEEGQQSSGWDIICALADRGKLG YREEATMVGYAVQIVFFHITWLFKRFTAEDAQDADKVTTLKSRRDTALEMFQHLSLGE TANTADSVRRHAFISFINTYVLFSKRNNAAAGKALPAAAGVAALKMEDELQHRLGGAF QASIEKYAAIVETRQAGRDEEQEGEPDLTPQELQDDFVFFQLVSVFVGAVRCGILDVD HAKEPLAHYGRFGATYDAIVKRLVDVLRDEGIYNKEADTVQHVAGNALEQSFDIFLES DVDEPSAPIALARLIATAFVIQGSQFTILRQLHPSDVCDFHISALDYCSRKLSSAVKS ESTARNKEQKARAVKRRYAVVTFFKVLVPLLGAVQGKDALKIKSHLEDVIESSGVHVT SNKGFEGYRQYEKKLVAIASKDPVVRVLAKTAAPKKVLEEDTEGDENVEDDEEDREVT PTPEKRTPNKAEGRQRSNTISQPSPNGSQSRPQHQSQPDSSLTPTSSPKTNGASKHGP SPSQSQSSAKSSQKKKHPREENEEDLELDLDESVRGNEDAELDLDLDLDMDEAESEKE KEREPSEEPAVKRRKTKRG L198_07402 MSSDSQCFSGISPMVPYPFPFSLPTYRDTSTEDLSAQAVAAPSY EEEAEEGLFLDGVKVSQEAFFNYYLDIQSAPEAGATVETAGSPTCDFAIATVSAPATP QITSTTTPTKNVSTCPAPSTYPLSAQTVVAPSHEEEADAELFNNGVKVSQEEFFNYQF GIQPAPEKAGTIDVTAGPLTREPSIVPISPPTTPKLASTAISKTPVTPTQKSAGKKRS PNPSNKSPAKAPTPAHLKRAGIKRTKKHNRSTMACECCRIARRSCNVDDIYRCDRCTI RGYKCCFWLEGRGALKVRPRTIELGLEIFTEDGKQMVIAPERSQIGVQAGPSQPASAA SLEQSSSDRSSPGMTPSFTPSTSIPNLSYSMSAPSSQASINLATPSSAMFNITGPAPA FESQPAAAPSFNYGFNGLANLVTPSSVMFNISGPAPAFESQPAAAPSFNYGFNGLAAM PEFQAQPAEADIDWSFGSYVASAPATASNVHVSLPAPTTFRPAPATFGPTLGLEMDVA GKGPWNFPADSPAAPLFTGPAMTNSFSGLEGMMDVGSSCEVGGQPFYWDELEAMA L198_07403 MPNTPSPASSTSTDETTLPTPEHPYVISRSPSPSYDSVQGIMPS DKTDEDVFSPTAKPQITTLHYESPVERSADSTPGSIPSISVEDTVEPELGCGASPTPY AKHGSALKGRAPPPPIFLRRSVDGQDDASPEAVSAPGTAPRSSHPYAASKGLNISGVS MPQITGGPVVEQGDEGLDGQEEQDALPELVSPHRLNFDNPSNAPVSPAWQSFDSPSVY SPSFKRQSYPRFPSQSNLDVPSPLGPKSRQHEPTSHHGIHSRNLSLYFPQPGSVPPRE NDGGAGLAPSPEMATTTLIPSADEDRKVFGGAGNWSFGQARPEGQAGLLTPDVKRSKR RGHHHKHSLSHNFFSFLDPTETNPTLSKTEPSAPPPDATPAPVPMPRFPSTTTDSLSP MSASKLDHWGRFLLSFAVLEFVIGAGLWVEGQMSGWRCLAGVGYLVVFDALGAAVGYV ERKEGGGWSSVRRPYGPSRYVALLYFGQCLFLVFAAVYIAKESIEQVILGANAHDHSV GGHGHEGGGGHSHGHSHGGGEGEEERAFPVFLLICAAVASLFGGGVLGNHAKLVDAVG SLFLTPTYITLPFVSKLPSLFGNPFTLTVAGASVGILVSTAIVPSSSLHSVDALISLL LTIFTSALAYPTTSFFGHILLQTAPPSSTPQMSGLKKALREVKEDRRVLGLGIVRCWA VSVGKGGWDDYDQQGPGSKAGSSISSPVVSPRGSMEFNFTSSFSAFTPAASNSTASSY FATPTSKEEASAPLVVTLTVHVHPDSADGDVLDVTRLAWGKVNQAVGRGGGEGEVSVC VKRGWEGIEET L198_07404 MLINQDSRLLGSFSWEIISGLWSDPQDHEFDINEEREGRAPDIE FWKEIDKGWWFDGDFVVRTAHQQQYKVSAHRRSARHIKEGRDETSGAVMYRYKEHRST RAAALIKQFAPVGKIRSLWFEEFIDEKESSATFLCATQMSHFLTGLVMTLHERRSWGT LPLEMERELILRYYELIRSEPGELGQMLRTISHWEEDHHKPRRWVPNATFAMFKPLDP STVFYQECEHHFPMAIPSTFISVAKLALIRHEQGMDKVWWDAWWLVRPDMRRYFRINF GVRYDYLSVREEDIYLRMNYPDGRQVVESDTAYRNFPKFEPVDPWSCQVTQPNLNEAE TLTRIRRLPETSMPKHMADSLTP L198_07405 MGLTASWPSTRSYHDLYTQDLASSLAQSPMGDAYRIDVLTWELA DHPEFKAALEGLVYTRRAKPKVWEVYLSELNPVATFHPQADLESTWVPPPQHPLGNHP LPFDKCHIDTTLVPFLLHHAIKTPAINKQPVTTPSTIPAITPPLRPRMASKVEVQPLG SAIPSGVVDEATEGSGMEWTIVTARK L198_07406 MFGLHDHVIEDASPMPNPSRFSFGATDLHEDDLEANWPATQMYH DLYTQDLASSLAQSPMSDAYRIDVLAWDPKVRLIELELLLEQPGVWEAYLSDLNSMAT SHPNVDLEDT L198_07407 MSLHFPSIFIIHLGGAFNFPHPPSASQAPRPHLLAVTMVHSVPA PSVASSTTALGISDPSGSTSAFQAFHTIVLVSAGSSVVHLASLQQTSTMGLSSGAIAG IVLGVVTGCFMIAGVLIAWHAQEEEDQRGKGGATCCARDLRSHFHLCQSSRYPT L198_07408 MLINQDSRLLGSYSWEIISGLWSDPQDHEFDMNEEREGRAPDVE FWKEIDKGWWFDGDFVVRTAHQQQHKLSAHRRSARHIKEGRDETSGAVMYRYKEHRST RAAALIKQFAPVGKIRSLWFEEFIDEKESSATFLCATQMSHFLTGLVMTLHERRSWGT LPLEMERELILRYYELIRSEPGELGQMLRTISHWEEDHHKPRRWVPNATFAMFKPLDP STVFYQECEHHFPMAIPSTFISVAKLALIRHEQGMDKVWWDAWWLVRPDMRRYFRINF GVRYDYLSVREEDIYLRMNYPDGRQVVESDTAYRNFPKFEPVDPWSCQVTQPNLNEAE TLTRIRRLPETSMPKHMADSLTP L198_07409 MGLTASWPSTRSYHDLYTQDLASSLAQSPMGDAYRIDVLTWELA DHPEFKAALEGLVYTRRAKVRLIEPKADLGTAQGLGSVPFRTESCGHIPPTGRPRKYL GPSASASSGQPSSPL L198_07410 MSLGLISGGYPLHIELMEDVGGPAIGEGKLRDLPLQDRLFETST IKFMRCALHRDIEPRHILRRADGRFALIDFDSSRSVKDGLKGDRELASKGRPVAAMLG LKDRAARKAESLAGMGVLRIAHQPVRNSPAPLRLVLPVAKERGSVGHVGTLVVKEQKW RSSVWVNTHSDVDSTLISMEKTIPMEGKARARLRRHLPPLRYIHENAVLPPNVIHHVL SQRISRKEANASQPRRPSLSSTLISFDGSRQGFSDAIIAPIISAPGSILPCPLDTTPY DVAIQFNESNPFVGQFPYHYLVYTPIIQRQRGPGVPTNATTFVYRWHAESIVVQQHSH LVCSEEECPSSPIRVFACSTLAHQVPWFIVDREPESDDESQEELEFLSSSERSDDKFP VYESSSSPLRGESSSSAQRNSEIGFGSQEWKLWGEKRKSQSGSHATFAYTISQAQECY TLIIAAQNESILHPSMRIDSCQTTCFSADGMGGHQIRVHVACCTTSAPALALPVSAHR TWQISESSRHTHPPQAPRATRTSSIVPARLAQTTPAKKSMICKSLEKAVSEVGDGDGL E L198_07412 MTAPGPSPGPSTPTLNLTNNNRTTSDDNTDGSLWTEILASTDRQ QSLGRKNVVLLSERNHGRTHLLSQLTASSRKKKVPKPSQPHTQAAGEAGQERRSRKGL ALGYEVIEVGEDEDSAPPLSVFYPPSSHASLLRLVPSALPAKSLSDTAVVIVLDWTKP QSMLQELLTWLSWVETWASEAGERGEVEELHERLQSHLQHYTEPSPANVGTTTYAGVG PLLPLGSGTLTLNASGIPIVVVCTKADLMDNAAEDVGVKGGGWEEKTDRAQQALRTVC LAYGAALFYTAPTQPTTYALLKSYLLHRLYTVPPPLNPPPTSPNTVSAPAIVGSTKFP FNHRANVLDRDAVMVPSGWDSWGKINVLRDGFDPALVEKGWKVSLSRYIAKSAGQEPE EDDGEPLEDFWQALLPSFASPPPPSPANLTTVTEPSQNFLSRQLDLLMKDPNRDPRQS FRHAASATSSSNVPSATNPGAGGFNNTAVGPMGGAAEGLSLPGVEKVMQEMEGRIGEG DDAEEGELKDKFARLGRKDGKAAPGTPAPAMPNEALHNFFQGLLANRGKTGGSAAGTP TKGASAEGAGEGK L198_07413 MAHAGPSSRTGGSTAVPQPVNQTPASMNPMPEIFRSICDVNAYP SDIGHPANGGLGGPLQLVPFDLTISHHYPNSFTIKPYSTVDQRAMGSFWIECYPDIAT EYGLQNKHIVPYLRQFYVHHDFVQYPTSWETNVYFCPTPFYLHLGAEFVDLPIVRSIR ENDTELKVRSLACAPYIVADQLLQRLERSSQVASLLSRKLDGIKPDVALTGYHIGNLA EGTYQKEPADGRQLTYTDDPQEVLYALIKDKWLPWPGASNIDLKGKIDLHLKGLQFAN MEAITQTVYYSELGYTLSKCRSAIALANGDYTRIVNLSDLPTEGEEAALEGVTLGGGG GRRILVEADPAVVAKLRYHRLDCLSPHQFGTLAAGEPLFGIQWKAPNSLIANYEDWSL DQEAKDRLDATVYLNLALATHHPEAVQDPPISNDSLTHVIDANASEKEAYQLLGVSKK MGAHRDQVEEEEDEEENDDHEGNEENDKQAGDRRDEDNGNPDGETGGPGGGAPGPGNG DPGPGSGDPAPSGGRSSRPRSRSDHNQDSSSKRQKKADVSGLPPTPNALSCTTAEQVL PLEHWTHAEYIDYFSGLGLTFVLATPMEVDVLLARAAKFGWIGALGSR L198_07415 MPYPRPAHTIELTIGHVLTDSQFEELEDLTFLHFEGDAEAWPIS LKIVSEFNQTTLIPAPPPIPASPSSSISSTGSRSPSDSGSPPPPASGGGGDLEPVVNK KTTTISVAVSREWDPASEEAVRTIVRNILMEIMGGGITVKQS L198_07416 MSQPIMLPQGWEARWDPQSNAYIYIDQSTGVSQWEVPINPTFPT SHPNAASLDQTPSTSTPAPRQGRRHYPTAEIASQMYTNTAQYESQPQVGAPQALAHGG PQFITPGLEGQGQQAYGFPAGQPYGAVSPGVPGYGVDQASAQFQQMGISGGAQGQGQD YYNNNQKQLHSTSTKTINLIGLPPNIELLDAPPPPALLPPNAAITGSATVQPDSSYQR CTLNAMPTTQSLLNKSKLPLALVMTPNRSVPPNEPESEVPVIEDGVIARCRRCRAYIN PFVTFIEGGNRWKCCMCGLSNEVPQLFDWNQREEKPADRWARAELNHGAVEFIAPTEY MVRAPQPPVYIFVIDVSASAIQSGMVATAARTILESLDSLPNPDNRTLVSFIAVSTSL HFFSLPPGATEASMLVVPDLSDVFLPKPVDLLVNLKESRAAVENLLGKLSDMFQDANT IGCALGSALQAALQLNGRIGGKIIALCNTLPTIGEGPLKARDDPKLLGTSKEYTLLSA GNSWYKSFAIECSKAQVAVDMFLFNSGYTDVATLSCLPRYTAGQTYLYPGFNASRQED AIKFASEFGKVLSVPMGLEAVIRVRASRGVRMSAFHGNFFIRSTDLLALPVVPEDQNY VIELQLEDDLKPGIVVIQTAVLFTSCEGERRIRVITQAMPTTDTMSELFASADQVALT TYFANKAVERSMSHGLDSARDLVSSRLGEMLGVYKNQVTTGAGGASAQLAVPENLQLL PLLLNALVKHVGLREGSSIPPDLRSYAQCLLTTLPSQSLIPYIHAKFYSLHNMPAEAG TIAEHGVILPPALNLTSERLERHGLYLIEDGQNIFLYVGVEAVPRLVQDVFDLPSYAE LPSGKSTLPLLDNPFSERINNIIHKTREMRRGPYRPGVYVVKQDAEPALRAWALSALV EDRVDRMGSYAQFLTGVKGKVSLICLGG L198_07417 MSDNSGFVRLNPVSQALADSLCLSSALPGFPSPSPITYTTTTNN TYSDGNAPGLQLYDSSGHSSVSSAPSTITYDPINNDDNALGLQLSAVAPSPTSSGDKP KPKARSSAHVTSTNGIKESGKEKKHAEGCKRKSMSCEYCRQRQRKCDGDNFNACTLCT YNGIECKYVLAKGKRGSQKAFKQLAAMGRAPSADGIIIATPSASSLASSSGTSSMSPI TPITPARSTVELSSGPCPTRVSASLTSGFATPHIFMPFVPPTQVMAPTTQLSVAVGAH GMLKDHRVVEMQQALFDNEFDSCCSVLAAVPASESAAPGHLPTPRTTPVKPTYVRPVD VSPVQAPTFSLNDTSVPSALPPVVASQPQLPALTGQGLSESEMLAFDELVMDLDLGDD IVSAPAEVPSSAATIAHLHTPDTTPLKPTVEMSAVSDSADHTSVNFSYAGFLAPDNTP WFITPQTQVGNQALVGNENVGWGMCGTEEMETSDASSWGAIESPFNTTPFGDSFTPVT DEAADSGMRGLWN L198_07418 MLQTDLLPPAHADLVSHLVYDFYGERLATCSADQRVKVFHKDEF GKWSQEADWKAHDAPILRLSFSHPIHGSLLASSSHDRTVRIWEESTSLPPSSSSSAPA PGSVRWVERGILTGAKGAVRGVEFGPPDPAFGLRVAFMGTDGYLRVHTSLDPSLNDWS EAHKIHIPSLPAPHSTSSSDDANPFSSSSESAATPSSELALGGWGLSWCKERWWGSLI ATFAGSSPVIKIISLEPTPTSILHLTPSSSSSFPSSSSSSSSAPPAPLTALSWAPNCG KNYHLLATGSRDGSIRIWRLEPPGERARVDFTAEGSEAGGDVVREWRGECTAEFGKGG ARVGSVDWNATGTMLSTTDDEGVVRIYKRVSFSPLPSSPIFPTPLLFVLVTQY L198_07419 MNGVLGMDYFVNMMTGLPIPGPNATAAEITAFAIPAWKKSLITS ILSAGTFFGAIIAGDLAEFFGRRITIVAGCGVFIVGCILQTASTALGLLVAGRLISGF GVGFISAIIILYMSEIAPRKVRGAIVSGYQFCITIGLLLASCVCYATENRTDSGSYRI PIALQFAWALILGTGLLLLPESPRWYVKKGRVEEATKALARVRGQPVESDYIKDEISE IVANHEYESELIPNQTYLGSWAACFTGGIKNPQSNLRRTILGITLQMMQQWTGVNFIF YFGTTFFTDLGTISNPFLISLITTLVNVCSTPISFWTIERFGRRPLLIWGALGMLICE FIVGIIGVAKPGDSTVVKAQISFICLYISLFASTWGPGAWVCIGEIFPIPIRSRGVGL STASNWFWNCIIAVITPYMVGTDQGNLGSKVFFIWGSTCVICFAYAYFFVWETKGLTL EQVDRMMEECGSPRHSPGWKPNTTFTAEVLGRDQGTEKVSSDEQHEEAPAHVV L198_07420 MKIVLADVCWTLPGFWSYKFTYLDNPDRAPLRQHEFNLMPAAKR LVMEFWRSPPPSWSWAATEALAQIARSSWHGLSNADPTVVVS L198_07421 MIFGNALSLFALARYVAANGLGLGSGGQVNVGVSLGLGLRQGAP SSLRDQCSNKGDSLSELLGKVFGCSDDNRTEPENSLTCPKGWSMPKEEKCSSQHLDIN SAPGTLFQAAIGLGNQRKAPIIQKAGPACIIAVITPYMVGTDQGNLGSKVFFIWGSTC VICFIYAYFFVWETKGLTLEQVDRMMEECGSPRHSPGWKPHTTFTAEVLGRDQGIEKV SSQEQHEEAPVHVVWAAARCVHSSYPSSYLLRP L198_07422 MPESVSRPATGSQYQLLPSAPAERSQITLQYEVVKYYPISQLLS TSTPHPSFPMTHHHKTTINQIIDALSAHTAEDSVSFVLPTLSHIQRKDILEMEDIRSI HSVLGRLDDSLRYFENEEGGDQDKVDLFDMVQGLSRDLLGGVPNPRLTSMVYIYYNVT PHQTTPTGLGASIANDGPLPDIHQAIQSHIITIQSIISSFKGHDLSTSPEAPTTSPPI SIPMTYNFRFNCQQIISRFSDHSDAAGARYDVPIFDSIQQKDVLSLEDVGELHGVLSR LRSEFCDYSAMPDEETKTKAMIWGRTMQDLEAPLNKGHTFSLKVSLKTLNSLATLLSH PTLLPILQAAAARRSHLFPKANFETGLDNEPSWSDHGWETGKRRIRDDLLPNVLTALH TNMKVIQAILSSSQKYDLALYSKTSSTPPQIPMTYDFQNTLQYIISLFAAHSDAASIK FAIPILKAVQTKDMLDMEDVGQLHQALGRLESEYEAFHVADWWPKDAFIDDFHFNDGE GPDEVLSRLMERLAQDNLGHLITLHLPPSGCTVAEELSRLRSQ L198_07423 MFAQSFNPLYPQDSVSSYGDYDSTTTFKDQKRDIAELKEDVQVI KSQVTSIHASLRNHPRPSKTDHTVKIDSHFQEVVQIILGRIEVITDSHSANFARPILQ ALMRKNGELSKDDRLKLARVIGRFEHTCAYYEMTPDAKERYRMALIAMSIRDLRELLG H L198_07424 MPDSSLPTTLHPLPNEIRLVIFQHLRDTVDKPLLAKLARLSQSH HADIIPVLYQHVSLTSRVSERFFYGLEGDVEISGAEKARWWKMPLVQRKSPAARRIYL IGLVRNVAIRDVTALFACSRAIKTLIGYSLPLPSRAWLEKHCPNSLDLPRRGHHAWLF HGLQSYNGSHDVASTDGLHLPSSLFASCGPTFPTLYHTDESGGLLARPGSIISVSGSP RISYRYPPANLVVRILSQANRSLLVLHDVDLEEFCNFERGRLGTVYVRMKEVQDGEKG HEEQLEHLIW L198_07425 MYKYTPAPPYVHAPPTATPPALTALPIHLIHRILLLTLDHRATP SRFWSDPEEERVRRLWALFRGLRGVCRVFWLVATSILRTHYLGPFLKRVKPGYSSDPF PFASSHLSDPSLSFDSSAGAGGSVYAERGRETAVFDRFIAVTVGEDLRRVESVFSEGS GAEVEIMKRLQPAARIEDLLSTLPPHLITPTDILPSPISSPSSSLERKLPLPQALVSI FLTPNWVQVWVDAQVVGGLVRKGGGGGGGKEMVLEVRTAGTGTLEGIVGRVGEGLEGL RVGLVGWGGRVW L198_07426 MTAEEPDKGRVEKVVETRQEVEEAENLPDRDVASEPTIRVSDLP PLFDMIRKITAKVLSATLQTQRNISARAAQMKG L198_07427 MRQSSISPHTNTTMSSQAFILPRASPSPSEGLGPNHKTITQLAL GRLDQIDHPAVFWPKDAIRLIKHKPLFDDASKYTLVRVIGALEALEKRWEGDWKGVVC GRTVGEFRDLMA L198_07428 MFRRIAPPTPQGYAAIIFLALVALWLLSPVDGGVGLLPSSWTSG HRKGTGDWVNPVQPEGEVEDEMDDPPLSLLPKIYASEFPDLKLPPSLLTSPHLYPLAH RLTSFLSRPVLSHKKATAANKAGCPTELADKLVNPDQYNGDSEFWMDEVTEEEIVRRR ADVVRYLSEAVDRGKEVRGREGKTGKGRGIVLTGGNQDTTLRTITAIKHLRRLNVDLP IEVFHYSDELQDKNQRKEIEKLGATLREAKGLEKVDGVWKNWQIKGVALVESAFREII YLDSDNTPLRSPSHLFTAPLYTSSGRAVFWPDLSKDHPDNAIWRIVGDTCSLEHFTFE SGQIVVDKAGNGGMNLAALVVAAGMMRDREFWFHMCGGDKDTFRWAFRMLGLEFGVSP RWMGTVGMMNGFQGGKFCGHSVLQHDLVIPDGFTKEPPLFVHSNLLKHLGEAGIQRGT LFTHIRRLSNDYVSSPALNHAHSFVYDGNVRGMCLDLEWHPDTPQEVKEEVGVETVGV EDEEGGVFGGFEEAWWEEGGRVGGW L198_07429 MPATEITSFPPLLPVELRIHIFDYFLDILPLSHIAKLAPLSKCV YSRVTPLLYRDLVLNRSNCEAFFYGFKDGLKEGEREEWYKPNIDPSSSQSTVARTLKL FFLSTSVTIQDVDALFACFTALRLIFGSLKLPAPPEGSWGPSIGEWPVLFHNAGREEG RLTWGSDLIQSVQAGLKRDPRYRGMADWSLLPYLTAKKTESMTFVALPSEWSTSLVYF VTHVLASGRVKKVDFRECEPASVELDNTDIGKHTFVEEVEIYIPTKTSLSRSEVYEGC VHAVRNLAQSSQSLRTITFHNYPLDSADKGDGEADSLLGAAERGAENLLEMRLEDIGI ILV L198_07430 MSVDSSSQLVLDDTSSYILYQGDGWSPQDYDVYISQYRNETFHG TVVDGDSATVSFFGTDIQLFGGKRPNHGFYSGQLDGGTKQFFNGTAKHPELYQQVLYE VHGLENAQHVVVLRNEWRRYADSGGTYLDLDFIALNGSIISPDTIASSASATSSLQSN SSTVSGSETDPSATLPSSTAADSIIISGSLNAIQLAPTVPPSLAYVLCPS L198_07431 MLLRRLYSACPHRHTLRHPPAPLPQTRGRTKPRLALPKPRSRHT TTLSKPLPAITAGRGRKPRLSFSSHSETSYPTVPEPVQAHIPRTPRLSYTHASPALNH TNEFTPIFLYPEQFKLHTAFTHPSHPLPLFNGTRGYTQSSPEEGENGGDIFMKPVAKA PKMGGGAMADHLNVVNSLPMASGQSEHGEVFGTPEMDGASITAFLENRAKDLARVNDS EWNKVMAMMDGKLLSVQAEKQEKKMELEKGISLDDVVGDLEGMLAKMQVSQGDEVHMD SVKRKRRKKISKHKHKKRRKATRALRKRLGK L198_07432 MSKRTIEHVEMEPSTSATASPAPEGVQLLKVPQKRFYRQRAHAN VFIDHELEYPKNPERMDWSTHYPAYFPAPHDDGSIPPNSELGGKKVEWADVGCGFGGL LMALAPMFPEKLMLGMEIRTSVTKYVTDRIAATRQVQQLLPQDSAEKKDGGYQNVSVI KANSMKHMPNFFAKGQLEKMFFLFPDPHFKARKQKARIITPALLAEYAFVLRPGGILY TVTDVKDLHEWMAHHLLNHPLFTPIPNEDLKDDAILEAARTSTEEGQKVERNGGEKWV ACFLRREDPEEED L198_07433 MTKATDNTESTVSKDSQSTVFPPDSRISGVSSNGAKHMCYCAMH LQPGESDQPSWTGGKPMINNKRHSGRIHFSDRESTIFEFPCLSTAIILSFRDDDPAED EMLVGNVTKSKLDEMGLWPTYRDGFKTVTGVECGLLIHGEFENMFEGDPIMEIDHSVL TDRPTFDDAYDDFFSSNTVKTELRDEYMSGEL L198_07434 MHLQPGESDQPSWTGGKPMIDNKRHSARIHFSDRESTIFEFPCL STAIILSFRDDDPAEDEKLVGTVTKSKLDEMGLWPTYRDCFKTATGVEWGLLVHGEFE NLFEGDPIMEIDRSVLTDTPTLCDAYDDFFSSNTEKEGIRD L198_07435 MTEAYIKKLTSIPKENFLFGPSPISPLPNLSSHLSPSNTIRIFA KREDCNSGLAYGGNKVRKLEYLVAAAKAEGCDTLVSVGGVQSNHTRAVTAVAKSSGMG SVTVQEKWVPIDPPLYASTGNILLSRLMGGDVRLNQETFDIGHKKATEDAFKEVQDKG GKPYYIPAGASDHPLGGLGFTALLLELLPQEAALGIFFDTIIVCSVTGSSHAGLVVGN ILDGRRRKVIGIDASGKPEATKKQVEKIARDTLEMLGEGVGEGEKEEVREEDVILDDR FHAGIYGIPDDETIAAMRLGASKDAFITDPVYEGKSLAGMIKLIQEGSIKEGSNVLYV HLGGQPALNAYSSYFE L198_07436 MKLVRFLMKLNNETVTMELKNGTVIHGTITSVDPQMNTHLKSIK LTLRSQETISLDSIAIRGNNIRYFILPDSLPLDTLLVDDAPKPKKRKVGADARGGRGG ARGGPGGRGAPRGGPRGGRGRGF L198_07437 MQYNTYLPPSLASPQPSSASGGGGGGYVPPHQLQNPSPQHGLGG FGQGQMGGFGGLSQLGAGQGQGQGQGLRTLGVSPNSQAHNQTQASSQGQVAGQAAGDS IKVQPLLCSGHTRPVTHLQFSNLLDDGTYLLISACKDGNPMLRSWLGDWIGTFLGHKG AVWSSKISLDTSRAVTGSADFTAKIWDTYSGESLHTFSHNHIVRSVALNPQQTPQYLL TGGHEKKIRLFDLGRPDAQPLVLGNRPDGLSCDGTIKSLVWDESSNGTTGVSAGEDGK VRWWDLRTLSEVGSLDLGEAVGSMEIAHGGGTLSVTAGSSVHFLDILRQHPPVTIPLP HPITSASLHPFLRDRFTAGSSSDPWVRVYDLDTGKEKEVYKGHHGPVLGVAYSPDGEV YASGSEDGTIRLWQTNPGKSYGLWQTQD L198_07438 MADINTNANKGAITIPPGTSYSTISSNQPLSCQTKWNDSVTLNT AEDFDPAEWRNDGMDVWVEPLWATRYPEGNTGTNFASQDHLNTERVLAPTRSIHKHIS RGGSRHDDAVSDMREQDIDVSNALYGGFMESADEGMSNALTFGMAHVGDETDGGPVYT KGDAQEVAARLTCAHVISVLEAQVAMEEKIKLITAEELGCDEDDSPEFKKRIKALWVT SVCESSREVMDESLRSGRSTVVWDGKGARVTLLTGQLSGIERTRESGLYDDERRSWTS LDGKRTGVMEDTNGNRTSFVIAEDYSE L198_07439 MADINTNANEGAITIPPETSYSTIANNQLLSCQTTLNDLVTLNT DEDFDPAEWRNDGMDVWVEPQWATNYPERDTGTDFVSRDPLNTKRVLAPTRSILKHIS RGGSRHDDAVSHLREQEIDVSNALYGGFMESADEGMSNALTFAMAHVGDETDGGPVYT KGDAQEVAARLARAHVISVLERQVAMEEEIKLITPEELGCDEDQSPDVKETIKALYVT SVIKSSREVMDESLRSGRSTLVWDGKGARVTLLTGQLSGIERTRESGLFEDERRSWIS LDGERTGVMEDTNGNRTSFTVIAEDDSG L198_07440 MDCHTQSLQSNIGQHTEGRESNAGRRYLEGPKERPKTSDRVLNL YEDKEYDGSFDNKPMHLYDINFIHPFQFLETDQVRLEPFVPLKHIEGFLALPDSSWNV MGDLGPYNRQTALEDIEAFRSDPQCLLLAIMDKQRENAGMDAFAGVYGLIEVSEYMDA VFGVINVHPRYQRTHVNTHCMSLVLSYLFDTLRLIRVQYDAVIFNVQSIKSAGRFGFK EEGIARNYNGLVPASKKRKGEEKRQSQDMWLGSMTDYEWETEGRNTLKEMLKRTPVDT TSLE L198_07441 MASRTTDRYPSLKSLDPLPVELRIHILDYLRNTSHKPTLATIAR VSPELRKEATERLYYTVDLCADNVELFLYGLAGDSIQQLTAEEKELGWWHYKPGVMGF TASVAMRRYSLLRLVGYVRFHDLEALMECGKAIHALDWLGMKVAPRRWYEERGFSRIT RLVLFPELDIFAPEGAFYLDQSFAVSLARRDHDEDDFVKTFGTLISRLVPRKGSFGVA HPAEGDFGPITRLVNLVMDRLWPRFVAFDDFDPSLINFVRSTNLLCVHVRMKDEASCQ VDHLVGTLELIRRFSRGNRFEVPVLIAINNYYIPPHLINQTETFFNAIKEQARTFSPD LPMAIHLFRSKNRDQAQYDLFNQDMSKYVLRRDRVPALPSREHQEQEMGA L198_07443 MTTNLYAVAGPSTHPSPEEPASPLRSIPSLPANHPSLAVSTSAV PGVGVSKPGLSVDEHGEVLKVPAFLNKLYTMVSDETVNELIYWGDNGDSFFVPNSEQF GRELLPRWFKHSNFSSFVRQLNMYGFHKVPHLQSGALKTETPVELWEFANPYFKRGQP QLLAKVTRKNNRPSTSAPTATSPVVPGVSTRSSAAPALPPQGGGGKYLITDGTTEGEN TALVAPNQMIDLSAIQNGIAAIRQTQSTISADLRKLQASNEALWRQAYETQEKQRKHE ETIDLIVSFLERLFGTEGEGLKGLKEAMRRGVGARQGRDREGSDGAEEAQGSAKKRRR VGLERMISNRSPVEDDDDDDRLVEIGSSVPLDSPSASGRFQSLPSEDSRPTPGPTSSD PTLGINALSPMSDFDPLQPSNNNALAPYYSLPPPTSSAYPQPQNQNQNQKQSVQEQSN LSAPLLSPTTTSSLASSLNLDPVLLQTTIGSLLQSPAAAQMFLNSLNASAQGQAVNGG GRSANGGGGAAGQDPTLALFSPLPQANQPNPSSSLSHAQPSSFSQSQNQSQALLQQNS ELLKSYQDALGVSDGLDGLQGSIDELVRSMGLDLPTADGSLSGTESGLGQGQGVGQGV GADGGAGGEDFNVDEFLESLGKEEDDPLAGAVAVPQPDAPGAGITKVERE L198_07444 MDAPPAASPARRRPPPPTRGILKPPPPPAKPSLGNRLRDIVWGD AEGDPRSQDGAAASGGGGREPGEGQMRGLPTPRALGGQGGTLASLSGRLAFGFGRLVA PQPPATVVPAKHEAAPSECSALQGEHGPGPGQQGQQGQVVAVKKKQPLKKATFLLPSM SVTYPISSQGEPWSLKVLEDRQKIEVAQRQLLEASTGPEYWTPQRLVTLYEQACKSRE ERPKIGVVRALEVSASLATMSKADKSPLTRHSSFPLADLLAIQFGLYELYLEEGLVGE GVAGEDVLRNVLHGLLVSGGLGVLGLKGNRRVRSGGWRLVTVFLKKAKDLKYLDVSET VWDRKSIEYLVQSLDGSNPTPPAPTASSSTSESSSANNLSTTTEEQEQEEHDQTIYAP FVPPAPLLKPSTNPEEEQGPAALVSLRMDGCGLKGAVLEVLAQGIRTSRLHHISLRSN RISPQGAVAVALMIRDYPDSGAVTLADRLGSSLTSTGAGAGSGSGSGAGAGVGAGASG PQVPAKTEVPYAPRVRKATRPISPQPPPVPTTKPSSSPPIPQRPAPTVAKPKKEDSDS LPAIPTVSSTSSGGVVSKTVPEGYRAPERGSVRDRLAMPFTAEPFDQNSYPASESALG EKEPAHEQGVRGPGRGKSLALERNVKALEGVERVGRLMTLDLKGNDIRTGVSYIAQVL KRNRTLKVLNLSSNNIPPSGLVLLAEALKYNTTLETLDLSNNPCCSTPTFGTAGTGVT EGIEGVQALRMAFTINTSLKRLFLSNTNLTNEGAIALAEFLPESKSLLHLDLTENPGV GEAGVMALAKGLRGNEFVRCLDVTIPPSTGEGWQDGEKMGMWLRMILEICIRNTEHAA QLVSAESSSSTTTAAGTGAGEKEKEKEKKHGRSASHVTNQIWAPLKKSAVLKRGREDE EERKEREREDLFKSMEGKVRAEVYQLVAEDVRKEVVQVIKGLEDWLAAGLKSKSPASP VSLPSTPYAEVHARGKVLGERLVEDIERGEEEGLEESLSLNETLRGVLQKCEGFVPPQ QRVLLPSEIVQQQQLLSPAPTKHHRRSSSRNSATSPTPRRSSVHGRVGSLEISSPNFS LGDSDEEGDSDAEEVDVGSIERGASGTVPTLGSLAATVDAAGEYPETPEKDKKEEQGA GKGLGLEMNDVQKEIERGLMEAQAELYSPSSSPTRATSGAPASPTTPTKPALASLDVP TPTGATLTPGDHSPVEKVSRAMVEEEGEIFRKGVKLGVVDDESEDEEEATAEEMLGKK GETKRRGSTGVSGEELRKEILETPVARSPTRRVIPLEGEEGEEREEREDSAGEE L198_07445 MSDYGDDYEEPQERGFDDDYEDRRSERSRSRSNSSSSSSSSSSE SHHSSHHSSQEEDRRYEDQQAYQQQPTNSGSGGAGLGGLGGGAAMGMMTGMMGKLMSG QGGGGNRDGGNGGQGQQYGSQYPQGGYGQQQAYGQQQYPQQQYGQDQYDQQQYGGGGY DQGGYGGGGGYQQQGYGGQGGYGGDSRGDGGYGGQGFPYPGAPPQQYEPTNAGYAPPQ APYGAPQHQFGGQFTDPRSGETAQAFFEYSRCSGRRKALLARTPISSDIGINYIGTSS ELSGCINDAHNIQKFITERYGYKLEDIVMLTDDTNDPRTIPNRENIIKGMKWLVDGAQ RDDALFLHYSGHGTQAEDTDGDEQDGDDEAICPLDYETEGLIIDDESDHELCVRPLPA GCRLTAIFDSCHSATVMDLPYVYDTEGNIKEPDLLQEASEGLLGAGMDILRGDTDGIM KSLFGAAKSAFSAEKADEKTKKTKTSPADVVMWSGCKDDQTSADTQEAGKATGAMSYA FIASLNKNPNQSYQELLISVREEMKGRYTQKPQLSACHPIDTDLQFVA L198_07446 MPYEIMTHDDSTSPSLGGFGSPQADDIISLNSNNSSSSSSSADD PADLATPDPSPSEVPYSGGWMYHCKPNNHALPSSMREKAETFHEQAAAGASKTPITGV DDKGNDQAMDDQARGRQSKFTAILHEIMLNLEADKYENARLHFALEQQSKRLEDVSNK FTDGLVSRVAQLETTLANLTLQKTPPDIHVDVHMPPHPSSSTTADDTPPRRPSHDEGR SDGHPDKAATSAASDTKISSSPPVNRQSTSPLVDGIQWAKGCLRGLQIMRQNCKVFPR AIDSLDRAKVLSVSAPDKCRESLFHICGQIEFYTLSLSRRVEDSNAVWEADVLKEVVN VLKKALDSSA L198_07447 MTHTDSTSPSLDGFGSPREVDVISLGSSGSSSSSASAETGDPSI PNSSPLEEACSGAKRYRCKPNKSAFPPPVDQYGSSNDEEAAQDSETRSTHTTDMVDEE KLAEGITNFLQQLKSDWASAKDQNKRIQELLEQQSKRLEEVFNKVLQQEAVIHREDTD GLITRVSQLETTLANLSNSQKQEVGRNQESTDRLVNRVAQLETTLANLTLQKTPPDIH VDVHMPPQESSITTAGDAPPRRSPHDGGSSDDGPQFSSSLEQSLAWTAGLLTYLSDDS PWKDGIMGTFNEAESMSQTDLSACREKICHLGSHLEVFAALLRHMGSATPQDAWQEAV AMKAVETLRRAL L198_07448 MPYENMTHDDSTSPSLGGFGSPQEDDVISLGSSGSPSSSASAET ADRPTPNPSSFEEAYSGAEEYRYKPNNSALPSSMRKKDETFHEKAAAGASKVPITGVD AKGNDQAKDDQARGKQSEFTAILHEIMLNREADKYENARLHLALGQQSKRLEDVSNKL LQQEANHQKLTEGLFNRFAQLEATLANLSNLHKQEVGRNQEFTDGLVNRVAQLETTLA NFMLQKSPPDIHVDVHMPPQQASSTPAGYTPPLPSPHDEGSSDGGPQSSLFLEQSLDW TAGFLVYIPDQYSYKADIMRTFREAKSMSQSNPSACRGKICELGGHLEAFAANRRHGA WEEDVAMKAVKTLRRAL L198_07449 MSTLGSTTLLVPVEVQRAIISDVLIEPSGHKPTLASVARTSQDH LDLIRPQLHESLKVLRPPAMLMISR L198_07450 MAFSNSTSPSLGGLGSLPEDDVISLGSSDSSSSSASAETADPAT PNLSPFEEADSGEKSVNKSVFPPAADQYGSSNDEEAAQDSETRSTRTDEMVDEAKLVE AFEEIGNFLERLESDWWASAKKEMNTSVKELLEQQSKRFEEAYNKVVQQETDIHRENT NGLITRVSQLEATLASLSNPQKQEVGRNQESTDGLVNRVAQLETTLANLTLQKTPPDI HVDVHMPSQQSTSTTADDAPPRRPPHDEDSSDGRPQSSLSLKDSLDWTAGLLMQMSYH YSSNGNIMRTFREARSMRQTNPSGCREKICELCGILEAFAARRRYPENRNPRDAWEEA VAMRAVELLKMAL L198_07451 MSSYDPVLDDVITPEQFRQSMRLVRLQIAVPISVLIALGTNLVC ALVLKPGLTGISALFPTLLTPNSLMLEFYYALLFSLQIGFCLVLLLARKDVTKQTLVH GVGLRFALANWLQAAWAVFFTLQFFIGAEAVLLLNALNILSIHLTLLPYPPTLKRPLD ALFIHAPMTMFLAILFQVDWIHTGFIAMGWVTSGKESWGRWTWQAVGCVAGTQVVSAI WAGIRRLYLLTTASVYLLLSLLFSSPRSNPELPSTQRPKPTPLLVVLIACLALHPITL LVGVAWKRSLERQGRIRLEDDVERAEERERGEHGHGGDGERFRDDE L198_07452 MSSLTTTALPLLPPEIQAAIFDLLLHLGDKHTLATIARTSHYHL SLVLPRLYESIELSATTAALLFGDTGDVARIAKAKGLDAMRRKQALLSRVHRVTFLDL AALDLCMAMQPAYELARMFSVTRYPPRPGETPLSQYLFPNITLENPSSALIFSPPFFE ALQRRPGPYLVRGTPAHPLFHLAPSSGGHPHLTFNLPPEPKPILNAFICDMLCAYKSR ATTIVGVERDMSWDLGHSEVGVFELGMKEIVGKSKEENEDDTVHSICEHIHLHPRLQG VLLLPSPSIPLSTEHDTCARVEEAVKKKAAVGERFFVRPSREGGIVDASASGRLSPLI PSASSSTKDSDTEDVIR L198_07454 MSGDVADLEILTKELFPSISWKRAKGIIRGTRRVFGDDTHPEEV HWTSGVGQVGFRSSASGDRDGIILDVEWLGDEVGEVRVQGGMEGYVKIGDPLKPDPYP QAPSFNLEATRKDLYSTSPSFRRQLGGVGFEVAIERVREPGSLPSDTSGWVKIPRENG KHGAAPVWFVGRQGDGAKVWTLAIWLGFQGERKT L198_07456 MVSSVLLPSPRSTTYGLCRSECNSIITSPTASSPHSLHVQIVGS LAHKVDVLTTISSCLRHAAEEARATESSLKALVSDLEDRVGELEDESWRRQVREAAWE ERLRRLEADTSGGDGKKRKKKKEDLDVSKDERVSMRFRLREISYEHPHPSLLLRETAE DSDGNVLRLHVSSESKRWHETTDDGVLGDGGEEDDDDEMEEDEGEGAVESGQRRKSVV FRPKCEAFGDPRRATRNRRDPLATSFAASPAPSKPHAPTLSSLLKDRPKLLASVEKSS RAVRSFESTEALRRMWCARETWAEDRYKLLLDEGDAEAAVNVLTSSDFAESEQGDGDD VHQEDSESDDE L198_07457 MTSKRAPPPPSIWHGVIESTLNHGRLPSRPVRNPPTPPGPPPPL LQDAPMRSPSRSRSPYSRSPSRSRSPYSRSPLRSRSPYSRSPLRSRSPYSRSPSRSRS RYPSRSASPSPSPPNHSPLPPLPGPTHTRYFPASSPGDEHLGPHFRRYGDRLVYETSL VLANVYWISPGCWGYDFIHPDEPWLAPLRRNENNLFPWQKVLAQDFWRQSPPDWSFAA PDALAALDHTTWSPTTAGLHPNHLDATSLVFGPGRPLTARHVRGGRPPPNKRQRTR L198_07459 MYTSSLLALLPLLALAPRLDASPIRVVKREVPQEHSHEQFLTTV RASLNIDNPQNIKDPVFALLGNAAAAAGQGDVTNTDCLQQAVADQAFTNAKAAGDVAG QTAALIYRALERNTASVGLASVACNETATNPEIAAISQHQDPASDGAAATNKAITLEL AKQIASVGGDPLDALKSGTFAAGDTTDNTGAGNTCDDADDADGCIFTQNLLQEDATEA EICSAVDGVSAATATVSGSSGSSAAATEISATAASSSSAAASTATSSTNAAAADIDIG DCTDFSMTFAGGLDNRAADEFTFEPTDQTHFNHGSALNPSIITTAMCDTFVNACAKSA STRDACKTVAADLDSQVTAGTLAKDQTFADAWLSGLESTFGVTSTGQGSAGSSANATT GAVSSTGTNAAAATDTLDVGACTDFSMTFAGGLDNRAADEFTFEPTDQTNFAHGSALN PSIITAFMCDTFVNACAQSAATRDACKTVAADLDSQLASGALAKDQGFADAWLTGLES ALGVTSTGTGSGSGASSAAAATSAADDSASVSSSTSTTSLTAASTTSAADAAGVSSTS SASTASSTTAASGDNLQTFTGALNGVTATPVTNVGGDRPFQVKTDTFVNSAAALQRSC DQQFNGCANAANSGSGDSTVSDCSAQKDQCDAAATA L198_07460 MSLPHSAYDPRRVFRFNLPTFEIGPRTRSIGTYVSGGVFALAYF LLFDAATLSSHAKPPPDAPYDVVPVHMAFVDWIPAIISTFGFIITSILDKSHLTSAFS SDPWASEGSAAWRARVVLFIGVAMMAGGLAGSLCVLILKYIIPDFSGYLWYGGANVAC NTGIMISAMILWIAQSGSDEYEYQLTV L198_07461 MGISGLLPLLKEVQVNGHISNFKGKKMAVDGYVWLHRGAFGCAE ALVKGKRTTKFVDYALHRVRILRHHGVEPFIVLDGGPLPAKRGTEVSRARSRADNLDK AKALEAQGRHKEARDAYTKCVDITPEMAYQLIKALRAENVDYVVAPYEADAQLCFLER EGYVDGIITEDSDLLVFGCRQVVFKLDSNGDCVWIQRDRLATCREFPMHGWTDVQFRR MAMLSGCDYLDSIPGIGLKKAHRLMRRFASVEKIIQHLRLEGSLVPQTYAADFALAEL AFLHQRVFHPELCKLVPLNPLPEAGLGEEGERWVGEDIEEGVARGMARGDVNPETRVE IVDEWPDFKPEKNGNGKVGGGGRGGGGKKGTLDGFVQRVKRPTVLPRPIGAFGSGASR LSDQIPISSLDSIPTTSSEDLDQPPAGRKSKFFSKKESTPELGVKLLWEDDSEDEAQL QSSMMIDTQRAGTRSPSPAVSSLKAGSSPARLVEEDDEREDEDDGEDGRLSETKSPSV MAISSPPCSSPLIPKIDKLAARSFPRNKAAGGVVKREKEESGLFTPKAGLGSRGDSFG SEYDAMEERKRGRGTLRVSRVLEPTASRDTIVPASSSPPLPQSEPSPAPPITSKPLSR QPPRRRSDLPSSDSIDSEELATPSHSHEPTSSQKKRKRSKVVEVEEEEMDKVEVERKE KAKVLAGGWRMKYAFGGQSSASPPEGEATPKPRGRGSIGIARPASTPLPAIAATKSGI MTNGFGQGSVMRTKMVDGVKMTKTNSSSSSTSTTFTENQTSETTLVPTSLPAKKPIVV PSFGTASTSRAKALKDDAVFKPWPDNVDTPTRGGGSGGKRDVKIGLGSVVRKTEEQGQ GEEQNGGGEGRRDEDEDIGSFTPSPERGPGTGEKGVKVAGSTISRLEKYKFGMGTRK L198_07462 MSDDENDQASTTPSYETNTKPFSNSFYEEHTSGYSSNDLTGAIN EMAGSVVETVITQFPELDTERKSALVNDMIARNLRRAGMSVNCVEMSINGSAFAPTVW SVEVQEDGAEDEAVEGGLGVENLNIS L198_07463 MSEDEQAFSNPPSPLYLDSSPIDDSQPSETSTNSGSRSPSTNSD SGSEVYAQEDLSKLEYHLSPESDIPLPDQLGAMTAHLNTVLHQHSDMSRKERRELILS KVESSLSRAGIEGLEVDLHWADSDDDGSGEDEDERRGRSPRRRGSFSLVEEEEE L198_07464 MFTKTFAALSLAALAAKAIITPTSPDGSTSVKVGEEIEALWTVD SVDDWSNVEIQLMTGPNLAMVALATVATGIDGTSASSYTFTAPDVSPYSKIYFLQFTN GGDMSNVTWTTRFTIAGSDGSTTEPTDTTDSVEWGTGSLLTTISNSTSSSTDSSSASA SASTSSAASVAANVASTSSEAASGSAASSGSSDSSSSAAGSSSSSTASASPANASSSS STSAAGKLEVGVVAALLGGVAALASFF L198_07465 MSAYNDTSNTSPYDTPIQHNEEPHHEPVTIEEIRELLDSLSYSS SECSRDGYFPPADTRRPNPYQPQDEDEYQSDTGLEPYTENERIRTVASQAADSAMIHL DHLPYDERACMAREWAIAQMTQQGYTVLFSAETGVVDADLGERREEVPDDDATSVDSS DPYRLYNLDDGDDDNERYHSIIDDD L198_07466 MEDTRAFLDTFSETSSHTSSSNDDFVIANGRPPQDEFELEDEYR SDAGLTGYFPLEDDIQQLTAQGTNAIMTQMNHLLYEERVRMAPGYTVLESAVTIPAGD SPPADTQQHLDNDDAASIDDSGPFDPYDEVEFDEQASSSEEEDY L198_07468 MVRQKKSKQQPAPQPPRAEITHGWHKDQEERDLEESLFGRSNKR PRVAADDDQSGMSDLEDHDLFTVDAPMADDFQIDIDGHSSSDDDSDDDEHEFRAFSPS ANDQDDELHFASDDEQDDAHRPTLDFPSGLIDLEEEYEKQAKKGKATLWEDPADQMVA VDVAGDKRLKKIDRGKRKAVVGEKVQGRVLQARLQEQFETLHPLPDWAKNRTPTGTPT LSSLLSSTKSFIAPSSSSTSRGPLPQGTLDLKRAKNANQTNPTTNKRESKSGQGGVVD FAWHPVKTVGVMAVAGGDRRVRFFNIDGHTNPTLLTLHLPSLPLSKSTFHPSGTSLLL TGSRPYYYTYDLASQRCLRSPRNLWGSSPSPSAPNDLSRHRFSPDGSLLAVAGLRGAV SVLEYGPSGAGALVAELRSGRGGSIFALDWSLSGKQLNVLGGRDGAEVEIWDVGQRGI VGKWRDEGMRGGNVMESSGDGQYTAVGSTTGIVNLYSTPSLLPPTPTTTTSSPSFTPL SPTPYKSLEHLTLPTTSLAWHPSSSILATSSSLRKDQLKVYHLPSGTAFNNWPTDGTP LGRVTSTGFSSGGEWLGVGNQRGDVLLYGLRHFS L198_07469 MAYTIPTTITNLSDGAWKQLAFPPLKNDLLLRAARGEETERAPV WVMRQAGRYLPEFMAVRKDHSFFECCQTPELASKLTLQPIDRYPNLDASIIFCDILVV PQALGLEVLMEPSKGPVLPAPLVTPKDFERLNKDVDVQKELGYLFEAITLTRKGLDGR VPLIGFCGAPWTLMAYMCEGGGSKTFEKSKSWLYKYPEETKELLMRVADVCADLLVGQ VLAGAQMLQVFDSWAGELTPYHFKTFALPPLLHISHKVKHVLSTLGHPGVPITLFAKG ANAPSTYRLLADPAVTGYDTLGLDWTVDPVEIRQLVGQKVNLQGNFDPTVLYGGREGI EKEVERQSGRWLEAGGGWIANLGHGITPGVKTEDMGWFLECVHKYSKRA L198_07470 MRPSQLLRASHYKPMIKFLGASRKTIQHPPHKPAPHPCAPSDIK DSFPTFLSKRQSSSPSSSSSSSSPQSSTPFKDTGFKPSGKPNDFDNFWEAPGYLWRTK ELSEKEMEAVESGGATDIRDGA L198_07471 MLVISTRSIREPQVGDIFAPGNPHYGYLHNRNASVAVDTFENMT PIPSPPPWLPPPLWPHLSAPPSPAPPPRPPPAWILDV L198_07472 MGQGSGHLQDRVQWPINTRQSYLWMAKHGVSTRDDVMSLTFFCK KYKALRVAAGTDFAAEWAPKVAAWITKYNQHCRPWLTDPPSFSSSAVYSKASGFAHKN YPLECNLLWG L198_07473 MNDIQKTLEISCCQTPIEEREEGTGEYTEKGDCHYQLSMGESGR ALSHRRLSQMSHVHPRSITSATPSTDTEPEVNLPPTIPPQAHFLDGKGSLFRVTFISV ACATQLLAQSGTGLVMFPLHAIGKKLGTDDQGELSWMVASYGQVLGLEWRLGDLYGPK RWWAVGLVIMSLSNIGSGFCKSPIPFDITRALSGAGSAIALPNALAILGRTYPPGKTR NVAFSILGALAPLGYYVGGMIGAIFGQLVIVGWIFWFVAILMAALLVGGWFVLPPDEP HPDPSQRHFDYLGAVLLATSLGLLNFCWNQAPLADRKWQEPYIYTLLVVSLVGFAVFF WWERRIGKKALVPVEMLKKESLLVYLCLWLGWMSLGTFLLYSSFLQVFSHRSKCNTNI HQSIYDIRGYTQPLVLVAQMFPLLHNLTLIIGMMAALLVPHLIHRLPGHIIFLLAMLA FTIGNLLAATAPAHSTYWGNTFVSMLVVVWGPDLSFSTGQLIVSGSVDKEFQGIAAGI VSMITNYS L198_07474 MSTTTTTTIAGDHDKAVLDSSPGPAAAASSGAAPVPYAAPSESS DTPEKKVKAPPKGHSGTHAPLDLPKARFWAVFLSLMISIFLFALDQLIVATAIPKITS EFDSLSQLTWLASGFFLTLLSFNLLYAQWMNIFPSKHVIVFAVFIFEMGSLVCGVAPN MNVLIFGRAFAGLGASGIFSGGMVIIAELTPLHSRAQYFALFGVCFAIASVVGPLVGG AFADHVSWRWCFYINLPLGGVAIAALLFFQPTVPPLGRADSYKGYSKDMLMQVLKCDW VGVCISMAWAVCFILFTQWGGVTRDWNSPSVIVTIVFSAVLPPLFCVYEWYMKDLAYF RIRLLARRNVAGASIVSFCVFGLFMILVYYLSLTFQAVHNISATGAGVRLLPLILVQV VTLIISSRIIPKIGRFKPVVALGPVFLSIASGLFYSINYSTPLANLYGYQVILGVGIG CCLQNVMIAVQHDLKKEPWLISLGTGMVVFVGFAGRIVALSMGGSVFENMLQRHIASS VPTLDPSLLAAVINDASAVWTVIPDDLRVPVLEAYTKALSQVFVIGLPLSLIAFGGAL VMKNDRMASKEDEEKEKEEVKAMQGDEEQRVSGEGEAPAKRESEENSEVAQKA L198_07475 MAYSTFPTTPTIPIEPFQVSIPSTDIDDLRTLLRLSRIPRKTYE SVNEDRENKFGMTKARLVQLRDAWLDFDWQKQESYINSHPQYLATPKTIDGKELKIHF AALFSKKKDAIPIILSHGWPGSFMEFYGILDLVTKQYTPETLPYHLIVPSLPGYAFSS PPPLDRDFSVQDIAFVFNNLMTGLGFGGGYAAQGGDIGSFVTNALGVYHEECRIIHLN FRLLLGKPTGSTPSPSSTPSQTPDPLLPLQTFGYALEQGTRPSTIGITVSTNPLSLIS WIGEKYDACPDQPFTEERLLRFASLYWFTDSFSSSIYPYRYAFGINRHVPTAEKQFQK TPTGYSVFPYELFQPSEEDLKRTLNLVYYRQHKAGGHFAALGEPETLWGDVEEFVKEN WEKSRTSAHL L198_07476 MDLPFLFPDKKEKKKVSRRGGNNTQNLKQYNPKYRDQPLKLPAR KVPELNRDPATEVTPLPAKRAKTSKIDNSVRVSSTSDSCDTHLYHKAPGMSAREHWKR DVYDKAEALSAYISSAEDNFRTIPLISTTTSSTSPAFASFSSKEEALTYIADEAAREE AEILEHGSLGVRPALADWDLEALTAICQRETEVLEAQLKQAKEKMKQVEERLARCAKH IIQSGTLSVDDLAELYQVSSEKIKEMLSEGTDI L198_07477 MPAIAASAPDYAGYAYAALLALGGIAGGLRKGSVISAVAGVGSG VAAAYGASRVSKNSFDAYPSLIVSSLLLALMSWRLFKTGKFMPAGLVVLLSLVMTVRY YTFLA L198_07478 MPFIPPYLCDSLPPSAVPIDPPPTRPQPAPSRHERRPDAHLPFP PSHARGKATSSSLGLGLPSISTNVSDLLLSSLLPPDLPKIPSGGRPSGVGVGTGGPRE LTTQREGLSVPVLSNNFRRFVTRVGPIFWLQDRVEEVVFWRKPVWTWGWMLAWAFICF QPRVLLLLPSLGLLVLLLHIHERNHPLPSLLGIIAPAPLATTRVEQQGSEKGEDSPGR LAYTSTTTRDESGEVIGVPAVPPKEAESGVDYYMNIQAIQNLMGLVSDAYDYIAPRFN VIQHPSQNTSPTSLPFTHTHLILLLLPPTLILPLTPPQLLPYLLLPLGLLPPLVFHPN LTPWLLALPHDRRVRRVRDLVEHWLLTDRLPDTFSGKTISQVCVWENERLDPKLPAPG PIPPTAWSSRYLRQGDRQAWIKSSEPALNLESSAEDKEGAGCLWKSTETTLSPEADEG VEAQVLALKPGWEWVPGEEWRVDMSGEWSGFGIDDEGWSYTDDSWQNASPTPYTEADV PNTNTSSSASAFANASAYGSLSLGRDMPNLGLRRVTRRRRWWKRVYRVES L198_07479 MPLPASHTKHLPVIHHPLPNHLSIELPVRQISSDSSSASGSTGT TGTTLWLSAQVLSAYLSSLPVPSGGKSVKVLELGGGTGFTALVLASLGYQVVSTDIEP VLSSVLGPNIDSGKRVLLGNSLPANISPRFLDWAHVDRLFKGQEDAPDDEWLKPEGGW DMLVMTDTFYAPHLIEPLWNTLIYLSTPPSSTITSKPPPIYIALESRDPILIARALET GKAKGFELKKVGKRVGKEVERWGWGKDDWEGVEVWKGRYKGWKCVSGMGGYDIRLYDL PSVRQGFI L198_07480 MSSTWREFMSWNKYTQIASRALRQALSEGDRVAAEKRAAIGVRY QLWENGEGGEQHYVRPPPEEATGKPSGAV L198_07482 MAEATPILPLLSSLPLAHPSLRPLLQSHTPLARPSTQSQSQLTK LLNRLNTVLLSRDDSSEKRAACEVAKVMVEQDQEGWVLAEWGKGWVGGCLGWIASTSS SIASIPPYLELLTTIVLTAPHFPSFERQTVHPLMGKLSVSLGKLLERSLSESQPEWDV ICTLLESIQMLLLQSPANFRPSTPAFKTNLFALLLMLPTPTSPSPSIPSQIRQQSAKL LAALHVTSGKAQSPAAWTADMKQVLGGLGKALGGITSDAWEEDPVTVPPPASTSTPDL PADPLQRLPIALDWLEGLTQATLEMLSFPTSRPVAIPLAGLHHAFLIASLPRIWAAGT QLLAAVALATGDHLFPHLSNIFDHSVWLLERIPQSMCESRTLLLRFHSLLLTLYPPSL LPVEYPTRLLRSCLSSLSPLLGDKRESVAAASKNESGGGKRGKKRARGAEDGLVGDLE GKGRRGLSHSELDCIISALSLTPLLHTASLLAPSLLTLSVRLHLSIYLGLPSLPTSTF PEVGAREDVRVVTRKVLEKVLSIGEGWSKGYESVIVSVLDAASQPSIRPTLHPKLPPM IRHQPALSELHFFAKETDEEKKVRKELGFGLEGEVVDGEEMEEDEVPAKRVAAVAPVV QAPVQVAAQPQFAQPAPVVAPVAVQQPAPVALQAPATAPAPITLAPQPPIPTFQTPNQ PAESFISFTNTTTTSSSTNPSASASTQTQEKVVETVSERMQVDDDDEALPEMDSGSED ELELDDDEEDDE L198_07483 MVYTTACESCRKVRMKCIRPSRGMDQSETCERCEQNHAECITIK RRVGRQPGVKNRKRKGEVLSEHHQSKYQIVHQEHTIPRDVIDHLPNPLHVLASEAVRR QSSPETSDDQISHASTSTRTSKTIVDQYSEWADKLHPNAGAGREAIMIHLDKLLSMGP RPHAVDAEEPSVFVGRTDMARPDASPENDVISLRIISLADAQHLFDKFMTLIINGSKY FDPRIHTLSYVRSRSSFLLAVILAIASTYKPLCPSSILHSHLMSHALKLETTVRNNHL KSIEIIQALLLLASWTEVPCTLSRDKTWMFVSHAIALAVELRLDSPLPHCVQTDPMFD KNNQDLLVRNAHRVCLLMFIHDRNMAMVAGRHPIIRDTSLVSTESLSKWGKHALAHKF DAPICASVSLRKLVTVAHVKLTTHNFADFAAGKEFIDRGMADWRKRWAVESTSTHEYD IIARFSAFVLALALVKKRQATGHIESEARKVCEALAFDVCCGAINHYKTWQGLPNSAT FDTSMVAFCAIYTLQSIHLTASPFLSDWSLLRLATLQELLSCLETQADERHGVDTEGS LSVVEQVARGIRNLLGKKQIWRGGSRGSFRESEDGEYYGLSQAHNLQPDPYATSNNHT HNQSTNAPSWPTNSTMDDLTHFLYAATDGSLPFMADWDFEGLLPSYGSNTANASHSGG AGRDMSTFGVETSFTGSDPGSVAEMSQGVNMQNMFNMGCK L198_07484 MSDTEDACAIDNSDTHHRLRIGAIFIILVTSLIGTLLPIVLRRS SLVPRPVFDFAKYFGSGVIIATAFIHLLAPAWDELTSECLSGAWQDYDWAPAICMAAV YFIFFAEVAAYRLGSARLERLGVEYSSHTHDETDAHAHDHAHDPPLDVDTTVPAPAHH IHPTNSRVTSGDHGHSHSYSHSHSHSHSHSHGPLSEKEKDLESASSSETDSVNQLPSP AEAAAQLIAVAVLEFGVVLHSVIIGLTLAVDEAFITLFVVIIFHQMFEGLGLGSRLAV LSLPDKLSWARYAAAVFYSICTPIGVAIGLGVRSTYNGNGAKANIISGVLDATSAGIL LYTGLVELIAHEVLLNPRMMKSSTGKLTYIFCCMLLGSGLMALLGRWA L198_07485 MPVSLLPPAIPSSPNSSSFIILPLPSNLPKYRKAAYANREEYIT QFNTAYQKIMRQLDARRQLVEDEGVEEDSDDCSTNEEQEEILTRLSKCVHAMGRVAGR EVIGQMCVCWLVEWYVYTARLLYTSVFLNMTPRDRVVLHPTLIDQVYQTVKEAESILA DLNEMDLSNLPPSSRRGDKAWNPKALEGVFVRLMRRVDDFYMGGVHVSSTLSGSY L198_07486 MSLLASAHPPGQYQRLSDSSFASASYDNHSTMSHRGYGPRSDSL TSSSNFGPTASLAGGSMVAGGVASQNESIPLLDFTPPGAPGAHNWDDDDDMDDALHTF TEAEKKDLTSPFNITSWRGWANGLTLGVLAAGGVMLFGGYPILTFYYGNKTSSGSNTA GYNLGGINASGQYPEIPGMPSMIDPDTPDWAKSRTGFDEGEWTLVFSDEFNKEGRTFF EGDDPFWTAMDIHYWATGDFEWLDPSAVTTKDGHLIITMTQEPIHELNFKSGMIQSWN KMCFNKNAYFEISASLPGDTTYGGFWPGIWTMGNLGRPGYGASTEGMWPYTYDSCDIG ILENQTYVNGSGPASTLTTGLEGAPVSVLPGQRTSACTCEGEDHPGPHVGRGRASPEI DIVEAQIIISEKRGEVSQSFQVAPYDDHYQFNNASGMVKQYDADLTYWNTYLGGPYQQ AVSSLTRLPRDIYYNQDIGGDSKQFAVFGMEYKAVPESREDGYITWYADNKTSWTMYA DAVAANPRTEIGRRIVPEEPMAMIINLHMSNNYQAVDFANLKWPNYIRVDYVRVYQKP DAISIGCDPEDYPTAQYIADHANVYANPNLTTWADAGYTFPKNSLKDGC L198_07487 MAGGADAGGGFDALLTPPDEAGWRGLYKNRRALFLACFASLGGV LYGYNQGVFGQVQVMYDFRQRYLPEGTSPDIRALLTSILELAAFVGAIMAGPLSDRYS RKYSISGWCVIFIVGVVIQAAASRDIACIYAGRWFAGMGVGALSMLVPMFNAELAPPG IRGSLVALQQLAITFGILVSYWIGYGTNYIGGTGEGQSTAAWRIPLALQLVPALVLCV GAYFLPFSPRWLMLRGREDECLVTLAKLRNSTPEAPAVQYEFRALQAERLVEREAAKE RYGQDEVNFKVTVAEYKRLLTTKPLLRRLMLGAGAQALQQWTGINAIIYYAPTIFEQI GLTGGTIGLLATGVVGIVNFVFTIPAVLFVDNFGRKPILAWGEAQMAISHAVIAAIIA VYGDSFPSHKTAGNAAVFMIYWYIANFAVTWGPLAWVVSAEVFPLDMRAKGMGISSGV NWLMNFTVAMVTPHMIDSIGYRTYIVFMCFCIVGFLYSVFLLPELKGLSLEEVDKIFK DTSGAEDRERRERIAAQIGLDKVAHEVQHKEKVEHDDLV L198_07488 MSSHHTTPRETREFSAARAAREAAEEEDLCEYVQFHKGANARPD LPHLLYDVPAIGDDPPPYLHAVYSSELSVERIEGGERRGEERMDDSNTLFYVSTMNVV L198_07489 MSTPTTPNAHYYPPRPTSLIHAYSYPQPLGPPPVPSPSRSHQHT FLQHRTSSLRDPPEGATGSPDVGEREGENQRQMSHQPPTPVTANSTVGNPAQPVKVAK GRRKKDA L198_07490 MQISPILAPTSLHANYITPPPTVPSSRRVSFGASRPTIINDTTP RSRRRTSSPDRNAEEEDGQGGKRRKLTRPKPSPLRVQPPDSHSPSKDSGPSTGGSSVS VGRRVASGGLQQQQQGLPKPIGFAAAREARREEGYDVYGMVPSPVVMGFDFKLVEGEQ LKTVRESLSIKEQQQALIAARRREAEAAANSNPSTPRDPSMVPAQPQKTGPDPAMTLA VPTSGSVGKRREKVKDKVDKMTIMTGIAGKDAVPGSRSAPLNQNLAIQQSVPRDIPSG SQTALPPNSLPPNSLPPNSLPPNSLPSFSSSFQHFSTDPRTAPISHARGNGMQDRGEG AEFARQQGYYWRLDGGRGPGHANGANGLGSRPPVMPDEGRRNFTVPSIALPRLEGHMS PVKSRNTSGAPHSVSISRNHSHQSISHSQSTSPRSQPPPPAAPIPSREAFLNPFATLY DSLSQTTHLQSTLTALLHSSENLTAQQARQMEEFKSTMSMAKGLLGSLQSSADSLREM VRYEVERRGREDRREMEEMKERLRRLEEERR L198_07491 MSGVKRKRADLQEAGIPPIIRPKPGTKAFASGKAVKDGLDTGSP QAFVSFRQQIVTPHSTLPLAITHPTVIILQHYLDVSPTCDEIFRAWQIGDQTKSEQQV HAAVELLSEIIQILTPIPFFRSSVLGLVNKIISQSDPYHDYINHLILSAKKDDAYHGL LLASAAMAVDPPTVLPSSSSSSGRIGMKLWSTLVEGGSVRNLGKQMGMRRRNKDGMVA YGEKDPLDKPDIRHLILRLISPLFSTSPFQPHARSLLPPLYSNLASDPPITIFRVLSS LWAAITSQSGGFNRRVSLILFQERSIEALWNLLGNENVEKTSGKTVGELVYSFLIGIT ATPGKGVCFVDEGWYPRRAEGDKKDDERKESSNDAWRKGLHNRILGNVVRKVGTQVVD EDGIVGDWMIGVFEACPELVSGYWPHSALALDPRLNPRWTATMAYVGRLISLPSPPLQ TFRVPAPAGTDPSLSPFRPEPPQISTVIESIVPSPLTRAHLMKGLQHTEGVVQYTTAV TLSRALSKLSQVLQLFSTIETELFGAHPSPANAWARGKRELEMEARKRIPELSVIIAF AQKSATMAPAEPETDEELALATKSTMLTESALRIFSLCNRALPSMSSELKFDVGRLLV SSSSTKQEKRDAREAREGSVISDSGSIKSAGTVGTAGMGGGFGTGRGDVRGFEALSQV HVLELLGEVRDWNWTNKAAGSQFTYLYHILLLHLSTPQPVTLSKTTSLLSHLLLPTLL FEHDPSELSIWLSAMPRGSRENYGPMLLVQQIHLLSFLDDCFRRCLKTPYRYIEEASK LIETSGWQSGNREMVSPLVMAILEQLSAKIMGQLIATEAACIVFNYLRRVILGLAGKQ GNLSFLDRVVARLDEIVEKAREAGQGRIGLAEVVQVIRRNVDVTRGKKGSEVPGDVPR LMDEPAWASKSFETQSLETFSSETLLPLIATSDNASAQRHATFLLHLNVFRSSKGNVP AALEVFVKLFEKVGGKEEVDMKKAVFGDKALRKLVLSDKGDEYRAAINALTEHLKLGK STDEEIAQSFVEECLGMLKDDKKGKKAPEILSLLRPWTTFLSPSQATQATETVFAFKK PLAPSPSITPILSDIITGTQSPTFAIKHLHELGKLGVVSAVERLLKGAEKSKTGYAEL LGLGISSETVKYLMSESTDETLSLLAELVKTVPSAAQAVQPVLSGELKDERLLLVVEA LLDLDLQVEGVETIAQLALQSLAAKTKSLAGSATQVLVLLSSTNSNEINNAIGQVELS SYTPAIASLTKQLAIELASTAELKPAVSHLIGLGLQYAVRVCSELGEIPAGTLTALVD IADAVSATDRDYFTIQQALVEPVITAVVQDRLDVDEAANLAVVLAGHVELKASFIRQQ LQALYSTKAYTRSTTTSCPPTLRLNFVRLLHTLFAASTYTSCQPPFVEPLVLLYRGTM SEADRRILHMLQLFEGYRKISVASVMRFWSASGVLGIGGKSLDALTSLDPQKVFATCQ AYPLRRTLRNWGKKSSNAEDGEDIYDPVFVTALFVASMSEKMHGLDWVEVLRGNAIGL VTCGLASRDKDVRSVSSFTLAKVMSLIEITPFLERTQLIYTMRLLRHALPSQTSRLPT LTTLFFAYAIRAIANPAHFLYPITTRFLLQRSVFDIEDTPMLYGMLYASGEFWKRERG WMIRFLKEGIRSEADWRVIRRRKVWTLLATMFAESLDPGFRRGVLQTMSNIALIPAAT SSLVLRDGLLVWINMQWTTVTSHFASLPASSKSGAKGQRAQAWEKEEKKLLVQMAEAA IKVMSSAEKQRLKEDGKGVRGWILQAEELVKNIIKGKSTFNADFERLESLSSIASEIA QIPSNGVTTRLFHLLVKRLESLDGPSAAIHVITANLFEIGLTFSVPDLKDDGSLREAL SSVSWRVERGDGGSQLRDWVRRERRLEELSV L198_07492 MSIKYISQKLAQQIDEELMGPHGAFSIDQLMELAGLSCAQALAK SYPAKSHRRLMVACGPGNQGGDGLVAARHLHHFAYKPTVYLPKPSSKDLLQRLVTQCE NLKIPIIKDVEGFQKALKESDVVLDAIFGFSFHPPLRKPFDQVLKAITSAKLPIVSVD IPSGWSVTDGPQPLYTQEDEDGKTETVETFEPEVLISLTAPKEGVKGFKGRHWLGGRF IPDELSEKYGLNLPEYDGVDQVVELPRA L198_07493 MSPSITLHHLDVSRSNRIFWALEELELPYNVQVHYRLPTRSAPP SLKQISPLGRAPALTIDGKLYTESSFIVHSLLALPDVRVRAKKGEVQVQVEETEGDVF WSHFAEGSQMNLFQASATVGATSAGWLKGAPGVPELSEEAKKGIQQYTGWLQEAYLKP NIQSTIDFAENALAKQDAPFFSGTDKPGEGDFLMFFAIDSLLQGTRKDAGFTVGPNLK KWHETVLARPAAQRGLKRQAEEEEKQRGKAKI L198_07494 MDGWDLPMSFGKKSKAGGPNLKAKVETTKRAEPVPKVEKKQEPE PSIQKESEKAAEDQESDDEEIGPAPPTTGKRKAEDDDSSDDDFGPEDDEDRTPVTHEI VLKDHTKVVSALAVDPSGARVATGSHDYDTKIWDFGGMDHRLKPFKSFEANGNYHVHD LSYSSDGQQLLVISGTVVPKVFNRNGEEEKEYNKGDVYLRDMKNTNGHVAEINRGAWH PTEKSIFLTCSNDSTLSRIWDTSVLRKQKQVIVVKSKERGARTKVTACAWSPDGKWIA GVCLDGTLHIWDTKSNFARPKYSTEHAHPKNTETTDVAFTRDGMRVITRGGDDTVKLW DIRSLKKPLAVAEGLTNLYPETNLAFSPDGQQILTGVSVPKGQKGSVVFLNSQTLKEE RRVVIGEGSVVRVLWHSRINQIFATTSTGAVYVLYSPHSSIHGALLPLAKMPKTAPRD PSYSSADLKPVIFTPDALPMYQDQGYRESLHQKEKRAKKMKPEEGVKGVGRGGRLGQS EQQSFVHSMYPETLKFEDPREALLKYADKKDEDKEE L198_07495 MSSITLHHLNLSRYQQALLGTRRAQPPLRRQSSLPHSFTIGPRL VEGALTESSFIIQKLLSLPEVREASKKGELAVQVPQSDGDVFWSHFTEGSQLDIFTGI A L198_07496 MTAPAFVNGRHAVPELNENEKKGVQKYEARAQAESQLAKQDSPF FSNTDKPGEAT L198_07497 MSTAVGNILPQGAGYGVVIGMGLFFSVLMVSITKLQTRYTSHKT SSAEEFNSASRSVPPGLIAAGIVSAWTWAATAYRYGVSGPWWYASGAGIQVLLFAMLA SKLKQHAPFCHTYLEIIQARWGTAAHLVFMFFAFATNIIVSTMLILGGSATVTSLTGM NTVAACFLIPLGVSIYVLTGGMRATLIADYSHTLVLYCILISFGLVAYATSPIIGSPS KMWEMLQTASTNNPIDGNAQGSYLTMRSRSGIIFGVLNIVGNFGTVFNDQAYWQRAIA SDPRTSVKAFLMGGVSWFGIPLSIATSLGLAAIVLAHGDNPVITLTSDEVGAGLPAVK AAAALMGKSGATAMLILLFLAVTSAASAEQIAVSSLLTYDVYGTYINKNPSEKQILWV SHLCIISYAIFMGAIATAFFYIGVSMGYLYELMGCIIGSAVVPIALCITWKKTNGMGA CVGAVLGFAAGVSGWLGITAHLNDGVINVTTTFGDYEMLTGNLLSIGVGGIITVIWSL VKPADFDWDITRAINQKEDFTLTENDKAPTPPAFESEKEGGQKEGAEAAYQQGGEGYG AGLEATRTRTVEEQLTDMALEQQELQQAFRFAALTALSLVFILIIAIPLPLFFSSYVW PVHGFTAWVVITLLWLFGGLFMVGIFPMWEARKGLIKVAGGIWRDITRRG L198_07498 MNAPVALLSTLVSQLSEGDWEGSWPVYGDDGDGDGNGTSPLPPG SGPTQTFSGMFIIVNARLDDPRKALRTASLVFHPANTFIGYRPNELLVILFPADKMGR SLYGPDEIERRVLLSLHWSIASSLEWGFADFSPTPAHDSDSIRSSSSSRFFGWIIPTL KTSDFTVLQTVGLDAAVLLNFYKMAFTLFSLASVLALVVLIPLNLFRTGSTDSDPDTP QNGTLLLTSAHTYNSSSPAQSSLYDLLLDPTTSSTIHLIFTYLFTFLCLSFFHSNFHK FVLAKQAFGLQLIHSISARTVLVTNLPAYLRGERALAEYFESCKWQVESVNVCRQVDL LKTVLERRTSALRKLEEAWAAWVGNPAQLGGYDPHIYSGKTTPQEQEQQTQEPHEGVL VDVDGADNGDTTSLLSAAPQTYGDDTESDGQHPHAHIHIQTTRPSPTLRPRILGTKVD AIKHWEKKFRLADEEVKELRRTGKFGSTHAAFVTFEDVRDAQTACQVIHFPHHSQVLT VPAPEPRDVLWGHISMSSREMSIRDLTVMAFMALLLATWIIPVSSLATLLSYQEIKKV WPALARVIDKSPRLAAIVQNSLPSLALITFNGLLPFLLEYMSYVQGFKSRSATEYSLL RKYYLFLIISVLFIFLLTSTYWALVRDLVDTPMKIPEKLARALQGSNVRNFMVSYVML QALGLMPLQLLTLGPLLSLGFARLFTKTPRDYAEANAPPQLNYGWIYPQALLIFTITL VYSVMSPLILIFGAIYFGIAYLVFKYKLLFIYFKPYESNGEAWHITFTRLLSALVLFQ LFMLGLFSLRQTFFASALTLPLILWTIWKSWVMLSDFGPLGQWLARSSVCEVIGGEGV GDVLGLEEGMTRSLSLINHRRYAVNDETLYVAPSDARTDYSQPPMSNFYNGVLNTGRR RYAHPALDGSLPTPWLPAIAKEGEDAGGRGRALVLSLRRKVVKRFRDAREAVVSRSGT PPGREGEVGEGETLSVPEGWARGEGPSGSAGSRQSSGGTSFGANPWSAPSPSNQKARS AELRKKLSFDPASGIIALPEEGNVWGDDDEPESPVSDLNA L198_07499 MSIHSPYTPNPFAPPPPRSRDSGVGAIGALLSTPYDDSEDESNY GPSPKPPKRHPVARQGPTIGQGGTAAVAQNVKSFEGELDAGSGHHMGYTSPEIHSQAP FGHHPSLQPTNGLGLTASPPKPVRSYSNGPQPDYHVPMAGRVEPQRSNTAPMQHHYPP QPSPQKKLQPPGINTLPPPMPINLPAPASPIASFLAAPPSPHFSPMSATSAPSSPVFG RPSSPSPSLARIPANARMSASSASIRGWDALAEKKAMFREGEDEVMSPFSTSRPRNKP SGLREGTRASGMDFWKRFSVADKLDQAEKKGGPSSGWLSEAQNNRGLIKKMVFGGLFL TVIIVAAIVVIVILLKQKNGSSSSDTQGNL L198_07500 MQYGNQSPQLGREPLLASAQTPGISQRLSDSSFASYEQQSSMSH RGLGPNSPSASYASFSSGNRFGPTAQLDTGAQSVQEGALPNGAYANGGIMEDDDDLDD NLHTFTATEKKELTAPFDIMSWRGWANALTLAVLAGGGIMLFAGYPIISFYYGDDSSS GSSTAGYNLGGVNSSGQYPEIPGMPSLIDSDTPEYAYTRTGFDGNEWTLVFSDEFETD GRTFFEGDDPFWTAMDIHYWPTGDLEWYDPSAITTEGGDLLLTMTQEPIHDLNFKSGM LQSWNKMCFNKNAYIEFTASLPGTSGLGGFWPGMWTMGNLGRPGYGATTEGMWPYTYD SCDVGIMANQTWANGTGPESALTSGSNGGMLSALPGMRTPSCTCEGEDHPGPNVNVGR SSPEIDIIEAQIIISEQVGEVSQSFQVAPFDDSYQWNNVTSMFRMYDTDLSYWNTYLG GTYQQAVSSLTRVPTDIYHNQVGTNSQYAMFGMEYQAYPDERERGYITWYSDNTTSWT MYADAVAGNNITQIGRRIIPEEPMALVVNLAMSYNFQAVDLDHLTWPNYYRIGYVRVY QRSDKISVGCDPEDHPTADYIANHANVYANPNLTTWAEAGYDFPRNDYKDGC L198_07501 MRAPPTPLFLSLFALFSISALGAPSSIAATSRPLPTASSSSLPP IDVLEASHEGAKKGEVIKSAKLAPGFTWGTDPMRGVNIGGWLVLEPWITPSLFENKPD WVVDEWTYGEYMKTQNNTMDEIRSHWNNWFKYAELEEGIRDSRQWFSNTTNLDRTYSA LSVLTSEFTQSFYNSTVVAIELINEPFSYNAAELDVLKGFYQGAYGTVRGADQKAGGG GKDVAVAIDEGFQGLTTWESFMQAPDYQNVAMDTHVYMMFDLNLIAMGYTESLDWYCG QASFLSRSNNVHWTIVGEFVPANTDCAYWLNGRGRGARYDNTLNTTASLEYPGDCSVK TGTDPSKFSNEYVQYLAKSFEVQSWVYEQASGWVMWTWKTERAADWSMQTGITYGWIP TPIYSKPHG L198_07502 MLLPCSPRCIARARLQHAHLFHRSASSAALPSDSSATSASSNKG KGRQSTLSQQYRFPETGKMGGPPNPYEVMALDPNASQQDVKQQYYKLALLLHPDSSHP SSSPDHFATLNKAYNLLSKPSSRSAYSKTGYGWDLASSSSSPGPSSVDQWMRAEIHRR RTYGAAAWNPASRNYRNSDEGKGAWGGFDGSKGWKPYESKTGFEPPRADTGAAEERYM SNPRFLAVVGLTSVVVAYMNWSHVGGSSEAHREMLDRQHFDASHALATARYEASTHGH IRRERIRRRVRQAEVMKELELAEQGHLALAEQGQFDSVQK L198_07503 MPYSTPTRRRPSTSVNLEITPSTSELGSHAAFPTSSNKENEPSL SFNMGFHRPPTIGFAAVQPFVPMDSPWRDGVQSGSTKTIFKVQTSLPSILAKRHPLGL YQQSPQAYGSPPRPSPSFSTSPTKPQPYSTSKLSRIPSLIPGSPIRSPPATASAESPS DQRRGLGSMDERADRLESTEHLELADSLRMTAVESGEKVGRPWYDESSEVGGALSDES AIPRVSCSEAACTLEYSTSVLPEDLDFFSPQTDEHTSPPCRELSYSDFMPYLTPLPDE STGGPFTESSTEQSEEVEELQESTEELSSTDSSEQLSGSRDLSFGSTALEQSDQSSFH SRGHAGGMGKGLVTESILSFDALVERECVSMDEGGITRDSIIAPSTEDHGPETTRETS QVLTERSSSIDMGSSEDAFPDKADIPIAESPPSPSPKTEIHTEQDAALSAYIATADMT RNMTPSPPAVVPPPEPLTPTQANRASRATADGDLSELISKKTVLYATPAYQYEPFEVG DSVFEEDQTIDTIQQDAPTQESASAETNGEQSTKSSDFTDVMLAQEKVDVVPAPVDSD ENQAEEERQPVTIAIRMPRGEDYVSLHKTFVDPCFQPPDVPSPIRHTLPKSETLLSVP GAKKLQSKGNEESRPTIAFISPSTSYYAEDFGSSSGHVVHPTAAERFANEVGRKARGG RSAAEHVVYTFHQKKQRSPSPPSGDRVVQLPDPVRPARRHEYVLEQKSTIRWLWFCLL TGFFFPFYWLVGGWLVGNKQLREWYRDDGDDMADRQAVKDEAERKDTANLAPLAGSQQ VVLQSVAGQTPAPGGLYQCRRVPSVLNACTSCHQPSSMAVPRITTHPETHDMPASDTQ TGQVGEASTSCTSTKDVTSGGGDELEPGHFHSVLARSADQQSDSLAVPTHRAIRHKES HSTLASTSSTVRTAPSARSTETFCPRGLSPEPGSSSSVSSPASERPPQQAQSAPPDSV KGSEPRGGDGAHHPSGDEDKTDGGSRFYNPGCGTPLTTVPSTHNPQLFHHALADGAAK LPANFISASAHGVDKETGEDPGWTREAGIKRVKKLRRVNKVAALVGFSLYIGIGIGLG IGSTHHAS L198_07504 MLKRTLLARAKVPKPIIAPSASIHHFSRNNSRPCPTLHGKERNI RFISSHLGRTDPPSLSEPGVTTPETKEPASVGNVSKPVETASRAVEGETSSLPSSSTF GFLELLPAWKDLPVSPAVLERLAASFPHITTPTPAQRLFLLSVLSGNEVYLKDGMGRG KTLSISLAALQLALDAQAKSKKAGSGPSIILVVPSPHLAHQICDHLTKLCPPLKSPND PLPFALITPEITPKGESTPLRQLPDFPIVVGTAKAFYQYNLETPALTHVFLDEPDTMV GPIPSRFAKSIHTQPLIRHPPPIVHVVTSLLRIGIDRDGQLDFSERRNGVNMVMTSAT IQKDFKRFCKTRGWIRRGNAVVDLDFSLGASEQRRAFRERLLAVIGSPPGTDPIAAAR AEQHQPEHHVLLIDGVTGDLVPLDPSGPPAGLNPLPASSQRQNTLPSYMVESLALLHS TSPPPPGKYVLALPPQEISLPELGRELASLGIPTSILTPEALQVGIPSFPSDILPPIF LAARSSVPGLHLQDLWNIYLLNGLDIGSLTPSQRKNKGEVERVVFYDTAAGRLGRLGT DSTAGTEGERQKVVSLVMAGGQEETWLSRLFSGELEREVSENSQKEKTDKKRMLKQWD MEVLNAAVEHELGTL L198_07505 MVAPSHPKITILKRNRTDVHLSENKFLKKTARGKVMNVLRERYV RDDIPCGFEDCQLCEDFPGFKRVLPRNGYSRHAKFGGHWLVIDTNIVLHQMDLLQSLP ADLPLLIPSTTIRETRHRSLPLYNRLQQLIQDEDRIIWVWWNEERRETATVREVDDEN VREKINDRNDRAIRQTVSFYNEHLLHSTTSPPLLILLTDDAANRALAAEGGIHAVSTK EYVDGMETEERGRLVDLVVGGVDEVETAEKRSKRVYPDYLPQDTLIAGVKTGRFQQGH FNANQYNYLEGTVNIPGLNRPVLLVGRKNMNRGVNGDVVVVEIFPESEWKAPGEEVVD QDLALRDDDVEDDEFGGVPDKEIQKEKSEALETQDAKKNKKDILPTGRVVGIVKRNWR AYVCHLDRSSLSDSAFTSLAQQTVFATPLSRALPRIRLRTRQAPQLLDQKILVTIDNW SIASRYPDGHFVRALGKVESKEAEQESLLLEYEVPYRPFGKAILNCLPIEGDQWVVPP KNPDSLEWRDREDLRGLDICSIDPPGCQDIDDALHAKRLPNGNIEAGVHIADVSHFVH PDNPMDSEAASRGTTVYLVDKRIDMLPALLGTNLCSLRPFVERLAFSVIWELNEDAEI VNVRFVKSVIASKEAFTYEAAQLRKDDKSRNDNLTNSIRLLNTLAIKLKAARMRAGAL SLSSPELKIHLNSSESAEPIDVEQKEQRETNSLVEEFMLLANISVAAKIQETFPATAV LRRHCPPPKTNFEVLQDILEKRKGMGLDVSSSKALADSLDACVLAGEPEFNTLVRIMA TRCMLSAEYFCSGSVSKETYGHYGLASHIYTHFTSPIRRYADVLAHRQLSAAINYTPL HPSLQSKSHVERILNVVNKRHRLAQMAGRASVEFYVGLALKGKGDGIGQKRREEAFVI RTFRNGLAVFVSKLGLEGLITFQKELHTYDAENYTISVPKDGKDVEIAVFDKVTVDIS IEKDENTQRGKVVMVLVGPVNSEDL L198_07506 MTGKSKLTRPPPLDIDEDPAATLTNSAQPRQAGKGIEYIPPSLE SAADNESRKDGVGVVDHLRRAFSLHKYRGPSRKPGGGGMKRNQSDTRFHTKVSEGGGL NATKKLWSYPTFSMRDKRRKEISFEMILKEEIPAPQPHPSPSSSKGFHRPLPRLGCHS KSPTALERDADPTWPPLLPKPPAPAHPPKSTITSAQLASFRKVSAPILRKRPLPQPPA PFTDTGHSSFYHTQDGSIRHAPATIRLVTRPSAPPSPVPKPFGPSANFTTSTPLARRV HSASDVIGGKVTRRPTASLMPRKPVPRFLDVPFEGDDGHISGVTAFTRSPPASTPKPT RTATEFRSPHLPTPPTPKYDVYVDPFAPTPSRRPPDTYDDSYEHYQNTLFADVLNTWG LPLDLLAQSVSRSRRESLIEASKSSHGSRPSRSSRPTDLQIYSKPSIMSFPAVPTHPR LQNHKPSPLGERRILENQVTFQSEPRRKHGTVKKFVSMDERRLRQPVGRVKDIVDIIE DRQGDRTITPVRELGWILHRPSSVSSDNLHSESKRLMNEARSKARPPRWI L198_07507 MSAPQVDLKKPEVPKEEAAQQEKGLSNDALTKYTTAGQALTDVI KKFIPLVAPGKKVLDLCIEGDKLVADTVAPLWNKPKNGIKVVKGSAFPTSISVNNTVS HVSPLPSDPEIVLKDGDVVKIMLGVHLDGYPVTHAETIHLSSKTDGLAADAIKAAYDA AQAAMRTVKVGGKNWDVTEVVEKVAKSYDCVGVEGMLSCQHEKDVTDGKKRVLLNPSP ELRRDHETATFEEGEVYGIDVLVSKSESARTSIYKRSDINYQLKMKTSRTVFSEITKK AGPFPFSLRALDDEKRARMAVQEAVTHGLLKPYDITQTAAGTIVAEFFFTIALLPAGP ILLSPTPAWYSADKVSSSKSIEDQELKDLIARPLRAPKKKKKAAAAAAETKA L198_07508 MPPTQQYQGSSEDDQLAQDERLSNGVMRAWHVARGGSCGAEKPT CASCARLNKECVYDSGQTTSSSETQKSDR L198_07509 MILLRASKRLQLLGDFSAASVIEADLDIVTTALNDWAATSFSTS DNNAVDQISNNDPVKNYIDKGPDVAALNGQMLDKLRGLGLDEWLIAFEGSERRDHM L198_07510 MPKDVHLVLLVHGLWGSPAHMAVAAHELEAAYRATQPSVSSVRS KSFSASGPEEDPVVTEKIEGGQQNEDLVIMIAGGMSAQLTYDGVDVCASRVAWEVDQK IQELEDEGCNVTKFSVTGYSLGGRACTAVVSRYLIGLLHSRSPSFFERHRPVSFATLS TPHYGVPRYNTFLSTTLCWLGARILSRSGEQLYVTDRYSDEDPRPLLEIMADPRSVFY TGLEQFERRAIFAAAINDNSVPYPSASISPVDHFSQWAQTGIQVDANEAGFIRRWWVE KEEAKGDQVVRMAGKKSKGRSLSDRIGVLPPVLRYRFPFNYIILLLFPIMLPIIILLI LARQSLDTSRSRNRLQRLARASSTATPSAIPSSHGLSIQHLRELIRRVEHSLEADIIQ SSSGPSLSPSEVKEMEAELRKVREEGDEGEASVQVILKESQARMCVWLNGLGFDKYLV WWPEISNAHATAVVRDLDIQPAHERGRGMLKFWAQVVLGQATEIV L198_07511 MPSAPQAPPLTGYTLWLSPASPQKYQFQSLIKHLTTFSEDAPVF EPHITLLAPVSLDVPLGEIEDTLGRIVAELGGLQAWKLQLKAAQKGGFYFQSVLAPVE PTKELLALREKVEQAFSVPGQSDYFPHLSLLYGDISAEKRDSISAEANKESREDGFGE VEIGEIEIVRCLGDVADWVTVGKVTL L198_07512 MPTTIVAPLAILPGSHAPQPATIVYDNGVITSIRPGLLAKDAVE GDLIEVAEGKVLLPGLLDAHVHLNQPGRTAWEGFQTGTLAALAGGVTTLIDMPLNSIP PTCTVEGLYAKRAEAKRVGIKTDVGFWGGIIPGNAGELKPLLKEGVKGFKCFLIESGV DEFPCVDEQDLIKACDALEGTNALVMFHAEMDSHPHSSSPPDPTHYSTFLASRPPTWE IDALSLILRLAKKYSGLRFHIVHLSAAGAVPLIKKARKEGLSNLTVETCFHYICLSGE DIPDNATQYKCCPPIRDEANRKLIVEALLDGTITYLVSDHSPCVPELKKGDFMSAWGG VSGLGFGLSLTWTLLGGKGGRVELGQIVEWMGSTQALQVMLKGKKGELMVGADADFVV FDPDAEWEVTLDTLRFKNKVSPYLGKTLKGLVEKTYLGGKLAWDRGKEVNDVVGKFV L198_07513 MPSHPHPRARTAQPDAPKSQDPSAWLGFSLPPRAPGHGAAGVPG SGVPGPPPRRSRRTEGWRGGPMSREKFLNASFKFMLKPTEVLSYGAHFADPDIPLHWP NILQILVPTFSALSVAQGYVSSSADATSAAQCPICLSKPVAGRMTKCGHIFCFPCILH FIQLSDIPKSAKCPICGDMVQTNTLKSVKYLDAKGMLGAEQDEDSLGSGPVVHAPPPS GFEDSLREAKALDSTLDTSQPEASTSRHKLHMRLIQRPQMTTLALPSSPTWPSDAVPP HTAPWYFLPDIITYSRYMLATSDYMMHELSRELGELRREWDLLRGDELGRVFVRAAMD KVEGQMEKVKMELEADLAKRSERKGREAWAHAVGGERLERERIRERERKEQERIQREQ EQEVGDVPVEFLASQQTSFDNSANINIPPNLPVTPNPMPDVPPKKHKRRSQQPALPPP PTPPSQSYYFYQSSLGTNVFLHPLDIRILLAHFVTYSSFPPALTFSVQGWETATITED LRKRSKYLSHLPVGTEVVFIETDLSALVSPTTLSQFDQPLKARRQKRKDKVRKEDRAK ARWEKVEKDRIAGEVVPSVRSVPSAFVPGGVVEDDQLEMVLERSMREFEHDFPSTAPR SYTQPGRQPSAVSSSPPTAGAPPWGTAQCSASASEEQRQRSFATALHRRYNPTTRTVE EFDPAWEAFEAPNIGGEEVPRDVRETERGGGQGAGRKKKKEKGKTLVLGGGGGRRA L198_07514 MALNFTIFGGGGKISKLFTSQAVKAGHKVNSIIKDNAHEEELKA LGATTHILPLDKSTVPSISSLFNKVSPDVVLFAAGAGGKPPGPEEIDHKGAVKVFDAM EEAGIKRLIIIGAVAVRSKNSPVPDWYNDQDKDTESKFWKGLGTYMEAKLAAELNLHK RTAIDYTVLRPGSLTTEPAGGVQLGRTHLTPTSRELVAQTALAVATTPGTEGLTIDVI DGSGELKSELKKVVDGKIDSWVG L198_07515 MTIHALWIISKAGGLVFSRSYSDVLPPLPVNTILTLAGILHGIH AITARLTPAPSPGQPIEGLDSFEAEGWGGKVFMTPTGTKFVVLHSIPHTGLEDLARRI YETYADTVMKNPFHTLEMPINSSLFDEKLGGLIGGVNAS L198_07516 MVASAEKKGKVILAYSGGLDTSCILLWLIEQGYEVVAYMADVGQ EEDFEAARTKAMKCGAVGFHLADLKREFVEELIYPAVQCNAIYENVYLLGTSLARPVI ARGMIEAAVKEGCDFVSHGCTGKGNDQVRFELAFYGLAPNIKVIAPWRLPEFYERFAG RTALLEYAAKNDIIVTQTAAKPWSTDENLFHISYEAGILEDPNQTPPDDMWKLTTSPE KAPETPERVEIEWSKGLPVKVTYPADGTVVTDAVDIFLSLNQLARKHGVGRIDIVENR FIGVKSRGCYESPAATILRAAHMDLEGLTLDRNVRAMRDQFITTGLSQILYNGFFFSP EREFITAAIPASQKTVNGLVRLKLYKGNVVVEGRDADEGLYDAKFSSMDEMGGFEPTA TSGFIEISSIRIKAWGRANIKRGQDGVSPKDVYHRE L198_07517 MPYLPFGTPSAYTDSFPAPSPKHVTVTADTCFNLTVFRDIVRQY RKLDDQIIIRLNRAQAELRDQSRTSGSSWIPAKSMDGAEGMCVKLWAEMMGQPIAPLK LETFPDMMKQRGGFTDGLF L198_07518 MDVLYSYFPYPLREKAAVTITRGDLLRIQQNDFLNDTLLEFGLR HVLDQFDNDAKDETHIFNSFFYLKLANKSKGSKPTPEGWAAYDSVKKWTKGKDIFKKK FVVVPINEHFHWYLAVIINPAGILRETTPQPNIQTSAIEFKSSPNRPTTRAAAAAVKE SAPAEIEVPASADQDPLILYQGNADDGERHVAGESVHENRPTQPDRILETPIASQTIG KPNETSPNNNLLSPPSSPLTPVTPVPEPLMSPDAPVSSDPINALDQTGDQDQDIQMQD VSSAVQSLALGGSVKNDVGEDTDPAQGGYIDIMSSAFAHNRQPSETVQAATTIEDDAE VVEIAGPAAGKKHKKGKASANKDKKASPKKKGDDDNFQDGRTWIVTFDSLGGKHQAVG NTLSRWLEFEAKDKHGVDVKSDAVYRAGYAPAQPNFVDCGLYVVWYTQQLLQKTGAIL EFVQRQQPYKTDPDRKEWQISLESAWSASETANLREKWLADMESLNLEWKKVKKERAA AGIPEDVDVDGEEGDSQIQVIDKKEQETLQKVVKAEYEKYCKAGNLEGSQIGKQPSPF RMAAALRPAIEEDDEFAESRHAQRRLSAPATSSRFRPGHESAPRSMPPPDRTSTPPRT IPEKRLPPTSAHLEIPDIPHSSPTNAAQDATRRKARSLSPGTQGSPDWPDRAEDDEDA VTLRGQPEDGGREGRPPNQTTRNDDSDKLDTNADERIQEVDEGRPGASMRHAGGVMEK EREGENDDAKIEEEEEEEEEEEEEEKEEERNEQALGETPKREEYRLQALNIHLSNGHG RSEASPVAAEPHMPTTATVDPENVHHIRYSSEDGASPSHPATPTNVPPKATAGRQSLS KESKYFDNGKPKSLEPAAVKSAVASSSFPPTKQPAVAVSALERHTPSRPNPEALVRMS SGNRGHVISVKSGGDSSNGPFSSLSASSPTGPYLQKEARMEQAIRAGMQANPGKNDGL EVGSGSQDEEEMGIPDAGGKERAAELLLRSDQDTVPARDRTQMPASAMGNRFKSPWKR RVVSDGGQSGPESKRKKTEKGSRRESAAHGATKEQPIELEDD L198_07519 MDTQPPVYVFDAAKPYASLNQSSLSSSVARNFWRSARWCIDGSA ILSTTEDRALRVHQLSETTPGQLTTAAFPQPDSIVSSLWFPPATLASPETFCFAAAIR DSPLKLIDGITGVHRASYPIVDHRERFVAPHSLAFNTSATKMYCGFQSAIEIFDVANP GHGTSARLKTSASKASKDGQTGIISALSFNPDGSGLFAAGSYDGSVALYQEDGREAVG WLDGVEGGGVTQLGWHPLNSAVAFVSSRRSRALQVFDLRYPTKPLARLDRDGQTNQRI WFDVDPWGRWVASGDQVSGPSNILHRADSREEWICTSLGYQ L198_07520 MRIAFIHPDLGIGGAERLVVDAAVSLQRLGHEVVMFTSRHDPAR CFIETKDGTLPVHVIGSSLPRILHPRFPCTILFSILRSLFLAILLLTSLLLPGPPSAF NPLSPLPKFDLYIVDQQSVAIPLLRFVSGSRVIFYCHFPDKLLSGGWDIRIDDKDSYV RRQSGVGLLKRLYRWPIDKLEQWTTGQADVLVSNSEFSSRVFQLAFPSLSKHPRRVIY PCIDIEAYQTKIEGKGRADESVESISSDRPTIISFNRFEAKKNVALAIKAFVGLRDRG LVSPSEFANLRFVIGGGFDSDEQDNRQTLVNSQSLCTSFGITYTTVTNQSATQAPIDP KAQIIFLLNFTNAQRTHLLTSSSTLCLLYTPENEHFGIVPIEAAACGVPVLACTSGGP METVVDFSRSENGQGSGLLRPPDAGEWSQALSEVIHLPSSSRYSISAFSRSRAQQAFS LATLGGDLEEACKDALKMGDLHMQLGDKLIWGSLGLMAFAVGGLGVIWALWG L198_07521 MRVAKNTHVLPVTTFLVSTLLFSAVLPVLAAPDNAARPALQVRH EGEGGDVPMDMGHAEDAVESTPTRQIPTPVASTLGSATSAVHSAAASSSHAAAGHSHG ASHDPPSAPHSHGGNQEALTVLNDTDIHRRH L198_07522 MPPRRTRKAAAAEAAPKSPSPEPEAVEEPPAKRAKKMTTVTVAE EAGEEAHVETEGVQDNSPDTMPVEDEAKDTPKAGDEEETEEWDPSEERLPGETGKKGK GEEKAQDEPSAGEDQAEGGDTKGWQAVWAPEQNAYYFWNSNSGEVTWTNPLAPPPLPQ DASQPPLPNEPPPLPAGPAPTESAPVSAAPDVDPHNQFGQLPEIDPALALLLPPSQRG NSGAPGGADAHLVQQATFNARTGRFTPLDYQYTVGHLDEYNRAKRMNSHYFDVEAWER QKAEESERKAREEANGGRKTTTTRKDMDRYRKKAAEKKQRNQAWLRE L198_07523 MLVNPWLYRPQWYYNNVYPAYPVIPPFAPIAPVAPVASVAPIAP VYPATRPVPINQPVIQETVAYQDRQPSYNHTTVVVKPGSGHRKTVSFGGVGGGKYHGQ GQYGKGGGSYGHGHGHGQRNSYSENGKGRRAASSYTAGK L198_07524 MSALLSGYASSDEEDINTASSAPLVATGASSRTAALPPQSAADI DDEEDDEVLQKQARSDAFGLSGQKAAEHARREEKTVVKAAPDVLKEDSNGAGSAIITR PTDKVMNVNLTYEDMSRPVAGPENPFESRKNKGMNTLSGHVEEQSMDNYSFLMAQRTF DVHGYALNPSLTPGSTPIVGSLNNAHQNGYASIENMKPTRNEQKTTKRKRGKKGDAGV VEGEGAYLGPWADWQTEKPEEIEVEDESENEEWRAEKKRREEATAKAKEKMQVAMEEK SIFHGKELHDYAGRTYMHIPTDVDVKLNPSDGAPPPNAYVPERCIHTWTGHNKGVSAI RLFPKSGHMLLSSSMDTKVKLWDIYHEGNCLRTFLGHSQAVKDIAFNNSGDKFLSASY DKQVKLWDTETGKCIQAFSNGKIPNVVKFHPDGDKQNIFMAGMQDKKIIQYDLREREI VQTYDQHLGPVNTITFVDENRRFVTTSDDKTIRGWDYDIPVVIKYIAEPYMHSMPAVT LHPSHKYFAAQSLDNQILVYSADGAFRQNRKKRFAGHTVAGYACNIGFSPDGKFISSG TGSGELVCWDWKSGKITKRLKAHKQVVIDHVWLPNEHSKVITASWDGLIKLWT L198_07525 MPGVNYVSSSLNRTRRKNKTPAEDSYPRLPPGYLSLKPRGMFAY LNPNPNHDSLDLSDDLTRGAAEIQVKPECVEVEDWNQEGGKKGRLHEREPLRHNAQTA SEAQRQPASKLDFGFSWSKHALSSTTSRESLSEPYDVHPVSKGSHAKHVRGGEGGSNK RRKEGDDGSKEPWFLKWKAGEQESSKTASKRKSPKKTYGVASGNSRIINGALILVALY GQQRILNSP L198_07526 MVLINEKKYACERCIKGHRVSSCTHTDRALFEIKKKGRPGTQCK HCKEKRKSVGSSVHTKCKCGSSNPLACEDTSAEGSTAPAAEPEVETRKGQPGSRPAFP RGLKDVHELSAAANALQGLGEDDAVVKSAERTVQALLNPCRCDYGGPCSCCEPKRRSR KQSPPSTGTGTLIASTAPSPIDTIIASYPTEAYSKLSPTSYLNPDNPQRASNKTRLYS PYTTDPRGRRDSPSNSVGSTSSPSGWNSPRSVRPPPTRVKPLTDIKRFLTAAMNKDGS LASEIPRSVLGLPGIQTFDAAAKGELVTGGGGACCGGGGGDDPSEDVDMALAFPTLED VVLGACMCGEDCSCPGCATHDTTNTSNGETGHDGPCGEPCQGHHNCSSSIPIPSGVQS IAQLVVLAAAQVPKPPSRPVGSFIDPLDTRVLPPAAFSSDEAARKLGLVPLRPLECCG GKCQCPPGQCTCQKQCCGCCGECSCDKDEDTHMGGDQEAEVTEGQGACCGAGKGTTAE LIPKEVPEPLAPTSSTKAAIANITYHPTMRHAMMQSAQIQASAQRSIDPYPPSPVTAS APRPAQSGCCSSKAQLPKPPALPKRASDSGILSHTASPRASSGLGRSGSLSSGNRRET GQRKIAPLPVAQTLEARPNKQRAMQPAQPKLYAGTAANPSTAAVPAIPANIEKENQAL LEFIEKQWQSAPEDEFTLTSGLDGSGAIQKEGMAMPISFSAEPWAYPPQKEDDENQHG QATQLLEAGADQMDLDAFLRSIGAQYAGQSEVGTAPVAQAQIPSQARSDVPSIEQYGF GSPDFFFTPGQTYPQPPGNVTEESYPQSSQMQHHTMMPAIAPPKVSDGERPEAQSRAT APPYTYLWNTFMGQMPLETEGSGQGLEAAQMQQYKGKEKALSVGVSNGDGQGGRDMVD LSKPIDAAVYQRILQALEKQQLQPSLSTPNAPHHGGSRAQPPPQLARRPASRPSFSAR PRSAPKPFYQSSASPQQTFPPAHSPSLNSPYATDAVAKELDDMFSQFVTLDGVVNTGQ EGGSGHLEGLGGSAGLGMGMIGGGLDFVEDNEMGFSQPSRLPDWSGPGDSAGSTGPKE SPENIRRGWEMMGFGGNGNIGWDKARVWGN L198_07527 MEVDHAVPPVELAMEHKLREKLEIHYLEFVDTSGNCGMSYAVTI VSPGFAKKITLQRHKLVNQILKDEIAQLHAFSQKTFTPEQWAKEQSK L198_07528 MAFPATSSLRSLRSVATTASRSYATIQPYSPPSRDYAPPRRSPR PDSPQFFTGRPQFHEALATLSSTVTQTTSVLRKAHIYPLPDGLPTITPPRASWVSPEE LSSIFQTKLKTSTLRQVMDLLSELHNLRHISDLSGYPDLAGKIDKELARYERLSEEVK SGEEKESRKKKTVDEFGRAYGMGRKKTSSARVWAIPTPSALPILESTSSSSAPSEAAP SLNIPKPEILINHQPLHTYFPRLADREAVLRPLRLTGLLGAYNVFAFSRGGGVSGQAG AVALGLARALSVLKEDAVDVLRADGALMRDPRMVERKKTGRAKARKGYTWVKR L198_07529 MMILRNAAPLKRTLAPSHRYASLPLLRVSPQLRWNSTRPPKFEP EDFKATPRRSPQSGSEGSKEQSYNEKVAHAWSTPTKWYPIPIALGALVLLGVQYRKQA RGEVEVESQGEGGAVLKRKADGPWQQVRVMGALPLRSLSQLWGYLNGLVLPVWFRPFG FTLYAKIFGCNLDEVPKDLKEYESLGDFFYREIKVGARPVADSPMVSPADGKVLHFGE IVGERVEQVKGITYSLGALLGSDSPAYGQSTDIPRTSQPQVVEDENFAKINDIPYSLS ALLGQGAGPESAGQTREQSEAKKHGQVEDASHPPKGEQLAHDASVAAQLGVGALGNKI DSHTQLPRLSEHNKLFFMVIYLAPGDYHRFHSPTSWVVERRRHFTGDLFSVSPYVANR MQDLFVLNERVALLGRWKHGFYSMVPVGATNVGSIRINFDQALRTNTRVLSHPPKTYA EATYSSASVLKGQPLLAGEEMGGFRLGSTVVLVFEAPENWKFGVEAGEKVKVGQALGR FEE L198_07530 MASSSSTLPPPPATAKHADAEGYEMPWVEKYRPVLLQDIVGNSD TIDRLKVIAEDGNVPHIIISGMPGIGKTTSIHCLAHALLGDAYKEGVLELNASDERGI DVVRNKIKTFAQRKVTLPSGRHKIIILDEADSMTAGAQQALRRTMEIYSNTTRFALAC NMSNKIIEPIQSRCAILRYGRLKDAEVLKRLKEICEMEKVEYNDQGLAALIFTAEGDM RQAINNLQSTFSGFDFVNQDNVFKICDQPHPITIRQMIKDCQDGKIDEALARVNNLWE QGYSAVDIVVTIFRVVKGMEELPEYLKLEFIREIGWTHMRILEGVGTLVQLGAMIARL CRFSLPPKALKI L198_07531 MGALQRARQRSKVVDVVVDVFDWYPAHYPKQERKLLRKMDIGIL IFGCLSFFCKYLDQTNITNAYVSGMEEDIGATGNDLNYFNVAYFTAYVIGQIPLIALQ SKPAIAPYLLPTMEVIWAILTFVQCRVTKPWHLYILRALLGFFSAPSFGGTHLVLGSW YRKEELFKRAGVWFTGNALGSACGGYIQAAAYKNLEGVGGMRGWRWLFVINGIVTLPV AAIGFALFPGLINSPRRWWCTEEEYELARTRLSKDHGADQGVTWQTIKDVLRKPMIWI CVPSYIFLCQASYWTGYMTLWLKRDTNYSIELINILPTFLYLIQAIASWVGTTLACAL STRVLWTFQAFAIIFPTILLCIWNIPNGLKFFAFYFSGFHYMASPIFYSWINDTLRSS PAERGLIISACMTLGYTFYIWVPLFTFPTVEAPRFKHGYPPSIVFAAALYLLVLFGMW YMEKHPAVPEVQEGDIERRSQESEQEGARTPGSEYEDEKDASEVGKKEIKGTVVLGRE AKAFTSVGVPTAKIGA L198_07532 MPVPVPQIFHYDYVPETKEDLDWADLPTIDLAKFNDPEGRKELA HTLLDAIRTKGFFYVINYGIPQEKVDRQFALGSKFYNLPLQEKSKYTPDLENGEYNGY RPAGRAVLGGGVKDRTEVYNIPSKSTLLRAQPEVIQSNIGEVESFARSLHTNVLDPLH ILIALALELPEDFFTNLHKYEQQSEDHLRYMMYRHFNEEEIAKIREGDGLYSIGHTDL GTLTLLFRQPVAALQIKDHATGDWKWAKPLDGSLTVNTCDALSFLTGGYIKSTIHRVS LPPKDQQSFDRLGLLYFARPQNDTPLSTVDSPLLKREGFTQNEFEKGGHKVPTMGEFV TLKQKWQQTKRSAHREGENAQIVPGFTGKYHD L198_07533 MSSPPYPPSSQQRPKRPRSRSRSPTAGPSGLRSSPPPSSLPPSS LPPSSPPQPFSDFGDDPAVGDDEAEARQVGRENNDDDDDEGEDLFNDDMLADYDENAG LDTYSQADIDDRSSVAGPTRGERLAAERQMELRDRGVSGRRAGRRDHMPAFLQSDDDE AEPYGDDPLAGINVRRRRRQYDERMDMDDVQEEEEELSLEHLGDVKAASISEWVSRDA VRRAIQKHFRSFLMTYLDPNTGQSVYGQRIKHLGEVNSESLEVSYIHLANARPILAYF LANSPQPVLELFDQVALDAILLYYPSYDRIHSEIHVRITELPTSLSLRDLRQSNLNCL VRVSGVVTRRSGVFPQLKYVKFDCQKCGATLGPFFQDTNKELKISFCSSCESRGPFSV NSEQTVYRNYQKMTLQESPGSVPAGRLPRHREVILLWDLIDMAKPGEEVEITGIYRNN FDASLNSKNGFPVFSTVLEANHINKKEDLFAAVRLTEEDERLIRSMARDDRIAKRIVK SIAPSIYGHDDIKTAIALSLFGGVPKDINRKHRIRGDINVLLLGDPGTAKSQFLKYVE KTANRAVFTTGQGASAVGLTASVRKDPITREWTLEGGALVLADKGHCLIDEFDKMNDA DRTSIHEAMEQQSISISKAGIVTSLQARCAIIAAANPIRGRYNPTIPFQQNVELTEPI LSRFDVLCVVKDAADPVQDEMLAQFVVGSHLRSHPNFNAESDEVNVSTSVDADIIPQD LLRKYIMYSKEHIRPQLHQLDQDKLARLYADLRRESLATGSFPITVRHLESMIRMAEA SAKMHLRDYVRGDDIDLAIQVAVGSFVNAQKMSIKKTLERGFRKYVHQATDHEELLSF LLGSIVKEKVQLYRHAHGENPNKVQVKVSQLESRAKELEIYDVQPFLRSRLFGTNGYK MVESDGVRSVEKTFTVGN L198_07534 MSSRTESVSNTLASAIANLEKDQELRKVGRTAGHPLSRRWAGHD WKSLEPIEDLARSAWSTVNKIHSAPSAQHAAICEKSLEITRDIKPLWASVASLIPEGE FHRYSYAIGPINRSLTQTIVFSRFMLFDELTPAFTVSDLIGLEQDTTKNLILSAEDYL QGVISAVNELPRLSINAVTSQNFDLPVKISAFVNDIFSSYSLLNLRNDALRRRFDSLK YDLKRCEDVVYDLTLRGLAPAPKA L198_07535 MSLPYPPTALRSTQDYAVIASGPEIFLYDPRTDKVAASSSNAGT GNTKHSGLIRFIAVSDDASLIATLGDDKSLKVWDVKKEGENLSLKLRSDRTVVKKGSD LCFGPDGSIILSDKVGDVYSYPLDPIPASAERPPQHALVSDPSQNPDATYLLGHVSMI NAHLMSADGKRLITADRDEHIRLSRYPKGYVIDRYLFGSDGFVSALHIPRTHPNTLLA AGGEPFLNIFSLSPALASSPLLASIPIYPSILSYRRVRSHLRRHKPGSRKLKLEPAHE DVKEEDKAFYEAEDGYMLPAGQGVCVKKIWTLQVGEEVVVVFFSEGAASLHSFVLPKE SEIQGSKPQVHTLAVDHPVIEFTNVPSTFASDHVNLLVSLDTAWDVLKKNPGPGTEGR QDVVEKQDLSEEEKESLRKVLVVVKVAKDGSLSLEQSPPNSLTSSFPTTTPSQISQAN LYPLLGVLPRWPGYEEEEDAPNSSVPGGEPIPAPAAGPGGAYTNEELESMNTKQLGRL KASGVDVGAIMLRRKKEAKEENRRIQKEKEEKRKAKAAEWGAGSGQKKNEWRGERPAK KKKVADEETAGNE L198_07536 MASWFDIISSLVLLAIFCAAVHFARPYAHFLDPHSNATAPSKNF NSNVSYDASASRVAIKTDRVAPSRDEYIQNMQGAFKRGAHMVKGHKDAFGFKKGEAGA ASPTEAAGGKSVRSKKLA L198_07537 MRLSTALPLVLLPALTLAHHDPLRPAHHRRQLARHGHVAYGKRV PAIDDILGGGSTSSSDAATSTASADGKSTSGAAAATSAVASTSEAATTTNRAEASTST ADTSSAAPGTSQAATSTVNSSSAGKSSAASSAAGSSSASASASASASDSSSASGAGSI PASGLSASSSQSASPSPSEVTETASGSSSPTTPAAQSVQYTTDSSGQTQMITVVLTQS ADDDDPSTANAAATASSANTAATNEDDGSLSTGAIIGICVGVGVAVLALIGLALCRMR KRSSDEDEAIRWPELNRHGDSDVHHALPARETGQHGFETSGMSRPLSSGSSIHYADYP AEYGSAGGDYLGTPMPHTSVPMALGGSNFGASSTLEDEYDEKYSPAHLDHSATHLAGG PPSPNPNSLDEHDNYSSLPPPVQPTPVGLGMGGMMYGQGHPSPNPSEHAMLDNEDVYG GMGAQSVQMTQMGARGGVTYPQVALTSPVPEYGMEYPDYPRGGY L198_07538 MLARKHLIRTARIPSIPPPAIRAARLVRPSLPSLSLAAPHPAPA LARGYASQPPGGGGPGGFGGMRFPGGQAQGPEKGETLRQFSQDLTELANEGKLDPVIG RDEEIKRTIQILSRRTKSNPVLLGLPGVGKTAILEGLATRIVNREVPESLHGKRLLAL DLAALMAGTGVRGEFESRFKALLKDIEAEEGNVIVFIDELHTLLNLGKAEGSLDAGNM IKPALARGLQLVGATTLDEYKKYIEQDAALQRRFQPISVDEPSVESTISILRGLKSKF EVHFGVQIADSALVTAAVYSDRYISDRFLPDKAIDLVDEASSALKLAQESRPAELEKL DREIVTLEIERESLKNEDDPFSASRLEKVEEELETKKEEQKRLADLWSQERERVGEIK EIKEQIEQANVDLEMSQRNGEFERASRLRFSTIPQLQSRLPKAQAELVTENEAEPGMA VKDRVTSEDIAIVVGKATGIPVNNLLKGERERLIHMEDSLKKRVVGQDQVVHSVADAI RLSRAGLQSPTRPLASFLFLGPTGVGKTELTKSLAEFLFADEQRALIQLNMSEFHDKH TVSRLIGSPAGYVGHGEPSQLCDAVRRKPYAVVVFDEIEKAHPDVANVLLQILDEGCL TDGQGKQVNFKNTIICLTSNLGSEALYEPDACHPDGSITETTRTNVLDHVGRFFRPEL INRLDELLIFNKLPPSIIIDIVDLRLREVQKRLEDRRITLDVGDDVKAWLARKGYSEH FGARAVARVVRDVVTNKVAGKLLDGSIGDGEIIKLALGGEITSRPDPHLLHPAAESSA SAEGTGPRNPPTDPENEARTLEILEDGVEEDGDEEKPRRTVYG L198_07539 MPPKISDVEARVLEALRAANRQEKPNLAELSRQYNVPVKRLRNR HKGEDTAGMDSSPGWLGDASDKALVEDSANQIIARAWEGDAEDAPTVGSKWVDRFHQR TESLHRVKQKSVELARVAALEPKAIAKYFREFMEVRDKYGIQLGMMARKTLDEKTAAA EVREKRKANKRSLVSSVLESGNCRSQDWAKKQEFEAKQRKGEHLSNKREVYLRKEDGG WRQVLQGIKDIGRWGENAWAKRMGPYSRNEGWRVGDKVGFMYMEETVGYGKNVRATER EDVLYSDDMEGSVEENDEF L198_07540 MASAAYSTIKLFSGSSHPELAALIAKRLRIPLARANIVQPPSGE TKVTIVESVRDYDVYIVNTGAGSIASARRITAIIPHFPYARQDKKDKSRAPITAKLVA NMLREAGCDHVITMDLHASQIQGFFDVPVDNLYAEPSMVQYIRNNIEVRDCVIVSPDA GGAKRATSIADRLNVDFALFHKERKKANEVSRMVLVGSVTGKIAILVDDMADTCGTLG LAAKNLLEAGATKVYAFATHGILSGPALKVIAESGMEKLVITNTIPQSENCEQCEKIE VIDVSRVLAEVIRRSHFGESVSYLFHEVPYSNGVGA L198_07541 MAFNIAPIQNFLKKEYPLPKPDPRWIKQCVAALQAAGTEPSEQS VQSEYLFTDLAHCTLPSSAIPEGDLHNVILFPIPTLLQVHSVTEIGNSAFQIQTVMEQ RSEVLSGRTRIRGLGDAEQEANGEEGEEEEVEDGRVPPYPRSMLKLDVGDGRKVLKAI ELQRIPDFVLGQTNLGSKILVHNVKVRRGILLLTPQNTQVIDSCVDDLEANQREMFVR DLKRRLGKLEPGEDNGEAAPRIVIPPPVRDGGQRRGAGGSQPRARARPRARAEPRAKA EPKSEHQDAPAPTTSRFFKAPKCSPSPAAGPSKRPAQIPTRPRNRSPTPDFDDEGDSI FDAFDESFYDHVDQAAAQLKSTASGSGTGGRKETELFEEAETDDDDDGDFMILDESVI RQLDDMSSRPKVGAPSTTTTTQGIRQKSVATSSSTSKGKPQYIVPDDGDETDYGMDED LDQSFVRQVDEQVAALVGASKAASRTVQVAASSKCSLGTPAASAMRGSQWKKTFSTDI SSDEGDLQKENRKPEVIEISD L198_07542 MPRVGRAKIRHHAAAVAVQKNQSNDAPEGQPFAAPTPVTEPVAV KEDRTTKKLKGLKVPPEGLEAGKAPHPYFVPSKSHLRRQKRRARDIAGGLSSLENALS SIAPEAAVPNPPASLAINKKTKKDVVKTKEQRDEERRKRLEEERERGKIGEGKGRTLG EKKRRDIIQESAKRIPAVMGHPAFKQNPWAAIREHAGNTLAQK L198_07543 MYHDTINYSTLPKEYLQSYPYPAFVLLVPIPPPQPSRTDSQDEF STPRSTHDPSSQFTRSDHGEIQPFEIVWGNKKWTHLSEGLPLLDCLDVDGVRRLGDWI SGALTPTLSPTQKTFPSLDNPVPGSPPSPENFWSAEHPYEDRSPRSDGLASDHADLTG IEPFEKSNPGLATLEINLINPGRITLELTKTLVPVPRTRKGFENVPMRTHSFAVITST PRTAFVPNTPQQVSHHGVPPVAYRAMLDKDRSPIKSKEVPSETVHPYLIPKTTILSPS PSVPTSLDPSIPRRSTTPHPKDDSFSSFGELRGLGLLSPAQNGKTSKPLMFNVDGTIS RQADTSDKKEHASVDDLMASTDWTKTPLGPREQWPQSLKTIVALVMNYPHQCCLWWGK DLTLIYNEPYAKAIHKHPSIFGMSGPSAWSEIWSSLGPISEIVLAGTPVWKEDDFLLF RQLAHQGDGVFEEYHTWMWVPILQEDGTFGGLWNATIRTTKKVLAERRMATVREMGQR TAIARTMEEFDKAVVDILENNPRDAPFAALYHVDQIAPVTKHDPNEIHVNVPLVGSVG IPDNHPSTPSNVSFPLRHRRRSSTTRFSQGDISSSSALSMHSSSSEGHPAPETKSWPL REALQCRRLVLVEDVTELIKDFNVRVWDELPSAAVVVPISSDSDEEVPNAVLVLGLNI RRPFDEDYESWIVSAFLRLQLASGIAAVHSYEVEHQRLNELAAIDRAKSLLFSNVSHE LRTPLTLIAGPIDDLLLETTDNGHKDMLLMVRRNMRRLARLVSTLMDVSRLEAGRLRG TFRPVNIGTMTSDLAVLFKRAIEKAKLQYTIEIDNTPENAFVDPEHWEKIVFNLIGNA MKYTMSGFVRVKLHYVENQIVFEVKDSGVGIPRSDIHLIGERFHRVQSVSRSHEGTGI GLSLVKELINLHGGSFEIESVTEEESEDRSHGSTFRVRIPLGCDHLPYEAIDHGSMPN LPQSTYGEGLVDEAMQWVRDNRDGLSAISSDESSNATGESGGGTQIGSGGTGVSGMTS AVPVKALDPNTLYFAKSDIIMLVEDSSDTRRYMKSIFAQYCTVVEARDGREALELCAK QRPNLIISDVMMPHVDGFELLTTLKNSSEFRMIPVIMLTARGADESKVSGIMAGADDY LAKPFNAREIVARAHMQLQLGKKRKHLEEAFEERTAELRVLSEFSPVGIFRADEDGKL TFVNSTWYSLSEFPTNKTLEDWQDIVPDQERDDLNEFWSGFLTSGERTRTRDWRYKNG KWSEYLECNHGSMLTIAIVTTDITERKIHEQSQHQQVVEAEQRRLEAEEAKRQQELLI DITSHELRNPISSMMQMSLFTFLYTNLLSLQEQLHLAIAQQKPFNPTRQLMNNIDEDL EALESIYQCGLTQERISNDVLSLGRIQLDMLEVFDVEFDLWKEAQNILSIFQNEARMK RIALSFKAGESHKKLGLTWVKADLVRLNQITTNLLSNAIRFTANSAVRQVELRSEISF DPPEDGACTMPREPTLPKDIKDDMTMYLYLEVADTGPGLTENEVQKLFQRFSQVSPKT HTVFGGSGLGLFVCRNADSVSVGGGITVVSEKGKGSTFRWYIKAKTCHTVPQTAANSL RAKLKASRDAPRYFGLTRNPHVLIVEDNLINQTVLARQLNHCNLTCDVASNGLEALEK IRKASSVTPIEGQEPVQCFDCVLMDLEMPVMDGLTAAYVIREEEAAGLMKKNLIIALT GNARQGQIDEARARGMDDIIIKPYRLDDLLRKIEDMMKIRADDEDAQMALDLKNVEEL EAADKTEKA L198_07544 MSFFSDDDTSLPANSRRASGSSSRQASTAPSFMDRPLPAGQRAR SASTFNTDAASSNNERTLRESSFDEEEFYKEIGITSGMPESPGSERGSSIFTPSAGRR YALSSPGGTPRRARDGTIAHDLGYQPQGGVDWAAIDEETDIEDMDLVRKIGVVWTRER GTGDIIPWEGELINDLMDRLEQQQKMYTALRSDPQTSEEEHFKLVLVQTEVERVKYLV RSYVRTRLHKIEKFAQHIAISPEIHHLLSPIELSHAERYTELLHTHLQHSVLDSLPES FRRLDENFPDGTSMITKPNTETPVMVYIRKDCGEVTLESGDQALLSKGTTHIVKQNLV DRWISLGYAEVL L198_07545 MPSLQDTLDTLAQHSAQIAYLSTLNTAPAGPFTSAYLYLPPPHL AHVPEEKGNVLHLIRDASDAERRLFKFVGEGDALATAGEGKGRGGGNKRVEKRDGGIV TPLKELKARAESEKDETEVMLRTALKLVDDYRSMPRARAHVQNLLDSHHANLDRLAEL EMLIEEASQPTAGAPSSPRPAQPKDLGESISGEPKEKLSAEEALKAEEAALRALESKL APLRRAARDNQTESQDSVPPQGRNIPQSPPSSSSQATETSLTSSQMPSQPRTPGRSMP HVTNSLVNATPRHERNDWPERIDRFSPLKLLTTPRAPRPLGGTGLREGIFERARAFQA ASASGGVPPSAPRGLTASLFGKRQMTPSEPRVNETPAPNPREVLVEEGVDQADETVRL NRAPSPPNPVQTPPTPTPAVPVTPPKSQEDTLAETPRAAVSPPKPSPVVMEASAKSAD GIDVESAGVKAGVARIWAHWSDIMRQGVNGGETVSEDPRSSVDHIIRLSKSDPPAPPS PSSSSSISALSSGLQSARPINSDTILSAHLFLSLLRASSGDTQEVDMDELKETIQSIA QAKGWGDAGTGSRIVFAAVGKKVITINWKGGGKRVRFLD L198_07546 MSARATPAERAAQIMIKRVARVCHLYLAAALRMCHRATHLPRNY VTEVSNFCRSIAELDPCATNLMLPVSTQSPYIPYIYGLGQMQAIYDLASVIARSLLAF EADHGRLSTLIENLPRVTLLCQSIRTVLIDLVLDVPLHFRIKTPEWVTKAEECVARVE RVVELSATCNDLDDITLQLFNPKGIWGIVEAMQDNVLGEKILETVDDIAFLLDRTLKH IIDCRGRGIDKTSAETLQEILELLATISICLQVLVEYSDDESQLADSFGYAGEVEEHV SRLNVLAMTMIDV L198_07547 MASKPPTRKSTRTRQSATKSLEVAPPEKPAPAATNLAQSNTEDK RSLMSGFESDSSLSPPPETDEESNGESDEESETEEEPAPPVPTPKVTVKASTVKARPS RVKSKEIPKAKNASRADEMDLDLKKEAESIPRGRGARKRIPESSIEPPSETVSPALEV APTPQAPRNDTPPPGSLPDDLSKSTHLPNPGVVAEEPIPVTADSMSVPAKPKPVPAEP ISPQAELVPEKLPEPLSDARERKEPRKENVKLMTGATDKTSTPGLPLDQDDLPGQPEK EGKKQGKRTSGYMTEGDVDEGVKRPKMGIVREDPEKMETEEGTGTATEKAEDRSIAAD ERPKTREQEEEGQAPKATEQLPKEESKTESLKRSVGLKKKKSRQQILSEDESDEDIAS TPSVSRNKPSATIRAPSSSPPAAKPLPSSPRASATPSPPRRSAKSVPHKKQTPGHPSS TPAHAKSSTKAATGVTAKPKPKPKAVAKLESSSGAPAKTTPTSSPASSTPAGAKPPVK KVNAKRPVQSNGSTPASSTPKMKAATGSVPSLLKQTMGSLRHAKTDDGKKVNEGSKDQ EERDKQSRRGKGDRGDGWAMTPDERKQFEATRGQREAERQVRDSWNVRPINLQETQDA WHIYCDQPPALNYKAGDDNLPDSIKTEDVASFVLRNVLGY L198_07548 MVMDQYERLSDVLKLSAHVTRVLGQNPGQMTLQGTNSFLLQPPS NPHAPLILVDTSSPHTAQQYVDLLFLHLHHLGLESGIRETHFESAAAQMTLRNFKEEN RENVQKIVKEQRDFDPWRDHTGLVEYGPGSSWVKDFDGKSHERKLPVVEHIVLTHRHL DHVGALPLLLKTLKEHGCPPPKLWKFPSPDEAELNASDRDRPSSDSSIWQSLPPGTYT PLSPFQPFHPILPGLMISIIDPRYRSLLKYDKDGRAKWNEVPEIARVSVRCLRTPGHT ADSVSLVLMEGEKGVFTGDTVLGHGTTQFNDLSSYMTSLRTLLALKPKVLYPAHGNHL IGPKKCLEHIQTYIAHRQTREDEIATLLQRFSKGKEESLAEALLEMKKQLHEKKEADN KEKGPLMLDRKKAVPLPSFDEERRRLESLARLDGVLPMSIITRLLYKSENERLLFAAS KNVNAHLEKLIKEEKVKKGQVTVVRLVEGNVEEEKEDTDGWEWVGAM L198_07550 MAYYHRPDDHCQTGYSYQQSTYSQPDSYHSSSLPHPSSSPSPAG GYTTSHHTPSPTTRAAYPGYYQPQPTSSSLDSHTMMYGRPSPASGQQTYGGGAAPGEV FRGPGAAAPPISYNPPQPQLQPRPSFSSHHSDYPDDGKSYTSTTHFANQKEWDVGNVV PAMPQQMPQQRQPYQYQAYPPPQSAPSPRFAAGGTSHWHAMRNQLLERRVIKQIPLTN GNLVMDVPVPKGVIPSTKGLGTQDGEMDSMRYTAATCDPNDFMRSKFNLRPYLYGRRT ELFIVMTMYNENSELLLLTLNAVIKNIAHLTTRTRSKTWGPDSWKKVVVCIVADGRKI VDPRVLKVLQLMGVYAEGVMKDHVLDKETEAHIFEYTSQVVVSHDGEVGFGTTPVQMM FCLKEKNKKKLNSHRWFFNAFGPLIKPNVCILLDVGTKPSGTSIYELYKCFEKHANVG GACGEIYADTGRWGKNLWNPLVAGQNFEYKMSNILDKPFESVFGLISVLPGAFSAYRY AAVCNHPDGTGPLASYFKGEAMHQPGAKATIFDRNMYLAEDRLLCYEITTKKNAKWRL QYVKSAKAGTDVPATVPEFISQRRRWLNGSIFAATYSIVCFWRIWTSGHNIIRKFLLT ILVIYNLINLIFSWLSISSFYLAFFFLISSSISGDSDPFGGAGDEIFQVFNKVYIGLI FVVLVCSLGNRPQGSNYMYTICMLLFAVCQGLLLYCAGYTVYQTVPHTADGWKDVSAL FDNKTFVQLALSLMATYGLYLVSSILYFEPWHMLTSFVQYLLLLPSYVNILLIYSMCN LHDVSWGTKGDNGASKDLGQAKKVEKDGKEMLEVALPTKQEDVEALWQQARQELRVPV KEKEEKRSAETKQADDDRNFRTNVVLLFIGCNLLVILLFTSSTFTNWVNSHFVEATNT TFNPYLTVIFYAVLGLSALRFVGCVLYLIFRLCGY L198_07551 MVQVYKKTHSYPEPPATSLLAFFLRYPNPFARHVLSVDVLDRTV DPVTGRIHTTRLILKRGILPKWATRWLPSSATSGGSGLDAWIYEESIVDPPGWGKGRK DLGAEGEDFGKQPRLRMQQGNLNHRKAMHVIEGGDLRAGPNGTTLHHTTAEVRSYLGG TWSSLIRKRIESYGLGKFEGNSETSRKGMSLILQLLRYRHPLPETAEFEFFPPPPPGF SESWTDMPEAASRQEPKEGSPKSFFLSPASLRAWARKRRED L198_07552 MSAFLKNLRHLLPFAKPKGLAGYDLQGNRYFELPNPMGGRLKRS VEYAINRDIAEYGRAELKPPVQWRAWLSHTRSDPPSRQELEKDLYRQNDLLPKIAAIE AREREERIRQGYLLPDGSEPEKSDVKQVTAPSEISGERRAQTQRFIDASNASRAGTKE AEAVWREKSAPASEVAPPRRTIDPTTASPEELRKLAEEDTKRRIAQTEGAPEVAKKGD VQSVRFGEGGLAPKRRGGKK L198_07553 MSYNQADPFADNTAHATLDTDPFADSTKDPFTAQPNESLASFGG ASGSTTNAYGDYGYGGGYTAGAGANQKADELRKREEELARREQEFERRQQETGTYANN WPPLYPFVHYDPSIIQDAAKRQTITLIGYQWYALVATLILNLLGCIFLLISGSSEGGA DMASSASYLVFITLTSFILWFRPIYLGYCRNEGKAMAVFFYIYFLFAGFHLAYSVYMF IGIPSTGSAGLINTVSMFSQGHILAAVFGTITSVGWAFQVAAGGFLYKRVWDFKNVNS EISMQNATDQLKSNSIKTIVLHQSRL L198_07554 MPAEDTAAILAHSSAPLAPVTRPLPGRATWPVISRSTPTTGEAF ICNYRGCGKSFIQRSALTVHYRVHTGERPHHCETCHKAFADSSSLARHRRIHTGKRPY TCDAPGCTKLFARRNTLLKHFKRQHPDIPPPTSSAPRSANRNTSQNSSGSFFSQGSSH SDHYPSPNPGAPHGFAAPHPSEGAAYPFHGGFPGQVFGGPPGAHQPIFFQGTGAVRPH FQPSSHGGPVHLTPISTSGSPFGNGGHHSAPHSAHSQRQGVSPHPSTGLTPSQYPSPL SAYPSGYPLPRFPSEGAVMWNRSASAPGQPRASHDTSQWSGGNVGGGFHASQLAVPHT SVHMNGGQYYNMMPRSATNPLPHSRQPHTPSGHSDDEDEPLISVDAAPTFALHPPQGV SVGMPLTSIEGSNLPLPSPDGQILYADHQQSGRLHSAPPAIQRFNSMPAVPTVSSWGQ VNTYQSHSVGSAQSQDEDLEELEKQIISRETSVGADQDSTPETVEKHTPEDGEAIGGH WGQPMPFPAPPMSHQRRTIYSSGASSASNASAMIHGTPTHGMTENLPPIHVYQNQQHQ MPMPIQALTPIQPNGMYPTPITPATEWNHPQFKPILMMGRGYHQAHQVHPMYAHEDKE NGDSSTENITLTTPPKHWQDRKESQSVNAVGLGIANVHFGDGKRIQKGTPEDDMDEDY ESDESAAEEPDDDSDDDFVLGRKTRRSAKKGSAKKKVSRVSIKRRRV L198_07555 MAPHPDLSRASSHLQQASHGEQPQPSSKNQVLISHGRAPWYGPD GRNVEAYVVGIAGGSASGKTSVARAILSALDYIPTVLILSQDAFYYAHTPEQLELAFN NDLDLDHPDAIDKPLFAQCLSDLKQGKATEIPVYSFVHHQRMPEKKYIYGASVIIVEG IMALQSPELRSLYDLKVFVNCDSDLMLARRIRRDVKERGRDVDGILDQYLRFVKDSYD NFVQPSSRYADIIVPGSSNQIAIELLVTHVKRQLESRSLRFRKVLAHIGEDGASTHET DRLDKQLTLLAQTNQLLGIMTILRDRTTSREEFIFHIDRLSTIIVEKALALIPCTPKT IKTPQQNVYPGVAQTKNLVGISILRSGLPFSQGLRRVVRDIPIGGILIQSDPKTGEPL LLKSDLPHCVKSKETSGDVKCLLLDSLMGTGAAAVMAIRILLDHGVIASNIIFLTYLI SRPASYAVLRAFPDVQIVTAAIDPKLDEMRIPVHPGSLVLGEAAGEGDFAVRWADDEK EGEEKERVDPKEVLKTDSEVGAEHFRVRANKEMEEYKFSRGRSVGKHGRSDSLPAEKR AWVISPGKYVLVFADTADLV L198_07556 MSFSQPMFGFQGGPPQNLTGLSPYGAIPSNPHPQYPYPAPSPVS LEGGSRSLMNNPYPHPEAAPRMPAYPTSPSYNNLSPVSPTTHIIPGIRGSASSPRQMY HDMPQTPVTMAIGSKRLSMNSSSSENEDIRRGVRRGSSVDDVECTEEQPWGMPQEDYK ALPPAAKKQVRNRIGARKFRAKRKDYVTTLEASLRGREEEIAELRAQVDAQHTEIQEL RSRCGLPPKRVAGSGLGLTTEPRSI L198_07557 MADRAAAPEQNQPQATLAPPSAHPSRPNSANSQRNSSSRGRSKS GGGSTNLSRSNSNASSRGPSESGRSDKKRQSGPTTTISGGVSLGFSGEQPQPQTKKGA GKGKGEDRNENEVDEPSSAGKSEKQPKGNHQKAKRSQSAKRPNPISAGTSRPSSQNSV KQESAPKSIHAATSTPRDALEAAREAATRKRASGSSGGDALASLQKMISDLKTLPASA NGSASSSGSRSASVAREPITSSKTEPVAIPDSSSSANSQKALKADAPTFTPSFQNSFS PVATQSNLSASPSTALSASHPAHPRSFSQSSQANHPRRTSSSSVSAFNQSPIQSFGNP LPPFFNNSLSVHQEAENESLSPLAFAQQASLQYQQQQLLVAQQQQYQYIQLLQAQLAA TQLSQQQAQQAAQHQNQQMGSFIAPRFQALAAQRAAAQQQQQAAQLAQAQQVFELQQA QLVQQQEEARAQAESEATHPRNQPVFEEEESPEPRSGVVGPTGRPQLAPSFTFGAKPK HAAHESVSERGSARESLSPTQSHASPVVVNRSEGIGGAAATGLAGLAARAHKRTGSEM SSALQQQLAIQQEIEALQAKQKALMQQEAAPEAENPLLKINTSLAPQPTPSQTLSRHR RVQSSLPSATAGNEASFERDQEQRLPSFGEMAPPPVPAGHNRRHSVNVFNKTAGPGAG FGMSDDIPEGVAVPSGHRRAGSRSGAESGSWRLGSGSISNPPGHQGQVADLAAAQAQL QSLAQFRAAAGGGHSKMASFSFPNMLPNLLAATTLQTPVGQSLWQQQQAFQMQLQQTS QGPQRKSLFAPYLPQASLPPLLQAGKLVVGVLRVNKKNRSDAYVATDVLEADIYICGS KDRNRALEGDIVAVELLDVDEVWLTKKDKEEKKRKKEENAAYDLKPSAAKRLEKKKDD VEVEGQGLTLFDDEEVNDDTKPTYAGHVVAVVERMPGQLFSGQLGVLRPSSAATKEKQ ELERREREGDRNKGYEPEARPKIVWFKPTDKRVPLIAIPTEQAPEDFIENPDSYSNKL FVATIKRWPITSLHPFGTLVEELGPISNAEVETSALLKDCNFPTEEFSDMTMKCLPPL PWTIPEREFEVRTDLRSQRVFTIDPPNAKDLDDALSFQDNSDGTFAIGVHVADVAYFV KTNTQIDREARKRATSVYLVQRAVPMFPPQLSEELCSLLPGAERLTFSAFFTVDKDGN VIEKRFAKTIVRSCAKLSYADALGVSNGGSLPKSKSDGTQHAEDIEADLRALHSIAIK IRNRRIDQGAMLAGSLKVSFELDAAGRPVDCETYQKDEASAIVEEFMLLTNQAVAHII ASGLPEQALLRRHEAPSERRLESFVERSAKLGIEMDPSSGGTLQRSIEKVEDPDAALC IDLLRRKSMQRARYFCAGMLDIAKYSHWGLNAPLYTHFTSPIRRYADVLVHRMLDACL ASPNPNEVKFLMDRDQVAKAAQQCNMKRQSAKLAEEQSMHLFLCILIHDLTERYGPVV RDARVTSVLETAFDVVIPEFGLEKRVHVDKMPVETAVYDEHKDVLSLYWTAKDVISHL AESTDDPNLLKIKSLGERLALGNSTSRTTAESALFSGNDSGVVSKQYEKSVHPRPLKF EGLRSAEGGHKIQDVKELMSLPVIVTSDMSKSPPVLVVYACNPYAA L198_07558 MTSPLYNPPLKPGISGYPRATPLKRHRKAQEAKQVGAGLAKSDH DPWIKMLTSRTRMCCVTRELRPVAHLIRLTPTYFPSTGDRPHSLQLLPDGVAEPSTAS KGPHLPFIRGISSLQLPPNLVDIIHAQLLSRVLWELRYTLRRIRHLPKVHLESQEQTR RVLERWPNPKGQMDSANGVVALLDLAGLTPAPVDSSFDLKEIPFPASLPQNVPSPLMT PLSEHQDVPTYHLASLFPPSLHAYLHKSLWTIFHVERLRGVPNTSSSDAKGEEQSEHG LLATSTLEASSNGSALGTDVAIALWRLVCFHGHGWEQT L198_07559 MPPDNRPASGSAPGASRKRSTAPKRNAIACLRCKERKARCVPAP PGSSPVPTCTNCLGARKECIYLDRTPNEIFLLEYVSQLESRCAALEMELRKHNPKSQF ASDHTPAPVEAREAAVDKLNTVYPSGPPRPASDNAEAGPSTAPRNSAQAATIPLARFD DDPGKKGESGQSDEGDADEGDEADFALGIGMLSLGGGEEPVYVGPSSGVNWARVCASA LKRPQGRSQPSHLSRFNYLAPPELASFKSALEYTLHHPTPPLPPPTLAAHYLNLVYDH VQARYGCFDWQTVRFWHENREAICQGRPLWGVGTGQDERRSLGAFFLWLCYGYGARLS EGENLPGTVNHEVYYNAAVACLSTLTSHHSLATVQALVLLTIYGFRHPTAEVSVWQVG GLSMRTAVEIGLHRRTRSKAEREKDPRRYEMKKRVFWAVYSLDRMMSAQLGRPSGIQD RDIDVELPLNVDVDFNQPKALAAMQSKQSELMGSKRPGDEYANGYGPVTSMTSAIHNI RLNQLKQMVTDAIYRLDKPLRPTNDVYSPERQSINEVDILLERLDQWRACHPDPPPDS QVPMLPKEHWELEYHNCVQMLLRPIIASRKAGKQYVGLCLESAVALCETQWQYLQPPV HHSKLSTWRFYRIFLAGMTLLHILTTFPTDMTEVELRRAEGGIRKCEAALGIWGERFR GAKRHEKLFKELVDAWETRVKEKTSGGDLQTSAFATFSTPSVHNRGRPKPLQPPSSSG QQQSAQATAPEPPVDIMSQFIHLVNNSHSAPQPTQLNGKELPTQMQALFGADVACSGG AGVRQSEALAQEQKARELLDAAGFDMRRLGMYSGMAPMANSGARQTSPDKWARTGADG NGAEWASDVTMDSAGPTFGNDGGLGIKEDFFSLISSFGIDTDTQTPLLPMDGSDPTFD FSAAHLGMSNVNYNYDPSPNAYDPMSHNSQTNSASAPPWAQSLAPINTGFNGFGGYNT LGQGMNLGTAGGSLQPGGGMGTGIASDPNMGMSDWALFGSIGNNSHQKGEASRSGNTN DSSEETVPQWGMSGTR L198_07561 MTRTSRFRKLLQETRPVAPTLVGSPRRRWAERKEVARKRTSWLN LARTAYRLSNSSGGVCGHIKDLSTKGNIAPFFFLANSDERAQPQTTDRQGIPKETILI ANDYERYLFERMTELEPKIPDGTFEDDRARPKVDGDVQKRARALLAAMHEDILRYISG GVNIRKRLDFDVMSVTRKSPRTTSDVLGGFECLV L198_07562 MAVSQSHPNIVDGWFREINTQWPGQAMTLQVKQVLHQEKSLFQD VLVFESETYGNVLVLDGVIQCTERDEFSYQEMITHLPMASHPNPENVLVIGGGDGGVI REVLKHKSVKKVTLCDIDEAVIRVSKQWLPIMSDCYKDPRVEVHIGDGFVFLPEHKNE YDVIITDSSDPVGPAEALFKPPYFQLLKEALKENGHVSTQAECLWVHLPLIKELQETC KKLFPVVNYGFTTIPTYPAGQIGIMVCSKDAKVDVSVPLRAVPDTKYYNSEVHKAAFT IPEFGRAMLEDGVNVLPKFSGVRPGTVPTTKKKVLLLGSGLVAGPAADYIARHGHELT VACRTLSTAEALAGKLPNATPIALDVSSPDALRQALKGHDVVVSLIPYTFHAAVMEAA LEEKVHVVTTSYINPQMRALEQKFKDAGLTCLNEIGVDPGVDHLWAVKTFDEVHRAGG KIKSFYSFCGGLVEPSAADNALGYKFSWSPVGVLMALNNDGKYLKDGKAVEIAGKDLM STAKPYYFTPAYNLVAYPNRDSTVFREFYNIPEVQNLVRGTMRYAGFCEVITAWKEIG LMSDASVDYLAKGAAPVTWAQILAKLLGVEANEAAIIEKLKTLPAFEKDTKILLGKLK SLGLFSEEAAEQRGSVMRSLSAQLEKKCAVQEGEVDLVILQHTFEVINADGTEKTITA SLEAYGDRNGGPSAMAKLVGVPCGMAVQFILEGAYTKTGVQAPYDEETCALFRERLAR EEGIDMVEKEL L198_07563 MTSTPPSPSPNPGEIRSLPRRAGYTYSHPSPSRTPVPRSNSLYL RYRNSPDESSAMTDVESGIDRFESSSEDDGDYQSDAEGLLEVTTDDDLAEDGDDEESD VDCGLEAGPYTPTPTPSLRPQTPKLPAFAIIDRKRSRSADSSDESDQEDRGAGPAEKR RPIKHARKSSYPPEKFSNVVGSIEGLDQDFSSLRTSSLIMRAEVQINPTQAFLDAAAS IRKAPIKTSSRLRARQQAYRKLHRRPRGRKFSSPGALEDPLVNLPALQAWDRNSWHFN GDTPDMAIDTTDRLYDSDASMRSISDSNSSSSDVEMLSASRIPVSNTAHVEQPAIEEL RSKVNPVARPRYKPAQPLSNQQVTGLRLEQLKSERGVQRLPRHQHFPVRTAGQHSTSR LRRTSPTSLLPVRRGFCGYARQLLDDPIVRSVDAFMPKAGRSVHPNLQFCAVLPGLSR IALFRAQRAARKFRIEAVKAQSGRINERIAERRKWLEALYRQDSVASTDACQDQAIEE AAVEDLTERQPESPVAETSRKRARERSVTPSPEERQVRPRTEEDPEIMARRVASIQSD LQARAAEQRAREVQSRRAAEHAAEEREWEMERIREEERLLALRQVQEQADSRIARELS LALDDNLTDVSSEPSEATESVAGDELEELGERSRSSSPALSDVTVRSDPPEYEPPNAH PLGAFPSSANVASDAPFVRHIPHRPRPQTPPRVPARLPSYNQAWQTRYTRASPPPPPP YNAQTDRETVMAARFEAEQESQEGTESYEFGGRRRFAPAAAEREEERAASPEPVYPIV GAFPSSAHRSQPVQLVAPIPRRPQVDSVVAFEAALDMEEGEVDLGLFDDDDDEHEERG DVGAFQRMMRFVWGGRQ L198_07564 MGDRFYSPLSPLSQLPDDGDQPPPLSLPALHLADFDFDTAFHPD NTSLAPSSAREQPKARPLAHALTIHVPAPLTPSPSPSPSPWDRDEPSIASPPIFRHSP SVARRRTVIQHSSVTYEGNVVDLAETPPRQGTPEHGPVAEDAQVRPASVEHGSIIMPQ PQFVGTKTQPASPRRLEKKPATHDLKQSPSSQSVRRSLPRPPKMDTPPIQQPVFAEKA VRQQPASVPVPAPTPTSTWPPLNIERQTVSPVPVEPAQMRPAYYAKKHQSNGSVSSIP VVAGLADAGPYFAATSSAPSRQPTARESPAPVATKAIGLGRPGVPAGAPGPSRVKQEE VCLECMMRDRDLADVDVQGEGVWGRASDVDLTDLIWREEALLKSMGDLNSADLHAKSD DATSEESRAEEDPEARQQGEQERAARHAAIQAKKQEADWRISREIGWRGFKWEEGREG EGLPRNFRGGKGGDLTIEGIKMVMTKFPSASAFRYQKLQDYLRNQWALVLETRAEAQR VGHFAFPDDLSPGSSTSSHEVRAPNMALPSAVAYASARGASGSSPRHATGGMRPSPSS PAGFSLSSTAAQAPLRQAPLQRPLTHYLPERELMTMRQPVTPTNRVDAFSHSNSMLTP QSRHFRNSPSQEPDTEELWSPDDEPTQNLRPFSFAVRAGAVARDGSEGGHGAGARKSL FGRWGGSVTSFFGGSQNGSGSMMDMHVGLESDKRNKATSGAYPRAVSLASPTRPSFFS NSSSVQSHDPPPQRISRAISHSLLSRVQIGEQEEGGATKKKGIKGFLKKMTGGKKKDG RSRANSIAQPSVPSMPVAQPETPLAPPPPISVLVGGRDPSHARQGSGSSSSMFTDGQE SGSNRLSGSILYNRSVSAPLNNASSSDVSVGQSGSPASSRFMTTGQTKPESYSSTGGK RRSTVGEMGEERRSVMEMFTHAKEDGGAYDEIPASLRPGRPNNKTNTSLSASSGTMLE TPPALNYGSGSFFSQQQTPGKLTINTDMPKHTPSNSIGSLSPNRYKNLPPIPPGDLGS NYPNQSTGSRGVVGSPDSIIAAFPDQEFAEYGGRVPTRQPTGPGSPFYNSGYPQPRHH QQMSQQRLQPTSAYAPGYGYGGRASLDQRASSPRVERGGQRAVQTMYGMPAGDEYGAP SGGFGPVEGDKKKKGLKGFFGGGKAGRRFA L198_07565 MGCSRQRASGAIHPCRRRPTVLVDYSKRPYPAHRATAAIGCVGP SRGLEKVEVRCVVSVPSHVGGVTGATLQVSNQLGAAVSFALQAGLFTANDGGISDFDN ISASLYFEMGFVALWMTMFLVLYRSEKKAVKDGETGEDGR L198_07566 MANPPSPLHLLQLLVHRPRFLALVLIPVLFLLFKWQSSPSGAPS LSPDDPRFVRVKEAPQGGSWEALADVDEEWEYSDDGAGGKWGSGVSDWLGWKQEEKQV VLVTGGSGQLGQALIPLLLLNYTIHVQDIVPRPSTLPESVIYHRTLSPTDTYADLFAS YSFDGVIHLAGVSLDSWCSAKEEECRAVNVEGTRALMQQVVGLQGDKKRGRMWRDIKV PWVILGSTMDVYGPDVGASEDSDKEPATALGRTKLEAEQVFVESIISDDGSLKTRGMI LRVPQVYGYHQMSSIPETFVTALLANALTSLPIQFSSSRKPYDLLHVQDALAGYLKAI SWISTDGPLSEVPDVNLVTGKRTPAREVVELVRKETNSKSPVRDIGDNSNPEAPQYNP GKGKSFLDWEAEITPLIGMSMAVTQLSDDIAAYSRAYLHAHCPPSPDFPAKDGDLSVA FVEDERNKPLWKLDGCTVNVGFNHNNYLHHVKCEDGLHCRADGVKVTAMNWNQSVWIV EKVDGSKKQKERVVRIRLKEESGMGYLRRTTQEEGAEMQFELSKENGPDTVFDLEVRR DSSHLRLLIPGTDLQVRALANQDGTTTFTAEPLTRFVDPHFDMRMNVLCCSSEGDWPL LLDDYESADIRFGNTGQISFESSRRSHLCLRAEQAVKYNYIRLSTAKQAVSKVTGTAG HAFFGEAGPPTDPRPNEWALKDLPVCWNDCDSPTICVQTGICKCVQADHCAIRRENPL LKLYPTNKVAEPVKSDLGSFAGHSAHLSNAVSSINWQDILLPAARAYLKASPEFPKLH VADGYDGQEAIEAADCHKLQDSHCFSADSILYRGMRHLSVSKEQAELVVLPVYQQCTG QPFLLHDVVHHATETIPGVKEGNKHLAVVLTHDWGICSAFAWEIWSARDNHTLYPDWI LNDMIVWSVMGDYDSPCYRPHQDVVIPARTCRSYTLRETFPTVESIHPMNTRPNLLTW SGTYWGTGKSDRLRMTCDRGGAGDRELIKGGGKQSNFGSWDYMKDLSNARFCPQPRGI AGWSPRTNDAIWAGCIPVLIAEGSHYPFADFLDWSKFSVRVAPTELDKIERILAAIPL SKVEEMQANLVSVREAFVYAGDEKPEEELERRGPMFFALHEAALRMRTRYPIASENP L198_07567 MPTGSYAPLPSTPTTTTRSSSPSSPTSYRPSLMPIPFTHRLKAR NTQVALLAVVLLLVTLPFAHYNREKVQDYWAADYITTDASTQHVPVASGGLANIPLTL EARIQYLLTRPALAQWEAELPSRHGCPFYTYSRNTYFFHDGKPEQWEQIGPNDIVRYR AKIVDYFREVEREGGKLIWDQSMEAEAKQDRRGIIFTGGEGKTLERLKLSLHMLKNVI KSTLPVQVYHFPDELQDPDSRAVLEAFGVELVVASEKRPDGKSWHIKNAAFLATRFTE FVYMDSDNIPLNDPRELFDSVEYKQSGSVFWADLNKDHPDNAIFRIVGKSCTDDHWPA ETGQIVFSKRANNGLNLAILHLSNHMMSNPDMYGFLSYGDKDTFRYSFYALGLPYQQA PKIFATAGGYQTQNGEDSDKFCGHSMIQWGLTPWTERHNPSYHPKPAFLHTILGKHRY NLQPSKLFSHIHRPRLDGINEPLLVRTPYDFTGDCFALTLKGPDGAPGAVNSMGDGQG VETVAMGDVIGDDNLWGELKGLSKTFVHINHDD L198_07568 NPTRRPALPTPPPTHNHLEEASQAEEPDPASFPQRDLPPSPTDK PHGSIFDLHFLRWSIFVDGVLTALTAFSTNSWHLYLAAGVLPFASATGSACKGVVMDL LVDTDQRADALSAIALVEKLGMYIPFFRLHSNEDIANVNSAQVSTISVFGFVFAALSE RGQPALVFFINGCTAMVAFMFTLFVRMSPTGKRT L198_07569 MSRPHSPKPAPTETTSLLPPSPDADSRRKPWFPPVPRVLFTSFL LAMTFAVTQTSLIYAFRVMTCDEYYKTHEWDGREGDRCSVPKIEADSASQIALMSVIT TSCTIGNLFFTSWFMKRYGCKAAMFQQTFWAALRNLTQIYALSIGGGTGMKIIQTTQL FNIIGSAGGYQIASNMFIATLVSVEERTAMFGVLSGIIFMGSSMGYSVGGLLFNWFGL LSPFQAAFGLLCFSTIFGSLFLPYIPPEDSAHSAAPEKKSKSFLSPLKIFIPAEKEIA GKSKRDYNLLLLGCGAFFSVLATGYVHMALQLVGTDVFGFQPGESGVMLSLNLMVKAF FLSICFPRIITQGRRWLSHRPSLPPSPQAYDHPESASQAEEPDSTGFPQQDPAPAPTD HKHGSLFDLHFLRWSIFVDGVLTSATTLSTKGWHLYVAAGVLPFASGTGSACKGVTLD FVEPELRADALSAIALVEKLAQVSTISIFGSVFALLSERGNPTLVFLLNGCTAIIAFM FLLFVRMPRPGEPRLALA L198_07570 MSASAQKTILITGANRGIGQEIAKQYLSFGWRVVAGVRDVTKMP RLSEKEGDVVVVKIDSKSTTDAKEAIQELKTMYNITHLDIVLANAGIAPIGDLMSEAS IEELDEVLNVNTRGPLVLYQATRELLDDDGCFAVISSMLGSITPDRWARFGIYGTSKA AVNFIMRTIHTEEPKLKVLSIHPGWVETGMGVESATVAKVDAPPYTMADTVPGIVKIL NNATKEETSGWMWNL L198_07571 MSDTVGTHYAVPVQDKDLSEAEKGDTYSYPPSSAIKANVADVLA NAYEYDPFSAQTEQNAGDFVDFRSMGWVQAGLVATAENIAVGVLSFPSVFLRLGIVGG LIATIGLAVLAYITCWIMIDFKLRHMGVMHYGDAGGVLFGPWARRLFGTGMVLKSIGL AGSHVLVGRQAINTLSSYAICSVWYALIIAVVSVLMSYSREFGKLAALSWVSVSAILI ASMITIVGTGAQADSNLIEDGVPIEWHAFPTDPSLTNVIGALTNIVFAYGGNMAVFSF CSEMRNPNDFKKSFAITQGLGAVVYCITGALVYVFGGQYVSSPAITMTTRPVRITAYS FALVTIMISGVVGANVGAKYLYVNTFRNSKLLTSNNIRAKLSWIGIVIFMWTAAFILA ELIPFFNQLLTIVSSLFSVWFSFGLCGVIWFYNVHPYFGGPGEERNLDGPWKKIFFGC AVLSIILSIAITPLGLYSAIEGIRDGYSAGTFSHPFACS L198_07572 MATPAADIRLYKNRQSPVAHVAFVHPGDGKGRPETLMNIPLIIV AVCDEDTDEDDKDSYAVYLHTHKHSGLVEAVSQGNGTLTASTIMIDGMVLSPTAHDHT FNYRSATLHLHNPTILPSPSSPDTPQHEEKRHALAVVTDTCTGYDRTSAVGQPMDANV SGTTVIKCRIAAISCKQRYGAFNGGQEPVLEFEEGSGGEGEKAFQGVIPCWTQFGSLV GFGKDREQVQAVFDQRSTQARAFSHSAAWADESAVIEDLGKKRPKAS L198_07573 MDAFDPIAQQRQTEDSPEGSASSHGTAIAQGESSSPVHRIAVPE NQEPAASSPSSGKASRKIHRGKTGCYSCRLRRKRCDEAKPICATCERLGIECMGYGTK RPGWLRDKESAQKAKEQIKQTTLKRSHVPQRQTSGYSPEDSISTTITSAQNNSDVLEL GGMGLDAAMIDASWNQSMEAMGSGMMGGWGMPTPAFGTGGILDGVGYEASGSMSCGGS GALTPQAGGHVPSYLVPRNRHPAPTPFGPVPLSRGQAAFGQDQDDVETEGELNDLWSS LFGSTFPASWGNVPGATNALLSRPASPFYAMPSPSADLALTPPLPPSSQSNPKEINYL NHYLNVVLPLQYRIMGISVAMGDFVAPLAISRPEVLASVSSLAALHLVSKRTKNRNRL FASSSSSPSSSSPSIVTTASGAFRVGSASITELNDEEALVATASHQRSVERLRFLSPA DLTREEVVVSVLFAMSYHLFSGGTSKHLREMVEISQRCLSAAVASSPELGEHPRSTSL HGSPWSRYRHLIEHMIWADVIASVSYNKSSRLLPTYRRILLHMPAESFAPGSPMILMD QVMGCDSTTLLAYTEIVALVEWKDRVEKLGCLSMKELLRRAEGIEKLMDERAWRESHL DRPRKKRRGEGKADEMRRVMSDVFFGAAKVLLASVINGPFPRVPDIACAVQDVMEALN RLDITFTGKDIFRALVLPMTIAGCHCESESQKAFFRECFDKLGEEAKAFGNTGPAREL MEEVWRTRMVVGEGDGDRGGKGVGMREVMGRMGWEAGVLLI L198_07574 MADPVSCPMTKSSKSSTSHSSSSFFPDNYSPTAPTSPASPSNTS SAGNPNPGQVPTALPTPMSFSGSRENAKGLELAPPLSVGAGEEGGRGDGPMISPNTEA IFTPARSPGHRTNCFPEPSPRSSTAPGAGGPASPRGSAHGAGPGLRGKASMSHMDTWM EDLSLSGNRGEKGKETEREKARKGKGYNTYESCMNGALGHDTKAATYKIGTEKAYHHL NRSNELFLLAMDFRINDKKALLGRSRILIQLASNYQPPRVATESLRDAVDMLRTLVGL APHSLTARETLAEGCSLLSAILHETEDYIEDESRVWSGEIGELAREALAMLEDVAADR MDKMRAMSSNEAAQLSPDAAELFLKLSDAALKVSAFAMDLQGVELHIELAEQALDQAS NMATVAATSRIKSSSATATLIARVQHASSKSSLERLRHTFTLGLPLDEEDFRALLADM EMLATESRARVAKARGSKAGSLATLAWETVKLVGDAEVLYANLLRGVWRNRKPRRRSG GVGKVGTPTRRTSTRDLVDAIREEDEPEEGQAKVVGPASAPAAWRIPGRRESASSNGS DGVSSRKGSAALGAAAEGAELLTFPNRGRFLSSVSVPATGRRGSWLPSPGDVALNRAQ RRRSSLASPLSQSFTGLLPEPQTGHLNGLNIQRTRRASSTGGSLVLPDGQSAWSRRAS VISLSSDDGSPSASISSSALARSAWQLLESAVHQYKIGLNILSSSALPNAELAKAKNE TLVGIAYASLFMASLSPRLAVAAERRETFLVTAEVYTTWAAREVGWSFLIEGTKAAEM ADRRTNSWRADEAGKRAVLLLVRIWWHRAVTTQAIDVETKRNAKDAVETVVKRMKEKE GTREGDVFRVRWWLSRQEGEIDTAEGLFWRSVCRILKGGPGFVMG L198_07575 MGPGPIILGGIAIVGTGYAFKKFVYDPHLAPLIEAAIEEARHRS RNHHFPWEIRPQPHAQHTGHESEAIAVPVSASTTALSPTHTTLRRRSVHKNIDDFEMG SRPLSRSVSGPGLEKQGPSDRRGWDGEEHDPFLDPPSYDTARIYQEQYHQPSSSASMP LGGAGVGASEKPLPGRSESAQDAEIRSLLFDAPDPSPFQGQEGVPFMAICPPHPSSFL PHHPNPNPNPNSPSSSSAGAGVLSDEGAAPSTTFSFLSLSPSQLPSPQTPARTINDLS SASTPAPASLLSPSTSPPSSTFTLPNDLAPTDLGLTFIHPHAQAPSSTFSSASDSAFG SPRRGPLSVVSMSEESEGGEVGEGGRGDSEWEEEDGWSDAGRV L198_07576 MSPDSQDLEAIARMQERQAQWGYPTGSLSPAESPQSYSSIPLSH SHSQSQGGSPHLFNFMPHQGPAGVGLSAGGRIGANANTGFMGSSVNPSGFGVSGGMHP GSHPFGGRPPTIASVDQSMLPAIFENHSGRGRPKSKLQKAKPQGLGSERKSRKKVAKA CLACQKSHLTCDEQRPCTRCIKKGMADKCVEGVRKKAKYLMEGDERVSNRPSQASSMH STPQSTTVSLPAIPQLSNTVHDAPTRIPDDVWLANAVGRDQQPINIPTALNITQPDIW AGTDLGPSSFPSSGGINGTAANNEYQMLDAMFASLSPVYPGLNDPLDEAGRQLLSGSN SLTNSNPLDLANTPLPQPNPTLSQNTYDQPWLHPSPQSQQSQGTYQTPPGSSIHGISP EFGGTGTGSGLSPGTWGSWSDSGPAGQIMLDGSSVGGGSGVDLGQVRGPGAVENRLGQ GMGMGPRTASEIYRNVIKPFDYTQGYHVLMDYLAKHFETPDVLRVVQSLASFRPSLIA LQMPMSEEDEVFLERSFQRTLLELEKLISYSATPTAVWRRTGEICYANPEFAGLVKRS EGELVGKGKFIYELFDKPSVVSYWENFSVHAFENTTQNFFQPISLSTSPDASFNSNPN YNQNQEAGGMGMGMGAGGAGLLGCTCCLTIRRDVFDLPSVVIGQFLPVPK L198_07577 MLPAPRLLKAFTRTLPRLIPKNSAHTPPMQTPDRTSKSGTMTPG AIASELSKLDLPAPTQLHLNTKNLPPPKNDPFSPQSADTIDTGDISGPEPEAIVQARH KARSESMSEQLSEKFSNMKFPQPERIFGPNEGGSQSAQEHNGGREGVSAEDWDKIKLA DEVPEAVKEPTRMTHSRHGSRVEPPTPKEPTTIKETPEPSAAGAKEQKITPFDVEAGV DAEGKLLAIDYDKITKRFGANIIQQDLLERFERLTGQKPHPLMRRGTFYSHRDFNLIL DRYEKGQPFYLYTGRGPSSDSMHMGHLVPFMFTAYLQRVFNVPLVIQVTDDEKYLLER DAKKQADLMKKNKIKKPIDLLRHYKKMGQENIRDIIACGVIPEKTFIFSDLNNVSGTF YENVVLISKTITLSQSKNVFGFADSDNVGMYHFAAVQATPSFCNSFPQIFGTRDDIPA LIPCAIDQDPYFLLTRDSADRLGYKKPALLHSKFLPALQGAGTKMSASKDHTAIFMTD DAKKIAKKIKSHAFSGGGASKEIHERDGGNPDVDIAYQYLTYFEEDDAKMERLANEYR AGTLSTSQMKEACIEKLQEVVAEFQKNRALVTDEVLQYFQDPTRKIDPRPKARDAAAT DSAAPAATSVPGAPSA L198_07579 MSDTKITSPPTGSPVEDRAAGKKRARIVDPDETDEEDYDNAPEE NNGGKGPKEEEEEEEEPSRNRSGDDDKDDEEDEEVEEDEVDEGRGRPRKRPKKNSKFR FLDVEAEVDDEDEEEDEDNDFGDVAEFIDEAHDDAVARDDQQHRRLDRVFKRNEDEDV HDIVQRLKERHAGTGMARYNGDSDTVPQRLLIPGVNDPNLWKVIVKAGREHTICASIF RKVFAQQYFANPIDVISVFCRDSISGMIFIEARQSAAVSAAVNGIVGIFMSRGVSLVP IEEMAPLLKMKKKDVNLTPGMWVRMKRGRHAGDLAQVVDVDQITSGVVGIKFIPRIDL TPKDKRKERMAAGKPGGVRPPSRLFAYDDVRKIYGRQSVRQGPQGSYQFENDEFLDGF CIKDVKIPTVATEDVNPSLEEISRFTGDDETAAKFDLSAIADANRNLSTSLLFPGDKI EVYEGEQTGLYGIAETVTPDVIAIKAEGGEVHGQIIEVPARSVRKRFDVGEHVKVLGG KHVEASGMVVEVKGDIITLMSDQGEQEIKVFSKDLRKAADTSNLTATQGIYDVHDMVM LDSTTAAVVTKVEGGFLRVIDQNGAPQSVSPEQVTLRRDNKRFAVATDSQGNEMKVGD NMKETEGELRQGEVINIFRSLFVFLYNREYTDNHGVFVARAGSLISVTPKSAVNDLGK INPALNQQLLYGGASLMPAPAVNANRNRLINTLVVVTKGTNKGLIGVIKDVQGETARV ELKTSNKTSSIPLILLKRKDAKTGTTFPLESGGSFAAPGGFGAFHNNPYNVGPYGGQT AMHPSMGGAIPGLMGGKTPGARFGQTPNPYAAGVDNGKTPNPYTSAASVGKTSAAAYA AGGKTPAWGANGGKTPAYGAANGGKTPAWGGAGDMGGKTPNPYAMGPGGGLTQ L198_07580 MVPRVHRLLRQNSLLSIRPRTTSISPSTSLLRRSVATENQIHQP VHPELDDSFKDLIENGMAMGMGLKGKDRVSLPRRILEELEYADVRDSNSGMELEGSLI EPSTAGDGLVIDEPPTDARAKAGEEYDAYGREERRSPAAVLGSKRLGMVVLPEEMRDG IQRQISMLDNPRDLRKSYLSLPDMPLPANAPKPNPREKTFRTPEGELAKASAILPGEY SAVKNVLEEMERRLGSAWTKGVKEGEIVEFSPGLGSGLWASLDTFGGLHSTRRLSEHG QPPLKYQFVHPSRHGLDLLQKITEAIPKESANIQYNRKHSPTSTPSLILSTFHLASFP TPQTQQLYLRQLLSFDSPYIIIIDRATPQGWAAISKARSYVLQQSTPENPLHIAAPCP HDSKCPLLDTREVCGYTQRLQRPSFLRKTKHSTRGEEVKGYCYLVVAKGERPVAGQVS ESIKALGRTGKVGREAAEKALAKSQGRAVLREVEGHEAILEVVPEYEAAPEQNAAAEV DEAELETSLRKEAYSWPRLVASPMKRKGHVTMDACTAEGNIQRITFSKSLSKQSYHDA RKASWGDLFPHSPKAKPVIRDRGIRRLNQPENFEDSDALIAELLEANAEEEMDERSSL EELEAMGIKLPQAADIVRETQGPFAKGQRRRYTTASHLWPLPRPFAPSQRRSMSARPV ASPQIIRTKKTLASLLTQAQSGEPITCLTAYDYPTALLSETCDLDMCLVGDSLSQVSL GHETTTSVTLDDIIHHARAVVRGAKSPFVFADMPFGSFEASMEEGVRNALRMIKEGGV DGIKIEGGLEIVPLVQRLTSIGIPVMPHIGLQPQRAVSLSGYLVQGRTAASAYETIQT ARALADAGAFAFLLEAMPRDLGKLITEEMVKRGVFTIGIGAGKGTSGQVLVVTDVLGI YADDPLDSTPAVSPETTTVPASAAEMVKPAFAPRFVRQFGNLGAEMRRAVRGYVASVR DGSFPSTKESYGMKKEEWEGLLRLLDIEKAER L198_07581 MRVLCVAEKPSIAKSITQILSNGHWNTRNSGHDYIRNYDFPYRL PPPLGQGRDVDMTVTAVLGHLTSNDFDDDHRKWGSCEAFALFEAPIITYVDQKLKSVE RNLRNEARNADMVMIWTDCDREGEHIGAEVVEACKKGNPRIVVKRARFSAIIAAQIHR ACCNADNLDQRQADAVATRIALDLRIGSAFTRLTTMTLQARIPEFDNQVISYGPCQFP TLGFVVDQYTRVQAFSPETFWYIHVTLPRQNNNNNASDNDTDQEEQGGRRGRGAGEEI VEFKWKRGHLFDLEVAVMLFEGCAEDPEAEVIKVESKPATKWKPLPLTTVELQQSGSR LLHMTPKQVLDHAEKLYQKGFVSYPRTETDQYDPSFDFNSLIEKQTHSELWGDYSRNL LNGAFQRPRNGRKNDKAHPPIHPTSHASPTVLSPQEAAVYALITRRFLASCSHNATGR STTVTIDIAGELFSTSGLVVGDRAYLEVYVYERWEGRGVPGFEEGERFWPGVVELKEG ETTRPKLLTEADLVGLMDKNGIGTDATIAEHIAKIIEREYVTEKQEARIKYLLPSTLG VGLVEGFNEIGFDRSLSKPHLRRETEHRMKLICEGRNKGQILAQTIDEYKDIFVRARQ AFQTITDCVQSYLTGQGEAQQALRAMNRGRGAARGARGAARGAARGARGGAGRGARGG GGAGGGGGAPRGRGRRDDEEDSDEDSDPGPGRGGGGRGRGGGGAARGAARGRGRGAGN VVPARRARSPSAARQQDADDKMCNCGQEAVSRVVQKADSAHKGRSFWTCSKPQADQCG FFEWADQDGGGQAPPAKKPRINARDNAGPSNRNGNGGGGGDVKCDCGLEAVCNSVNKE GPNKGRKFWSCPNNPRARCKFFSWDDEMEGGGGSGHGGGRGGARENGGGGGYGNGGGG GGQAGECFNCGQSGHWSSACPQPKNGGGGGGGAGAGWGGGGGSRGGGSSRGGVKKGKA PARGGGGGSGVIGGESSAFFTDQPDSDGRV L198_07582 MPRPLLAALRTSIRPALTRNLRMSSSIPVPQDFNAALYKPLAEA DPEISSLIEKETWRQFSGLELIASENLTSLAVMEANGSILTNKYSEGLPGARYYGGNE FIDVIENLTKERALKAFNLDPKVWGVNVQPYSGSTANFAAFTALINPQDRIMGLGLPD GGHLTHGYYTAKKKITASSIYFQSFPYRVDPKTGIIDYPQLETNANLYKPRLVVCGGS AYPRDWDYARLRKIADAQGAYLLSDMAHISGLVAAAEQNSPFEYCDVVTTTTHKTLRG PRAGLIFFRKDKEADLEARVNAAVFPACQGGPHNNTIAGIAVALKQAADPAFKAYAKQ VRANAAAMAAVLFKHGYNLQTDGTENHLILWDLRPIGLTGSKVEKICDAAHITLNKNA VAGDTSALVPGGVRIGTSALTSRSMLEPDVERVAEFLHRVVQIALKTQQEAGSKLLKD FVKAYEGEGEASKLIAELKADVVKFATSFPLPGVPDTSKIVRPEGVSV L198_07583 MPAESGLERAFLDFRDRWESGDYEVDYKHRISLAGLDFSLGHLR ILLLQRGSPKQWEQVSLDSLAGYTNLAQWFHANYEVEDPRFGSAADTKNGKTDLKSRG RTSRSSLSATALRWPNALPSFLFPHDASPPETMGHSFLKSRIVAYIYRALHHGASTVE PGALDSDSRNGTRSTAHSASIRDISFFTDGYCASLLWFCLSGDAALPAADDETMNRRK L198_07584 MPKATSSTFKAAPTSASQRPKKTGGDASAAAAGGARNHLFDTAR FGQHILTNPLVAQGIVDKANLKPTDVVLEVGPGTGNLTVRILPSCRKVVAVEMDPRMA AEVQKRVLGKPEQKKLEIILGDFVKAELPYFDVLISNTPYQISSPLVFKLLSQRPIPR CAVLMFQREFALRLVATPNTKLWGRLAANVQLYARVEHVMKVGKGNFRPPPQVESSVV RIMPRDPPPPVKFEEFDGLNRIIFSRANKTLRAGFRAKGVFEMLEKNYRTCCAENGTI IDDMFDIKEKVDAILIDTGFSDNRAQKMDVDDLLK L198_07585 MVVKQYKTAAPALLFLDQGDNHMSPGPYTLDPAQKAATLFQAVT KCQAAGAEALAFKSRQEATIYLHQLVAEYLDQLPFGMGYVKGQLQSGLDQDDRYQAEW VRSRRVRLLSSSTFGCNDDVPLSSRTRSRNGSYHNIRAVVKETEAFGIINNILAAPRR SVTASKPCVVFATGRRKQLDAADRVGGAWVIDFVTKRHRFRLPLQTHRPLLGRGTRHC TMRQPAMVFCASKNFASAPFSTVSESFKDDLEPVSGYACDWCDSAPEHRLAFLPSVSR ARTSILRPKEGVGKRTQVAEYCSAFDYPAGPEKASTPFVKVSKIQVSLSA L198_07586 MRQLKHHEKKLLKKVDFLNWKQDASQREIKVMRKYHIQDREDYH KYNKLCGSLRSLIHKLSLLPAQDPFRQQRETEMLNKLYDMGILDVGVKPSEIETKVTV SSIARRRLAVIVARLKMAQTVSDAVKTIEQGHIRVGPAPVTDPAMLVTRGQEDFVTWV DTSARKRTVMKYNDEVSSFLNVGLWLWVGLTGV L198_07587 MLAPQLSPSHPSPSFNPFTPTPNPNTTNAHSSMSGSNSTSVFNA LGSYADRIKDANGNPIKSSAPAPPAASSSEVAPTSPAVAASSPAAPQQQPKEKSTSST KDKSSTPSTSTSSTTQTQEKQRQQQQQEDDGLWETVQSSRQRNHSTHTRSDDRDRLPD RSGEGGKEGREGAHAKRGSSSKNWRDRSGREGAGGGGGDKEREKRDEKEEGSSGDRKR DVKERVKKGDKRSNSNSGAPSTAAAVSAEKEKEKGAEKEKSAKATTKSAWNIPSQTIP TPTPSASAPASASNPAPASAAQKTSSSSIASPVTDASKPTPTPNGTSASTSASAGRTD SPKTVVEQEKEKVEEPVVKPKASAPVPKVNVWDLRKKQSTSTVPAPAPAPASTGSGKS TDKSIPNGTASRDDSAPTPSAASASASTAGAGKNGGSKKKSAAAAVGSTAPLVINDAH LWPDVATAVKDKEEKEKKVRSKVESETVNLGEENVAGTATGKKHKWTAIPAHEMLAAA DQAAEQSRRQNRLEAKKRSAREGESSSTAAPGKATKPKKASAVESGSVNGAGSKKAVR AAKEAAGSGVKGEAEVGKVNGTGAGEGKGKEQEGKAGTSSPAPPTSISEPNPIPESSA AQADRQLASKPMQTSQTAPIPSHTFTNPTPGAAAAGAGGARPPRGRNDPARGSFGGRG RGGFRSNSAIAHHKGHGVPYTSPPMGFMGLPMEGMPYPSANGNAPNLYQRGFGMGFQP FYPAASASAAGGANGTQAQGIYDPMQYANAYRGASMPPPPMPQTVVPNLDPLRFYVLG QVEYYFSMQNLAMDFFLRQQMDSEGWIDIGMIASFNRIKSLTPDVAIVREVMALSNYL EVREDKVRLAGAESHRWVLPEAKPSTIKPDPSQTAGGSAEAGGKEVVEGAEGVEGSGS GGEGAAAGMGGQRRPANPQRGFVAADVQSALMKSSSAREAAKDKEAEAEASANTSVAD MTVTPATSTSTSGDVGKDEKEEESKAEQ L198_07588 MSAGQTYYEFYRNSSIGTALTDALDELITQGDIPPQLAMRVLQQ FDKSVTDSLQQKVKAKTTIKGHLSTYRLCDDVWTFVVKEPQFKMEGIGAGSEMVTGPK IKIVACKSGDAAENTKKGSKE L198_07589 MTGTFTNAKSGASHTLLLDGDWKGKNFKVNLEDGEEVATVKRSY MKNWGNHYALTVAEGVDLALMSALGICMVASIMEDEMAAAVA L198_07590 MEEASSLVDVSDTGTLTVTIPFHFYQTLVDSLKVAAARLRHHTT IDERAKDQMVLHLKKAHELHVAQTLLSGRLLQDIAPSLFLTRQHVLEWEAANPGDVFH FYTEESSTSTKVFSTVWHGAKCYRKPMEDLQVIGRQNVAMWKTHEDRIIIGRVITHVR SGLCLGFNIEDPSGAVFPVLNNYPTPIPHFSLSLPDTLSKLYPLGSTLAIKSPRIGFD HRGAFAVKVDMPYEIEEVRPTNSILEGVKWQDGLKEEIVKGWKRYRDAGNGEMRNDNP LVALRLYTLALSDPAVERDPIKTFDLLLNRTEAYRAVHLYGHAYRDAHRAREVVNFIS LSTDQCGRLQLRLAKAALGLRLYETALRACEEASPGSSHSNDIEEVKKRIQMRVAEQQ SGAYDWLAIFRDSLASPMAELDIPDYISPACSVTQIPGCGRGVIATRDIIPGELIMVS KAIAPSHTKLDAPNVYVNVFDAESQSHVPHATYMWVYRMMHKVYDDPSLLVALGGFSS ENNRSPEDLPQLLDEEARLKLLFAPVASSLSLNAFRQVLKTNCYAGRSVSSKGAGFEE VMDERLSLAKDKSPVIYLHGMPSMMNHSCWPNMTECWYGDMMVIRASKHISSGEQLFM SYVRNDPSYPSRLSSLANWDFTCKCTLCTADRGPQDDPHERAQIMDSALPLLFSNPIP DGKLTDQAKEKHRRKAEELGKVASAVEETYAVGRGQGTKGQLARVYNQIGRNADEEIL EALIRFLEYAGVTLTTPAQEQQLGRKVYESHNLEEEVILGLMLLAVLEGAKNLEEGSR WARAAVWVHDVRYGGGKALFIERYSHLFADLPVEFDLGS L198_07591 MDSSSSLQKVHPLGVFPEQVTTRETTLIILGSLGWNCANFTVQD EQGREVMKGHPGGIKSSMTGEDGKVVYEIHRRLGFSKKICTVSSAGREIMEVVQKNMS FKTRMTATFTDAIAGDSRTLSLLGDWKAKNFGVKTEDGDEVACVKRSYRKHWGYHYAL TVAEGVDLVLISALAVSLVVSSMEDEQAVVVA L198_07592 MSTNGQDQQQPQAPVTTFQPHHPPLGLYEAYIAKREIKLTVQGK IASSRGDFAVVDDEGRVVFVSHDDPDPVVKGAKHIADMNGQPLLTIAKDKSLLHKRYI VTNAKGEEIIKVGHNHKSVKTSIDAHFTNTSDSVAHELKVKGNWTSKAFDIALDSGAA VARVDRGVMQSWGQHYSVTIAEGVDIALVTAIVICLASSFVEEEVAVTEA L198_07593 MPSGIPTRRAPRLADENAPVPTAPTTRTRTKAAISSTTTSHAVK PTTSIPVIKRTRSTATASTQGKEAENAKPAPAERRMLGEVRNGKGAVEKKDVGVGKDG KKGVREDRKPLATTLQVQRPTRAASAQPAVAGDRDRVTGKRKAPVVSSKITGRARSTG AEPLQDARTRTIVKVEQKPIVEDEEPRAKRRKTSSPVLQEVDEERYEAEDEGPKVEGK EVLLSSGSKNAVGLRSPKAKPKDHGWMDLDAEDEGDPTMVSEYVVEAFQYMMDIQPQT MPRSDYMDNQAELEWKMRQILMDWIIEVHSKFRLLPETLFIATNLVDRFLSKRVISLV KFQLVGLTALFIASKYEEVCCPGVEHFLKMSDGGYTLEELLKAERYMLSTLSFDLSYP NPLNFIRRISKADGYDIQTRTVAKYLVEISCVDEAMLEYEPSMLAAASMWLGRLCLER GTWGPNLVHYSTYSEQEILPCAQAMLNYILDPEFSESTTFYKKYASKKHMKASVYVRT WAVNLWPESTTGESDIQGRELEVLIREERERSGSGSGSGSERSSL L198_07594 MAKHQADIAARARRVAHLCSPVVHPPLLFLSSVLPPASKTPPSL VMAQSDKLLGGAMLLVAAFVFVYYTTWALFTKQKKKKPFLPSDSPLQSLFPAREWAIR LPLFVLLTGISVIGLFFGKVLLGEARKKKQKAGKKV L198_07595 MSSSAPDCGLEKGAFAPNQHHVSPDRPETPESIAVPRPIQQDLS TSSSPSISEETAPQTTEEPNPPPYSAFSQSTKYLIVGIGAIAAIFSPISSNIFVPAIP TLASQFHRSESDISQALTIYLVFQATTPSLFGAMSDSFGRRPLYILILVIYFAANIGL ALIPTSGYAALLVLRAVQSTGGSAVVAIGYGCVADVAEPRERGTFAAIFQTGALLGPT LGPLLGGILTQTLGWRSIFWFLVILTGVILVPLIFLLPETLRSLVGDGSIPPPPLNST PIQVYQSNKTSKARAAAGLDTEKYQPLSSLMLLLIPEIILVFFWSSLIYMEYYASIAL YSTALKDQYGFSEIKIGLCYLPCGFGTILSTILNGKQLDYYFRREERRVGGDYSSHPH TFNTERCRLYCFIPYTVVGLVAMTAQGWCLEAGAPLGGVLVVNFFVGLGMGVVTTSTM YAQDICPNKGGAISASFNLVRCAFAAIGTATIQMMYETLNAGWTFVLLSGLVVVFMPF PISVVRYGRRWRAARERKEEGKKQRVEEREKGKEKEDGR L198_07596 MSERSEIDRARLRPSSVLIVEDNAAKRRSRLKQSQESESNDTGQ QPVTERDGGEGQGAVKAGEAAPSHGDLTAEQQESSTVMMAPHQLEYYYHGTIAGQSRS QYDGVIASHQPSSSTGYNDDGGQSETQTATDDYSQLWDYLSFH L198_07597 MVERLQGLPLFSRHDDSHASDSPSSIGQQGDIQSSSSAAADPII PSTATTATCLSSSKELHESELSSKESNLDKQEGLPTPDLPPGCSWGPPITPELLDEYD LPPHPISTPSSPQRIIYVSFPQNSPKNPFFFKPWRKRAIVGVATCFTLMTAMNVGAFS IGEESMCEDLGCSQQTAAVGLGIFCFGFAVTPMILAPLSEEFGRRWTYVISVIIFLLF EIMLAAAKNTATMLVARIVQGCAGSVGATLVGGTIADIYMPADRGFPSSIFAMAGTGG SGLGPAVYAWVESNPNLRWRWIWWIQCMLIVVLIPLICLILPETRESVILRRRAHNLR KELEKSGIDVGGRFTARSEIKRVKFWKAMSVSATRPIMFLLVEPVVAFFSLWVSVAWG VLFSQVGGISYIFKTNHNLTTNEVGLVYLSIFIGAFVGFLLNFIQDAIYRRRVSKDGM EARLYGPMVAGLTFAVGCFFFAFTAVKEVHWLAPCFAIVIVIASLLTLYICCVVYLSE CYGSYASSAVAAQSFLRNMFGGAFVLFIRKQYVAMTPRWTIFTWGMVGLVLSVVPFIA FWKGDVIRSHSKYSKLLMKEERERIEREKEVLDGFG L198_07598 MEERQHGQPLTWTLSRHSTQASDPPFSIRQQNIIQSTSAAADPI IPSTATCAADEGASYQDDYTSRESSQDRESLPDKELPPGCSWGPEITPELLDEYGLPP HPSSTPSSPQRIIYVSFPPSSTKNPFFFKSWRKKAIVGVATGFTLLTSINVSAYSIGE GSMCEDLGCSQQTAAVGLGIYCFGFAVTPMILAPLSEEFGRRWTYVISVIIFLLFHIM LAAAKNMGTVLVARIIQGCAGSVGSTLVGGTIADIYMPADRGLPSSIFALAGTGGSGL GPVIFAWVESNPNLRWRWIWWIQCMLIAALLPFIFMILPETRESVILRRRAHHLRKEA ETSEKDIGGRYTARSEIGRVNFWAAMRVSATRPIMFLLFEPVVAFFALWVSIAWGVLY SQIGGITYIFTTIHGFTTNEVGLVYLTILIGAFLGFGFNFLQDAIYRRRVSKVGMEGR LYGPMLAGLTFAGGCIFFAFTAVKEVHWLVPCFAIVIVIASILTLYICCFVYLSECYG SYASSAIAAQSFLRNMFGGAFAIFIHKQYVAMTPRWTLFTWGMVGLVLSAVPFVAFWK GEVIRSHSKYSKLLMKEERERIEREKEYSDGSA L198_07599 MAPLAALLAAPLLATTVQAATVEHWWNISYANANPNGLSERRVI GVNGSWPPPPLLATQGDTIVIHATNGLEDPNIGTALHTHGMFFNGTNYYDGAVGITQC SIPMGRSLDYVIETDRQAGTFWMHGHYLGQYIDGLRSPLIIEPQNGTGRSDDITWDED YTLVVSDWYHRQHLDLLTNEFLSWENPTGAEPVPDSALVYLVKDGEYYPSPAAVASGE STNNNLNIPFEAGKKYKIRVINMAALAMFFVAIDQHDIQIIEVDGVEVEPYYVDALPI SVAQRYSILVEAKAESDTNYAMTVMQSEEMYDYLPDELIMNNTIQISYSPSNSPASDV TYPEEFPDLDDTKLAPLLVSAMAPADIEYTLHVNFDTYDDGTNRGSFNNITFQEPPTA SIFTALTMGNDSFNSAIYGAQTHALTYPHMANIQLTVFNWDSGPHPFHFHGHEFQVVQ KSFDVTSDDAEENPNGGEVTEGQSNPMRRDTVTIPGTGKVVLRWRADNPGAWFFHCHI DWHLSSGLAAVFIEAPEKFQENTVVPQTIVDHCEYWGLPTSGNVVGLNSTTDFKGQPW GPLPLVIGWTKEAVFSLFLCIASALIGTYTVLWYNQDKMDHRDMEEQIRRKMEAKRAK KGLLRRVTGN L198_07600 MDFIKKMATEQFENAMNGDKNNSNQNQNQNQGNNQNQGYNQGQN QNQGNNQNQGYNQDQNQNQSYGQTGGSQYNSNNNGNSGNGPNISNDDAVHAANQHNSN GSENSSLFSTALGYLNNMNQDDNDVDEDKVQQDHQQAYNGNTSGMTSSSLGAAAALQA IKSFTSGNSDAASGSKDNSSSGGGIQTKIIGMAMSEAAKLFDQSGGNVSGDKQDAVTS ASQVIMKLMLKNQVSGMMGGSSSGGLGQLAGLASKFM L198_07601 MPSSLKTPELRQSRSLVSLKSSPASLSLSNVAGDNTNNTTQANE SPDTQHPLAQLSPLKKSVLLFIFGLADFLDIANVSGVAVAVADITTDISLHSSQGIWI ITSYSIGFSAFLLLSGRLADLFPAGIVFEVGFALLGVLSLVTSFVTSNKYGFLILRGL AGIAGSLSIPSAYHLVIHMYPETKEQASKLSLLGLAAGLGNVFGLVLAGLCMRASYKW FFRLIAILCLLSTAVAIWLLPFSFSSTYSKEKKEGKESIPRWRMLDVPGVVLMMGFLT CFILSLTQGPIYGWGAVSFIVPFVICWPCVVGFFFWVEAKISQKTAILPNSVWNITNS IIASLAVLIPMGFWGTSQLMYANYWQTTYGWSPLHVAVACLPQGVMTLIIGGMVPIVP AIIEKPRWSIPIGSILIVIAEVLQLKSEGGHGTDYWRFIFPAFVLGSAGGMIVIFGSQ INLVQMCPPEMGGVAAAWISVLFQVGGALTLAVMSGLESTNPSTFMQSGAKVCYFIIG YTIVLAGIYVGFYKTPKSLEDEHKEARERIRKRDGDGGGDDRESGVVPDGKRGREEEK V L198_07602 MSSKESPQLLESPIPSFEEPSRHRRQESSPTSSSTESGPISLHP LAQLSPLKKSVLLSIFALADFIDVGNVSGVAVAVSDITKDIGIQSSQGVWIITSYSIC FSAFLLFSGRLADLFPAGIVFEGGFALLGILSLATSFVTGNKYGFLILRGLAGVAGSL SIPSAYHLVIHMYPEPKQQASKLALLGLAAGLGNVFGLVLAGLCMEASYKWFFRLIAI LCLASTAIALWLLPFSLSSTYSTEAREEGQKKVPRWRLMDVPGVLLMMGFLICFILSL TQGPIDGWGAVSFIVPFVICWPCVVGFFVWEARISPKTAILPSSVWKITNSVVASLAV LIPMGFWGTSQLLYANYWQDAFHWKPLHVAVCMLPQGVVTLIVGGVVQFFPSMIDKPR RFIPIGSVLIVIAEVLQIKSTGGPGTDYWRFVFPAFVLGSAGGMMVIFASQINLVQMC PPEMAGVAGAWVSVLFQVGGALTMAVMSGLESSHPTFFMEAGGKVYYFIIGYTILLAG IYLAFYRTPKPLGEEHEAARKRIMNDVGKMGVGGVNSQRISEEKV L198_07603 MPLEVKTILVTGANRGIGLEIAKSYLDKGWKVVAAVRDVGKMPK LEGDLLVLKIDAAYLTDAQEAAQELRTRHNIHDLTILLANAGVGLMGPTLAQTPVASF EESIQINTRGPLLLYQAFRDILGDGEGKTFAVVSSKGGSILTPKRIGFGVYGCSKAAV NFLVRAIHFEETKLKAFAIHPGWVETEMGIMASTKANMNGTTPQRLEYTVPGIIRLLE TTTKEEHSGWMWE L198_07604 MIPTETPTTSPTPAPSPSPATPMTPPATSPLPPLFTFKRWGSSS RKSKRARSEDVDPFSGTAGGEDDVLRRSVSIDDGMEVEDDGLWEDDGLRKKGRRLSIP AARPLVRARSSKAKLKKVESLSSSSSTSLELARTISPDSPEPAAKRARQRSSSTSSTS SMPGLTGVLFEGKTPSSPSTSPEAPVDATMPLPARPPTRPPQIFSYIPSPLATAPVMS PTLSPPTPSSPAPPQLDLPLSVPLPLPVSPREDAEVIVATAQVTKSKAFWRDVEDLGA ELGNVLKLGFGRGMNRGAGGQRKPSRLRSSLIVDEEAAREEERRERYGRMDTE L198_07606 MPEEERPTSEIAVTSQDPEPKDADKPKNAGDKGKGKDDGKEETE MSEEDQQLKAELEMLVQRLRESDTGLYQPALESLRTLIRTSTSSMTSVPKPLKFLRPF YEEMTTIREGWSDDLTEQKSLLASILSVLAMTYSDSGKRETLWFRVQSGSTEAPGLWG HEYVRHLASELGEEYAATFAALAEDADIAEPNSKYTTQQLKDLALELIQFFLQHNAEA DAVDILLEVESISAITEYVDDKTFERVCRYMVSCVPLLVAPDNIAFLETASIIYSKYD RYPEALALAVRLNNPELIRKYYEAPQNPVMKKQLSYFLARAQIPLHWVHRAEDAEGDE SIPTLSDEVLECLGNVKLATHFKNFGKAVGVEEPKSVDDIYKTHLEPSSRNTTLPDSA RQNLASTFVNAFVNAGFGNDKLMVNAPEGQSWIYKNKEHGMKSATASIGLSLLWDSEN GIDHIDKYSYSAEEHIKAGAFLATGIVHAGIRSETDIAYALLEEHVDSKSIELKVSAI NGLAIAYAGSQRQDIADKLLPYVQDEATPMEVTSQAILALGFVFVGSSNGDLASEILQ TLMEREESQLASEWTVFACLGLGLLYLSAQEESEPTLATLKAIEHPVAQIAQTIVEAC ADAGTGNVLKIQELLYICGEHAAKKEEKKEEAAAVAGEGEGSASATEVPGPLPVAGQP AEPQPAGAPSDAQGDVTMSDAPAEGGQADGEAQPAAEGAAEEGKEAKPAAELKYQAFA TIGIALIAMGEEVGSDMALRQFQHLMTYGDPIIRKTVPLALGLISASNPQLPILDTLS KYSHDSDLDVAINAILAMGLVGAGTNNARLAQMLRGLAVYYAKEADCLFMVRIAQGFV HMGKGTIGINPYYNDRQVMSKTAVAGLLSVLVSFTDARKFVLSNSHWMLYWLVPAMYP QFLITLNEELEEVPITVRVGQAVNTVAQAGTRHGISGFQTHQSPVRIATTERAELGTN EFFPYQSVLEGLVILKKNEGFNAEDIHA L198_07607 MSTFKFLGIATVKALDTLPLSLLVVIFLACLALLQWPFPPSPSL LPTHTPPTYSEKLAGSHTFPSNPFPAASSQKALGHGHPQTQLYTVAVTTVNGQQQVVQ VLQPSGKGRNVRRGSKQLREYRSGLGVLEEVDGEEAREVV L198_07608 MDGQEAIAIGILIAYFGLIGLSFALVSRSIIAGTETLKLWEGRA FYFLRSAFGALLCTWYFYERKLLDWSYEDFKASRTLTGDATFGQWLVNTALFEQAWTI VCKGAGNWWWSSWICTWTILFTVIVWSESGRRGIKYAYAYMLLGQLVAMSVATALFLV ALSLHPRTSSNPRKIPFIIAIPLTLAFVSAWLLPQHVGTERFMNSLLWLHGALLLPLI SPAKSGPDGQVESNGVPLSLLYPVIIGLMGFIHIPATQELFLRLPTSRTFSSHLLQVL FSHPAQASVSLDVVWVALVVTSWFVITGPTALRIAKAALVGIVGALFTARYLGVNWTL IASIIPIFFLLSAGGATLYIQNLRRTNLYKRQSLMDSMGMPDGTLVQGTGSKPPGFSS QKTVVGFWHPFCNAGGGGERVLWVAIRHIQKTDKETMVLVYSGDYPEASKEEIIGKVK ERFSIFLDPSRLHFIPLRSRYLVSDGYWKHFTLLSQSLGSILLAWEGLCGKDDADLIA DSMGYGLTYPTVRLIAGPDIAIGSYTHYPTVSANMVKRVKERVYGVENAGASKSWIKT QIKLIYYYIFTSIYSVSLLYAQHIMTNSSWTQAHIQSLLTSAPSSFLASILLKDEVSV QKQKERGELAEGEGLECGVVYPPTDTTELARLGGLESRKREIVSLAQFRPEKDHAKQL YALSAMFEKYPQYRHGSQRVTLALMGGVRDAGDQARLDGLKTLAKKLEIDENVEFVIS APYPEIVKRLGQASLGINTMMDEHFGINVVEFMAAGLIPLVHASAGPLMDIVVPYNGQ RTGFHATDAASFAEAIHAALSVSPKESLKMRKAARQLAVEKFSERKFEEDWDVGYERL REIAWKRK L198_07609 MGHNSDKMYVTHAEHSAGSHTASSYGKRAATGKSEFQRLPFDCC ALSLQPFRNPVAVVAEPKEGEAPRADVFDLLNIVPYIRKYKTNPVSGKSLETTQLIKL NFFRNAEGNLHDPITYKVFSPHIHIVFLKNTGNVFDMSSLQLLAIKPKTWRDLVNDEP FKREDIVTIQDPENLGARDLREYDYVKNELKVNGEYDDMAGDPLKGINVDAAGGASKV LKMIAEKTRAEQAAEMPPPAVTEGKKKEGVVAKKRQVEQLAYNASNYSSGRAAASLTS TALTPQLKSERAMFDEEEYMFDEFQKVVKDKDRQACKAYATILTNLGGLNVELHGDRA PKTVYNFVQLAKQGKYDNVVFHRLIPGFMVQGGDPTGTGSGGQSFWGEPFRDEYSEKG AFKHDGRGVMSMANSGPRTNTSQFFFTFRETPHLDGKHTVFGKLVGGEDVLDKIERIP VLSSNRPVKDLVILGVNVLQDPFESYKERLQAKLARQDQSAETLAKRAAKQAEREKDR TTWLGTDLGEKGESKAVKEKRKAEEEEGGVGKYMKAAAVRSVGTGAGAGKVGAKVGGK VPEVMDFGAEKKKKKTGGFGNFSGW L198_07610 MSSATLNTDILHHTLALLPASSLATSLLASKDFYRLCSPLLWRE VELDLGKEGVEHPLVKALIAGSRSEVHGDGWSTSPAPYVRHLTPLAHTNASPCSHIHP PVSTSGPHTNPLPNLITLHLTIHPSSPVGWSFCAPSDRERYPIYPATLPCPLLEILRP RRLVISQVRGLVSPTPFRKGCGVWEDVREVVVRLRVGGGMWSVKGNNCFWEMLGDKVE RIVVVVDDLKGRALPFEGEKIVKDIRDRVVPLALKRGISILVVNLPVSPQNFEMAGSR DGYAKGDVGDVMVEGEGEKGEIKFETMEGWLKTGQWVGVFSQQEVKVLDYYSQPSFNQ L198_07611 MALAHLTDIVTQQASRLAPLTLTAPSPSASILQLSDFVHHLTTT PIHPVCLPYLRFGALHAARVTTVWAAQTKGRKGRVGTLQDLFGYLVMAWGGSTVVSLL LGQPPSWLTSSTPWIIYPSIYLLLVPTGLSAYIVQTTPALLFNVFTAYVDGMTRGTTL SALPALVSQSSTGVGAAANLTTYALLSSLAITSGGLFVGLFGLAGDSWSIGVPSLLKG GLLGTLDAWGAALVSIVYLALAGHLPVLAPLTNTLLPILPSEFIVSSSSPESSPSIDP LHNRTIAILLFGTLLAFRAIITALTAPSTKVAGKEKKVEKKDEFDLMLEKELSEVVKT PTKTRAGGNGKATPRKSARIKSRGSTPAQQ L198_07612 MGLYDDLESRMLFENQRAIEELQKTTLDLSTRIDAVTAPKGKKN SPALYPERLMTTARFQDVLNLVIGRAGEPALIGDATAKFALEILQDILQENFKPGPLA RYERPMLVRVIGRFEAARDFYAAEADETISVKNQAFRAAVFGSCAKELRTLLQG L198_07613 MSGNCGFVLLIPVSQALADSLFLSSALPGFPSPSPNTYTTTYTT TNNTTSSDGNAPEAQLYDSSGIFPVSYDLSTVPCAVIGRDLNALGIQLSGHSGAFPAS YTPSTINYTSSTNDDGNALGPQLSPVTPSPASSGDKAKPKARSSIHATNTRSIKASGK EKKKHAEGYKRKSMSCEHCKQRRKECDGTDFNACTRCTCDGIECKYVLAKGKRGSQKA LKKLAAMGRAPSADGVYIATPSTSSLASSSGTSSMNPITPITPMTPARSTVELSSGPS PASVSAGLTSGFATPHIFMPFVPPAQLPVAVGANGMLKDHPVVEMQQALFDNEFDSCC SVLAAAPASKAAAPYHPPTPRTTPVKPTYVRPVDVSPVQAPTFSLNDTSVSSTLPPVV ASQPQLPAVTGQGLSESERLAFDELVMDFDLDDDIVSVPAEVPCSAATIASLPTSDTT TLKPTVEMSAVSDSADHTSINFSYAGFLAPDNTPWYSAPQAQVGNEAAFVGNENVGWG VCGTEEMETSNAGSWGAIESPFNTTPFGDSFTPVADEAADCGMRGLWN L198_07614 MGDMALCIIAVIIDIAVQEGLSKARNDVRDQEYIMGDIQQSFLT AYSQPIESLASDICKHVKTLYTQLTMEPTFLVHPKCPTTDCQMVFYNITTQGDFSFVP PTCPSCHTVLRASGEWKERRVHHLPRRTIADELQHVFSMPHTERLIDNRRMMRQVVKE RDERYMPEFAARAGRVLCHQLDGAAYSGDTPQREEDSLLITINFSIDYSSPSKSLQGQ HKSVGVIMGQVAELPNAYRTKFHYNLMLGMTPVPREPPSRLLYRVLLGFGMELLAGCT DGLWIKTPKYPAGRKIYLRVGAISCDLPASVAVTGLPHYRCKKDLCPKCTVSHEQMHL IQSFPRRSGVEHTRTMLRQMAEFLQEVKELPHKSTSSWISQHAGQVLRAQKKAVEDLH KSIFQTPGHLSVFDLLPHFDKVLHMTGDPMHNTLEGILPYFARRVLMKGRFCAEVEKQ RRIITDDYLKGVRPEFFEEEDSEDEEDEEGGEGDEGQGDGGQKGKISPGDGPPIIRKD RQIRLEEMMAQVIVPSYLPQLGKKFFTQQAKPSAAQWRTFGEIVGPLVFPWLWAESRS TSDALPEHELVAALKLFAIIKRIFLSSISETQIKRLKTLISEFKEIVLEHHPLLPYST TNFHEIDHTPEEILEHGPIYGWWLMALERLNGRVKKINTSGCDVYQEQVLQFRPLLRQ RSLLSDIHQQYLDQDLCQESGVPKDEAGREEGRNSHKRTIFSALMSHSVISEDPDDAE DLANLRLYDISGLDQDFSIDLLSTGKVTPVSSQDQIIGLFYQLSKIPKPDIPDEFQFH HEITVRGQTFCPVDPTFKIGLTPVTLPEIICRSNSRSFIDIRSPMIRFADDHRPELGA KAIIWAIVSRTCQSPEDGSVGSRTLWACIRLFVRLQPPPSAFQYGLEEMLDIETLSAT KLSPLKLYQINARTISTLSPIALLKVPCALPSGETSMLASTPVTRHI L198_07615 MSEDTSSNASASDSSHSSDYSESLPDRYSDGVPRAFLDLPLEVD SVRLEYHLSKYVPYGCATPTGPVWPDTGFVGEYYQPVASFLNSTLDVAREAFEKSDKE VEYCALDFLFTKQFRWTVYNKSMGPPEHSSDMIARPALVGCKLNDTSLFDDSTQSVKV DHHVDQSHLITYADIDNRNIYRAIFKSSLTGRTTVDAQPNRVAIRSIVLSIEDGTIKA AIADLDAGGIQLTHLHNLKNDGEYERFRALLVACTALPSTTMAATGASASLLMRSEIC IFRPFHTCPWRGEAWRGFGLTGKVIFGLVALNPMFFILLGRKTSERSGYQKRHKDLYM REVADDTNQAGASSRISLADVYAAHGPLDLVKAVLGAIYGFRNVYRVGWTHKQIGAKN ITVGPTRDFKLYDYNVDTAELVPIKYDIDCLIGDAEEGLCGMLIAYDLGGEKEEEDVD DGDDLGLFSESLCFMANQKYEDVHFFPATQSTIGGLESFLWVLIYVLLRHHTQGGTFD TPDGHLYNALFGRLPESDAKRSEFLAQKGVSKDMFGEGRCLAPLKNLLTPALKFFRYQ YSWCLDMMAKEGPGTYPWTEEEEKSSLDECVDILSRFVQEEAVKKGKQPEKL L198_07616 MTPQLKPESPPVGAVETGGDRELEERKHEFAYEVVQGLFIQNGP KPKHVKFEEQLKKSFGLIDESPERWQNLKSSVKDLQDAAPEGVSYKVFFLGRHGQGWH NLASDNFSKKEWEARIARTYPTADDLPWGPDPYLTPLGISQAQAINACWTAQAPLGAP VKQGEMVWYCSPFTRTGQTLMESWKGLVGDGSGVEVREDWRECYGLFVCDQRSTKSVI QERFPTFKIEPGFSEQDDLWKAEDRETEEHMQLRARRDLDRIFGAEGPEETYISITGH TAIFRNFLAVVGHQPYRLETGEMIPVVVKATRL L198_07617 MSVPLPSDPPPISDAVPPKSDHPASAKPIPRSRPTPIALSSSPS KEKDTASGAGPGGGGGGGLGATPGSYRYRAGAATSVGKSFQDDNWRDRSPAPPGTLGS VSGSGAKSAGLQGSQTGTKVPEKTIGFEKRDLGSLKSSPGVGVDSGLSREREKKKVVE EKDEDGKTTLSHVPCRFFKAGACTAGESCPFSHAAPDSAKREVCQWFLKGNCKFAHKC ALAHVRPGEPMSMDRKNKKAAQLEARERDGKPLNGESNGRRDDSVASPVPIRSALSSS IQSPPARQIGSSPMREPFGPPSGALPNSPPAAGFAHSLTRAHPAFASSPNRPSPLSAS FGAVGSGLAAGSVPGPLSLKQGNPLSTLRPPVTTAPFSSSFSHSSLAIERPSNTVAAP LSASFAGDAPSLHRSIWSRSDQPPQPLSPRKPIPRPIKRDTVFEDEDDHGEEFLPSSL SDLLTPQERARRMSRRDSDGEAYSPSYAQRPVWTGERLAQSAGATMGQKGFLQGLWSA NGEDARKHRNSSSNAQDLTFGPTTAQPSGHRTSLLTQQRSPGSASPTSPGMSTSAFGA PALNGIGSNDPYLIRLDPSSPSQRALLDHAPGQSLPGGLASALSRLHMHGPRSPANLA VGSPPAAGGLGLGGRGGLGDGWRVDEHEGEGEDGVGTPLGGAGVKREEHDEGLFAMDG L198_07618 MASSSTSHNSDWKSSLTAPPKDYRPQTEDVTATQGASFDDFDLR RELLMGIYTAGFEKPSPIQEQAIPMALTGRDILARAKNGTGKTASFIIPTLNRINTNL PHIQALILVPTRELALQTSQVCKTLGAHIPGLQVMITTGGTTLRDDILRLQQPVHILV GTPGRILDLGSKGIAGLNKCGMFVMDEADKLLSEDFMPVIEQTLALCPQERQVMLFSA TFPWTVKEFKDQHVVQPFEVNLMDELTLKGVTQYYAYVEESQKVHCLNTLFSKLQINQ SIIFCNSTNRVELLAKKVTELGYSCFYSHAKMQQAHRNRVFHDFRGGMTRNLVCSDLL TRGIDIQAVNVVINFDFPRTAESYLHRIGRSGRFGHLGLAISLLTLEDRHNLYRIESE LGTEIAPIPAVIDPVLYVAPAMVEDERPSPPPVRAAIAAPRQQQSQRRSPAPAQQQQA APPQQYQQAPEVQSPPVQAQAQGQPPAQPRAFSNQAQGQQGQQGQNRRPQNQSGNSNP NLRGRGRGGGGGRGGRGGQGQSQGASPAPAQA L198_07619 MTLNIDKPVTRVAVIGAGAAGLAQLQQLQEAWERGNVQSKLELV AFETKEDVGGVWLWDGASKNSLTARVPGKDGDRVYSYPPGTENPSPMYTGLRTNIPAP LMAYRGFEFPEGTHLFPESSVVLKYLQDFATAYNLRQYVRFNTHVERVHLSPSGSSRR WTIESVSSKNAEGEKRREEFDFVSVSNGHYADGWIPSINGLSTFPGEIVHSRYYHSPP LLAPKTILIVGSFASGGDISRLIAGGATAHTPASESAWGKYLKQKPLVSHIDPPSPTH PKGVIHFQPPPSSEDGTPVPVSDEQIDDVDLILFATGYNFAYPFFKSTDRPWDGKSVV DGVIGKEERQGGDEREVGGLKGLTPKNLDELLMFHKGDRSLAFLVLPYQMVPFPFAQV QARLSSLLWAGLLPSFPAHPTLPPNASNPYQQEEESPAPVPASNPDPTASETSTPGTS NTYTTSSAETPSKPSTRKMMQVRRQLLFGAPYEWDYSEFLMGIMAEADAGEGGEGKKV EEHWKRIEPFRRELREQTDLKKRTLGY L198_07620 MTQVQTNGHLATNGVHDTNGVKPEPSLEERTPEFGFEVVQGFFV QNGPKPKHVAFEEILQKSFGLVDQSPDRWTNLKSSLKKLQDEATEGVQYKVLFLGRHG QGWHNFGNAKYGDDWDHKWALLTGDDEITWGPDPPLTPLGIQQAQSIYAAWSREVKQG APVGAGQMKWLVSPLVRTNQTLEASWGELVEGKPEAWEDLREIYGYHTNDLRYTKTDL QKRFPNVDFSSITEDDDLWTPEPRETYEHAGLRGQRAMDRLFSEGGPSETFISVTAHA AFYRSLLKVLNHQEYELETGEMIPVVVKATKLSKSKL L198_07621 MTQHDSPPVASLESGGPAIAPEVEEPPHEFVYEVVQGFFVQNGP EPKHIEFEDLLGKSFGLIDESPDRWHNLKASIEVLQNEAPAGVIYKVLFLGRHGQGFH NVAESKYGTEAWEAKWALLNGNGELTWGPDPFLTPLGVSQAQAVNDTWRKEAPLGAPV KSGEMRWYCSPMTRTGETMETSWKDVLEEGERIEVWEDFREIYGVHTCDKRSTKSIIK ERFPAFTIEDGLTEEDELWKPDDRETDEHMQFRARRAMDRLFGRRGAGKTYISITGHS AIFRNLLAVLNHQPYPLATGEMIPVVVKATHTSIERPKG L198_07622 MPYWESAGNVSVWGASVVLKGSVFVVRRNATLSTMACPSTMTLR KLELLAVKRTMQSRGHNKLSPRSSVKRKASPINLAALKKRLFKSPEPPELPAPKRSRL DKEPSAPASSAGVKLWRPPGQEKTASPDPPTVSDDYSSLLSSVEEMAECSLAADRLTF EMEIIPSRLRHSIPTSLGRDKVSAEVANLVARLERSNDGELKREKEQQQELLRGFRKK KRAWERLEILKKEDWEQTSAEALRANKTLNQLKFHKRRRGLIARQWRMP L198_07623 MFSRISKNTLKDVSIHLPAPTPRFPVRPPPLSRPFHTTPRLAAR YERFDERPSFGNVGGGSSGGGAGGGGPRGPNLWTYIKRRVGGERAVWVYGIGIGAGGV YYVAHLERVPETNRLRFIDVDEAQETELGRQTQLQTLSEYSTAVLPANHPITKRVRKI ATRIIESSNLGRVKSGGEMGAVEGKVPSFGGGVEMKDIFLGGSGDGSHSIKEGKETEW EVYVIDDKKTKNAFVLPGGKIFVFTGILPVSANDDGLATVLGHEVAHQVARHPAERMS SMKVLFALGFLLETLGLDVGVSRLLLTFMLQLPNSRKNESEADFIGLRLMARACFNPS ESTHMWERMSQSEGGGKGLNVDFLSTHPANAKRIKQLTQWLPEVSPASLPIPNSSHGK DVKEKEGRRK L198_07624 MPSDLSYWLIAAPLKHGDPDIMLQDVRDEVSGVTVAKWEIPELK AGTLSNLLVLSDALPKLDAQFTNTVSKLLDQLRTLVSDDPSKLAQHARINELPVEEYL LGNGEGFKWDRSRWGQEGKVDEVVGALKKEIESIDAVQKTKYQSYQISKGKLTQIQRN QSANLSSRSLLGIVQKNHLVPDSEYLETLIFAVPKNSVKDWNEKYERLTSMVVPRSTQ QITADDDYVLQTVTVFKKVRDEYIHKCRENKFIVREFTWDDSALEKLKQETADLEVEE KELWTDLLKLTRVNFSEAYQVLAHLKTINLFVESVLRYGLPADYAGVIIKPESKTAAK TLRTINESYANLALSSSSNSSAKKGKGKDKSSGGGAGEEVGGEFASVMEAEYYNMVFV ELPMVVTR L198_07625 MSEFHSLVKTQPPQVTALNLPPNSAGVLLKKRDIIIREEPLPIL QPDGVLVKVIATDKCLSPKFTQVDADVDLHNYVAGGVGGRPVTEPIVLGHESSGEVIA IGDLVKTHKVGDRVAIEPGLPCRRCVNCKEGRINICSNQHYCGAPGSVGSLSRFFALP ADMAPHIPDNVSWEESGCIQPLAVGIQVAKRVDLRPHKTVAIFGCGPVGLISAAVAHA YCARKIVAFDNNPVRVEAARKYRSPVTGKPIIDHVFLTKDIPTTSLKKGANGLVNGNS HIDELAYKIGEAGSGAGIADGGIPDEHDEESVGDRKWEWAKKIAARYIEEAGLTEEEG FDRVVEATGVEDCMNLGIAVAKQGANYLGIGLSHIQTASFPILAVTNKELNVMGITRY TSSCFPSALDLLERGVVDVKQLITNRFPLTESDRAFEAAAGGGEMKVIILNQEGF L198_07626 MSIKEHKIAVIAGDGIGIEVTASTLEVLRAVQKKVGGFELIFDE LDYGSARYKAKGSYTPEGWLDHLRKYHAIFFGAVGDPSVPDHISLWDLILPMRQSFQQ YVNIRPSSILPGIPARIVGAKPGDLDWVIIRENTEGEYAGQGGRTHVGTEWEVATELA IFTKKGVERVMRFAFETAQSRGKKQLTVVSKSNAQRYGLLLWDEVAEIVSKDFPDVKW DKMLVDAMTVRMVAKPTSLDTIVTTNLHGDILSDLAAGLSGSIGIAHSASLDPTRTSP SLFEPVHGAAFDIMGKDLANPIAAIMSAAEMLRWLGEEEAGALVEKACKQSVADGKTT GDLGGKLKTSEVTDVVVKILEGL L198_07627 MPAFRPSPSHLAVLRSTATKPLPLTTSTVGLQAIAEKHITKGLG RLRDHVVKEGQGLRVLTTENRKLLDFTSGIGVTSLGHSHPDVTEAIIAQAQSIIHVQC AIGLSEPYVQLVESLLPMMPDKSLDSFFFWNSGSEAIEAAIKVARTKTKRNNIIVMQG GYHGRTSGAAALTRSKTSFFKGTGPLMPCVYTTPFPYWHALNLPKTTSEEALVEHAII GLESLLQQQTAPEDTAAIFLEPVIGEGGYVPAPVEYVRHLREVCDKHGIMLVVDEIQT GFGRTGKTFAIEHSDVTPDIMVYAKGFANGMPISGIVTRKEIMDVMLPGSLGGTYSGN VVACAAALATTRYMRSHDILGNVQARSEQIFKGLREIQADEENGGWFIEEVRGKGLMI ALEFKSPSSRLTSTPHPSYPEATIPPNLHTLVQNACYDRGLLVLTTSIYPVLRLIPAL VLSEEEVNEALATIREAVNEVARGVEGKQ L198_07628 MPSVVFDVVGTCFSYDNGALALQTRLGPKLAAHGLSSKLLFYAW VCGTERDYSYLSQIKQYKPFFDILANTLTRVLYQAGVPKEELGGFFTQDDVDYIMAEY KKLKPRPGLAEMMATLREGGFEVWCCSDANIDRVKGYFDHAGVEMPLDHILSADMVMA GKPEAAVYKFAREKAGSDKPGEVSVFAASHAWDTAAAKAAGFVTAYTTTYEYDECETI FGKSDLVTPDLISLGKGIVEKWGKK L198_07629 MTPPRRYPNTEDRLLPVPNRTEPFWLSSPDPVLQHARTTPDIPS AADIIIIGSGLTGCMTAYRVYKEAEREGREVRVVMLEADQFCGSATARNGGHCKPRNI FGYRAEAAKYGPEIANQLLTFEAAALSSYASLVQAENIDCDLHVTRAVDLCFDPTDAE EGKKDYAARKAAWGEIMEKHGVMAVDDPKELEKLTSVRGGHWGAHYPAGHLWPYKLAS SLAHITLKKGLNIQTLTPALSISPSSSSPGEWTVHTSRGTISSPTLIVATNAYTSSLL PSFKPLIIPVRGTVCSISPGPCHSLGNLPGPFKYTYGFRHGPGKVDYMIPRQGRGIPG QGDRSIILGGAKGCFLDDIDKWYNNKNDDQNMPGAQEYFEDFAPKHFVDWDGGKENVD KVWSGVLGYSSDLLPYVGQVPDMPGVFICAGFTGHGMPRIPGCTSAIASLTFSYLNNS NTLTPEAEGEFETKLPKPYWMTRERFESRENLILGAMGQGGGKKNKGKVDDVEGLAAG VAVL L198_07630 MSSSADKKDAHLTANLPTLKANITTVGVEAPPPPPESKWMAIYR SSFFQICVVSALAFCGPAMADAISGLGGGGQATPYTVNAATCASYCAVALISLLGGPI ASRMGIKGMLIAGASTFAINGSAYYVNSMYGVQWYLIFGRFLYGAGFGFWYVAEAAII LSYPEEGRRGKYLAIWVGSRNLGQLVGGSISLARNAKSAAAGAIATSTYLIFIAIEAL GFPISFLISPPHKVRRSDGVPILMAAKQPWKTEFINLYKAVISPMMVMLMPIAFYSYF YGGVLSTYLTNYFTVRARALSSFIVPSGIIVFTAIFGRFFLDNKRWSQRRRAQVGFAV FMVPSFAAFGWLCANQARFLNMDTAPKYDWSDKDWANAYVPFYIMQVCGYLCQTYIYW LISCFTASVSSNATNGGIFRCVEAVGQAVSYGINSNTNSKFIPLGINFGLAGFCVVPT VMLIQQVPVWREDAEIQWVGKDGNGDVEGEKEEGKATQSEALDLGK L198_07631 MLVKEIREVMLLGDEKFARLRMVRWTRSTRPF L198_07632 MGTSSPTAQLFPPSDRAAGHTTPGLVERNNQNAKSQSKLRGKGG RSRRMDDWYARGFTSWVQDPEDQTTLMCMSCSQTSNAPCLTKKTKAAQHERSLAHGVA NSRWQEEEGQKVLMDVREEKQRLKREVHNHSREALKLDEGWERDYEVELDILEGIIHR HPTDHTTKYHCAPCSTINHSSGISSDMDSISDLVAHLKTTEHLVVRPLYLQQQAYVGA GLYAPKGPKKKKRKAAERLGDILIKTEAGPSTSAPPTDPKSTISSSSTSAKRSAKSRP PAVRPVHHANQPSFTIPTSATYPFQILLPQSLKAIRPPSPGVHVPSIDVLPTIPSRLS HIETIKQLGDNLDKAWEVVLADS L198_07633 MGSSTTAALPSPHLSPGHAKTTPNLIDSNSPGMSPSDLIRSSSA TLTTSHDQLDRKMMSKSFPGCGETHRVEDWYARGFRQTQDQEGRPMMLCISCSQQKTV PILIGLKNIRSGKHEKTPGHGTLISVWQEQEGLKVLQDVGEERKRLEREMVDQGLSGG TSRAANWKTSYEKELKSLAGIIHRHTIDETTLYHCAPCSLLNHAVTSSDLKSIGDLVA HLTRPDHLTACQIYLQQRAEVVGVGSIKRKQSKKRKAKELADDETAPGTDAEPSMSVA HADPSNQSLNPSVSTGFSGVLPEAREDNHPTPKRPRLTPPLQSPPSSTRSATIAVLDA IQPQIDKSTSKRRVKQSRPIEDWYARGFTKTQNQQGETKFICAPCSQTNCPMTISNPY SHERSFSHGTSLRRWHHEEGGRVSMDIRKEKRRLEREIHDGGLQEWVKHDADWVRGYE VELERLEGIIHRHTAEETTRYYHCAPCSTLSHSALSSDLHSIKDLVSHLESPEHLALQ PLYLQQRAGVVGVSLKTKAAQTKHNTAETPEVEIPSRGGEEPFLPAASNDVSESSSLS SSFPVEQSTGPALEASLQQTPTTDQPAPTSLPDTSPPIPTASSSVSLKTAQAPSTDDH IPLDEVLSSSRLSQIQTIQRLGANLERTWQALLADT L198_07634 MPDHIAATEPHSFMAHSNAETSASSYATHRNNFDKSMRQLDTLN FTRGEGPDQRKLLQLLERQVRLVGAKQYMNAKFGAGTLQYVAGLYTMMAASIAEEI L198_07635 MDIIHRLNPFHRPSSSLSRNTPTPFSSRGRPSSSLEHGYPTPDT TPHPSFDISHHPSVELGRHSLTPTPTFLNTPREEDEDDAQRKLGHRRSHSLLRNLTSH PSLSALKAKSTRGKKKANRRKGIPLMPTDPNGQYLAPVDFGEAERPGTPGKLRKGSLK GSKSLPRDLRFSGEFQALDSIPPLPEASTYDTSALTRSQSSAATSRSGSTSPFKKRNT IRRKPAPSQPPEITVYHDCSSTPESMRVRMRFEVDMNSNYSGSPSRRRHKSFDVRSSS PSENRGVRDQTGRHLSSPPRAQAGPVGDSWGVSDYAFPRTRQHPHPVPIIQEQRVFAQ SLYADSTTFFSPGDIVPVTASGRTAITDEPEDMDPFGSGEESLLETPRKVKSARDLGV SAEHGAAQSSPARVFSRDMYGSPSEDSTSSTSHSTQLLSPTPERIGTHRRAESSPSPG RKSREAFLRAAKRSSSPFEIKLSPHVTRRMSLDAFGTPTAPTFTNSHEKEEGVEELAE YEESFEEEVSWEENQLSLQDRFEDQQVTQVYEQDMRSYDEVLADFQATELSTIMESSS SRGHESQYMSSEGRLTRRSTPPPARPSWDLFSERTQERLPTTPGLSSNGLPLPSPTPT TPLIHKKYASAPPLVGVSPQLLDAHLEHSQSLQDQVKAGVTMMEVLKSEVAEMRRRLA KEREEKEKLLAALEEEREEKEELGVFAEGRVIDLEEAHRMSEAKDQALEQLRQAMAAH ERTLEEIREDKVFYEERCENLEGENEHHLFAKQTAEQCLLEADNENEELKRELRELQD KNDKLSKGKKAADDVVCDLKSELKYVESRLADFEGCEAQLRDAEAEVLELRGSKERAA ELAKDVKRQTRRLAERDGTISTLRLEMSSLKCEILDLTESSQQLAASKALVKEREASI ASLTAQLADLQNQLTSSQIDAQADKLLLVTKDQTIDELNEKLRLVRFETNEKHFESQE ALGKMRGQVEESSRLGAEREMAGMRANEMLARLMEEKRGWDEEREELYETLNRAPTGE EESASELRSEIQELSWQLANTMRDLQSLQDQLDVQDASLQHKTALITTQETELSNLRH ALVDAEESVAHSKAASERQSKDSERCLERLREQVQELESRLCSHDSALRSAVLEAESG KVSSQDSKWRIERYMADIDELKLSETKLRTQVEELRRQSAMGEVARVDLEKKVGKLEQ DKELLNVALESKQMEVVLLQRKEKHRAPTPTRSALRPTHSALADSISHIPPTPTPSSG LDHTPLPRRLATSTSATPASPRHRSNTVAASRPSSTRIPLGASTRHNRVSDVSRMAAA GKAPTAKQVEPAAEKGKGVVRRTSLPVLVARPGSAATGALQSVNEK L198_07636 MVSALLSATTLIAVSVPALAAPFRRWEYSKYWDLQGHRGGRGET VENTLPSFSWGLINGVTSLELDCGVTKDGEVVVWHDENFVDTKCRDTSPAFEDDPDYP YVGKYIANLTLGQIKTLDCGSLRQADFPLQDVYAGTKVSTLQEMFDFVKCATDEPVLF NIESKVDGDYHNLTRGPEDFVDAMGTIFYAQGEDVVDRITHQSFDWRSIKLSKEKYPN LRTSALCDDTTTNLGNPGSGPSNWLAGIDIDKLEGDTVGERVARAAAFINADFLSPVA TSYASDVTGPGFPGFVAFTNKTMVDTAHSLGLGVKPWTPDVKNLFEYLLDLGVEGVIT DFPHEFRRLLEQKGNYALAPKGNTDRILSCLSKHVQVTENRLDGKGYA L198_07637 MLRVLCFCGFTSNKYIFSQELSRLQKECAGLIELVVLEPPFTVE PPDMPILNPMMEARQTTGWQVSRTPHDMPRAWYDGGSDWHGDGGFGEGLNYVREFMIA NGPFDGFFAFSSGAVLASVIVGMLENGDHGDSDFLPHPSLQPLKFFICNSGFFPSGRH APHPKYTHLYPLTPSTATLHVIGKFDTLLSSEECLYLAGLCPNSRVEYHDGGHHVPLK AAWRGFYKAWMSSFLPGGSNGQYIPPVNSFSPAFIAKSQLRESIPAPIPFIKSRTVDA NGSREREDKTIEKKLGKPLRVVIPAVKPPPWTSTDVPIRLVMAPRAAKERVDTVTVYG GMGRIQS L198_07638 MTIRILTLCGFTQNAYIYSKQVGAVRKACKNTEFVFVDPPIVVE KADLPWVNESNLDQFGSSASMDAENQTAETTPRAWWINADNWKTYKGFDDSVKFLHDY MVEHGPFDGVVGFSQGAGMAALLAALAGIEKPGINPLFPAHENIPRLKFAIFIGGFLP GYEPKCESHDFSNYFPLPASLPTLHISGKNDTLIVPERSQILASRCENARFELHDGGH YTPSKASWRHFLNAYINSFAPGGANGDLPDIDSYGPNGANAPASGGGKGSKSGSNTPT PKTPKQPKQPKEAKPVEGAKVGEATEKEVKEVKGDGKVAEIEEKAAGLSL L198_07639 MGPRRTTRGSKKREVVTDPEEAKPQYDLVQFPYLATLNDPQALA VTATPSTPLQILAGPGSGKTRVLTSRVAYLVQHYGYLPREIVAVTFTNKSASEMRNRL KKLLGEEKAGQLILGTFHSTCVKYLRRFGKIIDLPNNFVIADADDCKKLIANMLKERK EQLAENSMSIKEGAVLSEISNAKAKGESPEEMAVRARNDKNLSTSTLLVISQLYQEYQ VQLRESNSLDFDDLLVYALRLFTEAPRVVEDVKHILVDEFQDTNITQYELLKCFAKAH MGVSVVGDPDQSIYGWRASDIENLNKMYKDFPGVEAIYLEENYRSTGAILDAAHAVVS QDSKRIQKSLFTSHPQSTPVILKTFATPTIESSFISSEIKRLIAYSGGMLKFDDFAIL LRYNALSRVIESALQKDSVPNKIVGGHKFFERMEVKDLLAYLQLADNPGFNPAFMRVI NALRAITDGAKKDKITPMELCERITDGERLPEGIKPNVQKNLASFVGAVRKLRRVAEK GTTVSGLLKMAIEKTGYENHLRTSQQDFDSRWENVKELVSPHISYSVTVAEEQNRASE NRESRNSEDLDDIGFQRPSTAVVEAVANKAYDEEKAKEEKKLHPMFARSRSRSGSVSG SRSASVSRKTVKTKEGEDGVVELLDSEDEEDAKPKQGLESVSEEVEPKTVVKEEKVGN VEEVSADLVEELANAPENLTPLAYFLQVSMLSTDTESEEQQENSDTPRVTITTVHAAK GLEWPVVFIPAVEQGTFPSYRCTEEHEIAEERRLLYVAMTRAQCFLTLSHCNFRMMGG EDKDKQLSEFVSDVQRKLPGTFVQDLPKPDVEARKRVSTMLERPLSDEADTTAMIMKH VRAAPPMSTWDAPESDNWGGKINRFARREVTKTARSAEYWASGTDDYALPGSAGSSTS GFQTARSSMSQSRPPFSSEPKKPPPRPVNNLSANRNLPTALPFTFNTTPQQSEEASLG SFMSGSNKGLEMMAGLGGLPPAETPVSGASAAGARGTKRLGMGRPAPWGSKKSREE L198_07640 MSKKPTELEAAALTTGWGPAQQAALYHIHLAQQAEKDEEEEIKK AKKKEAKKRGELNPEGVKAGELVDGWIKGRCPALFEFLDVVAPPHLAIGIWVVLHIVY WYAAPWYYHFLIPWPSVYLTPMFCTYKSINSGKDRTLWLSYWPIVMVLEYFELLMFRD QTRTLTWWPKLKAIFCVCMYSIIYHDEKKDPKTKKVTSKTPVFGAIKFIGNFLPEPPA KKKVENGGKDNPKKPSSQDPKAKPKPSK L198_07642 MQLYELTESPESGYVKGVVRRFAQSSNDHRGTPELPGRVVTVVE APVWHRLEGKETEDGQVLADDYVWGVAYRIDPEKEPKVQAYMGEYILNGYTCHHVPVY GLSADGKQEHIVVQDSTIWIGKPDDPAFVGYEPLDKIAQTIAVRSGPSGPNKEYLYKL AESVKNLYPHVRDDYLFALENKVRTLDLVQA L198_07643 MSPTALDAPTHHDSFTLPAQQDSKVHPSARRTPEGGLIKVDSDS TIYENDGIKAKFTDRGAEVVKGPDGKLTVKKTAKEFEFFTKSKVGRVGLMLVGLGGNN GTTVLATNLANKNNISWQTKNGTQHPNYIGSLVRASTVNLGNDPETGKDVFVPISDML PMVHPNDFVIGGWDISALSMDQAMLRAKVLEWDLQRQLIPLMKDVKPLPSIYYPDFIA ANQADRADNLIPGDDKQAHLEHIRSDIRKFKADNDLDSVVVLWTANTERYADIIPGVN DTAENLLKAVKGSHEEVSPSTIFALASILEGVPFINGSPQNTFVPGCMELAEQHKAFI GGDDFKSGQTKVKSVLAEFLVNAGIKPLSISSYNHLGNNDGKNLSSQRQFRSKEISKS SVVDDMVAANPVLYKTAADLSAETGEAVKKGEHPDHIVVIKHVPAVGDSKRAIDEYYS ELLMGGRNIMNIFNECEDSLLATPLIFDLAILANLLTRVSYRQVSAIEPEAEFQPLYS VLSLLSYMLKAPLVKPGEDVVNSLNRQRNALEQFLKACLGLEHSNDLLLKTRVW L198_07644 MSTRWNWRGSRLGHNQAVRRVLRYDSDPSSPRDQRPWYGGVRAR QQGKTRSSRSVHLYRRLLPPLSAQSQSAAAMVLRKSNTVDDLGGLEAIDLAYKSTLLL GYGDEGMLMLLRSWFRDMENEQVAASSDKPDNLGAAASNPLLVTSSGLIGAALGEEDM RFSSNEAELAHELPPVAGG L198_07646 MALLPPAIVDTHAVTGPGPTDQPGIIHDDVDDKGELSGSDKDGG LDNLNYNQSVDSVELKLYEPPDTYESKHRWDPRAQWTPEEQQKVRRRLDIRVAGFACL CFMALQLDRGNISNALSDGMLTDLKMSTADYNTGMTIFYCCFLFAELPSQMLSKKLGS DVWIPIQMMTWSAVAIAQMGLQGKQSFYATRALLGLLEGGFIADTVLFLSYWYTAAEL TIRLSWFWVSLTTTSIIGSFLAAGLLEMRGIHGLAGWRWLFMIEGVLTFLIGFWAFWY LPASPTQTKKWWRPKGWFTEREEIIIVNKVLRDDPTKSDMHNREGLSINQLWYSLSDY DMWPIYLIGLVAFIIPSTVNAYFTLTLRSLKFTTFQTNMLTIPSNILFIIMNLSLAFT SKRLKERLLTSSIQPIWHLIFLIALVTLPDSANRWARWAVISLTMSYPYCHPIMVSMN SMNAGSVRTRTVSSSIYNMFVQAASLVASNIYHPSDAPYYHKGNKVLIGLTVLSIALF VFAKLWYVFRNKQRAKIWDTWTIAEKAEYLATTSQKGNKRLDFRFQH L198_07647 MTRTDERTPLLKQPIVDPLALSPTRKRLIVITAMLTGFLSTLDL TIVATCIATISSELKSSDQEAWIGTAYLWSNVTFTPLYGRLSDLLGRRGAYLQALVLF TVGTFFCGCAPSFGWLVLARFVAGMGGGGMGTVSSVLMADIFTPAERGFYQGISFAVF GAGTGLGGPIGGILTQAFGWRAAFYAQVPIAILSIFLAFLTVPNFNHEPFDWRHLKQI DFGGSLSLLISIGALLQLLSRTGGSSSLADDPFGIAMAVICPLFFLIFIFVELKLASK PVLPLSLLSRRTPLCVGIIASAIAVVNYNQMYHLPMVFEIVFKQPVSLAGAHLLPNSL AMTISAPIMGYIVKTSGRYKWLTVLNCAGPVVAMTLLTRLTPESSWASQWLSVLPMGA GFTAMLNSVERHEIATSTGFVFVWRSLGQVLGVGLSSAVFQGSLSNQLSQRFSNPAII DKLRHASHSIAELPEKWQRVQAREAYGISLRNTFLFGLGGAVLVFVTSLFIPDDVIHD PKTPVPIAPIPDEDFDEEV L198_07648 MFLDIKALVLPGLAALVATHAAAIQSKKPNIIVILTDDQDTSTL NKREYLPKINQHLVDQGVLYDNFFAPVSVCCPSRVSLLRAQYAHNHNVTFVSKPWGGW EIFNNLGYHGHTVPDFVQAAGYNTYYTGKFMNDHTDKNCESLPVSGFNSSDILSGDDT YLSADPYTYDYWTPAFSRDSKPHPPTSKEYSTSLVHEKALAYIDDGLKDDRPFFLTIA PVACHSCKFSFLLGGTKLMRYTGLSHTHKLDNISDGRIVADIPPGHPRHAAKFPVETI ERRENWNPDFPTGVSWVKHLDKLNTTHENYLDDFFRGRLRALQSVDEIVDDVVHKLEA AGELDNTYIFYTADNGYALGSHRRQPGKTLGFEEDIHVPLIARGPNIPSGYRDTLSSY GTVDLARTILDIAGAKTDYEDDGRKINLHQKGEERVEQRVARHAISEYWVLAVDEGVF GSHVRDNNTYRTLRVHDDTNDNPFSYSYSVWCTGERELYDLVEDPSQVRNLLAPLNDI APFAPFDSLTPSGNPVLHHTLQKLLNRLDALLLVLKRCSGETCHNPYVSLFPPASHYQ TGGEVWSFEQALEEKYDEYFEGLLKVRFSKCALGFQEEVEKPDWRKEWAFGATAGAVG GTGLRNEVFGDGLVFQGL L198_07649 MPVALAQQPQAYTTNPIDSLKASLSSVTVSEAPPSPKKENVFDL RSFAHFDSTPSIGTEFREWSKDGEPILSIREILDSDERIKALGRLISERGVVFFRNAI ISPEEQKELIKSLGRLGGKPATSGLHIHPCTLAGSKLGDEVSVISNQFHFDKEFKRDD DTILKRPFGPDLWHTDITFEPIPSDYATLQIRELPPVGGDTLWASAYEAYDRLSPAYQ TFLEGLTATHVGQQFIDLAKKAGTPLREPRGAPENVGQHLSAVHPVIRTNPVSGWKGL FVNKGFTKRINELTQRESDKLLEFLFEHIHANHDLIVRFRWEENSLAIWDNRSTLHSA TFDVDENKRTGTRAVSLGERPYFDPNSKSRRRDLAEREAAARQ L198_07650 MSGIPPIVDTVNVTNPPVPQAHSPSESIDDKTDLSGSEKDGVDP LNRTYNESVDSVELKFYEPPDTYESKHRWDPRAQWTIEEQEKLRRRLDIRVAAFACLC FAALQLDRGNISNALSDGMLTDLNMTTGDYNTGMTIFYCCFLFAELPSQMISKKLGSD IWIPIQMMTWSAVAIAQMGLHGKQSFYATRALLGLLEGGFIADTVLFLSYWYTAAELT IRLSWFWVSYTAITIIGAFLAAGLLEMRGIHGLAGWRWLFMIEGALTFVVGFWAFWYL PASPTQTKKWWRPKGWFTEREEIIIVNKVLRDDPTKSDMHNREGLSLKQLWFSLSDYD MWPIYLIGITAFIIPSTVQAYFTLTLTSLNFTTFQTNMLIIPSNVLFIIFNLALAFTS RKLKERLLTSSIQPIWHLVLLIALVTLSDDASRWVKWAILTLFMGYPYCHPIMVSMNS MNAGSVRTRTVSSSLYNMFVQAASLIASNIYQPSDAPYYHNGNKILIGLSVASIALFV FAKLWYVWRNKQRDKVWDTWTVAEKAEYLATTSDKGNKRLDFRFQH L198_07651 MGIKGLLPWLKKSQPQVIKNFPIRWAAPEFRGKKIAIDATLMTN RFHFATRGSPDEEQNDIICWYNMISEMRSFGVKPVAIWDQRGVRDWKAGEARKRLHSR ATQLARRNHEFMRAARVHLLADATREFNLMSPGEQEAVRAHWEATRFAFVTTADETPD PETPLPTPTQLPLPDDAPPSMAAKIKASLSKQDPQSRERIVSMIDVLSFIVNNYRDSW RPQKLTPKRLPDADGAIEVELDVLEKELQLWTEVSQQGKETNLEEWQKGIESFDQKLE DLVPVEEYTETFRQAALSKEEGDIFNLILSGPSPEPYIPSPGQATLPFVPSNEMSAVK RLEGLIHNLPVVRGAHERALDMPTQGDHVDCQALLQVMGVPVLTAPIPYEAEGLASTL AKAGLVDFVGTEDSDVLGYQAPLLRNISTSSKPLTLIDGSQLREELGLDEETYMTFLV MLGTDASENIPKVGPVTSWKLIQQYGSIDKILAEKEDIVERLGGPEGVALWMEGFRAG QKLFSDLPPIDPGWDLEGKEVDERVVEKYLEEKHGIKLVTIWEKRSLGTDEESEGAVS ESKSVLEVSKV L198_07652 MGAVMALPTAAGGTHHILPAQAFELGPTTVAGGIPGFMVLDNVW YRDRTFFYLENEDASEPVPSPDLLLTYSGSGSGTKDFERIQLEQSAATRHRRDEERSL GTDNTTILHGTTLFFNDGYDNKWSGYKWLYHMVAEALLGSLAVLSSVEPLSNDFALRA AAGEWASSPDRLAGGESEGLPDRLIVAWDQLWDARYGLPRTVAESVFGDDNLIEPDEW RDMSGDGWVYFERILLLDRSVSHRFNPLSQKWLKMALDAYQLAPSPDFFTPLRRALLS FYNIPSLTRPSPGMSVNFKRPKIVYVERQRTERRFEEQVHEDLVKRLERLEKMGEAKV GMAVLEGMEKREQFRLFADADIILGIHGNGLTHELWMPSGGIMIEILPPDDFHYDYAP VSMALGHEHLIWQNDRLFPRDMWLPQNTGNGSLIHDGSSIPLDVDSLITMVEALVKSI TFSYH L198_07653 MDSSSASSPFSTRSTLESSPEAQGANGGHGGKASGNGLVSMIMG GINGSIPQHAQQQQSPYNYQQDIKPFQQQYQNHQQHQSFPAQQDVKPFAQNPPIQGQG QIPAPMSSSRLSERINAIKSPAESTSGMSQNAPTPAGSSNHGGSRAEAPKRKHELEGT NVVAPSLDEVSQGSNGLNQILLQGDPQTADKSRVETAIRIIIDLLRFAPLHTSPPPPI SLHPVLPLGPNGEPLYAFERIATFHGLRLQAGTTTKTSSKKQLQAIGHIPKEKLAYLE TAVYMSGEGDGHGKRIYVCKRCRNREARRREAKDRNRKRAQPATSDSDSSPHPRLPRQ SLVPPSIDFVTSENPDDYDPKRAGQVVEEPSWDPDVPDWRHDIVLFNTPSEVKMEDGS CNWLPFRVVCYGKCHGEKVGFKIKFTMRTHDGRIIASALTKPIRITDDHKTDTSKPKA TKPLTNAVDGPAQAPVPRRKARPSVAGSTASVSASVARQQSPTPSESESVQSFQSLSE AGAVMQKQTPSARTKPYERPQPQVQAQGPQQAPSPVSYINNQMAGLPSANFHTTLGQL TPGNPEGIQHTHQMRSSTSPQQALPTDLDMSQFINTVSPHQLRGPQFTQQMPGNNFNV HRSSASSVASSTGASPRTNFQGLGEDMLSNNNMFNHNLFDSMRMGGNQQPNGVPGQDV NGPMLYPGHETDLANLMSSNFSHLLSSTAVDSSRNSSIAGSIDNDGASSSAASAWSGW DDRSSVFSQSGLPPGSTVVDDFEGLGDMEKYLDYPTEQQGMGQSGGLGSPARGVNFPG LPFDNLQSQYQHQPSLFPSPAVQPQAPTPPQPTITDVIPEQGPMAGGPMIAVGGTDFQ PGMVVLFGQRAAVTVFQSSGFMKCKLPPSPYAEVVDVTIQGVGSGTGAQDRKTKFAYQ DMEKDAMKLVLAIQSQYQGSSANTTQRLHQLPGQNNGHWSSNSGPSSSASAQGPSPGG NTMNEATNVTAAFSPDAEEEDENTDSQTSSMDSKSTDSMSSDLQSTLITFLASIDSHA PGSLRASGAINCRNEYDQTLLHIAVVLGFSRLVRRLILGGAEIDSQDDNGYTPLAFAA LCGKCTCARVLIEAGACYDRATNYGEMPLDLAKVGEHGKVERLLLSAVWSTVTDPAVP TPAAAPPVMTGKKQAKQGESPKSVATSLASSIDDDNPSSGSEAEVDLAEITLSVPSTR RKSKSRKSTSDGKGIKSTKKTTAAKRGDSMSAIGTDGVEADQPPPYAPPTDHSRVQPP ADDDHPSGWIKTLSNLPHLPHLPHINQIPNGVWEHLPSRPSFLGFDKTPAESSESQNG GWIVFPAPSWDTIQRMASPDEVKLFTQAMAAAALNAVVQTGVATPPSKSSRRRDVQKT LSPLGLDSDGVDGQVKRGSGNEDGEPLKSSSRGRRRRYSNGASGSGTGSGGTKIATRK SEKKENVVIQVKSDRMLYLFWLPILLFVGFWLLVSALPIATGFGLIYARKITRAIKQR M L198_07654 MPSNTLPHTITNLASSTDQPSTTASTASLQRHHRIDEEADFAIA SSTSTYAIAEEEFESECDRERLNKNWGERFRDTMSDNQVVVNTFIAGGLAGATSRTVV SPLERLKIILQVQAAQSTTGVPGQSYTGVWHSLGRMWKNEGWRGFMKGNGINVVRILP YSALQFTSYGAFKSLLSTYSGQENLSTPLRLSAGAGAGMVAVIATYPLDLVRARLSIA TANVAAHKPGSAFTAADAKLGMVGMTKKVYATEGGVRGLYRGCWATALGVSPYVSLNF YLYEHAKTVFLAPEKVENKSETELALRKLFCGALSGGGSLIFTHPFDVLRRKLQVAGM SSMGPEYKGAIDCMRHIIKTEGFWKGMYRGLTPNLIKVTPSIAVSFYTFETVRDLLAA V L198_07655 MSGLRAHFAEDPVTPPVEKVGDDEQSPFQLPPDGARTPLDEKPV PFSRPSTPTPAHDQLAFVGLGEMGKRMATNLALYLSKEGQPPLIVYNRKEDGLAHFEE YAKEKELPDSAYVVKTELEEIGRTADLVITSLAGDEAVQEVYAKLFEGQETQRGTGDG IIPGGRGRTTIFVDTSTVYPTTAGVIENIANAKPHRAFLSCPVFGVPRAAETADLILA MAGDYFAKKHAAHALVPAIGKKVIDLGSNVERAMSFKLVGNSLELGFIELLSECFTLC DQTGVGSEKLVELIKLQHKSPALIRYADRITKNKFDSTGGFNLGGGINDARNIRQLAE SHNVPMPCMDVAQQHMLSARAHGGDTMDWTALVGGQRISAGLEPFAGKVRLEKYEG L198_07656 MSTAPARSAPPPPEEDSQGASPNEILLAAAKSDNEGMLNEALEQ LEDVNEPDGLGNTALHYAIQHGSSDILETLLDHDSCNVDIKNRLQGDTPLHIAVRNRF EDQPGLRLYLVGSLLESGADTTLRNRHNEKPADILPPASASADPESDDEKVRSMLRRA QAQASIAQSGDVVDDDDGEVDPDDVASDSD L198_07657 MRAQRRVLLTGLNNHINSEYSSEHDMTAIITQRPANAPSECLTL PLDIANRIASYLLDDHAHATLAALQRCSKEYYLALSPVTYRRVDFRSVETCDLFRLGE GSKAEQVTPKKVDDAVASGAFFSLKASSFRRMVALGHIKHLTIHSLPACTSPLSKSFS SVARSSDALLLPGLESVALGAKVADEIRTYIPETYDRPHSPLFLEALIHTSRPSSLCL AHRVVPSVEWDEHLEMTSIKQYQLVARVNQFIEDGWASLKVFEVHDVVHQVLPSLKGC RNVYHFASHCVGPPEAPRFIAPGLASTFTPGPKWNFRSWQIGTAIKNLFPSGISPAAV LQNTSWEFVNHEGHILTKPENDDDDDTGVGYLEVGRLIGDALKTGLPMELAGRDGFKG ELAMEVLERMSFERGEKACESCQREYVSGLTAQ L198_07658 MSSITVSNAAQITSLCAAGFPNGMDNLVFDFRTNGNTVPGNLPK LARVFHRGLGSLPTIILQHLTPSTSASSPATSIIAKFLLDIMNSSTVRTLHIRAIVYR PSENNNVMRRLWVRKAD L198_07659 MAPATRSGPPPAPAHSGAATTDSSLTSLTDSVEAGGLSQAQSQA GPSLIPADQLSQVSHLGDPDVPQSSPNEDEATPSPLSPPAQPPIPASPPLAIPSTTAN ANAPMALPVNQSAQTEIEDHQPPPASTPQATSGSRAADHPTQLPARATSLAPSDTPSS WHSDLQILSQNQALLTRLLHSMHEQNVVRPQSIPASSTPPANDPTLQTSFERRRRLRP ADLSKFGGSDTEDVDTWLEKLTAALEHADYPESELLTNLPFLLEGKALDWFTDLGSVR RDYQTWDEWRVVFKNAFRIPDFEGVMRRKCIARRLLPFESFADYFDAKRRLQRWVYPE GTSSKDLITDMVEGIPLAMRALIKASTPPGSSLDDFRCIMLDLQPSLRSQFPSPSTKP SRPQNGGQPQRPPTDQGRQFQQPRTGPPPSACKACGEWHWREFCPLNNQRSANGSSPG FYGRQNNGQNEHQRQDGMGRNFVGSGSNGISRPSNNRYPDHQQGMRPAQSHSQGNGNF NRAPQHPRASLNVVTAHPSTSADAGSVSSGNHRAADVLPEGTPHNAETTAPSDVLDLV AEPFEHVDKTLTYAYGRFGTDKEGSALHRVIIDSGASITVLNADYADQHLAAYARHPL PANFQLSGMASLASAFYIHASMQFVDTDGQDITLEANFFLAKTESANIILGNDVLLPL GARIDLDLLLLSFRDMRGAIPITASIKEFPSQTSDEPTPVSSSTDPAPYPAFRLKNPA IVYPGHRHRAEVVVGELPPTDAYLLEPLHLGEYLHVARSVGSAASPAHFVMIIDSGKK PIVLGTHRKLGRPTPAISAKALPQANAVNHTDQTPRPWESDDPSMEDDQFPLRDIQLN PELSPDQRKAFEKVILYNKDAFGYGSRPIGSTTLATMSIPTGDAPPVSSPPYRASPQG REIIDTAMAELLEHGIIQESESPWASPAIIVQQKGKSRFCIDYRKVNSFTTPDQYPLP TVDEILSQFAGMKYFSTFDANRGFHQIPLDDDARAKSAFRTHQGLHE L198_07660 MSNRKSILDFPPYHSPITPHLLFNADIPLFLAQCLSQDCPQMLG VRVLAEREKHNIAAYRRLLARLLILVDWCDAEQSIGQSGLINHQVNFRYASRIWSEIP DDGDMAAMWPNYLADPPTPFRRRYFSPDSSPRRSASPRRHSSHRRPAPRRRSYSLSSS SSGSQSEGSSRRHSFHASPLPRTPSPPPPSPTPSPPTPPLPPTTAHVIITKVTIHLSK RDKIYLNFHYTHKGLASIARWDSPPAH L198_07661 MSADKEIYKLELLSLVAKVSQELYNHTKLQDKKLAEFVISLHEQ SKTPDAFSKKLVEIGADFPEWFVKNLDRLIVTLHPKYKRKAAKAKAAAQSGQKSSKST TIMDEQKQLQARKYPGLAMPDREAEKFVDDTPDTNVSSKTLPDSVGVDDTLAQLSSLS SRRARPSADDYMEGEPSAKRSRGDTTGRDNGYGGYGRNHGDGRDNGYGSRSGGYSGTS YGDRGRSGRSALDQKPLLYKIYDGTVTNIKDFGAFVTLDGVQRNTDGMVHVSSITSGR VNNPAEFLKRNQRVKVKVMSVSPKVGLSMKDVDQMTGADLSPHLMVMTAEEAAQEQRD LQARAAASGSNSMPLLGVPDLKVSRAKRLSSPERFEIKQLIASGAVSAADYPDLDDDF NTESNNPEIEEDIDVEVNEVEPAFLAGQTKVTLELSPVKIIKAPDGMLNRAALAGGTL AKERRDLKRLEANEEADAESRDVNQPWLDPMANQNERQFATDIKGSLMGQKATQTPAW KAANKVVSYGKITSMSIQEQRKSLPIYKLRDQLVSAIRDNQILVVVGDTGSGKTTQMA QYLAEEGFLERGKLGCTQPRKVAAVSVAKRVSEEVGCRLGAEVGYTIRFEDMTSPETK IKYMTDGMLLRELLVDPDCSKYSVLMLDEAHERTIATDVLFGLLKKACKRRPDLKLIC TSATLDAAKFAEYFWGCPIFTIPGRTYPVETLYTKEPEPDYLEASLITILQIHLMEPA GDVLLFLTGQEEIDTACEVLYERVKALGPQVPELIILPVYAALPSEMQSRIFEPAPPG ARKIVIATNIAETSITIDGIYYVIDPGFAKQNAYDPKLGMDSLIVTPISQAQARQRAG RAGRTGPGKCYRLYTEIAYRNEMLPNPIPEIQRTNLASTILTLKAMGINDLINFDFMD PPPAATMLTALEQLYALGALDDEGLLTRIGRKMADFPLDPPLSKMLIMSVDYGCSEEA LTIVAMLQAGGQVYYRPKDKQSQADAKKAKFHQPEGDLLTLLAVYNGWRDSKFSNPWC FENFIQTRAMKTAQDVRKQLIGIMDRYKHDLVSCRSNFNQVRMAICSGFFRNAAKKDP TEGYKTLVEGTPVSIHPSSALFQRPPEWCVYYELVLTAKEYMHQVTVIEPKWLSEVAP TFFRIADQNKISKRKALEKIEPLFDRFAADKDDWRLSKQKKLGRSSQTFG L198_07662 MSQPQTRKRTDRLAAPSRHSIQDSAKAQVAEVGAIVQDGITSAS WIYPILGVFYLFSHPTLIKPLLPTILKGVALSAAAVVFLFTFTYLPQVGILVFVSGPL AFILAIPLVLSEAYFVVTFFARGFLSGQVGVDLFDAVLLQKGHQTLVGNGRQVTDAGG GKAKQLGNLIRKPLARFSFDNTVRYLITLPLNFIPVVGTVFFLGYNGYKAGPGYHARY FQLKDYSKEQRQAFVHKRRGAYLTFGTMAALLNLVPFVSILMTFTTVVGAALWAADLE NNIKVTSNLRGEAHKAKTKQADEVEVVIPEENTVGAKKDL L198_07663 MPAKRRASSSISNGPTPKRLTRSAGAKVRSPRQPTDDSANPSQE SADQPADPKRPAGKSKSVRKQPVAKEALWQDIPASNPSSAGDRATLLSLPREVLDVCF GTTINLGLQMRDYVALAGVSRSFRLCLDDRVFQELYLASRPEYVDGDASGRKYVSERI FSRNLPNWSVPPPQRSFKALDRLSLSLPPHDSGSVQDKKLHSAYDQIYARCRQVYQEG KDQIARQQRERVLAKRVVKKVVVDGLSRAVLMAVPGRKNGEVEGEKDENGIPKHLSAG TSTGSGLPSLPLETDLKGTWRPWKLELQKLYRQMRQLIEDHRDMKPIHVDDILLGGAA PSPTLWIKYSPETGEKLPHDYYPSPWRTRAAEVVASKWISKSEAIRTFKVGEGEMACL KHFLVPNPLTPKFFSLAAVQALALRSHGGPIGHHDHVIKSRAKALKNIITRRAKTSTK DNSSNSPTSTRTQAPAPGLTKNANSGGRYRQPAKSIDPSDEDDSGAKYGIDKQCGSWY WRGAFFDWVFDQREQRALRPEVDNGPPDHNGSGSDGEDEGGTGGNMGIVAPPPDLSIE MPALTDGGDESDSTADTDGEWDVDDADSGPENDQ L198_07664 MLENLAPLLQQSESIDRENIFKVVGHHEGRIQTETPTMLYFQVL DGVYHATAKDLNLKDDDSHAAAFGASVPKWPAFEDSAHALERLSRLGLRLVILSNVDN TSFEGSKQKLEKGFSFDALYTAEKIGSYKPSLNNFDYALQHVKEDFGFSPEDILIVAN SKLHDIQPGHEKGLKAAWIDRQKAVMGVSAFKDVIPDFQFPSMDAFADAMEKDKGLN L198_07665 MFDEFDFAKTFPNPVEVRESLLPLLSVKPWYSSASQDFYLTNVN VVDAASGSLLEGPQTIKVSRGVIVSVEPESAAQLDDQAKVYDFSGKFVCPGLIDAHVH VTAVPGVQTMADMVRLPSDTVALRATYVLKGMLQRGFTTVRDTGGANKILSDSIKEGL IEGPRLFQCGKAISQTGGHADFSPALSGGDGGSCCGGHSQSLGRVADGVPAVLKAVRE ELKQGADFIKIMLGGGVSSEYDAIETVQYSPEEVRAITSTCSQMGKRMATAHAYTVEA INHAIDNGVQGIEHGNLMDAPTAKRMAENGVYLTPTLSCYGIMLRKPFEGFLNEEQRD KSVQVMQQGLNALRIAEEAGVTVCYGSDLLNSMHALQTEEFTVRSTVLPSATLLKHAT INPAKMLGYEGRLGVIAPGAFADILVLEKNPLEDITVLDLPEQHLLAVFKEGKLVKGG L L198_07666 MLSPIDTAAPAAPYNGLPSRTTTPTTPSMDQTPNTVDLRTPRPT TDHIGPEDIRDRDAERREQQESEGFGLGMGSGLGSASGSERSSLDMGPPLSTEKGNWK GKGKAVEADVLEHEDEDEAEFDPSLYQSYQSRLGEIAASERAGDGWSQRDELLQMANT LLPVATHQAPLLQEQIAAQQRTISDLQQQSKLSQQLIATERERHAVERKSWQTETQAI IAAKDAEASAKSGQRKVLDLDVGYHKELEAANKRLEMDNRLMAPRLVDTQRQIDRLVN ELRLLRPHVILDSKPLHSTSTEVDVKPDISAKLAVPLPRYMPQLNTSRSARTTMGDAR AEHLLLAARTIRTIRKRDTKIGRMTINELRKAGVSGPEGGLGYKEGYGEEALSETDDY SEGEDERRPSVSAKAKVKVQATPATGKIKRGVKRAAPGSSVPQTPSRPRGAAPTFPET TPGGSNFNDLLRAAEMATRPSSPAVTDPTILQSVSATRSTTRVCPDDDAERGSPKRRR GSAWGENEPHKGHAPSSSQGSASALDLLAQASQLDVAKTAPQSSPAQPIASSSRHPPR LTASSPMESSLGAPIDLTPRNGRPHLNQSSSMTDDSLDPSLQTPKGRMRGSSNASEYT PAKYLESGGPSSNNVLTTTPLSSVPPNPQAAAAAASEGGGGGPGAFASPTGRVVPGLG RYWHYTSEMPIKRVRSPYLKWTVEEDELLARAVAIHGEKWDLVSKGVPTRSYHQVRQR WLRKTGAFDKKNQSAPPSQLQNGVSYPNGTRYDPLPNEGAGASQKSASLEEEE L198_07667 MRLRTHYLWGATLVARFGGHVLAQEAPSGDLPATSSVVSSLHAV QPAPPATGEPDGPKRVMYSQKELLSWTRHERRALEWEVQIAYEGQTFFDGWNWFTEDD PSNGLVNYVGRSKAFSDGLAFWTKDGIPGIQAEHWATTDVGAHRNSVRITTKSLFEGG LFIIDMALMPWGCGVWPAFWTLGADGAWPETGEIDIIEGVQANTNNHSMPGCAINSST EDLYSGYMGNQDCDSSNVGGSGCSIISNSDSSFGMPFNEAGGGVFAMLWDNDGIRMWN WNRAQIPSDISDSTPSPSTWGLPVGTWDASICDLSSFFKAQILTLNVNICGDWIYNTW DREPLSHF L198_07668 MSDKKQNSPVPHILAILLTLISTVFLVLVVLYNVPLSSSDRSNL SGRMWLLTVKPATSSTFSSSSGGDSYGFGIWGWCSWSDGGHGDCTNDSFWKITSATED VGSLGLPKSIAQSMSTAAFLLTFNLVTTIFLLCDLLYTIHFHSHAQPRIIAPLKLLPP KKIKMRTWHAQVLRNLWACCLAVVFLLAWSLPVIVIVGVGAGDVDGSWRGKIGVGWIF TLIATILLIAVRVLLLMGGLWNNPSHSGRKK L198_07669 MAILRLAVCISGVYATFLLWAIAQERLSKPFPSIHPHPDSQPND PSEPLPGDKFPSPIFLNFAQAIASSLSALCYLVFNAWREGWNGRGMGKVLGLNDLFGG ERKEENGVFEKAGTEVAPKAKPGRKSLLALLVQVSIFQTIASPIGFMALRHISYPTMV LGKSCKLIPVLLLNVLLYRRKFSSHKYVVVALVTVGISMFMLMAEAPKKKKGGADSIQ GLVLLLVNLLIDGLTNSTQDQIFSSYPTFTGQQMMFTMALTTQIILLPLLLIPLPSHP IALLANLPLLLANTLPTSSLTFAPPAALESISFLLSHPAALQPLLAYALLGGLGQLFI FETIQHFGSLTLVMVTVTRKLFTMLLSVFVFEHKLTPGQWGGVGVVFAGIGVEAAQKR GSGAKKAEKTDKKE L198_07670 MLDRGRTEYTAHLESTRVVQAGLAADVDGLGAQEAWDDDTRRGV QEWVGDTASVWRCLRRNRYDTDKAHALLLSTLSTRLDMALHSPLPAILPYTDTPLFHV LPLPLHTDRQGRPVAVLTVREIRRDDEGRLDDVKEWMWWALEMCRRTTRDWWGGGMWD GSTNSASKGKAEGQGGEGLVLVVDAAGAGYRNLEVDLLPTLLSIGHNNFPGLLEAVYI VNARWTHQSMWSVVKHLLPKSALERVDFIDSKAALEKKFEVGRLPRALGGESDYDFAP SNNPIYTYYSHHPSYPPTIPSANTISRTSSATSIADIYYSSRNTPLSSLAPSPLMSRR NSSTGHLRRVGSGFRTGYGERLRMTKSRDERVLLEPVKEGIKKVASAPDVVAIAMPDD NAPASRHLPVFDSSTTTSAAPSPPQEKHYPHTQSSSALQRIKSISDFHLYLSPSRLAN IDLLSDSDPEDDHPPPPPVPLTRRRKFLRPALLDQDLIPPSGQVPQPQKRPSLQISGV PRHMQDLAADAGRTYSGMLQEHHAKWLKEWTGTKEELGLKDREKQIEKPEDPEETEEP VKTISVSPPAGQRPASPVMLEPPSLPTPLPSPPTTSFSIKPYSSSNPFFGYPVVQSGN SIHPRYPRRKRDLAKTLLFLLMLKLQSWRDALERSLGLNKLHMPGIFRRPSAVRRGVG PSEGLVRSMMGGSLNGNGQGKGGRQVGKIGSWDKDWWWMIIGVLLLRGTWGRLMLAPL EALGFGTGEWRGTFGAGG L198_07671 MLPGPLLCIISLVLLSGCMAAESLYSVLGVKKGASDGDLKKAYR KLSKKLHPDINPDEAAHERFIEVAKAYEVLSDSEKRSIYDKHGEQGLKQHEAQKAGGA QDPFARFFGGGGVQEQRGPGMITNLEVSLNDMYTGRTVEFQIPRRVICPHCHGSGAET EQDIHQCNHCNGQGVTVQRHQVFPGMFTNVQMACPHCAGKGKRITKQCHVCSSAKTIQ TQHTMAINIPAGAPEGFEEVFHGEADEQIGMDAGDVVVRVRSRMGEGEGFWRRKENGI LGRVTLSAAEALLGFRRELQHLDGRKIIVSRTGTTQPAEVEVIEGEGMSGYMDIPQGD MFIEYSVVFPTEVSKETRQKLQEVLKYTPPVEHDEL L198_07672 MAIAPLSLWNTLWTTLYSHAPQPPWIALSPGQPLSPRDTYPAAV QKDKHSRSGLTKTELAHKSGAGRHNWGSLRTKGDDESTGRQDGDLEVLDEPIPDEATD IFVFESEGDEFPVGRNLASDAGNDFKGLNIQQRDAKFESQGVATSPTESTGSLDSVDR PDLGRRGSSVTDQEREKARHFREGVLHKKAGRGIDLADIAKTSYGIAQSPPTNSYIGT SPSNGFHLSK L198_07673 MDAHNSTIVTIHIPRLGDVQVETSQELVVQDVVDLALIEAEEEY GEESLKVALDRAEGTNEWKGAVTGEDWTLLEIRAARGVKWWSEADISSYTDGLLEPTQ KLPLDTGFTLIQPQTPIIVILITIPTSSSLDPLKTRVPISTSSTLSLILSELENTLGL PRSTADLLSPNAPGRVSKSSTGSYAGQGAAGSPNDVLQWQVSMEHTGSYTQLALEANV FDSLKHSQNPTIQLSLDEDWLLNGSSSKGGGEEGAEAEGGTIKATAAAHVAESPSSKR RLAGLFQPLVSQAAPTAPLAVATLQGASATQDGHTDGVTNGLTEAMGETLGPAGKAAY DEDEWERLLNDLNLHGAKRDAMNAITPSRKALILSQNRRSSASSTTSPTSPSNPATFF SLSAGTNIGLTRLLPQYTGPSFASYEHGQKEGGESGEGGWAKRFSLTSFKDWTAPSAP PAAVAEAPESTPKPAPETAKEPHISAKPMEKQGTGGLWAWWTGASKPEDGSPAAFIEG LEQKRPPSVQVKHLLSLRVTLSTAKLSWIHQFLHLKGIVHLGALLEGAAKKHLEKGDV EEQIVWEAVKSLRILMNIDAGFGAVLDYPSVITSLTLSLLTPSPKLRASIADLLSGLT ILSPGEAYPVILDGLSALAQSTGKLSRFAWIVESLSRGDETDFGVWEWRMAAAGFICA LIQANEGLEERCALRGELIRCGLSGVLEVLEDLEPPEAFLLQLDAYHIDRENDEEDLR LLYLGRIRGTRASGAVEALLVALEECGADERDEDAVVEVVELLGRVVERYDDEEQNVA VRRVADQCKKLLDLPVDDSDERPESALQIEKDLSERRREKEGRSLLEAENEMLRGRIE DLEGQLAQSADAQDQHVRFLFELSSHIETSPSTSDEATSRDIQQSLIDHVLQLKSSMS DDQSHLTELGRQLTKAQEQLAGAQKQLEAKTSEDKQGSEGRSFEVAALKPGRTKARSA LKVKLPTSIQNDVLLSPRLPSQTEGAIGASESSGQSMVFPPVIVSPAPPPPPPPPPLP NASFLGVAPPPPPPPPPPPPPPPPPPPPGPSNPRHSGMPPPPPPPPPPPGLPRAGPSS MPPPPPPAPSFPGRPAQHAPQPQPPQHQKLKPFFWSKMNGPAVKDTIWTHISPNYDFD VDVDEMLEVFAVHPATEKVEKKKPAVVSILDITRSNNIGIMLKRLRLSPAQIRQAILE VDDEVLDADDLALVSRMLPTKEETERLQMFNGSVSKLSKADQYFIELSKIPHLQLRLE SLVFIRRFELSIAEILPDLMILRQAANQLNESQRFREVLRIVLALGNRLNRGTFRGNA AGFRIEDLLKMKDTRTSKGPDCPTMLHYLAKVLLNTNAKLILFAEETPAVEPAARLNL TDLASNIVSLMSSVRQATTTLTLLQSSEPLHTLLTEFLGKAKPQSANLQKIHHQVLSE LHHLLRYFGYKTSSANPFPQSVDADKGGKRDEGQGAEEFFGMISSFGRALEKAGAEMS AHMIKANMTGSTIASTSTVVSSPAPAPRQRSNEPAPSSFNNPFLQPNRADQSSARKLS ARNTLSRGELDETIKTIRGGVGRRERQEMSGGGTMGVMGRKTVNRGTIGGTLARRTGE KRRDSGKKGGGEERTRLSRLFVHDSTGQGA L198_07674 MSKQLFSFLPGGPNEVHIEYPASLYAKDPTKLPQLSPELWAHVL SFCLEPPSFQFDDYQPQRALATCLRVNTTFYIAVAPILYRSPGFFDIGNFLLGADNPV PADLASQTPGVDKDMMYLKHGNTKLPLLRHVRRITPLAWEIDMRDTADIIADQTRSIE LANTILQPIIRHQPRPPVENSPFFPHLSQIAIDPSFRGGSLGKQTEIIRTEFSDDFTT LHKSLLLSGPLPKAVCCTDHPSVPVLRALDWVDRKKGTLPRVVTIHTELKEAFDIIFG VTTRIYVDYSEHEDPETFDFLPHEGDALLPRDAVIDFFMEMFEDSSPAFGSTMTEHWE GVVENTVIEVYGLENIVDWEEEEDESDSDEDYSDESDGSDEYSAIREELDRQEGNGPN PRGLNNPSGAPVSESNPRRKYLRKLQEELLEIMGDVGGHMYLPKLRFKLGTDAPPCEA CGRGPLAGPLRATSGAKEGSEGEWTDID L198_07675 MALSRHVDADKLIQEARDHPIRKHFHDRATVYDNPYTSRYDVDV EVPRFTMPSAGVNSKTAYQLLHDELMLDGNPNMNLASFVHTWVPDEAETLITENIYKN LVDQDEYPASQAVHERCISMLSNLWHAPKGATAMGTATTGSSEAIMLGGLALKRRWQD KMKAQGKDIHKPGPNIIMGAEAQVALEKFARYFEVEARLVPIKKEGSYVMDPKDAIKY ADENTIGVFVILGSTYTGAFESVQGMAKELDAFQEKTGIDIPIHVDGASGGFVAPFAY PNYVWDFKVPRVLSINASGHKYGMSTVGVGWIIWRSAEYLPKDLIFELHYLGATDYSF NLNFSRPAHPILAQMFTFLNLGFAGYKRIMDNNLAKARLISRALEYSGYFTCLSQIHR PKGLVDDGAKPGIGETVGHIASEAVHGKAPGLDDAEYYIEGLPVVSFRFSDDFKAKYP GVKQEWIQMQLRAFGWIVPNYPLAPDCEDTEILRAVVRESLSGDLARKLVQDIIQVVE DLINDAGPSYSMSTAVRHQKREDHGNLTELVDKLHIKKNTSTYAKPC L198_07676 MSSTLAPEVLSPDNPITDQTIADLYARLPPAIAPLPLWPPQGKD LEDYTSILQGMWEIWKDVEYMDDYAPNVDEERFPRKDVRWVERGESGNESGAWAWQCD VRDQTLKTSSGLLEGKTVCLKDNMTLKGVPCLIGTSVIQDWTPSTDATVATRFLEAGG IIKGKAVCENLSLWGASCSAATGPIPNPHAAEYSVTGSSSGTSFLVATSAVDFGLGGC QGGSVRMPASAAGIVGLKPTHGLVPYTGVVSIEATLDHVGPMTRNVLGNATLLQAIAG ADGIDDRSTAGCPFPSQVPAYPELLKQGVSGLRIGIIEESLDMPLHDERVSELVVKAA EALRAQGATVEKVNVPFHVEAPKLWAASRFSASRTMLGQATGRRGLALNEYTEKLLPL TQKKVDSMHCGGYNGIMNGLWGWENKSPAIVGKATNLIRKLKDDYLAALSKYDVLITP TLPMLPRKLPAKDAGVKELMQNAAGLTGNTCPFNLTGQPALSFPVGILPTQEVDGAKL PVGMQLVGKMYDEATIYRVAYAWETAYDWKTFE L198_07677 MTITVTPVKALKPPNSNSADNVPRPLTKEELWSAWELCPFPPYL QVAEDDFDIPTRYPSLRLTYELVPPAIAAENHAIRLFQVHIRDQILRFCTPNADLGEW LRSFLSWRNGREGRRRPREDSLGLSMAEDHWVQGAVSAFRSGDYKTALWKYATKWSYI LPFHTDAFPSGHPLGQKIGEFESSLFNHLSACFFQLSQDGDEGEEDEILRYFVDMSVK CAWVTIHHRQFATVRSVYEATRRIADLFNQHFHDQDFSSSSSSSSIGENDSSIDNDFY VQALALEDVDKDIILGNLGDEKKTVLGSLGPVHWESSRGEAGDFLEMFPHWDRNQSGK EDARMVPESLSHDGLWSAWALTPSLADNDNAAIICTAQLHLMEVLYIASHTSHHGPDF QTWLEKLKVVDTASVSLAERVDRADRRRVAAMKQVSSSSLPHTTNADHRNFSCINTGD HQTALAEYAEGLGELLPFHLNVLTQEQVDGTYFGWIQATLWNGICTICLALSESPSGV AKEKLWKRLAFMSGSFAWGWKENTTLPVVMSICKNLLSTLPRQTDVPSSLDPPLLHLK STFERQVEQQCEMVKKGEKRQPEQYKITSTDGQFLADYGALGPGSWIDELRGMKGKVF LQ L198_07678 MSPISTTVTFLKWLTAAVAIITPAIAGAFTSRVVHAFKGTSYPA EYPAAQAIMTGSILASVYFLSYGLFVVKFPDSLYVSVIADVASITALFIFFLISSAAL SLDASLFARFSQTQFCQPYRDGGSWCQYATATVALGWFITGFLLIILILEVALTLDVY DAEYSAWRRRFGELFGDQEYRPTRRGREASAEEGRLMQELSRFK L198_07679 MAARSKLLALLPFLALSQAATSEEWRSRSIYQIVTDRFASPSDS ASCPLGSTTYCGGTWQGIISKLDYVQSLGFDAVWISPTALNVEGSTEYGEAYHGYWTS DPTQLNSHFGNETDLKALSSALHSRGMYLMADIALNALSSASSTLSADSLSAANDGTL LFKDPSNYHDRCDIDYSDEDSIRQCWLTTGDDNGAVAMMDLKTEDDTVAGVLKDWIKE YAENYDIDGLRVDASRHMGTDFQHDLCAAAGIFCIGEIFEDNTSYVAGFQGDGALDAT LGFPMKTGLIDTFTGSDTTSTLAGYISDAAQYYADPTVIGTFLDNHDLPRVNSLTDDT TLVWNALVGQFLYGGIPIVYQGTEQDIADGPGDPQNREALWNYNDYSTSGETYGRIKN LNKIRSGLGGADSSFYETVGEVLAQQDSDIAIKRGNALAVLTKRGGSGTGTWTVSGAD FGNSASIVDLLSCDWSTTSDSGDITITWTAGQPFVYVASDVASQAGLCQ L198_07680 MAAVLKLLALLPFLALSQAATSAEWRSRSIYQIITDRFAPSSSS TSCSLGSTSYCGGTWQTIIDKLDYVQSLGFDAVWISPTALGVEGSTEYGEGYHGYWTS NPTKLNPHFGNETDLKALSSALHGRGMYLMTDIAINALSSTSYTLTSGSLSAANDGTL LFKDPANYHERCQIDYSDEVSIRHCWLAAGDNNGAVAMMDLKTEDDTVAGVLKDWAKD YVDNYDIDGFRVDASRHMGKNFQHDLCEAAGTFCIGEIFDYTTSYVSQFQGDNALDAT LGYPMKNGLVETFTGSSTTSTLAHTISNAAQYYADPTVIGTFLDNHDLPRVNSLTDDK TLVWNALVGQFLYGGIPIVYQGTEQDIADGPGDPQNREALWNYNDYSTSGETFGRIKN LNKIRSGLGGADSSFYETVGEVLAQQDSDIAIKRGNALAVLTKRGGSGTGTWTVGGAN FGNSASIVDLISCDTFTTSSSGDITVTWTAGEPFVFVTSDVASQAGLCH L198_07681 MPLQNSPIPLRPNQRIHTTAPTLRRGKTLSDKLIEARDDNTLSH EREMRKKKSFGREVGIGVAFFHEDTVESIERFITPFKPKDDDEDDIADAATNEKNARN GANSKITPPAPEPTVTDDVPAPAASDQHAPTPFPTIPSLILPPKPSRTLPAGEERAKI GHRKKLSREIEEAREEDRLREEELFKEKMEALTTVDHDSVKSSDEVNDPFSTTASRGS VTPSSALSEAATIHIDNENSESVYTPPESAFKDRDETMAQMRKEMAAIREAKKLKLQG FPLDDDISFTPKQTVEARIMHDTNHAPGRNIFDPTNPIPPKPLPIDTPIEANDPAFTG QSTDKWSYLPDTAKVLASKILGVSGLGSFWGEKMVEDGGAIVVDERDGKPPADKTKKT L198_07682 MSGYFRSRLAPSLLQDSYRPFARGHSIATGYGTRLGGYAPSYLN SGSYLGRGAAVIDGAYSRYGTGYGSYGGYGYGGLDGFVGRELIAPTEVINTTVRAIAA PTIVERTREIPVPVIERHIENVHHHHHHDRVVERIVREPVHVPITERVSESHSHVNHH HGSTYPGVHTFPGHSHVHGHVHGFQGGLNATSWAGWSDRFRSLGSDFALPHLDRFPEL FHTSHFRDTLLEYNNRYNLLPPSSIDRVLSLSPASLGEYIVSHGHEFGSFTDGGELIL RGHPERPEYTLALLAYYGASRRLGQLSFPVRFAYGDSHVWSKLPTKFQSWLPTQLRPY PQPQYAYPVYDNHR L198_07683 MADEESRILQRFKDKEAASIKSTKFPKHFSEKVDLRKVNIAVLR PWIAEKVTELIKIEDDIVVEYAFGMLEDRENPTPDPKKMQVSLIGFMDKFGAAAFMDQ LWKLLLSAQKTVGGVPAEFIEAKKAELQKRQQEESSRGPPARPQAADYFDGPPASGGG GDRRYPDRRDVPPPRYRDEYPRDGGGGGYRGDRGDGGWGSGRGGGCGRGGYGGRGGRD DGYGRRGGGGREFENRPRDNGYGNRGAPGPGPRDRSRSPARNTGYDKPPPRDFDRRPP PHRRSPSPHRRRRPTPPSRPSPPRRGRDSATPPLRGGAERGRSRSITPLSKGRSPSPP PRRRRSLSASRSRSRSPPRRRRPSYTPSPSRSRSPPRRRAPPPKRRSPSVSRSRSGSR TRSHSPPPKRRRDYTPTPSRSPSPRPRRRSPSPRRPRDRSLSRSRTRSRSRSRTPERR GSKGMSIKGKGRQE L198_07684 MSFSDDGLDPLPTEFDPDRVPSPPVSPPRPPAPQPQEDAPVIPS DEEMEVPEEEEEGRYAAEEDEGEGGMELMGYLQDLIDDGEEEQPEQAGPPAEREAAPS SSPLSDLPDLPDEIDFPFTRRSPTPPASVSSAAPSEVIPHAESSASAAQRAATPSQYE TAPAASKSNDTTQSVNPSAGIKRARAQLDIIATSESVRGINRPKSNGSQSATDTGDED RHSKKAKSDKKPRHTKKALDPTGTGLKVKGPEKVPTRAKVITEAQRKKILKGQTIEVQ MSPCQRPIYAAWGKCTQCISKVSGDMCRFRDFRVFPIDPVTTAITGPGYFTDNPWPQP LTPLPTRFSSEFSPAIISATEHTVAPILLPLITSESRHIHTHPGTLHRGIDTAKHRSV CDFCSSTIFGGWWFCKSCGRDYCLECERYFPDSVEGMKESPWPLADAARPRLLKCIAQ PPRATQNGKDAGKDGGKPEKKGKELAWHVRGDLQAVSRFEKDEIKEHWLSLSEYVLAG KDSDKLDLAGKLRVMGLRGDGEATGLVKEWIEKAEKAPEPEKEKGSEEDEEVRDLDDI FKEMNDDPPRQPTPPPKSPSAAKPPKYVYTETCHPASHVLDPLTSSDPTPVAHLDPPD PAGIPNLPYMYLPAPNLTDPLFDELWAKGEPIIVDGIGSRMGEWGPDRFREMFGSEKC SVVDCQSNDPQDSTIDQFFAKFGEEGEKRGNKILKLKDWPPGDEFANTHPTLYHDFSR ALPAPDWTRRDGVSNLYSHFPPGPTRPDIGPKMYAAFAADEGPGGFGSTRLHMDVADA INIMLYAAPSKEIKPGCAVWDLYPASAADKIRDFLKSKFDKTHSFIDPIHSQRFYLDS DLRKELFEKKGISGWRVWQYPGQAVFIPAGCAHQVCNLSDSIKIAIDFVSPHNVPRCQ RLTRDFRRENYMKAWKEDVLQLYNVLWFAWMSCREARVRRVQEEKDREVREKEREERS RGLRDGLSSQNSRSRERPAPFSACPSSGPLSPGFAMGGMGMGIGLRGWGEDTTTAFRP NFSSVRDEPVRSVNISSLRDEPIWNGNTSSARDEPVRGAPGLAFAGESSLRGAAPVTT SGVNGKEVEVTATLASALGDKANPPASASTPNASKKTTPGDVVSHDAPGKAAKRQLAE NLFNLTLNHHDPNPAEVFLASSAYIKSRKAFGLDQMGQANAGLGKGTPVGGPAAARAT RSQPNQPLTAPLNDLTRVSSIPSSSTRPPSRSKNKTPKAIRSAYDDFLAQSKNEGLGA DEENLAELAGLGVWDRLRDTGDRGSTPILTVNGNSESIPQTIPLDALLQNQAPSGTAE TAMSGEAAEAETELGLIAEHDGYGDAPDLDLGEQERIDLMAQIQSLNENGDDDDDIEM DGDGPY L198_07685 MQLVLPDSICSRSDWGVGEDTNVFERLPITMIEFVISPGHPQVQ FEVDRDPDNDSVNVRITDVGEDIDFLETEVTCFHPVEGLTQPGLDSETGLLYHAGDFY VSKENMTLGSKLFADKTRLDQIDHEKLEGHASVYDNGVAVGPWPKFLRNISLTRTTRT GDDVSLDLKALYIPSQKNVTRPAATDNYSHVTIRLDDTDVCSDARFLVPAKLSDYTPM EADVAGRTGPSFTEASMWSGITV L198_07686 MAPSFPLTPGNSLDRSTAPPIPPHPFKLQNPSVTLPPISDLIQY TPSPLPRSSGPVPTVLPLPRPTNADPTLPSISSFHFDPPTNHDASYPVSSSPRAVRLP ASSPAPGPAPVHHYAQQAAPAPAPAPAYMQGPPPLVGVSFAGIEGEDPIKFLDHVETA IDGNPYFHQLPSEWTTRWVRMHTSGTAKKWADKHCHLSWEEFRAQFRARFVVEKSQRE LWQEWRAVRRVQPGFSSGGGGIRVPFGLEDGPVVRRTR L198_07687 MSEQLQPTQEQAMQARQESVNEQRRDVALGPLRSHRVPLPNELA ELTPEEQPGGKHEKGDNTLSINIALDLLVEVHLTARVKGDVTIGLL L198_07688 MKSPELLELTASEPLSLEEEYEMQRKWLLDEDKLTFILLARPSP PSDSSTDSSRYILLPEEIQKCRMVGDVNLFLPDGRESEGECEIMIASKEDRRKGYAVE ALSLFLKYTTSSLHLPAPQLLSRIGSENIPSIRLFKKLGFGVVKYVKVFDEVEMRFGQ GDVEGLDLDFGVVEKGKEVDWEGVALEGRVGVYEAGV L198_07689 MTSIGTGYDLSVSTYSPDGRLFQVEYAGKAVEAAGVAVGLRCKD GILLATERILHSKLLVKGANRRIASVDEHIGMAGAGLLADGKYLASRARDESGNFRET YGRGISVKILADRLSAFLQAYTSYGSVRPFGLSALIAGVDTASKTPLPQLYCVEPSGV YYGYKATAVGKGKALAKTELEKIVKREEEGEAISVKEGIDELARIVYLVHDENKDKDF ELEMTWVCAESGWKHALVPEDLLKTAEEKAKAALEEGMEED L198_07690 MAASTKSRIYAPLPFVPQSSLSEPKPAHSTDSALQLSITHHSTW LLVSGILVVEQDVSPSGKNSLRSVYHLCKRCSELTCYANADKPTDCIAPKDDYLECLH NTKEIARAKEVKAHFIQKELHSGSDARKAAEKAATGVVVSLGLVEGEEGK L198_07691 MLHQDPGPSSTSKGKERATGMTINTPLEESIWPPSRESDRWTAS EIWKKSRERAKSDRTSWDYAVLSSGVAGGIAGCVAKTSIAPLDRVKILFQTSNAEFRQ YAGTPLGMLHATKVIYKESGIRGLFQGHSATLLRIFPYAGIKYMLYDWLERKLIKTPD QRTPARFFLAGSLSGVTSVLCTYPLELIRVRLAYQTKSSGRTSLTQVVKAIYHEADIP PSAKKSNSVSPFIRNLPLYPFYRGFSMTIIGMVPYAGVGFLTYGTLKRHAAEYVPYFH QHPTARDLACGAVAGAVSQTASYPFEVIRRRMQVGGTLGNGGISWREAVSKVYNARGW RGFYVGLSIGFVKVIPMSSISFATWQAMKRLMEL L198_07692 MADYTPLDANGVPLRTLSQRATPSSAMPRLPVDPPETTTPSSKM SRNNKKKKRVSDLQGGREEEEALLGGSGLGGEAAEEDHSKPRISPKSKGRIVPLQPTS SKSSYPANVVRNQKYSIITFVPIVFYEQFKFFFNFYFLVVALSQFIPALKIGYIVTYV APLAFVLAVTMGKEAYDDYLRFKRDQEANSTRYLVLLPQPPTPEPNQFTLEDETPNHR HLPRPQTRSTPASSIKVGDMVLLEKNQRVPADMVLLTTSEEEGTCFIRTDQLDGETDW KLKVAVGDTQKMGEAFVGSAEGFLYADPPIKDIHTFYGVLTLRSMGPGEVDEAEIPLS VENVLWANTVLAAGSAVGVVTYTGKETRAVMNTSEAGTKMGTLEKEVNRMAKILCTVT FALSLFLVALNGFRGQWYIYVFRFLILFSSIIPISLRVNLDMGKTVYAHQIHTDKEIE GTVVRTGTLPEELGRVEYLLSDKTGTLTRNEMELKKLHMGTLVFGFDSMDEVAHLLIA GLDEASGSHGRQGSLPSAGIRGRRDMTGRVRDAVMALATCHNVTPVLNDDGTTIYQAS SPDEVAIVEWTQSVGLTLTHRDRTSMTLSTPSGQTFKFSILAIFPFTSESKRMGIIVR DEQTQGYLFVQKGADVVMREIVQKNDWLDEECGNMAREGLRTLVLGRKKLSGKGYAAF DEAYKAALLVPGEGRAVQMAKVIATHLESDVELLALTGVEDKLQEDVKPTLELLRNAG LKIWMLTGDKIETATNIAVSSKLVARGQYIHQVAKLRTADEVRDMLDFLQTKLDCCLV IDGESLQLCLDRFRTEFIVLATRLPIVVACRCSPTQKADVAFLIREYTKKTVCCIGDG GNDVSMIQAADVGVGIVGKEGKQASLAADFSINQFSHLTKLLLWHGRNSYKRSAKLSQ FVIHRGLIIAVIQAVFSSIFFFAPIALYQGWLQVGYATLYTMAPVFSLVLDRDVNEDL ALLYPELYKELTKGRSLSYKTFFTWLTISVYQGGIIMLLSLLLFESEFLHIVAISFTA LVINELIMVALEVTTWHSYMVLSEVGTGAVYFGSMAFLPEYFDMGFVLSKTFAVKVVI IVAVSSFPLYVIKAAHQRLNPAAYKKVAGL L198_07693 MERHPLTALHGNQPPSPHASTFDNASRKRTKLAPIFARNKRAQP SSSPLSGQENATPSNSQGPRRVVDRSSPLSPTRFHIRNDAPSSLHSASTTEDSSDEED LPSSVFGGHRRKIQHYFTPSTSSSSRPQEKERRVEPLAVVEPAVESSAWKRRRRRLGV NSRGTGLDAINLIPPQPPYLRELWHSLAPYHPEEPPSSLFLPSIHPPNGIARDYSPPL TITFSHTAKRYTSHEARGQGLRRLIAVGNEEGGLRIVDFDEGLGMHREEKGWWWRAHS NTVFDLKWSADDTRILTGSGDGTSRIHALTTPTPTLLATLRGHTASVKTVTFLDPTRS AEPSMSSSIVASSGRDGNILIFDVRTKGRMVEGSDDGRGSREGSRDRYMNGVPGWAAQ TGGDVLDPVMTIKSAHGDRRTSSRSATRSVTSLLALNSIPGTLASGGSFDGIVKLWDL RFPAPTPRSPNPRPSCTSSGVLPDCTLSGDSPSKRPRSINAMVESPSTGDIYALCGDS KVHVIRPSAALSPEEMAEATLDQVAEAIQPQKFTHPDLLINSFYIRLSISPDGRYLAT SSPRSGQLAWDTQSPNVAAETYGWKGREVGAMDWGKEVLAVSGDDCGAHLYRYKPEVG RFSRGEKGFEELLRGQDWMGASRQ L198_07694 MSSLALPAMHHTAHTPSPHPPPPPAFPNSSRVRKRSTPPLEEDS DDEDEDDKRRGPGPNKKKASGAGAWGWDDMGDYKYMVEIRQMMFVFGEVQTPLSETVK LVEDIVRSQIIEIVTRARLLTHLRSSRFLSPEDLIFLIRDDRAKVNRLRTYLSWKDVR KRAKEEAERAGQGEDVDLEEGGGGEDDKGLKGRRQMVKLPWELLTPFTAFLRSIPGKE EDEEEDDDELQAYEDSMQRLKEADEITKKMTKGEYAQYSECRQASFTYRRARRFREFI NFGAYLDVRPNDDIVDILGFLAFEMVRSLCVTALDLRERLEKTKVTGAAGAGGVAMEK KTSSNGGDPQSSPTKPPAPLSSPIKEPSDLPASDEPPKPAHPPNPPSLFAPPPSARQP LQPCHILEAFGQIQRAQALKRVGGMGKFRGGVVGRRRMALV L198_07695 MAFPPSVHHLHLPRTKRHHRAFQEGSQEDELDPTQPSQSQLRKG KGKNSVYKDDQRGGITDDVGVGGAVGGFGKQAGVRLDRDKRGGWGWNWSLEDPKGGTK EGRWSTGKAATYFPGTISTETEGMGMPFEDVLQSSVQYAESLCLPYERDGLRDVITEI LTEDFPSAGPSGTSKQPGWPHLDLDLNPEMNKKRDVYRGARVVVVQNPKGRPARSFVA FPTGKVGHQLRDATALIVRLQTTTHLLNIHPLSSTPSPFSSTRTAQLSYVDTESRKHI NIALDAEIWSRALVVDEGGGVWLWWEEKETKGGRLEKVMKLRKVREAVEEEEEEGERF WGVAFGTRPGTAIVVSAREATIIDIDDPDHPTRSLMTLNGPTRRFLGIERTAAARGSK WTVMCTTHEVFWIDETGKGVPSLGWKHGYEGADGMEIIVLPGVNKGECTTLLYSASDS FITVFTTPKSGPLRFIGQPYALSLNLPPESIPTTLTSLSISPNRHLSSLLGLSDDGTL SYIPLSATTPLPPSAEKQKPLEPIPTSWDDTVSELAKKDDRNEFGAGDGWEEKAKVGF RELNLRWAWLAIGKDDDGSDSGENSEAEGLDFAQADIEEEDEDADVQMMSDGEEGQWD DDESEVAEERWFVPELFERYLRETEAPVESVMTVAELARDSLVPFSEPERAENGQEKE KEGKVSKILPIPLHPSSIRPTLEALGKIDFSKHLTSLLDLQSFLPALASAQPPLSKDD IDPVTLYDSLCEAFPPQSARDKHATAQLVLSLFLFSKVISPEDFLPIPKSAHDQALED EQAEVQRRDEVEQFVQAASRLTLDESGPGRIQFNVLQPRLTLRGEDAGNGEEEWADEE GEEAERAAVEADLQSRSAKALMEGWKVGEDPATWVWEDWNLDQSHTTPFPRATQSSTS QRAPPLPTIQTARPIRPLPSSRTLSNLALPSPNTPEPHQFSHLVPPTLSTSHSLPSLS RMPAQARSSPPPGWDGGGSQELSGAGQIQSQSQSQGAEWASTQVERGKFGGKLEKKKK SKKRLGGF L198_01748 MLPETKGTDELPAAHPTSSMAQQRKTVGNSSQAACKQYAAQMKK DVLGADEEQKAMRKKEGVMRIQALPAAVVHRSSKSTAKAFQAKLWELPDKMTLYPSDQ NLEQVLDEALSQVKSSWSALDGALLMKRTGSRPDLQNTNSTGPNKCTENWQLFFCQVQ LPSN L198_01749 MTDLYRRLALVQGCFAKVPVLEGERNWREWEDGIYGALRQFQGL ALLHTAPPPDTSTEKVTTPVSSDLSDDHKSPNTMVAAAILQCLSRSLTKSYAPIVDDT ASYKTYHIYSALRGYLHLQVRDFISFLPSESDDLLDYMETLQQKHASLSQLEMYSEMM LVFQLLYALPSRHHVWRNQLWATSAFRSTISKLLSATWSLPTAGALISQSG L198_01750 MAMSYWWVMDSISQEDRWNLQRPIPTNHKPEPIPLVPPILSVMD DTIILAPNINALQKIVPTKKPRLLVQLKGPSHSRNGHLPDVDENDTSENQFDQEADPL SDQIILLTEETEEESQSQPSSNSQDDETTSNRNNSEHRQHTYVAPWLFTRSTSGYTML IQAQARNGQLLTAQRREQRFGEAVTDMHHHQPHMGTLGEDQASTSNKDNGHND L198_01751 MSPLPEILFDVARNPVLAVGLPIGLGAASGYITGQSSRSNWFTT MTPPPGNPPKEVFGPVWTVLYGLMGYASHLAVKSFDAAITPSGTHESGVALQLYYAQL GLNLIWSPIFFGLKQKEIALGNLLALTGTVGAMTAKMYNINTNTLWFLAPYCAWLGYA TYLNAGYIFFNRKRA L198_01752 MSRRPDLGYIDQNAEEVSVAPAPDDLTGAATAEQDDQSSGSSVE EESEGEPFPDIEYEVRQGHIDPFDYEEDEEDDDWDVEGEDWELANGDFTKQYNRVRQQ HAAGSGSVPLPARNAPQKSVPAKTKTQGNNISLKTGVATNPKAAQDKHDKDKSDRATQ DQVLDSRTRLVLAGLVNRGVIGKIDRCISTGKEANVYFSPPSRVVKIYRTSILVFRSR QNYIVGEQRFKGEYTSSKNPRKMIRVWAEKELRNLKRLAQGGVRAPVVHECKENVLVM DYLGVGEEAAPRLKDAELLTESLPGLYAELMVATRRMYQRCHLVHADLSEYNILVHEG HLVIIDVSQSVEHDHPNAFDFLRSDLSNVEEFFGRRGTSTLGLRRSWEFVVTENIGLS PEEEVGDDGEKRLAAIVAEWATEPSDKADDAVFMESYIPRTLAEVYDAERDVDVLKSG GGDDLIYAGITGLKLSPTPNPPTKNVRFEDGIAAGASEDEGEGGNSEDEDEDGDKKPR GFRHEDRDSKKASAFRHRSGTWLTSILQERKKAVKEEQREKRKTKMPKQEKNRLMKKS SKR L198_01753 MPAAATLTIPQTPSRSRSASQPNVASIPEDAALDTTPRRLAARS ATVRSHSSNNVRAPNPFQPSPNADSPSLRASKGRARSSSLVTVTEVGGDDPDNVVDRL GAGNNENAAWVNAPGAWLIHPVLICCGKLLIDAIPGMTHDVSWTIVNLSYITFSFIMF HHVTGLPFESTMSTSGAYDDLTLWEQIDSGAQYTPAKKWLTSVPILLFLISTHYTRYD YVLFALNFAALIFVLFPKLPILHRLRFHFTVNNATDIPSTPNPSRPPSPSIHRSITAR L198_01754 MLRLLPPRAAARVPAAARAYATAPRQDLPPKRPRFQPPRTRSST NRKPRLPWVLKTLTEYKAENRVPSPLAYINIIEAASEFACSHSVDGGHSEGIGFQVAM AAWEDARRGGIELGQKGLDAMMGFAAVYPHLLPSLLLYNQDRQTPTYNAIARVAQTNY ELEEIVRVVDEMFKQGLSPNSATIRHTVRLACEWGHPRLGLQIAQKAESESTFGFRLD PQSWVHILIASADNHYLHGIETAWERVRTSYTPDEGLIISILNTAGRWGRPDISSDFL GLLPGPPQEHHLAPLLEAFCNAGEVPNAFQVLSTIRDAGLAPSLATAQPIVAVLQNTE VIDQAFYTLEDMHSAGQAIDVVALNAIIEASVKVGDLQRARATQMAAGDLAVSCNIDS FNLVLQGCVDSKLRTLGDTLLSEMTVDGITPNATTYELVIELCATQPSYEDAFYYLEK MKGEGFKPSSSIYEVLVRKCVKMNDRRWSMVVDEMRAVGHKVDTSLLAFINSGGSDDR KRDPARERRAKDQFTGSKRRSWQTSGADKSKGQ L198_01755 MSAVSEQIAPDEVTQQLETLQIDPALPRQSQGKQPQGGQRSPRQ AASANASHTQPYQPYAQAYTRYPQQYQNPYPSYVPYSPYGPEQLGWRQPDSEQPSPIN PSNPTFGVWSSPPVSPAVGAPPPFLNQQSRHGSFDAAGMPGGSPVYFDSRSSFGGPGP AWPYPAAPSHFGYYPSYHPSSSPLEPNMAQPSNWSGSPATPYGPGPNHNRVSVSSASR PSPTGGDSKEPERKPYHPQPPSRRSDWVMWVGNVPSNTSHEELWHFFNMTVPITDPIT DTAPWRGPSSIFLISRSSCAFVNLSSQAELDRAVAFFNGKPLRPWDARCPRMVCRVRR KDDDLKSGVGAQRGTGIHRDWVKKEQEKQQVAPQGLEPIATAEGQVPAVSLANPAILE LSEEGRKRDSSGSEDGKKASGSYASTTSSFLIKHFPRRVFIVKSLTTAELEESVRTGT WRTQRHNEPILDQAFRTSQSVILIFGANRAGEFFGYARMVEPIDKERAKRQQSSISSS APMRSGTQESSFFLTPQQSRITVLSPGELHSREDSYFHPIHRNTDPPKIPNHAAGSNS MSLQVLDETRAHTFDPKTLQRDYFPSVTLAMAQEGGDVPKQSSLTVVSEPQSVEDLQR KETLPPPEENAKREKQNAQEEFSDDGWGHAFRIEWVKVAPLPFNKTRHLRNPWNADRE VKVSRDGTEIEPTVGLQLVAEWDKPEIAPRLPSNGSNPSSSNR L198_01756 MSRPRSDLVDQLKSLGITERTALFALSKSNNDMGKARKYGELHE TTSGPSFGETSAVLQHLC L198_01757 MAPLHRSFSLPTGNYVSRLKALSGQAAKDEVSNDKDQPSIQSLE TPPSSFPGAPLHSAPLSDRRPALSSMRRASHHSHTPEPASAQDPGSAKQLVSPSSKKA SPLLGSLKSWGKGSLRRRSVAVDVTVQEGKGLQLERTSSAQSNGTTCTAGTDRTDGTE RRGRSRKAKSVIVHIPEKKEELTDTVPPPLPPNTYPPTSIPPPPLTPTHFDSQASDLL MGAINRGRPGSTTSSPNASSTDLRKSTSSTGGALAALGLRAAALGTANMAPPRSPEKA ADSTPVATPAATLTPRASYLQASKPVGSGDRSSKNRAPSPFFRARRARDQARARDTSP EVGALKKDTNYPESEPESVAVTKKFRPQVSAYEDGDVDSNVSDDGVTEAETELDSEDD FSGTSDFDEEGILDEDGEVIFDEETEKNTEANAVFYEGDAGGLGGRSATDEIDDKPRD DSASQVLDYYGEEVEQDPLGEGPNVVVPPQSLFWTNPSSRQKKTSKGGLQMETSRPVF ARDRCTITLTQGNPDGALEDSGKRMRRYVVLSDLSEESRYAVEWAIGTVARDGDEIFV ISVKEDESKIDPKNWGNNDRAQKMRIQKERQTTALLLVKQVNSLLQRTRLQITVTCQF LHAKNSRHMLLDLIDFLEPTMVIVGSRGLGKLQGILLGSTSHYLVQKSSVPVMVARRR LLRPLRRTNPANLRHSPRVSLASASIEKAASSKQEDDVVDVAEEEGTTDEVVIAKEKK GQQQ L198_01758 MATASDTNMNLIAILSGTALVISFHHTYKFDKCKCLIPKAHDWF RALLTWMLLCSNMCLFAWAAGYCWVKYKLKWVYTEEYGAIPYPPTLYTEQYRLLNTPL MIVFNIAFSLQTSLNAEEGLYWYHLMRAVRQPKSARSWLTSPYFYAWIVISVVSTTLQ CGIGWIHKGSELDLVHQMSVVMTVDGAVELIVMSAASIVIWKFPAFLDTVKASGAGPE VRSRLHFYQEANKVRTFFRFIYSTGMIVLGVDGLSDKQLISNTPIASDIVSQLVFGSF FFILIISIVLYLPRSWAPPGTQHNNVMVGRAVQQPDHHQLASGVALMSLLREGGQWDD EAMKNMTLAPTSPYNLDNPKLYGSQDPLTAKESDWELKGDSGVEIPNVLENFTSPIAV QTKENYPVELRIHVEQEVHEDRGESYV L198_01759 MPHHFATRAIHVGSNPDPATGAVVPTLSVATTFKQDGINKLRGF DYSRSGNPTRAALEELLTSLETAPASKTSPDARQDASGGDSFVFSSGSAATAAVGIWA SLSKAEGGAGGADGNGGGGHVLAVNDYGGTARFFSRAAGPTKLEVTYLDMIEAGEAGI RAAIRPDTRLVWLEVPTNPTLLIHPLPLVSRIVKSLPAETRPLIIVDTTFLSAFNFTP LVADSPDEEPLADIAYSSLSKYASGHSDIILGSVTVSPQTAMIRPELIKSLRFIQNSF GACPSPHDCHLLIRSLKTLDARMIKHGLNALRIASYLSKHPQVDSVKYPGFKEDIGFA DIQKLLSASLKRQLEFLGWSLPWSDPSEKQVEGLNPDSLAYVRTLGIPFGGVVTFTLK NGSLETAETFCTSLKIVTLAESLGGVESLIEVPSGMTHASLPQATLDKLGITPSLVRF SVGIEDYDDLVEDLEAAFAAVKG L198_01760 MAKWPRNLLECLDDSGKLEVEVFEVDFHSLAGLWDDVAMPVASK PEMGLEWVPAYVVVYRKLLNWSSSTTTLLVRREKA L198_01761 MVRYASAHISAGNPEKFAQARGEYLPTHFKNMREVAAALSGMNL KKAYTYLADVQEHKQVIPFRRFAGGIGRASQAKQFKTTKGRWPEKSVKFILRLLKNAE SNADAKDLDVEEVLIKNIVVQQAPKTRRRTYRAHGRINPYQGHPCHVEIILSTPSSEV PRAKDLDTVSSKKSKTVPAIEA L198_01762 MSSSGPPADAKKAQQAALQDIEAARFKKRTIDSNLAKENLYLFE GSYLDESAASGGNIIKGFDNYLKPNTAHTHKKKLEVTEADRLFSNSSATFQQVTSIRC V L198_01763 MVLKMGVSTLVAGMFLTGCANSLLSKYQDMECVEQCDTSTPIAF EQPVWQTLNMFIGEFGCCLPLLWSYYHGREKKTSSGPQASVFSRIVARLPLGSGPTTQ PEGYSRVDVHLEEDSEAEDEDAGHFLQGWRMCWMWFPAFFDICGTTLMNVGLILTPVS IFQMSRGALVLWVGVMSVIFLRRHLYLYQWSALIIVTLGVCLVGLSGSLVKGAVSDPV DFVHTLSTAPANDPARVAVGVMLILFAQIFTACQYVVEEKIMSRYRVDPMKAVTLEGF FGLTTTLLAMPFLHLFFHDKSPYFDIPRGWQQIISTPTVLYSCFAIMLSIGSFNFFGL SVTSSVSATTRSTVDTCRTLGIWVVSLALGWEALVWPFSLLQVTGFAMLVYGTFVFNG LLKPIIFPPPPSIHLPHEPELEETGEVPAAGAQGRAGYDVVPENEHRA L198_01764 MRPLSAAALRPLARSTTRLTPCPLPSHARPFFGLFKKSTPAPAA PQAPLLAEDDLFHPLSHSPFPALVEKADRIKSVSLCPTSFEKHHERVRPAFDCPDCGW PTHKDQERWEEGREEHKEYCGRLREVNEDEHDLRSERKMVEFENMPEQQPYESAVNFA SWDTLFFTRNYPSIDSDRSVRHVSKILTYPMTIAGILHQNGPFTSGNGRITRQGRRSM AALHSVLHLPPGATVETAPEKPQPPFRLFLLGARAESTLPPHLWAQLTHLFPRTVFQI YFIGPEVGMPLLSAQDRAKQEYAFTEEGGWGVPSYTFNYNTQLSLTSLKAPYEEIHEQ LGPFDPYTDVFFAFSPGFGFPHQPLLEKITKSGKGQVLLDQETGKPIAPEDRYSPAEI ESEVPYAPPETLVQAQTSWRQPLQQILETKCPFFFTAFSPLDLQRDVSALFGTNPPSA SGAPGSPVREFPDYVALPTGPIEPIEGVTDEFELVLTPGVNPFGSLKWEIAEWDVRVG VKTNWGTWGIRGKKYDVVEGR L198_01765 MDLAHLPVELIQHIHVLAHNPFLPHTCRYIYASLHGTSPHYTAA YLLSLYSPYGPSEILLRALRHPVCNIDVAKALNEQWEKRRRMGNGKSAVTSFDPMLGK RARSELGSASPEPAIRPLAVRELPRRLFRVSKPEPPIHPMITYIFETYSPSPNSHKGY PLCRAVLQHNLPLISFLLVHGADPSLKDFMAVEIAISMKDLQMVRMLIERQPGEEKKT DSPAKRVKLGDRVTVGTRMVETAIKKGANEIVNYLVHDKKVMPPLQSIMELGKPDAPI STPKSRPKQKSNKRQRQAGVR L198_01766 MPTSSAQPSRPRECCSSPSHFLSRSRKGLPTIVALGTAYCSSTQ APTRAFLAGRIGELQAHFPTLYAQFGYGRGDMVATREEGPWSATMIIQDDQYLADSGT EGDVFTKLLLDEGNRMSRNVSSRDDSPLWQVGVHTHPLRPRVYITLTLDHVLADGHGA LNLLQALLAPSIADLPYERVEDIPSFEDTVDVAPPAAADRQGEPPSPYIWPSVPPKIM LSQATPSASIFSLSVKDLSLLKAAAKAHGVPTLHPVLKTIYSFALWSVYRLDSPSLRL ASSTPRSERNLTLGHAYCTGNYVCAHKFDISIYEGQNFWYVARRVLEQITDPVDLARA RAVSGPEPPPQPKPLGIPAPFTQSFSFSNLGLSSLPSGADDMSWLAVPTENGKGPMSV MVIGFERGLRVCTTWRDGGAVEMKEVKEVERIFNRVVRRLIEGKDLADELIE L198_01767 MVAPGRGGGQNHTYSQSQANIKQAQLTSAYQELARELGSEKLKV VGGYTLGRVIGEGSYGSVHIATHRLTGHRTAIKKVPKSFTPHLTREIHHHRRLHHQNV VHLHEIIATESHIWLVTELCSGGELFDYLVERGRMLEGEARRLFGELAVAVGWMHKEG VVHRDLKLENVLLDGELRIKLGDLGFAREWQKGRLMDTYCGTTGYASPEMLACRKYLG VETDIWSLGIILYILLCGGLPFDDDDERVMKELIRKGEYEEPDWLSEDARALIRGMLQ QDPSDRLTIEEILTHPWFEKTLVDRAFPNGDSHSIPPSPNPASPQGNHSQSDEFFAEN AIQLNAARHLTASHAKPSPLSTNLPTTPVKEESEPSGSSANGDSLSGSLIGTTPPTTT EEDAIVLPRHNSAEFSTTEKELELLHRSNSHTSTIRQVSTTPSRPGSISRGKASYKSS LDGQVEEDEQAEILDGHTSLPLIDEHLHLNVALHSRTPSRTKRRSVSSTLSLERRFSH HSVSPSTQYVTYPPEDYFAKLNETRPPHFSTPSEKALLNQMRDMGIDIGQLRHSVETE ACDSSAGIWWILRAKQAERGETDQVILEREKERVRKREKAVAIAEKKRAREGKSKERD AEKAEEVRLIDQPMTIPVTPSFGPIDLGPPVSSARIESPEPIIVPGDSPGIEMATISK PSLGISINAPISSTTDVPDSPVGRRNPSPDSPQKDRERLKSRSPSMNMLQRATSAFAS NKKGEEKEKADRNAADSDSMGARDEKGNAVNGSGSLSGSPTKLRKSNPLVKLPKSESD GLLSAGASQQASPAPSGSKTITPGSSPQRVTPHATPRAAYEARDFHEEETSVATQMTE MGEGSNSFGKGKGGKRDSIWNTFRHLFHEDRRRRKREAAIRSPLSSEIKVPPAVILSR GPNSRTPAKRFGPGPSSRRTSLDGVGVGGRPAYFRRSSSVNSRRSSMGSMQLEHPHPH IAELGRRRSGRSHGSQTPTSDREFVDHPISRPGSSHSLHRGGSRRSSMSIRSPSLTSE TSTRFKNPPQSPLLSYRRRPAGGNDSSRVRHIKVIPESQIMRSSSVASSIRSTGSSRA SSVDFDYESGREKDDASSFRSGRRQKRRSGSQRSLAQQIHRTRSPLALNPDQLQQQQA AIMRKKPIRDVFQNKGKGKGPGREGEESDWVSEDEDEFACGLGQVTRKGGGDVMWMSG PRSSQSSTPSKSTGAAASFPSSKIAVPVSMSGRRKERGRRASSDEEREVGSNGSSGKK KDEGLQGLGLDVRSRRNLPGRGTTAPGIIEEEEEDEE L198_01768 MPCTSPTPAPITPFERPSRPITFHARYCLARRNTGSPPILVIAA SYPSSSVAPDYTFLSTRIVQLQEHFPHLYVRITGLRTTTPREELRDAPWTPGQVLREG KYEDKVDRSEELERVLFKEGQRMCGEDVDHRPLWQISVWRNPNKERFYITLAADHVIV DGRGLSLLFDALLCNDISHLPYEKLQDIELLENTINIKPSLWYALPRIWQGHVVDKLP KFFQSFLVPRPSWPGSNIHSNPLDASPDCSMLSISADKISALKRAAAENHVYTLHPLL KSIFSLAIWSKHHSSISPFRLHAITPRSERNPTLGHAFCMANYVSSYNLQVKFGEDGK EGKFYEVTNKMAGELVDATKLKEARMGMGIMAYIPNKQLIPEASSLSPSSPNKLQKTD RSSTHSTQALLSSADPRAPTTWEHFFLQTAASVNPYTDALCFSNIGRAKLPPGADDLA WSQIAAGVAAAAYSCTVMGHEAGVRIGTIWVEGTAVVREEVKDVERRFMVIMERLIQG ETEVKKLVEKMESSQQQEDA L198_01769 MSIPAATALRTLRSAATSRSARTFVSTTAVLQEYSVQKGTVFPG ETQEEAWKRNLGEAREWRRRKEQTKSTIPLFIPQTSTPPPQARPSLTPHEATLSTLLA SGAALGHAANITSHAYMPYIYGKRAGLSVIDLDQTLPILRRTAALVRDVVKQDGIILI VGTRAGHSKMIHKAKERLEDNGYAVNSWMPGVLTNSETFFGMQPLLNKSYKPDLVIFL NPSENTPAIRECTSRNIPTVGIVDTDTDPRLVTYPIPANMESLRTAELIISTLSIAGQ EGRRLRLKEAEKRARKAARTNRGPRERQS L198_01770 MSDSAPPPPKRKNYTSWTYTTTTPDPSQQTWKEKIKSKSSVWGK SALDKGVAISDNLGGKVNNFAEQRLGTEAFWPVTGDFIKEMDKCARILRAFTVDGLVT ESKEEEDESGRKKKKKVIRKIPPAVIAKAKGLAIFTSMRSGIAPFGGAGGAGLVVAKL PDGSWSPPASITPNNLSTGFLIGVDVYDCVLVINTAKALQSFRTHKATIGAELAVAAG PYGAGAAVEAGLEKAPLFSYVRSRGMYAGVELVAQVFVERFEENEAMYHWPGVKAGDI LSGKVKMPIEASGLMKALADSESGRAQKEKGDALDIIIPEGAFQLELNDGEVLKLPPT PDQTDGHEYESDPETEKIRRASRPGSHNPSMSDLGNIHAPVPTRPPPLPSRHPNRPSL THQPSSTSHYSASPPPSAPPLPPRSNVNSAQPSRATSPHIPAAASPAPEDLPTYAEVE VTPEGGVNAKPYPKDEMDAQKLGLAEDAVGEGGEPMSPAEQKEWEQFMAEHEENQRAT EVEKAASVTGVAKEADVLARRLDVQHLDKENESESLR L198_01771 MSTASICRNWVNGHCRFGNSCKFYHPPKGQQAGNSKPQYQFTEE TIRNDLTVELPQYILSNYGAAKFEPNLIYDGDLSPEELRYKSWVALNENKGQEYVQEE QTLINNSKQKIMNLVTHLSNTFANAQKLHEARFLNGEIPGTQSRPTSFSEAALLAATQ SSAGAFGGGNTAGAFGSSGGSSFGSGGAFGSNKPGAFGGAPTGGAFGQSSFGGNSKSS AFGNGGAFGSGSTGGSAFGATPAPSGGAFGSTAAPAASGGGAFGQSAFGAKSASSGFG SSSFGSSTTPSAFGAANPPTPASTGSGLGTSAFGQSAKPAGSAFGGSSAPSAFGAQPA GSAFGSSPAPSAFGGSSNPSTFGASAFGAKPATTAPSAGSAFGQSAFGGSSPAAPSSS AFGQSAFGSSPAPAAPTSGSAFGQTGFGQKASAFGSTPAAGTSAFGAAPASGSAFGAF SGDKPAAANPFAVPAAPSAPANPFGQPAPPAAPSAFGQAAPATSAFGSGSAFGAKSAA TSAFGSGSAFSQPSAFGAAPPQASSLPQPDGEIPSGWSWSDPWTWITVRDALEDGNLD ESVETAYRSDKFELGGIPTLPPPVELRG L198_01772 MSLVPVGAPRDAAITIGPFKPARPGYGPVLSYLQAAQLTTPLKI HPLLQLRTWDPKPYPKYLAWNAIEHPMFAAIWVDFDDTETVSLWPNVEGRFLPAASFF VNRNVRIKERGQPATSPIVEELNLGHVETGWAIRVRNRMVRPLSVNLRLKLNVLRKGI TCEDVLFAIYDFFASPLYVDELGEMHPRAVRIMEQQYFEKRRMGFFDLMEGYRKSDAL LGATYFDGIHNDPESVERLKNKYNFHSSNFLLLRLGNC L198_01773 MASAEEKKTQKKKEEEEMKAKRKEYMSKADPSTVEKMLGGGDLG KDYFKEGEWKPSWTYLEFDGDACLADVLGKPQEGFYAPAGTMLPLGAQLPEMTVLKYG GYIPEGTSFPGGVMVPMHARMVNVTASEGNHQAISKVSIRVALLIALRERLRLARTNA GYSASTTMAAVYPSSASAPSDVFLARRIAEIQTHFPQLYAQVAAAHTTEPVQILRDRP WKNSEVIRKGVYLPQQTKDKEFAAVLHLDGQKMMQEDFDNAPLWQITVYASTSQCRVY VALSVEHVMIDGRAMANLFQALLAENISDLPQDTLEATPRAEDTINMRPFLQTYFAPA KSWPAEQIDVSPLASPSALPLFSLSSGDVSQPKALARLHKVPALNAALLAMYGLAVWS KYRYTLRPFRLVAISARSERDAPLCHAYALSNYVSSHQIDVYIQSGDDFWTIARKVSY DTSNPRAISLARMRMGMIAQIPDGIYISTFADRHFLKISF L198_01774 MDQSRSLSLHERFSLARRNAGHPPIITIAAAYPTSSSAPTQDFL EKRIAEIQTHFPQLYARVQDARTASPSQVLRDGPWESSAVLRTGVYSEEENRDRELAG MLVLDGKRMLGEDVERAPLWQITVYTSPSKPRVYVSLSVDHVLLDGRGMAILLQAILA KHIAGLPYEKLETTPGVEDTVNMKPTLAFALPLIWHHLILPRLPLILQRYLTPAKAWP ADLIAATPLTSPSAFSLLTLSLNEVSQLKTIAKAHGVPTLNPVINAIYALAIWSKYRY TLSPFRLVAISARSERDDSLGHPHALGNYVSSHQLEINIKPRSDFWAVARTISDQLSS QQSISHARMRMGMLSQIPGGLYTSPTGIPDPLRPTLFEDFLLKGAESATPLDTALAFS NLGFVHLPEGAEDMAWAQVADGLGHEVFSTTVIGHEGGVKVGTIFSEGAAVRTDEVEG VEELFRVIVHKLIQGKSNSTSSARCSVASVLVSAVLFVVEMVFVYICSDHLSPSLHLL SSFQDGRGTANLFDALLAKDISQLPYEKLETTPPDEDVLPLKPSLLQALPIVWQEIIL PRLPSFLARYLIQAKSWPAHLIAESPLTAPSAYSLFSLSSKEVSAVKSISRTHGVSTL SPVLKCCSYAFSNYVSSHKVDIKFESYASFWSVARNVSHELSTDRFLSDTRLRIGMLS YIPSGPYVSPSGEPDPLRPTQYEAFFLRSVSSPTPVHAALAFSNLGLVKLPPGASDLA WAETASSMGSSVFGAVVVGHEGADEVEDVERIFRVTLERLVDGKESVEELVNVSC L198_01775 MSASRPKRKMIIPRPRGIQAIAATLPHGSPAAAQSGKATPAASA GSSRSTPRPATSNKGRGEKEVQGKGKSIGSTAVSPISITSTVQVISSDDDEEEEGLEE VPIPTAPSSPYQNTPISQPTGTPTPLVGEHAAEDEDDEDEEEDDGVIHLEIGGETAEE KAKRIALMNRKKPMTARDRALRLEVHKVHVIALLASSSQRNKWCNDTLLKARLLSLLP HPLQAAFNIPPSRFPDRAQRSRLFFDALQTLVTWWSQTFFDISDYTLGIRTRPWDDVQ EIIESIPKEQLRTLPKYEEEKSGKKGKAREENPVLETLEQECGGERLRSINSLMKKAL QQEGSRDVSAQLFVSLARAAGLGARLVTSLQAVPWKAEKAGTKKKKPQGAGKGGRTVA SRQGMGDDEDDEDEDEMEEVPIPGVSDGEKKSNTRGAGKRRLKDPADLYRLRKYRPPP QKVGSKRKVKQDLSDQPPVFWAEIFSRSDQRWIPVDPVRGVIRKKQHYEPTSDSGPVR MLYVVAFEEDGYARDVTLRYTRNFYAKTSKLRPPTRSDEADWWSQSVMGFLRRPYRLN RDDLEDAELEMSQESEKMPQHMSGFKDHPIYVLKRHLRQTQVLPANTNPIGHFKGEPV FRRSSVLEVKTPENWLRSGRITKPNQDPLKWIKQRAVTLEKRRRMELAKEQGEEMEQG LYAEWQTEVYVPPAIVDGVIPRNAFGNIDLYAPTMLPVGAVHLPYKGIAKVAKSLNIS YAEAVTGFEFKKQRAVPTLSGIVVAAENKQLVLEAYEESTAAAEERERVKREEKALKR WVKLVNGLRVRLRLREEYGGTGDTANPLGEAPDPEDTNNLPRKPGGKTAKDVLAAAHK MGTEAWEDSVGREEEDAVDEGMEEVGVPEPALPKDEVKLEREEEKEKEEVGVEAQAST KPKVMLRFNNPRSNASSPALPFHTSPAPSKRATRQRQPPRQSTRAAGKRKARTPSEEK EEETSEDEILEIPKTSRGKGKSTKAEPTPHVDGGRRSLRSRGGKTKEQEEEERLKNER MRLALASDDDASEEDYL L198_01776 MSSILRSTPRIARHIRSLSTSPRLASMSPPAHKMSVFPRITTSL PSEHAEFRNVLWTGESSQLVLMTIPVGGEIGEEIHTVDQHLVFTSGTARAIVGGEEKE IKAGDLVIVPQGTKHNFLNTGPTPLSLFTVYAPAEHAETTVNQTKEEGDELEDAGKDE PPKWAQK L198_01777 MPPSASTPRYPPYNASRPYNEYPQQYSPAGYPDPRYQDTPQSSI QRNYAPFDAYEPAGANSRAFPENASHPYYTNERPVFDPALQYGSGQYPYQSTSDARPS PSPAYAASPSKGPYQPVSTIPIASPSHPYQYQAQAQLSPYPIQVPSQSSSRNAWPSNP PYPHTLPSETAAPVNTIVNPAPQATIPSATPSIKIRFTAPQASSEPVMASGRSSRRAS HTAQDSSALEPQIASSTGRLQRGSSRRAAVQVTYKEEEDEDEFDEALDEDANGEIDED AFGEEEMPVLTTRSGRHHKAPVRLEEEFGQPPESASPEYGRRSSRTRGKRKIILDPDE DDEDEEVRPPPRNTFPPRATRNSLGGATVVEQPIQVVNGVSLRATRSSARAATRRHSS ADGEDFEPEGDSPDDDASSDALGNYEDPDEDDFVEDDDEEGYGSKRRSSSRRRPAARS TRATTIPTRRSARSAAKARVITTDEDSPQKGRSLRARTSKVNYHIPTMEDISKEISMQ EAIAAASRPSGRVGAGGGLRGMGVKFGLPFNLGGKGISQAMGDPDSSDSDDMEFASPL KSGAAGASIPGGGTAVAARGAGGPSDVPNFGRINPKSSTADADPLGVDMNVTFDNVGG LDNHINQLKEMVALPLLYPEVFQQFGITPPRGVLFHGPPGTGKTLLARALAASCSNGN TNISFFMRKGADVLSKWVGEAERQLRMLFEEARASQPSIIFFDEIDGLAPVRSSKQDQ IHASLVSTLLALMDGMDGRGQVIVIGATNRPDAVDPALRRPGRFDREFYFPLPNREAR KKIIGINTRKWTPPLSDDMLDRLAGMTKGYGGADLRALSTEAALNAIQRRYPQIYKTA DRLELELGTIKVQAKDFMMSVKKIVPSSARSTASPAIPLPAHLHSLLSSPLERLKDAV DYVLPPKKHATALEEAEYEEEDEDSFEKHMMVQALSKLRTFRPRVLVHGQPGMGQTYL GPAVLNHLEGYHVQSLDLGTLMGDSTRSVENAIVQLFVEAKRHQPSVIFIPSISQWSQ TIPELARSTFGALLNDIPPSDPILLLALADGSVDDLPGDVRAWFGFATENKIQLGLPA PAERKAYFADLVESVRRPPTDFPDGVPRKKRVFEVLPLAKPLPPRQPTEAEKAREEEK DQAARNMMVVSFTNLVQEFMKRYRKVAASVREDAMTYSRFLAEQAALAAAPVDGTATA PVDVDAAAPIDEQDAQPQVNGDHMEVDAVPAEADPTAIPSTADSALLAPPSLVPTARS TPAPEAVPAPVPAPPAAPTWQAHNIDVDTIQRRLVRHKYFQPSDFLADIAKIEENAFT LNDPERHAKMVEMGANARLHVSGFDSKWTPEFERYAERMQEKKRERQRRKEELEKEKA KEKEVESEVQGETGEAGPAEIESSSSKRPREEDDESNGREKRPRDDEPIIDEASTSSQ PAVEPSTTTAPVPEPLPRAPTPPPSYPRFTVSSHALDLLQSELEAETNFLSIDQLEQL RATLFDKVWVDRKEWDRTETVEKMRKKLGEYVREVERWRERGGWGE L198_01778 MASTAAKDYGNFKLLKSFDVDFAPIQVSKWKSEKTGLTVVLGKH DAPITNGYFAIASEIFDDTGRPHTLEHLVFLGSKEYPYKGVLDQLANRAGSNGTNAWT ANDHTAYTIATAGSEGFLKMLPVYVDHILHPTITDAGFVTEVYHLNGKGEDSGVVYSE MQARENTAGDVMALEGQRTLYPETSAYRSETGGLMHKLRVLTAQQIRDYHSAYYQPYN LCLIIDGAVSIPELFQVLNDKVEPLILANKPTTSQSVAPADWKRPFVESSTSNPLSIG RSITKVVEFMEEDESVGEVMITFLGPPPIDYTKNLALSILDSYLTQSATSPLSKEFIE IAKPLCTDFSFYAADRVNKNEVVVYISDVPAKHLEDIADKLKAKLAKIVKEEGIDMDR IQRVLKRDERKLLDYIESQRTDVLSDAIIGDFLYGAADGSDLPAAFNDLKDYATLHSY TAQDWVNLLDQYFVSAPSVTIVGKPSAALSAKIEKDEKERVDKRKAELGEEKLKELEK KLEEAKKASDVPPPSEMIKDFPITDPNGLTWIPVETALNPAVGDNARSDQGEVQNIID QDGENLPYQAHFSSVESNFVTVMALFDTINLPIHLKPYLTLFQNALFSLGVKRADGTV LSHEQVVNQLDDLTVSQLAHFEIKGNFAEVMVVRLKVQKDQYEEAVQWLRDLLTGVIF DKERLGVIIAKLTQELPQEKRDGNTIAVAFANQLTYDSSKSASQTCDLLARLEFIPRV GEMLAEGGAKAEEVVNALEELKQTLLDTSKLRVAVQGDITSLPNPRSVLARSFLQVTQ AQALAPLSTSRETLTELGKSPSKKCIIIPMPAIEGSYATFYASGPAGHAHPDLPALRL ASSVLNALESYLWKSIRGSGLAYGAHVRVYPEAGLVGFDVYRSPNACLAYEAAGKIMR GLVDGSVELDQDLVDGARSSMTYDYARRSETAATAATTAYINETLKGLGKNADQDMLK QLPQITLPEIRKVIKKYLMPIFESETAIGAVSVSTSKAEEVEKALEGLGFEVERKELP VLKGDEDSEMGSVSGSDAGSESGSDASQ L198_01779 MSNSTASTTTTDPTDLTEVSALLWEAMGAKGSIPVWWAALAAVF QAMLVSELINKTSDCHHYFRKTDSRILRIAIAVGTTVNVVALALSCSQIYLCVYGLTE HPFTFHRDLVFIDMVIMLMGSLFNAATGSYYALRSYRMSGKQWWVPAIFASGLVAQVV ASSVAVAHGFQFPLLTVDALPRVGAWTLETKKLFKVWIAITITCDGTLFLFMTFLLFR SKDSIFHQQKKLFTRLVALLYETMLPPVISLVVLGATMSEPGNPMFDYRHICTSLLPA LYWNSYLHTLVGRQTVRNILDARLAADGVERLSGAGGLSSDGGRVKPRLTRSFGMVDS NGENLRIGILNKDRERARELMTPPLIQVDTVVTTSVSPIDTSDVYKRPSVNRGPWVDE EGRHHDGDSTISFSNDTIALSAGDVNEVKWSAA L198_01780 MILRAHIRLARVLLGPAGPPRRSASTSSRPEDDPFTAADYRIRS PKDLFSHLSQYIVGQDRAKRILSVAVFNHYQRIAPRLKHLEEKPEPPSPPPRAKAPLI TLETPVPNPPSPRQATVSPSVSTRLDKELQDAVSWDPSRVTGTGMEGGAADPTLTHDL LTLRSREGQWARSGFFDSRPPPLPSLLGQAIKRRKGSGEDVASTGKSRKKQASTSSAT QGGVSGQSAAVKRKDDVVIEKSNVLMIGPTGTGKTLMAKTLANILDVPFVSCDATAYT QAGYVGEDVENCVLRLLQASNYDIDRTELGIIHIDEIDKLARRGGGGEGSWGGGRDVG GEGVQQALLRLLEGTTLTLTAKPPPVTSSASTPTSSSTSPTSSSNSGPSAPSPAPKAE LNAKGIERDPPGWNPNDPTNRGLGSGKRSVREGLPGYSGGGGGADWNTANKGDTFVVD TSNILFVLSGAFVGLEQIVNRRLGKGSIGFGAPLPKSQSEADQKLNPIPLKGLSTPDL TTYGLIPEFLGRLPTLTTLHPLSILDLVHILNEPKNALLKQYEALFEKYGSELKFTKK AIEEVARTALERGGGARGLRGVLEEVLVDCMFEVPGSSVRYCLITAAVIRGEEQAFYF SRGQKTAFYSAIEAEDGPAIREGHEAGDELPGASVVDEGMRAVG L198_01781 MLPAAVLFSILFFFRPALGAPACKSSQTDDESASSITSHQSGVG SKILSATSIIATSTEIASGTSTASATSPSATGNATSSVALGSTFLYELDATSVDSPIV STNTGVSVDGQVYIVDAEGTSAETIAQYKANGKTVICYFSPGSWEPKRPDASSFDASC VCAAGDSFTDDGCTSDENKLDGWNEWWLDIHSDSCKTSVQSVMTTRIEKAAAKGCDGV DPDNVDSFSNADQQHGNTAQDQVDYLLWLSSTAKANNNMLIDLKNAGSLLVDDNGQTT EWQSEIVSAFDFSVIESCHEWDECDFYDSFLAAGKPEFLIEYGNTTSCPSMSDGQHLL VYSENDLDSGLITLVC L198_01782 MELYVHLPPTNVPTIRPAFRPAPAVPKVAEATIPSQFQAPEGTY CLAPPLSIFPAIGSPAPVDVDRSTPNSAVFMMSPGAPIPSPQGPAIMSTATSAGSYQV NGRWEPAHPVKMNWVGVWFPSRAGGSFGGLLGKGAKGDGSAPQGNIALEGQYPNASNG SSSSSSSSPQSSEPQSVPFAMSPPTAQSKWHFGKPTNAALRPKTSLRGTSNLIDRVIT VDNLQRILSEKGRFGGETVRWGTWNMGRQWAWGEQGGKIREPFAKIYFRHAVTSHAII HQTANVDRLDCIVGLESGDLIWVDPILGRYTRLNKNGILNNNRVDAIYPDPRQPTHFL AVFADSTILRFNTGLEDPINASTSVLPTKPWSLFFEREWARRARGQSAEGSSTKLGGS VGDSGLDGSVAGLSASVGAKEEADDELLKWKNEDWTVGVEREKGKDKGPVSFAGKNPV AAIRVGRDKINAMAYSPDAKLLAVASEDGNLRIIDIAEEHITDTYASYYGAFTCLAWS PNSRLLAAGGQDDLITLLSPNDGRIIARCQGHTSYIRSIAFDQQMKGEARAYRFTSVG EDGKLIFWDFSPAAVHKRHHHLPTSASGHIAPASSATVNHPPGSMSNLFQNPSGTYHP ALPRSEVPVLQPVMSRLVDFTIVTGVHCLPDCVVTVSRYGRAKFWMRPGKQVGQNGQG KETGTITPGMSGSMIKG L198_01783 MSSETPKLFQPIKVGNDELKHRIVMAPLTRLRASLKTAIPSDWA IDYYTQRASEGGLVISEATFIAEELKGYDYVPGIYSPEQIAKWKKITDGVHSKGGKMA LQLWVLGRVADPKVIPTVWSVGTIKDLSPSPHSADPEDKVLTPAKEEDLDRFVGYYVQ AAKNAMEAGFDYVELQTNSNDRTDQYGGSLENRIRFPLRVINAVSDAVGPQRVGIRLS PFSEFQGMRMEKPLETFVPYVEALAKAQPDLAYVHAVEGRGLGTPEDEWYVQDDLDPV RQAVLGKSNGTKFFAAGGFTRDSAIEHAEKYPNDLVTFGRYFISNPDLVERIRNNWPF RNYERPTFYTQTAEGYIDWEDYSPEKQALVQDEAAPTDQVKAKA L198_01784 MLDISITALEDPVAIVHIPIALTLADAYTSKIYWTLDAAERLSP EFFNITSNRIEASGSLLMAQEWAATADSEVLISPDWRVFEISSGDEDDVGNYDSPHLR HVSAPLARAGISILYQSSYFTDFLLVKESDFEKAAGIFSQEGWHVSPTAPSPRRPLPL PTPASSSFSSFSTSSSPSPTPSPQPEITVLPHPLACVGLSKTAEVRFAERLRKFLVWP ERAVAVHSPVRDVSDDEDESEGEADELEDERRRLAPSRSARDLSPSQTHKRPFISYTR NEDGASLLTEIRILRAMFPAGEAGEEDHVQSGGELAWIDRDLGLDEAAADSGEEDDDW VEWEIQTPVDMQGAEVKELDGGVVEAFEKGHRKVLSLPCTPYEPLHVFHTHTHTQIDH KTQFIQTTQSIHSIDLASYSPGSISSNITATAIEPEVRVSFPTPSLLHAVELPIRWSG RKVKNEGKGKKRCLQMDLRSLSDAGHGDGEGIYHLDKSGLVTRFSSLLAGSSVKRPIR MLYSSTFHTANILVESRDVKRAKGLLERTRGSAEWA L198_01785 MYGAGARYAEEAVSDSEFSLSPSPNPGNACFCGQPITNDGVYCS VVCARSDAINSLCYKPNQPPARALYIPDAGNQPPSFGSALSSLPRDSSAGSLDSCTGQ DEEWQASHYRRLARSDARRQERREERRRRRAEGSMGDSIGNSNRSTNMSTSSSMSRVP DLVGGHGGGHSRNGSSASSVSSLASSAFSLSRNSSTASNASRRAFGGGVNVDSVIEEA EDEQEWLRSEAPKPYAPSSMRKHGHQKSGEGRSMSSRRRKQTPDALPFGMGQDMRDVL DEILHLEQSYLTPEQEVDISTADDLPPPRLFTSSFGPPRTPSPASCKKRVLPSRAPDA PFRGHRASLSQSALAPHSPAIPARPASLVGMHQSSLSESHTALYLATASPSTSTRRST SPTLETRRSLTFDADSAGPSINLDFASPPPLARPGSLTLPPRGFESSPLLTPVNRRFT HTRTPQAIHPSMDGWRFPSPFHAHATPTKPTYLREPETPEPIGRNDGGAGKGIQPALL WPPAGQNLEPALFAEDADVNMGSPGGERVRSREW L198_01786 MAPLTHDFPTFGHYAHNLKDGLQHTASRGLDELDQAIETKGYDW LEGYMAQIFDRLPQAPIGELIKTPSKTQTVKKTRAAIAAAKEKTEKIKGLNARLALSP SNQVNRPALSPLHLGARSININTSPSPSPVKSKPAKPLAISSPVKSKAKRGRPKKADI ANDSKSTRSKKADGKQPEVEETLATEPQAQEKEDPAPQASPAKSTRSKKAKQTEVVEP PVEDVEQAVDEEERMAGEPDKESEADNAQEEPAPAPVVAEDMEVEPEPVAEREEAVEV EKQKETAGPAMEEVQQQVEEPDVPMDVEQVEDEPVAENVAPEAEPEVNREPVEEEATE PIVDKAATPPMAGIPVEEPTAPLHPEVDTRVEPEIETNTETAPEVISEPAPTNISQTS FTSNSQPAHRIRSSWLSKALGTGAPPLAHAHEPSALRKSHATSSQPDRANMDFSGLRK SLAPISGLKRKSDEGLDNDEDEDEDEHERAGKVAKASEAHTIHFPTTTPGPAKASLFP TKTPSSFGTASVGSDQHSQGRPVQDDSQRPEISRVTKALDELREKTAKDLAKQKATPA AGTAARVPQAKSTGAGFLRGLLNFGGGNAESGEDEATRRARELEEEKIAEEELEKLMW AARNGVDEDMILGEEEEEEPAPQAVEKEEPRSTTPSFSPPPKPTLRHSIHPSYMPQPA ALPALVAPVSGPSAVPTAQAGTMTDHEDEEMVDEESVLDEIIPDEVTEYQPSHSRTSS QGQHTRFFSPVPASTTPAKPLDKEAPALHDRKEKHQHEPSAAVLKEQKEREEKDRRRE EREQEKLRLREEKEREREKKGKGKSVQQAMETPSASHASLFTSTSANTNTTLNHASIM AAKALGVKPAAAPVKSVQQAASAAQKEQAASVRKAALREQTEKRKEQAAQKKAEEERV RAEEERKAKVAELEEKRRIRAEGEKRRKERELKAKEKAAKEQADEAAKVKAEAEAAKK RKLAAALNKSQTAAPAPKRVAAPSQSLAKGKEPFRPTKTTLSSSITADSKMGPNAFRT ADSQTQSSTIRLVGQPAQPPAQRESQAATWSAPERKPLGQPSRPSQMEGHGVKQSLLY QAHHQQLQSINTQPQAPPQNWQQVQASLDKKVQQQDSEDIVLPDIASEYSDPDDDTTR EFNRPDWAESPALNKALAAQATIDPDEYFGHIGPLNMEELFKARAGKFRPRSSSANWS RGDGLSPMEIIDYAKRMGYESLQSYDDGPGPSGSS L198_01787 MSRTGVKVNTYGKKTKTQIISIHSDHDQQSSPAVRPLAARPVLQ SKLSQDNYNVYSATPLAVRTLKGEVSAKDHGTPTKLNQHLGKRDENTPIKVRVAGKAN SSIFGQRKVPRKGLKPGILITPPFPSRVVKTIPSLEPPASPTVDRPVESVLTPKPATT ALRAKPIVRRRLVFDGVAIPVAPGHRLANMDRLSAQMAEITITEDPSPRQEKSKGKAK ATTDPVQKLVEGCIIKAVHDFTTLLRNFPLLSSSAKAAVTKVGEASYSEVFGFSSPVD TESDPLVIKIIPLLSEPGDVEGDTPMPDCSDAEDVLREIEVTKHMSNVPGGGYVQFKG AYVVEGEYPRQLLKQWDTYKSTEGSASVRPSAFPSSQKYALIALSHSGQDLEAFRFDA SRGWVQAAGIFWQTAKALAEAEQWADFEHRDLHEGQILISIDPSLQPTEALNYLSPTY TSLTTTIIDFGLSRLALSPHKTPTWSSLPEELYEGKGKQWDVYRSMKDRIDGDWEGFH AITNVLWLQYILQYILTSKSLRKPRVAPAASTKARRPRAPTPEKAANEQAYEMLQQVS KVLAWSLEGAKRQGRRGAGETEEYGVDKMGDAAGIVAWGEKKGWIV L198_01788 MFRSLPLLRAARPALTAPALRALRPQPAFKPALALRPYSAAAGL SKEDITKRVLEVLQDFEKVDATKLTNNAAFTTDLGLDSLDAVEVVMAIEEEFAIEIPD AEADEITTVQKGKSILHLLV L198_01789 MKHGINQRRLNRMPAHRLALLRNLVSALLHHESIKTTLPKAKEA ARMAEKIITLGKNNTNQSRSKAMALLMASRPLPPHHAPAELYNASSTNPKPTLPPLDY PSSSVEDPEQFRPPTTLLPKLFSTLADRYAARPGGYTRIQRYGRRPGDNAPHAILSLV DGPRDLKFELTARAVGREGLDVLGSVHTREELAELSEGDWEELSERTRRDVGKVLRYR SEEDKASFKEKAKHYMDTLQSESAALSGLRKPFISPTARPCLTPSINHPKSGKPQFAG ERLSAMSVLNTGLGLARGQLGRQRKVMGQDVDRTARIWERKQVDGVQGEIVKSA L198_01790 MVQGPREESALDAAINIKKLVHQVTTGTLSNVFPASADDPPQLA PGVKITHRDENGDEFIPYKPKMEGRPFALPEYHAPCHSAPSLTFLILPISQSSKNILQ HPHHYATYTLAEGVKKGETSMSSARVALIGNVTLLRDLSEEEETDLAECYLSYHPDAR GWLPGKKGSPHFSEWARMDLEKIYYVGGFGDTHYIGDVPVGLYKKVSGDERFSAWS L198_01791 MDPEGPVTLRTSKFITNRLLNRRQFVLTVLHPTRPNVSRSDLSA KLAALYKTEKDQVVVFGLKTKFGGGSSTGFGLIYDDEESQKKFEPKHRLVRAGLADKV VKPSRKLRKERKNRAKKLRGKAKGKAAEPAKKK L198_01792 MPSVVKMVLGNGPLGPSFAPWIRQHSGIQKYWSRWSNLYKQAAG YRQKGYLLDDLVPEETALMQKAISRLPEKAGFDRVFRQRQGLIQSALHKELPKEKWTT AQQDERYLTPYIEQVLAEDAERAEWDHHVVEKIQKRRASKKSPFERY L198_01793 MASATSQRATPRQRSTSTASIRAISPQKGSAAAQDQLWADMDPE DRKNAQAKKRHDDDVKRHLGADNIGPGEPQNDWQVAYIWSFILKFNLRYRIARLESIE DLERCLYEPVANRPDDIFEGILICFLSNLKPGSRNISAENIQSSISSYISEKLSETSE WTVWDRPWPLNEEQRASCCLTDDPYRAELGRLRYPGEPMGARVERNPIKRVEAKGGGL FEMDWRDRVALMRQLVDWQLTHSANIRDTVNTASSSSTTKPKKGSKKPVEEVGAINVE PLGLNRDKQRVWSLDDSWRLYRSGNPFKRPCPMESVSDTKQDYLAYVESIVAYGAQEQ SQAAYEKAQEDGSKGKKKADKGNAGYKKWVKGVQDEKKLGQALEGRVENIEKEEGRVQ RAKRKVAQVVQLQQAAEMRATRTRRPNRKVDYSYGDSEEEPIPISPPRKSTRPSRSGR GAPSDEPYLALDSRGRPSIPGMEFGLRGNKRNGLDAVSEIVDVEGAEELNGEKKPGMK GYAWVGNPDASSPAANGTANGESTEASTPAPGAGEETPRADQSRGTLPAEEIIKVDPE DGENGGGMEESTEGAMEIDEE L198_01794 MTIVATLASIGMAVGPPLVYADQAYSIVKKKDSSGFSHDVCGVV IIANIIRIFFWLGERFETPLLIQSILLILSQLGLLAICLHYSPSARSQGSESYTPIDP SNHDHDQSLEEIEHTEGPSSFAPHQHPLNEGGLKNMWRGLKAGNRPFGFWQWEGFGSY LEFLAGMIVVLGILQVILGRWMWYIDTIGLIALTIESTLPIPQFISNYRNKSCYGFRE SALAGWIFGDSFKTVYFFLRGNPIQFKITAIMLVCWDFAVLAQRIKYGSKPPQSQQGG VEFDADGENQHHDQDEESRGLRDGSER L198_01795 MGIVASFLHFWHHFVTYSGTPVVFWTRPNWSVDQIPDQSGKVVL ITGGNSGTGYASALALYNAGASVTIACRSLARGQEAVEDIKKNGDRGIWGVRYDKRTP AEESKLGSIDVLALDLADLASVEQAADEYKLRVKRLDQLYLNAGIMAVQEGQWTKQNY TLQFGSMVLGHQRLTTHLLPILLSPRSEPARVISLSSVGHNFAPPGGIDYTSVLRHPD DVANPDGSPKKGKNELERWPEYGQAKWGNIAMAKYLADAYDPKEVIAVAVHPGSIATN LGNHIDFVGWAASKAHWALAPMTFSPSQGALNQLWSGTLPAMQALELNGKYVVPFSHV LDPRADLLGEEGKERARKLWEWCNEQGKKFE L198_01796 MLDVRRPLLPILLRESDHPLLGWAQFGPDRRYQLSFRRATPDDV ANPDGSPKRGKNELDRWTEYGQAKWATIPMAKYFANTYGPKQMIAVSMHPASAATNLS AHIGFLGWAAPILFSPSQGALNQLWAGTLPIAQARELNGKYVVPFSHVLDSRGDLLGE EGQEKARKLSEWCDEQGKKFE L198_01797 MPVIEIDKKGIIGDLLGGGDDSSTAQAQNTQAAKTAASSSTDKD ATTSTTTKAGKTDSASSASDKANSTSADSKNEKASSSSSDNSSATSANTAASTNAKNA ANSATSKTSDSSAASSTPSSTTSASSSETFAESTSSISGTATSTWSNQNASDSVSSSS DSAKSSTKASTTELGDNVSASLSSSSASSTASVAAVAANASANTGSSTIFNPDNKLFP LAMVLVSLAGLFVIVTTVVLFIRVFGWNKRRRTERWAIPSYIGSDPSFEPVEPKRFET ITNNIASTNPGQHDNYNYVDYPTNASAVNEHPARPVRAQYVAASGPTPNPYDGYYDEK SQHLGSPVPSFNTQRGYDERPPTGVYDYGAQYQQPSVPQRSNTKSSAYSSSSGGSMGA QMMGQNPSQAGYARRYSGSGQSFKSVTSSRTGRSNGGRLEKGRY L198_01798 MSTDHIRKADGLFMEVRDPKDGPVLPKDGQRNVLITSALPYVNN VPHLGNIIGSTLSADVFARYNRTLNVPTLYICGTDEYGTATETKALEEGVTPYELCTK FHKLHTEIYDWFQLSFDKWGRTSTPEHTDITQGIYKRLHEKDLFRLETADQTYCEDDK LFLADRFVEGICPACEYDDARGDQCDKCGITFSSPTQLLSPRCKRNKNHKLSVRPSTH ACIRLDLIQPKLVEWMQQARVKGRWGTNAVITDKGEIVEPRMLGEGLRPSAVTRDLKW GVEVPKIGNKEEDEALEGKVIYVWFDAPVGYPSITATLTDQWEKWWKNPDNVELYQFM GKDNVYFHTVLFPSMLLGTEEPWTMLHNISSTQYLNYEDTKFSKSRNVGVFGNNARET GQPPEVWRYYLISQRPENSDSAFLWSKFITANNNELLANLGNFVNRVIKFVNAKYDSK VPGPADLAGGVPTPTTQIDKDFVADINARLTKYRQLMDDTKLRDGLSQAMSISARGNQ YLQENSLDNSLLANQPERCAEVLLNAVNLIYILSAVFHPFMPNTCDGILRQVNAPARS LASEFSVDILPGHVLGKAEYLFKKIENVDGAQEKKWQRQFGGDAVAAAETTPSGPGGH PEGGKVPAANDASSKKAAHEARKAQIAADKKAAQAAADAKKTPEERELEKKVEVQGQV VASIKKGLAQGDEEEEVKKAKALKAELAELKKKLKEVKI L198_01799 MSALAARRAAAIAASAEPQLEISIGTDELNGAEDSGSASGSGSG SESASENSETSAESDEESIDIIVPSPKRRKTQKSRNTSPEPKKAARYFVTTPETKSKG KGKASVSIAERQVKRYSPAAPDSDEEEEEKGESVGDSDEDMAEDMYGEAAGDVDEGRV QWVQTNGAGSSRQKPQQAVSETTSNFVPQENVNLFRLSQEALSATGLEDEFAGPGIII SLAPDESLVIAGAYILTLLQRSLSLFSTTIDPSSTPHPIYAPTSHPLPTLSPSTTTST PSSLLSKLKFPKQFLKKNDRTILLIRENECGIDGLRYGAVPGFANAFLEETGIWGLRN VHPVIGSFPTPVYSYHSPSSWDSALASSTQLLQGEYAEESPFVGLVKGQKRSGKSTFA RGLLNTLLGKYERVAWLECDLGQGEFGCGGVVGLWVVEKPVFGPPFTHPSSPVRAHYL GTYTPLTCPDEYISSIRLLLSHFKYELQFPLSSTSTSRNSNVLPLIINTQGWVKGLGE ELLKGIEVMAEPTHVFEFEAPVQEGGFSGPGWTQSPPWSTAQLPYDPSNPTSMVELED DVQGRKSYKLKAAPVSPLQARYTPADLRVLSSLTYFHSTLPSSSSSVPSWDFAPLATV PPYEVLLSSAQEAALKKVYLIGEGSEGVVPEDVALALNGAVVALVEDLSADEEEEGVY VQGRLPSVGESATTLGLALVRSVSASSSGFTLHLLTPLPPHPLSRANAIVKNGALELP LPGWLDSRPGGVREEGMWGRGWDEVPFMEVGGMVGVVGGERRRFRKNIMRKGQ L198_01800 MPVAVAPPAPIESLKASLAAATLGDDKPFDIRAYSNFDTTPFIG TEFREWSKDGKPTLSIRDVLGNDARLKALGRLVSERGVVFFRDAKITPQEQIVLADAL GRLGGKPSTSGLHVHPLTAEGSELGDEISVVSHKFVFDKDHKKTDTTLLTRPFGANTW HSDITFEPIPSDYATLQLRTLPTTGGDTLWASAYEAYDRLSPAYQHFLEGLTATHSGQ GFVDIAKRSNTTLREPRGAPENVGQELSTVHPVIRTNPVTGWKGIFVNKAFTKRINEL TQRESDKLIDFLTEHVSANHDLITRFKWDENNLAIWDNRSTFHTATFDLDEQERVGTR SVSLGERPYYDPNSTGRREGLRARQEKL L198_01801 MSQIQEEQRGGGPPLTSTTHLNPPQASTAQGDAQLQAEHQRHHP LRHHHSILSETEEELTEEELIEYEKGVLTWEKAKNWRFWLRKEWAWYYVAFVLIVAVV ALMAFFHHSIINWLSPFVKRLRELKGGFAIPAAILFVLSFPPLFGNEIVIVLVGLVWG LGKGFAIVVVGLGLGELCVFLMFKHWCHTRAERMTRKSLNYACLAQAIQDGGLLMAWI VRLSVIPTQAVFAVCGLPTWAFILALIGALPKQLISVYVGGMSPPPAN L198_01802 MALPPSLHVHPHFNAEEAAHVVTGLISSLENLPGEVNFLLEEIR EKDIRITQLIQRINSRHISLTKPIKSLSSLPPSTATFPLPIPPGAPLPTSHLTQKEAQ NLTKIQTEWQQISALQDEKIHLAERLERIVNRAKERAKDHWVKVGGMSVEEVEKAANG LGEMGGVEVVLPPGGLGSGSDARAVKKRKVVAAPVPVPKVQHPALAMPPPPAPRPSLS ARSSHSHAPSPVGHVSHHGPMDHSHGHGHRSSRKLSIMSDEDADGEPDDADVDMNDAG DGEGETDETLYCICQQKSYGEMIGCDNDKCVYEWFHVKCVDINGPLPETWYCPDCVSR YGFSNDKNKKSRKR L198_01804 MGRKGEVLLESLVHGSYGRDGVCKCGRERLGIVSWNRWRRRIDI WGLSLILSLENDKGTLVDLYIPRHCSATNRLITAQDHASIQLQVADVDADGKAIKGSA TTIAICGRIRAQGDSDDSINRIATKEGLLKNVWSYTH L198_01805 MSPALVFRSGALITALGITTGAFGSHGLQNAQPPLTPRQVSSFG VASNYLIYNGLALLAISFHPGFLAGAGTRRYKVAAGMIAGGAVVFSGSIFALVLGRKW EGVKVLGPVTPLGGLAMIAG L198_01806 MDEEASFASLLSSTTRPSQPRWDPAPADADPWANPFAADGPSAD PFANMGASIFAPLPAAASSPSRAREDVGESPYVQELKKDYDQPDPPSVIAAREREQRA LSPGPGEGVYASSAFASPVPMAGGEDPFSNPFAPASSSIPIDPASQPFTPPLSPPRPP QELGSVPKEAVEDKKQGKEVLLKGLIDEDLMAESDPELSLKKAFKKSERVAPAPKPVK EDQKEVHATTPTSVTPTAQHSPPKPSSPKSDPPKTPPSPSHVPLPASEVSTPVVSRHP TPSPLPINSSQDVDSQSDDGTMRQLTTPSEDRVSVSPLNAPDDPVEDKFEGLSVGGSV PYAAPADGAPTKADSPMQSTPKSTIPWAGEKHTPVSARFGGRGWGALDDDGDEETGES LFGSASGGPLGASSAAVGSGELWGGDEGGWEEPGTSSSFSQVSSEPEELSTEEEPTTP TAPSSALPISTPTENSSPSRQRLPYFEIIISDPTRMGDPVRGYILYTIRTSTSSPHYR QRSFSCLRRYSDFLWLVEMLESNNPGVVVPPVPGKHPWGRFEDTFVETRRRALERCLK KIVGHPILQLDPDLRLFLESDNFGYEAKERRHQVAAQQASAPAIAEKGGLLSGWTGSG KFIETDDWFESRKIFLDSLETQLKSLAKGLEVSSKHRLELSLSLAELSSSLSALAESD LGASLSALLAQVANLLAGSQAQTEQAAKDEVAYMLNLAEEYVRFIGSVRAAWAGRVEG WKKFETARREAARLKGVWEKRGGNGRDVAEAEDRAKSLQQDYLRLTQLCKSEFTRFER ERVIEFKETLEKYLDGMIAREKEMVEAWDGVVGMCVRVVERGREAQG L198_01807 MSNYDGSNPYYGGAGGGYVAGGGNGDYGSSQDSPGGKKSRGNAT IRPLTIKQFNEAQQVHPDADFSIDGEDVTQVLLVGSVRNASTTATNVAYEIGDGTGYV DVKLWLDSADDQSGKTDGIQQDSYIGVMGTIKSYNNKRHVSATHVRPITDPNEIQHHL LKALHVSLLLRGGTSGNAGANRATTDYRAPTASSSDYAKPAAAANHGGADPYAELDPL ARAIMQILEREGPNHDDGMHVAALSKATGSTDLEQFTLVLDWLAENGHVFTTIDEAHY QIAS L198_01808 MSLNHIDPALHPTLDSMANPEHHDHPPQDDATGMNPLNEFAQQV LGREADAENLLDFGRGHSILGEEQSFNDILQAEGRRRDGEDDPSRPSHPSHPQAHHHH AEEDQLEGGNFEPENSLDQQLTSEELARGGARQMRPKRRRLDEAGEDGQPLDPQTYAK NKKDNHREVESRRRQNITDGINELSQLLPPGAPKEGKGQTLKRAVSYIQDMQARMGIP PGSHVPASSSGDAPTLNEAQNAMDGQDGLGGIGGGHLGGEEQISGQLRLEISHLETRL AEEQHRASEAELSVRAAEDQVAATTFELHRAADERDHLKAELERVKKELADRE L198_01809 MRSRISLPLGVTLFAFTATAYQSAPQDLPVPTRQLEWKDANFLS ISDSHGWLLGHQHATWPEPNYSGDYGTFASFVSHMRRIAEEKEVDLLLVDAGDHHDGS GLVSSSATSASSAEDIFSLLSYDIITCGNHELYKYKDAYEEWENQERWHGRYVTSNVN ITIPKGDAFESVPLGKTHLKFTTKLGRKVTAFGVIFDCRGITVQKPSKVVEEEWFQDV ITEAPDYFVVAGHMPVRGETGEFMTVFDAIRKKHPKVPVYFFGGHTHVRDCVQYDDRA IGVVPGRYLESVAFTSSSLPSYNDDGKPLDVARRYLDANPVSRWHTNTTDDTFNLDIG RRIQVALARLSSSLKITKLYGLAPHDYFLTRHPWGHPRSVATLFSDKVIPDILEDKER GRGQKGDVGGERLVVGNAGSLRFDLFQGRFDRNDELTVSPFGTTFLYTRLPAGLARNI TDQMNRNGASKLVPSLTPSTPVEEEARVQRIYEDWMREQSLDYELQQEETRLRTVGRG DQAVLGLEGVSQVKPRTLGFVTKDKCPGKGDDIEHIPVPFYEAQPDFVSSPFPEDMPD DQEMDVVCMDFALDDFLTAVNTLDPTINLQPSHMKPYAEGLTIQDVFGKYAEKHWRKG L L198_01810 MSLVDRLASQDTLQLLSTATSISLIGALSQSGTSTYNFPLFLFG IIAHEQKSSNTAFRQFLILLPITGFLDLFTLLVRSQSSILTWLAIVLVTLLKVPLWFS GGAALRERGGELGGFGGVGLPGGGANNSAGQGNWNLPMPGSFAGAMPRVFSDNGNGSG TTQPASNNNGAGQAQTQPSGQFPTSGGFRLGGEDDEGQGHAAGQPGRNGYQTIG L198_01811 MTSMDLRVGGKYRIGKKIGSGSFGDIYLGVNIVSGEEVAIKLES VKAKHPQLEYESKVYKTLAGGVGIPFVRWYGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTALLLADQLISRVEYIHSRNFIHRDIKPDNFLMGVGKRGNQVNVIDFGLAKKYR DPKTHLHIPYRENKNLTGTARYTSINTHLGVEQSRRDDLESLGYVLMYFLRGQLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGYPNEFAIYLNYCRSLRFDDKPDYSYLRKLF RDLFVREGFQYDYVFDWSVQPKSSGSGSGTAGDDHMGQHILSGGKRRVVPNDGGVAQA QDSQRQLRSQTRARDTGGW L198_01812 MVSSIPAFRLVPATAQSSQDPHVVSTQPTAHAISGTHDTFRYGL RSAAQSVAPDNISPLQARLEKASPWKQTQLELQQNMQRTTFGLAVPMKQAMEMKLVSE ASLINLHNPLLDQATPSGLPLGGGHNIALEILEGRDESIDADEFMGGGINFGEVLDVN GAMERKRGI L198_01813 MAAEAASSPAPPADTTNTPQKPKKQRKRARPSTASVAPSAPGTP VAASPVVVEAPKPETPVNTPGEGERKPRKPRARKSKGGVETALKASSPVAAPDVVVPA EVEVKADEAKSEEVSKKKKKEKKDKKKQEQPAPATEVASAESSEPAESAVATKQADEE PKKEAKKSKKDKKAEKKAAAQVVTGVEEVAGKVVEEAPTVEATEASETPTTDKKDKKE KKAEKKRKREAEETPAEPTEPSTSETALPAEPKKPKRSKIKSLKAGEKSDAEKAEAAA EAEGGDGKEKNAGEKIFLDERLSDQGKKNIFYAHLFTLSQTSASSPPAETPSTQWKFS KAKQNWLIRNVFNLSEVPESYLPTVLEYLKTIQGLSRTNLIDTAQKIITPPAPTTTEG APTADGDVEMSESTTEPIAVDGDANESKKDEEKKADEEPKVEEVDPAKAEKQKKTEEE REAKKERAEKLMSAMA L198_01814 MGLSLSRVYSSLSSLAFWGKDKEVRILMVGLDSAGKTTILYRLQ IGEVVSTIPTIGFNVETVSYKNINFQVWDLGGQSSIRPYWRCYYANTQAIIYVIDSSD ASRLPTSRSELLTMLSEDELKSVPVLVFANKQDVEGALSPGDISDKLGLAGQEKGREW SVRGSCAVKGDGLEEGLDWSVLSLVHRFYLLILF L198_01815 MADLAEFTSLLSTTVKAPHLSASKVTKLADLAQSLAPDAQQLIT TFYKLNSSLPPGSQARVSSLYVFDAIVRACKGRPKEGAEGLERARAKMLHKMEGVAES WVKGMTADGKGGVWTEGKEKTRKIIDIWQKHGTFNQSCLDDLRIRLDTSTSTSKHEEN GSGSRGSRDKESTTSASRSYHKRSTTPPSSPPSWLKAKFAQPPHRRSASSSSRAPKPS RRTSGYDISNEFDEIKEQGNGTSEQDGDRREEERSKPGELPPEIAKMLGIAPADSTTA MPQSTKSTPATDVPSSSNSLRPPPLGIDPKQLAALAGITSGLPISQPSTDDQVHGNGS EEGHKHRSSPQKPKMPRSAFSPSGSGAGRENRWDEQRDIGRLGRGDGSRDDYRRPSDD GRMMAAPMSRSHSHNDSGARARSRSPEKRQRFGNGERRARFADDGPSGRGRDEPFVPT GNVSGIAVRMTPPSHTSSLPPPPPSINPQPPFQTPAAQPTPESTRLTLEDFPLHSFDP SDPTAWVALGQAWTNSMGREPGGAELMGWLAMYSQIQAGMEAGQVPGGAGPEQNMPPG MNGVSQPQMQEAQGMGQWGAQTLYQSSGQTSWQQGNGGQQGPMNGMGY L198_01816 MTLYYSICFALLMAELGLFCTIVCPMPFALRKKMFHFLSENPIV SRVAGGLKITFIFVAVLFVDALQRMVRIAQEGATAKMKSDISDVRAETNYAARRFYAQ RNLYLTGATLFLSLLLARVFYIILDFITVQESYTALQAKTAKGSGASGEETEQLRLRV QELEAKERDFETLKKQANQQNTEYGRLADEHNKATGAVSNKRVD L198_01817 MGRKNKSNRKTNASSGSADANNSSAATLRDEPPTGRQHNNPLST VEESPIPFRSSLPSSPTNSQLPTFNFQHFASLVEEDGQLSPTAMDPSRRSSASSLSSA YPLPPHRRRATTSSLEDAPVLQRRVSAHSLDDDDEPVIRRRPSASSLDDPAPSFGLAI PQRPSLTSTPSEQPLLAAETPRDEENFEVSSPGAAQQLDHREDSTPKTSFFNNHSSSA PSAAPKIRINDMPNSPPVHDDSRVPTPPVIASLTASPFAHGVRRPHHLQNQPPTPTTP ISPTNMTHGVHTRQSFASVGSPSSYPPRNLAGVGTGMDSSSALAGPGPSTVAAATPNA PTHTAGLPRSVSTVTINAPGVSARTTGFDLPNSTSRNTIAERRAMRMKQTMSTSTSRR SRINDMGTTANKPVKGVLNRKPFQSTRLKGEIYKPWLEKKDPALRWARWITITSIFIG FAIAGIICWDGYRSVPKLGTVCSILNEEWSNGIDSSIWQHEVRTDGYGPGSFSWTTAS SNNSYVEDGILYIVPTLTSDTIGIDAVTSGYTLNLTADGTCTSSNVSQCVAVSNSSQL TVINPVQTARLITKNTVSLKYGKVEVKAKFPTGDWLWPRVMLLPVNETYGAWPRSGQI DIMSGRGNNASYAGRGVDYAQSDLHWGPTTALDRLYLTWGYREQRRTYYSDKYHTFGF EWNENYLWTYIDSRVSQVVSLRFNKESFWTRGKFPSTYTNNSDVVRLTNPWSSSENNN APFDQKFYLAIDLAVGSMDGWFPDSEGGKPWTDDSASAMSDFWAARNKWNGTSWSSDP KTRGFAVDTVKVWEVC L198_01818 MAVARGKNVRNILMELRKVCQHPYLAEPELEVRDLPQEELHRNL VNSSGKLQFLKVLLPKLMAKGHRILLFSQFKISLDQIQDFLFGENILYLRLDGDTPQA IRQKNMDLFNAPNSPIGVFLLTTRAGGVGINLATADTAVILHDPDFNPHQDLQAIARA HRYGQTKKVLVFKLMIKGSVEGKYFLHMTIIDKGKRKMVLDHLVVQQLGKEPDENDYE DLFVKNVAGMYGNGGINIPDINYTSARVDELIEKVEADAEAEAQLMAEKHRKVDDGEI EAGVSKGGAQFAFAKIWEAEGDAIKEDEITDKNDAGEIDAEDEMDWESLMATIEKERV EKAARELEDGRLRRKQKAQEGIYRIFDEEDTPQKPNKKRRQSKGQGSRESDGDWVNNN GLSDAEKDSPLSGYDPLPDDDLKDLLSGHHVAQVGPSLHHPPKSLKRGQHKKPLSSRP TSSAPQPQTQRPSAPPLPSKHVHDHMVGLWEAIHGRGAGDKGRSKTDNFVSTHSKPTP KVPREIAEAQNIVQWMYHVIRELDDFSLIDRWAIISLPEVTPVERMDAYSSLAKDIDV RLAEYGEAMWFSNDEQVKAIQELLRSGFDVVPEAHPGPLGYPGGKAGMRDADRTPGSL VIPSGFPTPDEPMAPSPFHLSDAAPGPGGFQQDSPAGPSTSRPRDPCERCKSRKHDLR NCPEMFGVQTLEKAARVYHEQNHDAEQKALGMKALERMRNLLIMAGRIGSNYRIPGPS PVEPSISPASYKTNGGVSLSHSQTSKSLPPTLLAGTTLKHPEERTSEKVGAEAPEAPE RLLTGQLREEGLLAPLKRKGKERETIETIIQSRSPEG L198_01819 MAYTLPVSLSAISSHSIFVPPPSSSTLDDEWEFLDRPSADDEPL GQKNGRVAIRDKDLIVAVGKEVRMMGLGLNEGGWKVEDGLVGSYKTLQSPHLSFPIHH IIVNTTGKLLAVVGHHQLVVVVLPKSKSGSGPVEVHSIPIDEFQFSKASNDTITSVQW HPWGESGNSLWVLCANGKLREYDILQPHDSIQTFDFLPERSRAARKFTAIDPLSRYAT SFAFGPSSVGFSPLIVYCLLANGDIYLIGPVVPLRTEMPVHYLQTLKTFVDTRLARAQ SEARDVFGAGNSGVGRMAQQAEWVDALVSQVRQEEEARAWREEDGEPKQALGKSALGQ SVTRRGSPSNPAEETGTRAREGSVRVRPPHLTVSGGPAPGSHRPFLRQGPLVFSPGPQ EVANGDGDLEEDQSATDLIIVEVGPEDGNSGDVKGKKKMREVVIGIAWSGGRVDIGVE TEMPEMRWMSSRDASPAEPVLHIVESILLPFPNNDPFIIGSNAPTFSRDPLYSDVLYL VHAFGMDAISVRDLVDELNNDEGEGELPPTQVLRLVESAGVPNTPVTGIVSFCNITLG YGLLALASTGQVAYIELDIRSGDSSALLSSKAAKEPKAMIEDQSLLKKPFSAEHLVAS IRSPGDKKPPVYNPTATLKERLSDSSKPLTSLTSDHLSALGAVSSQIQSRVQLVRSAS QTVENRLDLQIQELARHAKLIAQCRADVTSLTSGETLDRANKLLQRQECIQKRVEKVL GDLSVGRQQDGELGEGEKKWFDELDRLDFEVNGGPPGSGEVLAEKAQLLRSQLSALVP IINEAKRREIERRPAYSAKQIKPMEAALTARSEELKRLIKRVDDLEIKVEGGL L198_01820 MAYSSASRSHLPRESVYPQPPSSGYLHALEDCVQATEACSRTLG IALEKFEPGVRDLPRLTKIFRHEHHFLVLPEPTITAHKAALSHSIAPQIDQLIARADR SVKSEQTKMANLEERLKILESARHRPTSSLPPLSSSLQSAAASSPSPSQEDTSCKITD LNVRELSILQRKKVMQLKAKRERLEKEMARLEGSA L198_01821 MSTCWWESLVVQSRDIPAPDSVVTFLRSEIAWREIFGVAELTHL IGFLQELLYITPSVTADLIKTHRFEITLHRLKPELDSLWRRQAEDLPTYDIFREGEGE ALTEDELREIRWRMEFDHVKLYANAIAMRAAQTRSRNKLQHPNDTVYHTNVMTAVEGP FILEAVDAALSLVRCGIVMGKKGVLRYCPCRVFTRLVFASVFLMKAVSFGAIGQPDTE IIDLEYTLIDSLAASSADEHHISAYLAKLLSKVLESIPRRGMAPGRLDPGADEVCLLF NSHLEGQGSRSGAPDMSSGLAHQPLDFGVGGSAHSGTGAGNVGVGWEESMSMLFDLGY NPTSIVSDIEDMLAASDPGFFGIETGGLGDM L198_01822 MPPAPTRPPSGKTHVRAYQACQPCRIAKLKCNLGDPDAPHDPPC DRCHRSGRQCVFGKPYSRPKGLVRKKRESESVAKSPVASTSSATSRPSALDRIHSSTP LSRHPASDHGMSQDFRFVRGETLENPADALRILYAAAEYDASHERSESATSGEGPSQA GLWARWIPVRDGLLTAEEAALYKDHLNPCHPVVPNELFHSQNFSSLLSEPILLAALVS TAARYLDLGHSFDEKEPQRSNVIQGKLMNWVSSKIGLLSMGE L198_01823 MSTKPTVLIVGAGEFGASTAVSLLKTGNYGNVTVVDRAPVLPAM DAASCDINKVVRFDYYDRDYCDLAKAAIDEWNKDEWKGIYHQPGVCIRWNDDGSYDAN YSKKVFENVKEKIPSVSLISTRDQFVPHLAPNNATIPVTDPASNALGYFNPYGGWANA AGAVEKLYKDLLALGGTLEAGVDFEELLFTEGGKDVRGIRTKAGKEYTADKVIVALGS WTAAHPALSGLLPKGLLTATGQVVAAVQLSPEDAKRYADIPVSMHYDGSAFYMFPPNK DGLLKFAFHAAGFTSESGVPRTATDPEAVQWTEENKKGWIPKASLAGLRHQLDVVYPE LAKMPIAYSRMCWYSDVVDGDWVIDYSKQYPSLMFATGGAGHAFKFLPIIGNLIRSRF ENTLEPHLVQKWRASRESAAEDPARAGMQRKPLDLNEFVGEAELGGVTA L198_01824 MPSAPIATPPEEVMNPADEEFYASWGLCILCVLLIGALCTSYYL QIKRIRAVHETVVSIFAGMVVGLIIRLSPGHMIREMMSFKHTFFFNVLLPPIILNSGY ELKQENFFRNFATILTFAFLGTFISAVGIGVLVYIWSFLGLEGLKFTLLECLIFGSTL SATDPVTILAIFNTAKVDPKLYSIIFGESILNDAVSIVMYETLSQFHGEDIYISSIFH GVGIFLFSFITSMALGVAFGLVCSLGLKHSHLATYPHIESCIVTLVAYTSYFFSNGVT MSGIVSLLFCGITLKHYAYHTMSRRTQKTTKYMFGVLAQLSENFIFIYLGLSLFTQDV QVFKPLFILVSAIAVMASRYAAVFPLSELINWVFHTRGQRAEELPHSYQMMLFWAGLR GAVGVALAAGITGDNADALRTTILVVVVLTVIVFGGTTSRMLEVLGIRVGVEDEDASS DEEEGWNAYGGHGGLALQNGPGSRQYAGQNGRAGWDNPSEIDLHSPGDSPYSQSLKLS GQDARSRQSSRGYGVRSGFSTNSEESDEGEVLPAAGPSGYAQNAYDAEANTPTSDAPG GPGMIFRDGQWFTALDERYLLPLFSNSVTARRHNAKKAVKRGTAAAAAGAAGSASGGG SGAGTPRGVSFEMADEGYGGDMENEMGKNKALPRTFSGSVSDFFFSKPDSPVSSGTSP SLPLDERDPRRSLGRDQKSAGGDGR L198_01825 MPPSSTPPYTLHRRYLHAKSRRPLTLRYIGPLPPSSDPSSSFTS EQVWLGIEYDDPTLGKHSGTFQGIRLFDTREEGSGAFLKYQTGKEIVEGKSLVESLEE RYGLLDPASQTNSQESEGKDEEEIILGSSNGNIVVEAKYHKARQRLNNFEKLRNIGFG TESISRRGGNEEVKAKMKDRLKGVKWLGLEANLLGSWEEVADIASCFEGLEVLTLRRN HSHSKYDPLPNSGPTNGNAFSRIKELHLSDCSLPCTEIPRLARLFPALEVLHLEANKT LRNLYYHGGKEVLLSAWKVLKEIKLGGCPLESWDTVMSFIAHFDSLESLDLSFTPVTA IPRSSARLPHITRLTLLGSSLTSWESIDALPSLFPSLTSFRFSLPNTPHESDAPAPPE TPSLSVSHQTSLQRSIIIAKIPGLSIFNSTPITEGERRDAEMFYLGYVERYLETHPGE EGTWGRWDELGRLHGRVEKKDGDGVKKAGSGLKKKMITLQAYNADLSGGPSPISLLPS SPLSLLQRKAARLFQLDSKARIRVWTVRQDQGGQKEKAVHTTGGDLKEVGWWFEDGDD VIVQVAGEGELWIV L198_01826 MAPIAVDAPPAQSSTEGIQSLKARLQASKADITLPPDNTLKRYL KAGIDLSNGYPYFPPKPEFVQDVHQIQNGLRDYVDPGTRADPEKKALFGAAKEVRNLG TWIGTEIVGLQLKNLTDKQKDELALLVAERSVVFFRDQDISPQEQAALGLYLGDGEIE RHPQAAQVPGLDSGVSLIWEKAFKGFEDGRSHRVPYGGGQFGWHTDLVHLPFPPGYTH LHQDTVPEVGGDTLWASGYAAYDKLSPTFKKLIDGLNGVYRSAHKYKDANDPEGDAKY VTRVHPLVRTHPVTGWKALWINRAMTASIEGLDKPESDTILEYLYGVYERSTDIQVRW HWTPGTSAIWDNRTTIHTVSYDYDGERHGTRVSSLAEKPYYDPKSTSKAEALQLPRWV NTPDLIAGY L198_01827 MDHAALIEIFPSILASLQNAKFRNNWKLIRALLPHLGRLREVVL LKRVLDIWMAKVEQRVELARVEGETLEPEKVEKWYHEFLAESAWHFSKADSWVAGQSE PLQNVAVLQYQSTVLVKHLLQTLAMLPSSSTCRLHLSAPFFEWLFVKRHLDYDLCQTL LSHALHHKIPLSTGVYSAAAMVALKEGHTSEAMALMKKREQEGVRGYIGSDDPIKEER ENVASVIANMLVAKTGSSPETLLQILEPYLSSEPQPLPDASEACNDIVLYRHAWSILL SRLAREKTVTSETLLELSETMPVSCTVGHTMTCLVHGLMLRGELNKAWQILNALADME RNATDKERGRYFDRVALAVGAQVCHGLHGLDAAIEYVDNWALRPWQSLPSPGQVDNSI KLDRVCLDVLLGLCKAEGAVSIAFRLWKAALPRWGVYADDSSLKVLVDGARYASQNKE MSEEEVFRERWRDMKAGFSFRRKEVEEDQFEAYDAAGFAKGPTSVLLDPPNNTWHDEH REKPWQIARSVFRKVLLGNWPGLSDVVSPLDEVEQTMMDRFSALFDGDSGITHSTPRP SSSTSPDTPLPAPHAPYTHICPSSSAFHSYIALLGYYSLPQEIPLSFAWMRSLGVKPK WETLRLGLMYVGEAEGPRRWVKGPGGERRLVRDEEVLREWLQGWLEDDSRSLEGSEMS VPSEGDVAEFRRMFSKRNQRITAE L198_01828 MQDVEDHKPRLSKISWLPDLLVFRGSILPTIIGPVLWVTLFSAG VAAASIWWGKEVGLTNNVVPLLSVVVGLLLVFRNSSAYERYAEGRKDFTALISVARNL SRNIWVAVTLPPTPTDGSEPLMTREQLTLEKMKVIRLVVAFVVATKHHLRAEGGVHHD DLKGLLPPRLANSHLSDLKSSIPLLQPLPEENIENVQSPSYAAAVTGSPRSIASELSD KKEDEESSVGLSRSSFSPNAPVSPSPSLGGIVSRASSLKSLAGSRPRTLMKRRPTAVR VVVPEDIAENHSSSQTHSRSHSRTRQGRIDERTPLIKAGVKPDPMTTLGMQKKAETGL GRMVELGLPLIIAHDISRSIFKFRRMGCLEAIGPAVRGYRVTEYQADREKGVQTPLPY IFCVHLKQVVSLYLFILPFTLVDSTGWKMVFIMFCISMTFMGVEGIAAMIEQPFGTDP CDLNLDLAILERLPEGDDDDITIYKRSTLEQAAADYGADDGGDE L198_01829 MAALTTSHSLNKEGTDDKAGPTVYINHQEIRTNDLDGLKHVNDQ KAAGYVDPTIIISDAENIRLTPKIHRRILPILCIGYLCQAFDKTTLTSASIMGWIEDV GDKGQDFSLTTTLLWIGIIAGEPFVSDLLLSIRYMLTRNVYKADRIGLGFSFNVRAVF GLRFLLGFTESVVSPCMLALMVQWYRVEEQPFVTSIWQAMVGIATTISALISYIISMA FTIFGTTSSVAGNGFTSQQLSSALPALLSSLRYCPIPLPKLVGPLKRKRFTLSNSQGL KQKHWNTAQAREAFTDPFTLCLFALCVFNTLAVRGISTFSGLLVTKAFGFSNLEAQLL SIPIGAMSMITFLTLGFCIRKTNQTRYTMIGFTIPNIIGTIVLLIVEPSNKTKGGLVV AFHIMQFFGACYPATLMLFARNSAGQTKKSIIYAVTFIGWAGGNAVSTQIFQSGWAPR YSTRSTRTILARRNKKKRQGIESRRAAEGEYYLENARAFEDLTDKQNNPDFIYSL L198_01830 MSFKIDNIFNVKDKIVLVTGGGTGLGKAITAGFIQNGAKVYITG RRQEVLEAAAQEIGGPIVAIKGDVSTKEGCKAIADAFGTKESKLDVLINCAGVMRGWK TQVKSHDNADEVENLLWEGHDDDDFNYSNSININGVYFITAALVPYLRKSDHDPSVVV IASIAGLANQRAMGTVSYGVSKAGAIHLGKLLAGRLHPMKIRVNTICPGIFPSEMTGK NDSGEGHEYNLADGAGKAAKRSTVGRAGRPEEIVGPVLLLSSAAGGYFDGALLTVDGG RLMGAGINDGLRLPEDTYI L198_01831 MSKVPPPPDSNGNPLIALAVAVIYAILYVLQGIRYAVGLVTIRV PSLIVRMLQYSLTISLGFPHFLGLFGVVMLVLFFLIRYRYLTRYTQLKEPALPPPSPT LADRLLPMDAAALGLTDSRASASSFHNYLDDFLSAIRIFGYLEKPVFHELSRHLQTRR LAAGDTMEIGGGEFWCVVEGKVQVFAPDASYQASRASSPGPSGKPYNGYHLLNEVSTG GTLSSLFSILHLFTEDVKLSWNSTPADQQQSPQDSENLVPSVPMQRQNSDVSQFDMSA TTSPTEVPSELQPNKPSRGRSSSMGTASDTVRGSEDGESDSFTTPSSPQRARSQSESI QSSPAPQPSTTPVFKTDFIKDETPERKRSANVELGGDALAGTIARATEDTTLAVIPAA AFRKLTTKFPKASGTVVQVVLERFSRVTFMTAHKYLGLTKEILRSESSLNALVSHPLP RSFYTGGGMQALRDRFQPEAHAHKSFNSAGSSPDPRTGKDYFNYVPVSPTVKAPSLYN GTPKATSPAHRKSSWGKDSVKGLTKVNEKEGEASPITEKSKDRSVPGGQSTNLAFSPN TRHPSPFVRRTSAMRKQVGAGDLALSSLADENQAYYRPSAQTPGFARMDTFRGRNSTQ SSYDRPRGAQSVETPAPSEEEQSVEGEIFNLKEAVLESIVRSIGLTQPSESGPEGTYT PFGGKSSLAPSVSALSTPNSPMFPPNSNFSTLSGRHRPAAPAPPFGNVLDLINASKEN DGVIGGMLREAAMHTRGVGDDEASSISASIHDSQFGYMGGLGALGGLGDKKVLKELEN HVEILFFKKGSVLVKEGERSPGMYYVIDGFLETSIPSHQSTTSIPKSGNLSERPFGAA LGLESKDDGLSGGKEDEALFTVKPGGIAGYLSSLCCTDSYVNIAAKTDCFVGFLPHHT LQRIMERRPIVLLTLAKRLLSLLSPLVLHIDAALDWQQLNAGQVLYEKGDKAADFYIV INGRLRAYTEKDSHINILREYGQNDSIGELDVITASPRTETIHAIRDSELVRIPAALF DAISVKHPETTIQFMKLIAGRVRRAVGEEARTGIAGGKSSAQGGLAGSVAGDVNLKTV CVIGSTRNVPVAQFASKLKNALEEVGASTSYLDQATVMRHLGRHAFARIGKLKVAGWL ADQEQHYRTVLYVADSPPTSQWTLTCIRQADLVLVVSMGDDPSLGEYEKLLLATKTTA RKELIMLHDERTVAPGSTRRWLSNRPWIQRHYHVELPGVVTPVRPAPTVHDPAAIAAF KHLRERVETRIRKYRGLRPFARPRRPPHMNDFARIARRLCGQQIGIVLGGGGARGISH IGMLQALEEYGIPIDAIGGCSIGSFVGGLYARETDLLETAGRTKQFAGRMGSMWRILS DVTYPFVAYTTGHEFNRGIYKAFYNTHIEDFWIPFFANSTNITHSRMEVHRTGYAWRY VRASMTLAGLLPPLSDNGNLLVDGGYMDNTPIQPLRDQGIHHVIVVDVGSVDDTSPRN YGDSVSGWWIFLNRFNPFYERRVLSMTEVSARLTYVTSVKTLEEVKVDPTCLYLPMPC QQFDTLGGFKQFSEILAIGLKAGREGLKKWKEEGRLPTGFVDENKAKGRGGKVDKGNR LRRMSI L198_01832 MPPPTAPFSTDSPTASSSRASSLAGRKRPRESDGDSKTRPRRTK STGDSKDGRTTSSGAKDREAFQKGLIAVFVPKALQESKQGNLSHYNDLLAHFLPTPTQ PIPLLPPLLPLLRAVSAHVSLLAPDVHSGLVSAIISLPWATGDEKFVKTFIGFAAVLV SAQPGWAKEVVGMAVKGLTWQPTHPTATSLPRRTFHARHHLLLSHLISLIPTLPNVIQ PLLIKNFPNKREPEVAQTTWVRNCCELIGYCPELGGRVWGEIVGRMLRIDVEITNSTE DDEDDSDDESDSEDMPQFPKAYLDPLDLPISQDLPRPRSLSPDLPGSLGVDDEDSEGD PDPDDLSSADGAESGDSDDEGVDDRKAEAERQKKIANVKSMREKLDGMLVHFFRHISE YMGNSYPHFSAVDLAAQGLASSTSSGRSTPTFEVPASPFAPRRPVSEAQSLAHFQTLL NLFSRQILPTSATQHIPFLLFFTSSFSPAHTDLFLGLLVSQALYATNSQPSPAIPGLG NVSMNQRTAATVYIGSIVCRARFVSDSQARTVLTYLLAYIDGKLHQSRVLAGKGVDEL PLFYAVCQAVMLIFCFRWKAFTATAAAGEGEGDGIVGDMDMDGEEGLQPGSGEEGKWM ADLDILQRAIFSDLNPLLGCNPTIVQTFAKVAQKAQFAYCFSILGANSQSSHSRSNSS HSISTTAKSSLPPNGRSNSGSGMARNSAASVAGHAAQTLPRQARQVNVEAGLDSYFPF DPYDLPKSKKFVEGLYRTWGEVAEDLDSDSEDESDDEEEEEEDEEEMNEDSELEDHLP HRGLPLPLKIGSYGDHRKRAWGEKSRDSGLSTSLEGMSISPGRLGVDARG L198_01833 MSQPSEPPSTPSIPHQEQEPASTDKVAPNPSTPPKTPTRQHAFQ PNTPRTPRTPYRPRFTLVDRSPNGRYVARSPPSEDDPITVWGVVITILSFKEQFALWD EIKLDNRLLQAEDVHAGLYTWRMDKKEEDLEMKLGDWLCELRQELSRWLEGEGYVRFR NTMSQFWMSVEDDVTGEIVREGSSDPQLYRPLREWFTNLSNQHYPAGQSPNPVPLHLK ITWVTPAPFCLVGLKDHTSDVARSLDVTQKGKITRAEANRCSLAGVLLPDDRIILLVD LCLRSKVTRKSWDATSRLTDYKWDLRPISPPVPTSADEDVIRASIPEPVPYSFDRVHG DASGGAPPYMFTRPYAESYKLGDEDHYHEQEPDKQKALCILVRLTRQLLAEPPKEKIE MRYVSPSRDPEYFVDGADPVSPSPNSEAPDSEKPEESGTDPVYLENAPPSASINQAHG RLPLKPDPLAARSSSSPCKADSSSPPASPTTPTRRRFFHRKASGTGIGDSPSSPHGPS PLSDPHLKSQKGGMQITKRMPPPPPPTFSPPQSLLQPSGDTLLSGGSAPESPSSRDIT LDSTTSLVSSASSCTTIDDSFALPSSEAIDGTRQATPSKALPKTPSKTTAGDSFGLPP YVYTVVIKPVIKSVELAKNSKSTKDTTQSAEDTTQSIKDTNKSAEDITKSAEDTIKST KDTTLQTPGKKTRRLLHKVSSYLSPKKSWYGGHKEKERQEKTGEEMKRQEKIGDKTGA ETLQVQNEDQVEYDDTTCEPRGQVEIDRAVAPEGNG L198_01834 MRRYNSETSLASSTYDPYDLDHLDHFEETVNGDVPSRDLRRSIE ESVEDYYDMAPREATIMETPPSALEALRMIHNGHPCVIKGVSPLSESAEGHDWSKSKV YEDVAGSKKVTVAVTDDGWADSVRTLPNGRKTFVKALDAKMTVKDLVSRLGKKPEEDR EAYYLQSQDGNIYRDTPHYSGDPEDLDMFQEYINRDVEWMKGAVGRESEAVNLWIGDS RSTTSLHHDPFENIYHVLAGSKIFTLLPPIETAHLKQRFYAPSTLQRDENNNLMPVLD PALARPSSSSSSSSSSSRDGPPKIPWVASKQTPKSSRKIRITLKEGETLYLPAGWWHR VEQEEGDEGLVVAVNYWYHHEIHPAQYAYERLFRRVAIASGLKESVVPPMGDEVADEI YESDPDGEE L198_01835 MSSDSQYFSGISPTVPYPFPFSLPTYRDTSTEDLSAHSVATPSY EEEAEEGLFIDGVKVSQDVFFNYHFGIQPVPEAGANVETAGSPTCDFSIATISAPATS QITSTTTFNTPTNNFSTCPAPSTNELSARTVVTPSHEEEAEAELFINGVKVSQEAFFN YQFGIQPAPEKAGTIDDTAGSLTREPSIVPISPPTTPKFASTAISKTPITPTQKSAGK KRSPNPSNKSPAKAPTPAHLKRAGIKRTKKHTRSSMACECCRLVQRGVSTPHLIQEPV KEADMSISGMWTTSMYRCERCTSRGYKCCFWLEGRGEGKLRPRAIELGLEIFTEDGKK MVIAPERSQIGVQAGPSQPASAASLEQSSSDRSSPGTTPSFTPSTSTPNISYSISAPS SQASINLTTPSSPMVNITGPAPAFESQPAAAPSFNYGFNGLAAIPEFQAQPAKSEIDW SFGSYVTSTPTTASNVHVSLPAPTTASPAPATFGPTLGLEMDVAGKGPWDFPADSPAA PLFTGPAMTNNSLGLEGMMDVGSSCEAGGQPFYWDELEAMA L198_01836 MSKSFSATYLPTSTEPSPIAIAPPPDTPSPAAGPSSGGQKKLQG WQHSVAGSLGGMTGAIVTSPFDVVKTRLQSDMFRHSSEESVRKAAEGVAKGQAGKGLG GIGGALYQFVDTVYLIKRIGVEEGWRALYKGLGPSLAGIIPARAINFYFYPTSKAYLA SAFPNAPAETRLDGSRGRAEDSPLVHLGAAVVAGIMTSTGTNPIWVVKTRLQLSAKRG EVAPVALAVGAGKGAGIGSSGVLPKPIAKSAAALTTGSVTAAAPAAIAAKSSLTPAIE MTMDIIRKEGFRGLYKGLSASYLGVSEGVIQWVLYERFKRLNNTSPLESQPLLSYIPH IVGASGGAKAVASLITYPHEVIRTRLRQPIPAGTAPKYTGLLQTLKVVMREEGVRSLY GGLTAHMFRVVPNAACMFLIYELVAAKLGA L198_01837 MSTHPASSRPSPNINAPRNRGAGTSLAGPLSGSFVSKCGENKPV IEVTNRLVKPSPSSRSDSEKVVVDPVFLKNPPQAKSWGDLNGWTLAVFGQVRGRQRQS GWTARVKNAFRQPDLPSRWATQSKACEHIDKAEIWLPQMRDHGNLA L198_01838 MSPSPPPTNVLLNPLPELISALASNAFGIRPGPDSGVVEEATWP RTEEDVKLVRARLGRDGLLEEKDGEEKVRGKVKVGLIGGEGDLVVVLDQGGWTIESAQ GPASTTSKIGTTYESLETLLIATSKGYAEAMNQEIWKRFEGFTGREDHEEHAE L198_01839 MFRQATTPALRATASASKQQARSFVSKAQFIGRLGAAPEKGTTA AGKEYLRYTIAVSKPPRRDAEGSEYQVILDEAGCECPATKHPPSRLFPSTNAKFADPA RESSWFTLFNFREGPAGGSLENLAAGSLLYVEANIDTVSNTNADGTPNKQYLFRETSH RVLSKPRSE L198_01840 MLEGTETLAPLPPPSTTAPSPLQSLIAAQTVSHQIGSVPPRQHG SETLSHSQKQMTWSRVLGDEAWYARGLINVSSDRVFSFKCAPCSLAQNSDVMIHRKSA SRHTKNPAHVQGLFSFFLKQEGKKVENDIEIVRSKLAECMEGHGKDIDWMKGFANRIQ EVMKNKPAWLSMIQQHIDHSSSIYYHCSGCSMNTGSSPHLEISVGLLIRHLQDADHVR RVLPKDAHDVCESNASVPSKPECGLSPPPSIPAALPQSASASVPSSPHADLPVPGTSS LDIDDDAPIDSGEFTSYEPSDPNNQGTLEAFTSSLLPRPSSATSSSTPSSSILITPKA SISVLPLLPLLPLKSLTHYLNQHLKRPSSALSDREASCQQRPRLFAHPSSGGSECGAS RPALSMMGLMGRAEEEAAANKDMMNEGVCSGSGTLDQQAFKSGDHHIKEIERRGEKLM ATFKGLSESDL L198_01841 MPSRVAAPARARNPLLVLPLPGNQSLGLPVVKDKVEQTPVPSRP SGRDLNLAVCPDLIIEDASAPIGIVRFQDVHDYHTYYRCSACSLTGCYRGKLADDPTA LNFHLSSDGHNQSVSYYMRSRSYRQGETRTTPCPSIIAPIPLRPFSSARSPILLKRQL SEDQTHFPDRVGKSSRVSSSANGRRHKEGVVSDDHPHASLEILAEIASMK L198_01842 MEYMELHCPEDPPPLAAPVPTNAAPSGAPQFPQYHQQQVPASSK PSTRFYALPPESQPLLNKRKSDVALAFGSYSSESSKAIKANRPLTVEEYFARAFAVRE GNLLGLLGLLPSLANHMVTEAHALSASAHRSSQNQLIEATHKRTARNRKTMGVPTQQM AVEISAISEPQTPSKTPEWTTIQDRSVSLSRTASSSVASQDGLGVSTTSGASGVLAPA REQSLVVQRSTRAYSPSNPVPAKHAAPPSTSSASTRTAIADKAPSMSMAARIKEINRL GDELMQQYERMMKGK L198_01843 MSIHPALSLQDDASAMMPPAQQSSASTGPLRASQLLDMMGPESD GVSPATLEYVKPPQRRGRPAYQFEPPPMAAVEPSSSLDSDDDSHPLSRNDLESFSVAS DTTSSLAKATSSVPALARQRENHHVELPGRYLKESAKSEAANFDGLFDSVLNGDDW L198_01844 MIIVEVTAEAGRAQECVVYHNAHHRPCPDLSLLRPSPFLRRELY HAAEEVGRTKAMLQQNFNTRSTSRKSSHAYWIRVNCPHRLPDDRTFAAAITTAERHAR INRDPLMAAESIYERNKDMFLYFNKPSILNKDANPTDAPDLRFECAIAPKAGLYAAII YGRRHGLYMDTSWRHKTRYKSPVTILATRNDAGHMVAIAVLVSRRAHTYTYLTFLEHV TRAIEAAARQVASEGPPEAQAAPLLNMDELQEHSKAIVAADFYLPALFMIDGDDAERN AIELMFGPDIIIRCCQFHVIQAVQAQVRRLFPTSVEQEREKKVKKILEMFRRLQRCPS WEEWDTAYNSFKAEVRNYAASASPFGPSLVSDFFSYFDQQWLSDRWLTCVVDLGLPDG MIVRFGARTNNLVEAAFKVFDKTFFHGRINKRDDRLIHIIVKIWFPSYLKHYHSRERP SVVLQRTLKRAFTLWESNAIKPIEPHEQPADFKAIQAFMSLTPMDDGFVKPDAHGVAW DLVYGENDSEGEEETSDADEGADTDEKKLDEKEEGVNVCMSDLVIKGK L198_01845 MASAGNHTAHAHGPAPYARYPTPLVSITELPQSVCFYCTQCYQL KVLKQTGDGHDETPHHRQAIQMLNGAHNISLGDKQQSMGMRGEKSGGARLGDERPQGW IMSAPGHNAQVQQPVPSSVNQSRPSHQHPQYHLITSQSLVCHPCTAAIGETMVVQNHR DSVWRHQQWPLHVERERVFMERYGTWKRQHEVSGTMEQSGLGEIRLSRAAAGYGGDRR GSAPATAPLYPHSTTPNPEAFLARRSSLPVIPSPSRARHLTVPLTSTAVKSSPIPRDD LEAPSDVRRMDTLPRGASSQKRSLDQDAKRSSSSPFTKRLRMVSNTPTIAEEDVPGSA EAGRKDAASASQKLKKPEALQEKAEVGATGCDPLDDERCHLSSDSSDGGDEKGRDLGK KEDEKSNDETVSTKRDKEDKGVLNDELGKHFIGPYWQPPYTSYLSRISAK L198_01846 MRGTCSSLLLSSILLPLAVSSLPTHSFGPRANADLPGTFVEFEE LAQCPVLSKRDTPTSAKDVRPDDIRVVMALGDSITAGLLARPASSLLFPTNTTFFQTV DVEEYRGISYPMGTDEGAITIPNILSHFVTDNATVQGGAKGHHAPAASALIAQDGLNA AVSGATSSLLTSQVTTYIVPKLAALGLPGDDDWVYANVAIGANDICDFCVAPNVGIAG VGTLGSPTAFANDIRTAVNLLRKHTPKLIVNIIGILPVSAIYNLTLTNPYCQPAILSD VPHLPLECACALTPGPIGDVTRQRMDSTGEAYDNAVLEIVKEWEAEEGDGFGVMWQPG SLIDLENYPIEALSPVDCFHPSEAMHRRVAAGVWNRLTLSLEEKYTKILWDDGPQVRC LEGDDRFQVNSLSQSS L198_01847 MATPIRVSFSNAPPRDTPAPSSPLVPSSSSPSKPAPLGVARTLS ASRRGVIFSPRNHTKYFHSDDSIVGSSSTQVPALKKLPASDPVTPTSHSHLQAQETPL RQSILKSRADQIRIAANVEEGTLNNREPARLLISGKRPSLLARDSFELAIGGAVKGKG KGRMSSDGASSSDDDPKHSDDVNPAGSGDGDGEISEEEEVEEEGGLSISSLVDIVLNG AENLLTLEEAYNILTIRLRHHVPSDDSLLLTPEQEVSIRDATQPIRDEAPALVRAFQR DVLRLLGKPPSSEPAINNLESSPFRELEPIEAPPRSRFTPSPSPDQDNSRPSRQGYNG PEIRYRREASGVGAAVIRFLAFVVYNPCMSSCFSDVDLQAILEQVLTITRTPRLPTPN PKRTYILCVTFLTQIRLPAAAVNAVKGKLVSAALKAAQDEFRLKMSGVQPDKAGPGGT KKEGLHAIANLLSTYPLIFFPSYAELLPNCLRALYSPNNPTRFHAAMAVNAFARAKLA FLEHALESGDKSTWDAANTVARKAEFFVISHLTGLYKPANSSAPMARKDGIRRTEWSL LEDKFKMTVGSNNEVHWACITWASLVTLMGSAYANSGLLRDQYDNIIDHSVRRTTNSN RPLLARVAWNHAIHAYFSHGATTTILPNGKVVRAFNVFFATREMSVDRLVEQLQMPIN HALDDVLNSGNYTICKVDAEDHLLWKRSEKLKRLAWSKTVTKSATALFYAYVGMALHS EQIPAKDMSVINGLPSSDAEDDASMSLTLDQKRRPLLDQTWEKVVYPIVYKMFRVCGI DDLTTYGWDLLEALTSPKTDREVPWSLDALVNTAYRGSEALDVDNNKDEHLKELSQHL ERIELKASDVPSYGQSWIAARLGKWCTLFKDALASIHYINLPASTNWVKDDAGVPLIP VPLSDVWTNLLTALRQLMDNPTDDNKPVIVSGMRVITAVLIEVWQTPPGDITPISLMN EAGKLNVNEDRLRIGITAHLFEVCSRVLGSNMTVKLAPGQDSSLGLLPYAHTALGADE TEPSMKTTTCAGYLLGQILRAEFLTTPDEIGTLRVPFMQLVKKVLCVGLKGERKKILG GLTLAEPFLLERYEALHMDIWRMTADEWNRCTEEDIHQSQAGQYDRTPTNHTGNLLVS LLTDPWRNSTVGSYWHARATEADLNSWQTLLSSTIQRFKAKKAVVHSGILETLAAHVH DYIEAPACFVSPMTLKCLALAISNISFRSQVSNSQSSPWHAGDNYVPEDFLALVAKSL QLAHDQRTEVSSEALASLFASIKSMVERLPGTFTVAVVGPLNSALRSWMEDKDLGEGL RVTLDGLNKALLGAYARATAEKVDIESLRLFVNSFSGLALRPQQAGQEFFYVFDNVLQ LGYAQSPVETLPIMAHVIRMMTTLMGELPVGECAELFAQIPAGLLLWCEDEINIAGDI KDEINELYRFTLTVLTEIIPTNMPANSATLNRLLPLYKSRATEMITAFVAFWKATFAN VQGLEYEYETKDFLREMKEILSLGDLELPVTGLATQETQIQDDQSQPEIASPQQQDRE ESFEEFVPTQESVSESTQAQSYDADESQLESQIQSLNQRAEPTVPVRAANFHGSQTAA TPATESSSLEYEADEDVFGPAALAKPKGRKRAKRASKEKQAQEVQTAREEKAKRKRVK IEAGESEKRRRSSPAPVFRPSLDTLFPDLDDSSADECIVVQPELSYYVRKGLKPPAEP LVQNHKKSADIELEDTDVEEPEPSDDQGFEVGPTPSPRSASGLQPDSAKQRGSLLSSA SRWLSRVPSLFSQNTTPPPQSAAPVSVPSSFPESSQSEAGFVTEKPRKPRKRKAAAAQ LEQKEGFKRAKSAASTASSGSESRRKLVPVVEVPSRARLLSTPKSFTKAKGKGKAQEA RNVGRREADDDEDDDLLLTPASARQQREEEELALTAAGVSASQQWDESVSGRFADAPS DPTPVQRTPTAPRLAVPKEAPLGPSPERRTTEQKQLLSTIEDAMKAKDAIEELDFEGT KALLKNLNEMRELAERRILAKVDEIRRER L198_01848 MSSPLTSTSEDGASEPLTSRRSSRRAIAPISSPLTDMANPRLEG KGKQGNARQQRESLPSGRRTSNRLAIQADVKPRKTRPMRSERTPVVEIPRLSPSEREL YVAWGPSTQDTVPNDVTQTSVKPSEQPSSAKDSQQYDPSMIDDSDELDYQPNADNGVD LDLSSELTDQEEEEDGDRSLYQESGSDIRGSMTLKIRVGQEKVIEKQVGLKRHGVVSE SDIDHWALESSETAPLSSNKRERAGKRGGKSAARRSGRTRKNIIPDSETSERDQSSVE DPLPKIPRIRLKRYAGEMQTEEEGEDEVDELQMNWDNDSESPRTKPRRRGRNNYSAPI PPSSPSSTPEHAPESTLAAHRDYCERCSRPPTAVIAEQLKTRKKKPGPKRKKVDEDDD IVSDGELVQTLGGWVTCKSCCIATHYDCLGGAQKKTLLQELHTSDVAAAVSLVQDPSQ DLEALEAMRKTAENQVPKRKEVRIDEEVEFICARCNESGRCFVCWETGAEVHTAPEGK IEVDTQCAVGLSEELPKAPEELPNASQATGLGQEKTEQVKRVLFRCRRCKLGAHYEHL RPPKTLGGNPSLPDVALQYQTQTHDGNSWTCHLCRDFVWNVDIIIAWRSDSSCPLPAN PTLNQPLFKADLPREYLIKFQSRPFRHVVWVPHAWLSSIAPQKLRRFLEKGPLLEIVT NATMTARGDELGVGPGGASIAKVLEMEGNNEQGFVGPEEDAESNLPELWSTIDRVLDI KLLRPTKEQINPKTRARETKQQRKRQRILSISPESDDTAAKPTAHLVEKSLFELAQEK FDLPDGMPPPIEEMVEIEEWEALMGRDVGEDDVDEIVGHVAWIFVKWEDLQYDQACWD TPPPLSSPFYPAFKAALGRFLRARHITIPVLTPAQALARDAKAAKGFVPPQDQPACKL MPFQMEGLQWLLYKHFKRESCILADDMGLGKTVQIASVLGYLGSAEHQIYPCLVVVPN STITNWVREFEKWSPHLRVVPFYGEKIARQIIMQYELFHKGQQGKVAGLKAHIVLTTY DMVTGSEFKVFSTVPRWEVLCVDEGQRLKSDESKIFNKLKTLKSVHRILLTGTPLNNN IRELFNLLNFLDRGTFSNLKAMEAQYENLDEAKVQKLHEMIKPYILRRIKADVLRLPP KVEIIVPITLSPMQKQVYKGIFERNAEVIQEILRVRQKRSKAKKAARITGPTSEDTAL PSVSTGAAGPSGA L198_01849 MARPRLHKQGWLRRGFRKICTSDEVKIPILQCIPCELTVTDKHV LRHARTANHKETLSEFLSELQEKGMPQSVLDDEADEIGELLKAEVNRATLSGSKARKT PTRTRTPVKGYQKWNPLSLWLAEGVSLSEGQNDGRQLVCAACSTPEESFEIPDDRHSL CRHILTKKHRDRLLPYLANLVQSPDYLASNTHPSSSLTPAPSSSAAVSASSPALNGYT PAAKPSRARARTVKRTPRTSEPQSKPSNATSARSSRASHGKAPAGESPPSTPSHPSFL RSRVKAFPPRPEKRPSQSPQPVPPAQPSLPAPSPQQGQELPLVPQKERSPSPVRDPAG ATSQKSEVQVKLERESPPPVIIARGTVKRDLGELELEVRYERYQRKKKVRRRSVSLHS GEDESMVNDLL L198_01850 MSVADPSPSLDTAPEDWPYTAEGGAHIVFSYGGPSSPAYDGKVL RLRKPNCPLPSSDHQTLQNKWRYELIPRLLPRELLAESREVIVEAGWFRELLILADKV RPDSRKQGVVLADMVETSGNGLLMDDATAVVKEGLESLAVEIKPKWGFLPSPTHMCPP ESVPIKSHISRFTLHRHLKGHDDEKNYDPLDLFSGQEQRTERALDALWATWETSHGKG NNWRVFVNGNETHPDQASELFSPKGTLSAQTSSFFIPVLLSSPALQKLKSLQSTLDPT DISHIATLFHAAYPNAPLFDPELIPDITLAELEEFLQLYLSDPEAGKDVEKWDLRQRM VAYTLSAIFKDCSIFVKVVLHKTPEEKWELVQGRSSVKVIDLDVKPIKNMKRWAETDE KIWKYWMSTKGVGE L198_01851 MDTRSASPRVPYRAASTPPPNYRGLHSHSPARHHRSSRPGTAGS EYPEQPTAYRPLQEEGHAKPSPFALGQAPPAKWRLPKAVRSPKRLLSTLVALAILGLL IKYVGMGKDRLLRSKWGWRPRGGRRVDDGLCRFVSPVDAYHRDLQRLRGLAYPGANLT ALYPSLHSYVHHHTYSPTGHLLVSDHPDAPHPIPLLLDLGEKRWEELLSRQSRTLEEA VREYMRRYGRRPPRGFDKWWDFAMRHNLVLPDEYDRINLDLAPFLALPEEEMKRRRGL VDNMSETFTLVIKNGSVDIEIKDEGGLNWGGTLPRASDTAALLRAFSEHLPDMRATFS IFDQPQIYLSWARRGSLVNLGLKGEHTSHLEETDSALVKLSRSCAPDSNYRKNESYSE GRSFIYDSLEAGDLCQNPYVIPIHGLTIEPHEPSSHPRPHTQLLPLFSLAKTSINSDI LITPLDQFNHETGKDLEFRDKPSSRLAWRGSPTGISWMNAQVDWRNAHRIRLHRYANN RSTEHMTFLVPDFGQDEDYYPDEFDVDGGIAEIKNGQEEWHERNREVQEQHLEEQIDE GPLHFIEEEIPTDEAMEFFYDIKLAGDPIQCDSDDGTCDDLRREIEWAPRQSGEDLNQ NKFLLDIDGNGWSGRFRKLMSTNSAVIKMTMFTEWFQPHLIPWFMYIPAKLDFSDLPD IMAFFRGSPTYPEFAFDETAEALAINGKCFVQRMFRLEDMQAYMMRLFLEYARIAADE GDDMDFYLDDYWSDIDTSDWFDEEAIKNRKPVADLPDVMEGDGGSRAAGTVLEEELVE GTKQDDGGDISWEEHDGLSEEELLREVV L198_01852 MSDSSTGEELTYHPIEDARSLRSLTDSGDSAPDSLANSMQLLAV PSGQTMSGFGSPSSLRSPNLSALDHRRSLQDDPTWTAEDSENDGDVESIITTYSQLPG TLALPSFQVNFPDGNTPRQLTMFDPSKDRGFVVPRASPSDDDPVDYQVILSIDEWHQI YALWDDLAFPRSRINEDGQEEDDGFARLRVIFEKQEKAWHLDGSLDQFMFEIRAMLST FAGNRDDDDSDVSVCLYHGNPLVLTEKSLDANVTETTEDFSIVCEDIKLSEWFKRLYN RAREQAAPGESLNPMRISLHVGWHKTQTVEGFAAMEEREKERQTRLGLPEGRLGNELD MDAVRMARESVEEEEKEKERVEKASKHRVNGDDEEA L198_01853 MPYPGIRSVSPDGFSLMGEGQESKNREKDEKQAKGQSVMSKASW VMTDVKKAVVKKLSKKTVIEKKK L198_01854 MLKSRTPLLRPLRSLNPPARRSLATVSDPPVRRYGGLRDQDRIF TNLFCKHDHGIKGALSRGDWHRTKDIILKGDSWLIQTIKDSGLRGRGGAGFPSGLKWS FMNKPGWEKDPRPRYLVVNADEGEPGTCKDREIMRGDPHKLVEGCLVAGRAMNANAAY IYIRGEFYQEASHVQQAIDEAYKAGLIGKNACGSGYDFDVYVHRGAGAYICGEETALI ESIEGKQGKPRLKPPFPADVGVFGCPTTVANVETVAVAPTIARRGGAWFNSFGRDRNS GTKVFCVSGHVNNPCVVEEEMSIPLQELLEKHCGGVRGGWENLKGIIPGGCSVPVVTR ETSEKCLMDYDSLKDNGTSLGTGAVIVMDQSTDMIAAIARFAKFYKHESCGQCTPCRE GTTWMMNMMDRMVTGRAQEREIDMLLELTKQVEGHTICALGDAAAWPIQGLMKNFRPE VEQRLAQFHAQNGHVLYGGKLASETDGRFAIPDNLGGYTTRNIAAP L198_01855 MVSILSFFSGKKKPKGHTARPPSPTSPARDTPERPAARFLSLRQ KATTNPHGTSSRRASSELSRKRSQLQSGGSSPPIQLPKLDLGLEVSALGSPGDTALDI GSMGRPPNLKQEERDMLRELRWDVGEVKLAWEWFGSALKATGLDTRGILLPRGIDADP TTHFYLFALFALYTKPHLIDSLPSVASHLAKPTSERPSEIWHERLVTSLRDTDKPLDI SEGLKFILRRLLPSATGEDPLISRQVYTTFVQAEHASSYPLSAFGEFLGPNVPAEVAS YLHEMFETWAAIATHADQNTLTGGRLAYLLGWWAYGMNAGKVASFDHFYKTWKEAGKR LEHLLYAWIRFQSTKQRLPMRMLQLVNDYPFGEASASSEHLPLPPPSSSSRKTLHVVL KSSLPVNKAGSGPEEIIHAALRATIHSEVSAPQWSALTKDKVNPADIVSEDSLSLFKT LAPSEEPLSPASVLDLPSAQEPPIYRPFQTHSRGHSVLSGHSTSSKTRVEGEGSPDPG AWDDFEKIGFSETGEGTGDLKLDFSAAASTGPSGKSVTSPTQKRKSAEKPLNKSRKTT FRDSTELVPTFTVMSEAVIKIDDIFMSFFEDAKLDDVTASWPPFSLVRLSSPIDQPKP IEWLLVSIEHIPKPPSEPSEPEIIERPTSPSARSTASGRGSNSKGLRDFATSLSRRKS SAGGGNGNSARRSFFGIPRSGSGLKEGDLPTLEELGKKKPEPVSIGEMGEIVSPSRSQ SAKNLASPLTASRIPIANKEVDNVSTRALFVPTPAGTVQNSPLPSPMPTASGFEEGTS LLGAISHDGGDKGADDKALDVQTTAAPHAVKVVPSATEPTKNLAEAESSDDEELPAPR RGERSLAPSPSLPPTIREPEIEEELPAEKGADQSSVTPETQSVKDEPVDQENGEGITG LLASGTSAIAAGGAAAIAAVAAAADLVLSDPEHPASSTPDQPQEDPVTEIRSQDASSQ SGTLDVDRQREPPQQVMVADEETPLSKTDLQLEEERQNEERGAGVSSLKGAKAAGIAL DIQPHQSEDHPAPVALSRTSEDKEQAVEAQPHTHLEGVDAEGHPFPPRQIMVANEEAP LPKSDGQLEEERQAERRGMSLGGIEGATAAGIALDFQSENQDLHSIDDQSPPHEDKLD SEESSLTAASTSASASTIEERDTETSYTQHIQESSEERHPIEVTVETVATGTPGTEKN FHFQPVETPAQTPALRDSEDATAGTDEPAVPSTDTIDREQVTTFEPAAQIVIANDVSK ATEKDDLPPTGVDDRLIEQEAMSAQLATSEVVLAEPMGIETPIDNIGSVLAAGVTGSG LPEVTKAFGNSRVRDQGDIELVQTSNIMPRSDDTQDDVQETVEDEQSSVPPVLQEDGT GPSSAAEAVSAEIDGAVPNEDAEEPRGVTDDARSFHEASAISAPQTLNLHGFELTPSS PGHPSMSIAEDNSSPRATAGESPSFSLGPVITPSAGLPAASEEISAEETTPNVEEAQR TKDEIGETSVETKAVSKEAPSLNQQQDAYDYSGDPELSPATQQAETDVAEVQEPVIGP ESALAFDEPVLGEEQVDVARQDPPMAQAAEDVPQPSEQLPAAIGSLGSLPVESQHKDA LNVSEPVMETDVAPEPSEPASEALVAVESSSVAPDMSRSAEVEDFPVKDRQDTLDATQ FLAGAVTLPREEAGTSESTDGPDQEKGPDSGPYNHISLAPTSPTPSDHAIAIDTAQHD LPVDTSIASSGDVPSHPERTSESMENKKPFETPQDGDAINATPVPIASHLENDVRGLE ESDTVASTPALIRVTESPVILHGTPPASPPPVDGQAPELIIPQPLAAGPDDDQPAVLI PIEDASPVEEPEEEKVVFFSVPETVDEEAEVEEERAEVSKHSSKEAPAVSELSDPGAL NHDVEKKEEVQEESKERETPSVDPTVYDQGDTLATDDAEIPRDEEEVAVAPKLHPSQD SQEL L198_01856 MPPAITSSPIYNIQAINTLLASPVPQPVTSRIQLLSAKIHLLTN DPPSDPLSVLRTRRELGELYLKEKHDLKAAEIELSMVQRECKDIVKRIARERRLAQEG KTAIKSQDEVMRDEEMESSAVNLRVESMRLLVQVEEELGREGRAETWRKLIQDAGKTI L198_01857 MSLTPQALRLLSRQIVAHRNSPPEGVRLVVDEENLTNMEGWVQG PSGTPYEGGYYKIRFSFGPEFPNLPPKCTMITKIFHPNISKAGEICVDTLKKGWKKEY GVEHVLVTIKCSLLIYPNPESALDEEAGKQLLEDYEGYSKYARLMTSIHATPKLPPAE FRGATSSSERPLPSDQSVPTTTVRPTITAPSPPSSSASKPSPLGTSVSQGQSPNSNGE QKSGDEVKKVVKPAVKTAGNTVGGVKTAVASKGKRGAKRL L198_01858 MSAFTLFIAALPLLATLGRATPLPAWEGCLSIDNAPSDATPIPA ISAVNCATQCWNHIDNAHYAYWDWSAEAQCLCSASGPNMNNFEMINADQAFGTCAEGI AVYARPAATFKVQNEKKREDKMDRLRKARATVESLCPEPLLACAVAGDSSSYECVDPN FDLYSCGGCINGAFSSSKLSSSSALDCSTLTGVTSNACVWGQCKAFRCESGYTLKSGS CVKK L198_01859 MPAKRPASSLSGPNKRPKPTTKRKQRTDKSVWNSKDDWDTLVAE SSLSTSSVSLRKPRFRSFPSLTNISVDVIVRSFKAFYGDGQAGQDEAVADEWRKWWRT EWAEIPGHLKEMVRDGIYKRWGAILSLQLLRELFSIPPTLYLPGDLLPAIAQASRLKP LIPPTISSNLFMSLILINSLTSTDVGLAGLIHHLPSIEIVNLKGCALAGEKTVKTIVS RCPGVKRLNLKGTKVSEKDVAQLLATFGQQLEGFKVDNVIFNDIDHTFASQPYPSMTH LCLPGNMLNRPLSSARSNATRFTGMGYPNPRPTPQTTTISWPTLDTSFPSLTHLCLPG LLVPNGTEIGTAGLSLIKLALGPRGPPVPITSIEPIIKRHHNTLRSVHLGNVYPRGTK PGPDFDELAETIQSCDGLDTLRWQTDVHGTNDSLCDTSMSNYGHKLYWNLFETPLTVA QRVTLELPGQYKFPSAWSSGSTSQTFPSLLESLEIPSATLQDHESLAKLLCCYPKLRE LDLSGTTIDDDDMKLILRHCPLISRIDLTSCRGVNVRHRRNIFKAFDEDES L198_01861 MPPSQGYGAQMTHSIDSFITDSANSASALFSGKKMTDSTGKPYG DPKVETIFEMFRRQTGVQVGIVSKAYIADTTPAAVVTHTSQRSQYTSIIEQYLNGVSG NYSCGGGENFLPGPGNGNVSQFERWASHGYQVGYNKTALEAFDNAQRALAIFTQGNIS TWLDQNVYTDLLDLAVTPQGECGAHDQPGLKDMTLKAIDILHTRSKERDIGFMLMSEA ALVDKEMHVLDIDRALGEVLELDDTVRAVLEHLESTSLPPKMDYLTILTSCYITLFTS PPSHRSPFSSPCSSPTSSSMLSSILPPKPISVPVSSILYGDNETAWFLMRHVLAPPLP NPFSPLANTGSGSGGRGDNKRMEEEAEVMVWREIDRAKMCIRAIGGWFGANGGRIGLK ELAMLGEKFGVEVVVKESGLIQRDRGQTITAHSHSHGIRDDTTVMV L198_01862 MAYLGTLPSGELVPVLSSAVSAPLIRLISLLVRLSTSAYNNGLP ATDFTLKIGGRGAELIDVSQFFGIADPEVEKHNFTYYEDLFAEEEEAVTPANVLLKSY RNIQLHNPGQYDVEICYNNGMITKASWEVLPLAQEKKAKNVIFFVGDGMAGSMLSAAR LLGHKTINGKYQTKLKLDEARVFL L198_01863 MAPRDVGKVVMDVAFFAASQVALYYALRYVLSSMDPSSASSKKH KEKGKNLLSQTGMNEAQLAALDLDEYEQIIAAEIVAPSSIDVTFESIGGLDDIISNLR ETVIYPLTFPELFANENGLLSAPKGVLLYGHPGCGKTMLAKALAKESGATFINLPLSS LVNKWFGETNKLVAGLFSLATKLQPSIIFIDEIDTLFRERSQSDHEVTSMMKAEFMTL WDGLTTTSNVRVMVMGATNRPNEIDAAILRRMPKRFAVKLPSYEQRAKILNLMLAHTK LSPDFSVDELARRSDGLSGSDLRETCRNAAMVPVRELMREKGKAGMAGLEQARKEGFK VRPLTMEDFVVHDSHAYAYVDPSRKTPGAYMQDEGLD L198_01864 MAGLSVGGPQSPSGSKTKQVNRSHAASSSQPQSQNRLPPVLKKY MNPGLVRPPNSALANNNSNPYDNRGPLLTLAGVNVAVPKPGSGSPMGKSKASLGHHTA HGVHGPAHATAQRAMAINHQSGAKHAMTNGSSASGARGADLGRYDGGLEEDEAKAGEA SGPSAKTLEMSSAKCVSLCLVGKTPADVLVSDGGKIELSLPSFQIGRPLGKGKFGRVY LARSKQPPHFIVALKCLHKAEIIQGKVENQVRREIEIQQNLRHPNILRLYGYFHDSKR IFLVLEYAAKGELYKQLSRVGKFDEKRSSRYIAQMADALLYLHRKHVIHRDIKPENLL IGLSGELKIGDFGWSVHAPSNRRSTLCGTLDYLPPEMVEGKEHTAAVDLWALGVLTYE FVSGGPPFEDLSGSAATYRRIRKVDLHVPSSVSPECTDLIKRLLRYNPEDRLALTEVL THPWIKKYEKKRSDGSRKS L198_01865 MGIWQNTLVKMGVAQPGPKITSQDRAILDLKLQRDKMKQYQKRL QVVLDREQEIAREALKAGNKTRALATLRQKKYQEQLLAKTDTQLMTLQELVATIEFTQ IQNTVLHGLEMGADVLKELHKEMSIERVDKLMDQTREGIEYQREIDEALMSKMSPEEE DAVQEELEQLQKEAMPSVPETQPPVSLPDAPTEIPTDSEPAAEEGSRSETVKEERVAL AA L198_01866 MFGVSLQDRLKAAVNTLEATGSSLHARSLANQQLLDAENNRPGT PSIKSPPSATSPQVGQLPETPQKGGEEKHAIAEAGIHPSVSTSQLAENALSGLRRSFH FGGRSQTDLAGIVDGSSSAPVSPGAQELKAIVSPGPASAGATSSDSTSLKAPTTIFSV GSGDASEAITPIPKSPLAGTPTPTRSAKRILDTREPADIPLPPSPDLSAVPSASVVDP LGALSLDGSGDVAGLGIALAGGAGSDADAKTNGDDTLVDSEGTASKLAAAERRYEDLS QRFTTLLTQFHKANQILKDLTPLEGGLGDPEALEGWVRMMNGKVGMMGEEMSRLASKM ELQESRIEELRDTHRLEQSSQSEVIAKLRSDLSDAQSKITSSTTSSETLTQLRADLSK AQTQAKEEEEKRTKAISLLKTVRLKLVKTEKDKEESEKDRANERAERSRAMDEVERVK AEREREVNNLRKGFERELAGVKEKAEKDLKDRKADWELEMITTKATQAKELSQKSTKI AGLESILKELNIEKQQTHSVLQSKQAEAESAKAEMEIMRTKTKEFEWQLREAEERCAL LEAGDQGRSNSGLDIPDGRSESTSPGLGAASPHDVQRLLAESESRAESKLSDLRTRIR ALEKERNEMEEEWAGKVQERVLELEKMRRVLQEKDREYAESLEGLKERERKIEDGESK VKQLEADIIRLRTKVEEVKSDKTVAVEAERKAHEELAALQNQITSLQSQLDESRTHVT HLKSTNKTLRDEMRKIQSSVQLMERQRNPGVGYWSAGAGGSTGPAASSSVSVAGSGVL SPPLPSESPAPGRKSLESVRTTTATELGSESGRNQEEEEVNLEYLRNVILQFLEHKEM RPNLVRVMSVILRFTPQELRRLNAKLLT L198_01867 MSGYIDHPLNHHASSTTHLAPYPPSHSYFDQGDSDNDEAHDTFD PQSIDPELRLRTVKTAHSVIAESIRSEAMAEKREKRRRIFKTMKRKASGMSMGTLKRK SGGAKSEAGASVNGSRRDSITTDDGATAVEDSPPPPAAASLAPPEETPAKGKGKVPQR RSVHVNTPLPSYLLDKKGEPSVRYVRNKVRTSKYTIISFLPKNLLEQFRRVANIYFLF LVIIQTFPIFGTPNAQIGVLPLIAFLTMTAIKDGFEDWRRSKLDDEVNNSATTKLGGW TNVNQPTDPRTTIERIFGIMGPNPNKPSKGVRKLREREAAEGNQIVFDSHKDEDLAEE VTDKDSFPLASIPAPVVNVTAESPSGTVSSGRHRQSLLPRVSSSSLSSVTSRKSAGVM DWSRQAPGSAQWERTLWKKLEVGDLVLLRDNEQVPADIIVLSTSTPDALCFVETKNLD GETNLKIRRALKATSSIQTEEDLEHAHFVVDSEAPHANLYSYNGVLRYTPADSVGKAS ESKQEAITINELLLRGCTLRNTKWVIGMVVFTGGDSKIMMNGGETPSKRSKIEKETNF NVIMNFVILVVLCLVTAILHGWYRSLTGTSAEWYEPDAEASNNIYLDSVVIFFSCLLV FQNIVPISLYITVEIVKTIQAYFIFQDVEMYYEPYDTPCVPKTWNISDDLGQIEYVFS DKTGTLTQNIMEFKKCSIQGVPYGEGLTEAMMGARKRDGEETGPAMEDQEEEMEGLKA EMLQIMKGGLDNRYLREDKLTLISPELAQHLANPSDPRRAPIIDFFRALAICHSVLAD APEASKPYELDYKAESPDEAALVAAARDIGFPFVTKNNHSLDIEVLGTPERWTPLRML EFNSSRKRMSVVARGPDGRLVLFCKGADSVIYGRLADDHDEALKESTLKDLETFANGG LRTLCIAYRYMPEEEFDVWSKKYDAASAATVDREAEIEKACELVEHSLTILGATALED KLQEGVPDAIALLHQAGIKLWILTGDKLQTAVEIGYSCNLLTNDMEVMIISADSEDGA RQQIEAGLNKIASIVGPPPTAPGGSRITRPGMNIAAEFAVVIDGESLRYALQPSLKSL FLSLGTQCAAVICCRVSPSQKALTVRLVKEGCNAMTLSIGDGANDVAMIQEANVGIGL YGLEGSQAAMSADYAFGQFRFLTRLLLVHGRWSYVRVANMHANFFYKNVIWTVSMFWF LIFSSFDATYLFEYTFILMFNLFFTSLPVGIMGAFDQDVHATAAMYFPQLYKRGIAGL EYTRFRFWTYMIDGLYQSAVIFFIPYFAYAGGVTWSSDGLDTNSLGAFGSTVACAGVI AANGYVSMNTRYWTVVTWAVNVISTLLIYIWIPIYSGLAAYPYNGQVSVLYTTFNFWA VTVLTVFVAIFPRWLVFSFKQSYLPKDKDLVREAWIAGSLKDELGIKHRKERRRHKRA AQAATSKERVEQSMRDFSDNYSSAETQSRPGSFRGKGAVDQFHKSYGHDDYGGYQPAA MYSPQREVTRSPLMSEEGTPRGLYSYPPSPANAPPSDSPSRPSRGDIPPPLTLRTTFD PGHPSPLIQGYTQDDDSSHRGALGSPMEVTSLSSMRGVEAQIEQEVKRMKRTSQDFKQ ASLNSEDGWRTGRGGSDPTSPVLRGGSLGRLGRPSRKLSTNSMGNSSRKTSNTPLEGV DELGRSTFSHVRGTFDIDAQHQQVFANSPRNGSSSRGESQRGRRNIEEYSPYSSEHSS RQASPQIPRDLNQVPDLSDESASQWNEETETGRGSSKQRQRQSGVGFAI L198_01868 MSQSILLPAYSPNHAFSSPDPTPLRDLAFSVAEAALQPDPNSPS LHMSMPKMPSPSELVRSGGWPAPAASFDRDEWVHDDWTQKAVRKSAWEANGYERRVLI RSSDDNAMSSPPVSPSSYNFSLPPNSPLAKSVASQGYYSPTAATFSGLDQTRPPPEFK PRLSAIERDSKAKNDAPKHARTQSALPLSGNKENKASTSRMRLPSLAEIQAKMTFGSP SRQSPHRAPVVRMDSQESIEVIKTPTEECPAPRLEARLALSSILNRRPSTPPNTESGA PMSPTKESRLAPFLRERTSGRLSGRPVSMPPMTFSADQLASLAAIGNGRSSTPPQRPA LTITPPKERSVPTGQVPTPRAAFLRTFSGQASPTSRTMISTPPLRSATTPSTRRSYFS PALASPTGSMSPTLSIPMITCTPAPATVLKDGKEVDSDEEEGDVVLFEGEIFESESES DEDEVLSEGGEIEQEMMKEREREMRAEVMKRMLMQRRKSD L198_01869 MIVPLLSAFLLAPLLASAACTSNSSNTAALQKLIQDGGAGYTLQ LCPSNVFALSDVLNYTAINQEISTEGYPTDDTRATLIVSGFNKTTAVSAATKGRDGAV LRNIIINGNRKANETIYQGGGGNIEFGGVNSNQTIEYVKTYDPRGWSCMHISEGQLNC YNATIQNNDIGPCGTDFFQNWADGVSLSCSESLVQNNEIVDATDGGIVVFGAPFSTIR NNTIRAKTRIMIGGINMVDVKPWKPIGNYSHTVVEGNTIYGGFATGMGNDTLGPQDYG AIIKMGIALGPDAWFSDQRFGANKSTGGVIRDNSLSGAFAFGMGVTSAEDFVIENNTF FGNTSFIGVQGPNCTTGWKTPHPSVPLLSESTYLVNVSIDVPDSSPFDFVNGTGIGLT CFVAPNKTEYAWPYGGGQVNSVEPSETSDGSSGSQTSVDSTASATSSDSAGSRGLATQ GPWVLAMSWAAGLMLAVAGGISVVI L198_01870 MFVNPYVPQPAYPYYTYTPGGEVSGPTPVYALLIIGMVFFMASN VMPNLIPPADRVWHTAPFLLQWGFLIIICALLVQMMGLLPGVPQIHITYVHMTIAVLL FVIMWNQTVPGPSPPPPEEKKSSSSSKDKPAEAKKEEKKKEEPKPEEPSPWDDLRAHP SAFLTTRLNKMMPWPFPMGKASSGGKELWWEKGMPAHVGHFNRPEQPAPKKDADEDAK KKKKEEEEKQKAKEQEELKQKEAEKSKEKEKAMEKEKAAKEAAAKAKAKEDEAKRAKE LEKAKEERARQQAVEDKQRQKLWRTKYLVMIIGISFLNRSLAFLLLLCLCLQMVSQEI NKTVPSASSPPAAAPASTSSSSTSSQAPSSSGAPSKPPSAPSADAEKEKMAKMKAMKE KEAADKAKSSSASSAKPSSSSSSAKPSSSSSSSSNSKTSSSSSSKPKDAPVSSDAIRK ASGSTTVTKVDPGEDSSIGVPYTVGFGMNYIFNPDTKGETLESPSMPIPSTGMSHPLM TTYRQYAN L198_01871 MLPLRALARSVPARQCRSVQLARSVASSPSARPAAHYLAIYRSQ EASRSLHTSSIRLKEKRWVNSTPPAEGEDEGKEGSQAKEQAKDSAAEAESSKSAEERA KAEVEASKPENVKASANSSSGAGSAPPATPPAGAPGGESPPGGTPKELAKPIIPSIYP QVLAIPITHRPLFPGFYKAVTVRSPPVIKAIRDLQAHGQPYVGAFLLKDSTSDSDVVT DINQVHPVGVFCQITSCFTSQEGEGKPEALTAVLFPHRRIKIDELVLNPEETGAGDKV NLKTLVESVQNNEKGEGEVESFEPEVPTVEEVREELAAVPEEQKAEENKKEENKEEKP EGKVENTKIDFLYPLLPEVSLTNVTNVGLEPYEKDSQVIRAIMSELISVFKEIAQLQP MFREQVTSFAISNTSSQVFDEPDKLADLAAVVSTADITDLQAVLASTSIEDRLQRALV LLKKELINAQLQFKIARDVDTKIQKRQREYYLMEQLKGIKKELGMESDGKDKLVEGFK EKASKLAMPEGVRKVFDEELNKLVHLEPAASEFNVTRNYIDWLTQVPWGVHTPENCDI SHAIKVLDEDHYGLKDVKDRILEFMAVGKLRGTVEGKILCLVGPPGVGKTSIGKSVAR ALGRQFFRFSVGGLTDVAEIKGHRRTYIGAMPGKPIQALKKVATENPLILIDEVDKIS KAYNGDPASALLEMLDPEQNKSFLDHYLDVPIDLSRVLFVCTANVLETIPGPLLDRME VLEVSGYVSAEKMSIAERYLSPQAKEASGLKDVKIELEPGAIEALIRYYCRESGVRNL KKHIDKIYRKAAFQIVTDLGEEGLPEPNSAPIEGQVEAQQPDVKPPSELNPNDHELEK KKSTETKADVTTVKRDPLKIPDDVHVTITPSNLREYVGPPIYHKDRLYSNAPPAGVST GLGYLGNGSGAVMPIEVNAMPGKGNLQLTGKLGEVIRESAQIALSWVKANAYLLGITK SEAEVTLNNRDVHLHMPEGGIGKEGPSAGTAILTAYVSLFTKTKVDPDVAMTGEISLL GQVLPVGGLKEKILAAHRAGIKKLIVPAACKPDIDENVPESVKGGIEFVFVEDVLQVL HEAFRGSEAEKRWQETLPMDEEPERVKH L198_01872 MRPSPRNLSTLPPTIRSLLAARRAAPSTSSSSESPSQESQQIEV NGWIKSVRGHRNVSFVELNDGSTSESLQAVLKGKGKAEGLGVGTSLSLKGRLEKSKGK GQDLELVIDHAQVAGHCDAQLYPIQKKSLPPAVLRENAHLRFRTTQTAAVMRIRDALV RDWHDWFEENEFVHIQTPLLTASDCEGAGEVFTLKDHPAPTAPTSPQPFFYHPVHLTV SGQLHLEAPTHALSRTYTLSPAFRAEPSLTSRHLSEFYMMEGEVAWVESLDGLLDVVE DGVRSVVRETLGESKRGERLRRDLEAVSHSMQESEGDETTLEERLEDPLARLRHIVAQ PFTRITYSSALELIATLHTKSPDVVSPAPPWGEGISTEHEKLLAEHYNGPLFVTRYPK SLKPFYMLPTSSSQSDQETVECFDLLFPSWGEMAGGSLREHRLDHLTRAISDAGMKPE EYEWYLDLRRYGTVPHGGWGMGWDRWVGWVTGLGNVRDVVPYPRWKGHCKY L198_01873 MTHTVKATGVPNDPYPFWPRQLKPLHALDGVPDLVARLKQAVLT AAFEGGKQPQVLGKDDEGFSSAASIFNGAVKTPALALVKPVNAMDVSRTIKFCKQHSI EVSVKGGGNGVHGWSVAGHVILDLSELNDISISLPSPYPPTLQESFEKLRVSSATPPE VLDRQAIAQPAKSSIKRHAVDGVEDGTEADGSRGKRKTGNGLGISYRATDALPISNAT AGPSTTPRITYVNPSQTPTSSFPFLPTTVGDSSSSTLFNAQSGYTPSYLNLLPQHSLF NTNANPPPFTLVTFGAGVRSKQLDDYTGASPYGAFHVPTSAFPVGSGQFLSGGFGFLS RKHGLGMDNLVEVEMVLADGRIVWLGDEGAKGGDWKEDEDPEEVWWAVRGAGPVIGVV TRFRAKAYYTPSVYAGILIYVFDENTTPSLLRHVRDCIKSATPSLYANIILTAGPPGA PAIVVFQLCFSGKNARHEGENYVQAISSWEGGKSLFSDFSERTFGKQQLAVEDVLKGG YGRKWFIKSDLLLSLTDEVIDQTCARFHSVPDGCTWLFEYTGSNALASPPHTKPGSCF PESHRSAAFTVAALHQWAHDESPEEDARCVLTAEEWIEDVIHPNSPGGPLPCFLQSQE EHRVVGVYGVENYERLKRLKKQLDPDNMFKHAMWPVEIKS L198_01874 MSHTPTPQSGNASEKPSLVGVRIRQRKGQAKATSKFEPETFRDA LLLHLALLPSPITNDALVSKLVQAGSTLELLKYYEQLFELLFVGGLLQPGGSYLDEKR SPVYVLQPDEEIAEAFPEGIKGIIDVLKKVMQRYKYLQRPLEENFLPGLLGYLPKWDQ ESRAKLAEATALLIVECQISPRCLQSLVKEHVVKDNVALDFLTAFVKTYTSRLSTDQF GSLIKRSGLRDILGVFPLQIRDRKHLEEHFKKAGLPAVNDWYARVALGEVKEETIQNI ERLINDDEPIPQIAETLKSNQAENPVSEADFCEWLWLGLMKTVDWTARSDQIDAAVVA HVNRYAPLLELFCQSAKAQVNLINAVQVYCYTDTRIIKSFTQILKVLYNADVVSDQAI IYWHQKGAKPNGKGHFLKATEALVKFLEEESDDEEE L198_01875 MARNSEKAQSMLYRFREQQAIDMGLGTRQKGDRRPRMTSTCTNL REAERWRGDIMRDIGRKVSKIQDVSLTDYQVRDLNDEINQLFREKRNWENQIINLGGA NYKRSAGVMVDDDGREVPGTRGYKYFGRAKELPGVKELFTRSAAQATEESARNSSFQM FRHQGPGYYGDEDELEEGLLAEEEEASRQEWNDQARETAELLGITDETSLPAYPVELP STSGESEKAPPATKGKKTAANGKSKRKAGIEEEGEAKKSKGDEVSEEGQNVPAVQDAV AQAAAAGYLGVLDADSIKFPTLPDKEEMAKVLLEVRKQALRDEYGV L198_01876 MPAFTNSYAPPAPPDIPSDIHLHTRPDEYDFNYVFEVKQLRSDR VELRPMVPSLHAKLYFEGVTKYPEVLKWMGISPPQTLEETLVLIEKIWRTPTDSLPYA IFTEPPGSTTKVEPEDYVYAGSIAIINSSSPQMIAEPGYVTILPPFHRTHVQTHATGL LLHRILDHPDQGGLGLRRCQWLTTTLNTASQAASKRLGFHFEGELRCQKVLPAGKVGV RDGRPGVRLSECPVRHDWYSSLTWYEWEGEGRTHIDALMARQG L198_01877 MSEETRGACEAGTVEAAFRAEEEGGQQEEPALHPAENHPADGEG AIIFEADYLGTAEPVSLSSFLNKDLSITSRGLNHPFSIDATVTARYDGSKLVNAIWET TPLDGEWYIRGTPDVQGSHTRRGRARIVVQSTLPSESTVPLGVVRITTRGLHFEDDEV SNRGDVHDTIQYSWIQEPDGSGILMPKQGSSCSLSMDGTVGWYHGRGRYGREQVSMTV ALQPSELYVPKFAKPFLR L198_01878 MAPPAQPPSSAPAYGNFRLASRPENNGPSNFRPASASANGTPLG NSKPPGAFTVFVRPSAVTHEHPSDAQEVVYSPPSSDNQTPRVSQPQVPRASLHQTPRV SSPLALHVSTPPTVSAPSSIVVEPSETAPDTMILDDESAPSEIVDDPLFLGPDVVSTD EDRDIDIQPCRPETSNPLDSFPSLSISREPPVRHDQSPAIAKAHFATDTEGSPLRDIV IDSGAAITLMNAAYANEHLPTLTRHRLEKFQLGLGAADCASFLMTDLHFPTAEGGKAV IPANFYLANTESARVILGNDILHPMGAQINLGSLALRFRHVAGTIPIECTTAIPDAAP TPDPTTRPDAFRLRQRLTLLPGHQARVARLPS L198_01879 MDHSSSPLNLSSLNINDVNEGAGQEVVLPRPASAHSSKSEDWDP GECMLPSNSAHTAYGPPLHLQHDKTGKTSSLEGLLSEDRTVMGSWGLLGPACFPGKVS TRPMRSRRPGGPTIQQKVFRSAWDTTPINGKMLDDDAKVVGDHVTEQARVTVRMNGLS PMVPDGRAYITTLGLDSHLASLPVSDSSALTNMLSRPSIYESYDPLLWRCPETEHKLW LNKSGGESGASCISLGSAGASAVSGVRAVRGVAEEVEEIE L198_01880 MSFKKDEDAGMSFYHDKTTVIQEARVFNESPISPRKCRALLTRI VYLLYIGETFSTQEATTLFFGVTKLFQHKDSALRQMVYLVIKELSKIAEDVIMVTSSI MKDMQPNLEVVYRPNAIRALARIIDAQSVQSVERFFKSALVDRSSSISSASLVSSYHL FPLSPTIIKRWANEAQEAVNAKAVSSYSGASAYFAGGSSSNSGYQAVASSSYIMQYHA LGLLYLMREKDRMAITKMVQQLGASGKGSSIVRNPMAVCMLIRFARKVMDEDANVRKQ MHEYLETLLRHKSEMVNIEAARAIAEMRDVQTGDLYKTIAVLQLFLSSPKPVIKFAAV KTLSKLAQTHPQAVSAVNVEMENLITDSNRSVATYAITTLLKTGNEASVDRLMKQISS FMADITDEFKIIVVDAIRSLCIKFPSKQAVMLSFLSGVLRDEGGYDFKYAVVDAIFDM IKYIGDSRDTALAHLCEFIEDCEFTKLSVRILHLLGIEGPKTSNPTKFIRYIYNRVVL ENAVVRAAAVSSLAKFGVCVNDPNVMKSVNVLMRRCLDDVDDEVRDRAAMYIKVLEEK SLADVLVKDEAQFSLATLEEQLVSYVQDEGAHSAAFDISSVPKVSREQAQAEVAQSRP SALDIAGPSSAVVAEPTPTPSAAEAQSSYAAQLAAIPELQSYGPVLKSSARPVELTES ETEYVVSAVKHIFKEHIVFQFNVSNTIPDTVLEQVAVITQPSPDSGLVEDFIIPIESL TSASGSGQVYVSFTREQPEEYAVGTFECGLKFVSKEVDPTSGQPEEEGYDDEYQVEEL DLGAADYITPTYVTFASEWDKLAPSPSLVETFALSSSESLKEACNSLVEVLGMLPLGG SETPTSASVHTLQLAGLVVPSNGAEGSSKVLARCRMTFAAGEGVTLELGVRAENEEAA KLVMAAI L198_01881 MGSAGNRRRHADELDSDDDSQQPNYKRAKTEAGDHLPSSELDSD MDDGGDEGEEGDDDNASSIDSDYEEPEFDDNDLLAAYRQYRKEQKGYVGSASQAGVIK SITLIDFMCHRHLKVDFGPRMNFVVGHNGSGKSAVLTGIAVALGGKATLTGRGQGLKD LIRKGADRAVIIVTLFNDGEMAYRKDVFDPNIVIERTIHQNGSSQYRFKATREGKILA NKRSELTAISEFFNINIDSPLTILTQDQSRGFLQNADPSKLYKFFLNGTQLSTLLDTY EAATQNIEQLATYITRQQEALPDLKNKCASLKRKIQASKKVMKQQRKNHQLLTELCWS YVIEKENLRNIAQGRVHDLEEKIESAQAEVHKVDKQIPILADQTKATEKDLQSFEDTA KPLQHAVNVAKAKQSEARMELRSMESSLEELGAKLEEERMSLRRLEQQIAEQLRLKEP DQQEAREKLLARRNKIEDILGKMKLERPVRERDRDDKFQEQNKLKEELKGINGAMDDC DQQRQYIKRQIDDVHKSKHNRLLAFGHNIEPLMREINSTQWKHSKPIGPMGMHVNLED MQYAEVLQALLGSLLCGFAVRDHEDSVKLGDMIRRHFQKGYRPGNVANDNSARPPAVY KHSGDHFNFSHGDLSKHGSTVLSVLKIDDDDVLRILVDHSSIEKTMVAPSLMEGNRMI DHMLSTSNLPFLTVNCADNMTTSGSKSGRNSGPVNKYKGKALFAKDPTSAIAGYERQL QENDARRQELLTERQVVDQRIRDLQKEMSAINETLRTLSAKVAPLEKNLDETKRKLLD TATTEMDSTEALRDELQEGIVQLELKIQESAAEVERQQQIYEQRGREVQERQKESDAH APNKAKYLAVLGDLVTKQSELLNAQKHYMSSITGYEKNLAAAQEHLESVEEDVKVMTD KAVTYAPKRQETQRSSADLEAERAALDKSIQEASLVLGHDLTELTAAFRRARHRQLEA NGSIKDLKFLRKVLNGAMKNRHAWWHETRSHISIRAKTAFVVFESFRNMEGRLNFVHE SQKLSLVIHNSTTSESQDGTMTQTSHYKGAKSLSGGERSFSTVSLLLALWATVPCPIR ALDEWDVFLDAANRKVAAKNLMEGAKQSDGKQYILITPLDMQGIDTAGPDKKVIRMAD PVRNQ L198_01882 MSALPTTTLLVANMHCTSCCDAIDSLLATLPPVRNVSTSLLQRT VTFSVDTNAAHSSSKGPPSVPRVIDQVVHKLTGEGGFAIEADDEAEHPPAREAPSLLG RLGLQTRRGRERKRAEDRRRRHLEHCEVCQAQEREQVERSSPLSTPSHSLQAPLAPQN ASQHDGIVKTTLSIEGMTCASCTSAITNALNDNPDIEQVDINLLNSSGVVRHKALLPA TDIAEMVSDIGYETEVVESHSETSVAPSAPDSQVKTVLSIEGMTCASCSSAITDGLSK HPNVASVEINLLGSSGVVLHNQSLTPDDVKDIVDDLGYDAQVVSSETAVERKRDAKIK STFAIEGMTCASCSGAINSAFRGMEGIESCDIDLLNNRGVIVHASNITAGDLAEQIED LGYGAEISTSEPVSLQSKGKDKEETLQRTVKIHVEGLFCHQCVSKINHHLSTLPLLSH SDIALHPSIITVTYVPHDPLTIRDILEGLTGLAPEFEASVFKAPSLSERSRDIQKKEV RMLARHLAVAVVFAIPTFVIAIVGMVLLKGHDAFKMKMMESTWGAANLGTVILWPLAT VVQFGVGRRLPKRPLTWRSAFSFGSMDLLVVLSTTVSYFASIAMLAIDVQNPSGTDSV GTYFDSCVFLIMFILLGRTLEAYAKSRTTDAVSLLGGLRPDTALLVGETSHSTDGSPG SSATPQSRKIPIDHLEIGDLLLLPPGSLPPTDALLVSGTTTFDESSLTGESKPIHKHP GDEIFTGTVNLSSAVTVRVLRLGGDTMIEKIIRAVSDAASRKAPLEKLAEKLTGVFVP VIVYLSLIVLAVWLPLALTNTVEPHSVAGGKVFFALEFAISTLVVACPCGIGLAVPCA NAVGNGVAAKAGILASGGGEAFLGATKISRVVFDKTGTLTVGRSEVMNEVWEGAASGD KENRQRILKGVLELERASTHPLAVGMVEYLEKSPLAPSGERVEVVDTEEIAGRGLKAS LRIGQISIDLLVGNVALMTDHNVEISEQAHVTVSTWSTEAKSVILIAMSDAPGSYALS ALYSLSDHPRPEAAEVIAFLRKRGIAVNMLSGDNETTARAVGKMVGLGENEVKGGVGP KGKAETIRIFQAGEGANEKMQGKDESRKDVVMFIGDGLNDAVALAAADVSVAMGHGSQ ATLASADFVLLSSSLNSLVPLLRISRKVINRQKLNLGWAIIFNVVCLPFAAGVFYGAG KIRLTPVWSAVLMALSSVSVVCSSLAMRWGL L198_01883 MNFKTLFATIAVLATTALAADELQVNSPASVVTCQPVALSWTGG TAPYIVAVIPGGDPTGTALETISDSESGTSTTWTADIASGTSITFKITDASGSIQYSS QVTIQDGSSDSCVGSSSASGSSSGSATDSSSASATDSSSSGATAAAGGSGSSASGSDS SAASATDSSAASGESSSAASSQATSAASSAAGSSSAAGSSSAAGGSSSAAAGSSSASS SSDSSSSGALPLSVSVPAVGLAALGGLAAFF L198_01884 MFGATAIPGSRQGCFKCGNLGHIAENCKNASGRLCYNCREPGHE STNCPQPRSNDGKQCYACGGVGHVKTDCPSLRGAFGGGGFRGGRGGFRGGRGGFGGNF GARPRPPVANPDGTPITCHRCKGQNHLAIHCLAPRDEAAILSSKRCYKCQETGHIARD CTKEDVAIASE L198_01885 MDDDDIPQQVYIFHLESGLTMTRLLENTSSDPYDRRKVPITLLT GYLGAGKSTLLNYILTGDHGYRIAVCMNDFGDTTDIESKSMTLSSNPASGSTQEDPAS SFLSLPNGCLCCSVKDLGIAAIEDMVANAPGGVDWVVVELTGVADPAPIVRTFWANEE MGDLILDGVICVVDSRNVLKQLAEEKNSGEINECQKQVACADVILLNKLDLVDKAQLQ EVEATIRELNPTLRVHHTTNSRAPLGEMFNLRAFSDAAGSGSAILRELADPAPESHIH SEACDHEHDQHHSKITTALIPLPPLSTEQYTLLNGFLESLLWNGLYPSANSDERKEAP EILRTKGYITLHDGTAFVLQGVVDLFEVKEVKENGEGKGEKVAGKIVFIGRGVGEEVK RALVEWVGL L198_01886 MASVLRQSLKLGMMPADGIGKEVLPAAQRVIEALGSAIPRTTFV PLLAGWEEFNRRGKAMPQETLDALKECDGAMFGAVSSPSHKVEGYSSPIVALRKHLDL YANVRPVSSVPIPGQDSSKKVDLVIVRENTECLYVKQEEISGEGEDRVALATRKISAR ASSRIGRMAFDIAARRGQEREAARAAGKDVLWKGEPKVTIIHKSNVLSVTDGLFRETV RAVKEGAGGEKYNGVKLEEQIVDSMVYRMFREPEFFDVCVAPNLYGDIISDGAAALVG SLGLVPSINAGDNFIMGEPVHGSAPDIEGQNIANPIASIRSAALLLSSLGYVEPAARI NAAVDAVLIEGRYLTPDLGGKSTTTEVTEEVLKRI L198_01887 MKFGRRIKDTLYSEWADQYIDYGGLKKQIKANLPWNDTAEADFV QSLQNELNKCETFQRNKSEELMNKIQSLEEEVKSLVERAGFANDDDDDEESETPGDVE RNVRDRRDDDGGSDDEGEDDDDASDISADGIEERFRELEEEVATLVADVHDLALFTKL NFTGFIKIVKKHDKLTGFNLKNVFNRQVLEAHPFYRMNYDPLIVKLSKLFDLVRTRGH PIEGDASAGGSQNAFVRSTTKYWVHDENIVPLKLAIMKHLPVLVFNADKEFSQADSAI TSIYFDNEELELYLGRLEKTEGAEAIRMRWYGDVTGTTASPVFVERKTHREDWTGEKS VKERFTIKEGKMNDFLAGRYTVDAEFDELVKKGKKTEREAEGMKQLANEIQYAILTRK LRPGKCSAFMRTFYNRTAFQLPGNASVRISLDTELDMVREDNFDGHDRTNGNWRRTDI GIDHPFKQIPDSEKELFPYGVLEVKLATKVGEEPPEWIRDLINSHLVEAVPKFSKFIH GCASLLPERVDLVPFWLPQMDQDIRKPVSSKSRVLIERPHSNIHSNMSPNLSATHSPN GEQSTASRTSYHEPVSEGEDEEEFLVHSAKNEEDYLRLPSGVAAQARAAREHREAKLR DEASKHAGNFRAGDGEHEAESSSAAGSSRPPRKVNKYDPSLRIDPLAPSNRFDKDVQL LDDKSMRKLKDAAHGNDRQDLSEDEEEEEEEEEEENGDRVIYVDQFRAPAGKKIAIPV RVEPKVVFAAERTFLKWAHFAILLSGVSITLLNFIDPSDPVGMISAACFTLTALGAIV YCGGMYAWRIIKMRKREAVNYHDTWGPTVLCGALIGSVLVNLVLRLREL L198_01888 MPNDYSGLRWSVATASLSMNPIHDIYTKFDALQKAGFTYTEAER WLVLCSKLGVEQIQVGSNDYAEANAPDEKTADDLRWLAEYASKLSPPVKIAYESWCFS ERVNTFEHTWKIVQIADHPNLGLCLDTAHPPLAPEYGWNPTTGEGWTAAISADSIGRV KAVPKGKDLSQTTTLSGLPLDDLYLLSEKNAGESAKDGNDMGHANALQTFKAVLDQGW SGIVMFEFFEVLRMSPADPNIPYKYANGAALSEKRLLEALQK L198_01889 MSQAEIQEKIAAARREADGLKDKIRAARDQTADTSLRAMANDVT PLPRVSLKVRRTLKGHLAKVYALHWAADRRHIVSASQDGKLIVWDAYTTNKVHAIPLR SSWVMTCAYSPSGNLVACGGLDNICSIYSLRNAAPGGPGGSVKVARELSAHSGYLSCC RFINDRQIVTSSGDMTCMLWDIEQGTRTMEFNDHTGDVMSISLAPNANLFVSGACDAT AKVWDIRTGKAVQTFTGHESDINAVQFFPNGDAFATGSDDASCKLFDLRADRELNNYA HDNILCGITSVAFSVSGRVLFAGYDDYNCNIWDTLKGERIGILAGHENRISCMGVSGD GIALATGSWDSLLKVWS L198_01890 MSTRRRQSASASTPRPDGDEQGAPRRATRHRNPLPPTSGPLYPP LPPKQPKHATTEGSSSRSPATWGAKEIMEGDEDAESDVARPGKPSGGLDAPAELNESA VVLDEDEDDKDGRGPHSGSNTSLTPPPPTSDNEEMDLDIGLEAQEEKEVEAGSASRKD GDRPEGEDDQGSPQHQPAERGPGDDEVKSEPEEENPLPSAPIHANGDDKVDDAEVKED EDNDETVPPARTRNGKPSRLSHIPTPYDTPASSATPDTAMARSGSRQGRKRRGEEQLL LDDHLLPAEIRRTTVKKTEDDEEEEEEEDDDEEEGDGEEEQDEEGDDEEGKDITRCVC KREDIDVMMIQCDQCNVWQHGECMGIWGDDEAPDEYFCEECKPERHQALKKWLRSRGR NTAPFIPPAPEKLEQLHSNRDPFPPSQSKRWSEPSVIEAPPPPPISKSARSHHKKDKD PLPSPVETADSRRTRGRQSTVREKPPSTSYKKEGRRSRKSLGAEESDEDDSSPQPSNS SAPAKKRSTMNSRDAAYEEAVKAALEASKREMEGQEGDEDIEVVEEKQPEEKKEDKEK GRGGKRRRNEDEEEEKEEEQEKPKKGKRRKEEDPEVESGAPASQSNKPKHPNQYTYRP KPPSSAAPAASPSRRMGTTPVPSSSAAPLHHEHGTRRAGALASAPVVFQPLSVESANH LNWNLPDHLIPFAEALPSDHPEALEVPAPRVMAYLPRNHFHNQQYGPFTENRDEQGRL RLPTEQQTRETVGHQTTQLEPPARIKFPPKRVTSVDIKRRIRTMLEFVGKHQVDESNR VKRAKLIGIETTSTGVIKRREERREHAEEKERRADKERERLERAQEDGEGDTSMEEAE ALPELGRTPSPPPVSAEAPQRKSVQLMTELTERLIAFQEALSSNDFTALENGYAASVS VSPRLPEDSVTVNLSAPKEGKSNHISEPHLVPRIQKDPTPMSNGAPIHETTSEIDGAS EGIEVYRAGLVNKVMPMDETEREVARKVEEIIQG L198_01891 MLRMTNDFKDADIVRSRDPAKLAPLDIVVDVGGVYDPATNRYDH HQRGFSEVFGHGGFDKIKLSSAGLVYKHFGKEVIANRLGVPVEDENVEILWLQLYSEL IESVDGIDNGVNIASGPLAYTQRTDLSSRIKRINPEWYESVNDEEYDRRFEIASKITG EEFLSQLDYFSKAWLPARDIVKTALEKRTEVHPSGAIVVFQKAVPWKDHLFNLEPILP KTPSQILYILFPESDAPGAKWRIQCVPQSPDSFVNRKSMPEPWCGMRDEVLSEVSGIP GGVFVHASGFIGGNATYEGVVAMATKSLEA L198_01892 MPALDPSSVVAVLSVDFQSLDEPLISSIVFDYAPEELADKLDEI RDQLGILEASMVPDTGELGDALEESWGGNRQSASKELAEDLADLDERVGRMNVAWDNE QSGDSTSTGSWNTKTKSSTLGSWSQSTSESQDREIGEGASDWGSDASFDTEIGLLKVL FPNMPVTEVEEALATQAGLEAAIDYLLSIDLIRQDDEHGWPGEPLDREEEPFVPVIPK AKKKKKGKGHGSTASTSSSSMSINVVPSGGTNKGANPFTKTKLRPPTSMAYSFSIAPS QPRPNRGAKSPHSSAFSIATFLRDCVPSQPVDHFLDFFTNSEYATVYSSARASLEALP KHPASPSSPSTDKQYIETRTVLEAMYDVDLGGFNNPWNVSVEEREKFNDLAVCIKVSG LDVSRIIDLMDFLQSLREFQAQEDKAEFVGEQYDAGDLPSDDTRGEDGQESGKDANWA KPPVIAPKLAKSVLPGPVARPPPKTAKARKERHIPGAVPSNVPNATDDFGVASPSPGL IRLAPAGGIHPENWQTAAGEKKAKAKHSAISASARPTVQSQGTEYNNSVRQVQIERAK QMTAIRAAGRSFVSGNKAMKRSVMGHYAREAREAGDRIRAIELRNAHQAVAIQHSNSA RPTTRNGDRNRNRVIDLHHLYVHEAVAVALENVGKWWTEEKQLRSQRTGAHGRMMIIV GAGNHSVNKVAVIGPAVGKALDEDGWKVNKGETGRGYLLVHGRK L198_01893 MPESAAQELEPTPAKAQSMKERLAFFAAAQDKPAPPPIKPKPAA GGLTWSQRQKLRQEQEAKEREEAAARGESAPAPVSAAPAPALPEPVQQAPTEQQEGAG MSTADAVSSITKGGSLKDRMAALQGSGAFGQQQEKPPPPAPSGKVWSRPAAPPQPDPE VAEGEEDASPLEGDQTVPEGTEATHDDEESEEAKEKARKAAITARLAKLGARGPMGVM PTAPPVRNQSTKSATSPSVEKAEPVAPESSSNDDGAPVATPLAAAVPKEAPESETESA APPKSIPIAAVPRRTAPPRRRTAPRTTSSNPAPESETPPMSERDVRLETKDAEGHPIP PQQVMVANEEAPLPKTEEQLETQRQQEQRGGGIGGLQGAQAAGIAVDTTGDGAEQPEE VKPEEDREIVESPGEKPEPAVAFIGPDDTEKEKAATGPDPIELIPAEKEAVKGDEKDV VPQEAPQSILKTDNLDRDSADKDHSGPLSPSPVTTVPLRPAGPDSPVSPVNAEEPPLP RARRMTIEDMPLDEVERKHMHEQTHETPVQSAPLARDPSPSTTVEEDAAPPPPPRRSL DKPAGPRPLPSAPTAIVSEAAPAVPARNPDRPLSQVDAPEHQARALPPPPPEAVSPQD EEEEGQAVGLGDEEVEAAPDEEEDEESNTPPPPPARKETLPSAVQVGVPTPARAPPVP VSPVKPKMVDSPTRSRTLETSPVTAPAEGSSEGAEELNRRSSIAARMAKLGGVKFGMP PPQQFKKQSSGATSAREVEETGEVASVDRQGTVPDNAGAAPVERSAEEEEEEEEETPE QEAARRRATLARLRAGGALGFGMFNQGAPGAESVEADKQHVDERNLESDSVAEETRDE APPLPPSRAPAAPPIETEEDEEDAPPPLPASRPSMSESHPESPTLSSRPPIPATTQRR SLPPQPRNADAEDAPPPPPRQLHQEPEVQETEEEDEAPPPPPPMRIGHAAGAYGEPRT STSGSRPSGACLDQPRAAPAPPAEERTAPSPQASVPAHGATQPRASSEQQGRQSTTLG TRPGYDQLREASANFGAGLVRSAHAIFQQGKRGQYGDGSSTGFVLLAMQNAGITPAEG WGQVIFEQEAGSILRRYDEPRPGDIAAFYDAKLKGKKGLSTYSQHVGSVEEPLVGVVA EFEERKHKLRVLQVERGVAEEVSYRSEDLKSGRILVSAPLSVYPPY L198_01894 MPRVAKQTKARHDPLHVELEADESIRKFGRAAKAPKRKPKQDEV ETEPKAEDARMSKKILDLARDQQEEIARELGEDDEWEDDEEPEVDPSKRPREMAQVAS DDEDEEFSDGDVSGGEEYAELQIDPADHATLDALNRGEGADAEEPGEPKTLADLIFSQ MEGGAVSKGVEEEHEGPPDPRKGLNPKVIEVYTKVGFLLSRYKSGPLPKALKILPSLP HWAQLLALTNPTEWTPHGTFACTKIFVSNLKPTEVRVFLEGVLLDKCRDDMRENNGKL NVHLYEALKKGLYKPAAWFKGVLFPLCETSCTLKEAAIFASVLSKVSVPVLHSAAALL RLASMDYAGPNSLFIRILLDKKYALPYKVVDALVFHFIRLANSPRSKDGEDKLPVLWH QSLLVFVQRYASDLTPDQKDALLDVIRSRPHPTISHEIRREIVNSVERGAPRPEEGGD VEMQ L198_01895 MPPTEQQYSDAGFTIVQRRGSVPSIILCQVCYGTDTNKAFPTST YGSHIKGKKHLMHFPRWRDQRVHEEQEQIRWRGHYEHHSPYNLPRDQQQRPPPVTIPQ RFPSDAPHTPSHLLPSSASASASTISPISPISPVDSHHGVMAGQQAMLSPPPHHMSSY PQMMGYPQYANVAPYQQQQVPQQYHHPGAVDRRTQYMVESDIGMGRMMWQQGYEDVQY GRTIPGL L198_01896 MSNFPHSAAIATSLSTSPYAVQSSLETLINRSRTHPHPHRVSGG DLEPPSPTALTHDHEEAASATPARRRPSNTSHAPRVGTIQEASYEDALIFQDDDDLND EQSKLLPQGWKTRRGSRQSRRSYGATSAPLGAFTDSTRRHRSMDNFSVGTSRSRSKAQ TPPRQHVEYLRKEGESSSDDDSSSPRRGRTHSSRPLSRTSFSSRRYSTAIRLGNESSA DEGGDLARGLLATGGHAVFAGSGRVGELTTMDLDPVTELDAVDLEVPVDEDGKEVREW QAAIKAEFPVILRLALPVFFTQMAEWSLVLASVISIGHLGTADLAASSLASMTASVSC FSILQGLATALDTLLPAAWTSSDPSRVGLWTQRMFVVMGFAMIPMYVLWWNITPILIQ LGQEPDVAQLAGTYLRWLSIGIPGYGGNMLVKKYLQAQNLMHVPTYTLFIVAPLNLFL NWLLVWGPEPVRLGFAGGALSTALAYNSAFLISLLWVIFYGPREAFHPIRFKYAFSKL GTCTSLGLAGTIMLSSEWWAWEACALAASILGPVTLAAQSVLLSTASTFFQVPASLGI ASAVRVGNLLGAGRAWEAKWASRACLILSKSLVPVFEVLLTLYSSLCIIFRKNWGYMF NNDPEVVELVASIMPYIALFQIADGVAATAGSVLRSLGLHATGALINLTSYYIIGLPF GLWLAFTPTLELGLIGLWVGLSVALMYASLLSALLVWRANWPRAVERVRERLGLETHG QVGADGKWDRTEEHEEVGEGTRLLE L198_01897 MPALPTPLPPTPTPSIHSPTMQSSAELNTSRSTIMSEQDQPIAG PSKSWQQTSYFPQPYLPPTPPVQDGEQPGSVASINFVEPVMPRRRNPSTRRPLSAYPQ DDEDPIHPPSRKYTDPTPVSHLPSSDDEESGDSHQLDVDLDAYSNTGFETEEEPLEDR EPTLSFVTASTHDSTAGTPPMSMAYSFPGQVEEGRDEVEPKIRLRSTAGRGANTYSSA ESSIGSGPYSYHAYGDQIYQQNPPPLPQMPSGHNSSMGLGISTEYSWPANLASSQTSR PPVLSPSNTFPHRPWKRDVVTRLRSGSASSAFTVASTATDASDGSSSRANMQDGAFHY DYDGLLPYEREQAEPEALAMIKEGREKILDVEKIEALGGVEAIDQHMVHSLAGITHLL LPSCGPQILGFLSPLLSVLAPSLVVLDLSNNNLSAIPDTLQHCTSLEELNLSDNPLWN IPGWVGALVGLRVLVMDGCGISSLPEEICHLHALHSICVRRNKLVALPTWLCLLAQLD TLKVDNNPFTPEWLHIIPPILEPPPRILPAAGKRNSHHHRHLSINNGLRTPVSATPIT SPTSSAGHTEQGMASGPSSSAQSSFHPAGLGPIAEDNHPHSAPIRADGEDLPFPGMMP EAQASRGLRKMRSAGALLIKMNQSVGSQSPNVRPRDLSPPTTDRFASLGGSDGRRAAS AMSNYTEDSSEPTGSRLGKGSVQKPGKLGGFLRKMSMNRLRPEKDKTAAVASSASSNL KTMPALPPMRHQHSDPIKPVAIRPGMLGAMSSATLPTRKITDLHISDFGPVSHVPTGP STMPLAGLPFPSQMGTSMSGMGTSNNSTSLHGKRRSFLPLDGPPSINVQIPTSEFPDP HAAETSVPTLTMEAPTPLATAPPSIMSQALVESPVAENDYDAKYDQGLDTIKLILRDL HDLSRKSVPHDTFAAMGGVGSADSSYTTSSVLSEHPGSPKSVGRDSFVDVHRARRPTL ERDSRELSNGGGGYVDLGEKEPSLSGKKFKNDKSKRAKIIHEIWETERTYVRNLGELV TIYVKPSAKVINPNKSAFETVVPSSERKIVFGGIESILAIHRDNFLPALEKVVKTLIE SEGKADDGEMSIETAYRVGEVFRTYIAYMKQYSTYITNFDNALARMKTWSGTPSGTST PTFPGKAGSNMSSTAINAGMSAISLPAAAPTASGPQMSSSQKKRVKAFLQKGKDHPMH SQISLESYLLLPIQRIPRYKLLLTELAMCTPARADGFRDTLDDALIEISSLASLMNEE KRDADSRLRLINWQKRFTNSGRSPLVQPHRRLMFEGPLTLSRIVKKASNFAEREIMLE TDGDKTITSSKTVVPVDHVVPESVEREVMLILCSDMMVLATQRGDGWEGPVNVFNVLR MGTLQEPASVTSGSTLRVVDNNSIYYFTGNTRDIIIQWCRAINTARR L198_01898 MSPTAISDNFQQVDFQDLKSKVVEGHVELEETPKPSVADDFMYD FKYNHSLPTTDALGVSIPEDADPQAAAEELLAKLSQALGKGDAQAFTGSFLDYGVWRD KLAFSWDYRTFNWAENISQAAADLLPTNRCGKFEILKPALQKPYPDLGFLQFILAFDT PLTRASGLLIAEGWKIWTLHTVAASLLQFPEVDPSDGHMTGSVSWENQRAADDDQIKP DVLVAGGGQNGLAIAARLKALGVSNLIIERNAEIGEIWRKRYEYLADHLPYFPFPKQW PTYTSAQKLGIFMQWYASALELPVWTKSTITKAEQDVDGKWTVEINKDGETRVLHPKH VVSNGLYCSLNSSYMSSGHGYFTVRCSNDARRSWYGQVEGNVRHSTANDSSRDWVGKK VLVVGTSSSGFHTAYDCAGVDIVVTILQRSPTYVMSLTHSVPRGIGNYEPKKGLPLPD FEEQDRLFHSTPLGPGEPLARRNCATLEKLDKELLDGLHAKGLKTYAGQRGTGQVTLG STRNGGFYFEAGACEQIIKGKIKVEQGFVESFTGACDCFWRCGTGP L198_01899 MPTRPAHLATFVFDSALPLPQYSKLIQPQGPIHKLIQRLIEKHG GEEHLSVSMLVTPGDQVKTGSKRPGKVYREEFRSPSAFLTSLPKLGALFATKASRSLQ WPGFGQKTKADSLREKKRKRLEESALLNAVVGGLELLQRPQPDRRHLPSKTFISLTPS SASPFLDSACTSSSSQTKPLPPSQRYMVVITSGTPSREDQKPVEEDTEAVLVDANWDP TWDGQGWNDVAEALKVGDVRCSTVALDTHCQLAIKVKQLCQEEKKVWFSIPVGMDIHL SGFAIESHEPTIPPPQPTVQEPQPQPPIIPQQPPATNPPASAPSGIFNQANLAKMDPQ MINSMLTTIRAQSQQPNARQDHRVQQIITMLEYQLRTSAAKAAQGQGNAAGPSQGQAD VKVAGPGESVMLAIQQQREKQAQLQQAMQSMAPAATAAAPPAPGPPRGQLVWSGAITW GNPTSGGSIPVDVMIVGSSNTDSIYVNKWPKELLIRTLAPIDLQVISSYARANSTPIV NIAPSDKGGLVNDPSYRQKYTQLGINLGTNKRVALVEFPGMQDRGIVIFPAPLNSGEK AFRLMGLICMATPFPQLPRAAPATVPPQRAPSGSTNIPNQPLPQTAFRPSTVQPSASM LNKISGGTANSGVGGHQAQQSQQINMLMQQAQARQSQVAATLAQTQSQNNPANAPVQN RNLSESAQPQSREFIQAQYQQLVGFAQKIGLTIQPQDPATVTPQKLQELMAGLRQADA KIKQNKLLQQQQQMGGGGQQGQGQGSSQMSQAQVAAQMARMQQMMQQQQQQGGSGMGM RGFGQ L198_01900 MDPAILRNLNDKIYDRRKAAALELEKQVLASDSPKISAIIDQLC GMLGSSNSALHTRNGGLIGLAATAIALGQDVAPYLGRIIPPVLACFQDPESRLRYHAC ESLYNIAKVSKGEILVHFNEIFDALSKLSSDSEMSVKNGAELLDRLMKDIVAEAAPSY VSIYPDNRNPNLPPHSPLREGAKFGLGLLPEDGEGSHDGDQEAYEDKRAFSLERFIPL LSERIYVVSPFTRMHLVSWLIVLDSIPDLELVAWLPEFLDGLLKYLADGNVDVRLAAE NVLAEFLREIKYIAQIAEKQAVHERTAPNHKAHRRYSGIRNESVIETDDEEGESVTTE SRIDGDEEDRDWEGEGSGAWVPGQGVFVDHAAIMEIMIHNLTYDGKDELVQSTAMEWI LTFLEFAQSTVVAFTPRIIPAILPNLASPHSQIKLAAHDTNSSLYHVIQSIPLQVQHP EKPAPTNPPTSASIPLLAGSPPSSLTIPGGLPTAGIGKKDFALMEAPEIVRSPAALTV NDPLDAAGAPPAPPPTATGKNNMSHSLSATNLGHKARQSVSAHGSEPPTPAVAENPSL APIPGAPPLPASRMTKSRPESPPSAPPPNQQPLALPPPPLASNTPMSPTLSQQGDGGA EKTVDVDPFDVRETVNVLTLQFLSDHAETRIAALEWLLMLHLKAPDKILSRDSGTFPA LLKTLSDPSEDVVKHDLQLLAQISSSSEDSYFTSFMVKVLELFSTDRRLLETRGSLII RQLCLHLNAERIFRAIADILEKDDDLEFASMMVVKLNMILITSPELNDFRRRLKNLDS RDGQMLFSSLYRSWCHNAVAAFSLCLLAQAYEHASNLLQIFADLELTVPLLVQIDKLV MLLESPVFTYLRLQLLEPDKYPWLPKCLFGLLMILPQSTAFISLRARLQVVHSSGYVP TTIKPSTSSTFGTARSKIGKEEIKWQELLSHFRNVQNRHEKARRQLHSTDIGPATASV HFSSPSQTYPTSGPGTATLSSMAGGKAGTAKKKGTLASNTTSRQGSGDVPLAARRGGG SGSGIVSIGGAVASMNPAQNFGVRPSSPGRRRILGGLRKSGGRD L198_01901 MPFKPGPRHKDTNSKGETIFECTWEGCTKKFGTAGHVRRHEKTH VGSTPYPCPHCHKAFGRSDVRSKHVNTMHRDEDHDVAHDDRDELDGSNVDEPPRRVHR VL L198_01902 MSTNSIPGTGFVDPANLNMIDPVITDELPMYSAQPLPDPSTDLL TFDPNWEWFGQLFGWGSDRDIDLDIGIQTSLLQKDTIGHGSSTDTLSAAWLLCSTPRA TTPVSDIKPQDGSIEIEGRKEEGPWPNVFKPNVPDRPLKVKNVTFPLPNTGPEFVSQS SRNAMLSLIYLSHQPQWLMPEVDDFPDHDTLSHFVDRYFEQFHPIFPIIHRPTFVSED IPAVLLLSVAAIGANFSGPEYSSLAVALCELARRMITWVARSDQRAKFDHSLLLAFTL QTALGCSCGSREMFYHAEIFRCSIVTTCRRLHLLRGLDNATEELYMKGSNPSVEERYK AYLSDERRRRLGWGVYLLDAQMTALLSLPPIFTVTEARIVPPSEESLWNAPNAEAWAA IIQRGEAVDPRISTRPKFSRLLTMCLAGDSISIKMSDFTLTLLTFTAWRMLFDQRLLQ KALGVGMSENGMDMPSQPADCHIVDSQPGLLLTRLATASMSSRSPVHFRVIPSSVYHH AQMFFTRPGLLQRIKHVSGKYEPDMTQEGSLIWLKTWMADGKEVRKLLWHAGVLGALL VEFPRNSAADMSLTFDCALMFWVILKYGPHQLMSSTTESVFFDAKWFDIIPPDMWIAH GGPITFPFLGSSATWTIPAILRHFMERLSKMPWGLALQNKLVLEKLLDSELKEENEKK GDV L198_01903 MSVPTSFKLNTGASIPAIGLGTWQAKPGEVKDAVSHALKSGYRH IDGALCYQNEKEVGEGLRDSGVPREEVFLTSKLWSSFHDRVEESLDTTLKDLGVDYLD LYLIHWPVRLEPNGTHPLFPVKPDGSRNLDWDWDQSKTWAQMEDVLKKGKVKAIGVSN AGIPIVDHIIKTGTVVPAVNQVELHPYNPQHELLKYSKEKGILLEAYSPLGSTSSPLH EDPELLAVAEKHDVTAATVLISYQVNRGVVVLPKSVTPARIKNNLKTVKLDEEDLARL DKIAEGGKQKRFTAPPWGSDFGFPDWYGAGNKDAPEGARLIAGKSA L198_01904 MSPVPHKQPGSSTSSDAAQRYPLPSFLESITSSSSSPLGRLPQD PIVVGIISAFGASALTFGSIIAYRRYWRRIKNADSVTMGMVERKIWVRGVVTSVGDGD NMRLFHTPGPFYRYPFKFRSIPTTQKALKNETLSIRIAGVDAPENAHFGNPAQPHAKE SLEWLRSTILGKRMSCQLLSKDQYNRIVAVPYISRTFWWDQPLPILMLKEGMAVVYKA GGAEYGPWGLDEMLKIEEQARNAKKGLWAMKKYESPGDFKARMKLKDSAPEEEVKTKR RRAAPAGLWTRFKQLMSKAF L198_01905 MEVSSFFTRLLQTPKQQQPTAAPDSLQVFDRAWLDIKETLERPD ERQLVRGITSTQVPNHLRHIVDALVYESNRTDEEQVRTGVSLADTTGACLEYFLKNDI LANLERLCERDRPHGIKAEVLKAINNLVVLLSERFLIHNAVHRPLRRLLRSCIGDEPE EKVDGGARVVGAAGMSDMAERRAGNEDIEGDLVDLMCVLCSKMRAYPPLLLIFFHDKG WLQPGRSTDPAHKRVMSPTPSTMSNPSTKASTKAQHSFEFLLFSYLLRFVHREGRLGD FARAGLIFLFDIAFLTANEEGGENLSMGGKDGVDPLQDARDVLGEFILDGDFADVMAA ALGAVYSMLPTKLRVPSLASRQMSDEDDKGTTAGGMFLGSSLTVDEDEFDMPSFTDEH VQFQLDLLLKLFGFLQDIIHRCNSPLLHADPASSEVSSTLVLGGAIVESTLDAIQSSF LDNVFYPAVLECSSLDGSSVAILTYLDAIFSNVDDGPVLDRIVSFLMDTNATGPSVAV PAAAPMSKKEKRKTGAMGFMGQLTTTTDYFAAEGRFTLKDLILDNLRADDWAATSAAL RLLHTLFSEHSIQSTKGLLSFVRDSAATALARKSIPSELNLLPPGESLLPQSVNFVDI QLQETGLYGSLLSHIDPSQGSIELSPGFAGYLTDMQSALEANPSYRLSQYRKALPFIP ESEKARVSRHIIDADPIQHRLSPSDPLLRTILHEFERFLEKIPDENVAMTGVLTSLAL CPERSLAGWMLYDKSERGEVDPWAKVDREAGSESLLSDADSDSDDSMSDEASSAPNAR ASLSLPALYQVLRSLTKQLSYIRSDILEDPDLFDRLLAERRQGLLFKDHLDEAMNVML DVDTFSPSTLFGSPAPGTPNTPNTPHNLFQNKLKPRTSGLASSIKSFLTPKKKTPGNT PSGTPGFLSPTGRTPSLASGLGLGMALGDDDRAPETPPKDPSTIPSPFSRPAFTSAEP PGQSAAPFKSHYDQTLQTLSLSDASSGEVIVQGPWAAPAPSYNVRHRRNRSVKDISMA GDDAFTQTTGDRDEIDELGELSMIAGEEDVGEEQKERKQVSLSMVLDNCVILEEFLKE AVAVVVARRSMGVDQVGYI L198_01906 MLSYLHATGMQDSFEALKRETENDDFVMDDPKARWVGLLEKKWT SVIRLQKKIMDLESRNAALLAELASPTRASAASSSSSQPFIPRAPARQTLTSHRAPIT RVAFHPKWTVLASASEDASVKMWDWEGGEMERTVKGHTKAVMDVDFEPKGNLMVTCSS DLTIKLWDTSNEYTNVKTLHGHDHSVSSVRFMPDGEKLISASRDKTIRVWEVASGYCT KTFSGHAEWVREVVASEDGLWLVSASNDQTSRIWDFSTGETKMELRGHEHVVECAVFA PVNSYPAIRELAGLKANTRAKAPGAFVATGSRDKTIKLWDALSGQLLRTFVGHDNWIR ALVFHPTGKYLLSASDDKTIKVWDIATGRCAKTIDAHGHFVTCMTWGRATVGGSASGE GEGEKKEAVNGVEGKKEEPRRINVLATGSVDQTVKVSTWLAG L198_01907 MDPTQYTGDFHRASEPSTGVLLLEFNRQVAILPRPRADRTSRAP VNAFHDAQVLWQEMHRIVDDVSNDPDVRCIVLSSALENAFTAGLDVTKSELSSGKTFL DPARRATSLRAHLLKFQAAITSLSRARQPVVCALFGSSVGLAIDIASACDIRVCASNV KFGIFEVNVGLAADIGTLQRFPKIIGNDSKARELAMIGRPFGAKEAEEIGFVSEVAQG GRQEVIELALQKAKMIASRSPIAVIGTKEVMNHSRDHSVDDGLQYVATWNMAMLQSED TPKAMGSALKRTPVTFDPIAKAKL L198_01908 MRRIPSQIPSAVSRLLQGHVLQTPPTWYTPALSHPPPQLPPYQV KQRARPPLPSSSSHSTGQFYDTAPVPSGELERRDKLRGYKQRKSKPAKVFYEEDNVRR QFFKDFPYEALRPVSLVEGQEIDMREKINGLEWERLEQRGEYPSVEDCVEFVVNLKNT QGLPITEAYEQATEEFVQLRARHQLATVGAELEARHYGAQFKRDAFERHFDLEEKALD SLAPKSRSPATASLSARVKHRAQPRYQWTNSLSQEALPQDTFTGGEAYMARWRIPAPV QVEGVKKEGDLVSLLGGAGSEAKEGEQQAVQSDELDFLKAALNSAKA L198_01909 MVSSRYLARGANSLAQSMIAKRSMATVQSSIGDKKVAMSNLESG KFINYQRIENNLQIVRSRLNRPLTLAEKIVYGHLDDPHHQEIERGVSYLKLRPDRVAC QDATAQMAILQFMSAGLPQTAVPTSVHCDHLIQAQIGGKPDLARAIDINREVYDFLAT ACAKYGIGFWKPGSGIIHQIILENYAIPGLMMIGTDSHTPNAGGLGMVACGVGGADAV DVMADIPWELKAPKVIGVYLHGKMNGWTTPKDIILKVAGILTVKGGTGAIIEYHGPGV ESLSCTGMATICNMGAEIGATTSLFPFNHRMSSYLKATKRPEIAKYAEEFNHNLQPDE SCEYDQRIEINLSELEPYVNGPFTPDLATPLSQFAAEVKKQSWPAELKVGLIGSCTNS SYEDMSRSASIAREAASHGLVAKSKFTITPGSEQVRATIARDGMVQNFEDIGGVVLAN ACGPCIGQWDRKDVAKGEANSIITSYNRNFTGRNDANPATHAFVASPDLVTAMVFAGD LTFNPMTDSLKGADGKEFKFSEPVGFELPAKGYDAGENTFQAPPADGSSVSVAVSPTS DRLQLLKPFKAWDGKDIVNAPVLIKAKGKCTTDHISAGGPWLKYRGHLENISQNCLIG AINADSGEANTVLNQETGEFGAVPTVGAYYRDRDIPWVVVGDENYGEGSSREHAALEP RFLGGRAVICRSFARIHETNLKKQGMLPLWFKDAADYDKISGTDKLSILGLNEFKPGQ DIKVEITHKDGSKDSFLTFTSINEGQWGWFKAGSALNMMAAAAKAREAKA L198_01910 MSFRPAMLRTALGRRANPALNLKAPVLRRRFATEGGPEIPKPSP PGASATPYLLAGVGAAALGAAYYFYGTSGTPKEAARTADTAVRSAAATAEGKTGLRRG QEEYQKVYDHIADTLEKEGYDDGSLAPVLIRLAWHASGTYNKEDGTGGSNYATMRFEP EAKHGANNGLNVAREHLQKIKEAHPWISYGDLWTLAGVAAIQECGGPVIPWRPGRIDG FAAQVTPDGRLPDASQAQDHLRSIFYRMGFNDQEIVALSGAHAMGRCHTDRSGFDGPW TFSPVTFSNQYFALLKDEPWLWRKWCGPAQYEDKKTKTLMMLPTDMALVKDKSFKKWV DIYAEDEEKFFSDFSKAFGTLIELGVPEKQWAGKPWTMGSQ L198_01912 MKKHIFLAISKTIVLVTWAQAALQAPFELQREDHFVGNSGHPAE FPHVDYTALDPDDDGTSPPPEGMVLYPLHVSGPSEDRVNLMFFSDGYTEKEETKFVDD AAKLKDDIVAAHGAMKDVAHLLNVWATFVPSETSGVGTRDKPLEGAAFGLYRPGAELR AVFVDRPSRARAACRWYKGKRGREDGGCDQPILLGNDPLYGGLGGEFTVVTASLLNGP VVLRHELGHSLIDVGEEYEGGNAYFGINSEKPEKHGNAKWKDFLSEPGSPRIEDAKVP LQEYPWYDLDISPWSVSFNSSNSDPALIDYPTALLRASVSSIPDPSHIAFVINDQTLD LTETFPPEWQGSLDRRWLEVDLVHGRELVSGVNRVNLSLTKNGKKAQAGKGGKMITSL EIIEYGGEGRFNHTEGVIGLFPTYAMDGRVRLRPTNEGCLMRKVNHPSFCPVCAHYLE KRLRDIILKKQS L198_01913 MSAITTSPSTALVGGHYDTNTSPHTKSDFISPDEATTVSRGFDA TSRLLSAGGSQYKRIKADVAKHLYSEIFQGDGTGAGFDRAELEAISRQDRETFQQASN AYDELEGLKSQLRAIDQRTGGAVSGGFAEIFVNFDQADRDGPRDGPTPKQVGEYAAQP EGRELAGRPDEIYEGYDLSSIEDELALSSLYNSNGEASDLSTGWDKSLTGPEYKQIQG PPPPRHPEHSEMTALPERSQQQISYHMGEDGLESQPSSRVQRGITAPPNRSKMDFNAL KDWYLTRVSRRTGEPKTMFDLNQTVLEPLSVPDFASRAPRAQPRTDRGYAPSAAPSQV SMISGAASNDLDRFFSFGGDATQTIQSALQSGAEGEFAEMETEDGGVVTRGQASGVTA DGRKFTMMVSKSVSSTMGGTGRSRAASLFGGPLTAHRSFM L198_01914 MAPKSKTGPPLCEVCSISPSKYRCSTCPTRYCSVVCFKGHKVGC SGLKAARQGAPDAQAVPELALDVLLPDEDEVDVVESEEKVVAEPPQQSLKSLSSLKWP PEPDPTIFTDPLLKDDPKPLRHEELLRIGKALLADPTLIAILQLLDTLPLSARHATLS RLVGLDTQSLSSSSKTLVSGRDSPPPLDELLEAFSGTKKDTSIDERDGWWLHGPDGRI WITEKERNLMRLFAGGICLSIDGKEENGEIAWGQGDLAWDA L198_01915 MSDPQSTAQLSPFNTPTAEQQSGETVTADKPAQYERGMNPRLRY IKPYWWPYKTYVKERWIGRQLLEVITTEFRDRSMEYYASTRCEPRHALESGVTRINGV VARPDLILRNGDRLDNTVHRHEPPVTTDPILVLHIDREREFVPVHAAGRYFRHTVLEM LQSDYGLKCFSVNRLDRLTSGLMILALSGKTASQLAQEFSKGTVKKEYVARVNGKFSE EEITVNQPLLTVDRQMGLVIITPEGKEAVTIFKRISYDPVRDQSVVRCKSPSRSTHQI RVHLQYLGHPIANDPLYGTTAIWGPSLGKGGVDLVPSDSGVSREEALKARAGTATPQT NDVDRELDNIDLNSPIRLSNQARGIIANLRREKDAAEDWIKWSEVIFNTKQAQDDLEA EKPKDTKPQKIPRLQGRQEALKLPDPLPDDTPSPLKAPLYLPPGFCPQCFVPVPDDPD PETLFIYLHALRYTTDKLGAFETPMPRWASKEWDGDWRGWEDGAVLPDALEQDIRKQE EAAGAVSEKS L198_01916 MRRLSLSLFSIVLFLGLVKILNCWWAACSLAVLISSQNWIESMI RYGNNASMVNTSWPQDGSVQVQIWNPDTLTPVIETAVVANKSQTEDHVDGNWWHDSLS QSIKLLGTQMDIAGIFPNGTFDPTSGSALTGLQVLTGFETASKLTSDYADADAFWTDL ARANESTPVIFNTVSLDSIGVTDPQLGDSHDYAVFNGTVHEDGSKTVWARNSWGSTDE FKLEDVYNNTYQIIHLANWDRLEWQDSVDWTIT L198_01917 MLTFSNLLLILAIAIFSLLSSTLAAPIEHEPEILQPKSFITQES NAYPPLRFLTRFRSHIPPDTKVQLKWAGGSGEGVEVYYIPQWPGQEDYAPIDILPPTK DTTSYVWHTPSQNAYPEGTTFIVGINDVIPNIGAVWYDITGLLSFRK L198_01918 MSLEYDEKKEGFSSEIAPAVTRGEYDGEEVVPKEGLQRNLKARH MAMISIGGVIGTGLFLGTGSALANGGPLGLFMGYALMGSICLCVMLCLGEMISYLPVP GGHITLAERFVDPALAFTMGWNYWYNWVIILPAELSAAAVLINLWNATINNALWISIC LIVVVIINLFGVAAYGEAEFWFSSIKVLTIVGLIILGIIITAGGGPDHTSIGFEYWRN PGPFVHYEGIKGSLGSFLGFWAVLTQAAFSYIGTEIVAIAAAEAKNPRRNLPRAIKRV YVRILVFYLGGTFIIGLICPSNEDGLSLNSGNALASPFVIAIRKAGIPALPSIINACL LTSAWSAASSDLYTSSRALYGLALSGKAPKIFTRTTRKGLPYISLALCAAFGLLAFMS LQSTAGKVFGYFSNLTAAAGLMTWWGICFIYIRFEKGLRAQGIARSSLPYASRLNVRA SAAYYAITMITIILFFSGWSVFLKDNWDTATFVTNYLPLWLFPILWIGYKLTKKTHFV RASEMDFLTGLAEIEAECYEEAPAKNWVEKVWNAIM L198_01919 MSAHTNAIVDLESAPVPSSALPASTDDTKAAGGPITLTYSGDKK ESIPDVSVGAAGALDYVSDEVEPTDEEFAILKKIPGKMPWVCIAMCLIELAERASYYG ITGVVQNFVRNPLPRGGNGAGAVAPGSAGENQSAGALGRGSVQSSAIYNAFVFLAYVF PIMGGIVADTRWGRFKTVVVGTAIGGVAHVLMVVVTIPQVLAKGSTAALGAFLPFLYI LSFAAGFIKPCLATLLCDQSPVKRPTITTTKTGERVILDPQTTVQRYLLVFYWCINVG GFFAIASSYSARFVGFWLAYLLPGIVYMLMPIVLVVCYKRLYKAPPQGSVTLEAIKVV TMIIKKGGIIKMFKGGDAFWQTAKPSYILATEGQVDHTKVFWDDKFVDEIRQSIAACG VFALIPIFNLADGGIGSQENDMSTSMTLNNAPNDVISNFNPLTIIIFTPIITYGLYPF FEKIGYPLKPMTRMTIGFILGAANMVYGAIIQWKIYEVSDCGYYASTCETVTSWSIWA QIPLYSLPAIGEIFVNVTSYELAYTRAPARMKGLVYALCLFNQAISSAIGLACANAIQ DPYLIWPYVALAVACMICAVLCPTYFRHLNDPIRDFADPDRQAGKLQETEALIEDRAA SPVREKA L198_01920 MLSFAQLAKRSVAGGLRRQALAARSIRTSAPNAALSKFGMPAMS PTMTEGGVAEWKLKEGDSFAAGDVLIEVETDKATIDVEAQEDGILAKIIIQNGGKGIP VGTPIAVLAEEGDDLSKVDAFAAESEAAAPKEEAPKEEKKEESKAATTPAVGTPGEQK YGAGDQQASPAKVPEHPSQGDRPKFFASPLARKIALEKGIPLGEIKGTGPQGRIVEAD VQKYKPSASSSSAAAPAPAAAPAADYEDIPVSNMRRTIGKRLSESKQQLPHYYVTVEV NMGKSMFLKLREVFNKAGEGKTKLSVNDFVVKAASLALAEVPEANSAWLGETIRQHKK ADICIAVATPNGLITPIVKDVGAKGLATISAETKALALRARDGKLKPEEYQGGSFTIS NLGMFGVDQFTAIINPPQSCILAVGKTSTKLELAPEDPKGFKAVQVMKVTLSADHRTV DGAVGARWLKAFREYLEQPLSFML L198_01921 MSTTLTKKQQKALAFRSKQKAKKSGTEVPEDLPEQDLDDNDENE QPEVVVKANEKEKSAGKRKRDDEEEKEPSADDEDTTTKPEDKGKGKETKKRKTAWDEE EEGKKNKGRKDAKQRFILFIGNLTFKTTREEIQKHFEPAVGHLPSVRLLTTKATPTQP SKSRGIAFLEVPSSTAMQACLKLHHTTINARTINVELTAGGGGAGEERKKKLDERNQR VGTQREKKAEKERELNGGEDPAPVEQPMADGKKTRGGRRVRAKAGDQASGDASSRPYQ QSSGGYGRAGQGGPQQNGRGPYQKKKWEPTGSNFITVAKRQ L198_01922 MAVESVAVHSDATGTPQSQAPIKNNASADVDTLQGQVNEMSLGN TEFDLHAACAEGNLDQVKATLSKGTDKLETLDVNTGCTPIVLAIRGNQYDVVRELLAA GAIVPPPGLTTDSTMLSILYPQPVYNMPPQFMTIPPQEYYPQPNYFPQQNGGENQQQF GSPRKESVSAPNGSNSNLPPADVSKSIPCRNFPNCKYGDACVFLHPRAAGFYPPGPGP QSGYPAPQNYEGFPPYPPAPAPYFMPHGGNGFQSFQEQQVAGQVEGGAAPGPTGPHVP SAVAPVFVPGFQPGDMMASPPPSHFGLSPMSPSMLGTSLPSIPPAEVFFAASPPNNGG FLPPPPMANGAPRRQSVGQQFGAPNGKPFGHGKKPSFSGGKPWAGGRPANATSKFGTW KDGVPPPCAFFNQGNCRNGELCKFPHLDAEGHDCRHPDVVRGVLPPLPPLGNGKRNMR STGPNGGFAPFDPSFRQQQQYQQQMQFLQHQRMAAAQAQQGQAAATSAEGKADEAVAE EGNTVEGAEKQAVAPAATPAENTLPSKPLAAPMPTIIRSASQPGVQRVHANGLSSRSH SPAPSNVSFHGNGHPRRAGPVPNANGVASGRSASSGPEKKVSQRIPGADEFPVLGTPT SEKKEPVWGVAGKTAAQVLQAPAPVKPVTAKSEEDNQPGQSVTMESEDDSDAVLVSRK PSSATTPASSTSPGPPVLETASKKAAPISFASVASSAAPAETAAVTVKA L198_01923 MAYETPRTDLSAWRLVVGEDSHGQQKWVYLSDPHQREQCPQSTV EKYWLGLDTDLPELERAKTPLEAARNGYRFYKQIQSPDGHFSTEYGGPLFLIPGLVVA LYVTGQSLRPEQQLEMRRYVLGKRRKEGGWGLHTAAPPTVFGTVMNYVALRILGMGPD EGPMSEIRALIHKMGGATGIPTWGKAWLCILGAYEWDGVGSVPPELLLLPDWVPFAPW RWWIHVRNVFTPMSYLYGTRFVGPYTPLVASLRQELYTQPYESIQWSRQGSNISPYDV YSGHSPVLRAAHKVLSVYEKLPHVPVISSSLPLRQVALDRAYQLIVYEDENTTYQTVG PVSKAFHIVCRYAREGADSDAFKAHLSRVDDFLWLSKGGLMMMGTNGSQLWDAGFMAQ AAVETGLAEEEEFRDSALGTLDWLDKAQIRENPKWYKAGYRHRTKGAWPFSTPEQSYT VSDCTAEGLKAVMGLQHLDYTPEAVSMDRMKDAVDTLLSMQNANGGFASYELSRSGTY LELLNAAEVFGNIMIDYTYPECTTSALSGLKHFSLLNPTYRAADISRTIDLSIKYLHD IQRPDGSWYGSWGICFTYATMFALESLSIAGENWANSDRVKRACDFLVARQMEDGGWG ETYMSCVTGEYTQHERSQVVQTAWAILALVYGQCPDKTVIEKATRLIMSRQQKDGRWE QEDTEGVFNKNCAIDYPAFKFIFCIWALGKADKYLRN L198_01924 MKVIPYQARSDNWMYLIVDSSNQAAVVDPYDAGKISKAAKEAGV NVTSLITTHHHVDHSGGNSKFLSFHPHLKAYGGSTQSPGTNIVVKDGDTFTIGDDISV KCHHTPCHTQDSICFYLEDKKTGEKGVFTGDTLFLGGCGRFFEGTPEEMHTALTKLSK LPEDTVVYNGHEYTQGSAKFGLTIEPENSALKSLLKKAQEDSCTTGKSTIGDEKTWNV FMRLTTPEAKKATGETDPVAIMGKLRELKNAM L198_01925 MAAHEETPPHSTLQELPLETAHEPQPVGLGIHHGSPGKELPPSP KTPTTALETELTDGSPLDPEDIEVSPPPQLPPKPERAVPIRVDSKETLDDVELSRAAT PAVAPSDSTRRSTNASVASLPSIVSPSLPSTPSRQQNLGRPSSVTSGHHSRTSSVATL SLSNLGPNSAGPQLSSVLITPPLQILVNSKEAKKSPSFHAAAQRALELCQNTEGSNVA YIHPREIFEPLRLAISNPQTTSVPVLVTSLDLLSKLVSHSFFSEPNGPPPGLPPLPDL ITHTITLSYSETSSPQVALQVVKALMAIVLSTDKGMLVHQSSLLKAVRTVYNVFLLSN DGANQVVAQGGLTQMVHHVFGRVVRPDIKSAGRESEGGSVSVSENEARRRGEARSGET GSVPPTPAPDATEQENGKLTLESFAAQNPNDNIPVERSALGDGAEDVDPDVEQSTPRL PQHTVPIPVPNGDAPSFNVEQNAEANGHGDEDDGNLDAMGRPIPVEQLLVKDAFLVFR ALCKLTMKPLVTDSEKDLRSHAMRSKLLSLHLVLTILKSHSDLFVNPYVCIPSNSSLE MTPFLQATKQYLGLSLSRNALSPVNQVFELSVEIFWCMLSDMRAQLKKEIEVLLNEIF IPILEMRHSTIRQKSVILGVFIRLCHNPQALVEIYINYDCDRASLENIYERLMNIVSK IGQTHFAPPSKEELAAGGSTKHSGGSAGPAIPASLGTAALGGDTGPNSPHYANLPPEV TLRRQSLECLVAALKSLVAWSTSNSGARGQQHEDLQPGNEDGLGRHHSSGSVSGSNAE LAAPTPVWPADPNLRTPSISGLASGQNTPDLGEDDVNRFESAKQRKTNLLEGIKKFNF KPKRGIAYLVEQGFIRSNAPNDIARFLHGNEGLNKAMIGEYLGEGDEEHVATMHAFVD MLDFSGMKFTDALRTYLQSFRLPGEAQKIDRFMLKFAERYLHNNPSTEFANADTAYIL AFSVIMLNTDAHNKNFKQKRMTKAEFIKNNRGINDGADLPEEMLSEVYDEITSNEIKM KDEVELPQAPASGGLASVGRDLQREAYVAQSENMASKTESLLKAMVRQQRRGVVRPTD HYHTASRLEHVRFMFEVAWMPFLAGISASLQETEDMDVVDLCLEGLRAAIRIVCLFDM ELERNAFVTTLAKFTYLNNVAEMKPKNMEAIKSLLDVAVTDGNYLKASWKDVLVCVSQ LERMQLISSGMDVPDLNRTSTNKKKAPAEEVAEESRSSQVTVAADMVFSTSKNLSGSA IVDFVKALSEVSWEEIQSSGSSPRPRMFSLQKLVEISYYNMGRIRLEWSHIWLILGEH FNQVCCHNNPNVSFFALDALRQLAMNFLEKEELSHFRFQKDFLRPFEYTIVHNKNSDA REMVLQCLQHMLQSRVQNLRSGWRTMFGVFSAASKVLTERVCNYAFELVTLVYKDYFS LVVKFGSFSDLTTCITDFCKVSKFQKISLQAIEMVRGLVPKMLQCPECLLPQPGDEGK SQQGDDPMVKYWLPVLHSFYEIIMTGEDLEVRRLALDCLFDTLKTHGSGFSVTFWSTV CQQVLFPIFAVLKAKSDVRFKSPEDLSVWLSTTLISALRDLINLYTVHFEVMQHYLDG LLDILVACICQENDTLARIGTSCFEQLLEQNVRKLSPEKWELIVSAFVQLFKTTTALQ LFDPVMCSEVEPSGDLHDADPPFQKFVAPAPLEPASDKSASLPPNITYGEQRRIFKQV IVKCVLQLLLIETTHELLQNDEVYNTIPAEHLLKLLDVLEGSWSFARKFNADKDLRMQ LWKVGFMKQLPNLLKQESSAAATLVGVLLKMYRDPRDAHRATRDDIVDRLVPLATEIM GDFIALEAESQPRNIAAWTPVVTNILQGCCILEEAPFEKHIPTFYPLVTDLLLKDVAA EMRLTVRDYLRRVGQVKGAVKKE L198_01926 MTTAAAPAPVPPRAPTSLDPSPHGSSTSLGDNLALPNPAFIRRR GGDGSASPSARSSISSSQDLSTLTSEELWILNREDVPDMSNPHTTASERPLDTVRRQS KISEAAFHGGLPGEVIWPSAPPVDDFAPLPRAKSHTSINTPGKKRMSIRSKSRRTSDT PPINTKPNVKAGAQSMPVSPVAPNQAMMSSAHSSSTSLSSHQALSSSKSFPALNGKGG AAPPPTTYYSRDFLSSLAPREGGYAIAAQLGGGLGAAGTITATDNKRRSSYAEQGGRA RAPMAKSTGMGRWSLDGGENYGRPYMTASASTTASNLSAPPPTSDPSNTSSIEAPRMP EGAMPAISTPHGAASVSPAPAQVQRASPNPSPLSQQTSAEEVIPPTPEAPVAPNAPQV TEAAVPATKEESALVSAPASAAAKVPPAPAVTEKRSKRQIAKDTKAAQKAEQQAAAQA AARAKAEQMRVELAKKQKAREDEQKRREDAKRQEKEEKARKKAEKKDKKNGVLRKTAA SGDLKQKPAQVKATPVKAAPAQTVPGTTPSSVVSPAPTAATPARASSAIPSTPTTLRS AANVNTPESGVAESTRPSRSSMPAGRMLSSEQAGASLSSEKGPEVKLRRSFFGTLRKR LSSNVVEKGDCEASPVPPMPNGAAAQAQAQAQDDFISPPPRRTSLMAVNRGSSSKSQA PGSEAVAEESPVDSPSTVRPNVASALANAPSSPSPSPSRNSHQIPRKPVSPEGSINGR RSVSGPRPMPSDTSRSRATSLAALSTEQGELAAPVTPSTSGDDSQLSYMQSRSSHKSE VTPVTSLSGSEAMSEDGSRDKDNVGAPVLGEEVQPAEKQSGKDSDETLLPHQVTAVNA PVLA L198_01927 MPADFITTIDSDDEVSNYGGEASNAKKDDDINPDFEFDFGGGRS EGLDLWGGDEIQGVKKGNEPINVDDIIERRLGKPIKAYKDKKRRRQDSDDESDDESEQ DDSEESEEEGDDEAELEGEFEGFGSENDEMEEEDSDEEEEEEGDSSEEEEEETAAEKA RKEAFFSSEPKNEEDPTLPSTFAAMNLSRPLLRALTSLQLNTPTPIQSRAIPLALLGR DILGSAVTGSGKTAAFMVPILERLCYRDRGKGGAACRVLVLCPTRELAVQCEAVGRAL AEKGGLDVRFALLVGGLSLNAQAHTLRTLPDILIATPGRLIDHLTNTPSFTLSALDVL VIDEADRMLEAGFTDELEEIIRACPRSRQTMLFSATMTDSVDELVKLSLDKPIRVFVD PKRNTAKGLTQEFVRIRSDDTRSPSLLALCKRTIREKCIIFFRSKALAHQMRILFGLY GLKAAELHGNLTQEQRLQSLNDFKAGSVDYLLATDLASRGLDIKGVETVINYDMPGQL AQYTHRVGRTARAGRKGRSITLVGEADRKMLKAAIKQSDADEIRHRIIPSEAVQAVAE KLETLKEDIQDIMKEEKEEKLMRQADMELKKGQNMVEHEAEIFSRPARTWFQTEKEKQ NAKTASKDAYVGTFPKAKGGEKDSKDAKEKLKRGKYDGLSRRLKRRKMAIEEDAADAA ASRAQDIAIRSAKKNAQPKKIGEAQPKKVDKKGKKGKVGGGKKSAFDDGKKSHEGMRA KPTKVNLEKGGKKGGKGKGKK L198_01928 MAATLVLPGDQIPIPSTSRNIIIGPGIAAADNASPSSAPALVAT RLGMLHTGKGKEKSQKVWIEGNSKRYIPAQKDMVLGVIIARHSEGYRVDLGSAQMAQL DALSFEGATKRSKPNLKVGTLVFARVISASRDMEPELECFDANTGKSDGFGELKGGVM INCTLQLCRQLLNRNYPLLPAIASAFPFEISIGLNGRVWLKAETVSETLAMKRVIEGV NDGVLGVEEAAVKAKIQEYMA L198_01929 MWWPFQWLIVYLCGGLTFLPLALTVVLVYVYQYGTTPIGDKDPY KFQKATLQHDAERAEQLQKQRYRAPSSTSPTVSGWITVRNTFNPDGWTIGNGKPGGES QVTGTSTPVTESPSEELAEEESWGKANTPSSGEQTPGSADAQGGKMPYVPYTTRIAQT YRTILPRQTKAPIPRQYFFGVLKSSVLYLYEDEAQINCLHAIAIDDYSVGIEMPSGMF EGKDGEMFAKRHGIVLKIRKPGKGMPLLSKSMEATQTEFGLANSPIYLFSKSNTKMED WYIALLHVSTDHLTWDQVFAVDDMKNLVDTIDTEPDPIPMRWFNAMLGRVFFGYYKTE TFEQFIITKIMKKISKVTRPGYLGPIVVREVNVGTSPPLFSKPMLKNLEKDGSTAFEV HVQHRSRASQPGSDIRLTIATTVTIPTGFKPYVVEIVLAVVLKSIEGNMRVEIKGPPS NRIWYGFTEMPKMEMEIIPIVSERKIQLGMVLNAIEKQLKDAIAESVVLPNMDDLAFF DTSSLPIRGGIFDLAAKVERNATGEKVEPPVEAPVVSKEDPDSAVPTTTSIRQRQKKK SQLAEGETVFPAPASQSSTDSGPPIPRTDTAPPAIATANSSAVKKAAALAATKKWFAQ TGPKSPSLAAQAMVGSQGAAAAASSSSAPALGIPKDSSEKTGNWENQPEVAPVEVSSS TTPSAESIRGHNNNGSITSMPGQTVPSGPSSVKGFDTSSTLSGSSTETANPTAPQAST ASLISSFRSRDKKAIQAQVGSARDQVKKWGVSFAARRKATREGGDLGAGDEVVDMDEA GLRALYRPREEEEDARDDEPLPVQPLSVGSQRSLQARLNAAAHDTGASPLPRSRSPSG QGVSIPGRNRSHSSSKHSLFSASPRAASPRASASTSPSQWIPTAGMPTTGIAKDDHAV GSPGSSISASGTQGHSRRHSNATAISLQPTSGRSMVVPRVPKRPGQVMGFGSDMSSQS GEIGSSLKKADEDKGAEHAEPEVLTVGQPPELPPRKSTDGVSDKANDTLVSRSVPPPR PSSLTSLPPPLPGRKPSPADSDRSRDVTHSGISSTPGALSPPRTSPNRPNPVPDKGSL IDGKSSDPSPPSDDTLISSVRRSESRRLPSESTSASPAYGAQESLRLVAQRHEEAKKA NLLEIPEKDEAAKKEDGEGASHSGGDMLP L198_01930 MPATYASTNINGHGSSSAGPSNGAVEDIDMEDDEAPVGDLSDDE EGEEEEEAGWTEATFTDKPISRAGASVVRGLSDAMRDAIKRLDDVVDSIKETAYILEE AVADDPALKNVEASLFKAFDQRAVLKIKANALDELSKRLLEGREHTNIQADFENLSEP RIQEYKGKSQHAKFKKDREYADFKSELWSRSHETACPPISTFLTKGPNDEDDSDDDID MGGQTQNYRCPITLTLYQDAVTSVKCNHTYSKEAIINLITNSQKNRGVARCPVTGCSI AISKADLKDNPAIQKRANDFSRRQQEKEDDRDDDAASVEDDSDGE L198_01931 MSNLLTKGFAVKATTLDDPSECFGAVLQVLNVKRISAGSESAID RFRAIVSDGDHFCQAMLATQLNHFVEEKLVDKHTLIKVNNFSVNNVANRKLLIILDME VVPGGGDEKIGSPVSIEGASKSAPAPQAAAPPPPAASRAANNSRPVAGRSGYGKGSKT EASALYPIEGLSPYQNKQARVIQKSDIKHWSNQRGDGKLFSVTFMDETGEIRATGFND AVDNFYDKLEVGKVFFVSKARINIAKKQFSNVNNEYEIMFERDTSIEPCEDDTVPQVK YNFKGIGELGELQKDDLCDVIGVVKDVGDIGSITSKSTNKPFAKRDIQLVDQSGQSVR LTLWGKQAESFQGDDHPVIAFKGLKVGDFGGRSLSMMSNATMVISPDIAEAHSLRGWF DAEGQSTSFTAYTNSGISDGAGASASVKPTELKTIAQSKEEQLGMSEKTDFFSTQATI AFIKQEPFSYPACANKEGCAKKVVEDGGSWRCEKCDRNYDAPIHRYILNINVMDHTGS FWITAFNETAEQLMGISANDLMALKDDEEEGEGKFVSYFVRAVGRTYNLQMMAKQDTF QASRHINTRGAMLTKLDRTKKVALPDYVGESAHLTELIKQMSV L198_01932 MASRTARVAQTAAAVTTPPKRTKSAFSEGEKQALLQNFDLEVQD KVAYFRSMLAQTLASFHMREETEILAIPRDLRGLTLRELENKWGGGWAGTLHRIKTER FEVEQKKREEQEEKDRDEVVKGKRKRNATASSRGNSPTRSTKNRKSARHEAHTSASKT PASHGASSTTRGKATASKRSTRAQPLSASKATSSLPQDHVFNPTLPPTPYRSQPSPLS HSSHPSRARARSNASESGSSSGESTEQDESDDDDLPDPEAIEARLLAKERSPGSKSKS KKKRAPSLIFRQSLGPGAIAPKTPGPSRMVEDSDEPLATIELSDGRTISFNPFSLTPG RVEMELEEGGVSKEEKARVQNQVHTEVLKCLQARMEKWKV L198_01933 MVAQKPLKRKADTGKSTGNKRRQPSPAVSDAGFEDLENGSQLRF DEEAMGDLQDPMIDPQHSSDDEDSEEEEDGSATDQPAASSSKPHKNLYKAPTVAELEQ LRQVEETGGATFSLQLSELLESTLLPTTPHPALKSLLSAVHGKILGLPSLEAVAPIKA SKRLGKTKMPIVGPEEFSPLKKGKDIKWTLGWEKPEEIVIGGSWGVVGGYKKGKGEMG GVDLVVVMPSSMFSAKDRLDYRYFHKRSHYLAVICAQIQALADSEGDLKGAKVGWTTT MGDDRRPVISISAGKEQGLKHKLEIRIHASISSTVFPLSSLSPSKCLVRTSLPTSGEH AALPTPFYNTSILHDTLQKPHLLHLHRLSQILPANSRTVDSFLALWRIWAKRRGIRLE RGGSGWLAGLLLGWVVNGGWIGGAGGKREKVKRVAGLGKSLGPWGAIRAAWELLAHTD FNATPVFLHQPGTTNSYPHSDFFPFKHVFTDPTGLVNVFAEWEEGEIDFLRYHARETL AMLEDESGERFGDVFLKEFKLGPGVFDEYIQVDISSVKIDATLDQRSEYPSAPSLAVA RFASTVRSALSNRVDLVYLSPLSSTKLALGLLLNSSNATRVLDVGPSPEQAEAGAEFR ELWGEKAELRRFKDGSIAESVVWDVSRPEDAALIPTRIIHHVLKRHFSVSEQKIDSFS TSQGWLSVIQVPPSARDAVSVKGSEKLGFRPTITAYDALYKILKNSDADLPLGLLNIQ SASPLMRYSSTFVPHPIDVPRLPSAPACIRYTPHIEVVIQFESSPKWPDDLAAVQKVK LALLEKLARVVQKKLGREATVGIVFDDGASEIEDQAALEVVVPEGVAFKLRVYYEREK GLLERATEDDQPAFATSLPNPPRRLAVPALAKHIERFHHLPAHHSSLAPLHHRYPNFS SSTRLLKRWFSAHMMMGPELVREEVIELIMAGVYLEPGRGKTPASAVAGFLHAIELLG GWDYKSEPMLVPIHTASSPSATSASGRVRFPAELKEEAIKTFESLRAKEKDAGHPWVI CTEQDVDGLRWTKGLGKGVAARVALLARATLEAAKSGVESGALDVRSLFVTPVEHFDF LIHLNAAHLSRSALAIHPDPSLWEPHLKFRNLSSAGEGSVRVDFDPATMFVRDLQKIY GDGLMVFHDIYGGKVVGGVWNAKQGPRGMKAFLGWNSRPVESGAELVKVNKEGILGEI ARLGKGLVEKIEVR L198_01934 MSNTLRPYLNAVRATLTAALTLENFSSQVVERHNKPEVECQSTP EVLLHPLTISRNESERVLIEPSVNSIRLSIAIKQADEIEKILCHKFTRFMMMRAEGFV ILRRKPLPGYDISFLITNFHSESMLKHKLVDFIIQFMEDVDKEISEMKLSLNARARIV AESYLATVRPR L198_01935 MSIKMNLWSLTLLPGEAQPVYVRRDFQITNAALGEELRSTDGRS VVKVTHSPIDPAMFDDSDSEFDSDFDGEIDSDDEDDDEDMEADEEKPAKKEKKVAAAE EEDDDEEDSEEDSDFEDELNETNVLCSLTAGKTEQASLNLTFVRGEVALFEVTGENAV HLMGNYINQDEYDSDDSDFEGDDDYSDIYDSEDLLESDDEEPTAKITEVEEPKPKKAV KAVEAKPAQKRKAEELESPAKTEATENLSKAQKKKLAKKAKTEADKPEEKATAKPTAE KKAAAAPKKRVTASGLTIEDIKVGDGPAAKNGKRCGMRYIGKLTNGKQFDANTSGKPF SFVLGRGEVIKGWDEGLAGMNVGGERRLTIPPQLAYGNAKVGGIPKGSTLKFDVKLVS VQ L198_01937 MSDQTPRRRYYLTIAYLHPPHEGQLVNFHLDLTDRGGLVSTTSS NLKHEHELKQRLSGNKRLWMRICQGRFQGDFEDFEHLMGYIFRDATANRYYTSYYHED DTMDILLGTIEEIDHQCAAIQDSRPIVNGPERLPSSPARSNKTIPETALERSNSNISI RAVVGHPQPSRAPDLKASQSQVEESSQLKYYRRQALDLQQQLEAQEALVDDVITSASH QKYLFRIHVAGVHDRQSSLKVLGGLPDELKVRMWATKSWGSDMLDQTKWALRVLGGMD YEDWKAIEVASDKRTVSNRREDVPEIYLIFSSRTINSSELRPQQPQITVSQEPALSIV VAQTNAPPEPATLARAPTQAIPYLRVSDMPTIPNLPPSSALSSPPSYRSGLSTPSSQP SMASSFQSTVDGQRLSPPHPERYRIASPSPTPSMYRAAVAAARFNLPVSNSSSLSGLT LEPRGRQQAPTSSPARSVPFSPPGGLSNSVSSLASDIILTPHTSPSNSVPPTPYPAPS LTQVYQGSNEGLWNRLDKLGPMMDDAKQVAAWINTNEAIVNHPFAGNIPPESSGVTPP ASPPATQKLRGPRVFAKAKPLPTAIGTKGVVSGQAVIEDDSRLVHRPPQGYPSSSSRS IKI L198_01938 MHDTRRSSHSTYTRDWKKSVQQGGGMAKNKDHPYSHDESGRRES NETYIPQPPKKSFFQRIFGCTCFELDVHQDPVRRYRPTAESTQQSPPPPSYTHADPFP IAPLGSNHRATDSTASVSTTLSGPALSNLLFIPRQEDEAFKTYAPPNGSFAGGTTGGA GAGGG L198_01939 MALPAQQQHSLQVNTSQPRPLTTMTQQRNLVRHSNDTRGEDDVS VFSFSDDTGSSIWCCFGRSKAKAKSSSRLATPVRPQPLVNPFVAASEKAGPAPSA L198_01940 MSMGPSLSVNTPYTYYQPESSEEILRLRGGSKERKKRQAAADAE GHQQGGQLPGEKIAEEQPQQTAV L198_01941 MSRPVDLSMSSVKNHSPLNPGGSGGFTPVFEASPAVSPASSRRP SISQPVARRPSESASASRRPSMNQYYSSSQQIPQQISQPQQALSRRSSMASGPRPIRR GEYSGPATPSVLSRAGSPTLPLGNEFTQAPRSYFEGAAGYTPLGGVRELRNGQFIGSL DCGTTSTRFIIFDKRAKIVAEHQTEFEQILPHAGWHEHDPEALVEAMNECIIRAVEKL EWMGWSRNSLKGIGITNQRETTVCWSRSTGKPLANAIVWDDARTVSVVKEMEKKLDEI GIRIESAEEDARTADTALTEEVVLGTGGEDAAFGDKGEVVDQAAGVTGSIGKAMEGLG IGGGKDEKEPVVKGTKFRKGKEGLVDVTGIPMSTYFSAIKLRWMIDHQKAVRTAHETD DLMFGTVDTWLVYNLTGGKQGGLHIMDVTNASRTLLISLKTLQWHHPLLEFFGLRASI LPKIVSSSEHYGNIHESTNLPIPGVPIAGIIGDQQAALVGNKCLRKGEAKCTYGTGAF VLFNTGEEIVRSNYGLISTVAFQPGPDAKPVYALEGSIAVAGSAIKWLRDQMDLIEDS SEMDILAGSVHDTGGVYFVTAFSGLLAPYWDREASGTIIGITAYTTSAHIARATLESV CFQTRAVLDVIEKESESKLSTLKVDGGVTNSDLAMQLQANLGGFNVARPSMRESTALG SALLAASALGLFGWDLSKPETLSEVNTAGVHTFEPELPEKSRLKRVKGWNRAVSRASK WHEEDSDDEDEEEYEKSMGFERINN L198_01942 MISNILPRGPPVPRPIRRPKKIIYLAVIFFLLYWFGIRHGLGRE RLQDFPLGYAIKGGRRGRHSSLIRGDKGMSVLQRAQAGHRQEHPIYELMENAETRWTD MLASQSKTLQQAVGQYRKRYGIPPPAGFDAWFAFCKKNGIKIIDEYDQLMKDVLPHHA LAPAMFIARSKALEGAAYAYTLDIDKGRVGISGERSSHDRPKHLQSLISGFMNDLPSG FRLRVTGSDHDTGSVVLGKDQRERAMELVSQGRHFKEDELKSYEDPNRTPAWGWFKAC PLDSPANIRPGAENATEDILPKSFIHDHLPTMDFCEYPELKRLHGAMSYDYVNRSPSV LKPILVLSKFPFDASFQTTPMEGYANITADDLPYLGTWADKTDNRLFWRGSTTGGYGT QRDWKESHRMRLHLMINGPKGGDAWWDQQLRDVMVPDGEGSFNVVRRWERVLSKAYAD VKLAGVPVQQCPSEELCGEVSKTIEFGKKVWPDEAVRFKYNLDVDGNGWSSRFHRLLS SGSPIIKMTMFPEWHMEWLTPWLHYIPLKADYSDLYDIMAFFVGPLDDAGHIDTEKGH DYLAQKIGEAGQRFALDHWSWLDMQAYTFRLLLELQRLHSVDRGSMAYSGPVV L198_01943 MSALRQRPVSPPAGQAEKDQLRDAQGHTFAVPDFTIKQLLDAIP AHCFKRSAFRSSLYILQDVAVITALVYGAFHIDSFLSRFALSNVAFYAAKAALWSAYW FVTGLFGTGIWVIAHEAGHQAFSSSKDVNNGVGWVLHSALLVPYHSWRISHARHHAAT GHLTRDEVFVPKTRKERGAPAIVEEGEILGINVSPERQSQLMEALEDSPIVVLYNLFL QQLFGWPMYLARNASGQRHYPKGTNHFNPRSFIFKANHFAQIIWSDIGVSIVLAALGY WSYQRGIKEMISIYFIPYLWVNNWLVFITFLQHTDPVLPHYTANKWTFPRGALCTIDR SFLGPVGPHVFHGITETHVAHHISSKIPHYNAWEATEALKNFLGPAYHKSDENMFVAC YKSYRDCLFVEDDQDVVFYKNAAGIAQRVPIEESGNLSDSGVDMTESK L198_01944 MTASHPLPQPCVSFTWYIRSVPNNNVFILTTDFPLSDPRDRPKH MDSEEARQFGMLVQTFIPEEHELFVQSGPFAGQYERFEHVCEEIRRNVDRGIKFGGED TKLWVAFGLPQDVQAVVMGVLAGADKQPALKPSHPPRLRLDLSRMANEVEQPDAYTRT AGGFITPPLIIDEPDSPSDNVPPSRGGNTYSHQEVAQIVRSTTHDLHDHIHALREQLA EREDMLIDMDDLLSRRERDQVYVYVIMTPELGLQPFYRVKKGLVSEDQIRTWVVHQTG LEEFQDAGRYMVLVAADQDMPYQHWFTQQRKGKGVLKNDTDVVECIVEMVPLSIPSTD TALHRFDSDQRNDQQDMDITPTNKTKPLPNVSQDAVPHSSFGSSTLGLQAHDDLHPQV DHHPRVAEEILKILRDERVPALSTATRRLVALAQSLTPATQVSSSATPPRSPNAIKSL SHQLGNGPTQPPQSYSEALIPPSENATTLTGSAGETRAIRGSITSIEAVIGGSANGAK TGSTRTAFDMLVWRRDVGEAVGDEEGEDGLGERSKGGDSSETQEGTQDLLDVPRTRRE AKRENPFLGHRHQSSAPPATSHAPSVVVTDATLSPSAPLAALRLDEDIPSPTGSRLIG ATHVEDVDQPEKTSVFVPLVLGSSSGRCVQSKSPSPCQPSRTDSTYIGSQALDREVTV AQQVEVAEEGSPRKKAKLLGERLDSVAYVSGAL L198_01945 MSLFKRKHSKADIQPPPASVQQAPPASVPSTQQKGGYGSVGVAG GGNANPRRMTSNPQLNANVPQGVQPPIHPSQIHNFAPMSMPQPGFTPPHRQSQSPIVN GPGGTAAGFPTPPMNGSPHMSMSPQSHSGQGMYPAQQSQIAPPAGQDPAQQQHLPKQQ HDPSNFPWQVRHLRTYPPSSPNMPNSPFPRYGLSVPCFPSHSGHMLLFGGLVNERVRN DLWSIDIRDYSTMYVKTKGDAPPPRVGHASVIMDRILIVWGGDTKVNASDPQDEGLYV LDLRSQEWTLLPVARGPVGRYGHAVCMVGSKFYVFGGQADGAFMNDMWSYDIKQLTSP NAPHIWEQVTYTTPPPPARTGHVLVSSSSEKIYLFGGTDGNYHYNDTWSFDPATGAWS ELTCIGFIPLPREGHAAAIVDDTIYVFGGRDVKGKDLGDLSAFKLSNQRWYMFQNMGP APTARSGHAMVSAHGKIFIVGGEANQVIADQKDDPALVHYLDTTKIKYPADKKPRDDG KGAQPGQSPQRLPHSGSMEQLHTRAGSPLNEGATPPAQSLGAVITPPTQTPEAAQIPP QVQVTQPPVQSLTTAQQPRSIPKTNGAPPQRPHREGDEEYREAMSPANSHHPELHSPQ SSSIRVSSPINNSPASPKNHPKVIFNSSLTGTRSPSPRLRNADGTSAEKERAPPPPDA FYYGRRSPTTSGFSLHSASGRPSSLGPSTDLIRELKARDIELEDGKKREAALKAILSR AMKQGFVFGDERPEGMSPDMSIDENEDGGERAVKERRVQDVVGKLTDALVRLKKEKAE MQNELTSQVHAASDKSREADTLRRGALQETAFYRAKIAALESNSAIDLKRLEQDRTAE LEKQIGVLVNENADLQKELERERDNGVQTRDLHSSATEREAETLKRAEEAEEAHRGAV EELEQLHARFSNHEQSIREHSEQLVTLSSTLQQREAERETLLSQLNDAVTSRDQHILL VEEAQRAVDSGGAMASEMEAMYAQELQKVARLVEQLAETQAELEKRSQLAEIATERLQ EIEKAYAISREEADSLRGSVSTHLGAILDSHKELRADESRLTRGHQDKLRALEVEGTS LRKMLNEAGQRVDAAESGVSHHRSKARVLEGKVQALRGELRAGQTKLMSAQFEVTRYK ELNASRDEELREKELAVTEVETRCTMLRNLLAEHGIAINSTDLDNVETPSSRELETQL RDRNRANESAQREIQSLRARSEEAEDKVESLSRLIERIKDARSPTSSSMRSPTPTGGE PGRVGELEKKLNDMTKEHKDKVAGLEADYQTAVRYVKGTEKMLKRMKDELNKQKATNA TVQTELDHLRGRSSVSGRSTPSSMLESDVQRRFSTLQTQHQKLQEDYSASQDVLSARN REVDLLRMRLDEAERDLESLREDLAQAQHRIQTLLDVRGVSDDDEAEGSEEATLALDK FTKELRQWERSRSPGGTSIGGSSDEDETIHMPGQSKKTSQTTAATKGHQRNSSEYSGE WAR L198_01946 MSNPPANITVLPDEDNIELWKIVMLGPPGSPYEKGRFNVNVDFS KDFPFKPPVIKFQTRVYHPNIDDDGSICIGLLKTEQWKPATRMEIVLSSVYNLLNEPN PDDPLSASIAEQYRTDRPAFNKKAQEYVQKYAL L198_01947 MKVNFSNPATGAQKLIDFEDDRKTRVFLEKRMGQEVPIDTLGEE FAGYVVRITGGNDKQGFPMKQGVLLQHRTRLLLADGHSCYRARRDGERKRKSVRGCIV GNDIGVLAVAIVKQGAQELPGLTDVVLPKRLGPKRATKIRKFFNLSKEDDVTKFVVRR EVTKKNGKTTTKAPKIQRLVTPMRLQRKRHLRSLQKRRTESQKESVAEYKTALAKHAE EKKVHNAAVKAAKKARR L198_01948 MHSKGKGMSASALPYRRSQPSWSKATPEDVCDQIFKLARRGLSP SQIGVILRDSQGIPQVKSVTGNKILRILKTNGLAPTLPEDLYHLIKKAVSVRKHLERN RGDKDAKFRMILIESRIHRLARYYIKTQQVPANFKYEAATASTLVA L198_01949 MDHLDRPLPGHMQDISAFRQFLSTPSGRLTCPDSVAHPEEPDSL QTSMIESWRAAEPSQDSKLKLLRLIKDLTHIINVNYGGYAGKEGQRFYVDVFGSVSWG GDTGRSGDVDLIILDRQLLHGYVPTLWRRQPGQPEQTPKEALHKRDYLPVPIPGVPGC YDTMSMADTLKRAGFEDVKSVPTASTPINKFKYADLECDLNANDLGGWYNSSLLLRYC SLSPYVLRPMIHTLKLWSSSHKLNDPSGARGPATMSSYCLTLMAIAYLQHLGHLPNLQ EVVKVPEVSRPEDTSEHDVVWVSWGKDEGVKARVAFSLELPEGWVQKEKDLTAADAIR GFFEFFSLSGTAPLRGERFDRNSEIVSILMGGIVPRARAYGQEAREASERHQLLENMG VPAHKIKSSSDEMRLARIAEEPRMGKGDRGIQPRKWGQNRLVVQDPFLWQKNCASQMT KGGLDRWWTTIDNSHRVLKLRGKSFTVGELIMM L198_01951 MATNPQEGSFVTLEHFIHDTFTRIDDTGAEKPVDQPDQIGGGGT FAIIGARIFLPPSKLGLIIDHTPETLPETLKDDLKAYGEEMWEFRERKDGNPTTRAVN RYQGDKRGFEYLSKPLILTPKSLEGTAFGDPLPAVTHFISYPAPRAANILGEVEALKK SKWWDPLIVWEPEEEQIDVVTALAPHIDILGPNHNEALALYALFTGPEFTDDDLKPIL TRVCRSLVHLQPRIGAIIRAGHMGCCYAAPDPQGYRPEVQWVPAYWGKEAGREGWEKK VVDPTGAGNAFMGGVAAALLEGKTLDDAVKWGTVAASFVIEQNGLPTLTKGEDGKELW NGEDPWERLKAL L198_01952 MPAELYTNALGQQVANIQLLLINPNCTTAFTDEIADYLTPRLPA DVGITFYTPPGDAPKSIDGPTDGVLSTSVVLRDLLQKGTDGESAGVDKVERGYSGVIV SCFSAHPLVPVLKEIFDGRPQAPPVVGIMESSVLAALQLGPTFGIATTGPQWEPLFDE AVRSLGISSGRYTGTKGTGFSAISLHGAGPADALLQASVDLVAKGARVIILGCAGMAP MRASLEADLAKKSGRHVPVIDGVQAAVDAAIGYARMGIGRTFKPASE L198_01953 MGGSVSSSMEPGYIFPTIQTFNPPLRVYRIEECKLPRLARQLYA EERPCHVMVQIIPAVAVLSACPEALVVFTLPPEEREVLSSKHYPESIISYPSIYSPSS PTSSILAPEKKAPLYSPKPMIADPFRRSDPVRFNSLDGRHFSGITRVKYSSSQDAIHP DVDLNDIDSITQAMRDASFGLDSPTARLAQNRISSLPNDCRSSIYSVSLPGRVSDAHD PATGTPLVRPTTPDTGIVHASDLHRFSTARYSHAAGRTSVMSLPPLCNSTTISIPDSA IVKTPTTPAFHYHHLDSRRGQLIGNGRSRATSEPIMSGASKRELRKVSSVVDSSSGPD LALINRSTHKLSSHSLAAKSTPDAILETSVMALGQESNTLDNASNQEKTLPLVPFPVP QAAGQVIPTPNEPAFKLATLAPMPKTGAAPMPKPIRSIRPHPSSSPDVFAGPSPKAAY TRLPLRGPRTPNPEIFKHLHPVTGQRTAYQAEGRRRCRLRDYGEGASWPATRGPFPYD LI L198_01954 MAATADPHTKKKQRKQPRPAPPAAGPSTSQQPSTGRLFAPFRAL GYVTDEIPFAMFVHTPNGALATPTVNVTTSVGRSWLMWDAARMTLVFAGPDSGDKING LTMTGTDIYASAGPRVIKYHRGKEVAQFDSPDGSTLGKMLIFGEDFLVLKNDGTGVFV YDMATRHLKNQITFHSSFTATTIMHPATYLNKVLIGSREGEMQLWNTRTCSLIYTFPH ENPSEPSAITTIVQSPAVDVVAVGYQDGAIRVHDIKHGDLVMQMKNDDGAVSALSFRM DGPPILASASVVGSLAVWDLSKGGRILHTMRGAHDQGITGLQWVQGQPLLITSSADNS VKQWVCDSPTGMPRLLKMRGGHHAPPSCIRYYGEDGKQILTSGKDRSLRYTSVVRDSR SFELSQGSLIKKAIGMGVTVDTLKLPQISSISSSSTRSKDWEDVLTAHTEDSVARTWR VQDKRLGAWTFDLEEGYAQSVCVTACGNFGLVGSSTGEIKLWNMQSGKERKAFALSGA APGNTKPKIIAQSKNGTKPKAVKAKDAKGGKSIKAITGLVTDALNTVVVAGTLEGKLY FFDFHTTKKIHEVQLDSSITAISLHRDSGLIAVTCDDLLVRLVDIETQRVVRELRGFK GRILDVIFTPDSRWIIATSLDSTIRTFDIPTGKLVDAFKTSSIATSVTFSPTGDFLAT AHVDSVGVYLWANKAQFTDVALRHLDEEDDVVEVALPTVQGLDEDAAIEGIDPIGAPE YTDIYTTPDQLDSQLVTLSLIPRSRWQMLLNLETIKQRNKPKEAPKAPEKAPFFLPTI SGLETRFDLSGVDANKDEQDKGQRLGLAGDWLESDFTRKLSAEKEEGDYNSFFEYIKA LSPSNLDLEIRSLVSLDHLATFLNALTQRLKSHRDFEAVQAILSVFLKVHGDVLIANG EVKGALQELRNEQRRESKRLRELVGYTLGTLGFLRGT L198_01955 MLNTSTSLQGGKVSREEFRRQKDLEAARKAGTAPAAVDEQGNAI NPHIPEYITKAPWYADTGVPSLAHQRIKEEGPHLKLDEWYDRGAKAGPAAKKYRKGAC ENCGAMTHKKKDCVERPRKRGAKFTNKDIAADEVVQNFSTDYDSKRDRWNGYDPATYK HVVDEYEATEEMRKKYREEEIDKQTSTDMAAVKKLAKKEKEEKDGDDDDFGSSDDDDD DDDKYADAADQVGQKLDTKTRITVRNLRIREDTAKYLINLDENSAYYDPKTRSMRDAP VQNMDPEDMKFAGDNFQRFSGDAAKMQKLELFAWQASQRGNNVQVHANPTAGELLHKE FQDKKEVLRDTNKTSILSRYGGEQHLQKMPKELLSGQTENYVEYSRQGQVIKGRERTT ARSKYDEDNYINNHTSVWGSWYDLSNSQWGYACCHSFISNSYCTGEAGKAANVSSSAS NLLASADDLARVEEEAEKERKSLKEQHEEDLASGKKGKGKERERDLYGKKDDDEAVDL DKDRLKKAMKEEKKRKKMDEDEAWQQAKKGRTEVTQEELEAYRLSKQAYEDPMANYQD PEDL L198_01956 MAALEQAALDGSLFRPDHRTLSDRGDSNSDQSLSENENEDAAGD DYIDSEDDFDNTVGGRHNLKPAPPSSDPTRGQIEHNGHQTGVKGVQDEHKATQAYARE QARAGTALLQAENGLRGMQALTVHEESALVAQEAEEDEELREIRRRRREQRRRETQED AARWERERVTDFGFGKSFEDGVKNGALREIGSMDFVDAVERDGWALVFIYEPNLPRCD DISSSLLHLKLNLPYNTTTSIYRARATFLGFSLLPPIITPESPEDIAIVSEDLDVLRR EIQDISARHKKSHKNNHDSETAIIADLVEYVRTREEKKAPFMGKPDLDVLPTLLAYKD GELEKTWIRVDWEVGQDGVVGLLRR L198_01957 MLRKPIQRPGEPPVATATSGGFGIVSKPFKVPKSSAPTRESALP SRKRKAVNYKDQGGADDDGDGDADGKANKKSKFEGKFAMGNKEYGSDGVLGNMAKWCN RKFPVFEPKEKTVAFTKTFSIPVMYNPKTSEPIVHTLSRASLGTRRHPTLVPRPLHDP MADLAIVLFDPTIDDKPAPEEIEAAKAEEEKRKKEDETRGPHRSLKMILGIVDEKKDK KAVKVPVVIDPRLAKVLRPHQIEGVKFLYRCTTGLIADGAWGCIMADEMGLGKTLQCI ALLWTLLKQSPIAGKGTCEKVIIACPTSLVGNWAAELVKWLGPGAINPMVVDGKGGKA ELIPAVRRWVQAHGRNVTLPVMIVSYETLRTLQEELANCEIGLLLADEGHRLKNADTL TFQALTRLKVQRRVILTGTPIQNDLSEYFALLNFANPEYLGSKLDFKKNFESKIMRGR DADATDKDKLESDATLKKLGGLVSKFIIRRTNDLLSKYLPVKYEHVVFCRPSPLQSSL YNLFVTSKDVQRLLRGKDSQPLKAIGLLRKLVNHPDLLHLPEDLPGSEKLLPEGWNSK GRDRSVNCEYSGKFVVLERMLEHIHKHTNDKIVLISNATQTLDLMEKLCRSKRYGHLR LDGSMSVPKRSKIVAQFNDPEGKEFVFLLSSKAGGCGINLIGANRLVLFDPDWNPASD QQALARVWRDGQKKECFVYRFQTTGTIEEKIFQRQCQKQNLSACVVDEAEDTARHFTQ DDLRQLFKFNPDTVCDTHDTYKCKRCKDGKQYVKAPALLYGDASTWNHFPNVELGKMH DDLLRAELGLPEVSYVFQYVSH L198_01958 MCGIFSYCSFLCERKREYICDVLCNGLARLEYRGYDSAGIGIDG DSRDSPMYLFKEVGKVAALRKHIAEGKVDMSKVFLNQTSMAHTRWATHGVPSPTNCHP HVSDVQTEFSLVHNGIITNYKELKTVLLKRGYTFATDTDTEVVAVLCKYVYDSQPNKR LNFTELIKTVIKELEGSFAFVFKSPHFPDEIVAARRGSPLLIGVKTDRKLKVDFVDVE LPTAEERVNEPDANGLLSAPAGVADGPGPKLRRSQSRAFLSEDGMPQPIEFFVASDAS AVIEHTKRVLYLEDDDIAHIAEGELHIHRLRRDDTVSSVRAIEHLEIELAEIMKGQFD HFMQKEIYEQPESVVNTMRGRINFDYRTITFGGLKAYLPVIRRGRRLIFVACGTSYHS CIAARPVFEELTDIPVAVELASDFLDRRTPVFRDDVAVFVSQSGETADTILAMRYCLE RGALCLGVVNTVGSTLSRETHSGVHINAGPEIGVASTKAYTSQYVALVMIAVQLSDDS ITKTARRQQIIDGLHEIPSQIKKVLAMDRALQQMAKDMLSNEKSLLIMGRGYQYATCL EGALKIKEVSYMHSEGILAGELKHGPLALVDEHLPVIFIMTRDSLYPKVQSALAQVTA RKGRPIIICNEDDDTVSDNAKVIRVPQTVDCLQGLINVIPLQLLSYHLAIMNGVDVDF PRNLAKSVTTE L198_01959 MWRPAVKTSGTNDVPLANKRRFGLPEEAPPPPNAPPHASRPPAD LQFFNGRQERAPRDEAPPRRDDRRDDRDYGRGGGDYGRRDDYRRDDRDRERRYDDYPP RDAGRDPRSSRWDEPRREPEPQMGRSRDREEVEDGPRKRRSRWGDADARVNVPGMPVT VMGNMSGSQLDNYAIHVRLEEINRKLRTGDVVPPDGQRSPSPPPNYDSYGRRTNTREL RYRKKLEDERTKLVERAAQADPSFRPPVDFQQRRGGNRPQDKVYIPVKEFPEINFFGL LVGPRGNSLKKMERESGAKISIRGKGSVKEGKGRAGNFPNDEEDELHCLITGDSEAVV KSCVALINRVIETAASTPEGENDHKRNQLRELASLNGTLRDDENQLCQNCGEKGHRRW ECPAQRVYSANVICRLCGGAGHMARDCRGRGDPNLQQNKQTAFDSEYTALMAELGEGG GGGGGGPPMGAIGAAPGQGNIPPESRVPPWRQPENWQTNAGGYRGPPGYQPPQQGQGW QQPAAPGGQAAYAGYGGYQQGAADPYAAYYASMGQQAPTAA L198_01960 MASLSNEKVTELQQAGDFAIKSEEVAPKLDTTQWPLLLKNYDKL LVRSSHFTPIPSGVSPLKRELSTYVKSGVINLDKPSNPSSHEVVAWLKRILRVEKTGH SGTLDPKVTGCLIVCIDRATRLVKSQQGAGKEYVAVVRFHDKIADEKALPRALETLTG ALFQRPPLISAVKRQLRVRTIYESKLIEFDNKRNLAVFWVSCEAGTYIRTLCVHLGLL LGVGAHMQELRRVRSGITGENDDIVSMHDVLDAQWLYDNTRDESYLRRVIRPLESLLT NFKRIVVKDSAVNAVCYGAKLMIPGLLRYEADIEVNEEVVLMTTKGEAIAIGIAQMST VDLASCDHGVVAKVKRCIMNRDLYPRRWGLGPKAQEKKKMIKKGELDKYGKSIDGVTP KDWSKGYIDYNVDDAPVSGLLPTQPATTGDVKMESPVKAEGSAEGKRKREESETPAVV AESKEDEGKDKKKKKVKTEGGEEREETAEERAARKAAKKEKKAKKEQQ L198_01961 MPLDIPDPPRDAVNFCNFVTHAPTPFHAVAHLTTSLFATGFEHI SERTSDTALKAGGKYFYTRNQSSLVAFTLPEKPTKETAISFAAGHLDSPCLKVRPVSK KIKSGYLQVGVELYGGGIWHSWFDRDLSISGRVIIANRSASAEHKYVSKLVRIDRPIL RIPTLAIHLDRTANDNFKFNKETEFQPILGLVESILNEPTKTALKRTHDQVATPPPTS LSNKAQEDEINIAMQEEKHHPKLLAVLADELGCDVADIQDFELSLYDTQPSQVGGLSN EFIFSPRIDNLMTSFSTIEALCEAVQSANAADETNIRAVVLFDNEEVGSVSHHGAESN ILPAFVERIAGLEDYKEKGYFNLLANSFVISADMGHAIHPNYESRYEANLAPKLNGGV VIKTNANQRYTSNAQTTFLTRRVAKKAGVPLQEFEVRNDSSCGSTLGTFLSKHVRTVD IGLAQLSMHSIRETAGSKDVRYYIDFFRAFFEGFGQIDKELRVDWK L198_01962 MRSSRTLIERFYPRLTLDFHTNKRILDEVATVPSKRLRNKIAGF TTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALATSDESPLEVDTETKDLLKS LGFDDLNVNVNAVSANAPRERKTRFVPGAGRA L198_01963 MAAQEHKAEASASAQPSSSSTPAPAAVDKKASSAQSAKLLKNSR LPATMITAAGSIQASQKNKANKGQMSKKKKARTEKGKDRAAELSSRLEEKVRGREDRK AKRQRAKKAWE L198_01964 MNAREILVVASPLSTWRMEPQHGLAAELELVSASLLPSEILHSH VSPDHTVFTVTSSDSQLSLSVSVGQGFPKKESVAVEIKGGQMGRDEAAGWIDWVQGKL EDWDEDQDYPLYEAMTTHFLPLLAPVGTTPSPPPSKPPALAPDVPTVPHHALLVSHHL LAPSKRKDLHALSSSLSLIGFSKTGHPGIMYAIGDLLDLEEWIREVKSWQWLALRVRL APVPVPEEAGNVVQKGQETGARGGKGRGEWTEMEKVGEALEWLRKRGGEERAKVLTDI GIGAGGGKG L198_01965 MVDNPQVTPPEIRQSIYRKAVVELHNKIGVDYVDWMEDTAASVF ETFTEIDTTTYPETFGPRDEDPLVHFNEWLANLPRPAYDPYSHYESRAEEEGANGRMK DPFINAVMKRHKEAKSSSPAQVSFKPIGEYLCTVLTLSVTTSDDPWPEYLHPREVLMT AKMMEETLTIGNGDDGLPENYEVLGDKGFHILGILRNPQIKPRQANAEEVLNLRPDWS DEELHIASEKVKDVNTKIKAYNKTISGAVSGNPIWGKWEEESELLLNSVPLPLSPPLF PLKEAVADLPQLPETFKDLEGTVGEASHSRLRLSGSRAMTGRGLGPRWATDNDSQEFL EQVMSSNNLDKFDGVPFAPLTPKSVDKHSRLQPIQSQATFLPPSPPPTHSRNKRMPWE ENPRIEPPLMPARFDLPSSNSHHIDQLFTPVRNSSPPLPPVTVEGRTDIATPSLSGVA EQMEDSCVQPINKVLRDILSTTQLDTHKEREGYGTAEETAFAHATSEELDTTKTNHIG IPNITEEAYSDPRNNLPMSYSGLADSLAGGVETDRMLFVKYPGVSTALASELDWDPYR SHHHSPVRHDHAANVSALPDPPASQLRHSSGHLPGPVHHPADAAIRSLVERPMKKMTP VKPRWMTIQDAEREEALKSSNKKSLTSSALSETTTLPATYTPSPAAKGKTNKRKKGQV IPGDVGDMPEDDGLVDAEIVTITPTPKSTKEAVGDSRKKRKAAESLKAPSNPTLDDIL MSIVPPPQTQESNRERTGHSKELSTVTLTRPTNADPSTLSGFLHLQNLGHLAGQDAEV ACKQAVGQDLSAIFEEDEEGEPVNWRADPAIQNPSWYDRASQGNVQPYANPMPVIAKV DLFKNRPLIKALESQNFYLFDNRDLQGADLVISPVTAVIFRSLQTLPDVHVQLLEQLK EAASFYHHVILVFETISFVIADKHEDAGKCANPLGVEIIHALGSLKRGQKAAWQGDAD IIGKVEFVFACEGANEVVRGVMGALESDEAMLKDRMGEEEYQRYGGRRWMQSEPVPDH EATLIDTFGVNTFNARFATVRHGGAERLAYDMSAEERLLLLGPVWGEDVVIRFNDVLK STRSDEGGEMAGQDN L198_01966 MLRINGSDEYINQTQMDAIQPHLGEFWNSSLSWTTSDGSWTRLA FAGSHIWAYGLAGPNQGSFTAAIDNTTVGVFCAQQDNVDYHHLIFDTEVTGEGIHYLT LINSGNQSLAFDYAVVLSKTEAATSSSAEPSSVTSIGTSSIPLPSTAASTSSPQYESQ LAEQTGSYQFKWTGAMYFVAIFSSLMGVIFLIWLSYALYRWWQARRSHESTAEEVVMS SQDKQDSATEQSDGGSSWLIMGEEERVKVKRSTRLLEALRSKKISPPRAEDNGSITTG GRRGSSGTASSSGTRWVQRDVEKGWH L198_01967 MLLSSLALLFLPLISAAAPRSSDCLLSGTERAINEIFATGGEGA TVTLCPGSVHRLNASVQFTAPSQTITTLGNPKDRERAMLLVDGPDLAVAIHADCQRCS FAMIRSIIIDGNRPQLLRIPKGEALVEIGNAESQTVKDCKLYEPRGWSTLHFREGDSK QCRFGHIVDNEIGPCGEEWDDDYDGMDEVNPPWGNPRADGISLACKDSIVERNVVYDA TDGAIVIFGSANSVVKNNHIYSRTRVILGGINLVDYEPWEGDYTGVTVHNNHLHALGR YFKVGIVIGPASWSDDTESVVRGAVVTNNRFYGQYWGYGLVVASAKDFEVMGNKVING NEGEAKFSGVPGSRCPKAPENGKPTAFLINRGSAKGTFQDDFVNGEVQHIICLNPDEK YKPWRFRDSPEALAANIANNPLANAAIDSRIAEALVSYQMSLLSSMSGINQKIERLTT PIDPLDAQPVHEHERATLAAEVEKQRREKEKSSGNKDVDELIRKLEELEKGGRKMKKT LDGVKVDFEGLAGRLRKNADEHKPIIEQIFLHAQTLLLGSTPLSTSQQKLFRSGRGMS MGIRGEGYTPLGVLGGLLGAGAIGLAARGLIKRWRSENKGNKLY L198_01968 MPPFRHKELQVILQNDKRGILTVEDAALQLLQLSSDGRPPSRLL TSPLKQFLNGQLAPAPSGSKRRLDLHSLGGGTGTALKLVELHVLVEPNHIKEAEEWLE DLVAAAYSTVKPFRKVLLLVNPAGGKGKSRAIVREIVLPILKAAGTIVDLKETTHRSH AEEIAATMDLNYDVIATASGDGLVYEVLNGLASRPDARRALQIPIAPIPTAGSANAVS TNLFGVKDTFNIPLACLNIVKGEQIPIDLCSVLLLPSRTRRFSFLAQAIGLMVDLDIG TESLRWLGDTRFLVGFLKGVVTNKGAKCRLKMKVVEDDKLKMARKAKERVKERKGAEV LGGGQDPLSDGLTKISLNGSASAEPNGHAHPDAHSNGNGVQVESKPIDDGPIPESLPL EPDDSWITIENATGQNKYSQASAQSLRSQPEAQKKGGWVDGQGILYFYAGMMPWVARD LNQWPVAISGDGLIDVVVQSQVPRLQMTNAISGAEHGDPYWLDTQHYYKASALIAENL DKVNQPIFTIDGESYEFDAFHVEVHPRVANLLSLEGDFSTSDFLKKNYEKT L198_01969 MYIKTITIQGFKSYRDQVAVDPFSPRHNVVVGRNGSGKSNFFSA IRFVLSDQYTKLSREERQRLLHEGTSTQTTLSAYVEVVFDNEDGRFPTGRPELVLRRT IGLKKDEYSLDRKSASKSEVDQLLESAGFSKANPYYIVPQGRITHLTNMNDRERLRLL KDVAGTEVYEQKRTESTRIMEETEAKRDKIADLLSFIEERLNELEEEKEELKEYQGKD RDRRCLEYALHQRELEDVTNALDEIEAERRQDIHNSNEKQKEFDEREDDIRRYEESLT TAKHSLSTAQLSLRQYESEKADLLRSQEEIRLVIADFDSAGEAGEERRQELAEELKEL EDKIDDANERLDGLVADAEARVAEEKAAREILEPTQSKLSVLYAKQGRAQQFSTQAAR DKYLKDEIKSLKAHEKDQSERVDTLGKEVFNGKQHLSEIVSRSEEQAKGEDERREELR KMGEKATKLKGDLDGMQEQRKELWREDAKLGQNVTQARTEKEGAERAIFGMMDKASRR LGNGLQAVQKIKDRLDLGGVIGPLYSLFEVSDKYKTAVEITAGNSLFHVVVDNDATAS RVLEEMNKMEQRSNNGKKINSGRVTFMPLNRLKSHTVNYPKANDAIPMIQKLRFDKDH VMAFEQVFGRTIICEDLQTAAHYTRSHGLNAVTIDGDRVDRKGALTGGYHDVRRSRLD AIKSLKKWQTAFETDSERHAEVKSGLQKLEQNISKTLGEIQVIEAKRKQILDGRGLLS NKVAWTAREEEGTRSRVAKLESSLEEAEGELRDAKAKRASHEEELKTPMRQNLTDEEL RELEELSEKAETQKKTLLDATQARTKVVGERKRLEIELAENLRRKREELRGKLDQLEG EAGSGVLQAGEVELRKTELRNLQRRIDELKQQIEASTQRVEELSAEISQLNDDHDRVQ NQQLENTRAIMRVQKNAERYLTKRGTLLGRKEECNKAIRDLGVLPEEAFTKYVDQVSD KIVKRLHKINDGLKKFAHVNKKAFEQYNNFTRQRDDLLERRTELDESAEKITELIETL DQRKDEAIERTFKQVSKYFEEVFETLVPLGKGVLVMQKRMDGLVEDDSEESQEQSREK SDIDNYTGVTIRVSFNSKDDEGQRIQQLSGGQKSLVALALVFAIQKCDPAPFYLFDEI DANLDAQYRTAVASMIHTLSEHAQFITTTFKSEMLAQADKFYGVFFDKQKVSSIKVIE KEEASDFVETAAQVGQL L198_01970 MPHEPAPSPPRLVPGEGTIHLSPSPNHPRFASLQAAYPLKLLCP TPLPSQPSNLATLYTLAYGGGLVAGDVVSLRTKIDEGCGLVMLTQGSTKVFKRRPGLR PLSHAHISSSPASDPSLTRQRMHIALAPGSFVLLLPDSISPFRSSIYSQAQRFLLPPD GSASALILDWVNSGRGQRPDLGDEEIWSMDMYASTNEVVVGDKLVMRERMVLENSPAT NSPELSPIAKQLSPYNIYATLLVIGPHFADLIKYIKSYGDRMRQFQLTTPPGLVWSFS EVDEKLGAGVVRVAARETEDVRRWLRAVMLGGGVKDLVGDGLWPRCI L198_01971 MDIAHSLHSEIDSLSFSFLSTEDVQAISVKKLDNPILLDNFNLP TRGGLYDPKLGPMSARDVCETCHLSFYACPGHYGHIELPVPVYHPLFMNQCYGLLRGV CLYCHHFKMPEVTLASYAARLRLLDAGLLTESHEVAAQFDNAVGKSARSKDKDAEEDI EGDVDMEEVAATQAPENVAEFLVRIEAYVQHHLKTAKHNAANKKRAEDAYKDGLVFEE RKKLLHEFGKKIWNKCSRCHAYGNTFRKEKAIKIIEYDLTPKQKLSNKLANLRRPDVL ASAGKYSSKQRRSDDAAEVDEGIEMESDKSNSSDEEGEDVASDAEEEGDNEDVAHKVA KTASGQVKGTRGRNERVMSPAEVRAHLRTLFKKEPQICKIIYGKHGSPSASASSSPAP LADMFFMSVLPVTPTRFRPAARMGDDLFENSQNSLLTAVMQTCQRIQKLNQGLIDQAR AERGEIELDEVAKAQAPRTFELLLETLIKIQHDVNSFVDSTKNPAVMRQGKLPPPGIK QLLEKKEGLFRKHMMGKRVNYAARSVISPDINIETNEIGIPPVFAKKLTYPEPVTQQN VAELRQLVINGPKNHPGASLVQNEDGTQISLDRTTVAQRTAIANQLLTPQGDDHGLGG SAGPSKKNKKVYRHIRDGDIVILNRQPTLHKPSMMCHRVKVLLGEKTIRMHYANCNSY NADFDGDEMNIHFPQNEVARAEAMMIANTDNQYLGPTSGSPLRGLIQDHVVAGVWMCN KSSFFTREQYFQLIYGALRTENDYTGRSKIMTLPPAIFKPRPMWTGKQIMSTILLNLT PNNARGLNLTSRNKVQNKLWQRDDSSDPTMSEENVVFLDGHLICGVLDKSQYGASGYG LVHSVHELYGPYIANKLLGVLSRCLTKYLQHNAFSCRMDDLILTAEGEKIRKDILDKA SGDGATAAMKYVGLPDNSKIEDPDTAKNLAIRLEEILRDDHLMAGLDAVMQSAFNKTT SKINNDVLPEHLVRPFPDNNMQMMTISGAKGSKVNASQISTLLGQQALEGRRVPTMVS GKTLPAFKAFDTSARAGGYVANRFLTGIRPQEYYFHCMAGREGLIDTAVKTSRSGYLQ RCLIKHLEGVKVHYDHTVRDSDSSVLQFLYGEDSLDVTKQTHLNKFDFAAANHTSLVQ KVRPNDVSSKVNHEAPGLMKKALKKPHKYPPVLSEYSPSRYIGAMSEAYAKKLDSYIE DNKFGYISKKNDNNSSPFTSERVPEKEFMHLARARYMRSLVEPGEAVGLMASQGVGEP STQMTLNTFHLAGHGAANVTLGIPRLREIVMTASAKPTTPTMKLPLRDQISDKDIETF VKQVSRLTLSEVVERVTVTERLSAKSGENDSRQRKYTVLLEFFPLKEYTSEYEITTEQ LHESLAFNFAPKLKKEIQNEIRKVAKTKEQELQVGKGRKVRAGGEDGEDEEEREPEVR RRGRDDELDDDDEDSYQLKRTAQARQHEYEEDSDGGDSGVADLEDILENDLEGEDDED VDEEGATEKAKQDSKADDLAELFKLGSKYATTFSFDNHGGKSAQFDLEFPANAPKLLL VDLIERTCRSAVVHEIENIGRCMKIFSDKGEFTRSLITEGSNLRGMWALADELVDLDK LSSNDIYAILTTFGVEAARKAIIDEVSSVFGAYGIAVDYRHLTIIADYMTHGGGYRPF NRTGIAAKSSPLLKASFETTVAFLSEATLHGDFDDLTSPAAKIVMGKPSSSGTGAFDI RAPTRI L198_01972 MVKSTTIFRVHDALPLAASVDDESTEKALTEYKQQSKLIFRRLN SNSEPACSIESGAYTLHYLIVDKVIYMCICDNSYPRKLAFSYLDELSKEFQRSYGDKI DSATRPYAFMGFDTFIGKTTRLYRDSRSLTQPGAGPSSSKAPGTQLDQLNENLKDVTQ IMTKNMEDLLWRGDSLDRMSQLSTSLRSESAKYRKAARQINIDALIRKWAPVGGIGFF FILFIWWRFF L198_01973 MPDGSDDDDFDDLDGKCISAGPPVKTDSPADVLQSFNKPSSSQY AHRGPSAISATPPKPTSASPGGIAPETDAEFEASLEEGMESLLRQLAGDHPPGLMPDR PQPSSSLGSQGRTPDSVPMSKEAEEDAWQKAVDMLLSGEGLAALGLDDKNEPSAEGSS GVAPERPADGPRDQTADYDETLRAIHEKLKNAGQKSDTQTGDSEGMEALLKALGGDPN LLKEFGGEGGEGDGQLEGMLEGMMAQLMTKEVLEEPMSELASKYPAYLASPPANTSSS DLARYKNQFSLVTRVVETFNKPGFSDEKDGKEVARLVSDIQDLGGPPKEVMGELPEGF DLGALGDEEGCSIM L198_01974 MSMLRTVKPKNARVKRALEKREPQVIENEKTAIFVRGQATSDMV RNTMKELYALKRPQAINFSRKNDIHPFEDPASLEFFAGKNDASLFVTGMHSKKRPNNL VFTRMFDGRVLDMIELGVDGFKSMDDFPTLKSSLGVRPMMVFHSDLFDTHPTYQHLKS QFLDFYNGHPVSEAPLLTTLEHVISITAGPVSDETPLPKVHFRVYTTKLLASGTKVPR IQLTEMGPSIDFCVRRVQEADEEMMKHAMKRPKLAKTDIEKGLGKKRKNIETDEMGDK VGKIHLGKQDLESLQSRKMKGLKVHKEKKTKEAKEAKAAAREAEAMEED L198_01975 MSIEPNIDKKFHRAVDIVQSLPKGGPIQTTYEEKLWLYSLYKQA TEGDIKIPRPGMLDLLGKAKWDSWHKQEGITKTEAKAHYVEALCKILERNGGEEGVEG FLSELEGMSGLGRFRDMAPRPASPASSTSSYHSSQASPPLSPGHPPRAPRDQNQAISL EPSSMDPQGVFLPPDPLLPPPDVGPSFVPPSALTSSHRSLLSLSQEQEAADTYQPLHL PPRPVPYVGSRAQSSRGDPQDSRVVKDLLGESGPGSVQSFRQRQGYDRPVALDPRAGS RLASPSIPPPLPRDYVHTPEMQGSPFAGLGFDGTPIYQSQRPPGPGSASTYGPPPPLN ISYALQQIQTSLAALHERLSTLERTQWMILRSDGRKKSWLWWSTEGDDLDQAEAEAER ERWGFTTVTRQKHSKKPLSSRVIWFLLKALRRVLVDAGVGAVLIFIVWIMLNGGVRRA RVLLGLIKMRARRLITGGR L198_01976 MPPSFPHAASVIDLLGDVFFTSQTPQEFMALYSAYAPGNPASHL QRYAPQHPPTPSSPSHPRSTTRLSRPRYSIATCSGFIDDKQRYYVFGLPTDPDVAWLR RQGWIVYDARWLVHSLKHGRTLPLAHWIISDAYLEQPIFLPARPETSQVSSPETLVNP SPPCVGLPSSAIPFGPRTPESPLPLKCLPCQQDFMTKPAVWSNERPLGSSPTEQGSAG AKRKLVNDREEVAKSYSEYDTGGVTPPSMPRSTVIYPHNTKPAPKRRKLGPITIIPSS PTPSPSSTTATYHNPAVIPLSLPKSKPSKRTVYPPSKGRARYHKTGARSKPSDPASPD VFSAPPITRGEKETECIMAEEDQGMATVSSDDSEWLKYQEKQGEGRESDEVPCFRYED IVKTLERAKAKRMTDWCHDIAEPYLTS L198_01977 MQRRALKQLGKVTQWTSEKVFSGEKTHLSDEFIETEKEIEVRRI GIERLHATSLPFYEQLTKAKATADPYPPPGSGKDKISRTEALGLVMIDYGEEIGEDYG DALSKYGRARCRLASVQEDFASRMSDSYIAGMESALAAVNEYKTLRKKLDSRRLALDA TITKAQNSKKDAALLEEEIEMAKSRFQEVEEETHSRMVGIQEAEDEQYALLSDLLDSE LEYHSKCRGILEDLRQNWGSHGNRKKTAPKGRSRSNTATSNRSLGRTAITRSHSSKHN TAPPSSEEDTQDTVGRSRSQSNASNSGKSKEKRSMLPSFGSLGRKSGLSNVTPKKEKK PKKSDSRAALHSEEEEEEEYRPMTYFAPHADRDKDRSQSQLSPVLSYNSSTYDQPPPS LRRTFTSPPTPDTRYPDPSARYVKALYDYQANKDDELGLRVGIVIKVETEVNDDWWIG ESEGRSGLFPKTYTEDHVPSPEATMPRRHLPPPIHQPVNNHNVPPSPNLSLNDSEFES EAESTHDGFYDHDHNLTAPLSHAPQPQAAPAPLRVNPLTGKKAPAPPPSRRAQSSSNI LDLSKDLGDTYLSPPRAGSGYRQRSGTATAANGGAPGASPFAGGDD L198_01978 MAGSLDNPRRHVQVLPERVGQVAGSEFPGHYPGEDHSWNLQAFK KARGLTD L198_01979 MQNLVTSVQRLTPSTIEFDLVGVDASIANAIRRTLIAEVPTIAI EDVYVWNNTSIMQDEVLCHRVGLIPLKVDPRLLRYRPSANSAPHEHDTLIFDLRVRCD RRPGVDRSETDPKKIYYDSNVYSGMLEWTPSGEQKKRFKGKEPKPVDEDILLVKLRPG QTVDLHCFATKGVGADHAKYSPVATASYRLLPHIILRGPIPQEHQQKFKECFPPGVVE IEKDESGDDQCVIKNPRKDTVSREVLRHPEFQDLVQLTRIRDHFMFNVESVGQYNPEE LVPEAIKILLGKIKDVEDGLDKLFANEGETA L198_01980 MPPPLHLPIIPNTPSIADHLAALSALSLNLSHLPSSPSPAVRVP LGAKASLTGTVSDTNTVLVCLGSAGSGGRWGEGEQYWVKMTPDEAAAFVDRRRERILK EQARRIDGLGRGEEEEAVVDKGEDVVSLWHPSFYPVGAEPWTVSSGAPAGTTEQPGHL VEPPLLPQSGTSVIRDDTVSTQTVDNVPDIQPSPKPAPQQSKGSGKAPAQEPKANDLI EIMTEGAAAASKDAKEAKDDETIYNEEGLPFHEIRETMDGKTIGDLPPPSSSSTQETV LDDAPDEYWTEAAVKRREALRKKLFHEGDSSDEEEVDGAEPAPLGPENDVTPKSPSDH TPPQSILRKTSSSSSTASSRSQTPSSKPKSILKAPAQKKKSVKFDPSIPPSPSSPDPG PSSFQSQLLHGNKFSFPLPLAADGDDWGEKPVPVLNEPKPKAKATVLPIQAVGAQVVE KDDGFAGFKKGFLERPPKVQSATSPATTPSSQPKSTPVPEPLKPKKTSLFAKRLAHSE VDASAPPTSSGATPATASPRGLPNLPRESESKGTSAVKSGVVEKAPVAPAARSQGKVV ERTTMPSKPLPSMPPIISLAPEQDDDHGDENDDDDDEDFSEFSSGSEDEYDLDDALLA REVALEYHKRRAYMSLNRDPRDRAMSSRPEGSEFVPEEGDIGEEEEDGRLGNLQQAGV MLGLPRIGDMKADDGKPMIINPTADDLKRFVRVGRLENGNLVLAPGQEGLSDSEGEGE DGEDGAEDEDLDEKEERRKGVKSRRDEVRRKLLGIDVEESAPADEKKPGLSERKGLPP ALQTDVKEQSQPVAPVAAVEEPKKKISKFKAARMAGGQ L198_01981 MSSPLINSHSVTPSNHQDNSQDSAISDSPTSEHSHRLPHPEPHL GDNNQAKEPSYLIISGGTGANSIAGAFGHSPSFVLPVSDDGGSSSEILRCFGGPSIGD IRSRLSRLIPVSSHPSTKAEKERVAIYNLMAFRFPSESEEKAVRDMWMEIVEGKSELW DGIGEDKKECLRAFLVHFETQCLKRAHKRFSFRNFSLGNGFLTGARDLFGSLPSAIFL FKSIAGVAEGVQVIPVINTNQTVTIAAQLTNSTTLVGQCAISHPSPNPSSSTTTSAHT PIHGSHSPAALPIVHPILRSHLRRDSRTFDTPDASAPPTRGHSHDRLADGKEEGSDNE GKQGSNLEYKKGEEEVPLEAKVERVFYINLYGQEIYPDPNHDFIDALHQRDVLVYSCG SLWTSIVPCLALKGLAGQIASSRTLRAKVLLLNSANDRETTDYAASEYVATILAMLRH YDKPKRNRVRDQLLPAPEWKAENLISHVIYLEGGNVPIDEGQITQSGIQMVCVPSEIH GARQGDVPMFSNEAVQWAMAKVLEGLSQETETLN L198_01982 MQIFVKTLPGKTLTRDVEATSTVASVKAMIANAEGVPAAEQRLI FSGVQMDDERALASYGIQKESTINMVLSLCGGGKKRKKKNYTTPKKIKHKRRKVKMAI LKYYKVGSDGKIQRLRRECPAPTCGAGVFMAWHKDRQACGKCGLTYTFEEGTKPTV L198_01983 MADPAPQDANLSPKAAAQDFNQSEHQTSEHQLSLRSLVSTKEAG IIIGKAGATIAQIRNDTDTKAGVSKVVQGVQDRVLSVTGDLEAVSSAYAEVARLLLET PLSDSSLPPPPVGSFTSIRLLISHNLMGTVIGRSGLKIKQIQDQSGARMVASKEMLPQ STERVVEVQGSVDAIKTAVLEIGKCLLEDWERGAGTVLYHPGAAGDAGVLAGGLGAQA VTGSTGGIRRTSVAAGFGGAPSGGAPAGFTDRRVSRGSISGPSGAPAERRQSEAPQIN LNDPNLRTQNISIPSDMVGCIIGRGGSKITEIRRLSGSRISIAKVPHDETGERMFTIQ GTPEATERALMLLYSQLESEKERRVNGAAAQDGSITGDFA L198_01984 MLNDPARGLSPQLTTNALDAIHHTLNAISAPAAPEPARRHAHEH EYIAPAPRPDNEHPQPPRYVAFRPLHPPASQKGILQASLARPVPLCIPFTTIRIPVIL VITGPDSSFHPSGPALYPNSTKS L198_01985 MSAGLEGLRELGERVLVNAGISPPEEENDHGEEGSEGEGLVDPV TRGPEGAESLEEVPPGEDEFAATVSGEELQLDLGADEETIRAAGPDPNFTFRLRSAPQ RNNEDGVSSGRSTPSRKPIPFQRPPSPTSILENPISPSPVSQLRITPPGPDEKPLQPA PRKASGGKLLANPISTSLLDPSVPAPASNAESTLFRKPSPRLPKAIPTTPFHLQKTLI LDLDETLIHSTSRPLNYPGGSSGGGRILGLSLGGVLGIGAKGRGREGHTVEVVVNGRS TIYHVYKRPYVDHFLRKVAAWYTLVIYTASMPEYADPVIDWLDGGRNLFAKKLYRESC FQQPNGSYVKDLALVEKDLSRVCFMDNSPISYTNALPIEGWTSDPNDEALLHSIPVLD SLRFVNDVRRVLGIRGFT L198_01986 MDRQHSSSGPSPPLAPPAKTAPLSSPLIIPSDDVLVSPAALLTS SPPSSSNSSSSSSQNSSPPRSSILRRTVSALPASAQIGSSSSNSSASGREKKILRFTQ VRVESTVVSGKGKEKETHEEDEEMFMFPGKKLEEGLYIRGKKGVPKDQRDYLKTEPGT PNFSETADQIRRTLSLASLDQLAILSASHEERTRLITEVSRAGRKLVWRDASERPLLP QDTERAALLALKRGLRSFILAFSVRSGVNVLLLFFRLLRRVHPRLTPLLLLRTIFSPD SWRFGGMLGSFTFLYTYTLHLLRLAPPWSYIKKRFRYGMWREVSFGPPEREGSEGERR WQAAVAGAVGSLALLWETKGRRTGVSQQMFVRGLQAAYNQYTPRLGIHIPHGDVLLFG ACCGQIMFAFLMSPETIPKEIRSASRVPQFAITANRTQVRQGFVGEDMIQQALNSKGI TPENRIRLTDYLSSIRSGHQPNAAPCAMIHPWRSDCTSTNLARFFSVFRFMLPMYSAL HLIPMLVLRRHSMRRNPVGMLGKALLGISRSCSFLGVFVFIYQALFCARVQTLEHGRG PTWLRSLLQKKQVFWGMGFATCLSPFVEEKARQKRRAELTMYVLPRALESAWSGARKR AWVPIVPFGETILGAVAMGMVMDAYKHQPDAISGIVRKLLFQIIGPV L198_01987 MVSTRRQSRARTGVEEDPNRVIAVVTGANRQVYLLSPGGYGFGI CQELLTELSLPSSVPMRASTPQQSALAPSLQHLLQSPKSSEPTKSSPPNTTLTIILAC RSETKALEARDRLIFGHLEELAKRKKKGKVGEKPGWREGLRIEWEGLDLDSPGGKYGA LTFCEKLGEKYPHITTLYLNAGMGAFTGLSYYKFIKQICLEGMPVALSQPNYNEEIQG ATTKDGERGLVWATNVLAPYIMVKELIPLLRRSPVDLPFSPRAIYTSSGTAWYSKLAK HPLDDYMLLDYPSTYGASKYMGDLAMAALDKEYGEVESEGDAGATRKGRGEENVREVR VLTVDPGCVATNFFAAGLGTIMWWIKIKMFFNWCLFYFARLIGSPYHPVYASEGALPM LYTALIPALYLLPPSPPAPAQKYKVISTRWGNTKVGYEEVDQWERAEEEGLGRGVVER YLIATLNGVHAGKQGNDIRELHAKLSQTINHPIQPPIYRPVPPSTSISNSIASTGMPP PAPASSWNTPPPNTGFFLSGSPLGGVNGEGGFHPTGGIMGANGANGGFGFGDKEENVG GAGGAWPSVPLPNRAFAPQPAYPSDSQYQYPSHPYPTGEPLNRSNGPGAGGSGATDVG AGGQQGAMEEERPYHAAVLPVKASPKDTGGFTEDAFKPLWENSGRNQWGGFRQDR L198_01988 MWLSDSQKIGIALVAFGIFFQFLGKSYHDCLTDPTDLYLLGIIL FFDGPFLALGNILFLSGLPLIIGPTKTFYFFSRREKWRGTLCFFGGIILVFAKRPVFG IVIEFVGFVGLFGSFFPVILQALRQAPVIGPVLSLPYIRGAADKLAGVRQSAV L198_01989 MPDLNELLKWSIANSTNPEAAQEAASEQAEQLQLRFNPVSTHSG NSALHPNDRAPAPVPDLSPASTPGPGTPKDGEPLPLPSGAKREDLTTEMLDLIMGKSD SITMKEKIAYASNEENPVEERVEALDDFEMLIELIDNANNMPILKLWQPLLALLASPH PEIVAHTCWIIGTAVQNNLKAQAALYIFDALPQILNTLYPSTAPQTPAVRAKATYALS SALKHWPLASPALAASQGYAVLKKGVLDEEAVVRRKMAFLIGTLVMQSGEKYEGEIPG EVVNLLEANMKETEKNGPNETLLQGLKREGVLSALLEGLKSSTEDVEYEENAVRALVR AAEKDGLTAEEKAALQSIWVGWGAKGQEERGLDGQDGQEISAVLSE L198_01990 MSSPNSGHPPSDLASPFPFRISHLPHPDRPRLPRPSVDTTNLHS HLPVPLHSTYNHRPSHPSSPQSSWDSRKRPHSAVVRIPQHRGSRKRFRSISCLPTIPS APDEEPMSYQWQNNNYGTEAVDFSPEQLNQQDEKKQSEYPSGQGMLDLSGVFFNNSDL VSNGSSNNTGTNSTGSFAEMPPPSYNYRPSLALDTSGGYMNTQSQSPYSAPSTGLGID QGPSQESSSLETPGNYQAPNIPYVPQYGFIPQSMDYGSSQSSNDIPSPQPHHGLDVFP QSMLPSFFYPTPVSEHGAPENALHHTVPQPLPQTQGLPHIGTISPSELGTHQPLKPTK SFSDLMMGSRASSSSSLCSQDVPDSGSGWGGGGVLDDWARPLSRALPPSAASSNGPTA KADQAYPPANTTGKRPSFSASPLRNSSFSPTSVGSGDTDSVIRQYIRSSNRLGMGERR IIVMSPKVGQKSYGTEKRFLCPHPQAMLVGSGWWTRSPDGSVVSPFQPPRVNISLTAE IPVKDAAISWTDLDGFNIDEKINTNGMGPDDRPFLGCVAGKNLHISDQDPKRKDVRAL VTVRAPIGRYGANGWGQKGGKEGAGENVIGVFESKDIKIISKPSKKRSTAKSSEFIIN HGTTIALFNRMKSQTTSTRYLSVTPDFTCMPGSDGRPVPGAKPPSRSHAHDGVPGFTV DSVIWESWIIWLVDPSLPSGPSGRRPPSPGWPSPPANTIPTTILHPAIRYNSTVVLQS LQTGAISPTLVIRRIESDADAVGMDGIHQDGHAVFPPGELGSDLVSQLQKVAFEIYDP STSERFQRDSKYGGQWLSCVHDSVKQHNVKAERRWATVPVTAASKNGSKASSLPSTPS SPVSSSSSLDYFGHQAQRSSGHAHPLMSPGSGEVPLPSTDGGPVRRQRTASVGKGPLA RPMHRKRPSVDSAGSGSYEYLPTMAEAMSAQEDHSPRMFWTMPVGDNAVWSIIGVEQA SYTFYTPPMTSYETFEPVAPFPVAHRLLPSNLSAETPKEYAHHYTSVSNTPLVTM L198_01991 MSIPDNPFQPPTNPNLPALQVEPADHSEQGSPIHTSSGSDSPTR LRTSPGAGAASPLPSFGGLQPPPPAVARTPRRVQWTSDSHIVQMPTDPNNPSPQAADP QTLDSTNIDSLHDALERHRSGVSGLAASRRGRPGSQLSRQSSASDTLTHHDEEDYDYR TDIDPPERPEIEREGSDQTDYENNLLDNGMREHLSHAQYIAPGETDGLPSIPQQSANP GETDAARDLVRAHTGKWGVLRRRVRGAGGVKAALGSHHGERREGGEGGDAEKQGEEGR ASQDAFAARYPEPRRPSTVGLGMSGVPQGTSVLSSLLALYGQQPGSGGQSGNTSAASS RRGSDDDLSEDDRRSNQGRHDWRNITRRPTAEEEGPQEVQIHDESHPANVAAGIEQPM SPTSPTSPMSGGDDAYIRPDGTPASPGFKGFFKRAKDQIQYTRPDAARNGAGVFGALI QNTQNLSGAATPAASTLAPAAKRPGYQLSRYSLPDANAVPKGPWRPPSRPSSRAGSRP ASVHTSASRDGDSLRENNTEQGNDFGHKKAVSSDDIMSMRQGNESAVSLKKKRPKSGL HLDQLAHLPVAAVRGGVKGGGKAIKSADKWLLGGKSPLSGLTPDEKGGHDYFSRPLTE EERKRKDWEAEKKKRKKARDARKKQEIFIIQHVAAILSRQQFLLKLARALMMFGSPSH RLETQIQATAKVLELNCQVVYLPGTMLVSFGDDATHTSETKFLKQTTGLDLGKLQATH HLYWNVVHDKMSVEQASKDLDVLMTSPVYYTWWQTLIIGGLCSAFICVMAFYGSFVDA LMSIPLGVLLVGVQMLAARNDMFSNVFEITIATVISFISAALASSGVFCYTALVSGGV VLILPGYIVLSGALELASRNITAGAVRIGYSVIYSLFLGFGISMGAVLYSKITSQDVL NASDYTCGNTHNYHAWYQVTPSAYWYFLCAPGFSAGLSLRNQQPLWAKEFPVMVLISC AGWTSNHFASFAFSGRSDLTSAIGSFVVGTLGSIYGRFSNGSSFPVTVPGILIQLPSG LSNGGIFNFASESSSGSSAAYSDGFSVAEQLVAVAIGLTVGLFVSAAMCHPFGGGRRR GAGIFSF L198_01992 MSSGQAEQQLAKKQFRDWSLPPAFLARLKASPEAVLDELVPLKP IESQKKETLNEATQSHPFIDAMKSAGDVRTEKGALAHQSTDAPLVDLFIDLAPGVGAE HLFNLLKAAWKDDALSTLKIVFHSRSIHEGKGYKDGFFRAVAWVWEYHPRTLLENLHL LVNPTCPPTLNEKRNSTKRQKTEEEELNKGNVVALDDEGDVDLGKPPVEYSSRPHGTF DDLLDLLVLHINGQLDTSYTKSLNAVDEGLAPAKAGHTFKKARLSAKAEKTEKRDRSG FKRISGEAKKLEKSDDSTSGAAEKEFRVKLLTAPDMTQKHYLLAERLTSALEEDEKFQ ALYITVAHIFHKYLKADLQLLDQHREALRAPTEKTRYSPHLLKLSFAAKWAPTPGKSA DKTLHIATGLSALLFPSFDGHWARQKLQKEVLTPLREALAVPEVAMSNKSWKIDYTKV PSRAMSRNAQSFADNDPKGFRAYIEKVASGRTTISGASMMPHELLLNVLADDNKSVIL KQLAGLQWATLVESIRSSSSSALSNCIAIADVSGSMGSIEYNMGSAKAPQPILPCIAL TLLLSELSEAPWKSHFFTFSEDPKFEYIDAALPLAERAAKLNRSNWGMSTQFAKTYEL ILQNAKRENLAPKKMVKKLFVFSDMQFDIAGRASYGETEHQAMRRRFKEEGYKIPELV YWNLASREKGTLKPAKSNVEGVTLVSGFSGALMKFFLGEEAVDEDVEDEDDELQGSFE AVKIGEHDEGGNGTVKDGEKKKIKANPLDQVRKAIGIEPFAGLKVID L198_01993 MADPPGPSNRLPSAISASRPTPPDTHHPVIVSPENRNIVQLSPS KTSDHEDEVHDYTEDAPQAMVDAVAMEIAKHEQEGPPEMMAGSMASWAGVDDGDGGIF PSSITRTHMRTGSRRASIASRHSVSSFARPSGTYRRQGSSQIDLIRSSGSQVFALDND ENEGAGEEEHAAHRYIPSHRESRRGRAGSEAQPGSYFSYRAYEREPNDDYSTSPEAER FSPTSASPTQPSTALGRIASFMGFARPEADDEEALYGDGHSYRPSHPRSRSRRGSTES RAYSYQQSMSPTGSDDEWGYGDQDMDYDEEDDYHSSLADDTSLPPQSRPGSPSIPLVP SATDGIFGEPHAGPYEDQEPRDFVSVAVPSRQTVVLPDEDLSIRFTCYRTDRLWNVIW LIGCVLSLGALGLFGRWVPKIWVQFVGKEVAFDEAPEGSWLVVETPYGDLHIISLDII AYPYPLSTVFPQSIPPVMVSPSSTSHSPSSSIWEETTGLLKVMEYRYTKFALDPTTGR WTMIRDWRDPRWTSSRAVAQGLTSLVKEQRLALMGENMIDIASKSVVGLLVDEVLHPF YVFQIASIILWSLDDYYYYAFAIALISVTSIMSTLMETKRTIERMREMSRFHCDVKVL VDGEWQIVDCAQLVPGDIFDSSDPNLGVFPCDALLLSGDAIVNESMLTGESVPVSKIP AKDETLRALSRETKHGSSEIDADLAKHYLFSGTKIIRVRAGAKPAWAPASEAPVALAM VTRTGFNTTKGALVRSMLFPKPMGFKFYRDSMNFIGVLTIIAGLGFAVSAVQFVRIGI AWHTIMLRALDLITIVVPPALPATLTIGTTFAIDRLRKSGIFCISPNRVNIGGKVNVV CFDKTGTLTEDGLDVLGARTIDKPDRRFSELHSDITDLPIEGGIHGNTPLLYALATCH ALKLIDGEIMGDPLDIKMFEYTGWTLDEGQSRPVTKGSAGGVRPQALVQTVVRPPGTD KWRMEDALKQGSKHAHFLEIGVIRTLDFVSALRRMSVIVKRLRSTSMEIYVKGAPEVM PDICDPASFPIDYEDMLSYYTRNGYRVIAIAGKSIEGMTWLKAQRMRREAAESDLQFL GFIVFENKLKAGTTPNIHTLRAAHLACRMVTGDNVRTAISVARECGLVSHSASVYIPT FVPGTGNAEDARIDWTSVDDDKLQLDEWSLKPLTNQVGVAMDTAEAEMHDYQLAVTGD VFKWMLEYAEFETMERMLVKGVIFARMSPDEKAELVERLQALGYTVAFCGDGANDCGA LKAADVGVSLSEAEASVAAPFTSRIPDISCMVEIIKEGRAALVTSFSCFKYMALYSMI QFATVTLLYSFASSLGDFQFLYIDLFIIIPVAVAMGRTLPFPKIHPKRPTASLVSRKV LISIIGQIVINAAVQVVVFVWVRRQPWYTKPDTNVDKLETINYENSALFLVSCFQYIL VAGVFSVGPPYRKPLWTNPSLVSCLVALGAFSTYIVLSPAKSIAVILDLMSLKFGFKL QLLGIAGINIIASFAFERFGERPISRLIVGVKRWMWRRGRRREYRPIEREVR L198_01994 MSDPAPASDPAAADVTQDVTPTDTEPSTKSTKPRARLGPMEIVQ LPASDSEPEDDDEDGDKEDDGEGGDPDFLKSYPEDTEELHLLHLRLKTPTLIPLNFPR FRKHLTRLCLRQNELTSPLPSEAFEGLTELEEIDFYDNRLGPVVHDDELKGAPNVTSV DFSFNNLRHAPKLVSLKKVDTLYLIQNKISRVEEGELDWCADTMKSLELGGNRIRAIE NLEKLVHLEELWLGKNKIRSLDNLSTFSNLKILSIQSNRITKLENLEALVNLEELYLS HNGLTKIEGLEHNTKLTTLDVGNNAIEEIENISHLQDLEEFWASNNKIANLRALDTQL RHLTNLATVYLEGNPCQSNDQGGYRRKIMLALPQIKQIDAT L198_01995 MSVAVPTPTPTIMSKPAAPRLQSRPSISSATSANPSRPMAPKPS SRPPLNPNASASSSSRVAVKSSSAAVPAPAPRPAPASRANASASGSVPIGVSPAPGKD TSSSAGKIFAKPSKEWVLPERAKPGRKKRQSQNRLSQRAHRARRSDYIQTLEERLRQY EADEIHSNVRLQEVARALKADNERLKSEVNELHSKHMEASSEKEIWEMERRTLKEALR DMRTEVESVRAGRGMETIVRMDIDQHTIDRLVPGPALSRPQSNANQLASGSSHDHRGL QDCPICPDPDPDCACQQPHHHQHQQNYPPEHLTLVPSAADDHAAACGFCHSNDECLCR AVEEDVKPDISSPSHGFQTEDDGCGLCTGGDFCACKEAAAAAASTNYLIGSSKSPKDT SAATGVFKASTTAAAMPLRLRGKASGASKASVWSLNPVPESPREAVCTGDPSNCDACR DDSFGREFCQHLFETGKHDDCTRCNNQKAKKSGPTLPPSPDITQASNSSSGQGRTPPT PPSHSHMSMMSDVTPLAPLQMACCGNPELCGGSRSGACTGDVIGIGGPGGALGMSMTE QEIPEVRHEPRAVHHNTLRPDQVWKQLKAHPNAKFASLALLADVVARRTKCLDGSDDM SPMPGSPALTNGTPGPSGSFSHHGSPTPPLSRGPMRGSPSVRPSMSPAPGPGMSGLSG LPSHMKKRNIEVETSAVRDALKMLDEATPAPSPSPERELKRRRI L198_01996 MAHRNHHHSSSSSANPDKYTTLDSQSRSRRDSLPQSSSSSQNGM LGRTESEKISIAFPSSSREKRSDHNARKSKAGDPESLASRLGALPSSSRNGRSPSRDS QRRFEERGTRDTEHGGSRRSASFKDSRSDGRRGSVNSTYGYSESGASRDYRENRDRDR RHESSSYREDDRMRDRRYSRESDRDRGQRVEFGDRGQYRSSDRHGERHRYGERGWGKD NRREKEDERRPRERDARERDSERGDESRGSGRERSRRDSVHKERAHERGDGPPQGDRG RSEEQGRGGDEEKPRDQQRDQSKDRRDSRGWGQSSRHGESDREASPSKERRASQSHKP PSPPSNRWVARQKAEDPSTDKIKATGLLANEESRPKIHIPLTGNASSQPIKIIRPPPF HIEAKLSLPRPPSPGQRPPTPPRPPSSPPATPKPSIPLAGAPPTHPKTLVRPVRPIPS GPATFSGLPNHWRTTSLSSSTQSRPVTPPLPLVPSVAAPLPPPPAGFVPPPAAPVAAA SVAPSLTGQEGAPPPPSEAAPPVPEKSPSPPPPPIKIPFQSSTLSGLTSLSRANNPEK KANGGSEMPPSFHFRPLPEPAFPKETSSEASSPAPEVPAPRPAALAERAPEAPWVCPP YVAPACVKHRPGKGNFLVFKGADGKEQKRLDGMIDGKVVEVVDPRKDLSKEQLARGRG SAKQRDEFHEWDSNSTGPAPPPPPAAVLITGLNPLTTVDQITKYLRPHGRIKELDSKV NPKTGMQLGMCWVKFDSPPSGKPGTANDVANSVVKMCDGKRISNNGNEKIKVVLDGRG LRTAKAVKEEMERLYPPKKAVDKPLEEKKPTPTPATAPASTPTPSSQVASTPARLTSA NGVGTVGNHTPVACPGPTTALNGKTIYTKPLGQGFQGGFQAQRFGPNAPSNNRFSNNQ SQNRPFGHANLRHLGPYAPGGAKNPLPRPLQQPVQHLESSFTSAPFEKHGASADRGRA LNGRSSRNRSLSLTSSESDSDDDRRPGRRGLSPYGQRRVSKSGPSPEDEERLAKIREQ IKENGKPYIFVNSQGLPTTASYEGFLGDHFKLFKPAKILRAHLGWYILFPKDNAAAQA KRVLDGTLVQGRSINLAVQTSGPRVTQPLTAPADAPDRMSALASAPTGDPTWKKMTIA KKNRPAVRKVEKERLKPVKKLRPVDSSSSEDEEAPTSVAALKSKVKRDVNYSSDSSTS EDDTPKTRGKLSTKSVVKVKKESEPIVEDIPIGDVTSADAIKHSAKSQKLRIDSEDED DTPLSTKVASNKKRPAPAKGKKANKKARIEPDGAESMDVDMPPPPPKKKKPTKTEVDK FISSGALEDEEDAYWLGQVLAAQEEGLEPVFSDDEEIGVEEDHPLYHRSGSWRAEGWK KIPQVQKSRYLPQRNRAAVASEDNSVTTGRTARLAGRDQHRQTAAVAANQTVESDLFA FNQLRIRKKQLRFARSAIEGYGLYAMETIHVGEMVCEYVGDLVRATVADVREQVYLKQ GIGSSYLFRIDNDIVCDATFKGSVSRLINHSCDPSANAKIIKVNGQSKIVIYAERTLY PGEEILYDYKFPLEDDPALRVPCLCGAATCRGWLN L198_01997 MSDSLKVEVQFVQPSSFYVSQSPHRMMPQGLAITHRGEPDRQGA RISPLPEEERAQAMLDYERTIGAPDFSRSRSATPHGVISPQPMRANDLAVPTVATKRP TSTQTAEIPSFAADDAASWRLTKITKKGPAYSANVSDPAQPARSGSPPFQARSSHDRT FQPAQPSQPVPNRPPPQSKTDNVFNPSSDAAPTREPFLDRLNAQSPDVQQHHAHTRPI NHMGQAPKYPAFRRPLNNLPQKTAMDTQRDASHPVVNNHGPTLAGSFSKVCKADEHPG RGNLQELMFVDDSDLVDFDGDGDAGVLKTPMKRMKGDESAKSIFNFTPNAKNTFKVDK IGPEPRFVIPEALSDHTSILKSVNPQAIWQERLKAAKEFSHQAEWIDSAGLVEDQKRK FEGVKQNWDHIYNRMSDLVRTKNQVFQHKSVLTDKYVDAGDHLAEQCVELQLATRNTN KRRRIAN L198_01998 MSDNDSWQVPGDGLSGGLPHNGASHDEDEQLRRAIALSVEDCRA PKRQKREETPEEERRQLAEAMAASLEAPSSSTPSTSDTPKQPKSQSAPGLQEETSATV LKIGGQTIDRAQMERERLERQAAREKASGKTPGPSAPAGSSRHHSMPSPHNDSQQPRA ANAHPFQGNRPFPRDAAGEYYLSGEMRHTYNKVVPTQEPIFTPQDVVGAHSQISLIIA SSFCIDDQWVEEANILPDPGRVPTLVIRPFPREDLNGKVQTLANSEVWCFPHMADPNW GSMHMKFFWIFYKNGRLRVCILTANMVQYDWDLVENTVFVQDFLPLSKPVNDLSESIP SHDLPLQFRSLFTSIRVPAGLQDLARIHGELPLSGKDNFRDLNKWDWSRVAFRTVIST AKKHTGVDSVVGSGMGRLGRVLELEGWKPRSGEKVALEYQGSSLGRYSKKWIGEFYAF CIGKPLSSFSTSAAKTWPPLKVLFPSLATVDNSFGKRDGGGTMFSGNAWNTDAKELFH DANSKRSGILMHSKVIVALFEPGELTASSSKTSLGKRKAAVADDAPKHTDEGVGGWMY MGSHNFSPSAWGTLDLKASPPALNVKNYELGIVFPLPRGYAKDVATKIVPYKRPARRY GPSDVPFVSCVLLAFLARAH L198_01999 MSAESYAQPIDDGFSYEPGYDYSQSQVKEDEEFEEKYDPGEEDE PISQEDYWTVINSFFEEKGLVRQQLESFNEFIENTMQEVVDDHSRLTLDQFTQYTGVA GDETRRYEITFGQIYLARVNHTEMDGRTNMLFPQEARLRNLTYSAPLYVDIKKRTLTA SGVDDPVEADWQPAVGDDGEVEDAEEEKTSIGKVPVMVRSNFCLLHGLPDDQCHDIGE CHYDQGGYFIISGSEKVLIAQERMATNHVFVFLKAAPARYTYFAEINSQKEKGGRVAN HTEVRMYARASGTTGGVIRVSLPYTKVDIPLVVVFRALGIVPDRDVLSHICFGAEDEA LLEMLQPSIEESFAVQDRDTALDFIGRRGQHEKAPRAQRQRAAFDILHKEFLPHVSTQ EGFESKKAFFLGYMVHRLCMAALGRKELDDRDHFGNKRLDLAGPLMAEMFNVVFSKLR EDMYRYLKKCVEQNKEFALNLAIRPNTVTDGLKYALATGNWGKRDNTRAGVSQVLNRY TLSSTLSHLRRTNTPIGRDSKAAKPRQLHNTHWGMVCPAETPEGSACGLVKNLALMSY ISVGSYSAPVMEFLEEWGLEDLSEYQDAPTATKVFVNGVWMGIHRDAPTLHSNLLQMR RGGQLKYEVSIVRDIRERELRLYTDAGRVCRPLFIVDTASQTLRLTREHVDRIDQAMD EGLGAGAFDRLLSEGIVEYVDASEEETILIAMTPEDLINARTATSKEELVRDRAAHNL ESFDPAARIKSTVWSQQYTHMEIHPSMILGVCASIVPFPDHNQSPRNTYQSAMGKQAM GVCLTNYQLRMDTMVNVLYYPQKPLATTRSMEYLKFSELPAGQNAIVAIMCYSGYNQE DSVIMNQSSIDRGLFRSLYFRSYTDTEKMKGMAKSETIEKPDRNETLKMKHGSHDRYA KLDVDGLVAPGTNVNGDDILIGKTAPLPEDSEELGQRTQLHTKRDISTPLKSTEQGVV DQVMLSTNGEGNMFVKIRVRSTRVPQIGDKFASRHGQKGTIGITYRQEDMPFTAEGLV PDIIINPHAIPSRMTIGHLVECLLSKVSTLTGAEGDATPFTELTVEAVSKVLRQRGYQ SRGFEVLFHGHTGKKMQAQVYFGPTYYQRLKHMVDDKIHARARGPLQILTRQPVEGRS RDGGLRFGEMERDCMISHGIAGFLKERMYDSSDAFRIHVCDICGLMAVANLKKQEFYC SVCRNSTQISQVYIPYAAKLLFQELQAMNIACRMYGESD L198_02000 MAVSKKEARKQKSVADLKGPAAAPSKSPKSAKKDKKTKEVEVSE DELDSDEDDDEEISEEGMKRLMELVDVNDLNEEELAMLGAGGDSEDEEDDEDYEDAED DEMLSGESDSEDEEEKEQDNTIVNEQPDEDAISLDGLGSDVSVDEDAVPRQKITINNK PALRLLTEQLKVTNMPWPEHLVLNSKEVADVDPSDAIDLSRETVFYKIALSVIPQAKK LAAKHDIPFSRPGDYYAEMVKSDEHMERIRTKLVEEASGIKKSEAAKKQRDLKKYGKQ IQHDKLRQREQEKKSFDEKVAGLKRKRKEGADIGDDDGQFDIDVEDVIEGRDDKGGRS SSGKSKMPRSVRDNKYSMGGGGKRSKQNTKESSMDFGGGSSSRGGGAGRGGRGGRGGS AGRGGGAGRGGSSAGRGGSAGGAKRGGRPGKSKRSRG L198_02001 MTQQQHQGLFASQPQAQGQFPHLARPLFASTNSTPSPSAFSKPL QTHQHQAYTAPSSPAFAPRPQPQPQSASSSRLRPKALKKSSSSTGKHRNVQTSLEVKV EKAKGFQAFFVPLCKGGVPPAPPCSPVLGHRETQRGVSEETESAGSPDYFGAWTRDGG KEREEWVEDVEGMDVDRY L198_02002 MPSLPSRSVARAIPSLARAASSAAGSKDHHVHTYTPDQFPLGFS VAATHAQVKKKAGVLDLGALVSTTDTPASAAACLTRNVFKAAPVTVTTELLQTGNGRA RGFIVNSGCANAVTGKQGLQDAWAMSKGLTSQLPPGDAGVGSLVMSTGVIGQHLPIDC IVSSIPTLAQSLSDSPKAWLDLAKAFMTTDTFPKLRARSFKLGDRLVRFAGIDKGAGM IAPNMGPPQPPHATLLGVIATDAAITPSALQTALNYAVDRSFNNITVDGDMSTNDSIV CLANGAAGKVATEGREVASGMKEIEEGTEEFGVFKEELRSFAEELAQLVVRDGEGATK FVTIRVKNAPSYETAQAVAKSIANSNLFKTAMYGQDANWGRILCAVGYTPTAKPIIPS RVSVSFIPAPSVADSTPLRLLTNGEPEANIDEERASVILKEEDLEVEVDLGEGNEEAR VWTCDFSHVSCPIT L198_02003 MYPSLALPALLLTAVGALAHGSHGHSHEQLDPWNEEFANTPDLS FSGVTSFAHLPHQKCLDNKNAAFDVALLGVPFDSAVSFRPGARFGPYALRSGSRRQRP ERGYSSRLEVNPYTHGLYMLDCGDVPVTPFDPATAIKQVNSAYRSVLHHPVISEERME ELNMKKGLDGKFHPRIVALGGDHTIVLPILDAVHEVYGPVSVIHFDAHIDTWNPHRYL GSVSLQADLNHGTFFWHAYEQGFIKPNASIHAGIRTRFSGPEDLDDDITAGFDLIHTF DIDDHGVDWISEKIKARIGNGPVVISLDVDVMDPSYVPATGTPESGGWTSRELRRILH SLVGLNIVAFDIVELSPAYDTNAEISAIAAADMVYDFLSILALGVDGEKKAGDEGRVT DEL L198_02004 MPDIESLKDRSAVGSMSCERLPIIDISPYLDPTSSEASRKTTSQ NLDSACRDFGFFYVTGHGLSPDYLKSLLTLGHQFFELPQEAKDSLHIFKSQDGVRGYQ KLGENVTYAKRDQQEALDIYPEPENPTSDQLNGSQPWPADSDLPGFKTTLLEYTVKMS TIGHAFMRAMADALGHHPIFDELQKDNYWVLRVIGYPPLPEEFDPEKGISCGAHTDYG QTTLPVPFKVLTLLNSPKLLLTEPSVESKDGSWIPADPVPGAFVVNIGDIIDTITGHQ YKSTYHRVIHKSPTYRVSMPFFFEPQRDQVIEPLEGFLPEGSKPTQAFTYFDHLKSMI YNHFVSNDKPLPEKPNANELTQRG L198_02005 MSLEDKEHKSFDASEPAEEAYVAEVVSEHIATDLTNDPHRGLRM RHVQLIAISGSVGSALFVSIGNPLTSAGPLGLLIGVALWSLVVWAASNCLIEMTTLLP LDGGFVKLSGRFVDWALGMAVGWNYVITQWALICFELTSINVIVQYWKDDLNPAILIS ACLVLLFLINVWSVRWFGEIEFYISIAKLILIVGLTLYTFITMVGGNPLKDVYGFRFW KTPGPFAGEVNFRKVITGIFDSISWATFAVVGPDYISLVGGEVKNPRRILPKAFNSTV YRILGFYITGCLCVGIVSSSTDQSLLGAISGGAPGAAKSPYVISMNRLGIPVLPSIVN ALVLISLFSTANSFTFVASRSVFSLAQQGQAPKVFLRTNRHGVPYVAVTATLLIGCLA YLSVSSGTARVLNWWIDLVTAAQLVSWTVIAITYLRFRSGLKAQNLLNNDFLPIRGYL QPLSGWYLLVWSPIVLLFSGYYCFLPGAFTAADFVFAYGSVFIFLAILIGAKIYAYFV RKDRQLVIPADQIDFKSDLDHIEELTRASEEKRLAKSRGAGEKVSDFFF L198_02006 MVPSTVAALLTVFATGALAHSGCGGHEIGRRNLGGRTIQARAVT DEASAAESTDVATECTYYSYEPATSVTSQFPTIWESASILSNDTEATELFSKINSTLN EKLPDDVPHGTPTGDWTGVNYDSSDPDCWWTHAKCDTPSSDTGLDADITVSPEPETWG LGFDDGPNCSHNALYDLLLENDQKATMFFIGSNVLDWPLQALRAYDEGHQICVHTWSH QYMTALSSEVAFAELYYTQKAIKAILGVTPQCWRPPFGDVDNRIRMIASMLNMTTIVW SDDTDDWAAGSDGITEEDVNNNYQTVIDAAGKGNYSTHGPIVLNHELTNYTMSVFETY YPKIKAAFKYVVPICTATNTTQPYAETNVTCPTFESYIAGTTNTSSSTVNTDGTTAAN SSSSSESSGASSSASASGSDSSSSSSSSSSAAFRDGVSGMGVVFAGLVAGAVLL L198_02007 MTPSTIPLQKWRFRQKPKTPDPDNRWTSCWIPTSVQAELIKTGD IKDPRKDLNEWDAQWIGEADWKFRCDFDIPADRLKAAHCDLVFEGLDTYCDVLLNDRK ILSSDNMFVAHRVNCKEHIRSGNNRLLIVFKSPWQEAKQAERAHGGPRGAWNGDPCRL YSRKAQYGWGWDWGPKLLTVGPWRPITLEIYDYRIEAVRIEAHISSPDLKTATLRATV DAVRANGMQLRFLLFDQSGVCLKEEMQPTEDSWVEWTFEDGQIHPWWPRGYGAQELYQ LRVELWKDVAEAAAHKSIRLGFRHIEVVERPFIHQRGTSFFFRVNGVPIFCGGSNWIP ADIFATDISPKRYRQWVEKMVQGNQNMLRIWGGGMYEHDELYNACDELGVLVWQDFMF ACGIYPSYAEFNNSVKNEAEQAVKRLRDHPSVVIFAGNNEDYQVAESLKIVDYTDESG DYMHSEFPGRHIYEIILPEVVHCLSDIHYHRSSPYGGKTSRDPAVGDIHQWNVWHGTQ EPWSKWGELSGRFVSEFGMEAYPNLKTVQTWSDNQAELFPQSRVSSQHNKAIGAERRL ESYIMENFRHAYDMPSYIYYSQIMQAECLAAAYRAWRRNWRGEGKEFTAGALVWQLND CWPCTSWSIIDYYMRPKPAYFTIKRELATYTVGISRKEVKKPKDKTTDAAFDIFESAI WGCNSSLSLKPVTIVVETWHLHRGILDRKFIETTLKANASTEIWSGPIPRQPVRSSLA QPPEPIIVSARLLARESELVLARHSSWPDPYKYLRFPEPGLKCQVSEGAVRLSCERPI KGIVLDVEGDEVEWDDQGIDLIPGDDQVIAVKGLEKRAVTARYLGSDGL L198_02008 MPSSPPDPNRDRPPQIRTSKPSLEPSPAVVATTSPPRPSGNHQP HILTRRFLGPIPDRVTSSAEVEERRRDFLDLRRKAIDKWKREIRDDDYSLYSVADAQS EDGRLRRAVSKIRIKRRNKHGMEIEEDLDLDETGTQLGGKAKVGKEVWVGESFDIGQE FMSTAAADTHTPELDEAEQGSSQASASTIKPKPISRPSTTSLATGETFVTARTAFSPS QSSLAIDTQSTSQIPNPSPSLHGSQNAQLHSPHTHHSASSSTQPLIDSSELSDSPPGS SKKGKEPARSVRTNTSLPNRLKSALRYSKPNLRVSSSAVIGDDEEQADPREGQQKAKT VQFPADYASVMPSLARSGDGEPAKPEHVLEREGAEAEGTSAGAVEEGLGENEWLPEER KVGEVILRDRMLVRVGYHRENNITIFDETAQRRNPCQRLDVFEEYIVVWRKGQVEFYQ DWKVPLRERMVGTKRLCFVIPLLPHRTSLSVFNPDDLTLALTTSVEKLHLEIERLLHI SDTKGTQIFLFKLSERSRALDWYWELFRDLGGELPDRFDIFAPSLSTYVRFRVPQGEE ADETALFKRYNRDRVIKTCWEVLNKDNSIDELLKERELEKREVIDLELVWKGADGALD WVAYGNTVEGLKRPWAMLAGLARVQANGRELQLRPAKHQPKSLKLEDGTWLDEPAGVE GYLVRHRPASGPKESIYVTIHDGCIFMTTMREAKPPLLPQAEGSIPSDIFPDVHRQFL QNEHKRMATTIQRSAGCLDLRDITSVKFEQGAESNDGQGEGTGTVFNVHLAHGGVMIQ LEAHSEQVAKEWVERLNDLRGFWMRKHRVEARMRMDAMELAQKGDILTGAATEASDDF LSSIWDWCTVKGCRSICLSGRMYMKKSTWMKFRSKYMVLTEGSLVSFKITGKNAFHKR KKTYPLFGAYVYSGLLAHEEIHEPSNRQAPFTSEYRVYQDGLQSSDGPQDTTFCVRLA IPGSSWTAKTVNPWDLGDDEDYTPMPLSKSPQGLLIFRARCKLERDRWVWAINAEMER QARSHLRQEKTLREYGNVPEKW L198_02009 MSPSPHMRTTPKEASPPPPYRSTPSPPPASRPLPPNAHVRPLHR RPRSTTPDAHRWLSQDEGRRASRPSPSAQCVNRNVDGNARTGDAGPSTRAHRENLERS FRGHDAVRQEESVAQVQDVSRRRKHGRSVSLTRTPKEDSVDARSRPVKEDQAPEAPSK DTLLSATVDGPTLRVPTPPPGIPCPSTIGTVPDFIDGRGGDGIGASVPSRTTFHAPIV AADEELSGVEAKKALLDKLEGHLQCPDCPQSRRSLLHNPVTLPCGHTLSASHLSFPAL PPMAPVQDMHDPDELLAVQQRRHQQKLALWAGVRCTVVECKRYAGATEEQEAHDERPA GVPIFPVPPPFVPPSINAPVDNLPPAYSFIAGPNVPSTLPLLDTRIEKLIHLIQVEEE RLKVEEDGGMEVGRVRQDMGDSSGSSSDDEHDASGDEGLPSAPRPPRSTKRCRRRLLP QQRQTGAEDEWQFKKELILNTECDVCAMTLYEPLTTPCQHSFCRKCLSRTLDHSPRCP VCRQDLPSFTFFQDHPSSKTLMSVLTTALPAEYAERRSSIESEEREALLSTPLFVCTL AFPGMPTILHVFEPRYRLMIRRCIEWGSPRFGMVLPARGTGPENLQGVMEYGTMLEIQ SVQMLRDGRSIVETVGTHRFRILEKGSLDGYTVGRIERMDDISPEEEEEMERQAVERR AQANRSSTSAPISPSLAPTSLQALPMTHSSSTPRLSMPTPTAPNVLQPPGGGGMDFAA LAAQSAANNTPPFHAPSPEDTPESTEELMSICRAFIDQLRSGSAPWLLQRLNNTYGSM PEDKSEFSYWMALVMPIDEYEKARLLPIRSARLRLKLIVYWVESLRGSWW L198_02010 MPPPPPPPLIVTTDPDTASPFDNPSTPSPQSPTVLPSEAGSSDG HGRRESANLPPVNTSHLSPSNARLHPTHGGHSPSPSYSSALTPPSPTLTNSSSVHFSD ELPTPSSPNPKTSLALRDNNPNAESGMDTLQIGDETHGRHERAWSIGTWSSAAPTTDG FSVKKAKEPLLRGTSEMSDQTRADEEPSKKDKKDKKDKKKKGEEPPSAHLDPDKDTTD PTPFREKPSRLAMLVDPKSLDDLEKIGGVDGLLEGLGVDKTKGLAVGTEEGHVDSGAP RSAADMPGGNGAHWRAGMDERRKVYGRNDLPQRNSKSLLLLMWLAFKDKVLILLSVAA VVSLALGLYQDLGTAPKVIYNDDCPNGCEEAQVDWVEGVAIVVAIIIVVMVGSVNDWQ KERQFKKLNEKREDRTVKTIRGGKEMLINVKDIVVGDVCILEPGEILPVDGVFLRGHN VKCDESGATGESDAIKKFTYDDCIKERDNLGPNDKQKRDCFLISGAKVLEGVGEYVVI AVGKTSFNGRIMMAMRGDAEETPLQIKLNNLAELIAKLGGAAGLLLFTACMIRFFVGL KTDPDRSANDKAQAFIQILIIAVTLVVVAVPEGLPLAVTLALAFATKRMTKQNLLVRV LGSCETMANATVVCTDKTGTLTTNVMSIVAGSLGVHGKFVQDLAENAARSNANEIEGQ NERDDFSFDMTDFNNNASKPLQSLFNEAAAVNSTAFEDTVEDGKLNFVGSKTECALLR FAKEHQWADYRETRESAEIVQMIPFSSDLKAMGVVIKLGNGKYRFHVKGASEVLTGNC ISHVVVHEGDNSGDRVETVNFDDQSRTNISKTIIFYANQSLRTIALCYRDFESWPPKG SELTASDEVPYVDLCQDLTLMAIVGIEDPLRTGVREAVEKCQKAGVAIKMCTGDNVLT ARSIANQCGIFTPGGVVMEGPIFRKLSDQDRLEIVPRLQILARSSPEDKRLLVKTLKS IGEIVGVTGDGTNDGPALKLANVGFAMGIAGTEVAKEASDIILMDDSFSNVVLAIMWG RCVNDSVKKFLQFQISVNITAVVITFVTAVASSSEESVLTAVQLLWVNLIMDTFAALA LATDPATESSLDRKPDKKNAPLITFEMFKMILVQALYQIVVCLVLHFAGLRILGIADT DQNNTELGALVFNSFVFCQICKCFDFGLLNCRRLDRKLNIFEGFWRNWYFIVIFCIMV GGQILIIEVGGAAFQVTRLGGRDWGISLVIGAISLPIGVICRLMPTEPFGRLLVKLHI YADPNKLPAHSPDADEQQQQYNYNPALSQIKDNLATYANIRGGRLRASSMVAKSRSAR LRDADIQLPSLLTMVPTLVAGTVGAGAHWVTPQPNNSLGLHNPAGQDPSRSTHELYNG KVHLHPQTDPNDPLYARFGLRPPSPSQRGPPPVSSNSNVTGAAESSEQV L198_02011 MTCTTSSNLLQPSPTATSPLSRPPIYAPTRTPSPSVRINRRSSQ GQTPRPISIHGSSTASSRVSVPPFTPSPGRSSTPIPHSPPTGGGSTNPSPLSRSQPLL GSYALSLLHAPMCGHRPHQPTPGFTLHLGAVGKGKTCLKELRCPAHVVVPFEAFYYDL GDDDANGPQGKTPWVGSVDVQRWYFESYSTVGLPLQEGAKVPAGPPPVFPGYRVARRG QLQILIKTPEPSAAAKVFLVPYDLRRVPVGGRLLLREDSYVGHESRVEGEKEVLRYAI QLQFICLSRPCPDGGPPMLSYYVTKSLRVIFSISPLEKDETMRVERTEQMIEPSLDVE QGKRRSSGLLVEPVEEKWNAIRADWEMLEEGRKVCMPPLKGSPLSPTVPLPSSTTSIL SRSRPTTPNPIIPPLTPTSHLPSLTTPPKAAKGPQAVTVWSPTGRRARREDEMEEKAL SEKLRQLEMEKTV L198_02012 MYGQVLLPALSLLSLAVAAPLDESWMQPRDSEVAHLFKRAAPDP SADNYTSYYPDAWTTPNSTVIPQAWLDKLNNLTMPNVSVATMSGSRPTYPNHEDDGDD TICSFTDQCHVDDDLYEPSGEKIFALSFDDGPTESSEDLYAFLAENNASSKATHFMIG GNVVTAPQTVLTAVKAGGHLAVHTWTHPYMTTKSNEEVVGELGWTIQALADLNGGRIP KFWRPPYGDVDNRVRAIAKGVFGLETVLWNEDTNDWAIADEPHKYSISSVEATFDEWI TGNRTEPLLLLEHELDNNTVTVFKDTYWKFAAHDWTVVNVADAFNMTWYVNSGEGNTD TVTTMSVAGTLTTASTSTTSSAASTTASGSVTGSASDTAATTAAANASSWAISNKPSL VALLGSFIASALFL L198_02013 MNAEEAELLKQEELVQLILQDWRMWWDADERLNYGEVAIRLLFW WDKPDSLGNRYYWQSTRYVFDHETRFRDILEEFIGEYMSHVQGDPRPEEFDLDWSGYE SFLSLHLSPSHYIHMVPFATIVIDPK L198_02015 MSRPASYSDHYVDQDTLPLFNQPPPPVPASHPYSPYSPSARRPP PMATNRPPSQHSHLPYVQHPQEQRARDNSDLMPSATDYLARSTSLGRKKDPFAYRSDD VESGFGSMDIMDGQGQQGQQGFTFPAQREAVASPGSGTYAQMNPPPVPAHIITGRQAT LPDHPSPTATTHAQQPVTPSSYTHPHNPYIPSRSSDPGPSAGAVSTAPPPVDPYHPSA AGGAQWTDYRRPSQTRMASTSSFASHGSGGLSPYLTAKDVHEQSPLHSPLLNPYDAAS PTSQSHWSTSPAIHAPPQNNQPPQAPSSPRYNAGSRPQASPVGGRPPPVGSRPISTAY TPSLNPSTQLYPSSQPVTPAGKYDPSMPPPKIFPPRTASGASSLSTGTGTTGSGMMSR STSAGAGSEDGFREVKGWSDLRAVVNSQPNGRRADPDCPGKWLSPLKCLTTGLAETYT LSNPNFRYVTSDNPRRVLTKPSKPAHNDGADNEDWDYILYVNDVLGGEDGRDRYIILD VLGQGTFGQVVKCQNMRTHEICAVKVVKNKPAYLQQSKMEVAILELLNTQHDPQDQHH ILRMQDSFTHKHHLCLVFECLSSNLYELIKQNQFKGLSTQLVKVFTQQLLDCMAVLKE ARLIHCDLKPENILLKSLQSPQIKVIDFGSACHEMQTVYTYIQSRFYRSPEVLLGLPY STSIDMWSLGCIVVELFLGLPLFPGTSEYNQVSRIVDMLGNPPTHLLEVGKQTHEFFN RTTDGYGRNVYKLKPMQQYAVEHRTDEQPSKQYFKQTKLKDIIMEYPMGKKTDVNKEI ATRKSFVDFAEGLLNMDPIKRWSPQQAMKHPFITGDKWTGPWQPDATTTAKKPDPSPE IPQPPSSSSSTPNKKYGGLVQTPQSSRSQRVYSDAAAYNHQLAQHQSYTASVQQQQQA AQEAASRGPFSPGYQLSPGQSVSAGYGHQRVDSQQLPPAHQQPPMQSGRRSRQSQSGQ WSMPPPQGYQQQRMPSLSGSASHASLRAAQQPPPPPSIVSNPPPNSYYPSSRTRANTI NQMDAIPPALARLVHFGAQDPNGSRNTLTPVMNRDEAIREWERRHQGGMGHNKQNSIA GPAYQQLEYLQEQAELAAMQGQNWMPYPPHSGHHQPPQGHHPQHGGGHHRQNPSGQYQ MQPHIGISPPNPSDYRPRPTSDYDPPLSAPSSAGGSGSNRNSATAQGYGLPTYPPVAA ITGGSSAGGTGQSFDAFDQRDGGMGMMYTPLQPTQVFGYQQSAQPGPGQGPGPGHGGG HGGGHVARSSYSGGYGSGNPFGQPNSPRY L198_02016 MADPSTPLVVDNGTGFVKCGWAGSNFPEHVFPSIVGRPILRAEE RLGTSQLKDIMVGDEAAANRSYLQVTHPMEHGVIKNWEDMKHLWDYTFEEKLQVDPRG RKVVLTEPPMNPRSNREKMAEVMFEDYGFGGVYVAIQAVLTLYAQGLQTGVVVDSGDG VTHIVPVYDGFALPHLTRRLDVAGRDVTRYLIKLLLMRGYAFERTADFETVRAIKEAL CFTSYDLDMDKKLAEETTTLVENYTLPDGRVIKVGSERYEAPECMFQPHLVDVEQPGV AELLFNTIQQAAVDTRADLYKHIVLSGGSSMYPGFPSRLEKEMKQLYLTRVLGGDASR LQNFKIRIEDPPRRKHMVFLGGAVLGDIMKDKENFWVTKEEWDEQGVRALDKLGRGE L198_02017 MSLSADLTTQEKLLLSQAIYKLGAFSWPQVSDLLLVHPSIKPRP KELFTPDRCEAMYKEMMGVIGINVPTPDGMKPHTKSHLRLAQTHYIARMSELHAQIAA HESRFTALVTEVTSLKSGDLDDALKREIVEGLEKKFGKKIMDGWLEGEGKGQILKAVE AGEVKAGEVDPEEEKKRKEKEAEKAKVAAAKAKEAEEAAKAQAEAEAAEEAEKAKAAE QAAKEEAAKAEAAKAAEAVQDASEDVEMKEATLETPPKDATPEKEAVKTPEPTEDISP AQSPLSPAPSDLSPIKPEPAPKRSHKRKAASQPRGAPAGKRSGRRGAAAAAEAEESEG EEEEHSDEEGDNEEEQPATRGRQGKRSSPAKPSLSPTKEGSPANSRRAPSVSSTNSAT PGEERRSTRRGAASRRGKREVSKPVRDQTVEREEEDNTSVAGEDHEEPRKATRASRRK SGAVEAATPTPSATERRGTRSTAVVPPRLGHREVAASELSVAGGQDEVAVKEEAAGFS TTLAPRVAKRSSKPFLMALFEIILSHKFSNVFETPVRKSEAADYYDVIKKPMDLKTVK AGIKNGRIGSIDELERDVLLIFSNAMMYNAPDSQVYGMAKDMMKDCEGHFVHYRNMEM EMGGDGA L198_02018 MPVLLEGTCHCKAVKYTLESNTPVPFQLCQCSICRKVGGYMGSV NIMGNTNTLNIMRGKDKIKVYIAAVKFDENDKPVEIGNSKRSFCTECSSMLWNYHDEW PDWIYPFASTIDKPDPLPAVPDTTHLIAIKRECCPSHVPAPEGAKVYKGYGPGKGIEE WHKTYKAWVE L198_02019 MDYISIIKDDVDLSQGHQYLRLPHPRSGAPQLYLPYTTPSGQDS VLEAAKLNGASRRTWFVGESGIDAASILVHYPVDPLFLVIPLILSLVPNGSVLHFQPL YDLLSTCASSPSFILPEPFTSNDKRPASEGVNEDLSALLKLKSVKRGFRACCEVKIVS AAPPSPKASQPTSPSPTAKGEKYYRPSIELVVKHLKSKVEFFANPEEFEKFDHLIRAF GRDGMLGRSADDPLLTMARKKAAIEHLSQWLSQPIVSKLIDSYDFTALHEYLASHNAA LVAASQPITKAKKGAKETAATKRKASSSRGVESLKKVNTSKMTKLTSFFKPKEPKEKK L198_02020 MSHEVVYDYVELVDPNLVCAICQSALVDPVTTGSCKHTFCRDCI TRAISHNPQCPIDRSALSLSGLRDTEQLVKLMLDELKVRCGADGCGMVLQRGLFLAHL RSCPQAIVTCRDDDCGLSMTRHRMPHHRAYDCFQRRMECKKCGTILVFKDQTAHANEE CCDQSSDSCDLCGETLGADSHMHKWRCTKVRAPCPHAHRGCSSIIPRADIEAHLTTCP FEAFSGFFDVNETRFKSLELKVESLQSELESVRSHLRRVEGNVEVVSRVRNTSGGGLS WPAHQVDPIVPVPGRRSTTAPDSGSPRPEALPLPPSPSPVTPTLTNPTPGPTTPTPAR NPQPLSFGSSLPTTRPDMTHQRSLVAPSFGSHHWDDVLNALKGVVVQLAAGLDSMERR NEVRTMTESLRVLEEVGSLRAIVTTMRMQVMMDRPSRPGRPSRPGSLQYQTDSPYRAS QNLPRTTSRPSLSLSTRDHDSVSIPRSSSPISPTRTTHSVSVITDRDDDAEETASITE SVVFSAQGHPPPSPSAQSSTSSLITATNPRIPKRGSLSRAHRTSSLGQGPSGEAVGMS RNSGSTVGRSGAGLTGRPVAFPPAADDTVAGPSGSGAGAATIGRSQGRLSMRSAGNTV GSIGRFMRRNTERERDRSGPRG L198_02021 MAQHNHDGFAFPHPLNLGEGFTHMSQAGPERTLKPTRRPQQSTL SLLNARMDGMDARLDNLRKAVGGLKESMAPLLSKAEFESAIEEWEYGIATANFKTHTE LQNLNKLLVGSMDLQMDERGMVSAQVLERLQNNLLRRFDKVERCLGESPSLPDQGYDS YDILEERVIELEEQLRAEKAENKEVLKRIEDLIFTSESARQESHAMMMGRLTYSDSLL KYIVQTAPARSNQPTQTRLPPPSSFVPPQTGISYDTAYGLHSQAQAQEPRPLPPVPVQ ASQANAVFANYSRPFTAQYVTPIPGTNLPPATQSVSEAVWSLPIDPKAYASVRSNPAN PESVPTSSTLDSPVPAIAVSKPAGVVPAAIAPTPQAHETTSASPASPQPTTMPGKTIP LPAIPVHKPTSMEPLGWPHAPHLYARKVMPAYASLFDPMPPGLPAASELQSQTEDKAD EVMKDGAAGGKTDKSSENDTSETKTPAQIVFSMPAYTHNLGSFRDRQHKEPVSSVFGF DSEEFTRQYKERLMAEMPESVKLEPSDLSKYTARIIQPKGMSESTHQIPRKPAPANIP EGWTDVSRDMSENQEGFAPDDVEMGDEVDASEEDMSETATLASADSDEGFVAVHNEEV ALSEPMLGTELVEDHIGAYDRPLAATQGDEHSESWDFSSECSDTGDELLSWTPPPAAH RSLIGLLSTLSFAIEITISDAPADDATSPDGATPDSARVESLKTILAWSSTLMETTVS DSEWGYYTLRLLMDMAKHSTRWPQDREQLDLESGIDEIKEYMTRVVGLDGKPIAHKSH EGTEDGKIQVVNVVDKYGVSDG L198_02022 MNQTVPNLTQGGATNTTNGQDRSDPELYQVTSPESAKVAHAHNE YHGGGNMSRFITPGGSAVDNSQPAFPVFHRKFANPSPLGLLSFASSSLLLNLYQANAR GVTTPNVILGMAIGVGGMGQTIAGILEWGCGNTFAATTFVSYGGFWWTLAWIYMPQFG IAASYAEDLEMLENALGLMMMTWGTLTFLFVIAAHRSSVALLCILVPLTITFYVTAAG YLMQSAYLLRVGGVIGCIMSMSGFYCALAGLLTPDTSYFMVPVGNLAPRQKPH L198_02023 MLRRRLSTGLLRAAPAPAATPIAHHARSRSLASAVLLSSERNWK TETVVGLKAELKKRGLSQKGNKATLISRIESAETTSLLGPLPPFPSGARALSTSSPAA FPPKKKASSAPKEESHGVAPGVSTTDPALQVESQRTAAVHPDPVAPEQITVAPGLPES KNAAGSGSSDLRIPVTTNAVDSGEIEQVIPLTPDNFASNSALPSSSSPSKDPKVLTVA SASTHHAGGPVHGVHDHTDAYALEASELELPSFEGFTSSIWNAPSAAWNALSFSLPKA EKKDYKYEKRALDGHERTGAWILAGVIGTGLLVGGPKKEKKSHGDVALGVEAVASQVK GDAQWEKASGAGVVGHGSRKD L198_02024 MLINLTTIVALLASASAVIAAPAPAHADSDIAARDTQSTWLTMH NNYRAQHGAKALTWNSTLASYAQTYANKCVFNHSGGKYGENLAAGVGYDDAALFKQWT DESSSYSSSNPTASHFTQVVWKSSTQLGCYKASCADGTIFTGYGKSDYVVCEYSPAGN VIGQFAANVQK L198_02025 MSDLDQAALDAIIEILMTEVAEELLDECKEPEPLHNSKRTVQMA LEEFLEGRFRSQGMRTYLGTTPIEYVTAEERLFTTFWILRTGASFETAAEEFARSPET ISLSFHAVILALCKVKYTIHGSSSQHEKALSTAPISLSLSGKEYNELISTERDRHLPM YWLPGHSTSGSPVDLTERTLVPLVRVATASPTLSKLGPTAKSASPLHSFPTIPAFCRP RTDESIADYDASNPQGPHFTQVLWKSSTKLGCAQTSCADGIIFTGYGDSPFIVCEYDP AGNVAGQYGDNVQV L198_02026 MLFTNLFALLPLLALASARPHDDKLHRRQCTAHRNHKFSAHGNG TLDDGQESAVAAVVVSASSTASLEQETQTAMFISSSTEVQETSTSVSSTSEQQATTTS SSSQEETATSASSSASAEASSTGASSGLSADAQTLVDLHNALRAQYGAGNVTWNDDLA SYAATAAAKCDMQHTGGPYGENLASGVGGGYDITAGFQSWADEASSYDGSPSHFTQVV WKATTEIGCATSSCADGTIFTGYGSGSLYLVCEYNPAGYVIGDFAANVAA L198_02027 MGIDIRRHHVKKGNRAAPKSEDAYLLLLVKLYRFLARRTDSKFN RVILRRLFMSKINRPPISISRIVKETKGSNPDNSKTVVVVGTILDDERLPEVPKLTVA ALRFSTGARERITAAGGEAITLDQLALRAPTGSNTVLLRGKRNVREAVKHFGGPLKGG KPYIESKGRKFEKARGRRKSRGFKIKSTHK L198_02028 MTHKTLLICALLYTATAQAYEQRSDITSRWGQAAAYIPSPPTLI LQGGKADSSFSYSSAPNTASTLLLPLSSSFNASSPPWAELDSSSGPTASWHTISPVSE SGDTWQLLSFGGDGSGNQASTTANDSTWLMTLDTSSSSASYAHQTTGWASQPMNRVYH SAASSSDGKVYITGGLKDDGSGVTFSDVYEFDPSTASFSTLPSFPEQVYHHTSVLLSN GTLLVFGGAYTSQATSNADVRSFNSIYRLDVSSDSPAWDEITLSSDSLPTGRRGATAA LSGDGTKVVLIGGASAGLGDVYGDVWVLDVDTLVWEQTSSVDGAGSRYDHSAVAVGYS TSGPADSTLYIFSTSTFSWVTDFSALSSSSSGRDSSSSSQQSESGGSTTNNNIPSSIG QPNSPGSASTGAPASTGTSGSAGSDDGSVSTPGSESSGSPTLNNPVTTSTESTAPSDA GANSHPLTTPILVGVIVGSVGLFALVLGLCFWVIRRRSQRRRYGKEPVWPASGPRGRI PSGATSGYGGEKRGPGLMDEMREKNMPNAPAPGAASAQGGWGAALGAVNAQVSSISSR IRAGRWAESYTELHDDSLGHEDPVTVTPGTSQKLTRREAQGIRLIGPRPQRGKSLYYA PNSPNKPARASVIRDERIDMLSDEDTRQYNNVRPASYSDSDSEGDLGVWRRSGESERG SGTDLSGPILVPFKGGPVPTPKESEAGSVNSAQRYQLPNFGPTEPLDLFSWLSTKNAR HTGTNVSARSGRSGVTDSSRQQSDLEEGIVVDGVQRGVRDEASIVSLSPVEPAEPTSL RRNESFLRRMADAGVSVFRKNSQASDRSRAGSQVGIRDPAPQPTLWPIESRDQSSAPS APTNPSPTTSSPESAHPPTSWSTNAVRLAHHTGPSMSSINSARSMRDMVLVQREGTDE GSESVGVVEEAPEAYEDDRLMGYEERDDTMRVMESEDEEASESDITSSVEDQWAEART SSYQTAVEDEGDLMANFDFGPNTLDLSLNDVPIAPVKSDHRLSSVSIPSSLPTVSESR EPTSPERSPSPETTIPEAPIAVPPAVVAAPTVPKPRTVPKIEPKKFEHPPAPTAPLRH VPLPKKASSNDTPKAKPPSGNSKGRRPVRDVVNSINKRGAKTPTNLIAPRVMYSHAPE RVASIRSSNTGSSEEIQRTATPPRPVSVGESSQKSARRISFAEPAHKTATPPRPLSYS YSDPFADGSPSASSPARPSSITPSRISSPSRPTSLLGSPPSKRNSSGMGLLGLKEKTT IWEVMKKEEKLKIVNPDGRRRTVSGRELP L198_02029 MLLSATIPALLVAPLALASQQYPVYQRLLPQSSSPSTASLSSFE SIGSISIPDEASGLVGKLSLSVGEQSAGNEVEDDGTGWYQVGVQLEEGDDEGEWLIAS TRSCYLSAPPVVKVQVNGTRPLSISVHSSDPKSNTCGTKSVVKTPKDLSSVIFETSRT AKTVLPLLGAPPVVDTTGTPVVPPPEKGFLQKYWMYIVGLALFFAVQMGPDEPREGGG GGGGK L198_02030 MTACIVVSVASFVCIVDVKPNVSIPAAFTKIYQGGKSVTKSKGK KTSSASKHFIHHHAVKASRTSLPAPAYKAHHYKGSTKVTVTAVPQKWAAGHLEGTTKV LLHRTPAEEVTLSPTSTSTAPKANYKGTHLGGTRVRLTPTQCAASHLGGTKVRLSLAP ISGACPPAISQVVPTIFFAPPAPPATVDWTASHLGGTKVLLQRSRVKAWEKMEEKTLA TATQAPRRWAASHVGGTRLRLSRTAL L198_02031 MPPTPTRHPTLLASLLLLFTATAPAMADSAAPSSLPQVDFSKMG AVGLGGSFSGLDWWSDDSPYASSSSSETTFSTDGDTLFYRTAEGRFRPLASTDAGGVI SALCWSAGTDDSSNGTLYVGGTFTTLSGTDVNNVASFALADGSVNALGTGLSGEVDTV YCDDDNSQVWFGGSFDAPTGTGGNVALWSTASSVWETPAFGGLNGRVESISPSSNGSS IYFGGDFTISYVSNSTVLANSSSITNGTTGNSTTTSVESAPDNTTTVGNSGYLTPLTV SASSSSSASYQIQAGPSTDQSEYSNTDVLLCPDSGIWLAQDNTIASVNVVGQSYLAAT GIRVVNGLVEGRGTTTFCLTMLPWYADLNMTYTDPITGEVNTCTTHCPLYNSSSTSAQ DFIFTEGTQNLTGFEMQLKGWLGDGAGLSSVSLLTDGAYISAASSSDDTVTCSSGSNN NGSIQTTGDWESRTIATDSATNSYLEARNSVANPTYPSVTFYPYVSSAGNYDIYIFIP GCLNAGDCNSRTSVDIEVFPFSGGMGWTSTISEQVDYDTKTLVYSGTVGASTDDFTPT VSLALSSSPARPARGNRYTVVADKVQMTLTSLSGQGNNANSSTTSTSPVLNGTSAGTP DTNGTTTINSTSMTNLSYNIAYGVYEWPRTVALNVNAATSALSNVTETPLARLGFSLD AALNASGSDASAWVVNTVVAHDNTVFVGGDFSSTNNYTNVLSIDASSGEASALASQGL GGIVNTAVVADGYVFFGGDFTATASSGETGLMYVARYDPSSKAWAALGGGVDGLVTDL LASATSTTEVIVIGNFTSVINADGSTNQTGGFAIWDDKNQEWTTTGVLFGNVSAGAVP SSSLEEAYFAGKVYGSANNAVSGVAVLSTNSDGSAAISSLNGVSFGTAGSASASSNST STRRSLNVNSMVSRSWLSRFTDALVERTLPGLLPRATAPTIVSASYPAPAVLAGTYWT NSSASGEPTVTILGGNFTSSSGNVEGVAFHSKSDDALTGPTTAVTGVVRALDVVGDKL YVGGEGVTVDGVGGGLVVYDLKQDSWVTSGMASLNAASDSDLIVNQIRTRPNTNTIVV AGNFASAGSLGCAGVCLWDSEGGRWSTPGSGLSSGEVRSLDFAGNSYDTLIAAGSFVL SDGTVASVASYNFGNSSWTALGSLSGPALAVVADDKNATNVYAAGYSSSDGSPFLEQW NGVTWTAQNDSLQSGSLVQQLAFVPMSSEHTAQGTIENDRMLLVSGDLYLENMGNATS ALYDGANWYPYLVGSSSSGGIGAGSSLFWSDSDFSFKVKHFLARGLVVLVAIAIATGL ILLFILLMLLLAYFNRRRDNRAAQERQEAFGKEGSVVSSTHQNVFANVQAALEASLVG GGLAGAGVAAATKKRESSRARDRLSDPSSYNSGAYPIGSDAGGYADDDDEAYERETTM RYDFDGPELQEGEMAMKAGQAVVILDDEQSHEWWYARDPATGREGMIPATYVW L198_02032 MLPIVLLLAALAEARPQGENGGENGQIGGSRGSMTQHSMVSMPT ESSSSDSSSSSDSSKDGVPTAVIVPVVVVIVLGTILSFVFKSRKWIAGKWNERFSSTR RSRSGTDAASRILTAEELSGSPSRTDVDNNAAGGADAGNGNRNRRGANGRPRRTRNGE YLRRTESGRSIRTLPVYSKEAGDEELVLVRQRSSSVMSDDTVTLEHETLVEENESFPT HNRTSSEETRPEPITEEAVELIAGAQPESPTPMPHSAPATTTQNPYRTSTPDASRHDS ITRRGWGEAPSYLEAMSSPPFSSPNADLEAGTDNRPVPTLRTRTSSAFKDFLSRAGFS NQSRPMEMSQHPHSATALPLLQPVTSRISTQSSLHPGTSTYPSPWHSSHSLLISSPIP NTAMRASFDSTAIPRAGLSDDQMRFLSSNEAVNLVGVRMQDPPEGRKNKRRRGSEAVS VLALGGSGGREGQGQEEEEGVEGPPTWEQSEEHRRSLILSPTPGEGEGSGSGAIQAEN TEQRGGASESERQEESPAQATVPRPSSPSTSSPALLPPAPVFEVEPPTPVAGQMTTQI PSPTIRL L198_02033 MADRLATPILDNSRCGDLRYHAVLDTAQYPDYPRRTLPRRHPTV RRRQDVDDLKTLLRLSRITKETFESTESSCKDNNFETSTARTAELRDAWVKDDWRKHE EYIDSNPQFTAVSKTEDDKPLKVHFAALFSQKKDAVPIIMNHGWPGCFLESYATDMHR YHIIVPSLPGYAFSDAPPLDRDFSIDDVAFVFNRLMEGLGFGTGYVAQGGDIGSFVTN ALAANHPACKIIHLNFRFFPGTPTISDKSSSGAEATPAQETPAAEPQDPLLSLQMCG L198_02034 MFRRALSQRNAAVPGPRSARACLQLPRGRFSSTVSAASRAAYRQ RSSAIAAGVAATSIALAYYTLTPIRADELVRAPGTTIEQPSGQKLISFEEVQKHNKAD DCWVIINGKIYDVTDFMENHPGGPEIILANAGKDASKIFGPIHPPDALEMLDEEQHIG PVDPLTMPEPEEVEPTEEELAMEEAREAMPGAENMLLVQDFEDWAEKVLSGTAWNYYK SAADREKTADENQDAFSRYYFRPRILRDATTGSMETEFMGMKTSLPIFISPAAMAKLG NPLGEVNLTKGAGACGIVQGISINASCSLDEIMQSRKENQPVMFQIYLNKDRSASITL LEKVTRLGADAIIFTVDTAWRSKRTRDVRAKAEVAPPPSSDGMKTSKSPLGVSAAISG YQDTNLTWKDIEFIRSHTNLPIMVKGVQCVEDVALCAEAGVQGVILSNHGGRQCDYAP APIDLLYEMRCNRPDLFEKLEIMMDGGVRSGADVVKAIALGAKAVGLGRSFLYANGTH GEEGVVRLCQILAEEIQNTMRNIGAMRIEDLKPEMVGPAGPWVGTNRPPYVPKP L198_02035 MTACIVVSVASFVSVIDVKPNVSIPAAFTKIYQGGKSVTKSKGK KTSSASKHFIHHHAVKDFRTSIPAPAYKAHHYKGSTKVTVTAVSQKWAAGHGHGTTKV LLYRTPAEEVNLSPTSTSTGPKANYKGTHLGGTRVCLTPTQCATSHLGGTKVRLSLAP ISGACPPAISQVVPTIFFAPPAPPATVDWTASHLGGTKVLLQRPGPPMVKAEDKMEEK PFAHATQAPKRWAASHVGGSRLRLSRTAL L198_02036 MSSCPVCDQTVNPDQAALEHHVNSHFDNPSGESSTSAPRPDACP ICDFPLSFLTSIESESHINTCLGDAGALPPQDEDDIDFDYSAGLVAQQKRDEEIVDPE WDGPAKPGKWMDWAGRNADKGDRWWNPNVPSDPSSIPPNYSPNLIPLLASTLRTAVHQ KTTRRAVLARPSTAHIKGVWKFDLGWGCGYRNCLMALTSLLSVPGYAAVFDSAKNGAD PGVRRIQGWIEEAWAEGYDLEGQQQLGGRVLGRRKWIGPSDMYAMLSYKGIPCRIYDF PKALNLKTDREAHTRLQQWVKSYFVRTQARQAGRNAFDTLMRSGENGQGRGELVRISD KFPLILQHSGHSRTIVGYEENARGDINLLLFDPGKTVPKAIRSHALSNLPEPTSFPIP PRPPPNPTAPANPTTSAERIRHRSSSSSHSKFERTHESRDFSPPYTDGFAGIDYSTAR EGSHDGSQVEGSAPLRGGSTTEPALEDDEERTPSGWVRKKRPFPHLSKSHKPPKSSKP GSDPTAPGQSVKTLNHFRVNLGTLSRHAQYQVLMFTGEEVLGEVERGQRKKIRSVLVR L198_02037 MSDNRQESEQDLQDSLGSALETSFSIPPPPPKPAAPAASEVKEE PAAAAPSEPIPGMAEWPETYQGYLDEWHAESAIARAKAQETHDRIEAERLAEQKAEED KKKAAKKAAQDEEKKKKDAEKLKAELEEEEKKETEKDVRGHGKVKEAWELVGKKDDGR DYGPFAEPLDRQDALAAQAISEAQERHEIKPAAYDPTTSTDPIPPAMQDPKPVTPAPV PTESATLSRHSATSQAWEEVSRQSDSGSGEQVSAPQSSGSDDIIQIPSPEKAAEAGVP LPPTQPPSLTLSVFTNSASLSVSKIFAVIGINLVLPFINGVMLGFGEIFAREVLKVGK EVWRGERGIFGGRRGTGGRGTSGVGLSGGF L198_02038 MGVTFSSLWSQLFAKKETKVLILGLDNAGKSTILYRITMGSVVA SAPTVGSNHEIYDYKGVRFGLIDIGGQTSLRGSWSQYFQGAEAVILVIDSSDSARLGV VKQELMKIVADESLSTALLLVLANKQDLPVAQGRLTPAQVSEALGLTDLREREWQIMG CSALTGLGLFEGMDWLVGKLAART L198_02039 MLLNTQMALFLTGVLVPITAIAAPGPPVLDTAVVASIGSKYAKP TQNPAAAIIGIDTWQVLNSQDANEGSGEGQKRSYGVSGPGSVRFAFNETNKYTAETFR ISYYSVGPSPTGAMGAKINPSVWVSATSTEKPTSIIQCVATATASMTGDVYVYPSPDI PTTSKQMIEVKCTGV L198_02040 MQVFVKTLTGKTITLDVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMRVGVDILVGNSAATTLVQVKTNFDD EEFHHMLNLASLGKSFNPEQFSFEFNLKPRDDLITLKTPPPSAPLQASRQPRLATPSQ PPPPAPVTRNAVAGPSWRTDQDAEKSPENPLPVQQPPVPPPVVSSAATLPLSQTAVSG PFATSQQAAEQSVQDVATAPSVSQPKSQLPTSSKRTSSPDKAASTEVSRQGQSPPTSI PAAPEAAGSSTQHFRCGSELGFAMELTPDSNDDEDPFQMSSAETRPTAPSSSAQPQPE KPEQTPSRPPTNPSTTRPQWATVPSDNVSIPPAQSAPVIAPTNSLPSASAPAQDDGED SGQDILALYAQSEQGDELQDDASSGGETATQQTVQGTVKDELRSSPVGRLVEAPAEAG PSSVNRTDASTSMAEKSLPEIMPIIITDRQVPSATSQYPLTLHSETKQTLTLQVPRSN TILQLKHEIYRNFPYRPVYQRLWNLEAGEVFDDDEIVGNCELEDGGRMQLLFRPLNFR VQE L198_02041 MRFLKPTITIKRLMSMLMITDRVDFGQGGLVIDDDEVLDKDLTL MQCGIEDMAVLKVLKIEKRPSQGHFPKQVTVLDSANGRKVSIPNTSLFTTVAAIRNKS LSMLNSTNSLTLQIVYKGRVLDGKTPITDLALEPDDVLQLVYDGPITFEVKNIADNPS PYTAIASLRRTDSIDVLKDHLYELFKIPVSDQKLWINARPVPDDHLILDNLNLSEQKK LVVGSKTHSPILCKTSNGDMPLFVSFNDKVGSLRSVLRHHKRVDFSTHDLVYNGVVLS DDSRTLGSYGVDAKHPVCAEKKQASEPVQSPAQGPVQEPVEQQTAQEEASLTISIRNL MGEYREYKVKPSMGVNDLKIAIEARENVAVGFQRLMRQNVEIKEGTLKDCGIVDGDKV VVVRRLKARESESRPSPEGSSSPSKRPRIE L198_02042 MSGRGGFSRGGGGGGFSRGGGGGRGGGRGGGRGGFQQRDFGPPD TVLEIGSFQHDVESEMLCSLVTPTKIPYFNAPIYLQNKTQIGKVDEILGPINEVYFTV KMDAGMLASSFKKEDKVYISGEKLLPIERFLPKPKVAGGKVAKRGGAAGRGAPRGRGG PPGRGGRGGFSSRGGGGGFSGGRGGGRGGGFSGGRGGGAPRGRGGFSRGRGQ L198_02043 MFDPHQTAPKKRASVERDFSAVILVGYGESLYPFNQGTNVISKA LMPVGNIPIINSVIDWVLASGLLDILIIVPNIFHDQIADHIAEAYSKSTHAKARIDLR KNTEGERDEEENESFDGKDGTARILKRFRNLIKSDFVLLPCDISPPPYLPLKKVLDKH RSTPKAILTSVFYEPIESVKENEEKFLIGLDRTTDELLLIQPMQALDEDFRVRTALLN HHPTLSLTTRLLDAHVYVFRRTFLDLLATRRAKDLGSMKDQVVPWLIKGGWQRGLGEK WAPILDPPTRDPLAEALARSTTAPVSRSLLFHSQSSPSPSVSPYPDTTPTSPSADADM YSSGILPPASSHKFGNTPEWKCQVMVITPPPSPPSADQPGQQKGQQKGQAQGGKGKQD KSRAPPHEPEYLIRANSLAGYWELNRRFIKSLSSATPPFVTKSGSGPSSVRTTVAGED AGTAPDVSPQAQISPDSVMGEGTRVGEKASIKKCIIGRHCVIGKGAKLNNCVLWDFVT VEENARVENSIVCSNGRIGEKSQVKDCEFGTGFEAKPGAILKGERLIAGQEA L198_02044 MVCSQGGWVEGRADRYPQSAQASKPVPVETQHEDMIHDAQLDYY GKRLATCSSDRTIRIFNVVKGQAKGDPVILKGHSAAVWQVSWAHPSFGSILASCSYDG RVFIWKEVGQGQGKGSGGEIQDGWERIKEHTLHTASVNSIAWAPYDLGPMLACASSDG KVSVLSFGDDGSIDVNIFPAHGTGANAVSWAPSVVSTSSGPVRAQPATSALKSQKKFV TAGSDNLIRIWGFDEEQKKWAEEETVKGHEDWVRDVAWAPNIGLPGMFIASASQDRTV LIHSRPTPSSPWTSTPLLPNLPDNQDPHFPDAVWRVSWSLAGNVLAVSCGDGKVSLWK EGVGKGWECVSDFTS L198_02045 MFARIILSSFLLSALPSLAADESTLPPSAASTPIAAPSVDPAVY VAISRYQKLTSADKNEGSGDGQKRPYGITGPNEVKFTFGDPVDYKEETFQVTFYETQT TWPAAATETGSDYDQNGWTINQGEPTKVIECVATATASVTEAVDVYPTPDLAITDGPV NVKCTDVS L198_02046 MFFSHASVLPALLLAVLPALAVPASAVSDVPSATLGSTNAVTAG GPGSSSSVASTPQVTPAFWVDIEEFAKLHSDDKNEGSGDGQKRPYGITGPNAVKFKFN GDVYKEETFKISYYKSSSWAMPSGTYNPEAWTTASGTPTKVIECVATANASLTENAWL VPTPDISTTTGGVGIKCTDSA L198_02047 MGAAHAPATICRFRYDNEAYKCITSYQRPQDKSPVAVIMLAQKA LTPAALLAILPFALAAPASNTSSVPVSSSVAAPISSSSSSDEPAPSLYIRLDPFSTIH SHNDNEGDGDGQKRPYGLDGPGEIAFAFGDPEDYTAETFWVRYYKTAGVDPSVTGNFE IPDPTVWATGNPTTVVQCVATATASSKEDADVVPVPGLSTTTGAYQVKCSGLAE L198_02048 MLALARARAVSRPLNRLARGYVAASQTHRAAEAPNFGQKKGYPI IDHEFDAVVVGAGGAGLRAAFGLAEGGLKTACITKLFPTRSHTVAAQGGVNAALGNMT EDDWRWHMYDTVKGSDWLGDQDAIHYMCREAPNTVVELEHYGVPFSRTKEGKIYQRAF GGQSLQFGKGGQAYRCAAAADRTGHAILHTLYGQSLRHNTNFFIEYFAVDLLMQDGEC VGVLAINMEDGTLHRFRSHKTVLATGGYGRAYFSCTSAHTCSGDGNAMVVRAGLPLQD LEFVQFHPTGIYGAGCLITEGSRGEGGYLVNSEGERFMERYAPTAKDLASRDVVSRSM TLEIREGRGVGPEKDHIYLQLSHLPSEILHERLPGISETAAIFAGVDVTKEPIPVLPT VHYNMGGIPTKYTGEVLTVDEQGKDKVVPGLYAAGEAACVSVHGANRLGANSLLDIVV FGRACANHIRETLTPNTPHKPMNPELGKESIDNLDKIRNASGPLPTSQIRLNMQKTMQ TEAAVFRQSLDAGVAQMKEVYKSYDQVGIKDRSMIWNSDLIETLELRNIQQCAMQTVV SAAARKESRGAHAREDYPDRDDESWMKHTLSFQRDPESPEVELQYRGVIDTTLDTNEC KPVPPFKRTY L198_02049 MHKHPEALSDPDIFSDIPVGEERKAIDAAEGKPHSPYAGFAAGI LSGWTKLVVGQPPDTIKTRLQCTPPGYYKGAWDCFTKTVSKEGPRALYKGASIPAMTW PITDALLMGSVHNYRAFLLGHGFEERIPGTDKERLSILGHTVAGLFAGWTNSVVCHPS EIVKCKLQLQLARPAHIPKLYSGPLDVIRQTVQEQGVFFGMWRGWGASLMFRSYHAAM FGSFEILNRMFKSWDGTSWEIPTELANFLAGGLASNVYWIAALPTDNVKNRIMADDIK NPKYKGVFDAYKKVWMETYDPSKGASWNAAARWRTLFRGFVPVVVRAFPTNAAALMVW EGVMRYSGAK L198_02050 MFSNRLVPSFLLALATTLPFSYSAPFDEEVQTLIESRIAASNDH PTLDIRVDDEYSKLQSHNANEGDGEGQKRPYGLLGHGDVEFKFYEPENYKQETFRVSY FEPPTTSAGYQVDDAWETHSATKVIEAMYRLGDCESHGKRNRKAIRRVDKH L198_02051 MADYKAAKEAFVSDNLGASVSSINAVSLPALATYAIWITLSPHP TRSGFASNYLLNALPILLGVTVFATSPIIYTCALILLALLFHTKSQSSLKQSTKQLKS KGQWLDESDSDEEAAEPASASGSTTVSPVRLPSQVAFSSGVLLSPESAPPISPGFPAG SAQEDPLGIMGVNRRRSLQPGGEGYAFDPLLKGRISPVPLRLGKPRKRKVEEKEETGA KTRLPFLTVYRAHMMLMTVICILAVDFEVFPRWQGKCEQFGTSLMDVGVGSFVFSLGL ISTKTLSPPPPAPLPTSPAMNSFALNTTPSSMTSIITSLRKSAPILVLGFLRLIMVKG SDYPEHVTEYGVHWNFFFTLALVPVLAVGVRPLTRWLKWSWIGVIVSLSHQAVLHHYV QDFVLSPVRSNLLLANKEGLASLPGYLAIFLLGLATGDHVLRLSLPPRGRTVSENEEE HEQSHMERKTLDLIMELVGYSVGWWSLLVLWLFAGGEVSRQMANTPYVLWVAAYNTSF LLGYLLLSHFLPTQPTSSSSSSPSSVPPLLEAMNKNSLVIFLVANLLTGLVNVTMETM YVGKWVAMGVLGVYTAGVAWVGWALKGKRVQLT L198_02052 MSAQAAQPAQEDMAVVAPNAEADPANNAAHAPQIDEDSEMSEEE QPLKKTNGARKRADSSDEEEKPLAKKTKANGAAKRRVVASSDEESDEPLSQKAKRTTK KAAASDSEEEEKPLAKKATNGKSKVRKEIPASSPPAESSEEEQKPLAKKPARRASTKK AVKEESGSEDSEDEKPAKKKPAKRAPAKKVKKEETESEEEEKPLAKKAKAAVKKEVVK SEKGGKKKTKKEEEEEEDKYKWWEEQDAMGDGSSKWTVLQHNGVLFPPAYVPLPKNIK MKYDGVPLTLPPETEEVAGFFGALLETDHAQDAKFQENFFRDFKEIAAKFPPEEPVKI KSFEKCDFRPMFEYFEKEKEKKKAMTKDEKKAIKEEKDKLEAPYLFANVDGRQEKLGN FRAEPPGLFKGRGEHPKKGTVKNRLRPEDIILNIGKEATIPIPNVPGEWKGVQHDNTV TWLAYWKENVNGNTKYVFLSAGSAWKGQSDRAKFEKARELIKHVDQIRKDYTTDLKSK VMADRQRATALYFIDILALRAGNEKGEDEADTVGCCSLRYEHVTLQPPNTLIFDFLGK DSMRFHQVVEVAPQVFKNIKLFKAEPKKKGDDIFDRLTTSLVNKHLNSMMPGLTAKVF RTYNASWTFQQQLDKNTPEKGTVAEKIAAYNDANRDVAILCNHQKSVSKGFDSSFAKA EDKLRALKYQRMKLRLQLFAIDPKLKKKHKELAEDESDVDDEFIERHEEELLEKALET AKKKFDTDNVKAEKDDKPKRKKKDLEERLDEIKAEFKELKKERKSKKLEPKRGATEEK LLAQISKMDERIATSKVQLRDRANLKDVALGTSKINYIDPRLTVAWAKKYEVPLEKLF SKTLREKFPWAEAEAGPDWRF L198_02053 MSGTTATSNASSSSAPVIQDKMDYPSLAEGHAEPTVAHVKVVGL GVNVYGLKEACEQQKPLTMMIAAHGRMNSQKNMKYFAQGILGEVAKKDETHKKRNLVV VTLDQRNHGERIVDKTANLSFDQNPRHLIDMAATIRTSLIKKQRTCTALTCNLSEGGV HDIQLLMDFLPAYLFPHGELTVEEWVITGISLGGHVTWKLLHDDPRVQIGIPIIGLPF ESLPKYLGARALNNGLTWGPPTYPPSLQRMIEPFRDLTFEQKAYSGKKILTMHGKEDK LVPFGQGEGDIKQIEDWAEHGTEKGGICAIDVQDNVGHVCTVQMLKKAAEWTWRYALV DEEVGADSALKEFFGKGNL L198_02054 MPCACIDQRLSHTPLNHGGVLPLPLEIREIILSHVLAHLCPAHL FSHSLISREIYSANMPLAYEKYPVVLTDKNYRQFFYGLLRPTALTDLEVGPPSFILSD ASASLCFTSPDIPHKTKLLARVKSLRIESPQAFYHVTLMVREWPLIRAWLYGEGFKFD VDWRQVMFASLCVFDISYQVVAAVSASKGWMESLRAQKFGRWSKTETGEAHVVCLDIP KGFQSGSEIHKSDMSWVISFAYSLMDTLDYLELRNVDMSKWRWSESAFRGELPLEIKV IMAPECYLKEARPVNPVFPAQQICSIWEGCRAFYKAHEAVSRMYEEGALDTEPRFRTK FVFQNFGAATPNHPTLVSLKESLSIALTGLQREIGRWSLFEPLISIQAPVSRYTEEGA KIWSMEIPGYHGRREGGEDDLRRV L198_02055 MSAPNDAPAAAAEANKLHKDEVTGEMVSKSELKKRQKERQTAAK KAEKAAARPAPVQKEKKEEEPELDATAFYDMRTKEILKLRETKQPNPYPHKFHVTSGG VRKFVEDWSQEGKVEKGETLIRLQINLSGRVYTIRESGSKLKFYDIRQDGQKVQILAQ AQNAPSLEEFAAAHAVIRRGDIIGVTGFPSRTKMGELSLSISAIQLLSPCLHLLPGRE GLVDVETRYRKRYLDLIMNQSTRDIFTTRSKVINHIRSYLIDRDFLEVETPMMSMIAG GATAKPFVTHHNDLKLDLFMRIAPELYLKELVVGGLDRVFEIGRVFRNEQIDMTHNPE FSICEFYMAYADMYDLMDMTEELIETLVKKVTGGSTKVTFHPEGRGEGKKSYDVDFAR PWKRFDMIGELEKQLNVTFPPGDTLHDDNANKFLRDLCAKNNVKCSEPQTNARLLDKL VGEYIENQCVNPSFIVGHPQVMSPLAKYHRSRPGLCERFEAFVCTKEICNAYTELNDP FDQRERFEEQVRQKDQGDEEAQGVDETFLDALEYGLPPTGGWGLGIDRLVMFLSDCSN IKEVLLFPAMRPVVANSVEAVAPSVTATEAAKEI L198_02056 MLRNILSSTARLFSRDQIPSGSRSVSTAVHLGDLAPARGSTKVD TRYGRGPGSQKGGTSGRGHKGQKARNGKGVRLGFEGGQTPLYRRIPKRGFINFTSKTY APLSIATLQSWLAQSRISPSETITVGTIARSNAIHGLSHLHGVKLLGDVDPSLPIPPL KLELSRYSKSAAKAILAAGGELKAVYHNNLSLRKEWYPEKFRGREIQSAKPTRKNDIL FYTNPKNFGYLAEETPTSARKMTPEEWAKVEAPQ L198_02057 MNTVKEINRINERELELGVKGSWHDEYKDSAYVYIGGLAFELTE GDVITIFSQFGEIMDCNLIRDKETGKSRGFCFLMYEDQRSTVLAVDNMNGAQVVGRTL RVDHTKNYKQPGKRNEEGEYEEPEGPVYNAMPPILSDSDDSSEEEEGQDSLDEEDPMA AFIRAEKKAQQALKGKGKDRKKRKHEGESKEERRARKEAKRAKKEGKGKGSGHKERGD ERENKKGGREERGGKREDDGFWSKGAAGDDWRQGRWELEREERNGGSRARGDEPPSRS HGHEREKDERDRRPERDERDRRDERERDRRHGRDDGHRRDDRDRYRDERRYDRR L198_02058 MSFASSSRTILPSRALSALGGTRGVLHRARPPRMPSIPSPHNPK QPLPTSGTSHAIPSPQTPSVLTTASPLLDSQGLVFHHTPPPSAPSYKAGGVPDFLKWT VGKQGVRLSGEEGASSSEVGERVWYGEKVQWGEDVVQKMRELRAQGLSRKEIGDALQI PSAQHRLIPRYAPLAKDQAQLKKEELAEQKAKWGFRKRTLRGIREKRREFW L198_02059 MKIQHGALLLPLIASSAVALPSRRHRPLFRKVYGKRQDAVDTGV NATESASGSSSSTVTESATVTSYVTASASGSGSSNASSSYTESSSYSAAYPSASSNST DPDYSLSNSTSSYTSSNSTDYSNSTQTLNSTLPSSNSTNNNSGYDTQLSSPNNISSEA ISALLNNTGSYATYTYSVYSPETVTQTSTETEVVTATSTDSGSASASATAASYADDGS DDDGDEDDGNDDDGDDGDDGETSNETDAESYWDQIEAAAESYADAYSGTAMPTKTLDV VLEPTQEADGSWEYIIRIGTDGTATAFPTWSASATASSSASSGSSSGSSSDADSQYAS APITTSADITGATATTSSYSNPYLQSATSVYVPAAAATATSSSTDSSSSSDYSSDEDS SDEDSSSSSAGVSSSSDDEDTTSSSADESANNSADDSSPSATSSTPSAASATASSSPY MVPGHSSSSYGDSSSSDDTSSSASASSSSSASAASASATTDPNAVPGHSSSSYHDSSS DDSEDQSSDDSEDSSDDTSVSASSEGTPSSTASYESESTASASSAPFPPWYGGSSSDD EGSSWSNETVTNSSTPWANETTPYDNSTYPGSDYTTPLNGTNTTVPLNESIPINSTYG NSSVNSSVPSLEGGNGTSSSLDSWIATATESGDGLVTVAPTETATVAATLTLANGTVV QPTASSNESVSASEEEASATESGSVAGSMTVSQPSSGASATESEASSSESAIEGIASP SAFSSSVDPTITASSTQASNTTLSPTSSDSVSVAENEDGIETDYVTAWTTIDYTQTLS ASSSVIPTASASGVANVSDSESTASVSSSSEVSDSEGSTPTASVTNATASASSAEASA TASFAEGFAVGLGDASSFETESQSATESASSASSVETQSASTAYNETIPTSYSSYSAS EGTGSISASSASVTESASSSEASVTASATESASELEETACASESAGLEERAVDDDEEY EEVWVNEDEIEEGWEILI L198_02060 MPTATATTPTLPANPPQAWAKPTLSNTYGGKTYEATHPQLFAVE FTAGKGLEEGYASRLVAVRNYSPGEVITPLTNISLAPEKAYSSVQFGPGPRDHLELNS DLLFMNHSCSPTAQVHLPPDQSQWAVHASSHGNGIKKGDALTFFYPSTEWDMAQGFEC ACGSDNCLGQVYGAKHIPVEELKKRSFINEHILALKEGQQGSGVVGARGLCRA L198_02061 MPIPPRPIPNHRHRAPPRPPGSNVPRKSLPSSSAFCSGSGEWRA EMALLPELRASSAGGRFGALSPTAGPSATAGGALIREGAKPSPSSSPLGEGEVHERDG RREVPLERLINDGRGKREKRATTAATLGFDFVPNPSVIALPEMEDEAKPGLLTSTKST APALVPDRASPALSATPTTPALFSTHLHPDASSDSESEGEDDWEHVSMFGRAEDEEGD EERDENVDSDGEEDVIVLGEMEMEEDMSRLDLAPPAPTGKGKKEGKKEKRGKVSYAAA ALGVRL L198_02062 MPPSQSTPLLRQPSYTPSNPAPLPWPLLLPILLLRMADAATYML IFPFITSYIISLNPPPTLIGFYAGLAEGCLMGVEAVCAVGWGWAGDRWGRKKCLVWGV AVTGTFGAVAGFGKSIGWVIFWRAMYGFTPTGVLTKTVVAEIAHPTNRARIFAIYSPA FSVGIIIGSLLGGELAGPARRVGQDWAWLQEYPYALPGVVNGVL L198_02063 MLLTTGTMPLEALIIPIAQYAATLSGRGGWGRAPTWVMLVIQVG MKNFHCMAWPQNDHLIYSVLDGYPRLVGSASAVVLIAGATGRAVGPVISGYVNCHKLS QDSTVLTLNNPLLPPVPTHPSLGALKLPSFRSLHRHHALNYTFPRISPRNIIGINPYR HRLPPSANMDQPPLMTGFIPEGLHKVDDKEETLGEFVGGRAEEGPEGEEDGRAELGQG KDVGYGATEIREQP L198_02064 MSLRQWVQGTVMDVAGIEIERGVQVHASGYLFVAVLSVPPSSFG ELGRLPGKADSLELSLSAVCWTAAVRRDGPWAHMLTIFFKLHRSSSVPRHAGSAGIGY LGYLLPPVTFCHL L198_02065 MPHPPGDPRDPRDYSASSKPKGKRPSFSSSVEQNAAHAVRAFSA CRNCRNKKVKCMPGPPLPSGSGSPSSLDNPGANLGPCQQCTLAGAECTYPPTRDRAAY SRQYVANLEARVQSLETIQARVMPMLEFFESSARRGSFGPLPNLSGGSVDEHEGEDEG GEPPDSAHLTSDVEDAGQITTDDRGNYRWIGSSNTLSLLDSFSGRPTPGPSHSAPIPV DTPPISSTSRDANPYFGPVAGSGVVKALPDVDQVQYPTREKAMEMIDAFFEDVHPCLP LLLEFEFRRDFGLLMEARRGGDLSWGGGFVSVLFAVFALGERAIVNSRAWARETDKAQ EGLDDGHETVLPGEAEAGVIWYERAQILHYTTLKDVNIHQVQCLTLLATFQASVNAMP MSWLLAGQAIRVAQDLGLHRSTARLPMPFHEKQLRSRCWWAIYGLERMMSISLGRPLG VDDQDVDVAYPVEIDDATLETVGSQVTGTPQDLEREKEGATMSGFVALTKLCKVAGRV VHLLYRPLNGRSVSDPSWVMSQQNAINKLDKLLRDWLEHDPSKYKDPSATREVSMLSA ILSNSYFAILITLHRNFLPSSPEYPRPKPPLSSQSLSHCVDAARSVIHIASQSRTLVP PSHHLAVHGQYLWSSAVILLLCEVHARDQVVMEAVGSQVEAARRCLQALEPIWPGSRK LKELLNDVSSRAKEVVTHPPLVDPRGKKRKSSSGKERQMPPPLHTLQGKSTPSPVIPQ LHMSAWQSSQVPGPAEKRQRLYELSDARTPAREDDVTPLSASSSQAYYPFPAYHLPAT PARQSSLPIVNQDMMTPYDMSFDLGGVNFEGLELLQGFSGGAAGFWNTFSYVDGTYPS GGADSGQSSAPMSASASTSGQYMPSGEQLTPGSASGSGVSPSAWQGQGQGQFLQTPGG GSYVNQGEGYVSSDQTGQGQGGHQVQAQAQGQAAFWEQVTGSTFDWQADPNVPFNI L198_02066 MAVLSPQRTAIAPARPHPGQYVSPCPPPLVRTASQPPLSTRKSL GKDSNPADKALSDLNIVDRPSSEGTLTQTHSPLDDIDLSIGVTTLRPVATPFLPGVDQ ELWGPPTRPMTATDLTAPIPSRSRLLPFKVDNKPQPSKDVELCPPSSSPYVRRMDKCC LANMKPASSLEQELAETKLELDKSRIRASALEKDNQRLIDIMHHIVKSYPSSPTPHSS PPSSQSGFSPLPHPFSHSAITPPTSERSVADEPIAKPNLQAPPAHTQVVSAMGAVGVV PSPDESSQLMKVVLTSKMNFDMMDLEMVMPVVSVIATHHVPTESDDFAGLKKAVDALS EKLVSSNQAYVGAITRSIIEFAPRLCTSHWGNHLCRRLLELGSSMDRLNFLLSIVHDI IPISNNIYGIHVLMRIMTYDDLAEVVGQALFEIGLFDVLRNGSRRLWQIYIVQYKQDN CWPIYKRIIEMLNGRWVDLACTNQDGAIAIQQLLDAPKSQDLVTYCWREMMNRISTVA NNPYGCNIISKFLAMPSLFRATCGAILFAYPPVATTHHGITFVNTALARGVGVDFVRY VECLCVEKEGKRPGILAVAESDLGKGHLLYLLSRLTSPDDALRIRHTCQKWSHVLQGS PSGNELLIYLGIVPPNTVFTPRPPSQL L198_02067 MNYLKSITSSVLQSTGVTFPFSIGERIAGVDSYSSIWDVREGVK RDDGTPLTLFVFDSTLPPLNGKDRKTLFHLAKNALKKLRTIRHPDIIKYIDSIETETH VYIATERVRPLAAVLRDWDTGGALATGSGASRAKGKEEWIGWGVKSISTAVAFLNTAP LSLHHSYILPSSIFVTPALEWRLSGFELLTNKDDGNGVLWSYGGFAPGDLGERAPPEV KKGGWGALRDTDPAQHDIYYLATLLFSLYNPNSPLPPLSSQPTPTSSGNIPRSLFPLW KRMLNPNARTRLSTAGFVEELEGAGFLESNSLVSVMKGLDNFELLSESEKLSLLRVIK DSSSSLPIPFQTYRVLPSLLHSLSLPSAPSSAMLPLVLSLGKGVPGQEYGKMVLEPVV RLYQSPDRGTRMALLEGLDKYIEKLDKPTVTEKIWPHLITGFADTVPVLREATVKAIY PLSSKLSDRVLNNDLLRLLAKTQADPEPSIRTNTCILLGRLAPSLGYNTKKKVLVPAF SRSLRDTFVHARVAGLMAFMASVDIWEKEDLAGRVLPGVCFCLVDKEKLVRDQAFKAV DMFMAKITSIAESMPKSSNPDGHAAYPPVTSLSSNSTLPTSSANTVSTASSAAGALAG WALTGLSKGLSKGEEHAEIQSGGGGGMPGGFGLGAPQGSGSGGFGSTGTSQAPSAVAS QTASPRGSDEIFRPPQSTISIGGMKMKPKAKPTKPSASSSKPGLKLGGVTKKPQAKQK SLADQLAEEYEDDDDDGMNAWGNDDLMDVNADQDDWSAFESAPVPEVVVPPPQDYYVK PTPKAKPAPKSTPAPAAKPEPIPVPSPVPPPAPLLAPAVSASPTPPSPPTTLAPKPIK PTPSPIAAQTPILTSSPALSQVSAMAKSPAPSFSSELSAGTATGGGGLAGMSKEEKEK EMARRREERKAKIAAMKKAKA L198_02068 MLVLPGSSALTPSRRAALLKLFQAALPAITSIDAVHLHLVNPAS DAAQETLAADSFERRTLDALLAYGDNEQLETTKAFIDAGLKGDNVFALYILPRAGTIS PWSSKATDIAKICRLSEHVARLERGALYVFTSSSKVALPDLVHNLHFIHDRMTQLVHT SLPAAATVFPPTPPNPSALVSVPIIGASNPHEVLGEANARLGLALSEAEIPYLVDSFL AAGRNPTDAELFMFAQVNSEHCRHKIFNAKWTIDGKDKDISLFGMIRNTEKSCNSINT LSAYEDNAAVIEGYEAPRFAVGGAENGVYTSKLEKNPILIKVETHNHPTAVSPFPGAA TGSGGEIRDEGATGRGSHPKAGLAGYTTSDLLIPGFEQPWESDIGKPAHIASALDIMI EAPLGAASFNNEFGRPALGGYFRTFLLPTKDAEGKEEWRGYHKPIMIAGGLGNVRPQF ARKDKITPGAKVIVLGGPGMLIGLGGGAASSMASGASSADLDFASVQRENPEMERRVQ QVIDACISLGDGVGNPIQSIHDVGAGGLSNALPELVHDSDLGAVFEIRDVLVDDPSMS PMEIWCNESQERYVLAVAPENLELFESLAKRERCPFSVVGTATEEERLVVTDRVLGDN AIDISMSVLFGKPPKMHREAKTISPEREAFDSALFSYLPKYEGAPTTSLYAETINRVL RLPAVGSKSFLITIGDRSITGLVTRDQMVGPWQVPVADVAVTRSSYGFDVLVGEAMAM GERTPLALLSAGASARMSIAESLTNLAASNIPLLSSIKLSANWMSAASYEGEGARLYE AVQAVGMDLCPKLGVGVPVGKDSMSMSMKWAGQKGEKKEVTAPLSLIVTAFAPVEDVS KTWTPVLRSDKGETVLVFIDLARGKQRLGGSAVAQVFKQLGHEAPDVEDAADIKAFWH AVQALKKTGEVLAYHDRSDGGLFTTVAEMAFAGRVGVEISLDAIASKGDAVSALFNEE LGAVVQVQTADLPAFTDAFVKASFPTQHLHSIGRVLGRENQGVSIIHQGEAIYTGTRG QLQQTWAETSYKMQSIRDEPTGAKEEFDAILDDKEEGLTFKVPFDFLPDVKRSANAPK VAILREQGVNGHIEMAWSFYEAGFEAVDVHMSDIISSRVSLADFKGLAACGGFSYGDV LGAGNGWAKSVLLNPQARKEFEAFFAREDTFALGVCNGCQFFAQLKEIIPGAESWPLF KTNRSERFEGRVVNCKVSPEAAQSNIFFRDMADAIIPIAIAHGEGRASFDTTGSLSAL NSSNLVPVRYSTATGATASAYPANPNGGPEGIAGVSTPNGRVLAVMPHPERVVARESY SWFPDGEGEPWKGKGPWFRLFQNAYKFAVEGKW L198_02069 MSNPYEPIPSRSSESSSGSSTSSNANTHAFPPRARQPVRPQTEQ ERERLAHFEARFERPPVAWWKRALLIVGLIAMMWASIWLGRRGRNKKPEIIYASRYSD EFKYRPAASPVITEHLKDGRIRLRGASIGGVGVKENDIPLTPAQKEKKDKERREEAKN AARAKMGLKPKQKKKEPNIYDVHKARAEEAGLLF L198_02070 MAKKTSTAPTAIQPSAGKKITFDDAPSSDEEMLDQDHEDVDPQG LISRRGEDEEESDEEEGDESDDDAPEAVGMSAAQAQEREKAEKEALERLSKAKAAKAR ADAIAAAKLKAQPKPQPAKKGKGKQPAPVSESESEDEDDDEDEDEETKRLRRRMEAAM AQAGDSEEDSDPDSGDDVSGDDQQSFHTDSEGEEEEEGGEEEEGYDTDVSENLSNIKI DASSKARWGQMQKMMEAAEKRAGPAPSSSKAAAKEKVPKRKAAEESESEEESEESEEE EDEEAAYDLHPTAKPLSKSVLQAAAQAEVEKKEREKEAAAKKAAGGKEESRRRKKRKV AKEVTSKKVSEKTTLHLLAPTLTSSDALPPVLPAKSKATTAPGGKASMNRFMKRAVKS AGAGNTNGKGLEGRKRAVGHALR L198_02071 MSDPAAALEPFLILVRSTKGAAAAKIILDATAAPGVYVFGELLD APNVQHVSGTPSYKGHIELLRLFAYGTLSDYEKNKSSFPELKEAHIHKLKQLTLVSLA LHHRSLSYDHISSALQLESIRQVEDTVIDTIYAGLLTGKLHHDQKVLHIDSVSGRDVR PEDLEQLQQGLANWCQTAQTLLSALDNQINTLRTASSDEASHNFAYKIHRNEAYRNVQ AELAHEKASGGFAGAASREKILGGFGSGRGGEHGWEGLGGGERLGGMQDGGVSGFLSN LQNVGNLGGAFTSGRKWVRSD L198_02072 MDAHEPLHLAQAVNDMPFMDEDLSDTQHFFQSPPPEDEADAHHQ QQQHDLPEVPGSGLDENRPLTQEEINAAIKQSILKAPEHVRDEMNHENNLGDGSELVT SSGIEADAQHHEPTPTLNSSIPTGHDSNDVSVSGPSGLDPLGSEHNPIYNPTVYMAPF SKPERSQEDTPQPAYYVFDNRQAFNAWLDGEGSWCHFVQRRSTTPDKRSQERLQTRIA KHNKKLEGMSEEERKLALPLKTRRRNRVSPVAEKVTFTCHHAGTYNSQHSSNLPKEKL RMNTKKSVKCACPSRIVLSEMQAGDCRVCYHWKHEGHDPFTEGDLDGGRMNKAIDEWM VARINEGKTPEEIRRLLDMDEDAKKAYLDKVAADPSQIDPNLPPPVALVRETKFRYSE IYNRFRKLKGPIKETKHQKAATASGSKKAGKGKRKAGDLDAIPSPTPTSDGPELLGDS SKRARVDGPDPNMFIDPSLQSPNSLARAEAAAEHTQTDALDAISHAANAVADTDHHHH LHHIPSLDEHGHEHGHSHGEGDNEDEYASLAAPHESLARALLSLPGAGNSSNHPSTMG TAATAAGNDNPDGNLHSTGAGTGIGVDLGHGPALGHGHDAHHHGQAQGQKDEDLEAMT LEEAMSFEEAMRRLAGEVAGVGEEGEGEGGMEMGMEM L198_02073 MSSPASSAGGDELSGQERPSMRSFNGSASNHSTARPKHRRQPSF PSTWPAGDSDIHSDRTSASTGLHSNASTSKSSIASRQHVNPNPPSRHSSPQASFNLST GQPLVNPPPKTQAAFVGKLYSMLEDEDIAETGLIAWSAEGTTFTVPNPTEFSKIVLPR FFKHNNWQSFVRQLNMYSFVNDIYSTSTDPQAWEFRHNLFRRGEQHLLPSIKRKSSRP SAAEGAPLASPTDDSGPEVPKAVAGWMRDVGQAGYHPHHHVPSPNAASRAPLYPYTDA PLAPPKLDRPPSRGAPAWDPRHPGASQRMPPPDNQVPVRYHPDPSRPPLSAGRYMPPG YAEPPVYGHPSQMVETLVSQVMLLEDKVQRLTDVLNNDRIEHVRNNLDFTSYLLQMVG WAAGEQHTLSRQNADMRQKYEAFMASDALAIMASGGGGRSEEREGRERERERGRFGFE TPPPFASHRSAVADPRLPQTSQSSANILPSGQRPSSLPSGQHITPRTSPLNTMYPETH HLPRPSTSDSIREREAYMTAYPPPLPPHTHHSGMVAGSLSAGPRVPINPPPFGSGTGL AVSVPPPGFRTGASTQDSSERLKPVETSRDSEKDKSHRSAGVGSNKEKDRRKGVDMSF PSTAVESKAKTGLKNLLN L198_02074 MDIFIDDASPQLVYRSNSNGWITDHRNGASPRTSGMTNTVSLHS TLPVPKHGDSLTVKFNATTVSLYGAKRPNHGIFGVIIDGRDEQFVSGWAEESVYGQRV FKAVDLPAEKEHTMTVTNFPSKTTGQTDDGTGFWLDIDYIVFHSSLDGKEQVFTTILE DTSPAITYDDAWTPDGDGDSASYNQTEHVSTTQDSGFTLNFNGSSIQVFAAVGPNHGQ YSVSVDGGEEETFNSSWPETVYKVPMYTISNLPDSPHTLQFTNLEAKSLGFDYAVINS TLSSSSSNNESSPILQGTTVSSASESAASEKASESTRSVGAIAGGVAGGAVGLGLVFL LAWVLFWRKKGDHSRLADGDGDADGLGRRSSFYYSKPPQQTRSRSQKSTRTTVSTATT SMRSMRRALVKVDLAEKSDDDDDSDCSSASSRRDGHYSRGGSVRESLHAPLSAPLVTP RSGGLEAQMSRPAQPATDPHYPALASSTPAPDSRPFLTLVPPPPTSNATSYIPSAGPS RGPNTLGRLPFSAPAPSTTAPSVYSQEGTYVEPPPEPLEPRHVRGGNRQGQGEGERGE LLEDKYLSWGSRASGGSGGLNKLQRESAYALSTPGVSQSRSSTRGTYTLRPLPRTPLP QTNGHGNDRDDRPGTAGSYRSSRGSRPGTASSQISAHSLHVTSSPSTQNGASPVSPPP KRTTSPTPTVSATAAGNISSTELAYKAELVSLPYTASLPRNGRAGDVERAEERIKVPG REVDMGPLPAAMAVAAAGNGGSLPPGYFEALRGELGGGRR L198_02075 MNIQLDDVSPQIAYYAAAGSASGWIVDHTSDKASMVSSYSKGTF HGTYGDGDYMVYKFNGSGVAIYGAKRDNHGIYGVSVDGGDVLMSDGYSATTLFKQVLF SRNGLDDSEHTVTITNYPNAGTSAVAGNHWLDIDYIQSTPPSSTLLFPETDFVSQATS STSGQVYTTMIDDANPVITYTSWSTYVDSNRNVAFFNLTDHTTSTEGSIMTVPFTGSS IQLIASLNDVHGNYSVSLDGAEAEVFNSNFFTPIYQVPIYTASGLDEGDHTVTVTNLG SGTRSILSFDYAMVNSTSPPASSTSAIVASTLASTAVGSDSTTSNGAGTASSSTSSSS SSAVVAGASEDTNGGSKTNVGAISGGVAGGVVALALLAVLAFWFFRRRRNSASSSQFS EDGSREGSYFDYAPGPAGAHSRKTTPSSRNMVDLGSSRGSAGRHTPRSMLWSGGTPRT PVTPFVDHPNQPQQHSYDERSYHPPPSSGGSSSGGGTFLYNSSAPLSPGSQDATLVSN ATANSPFFPSMPPPPGSNTSSYPRSAHQSSRGAMPATFTPFTGTGGASETLMQQGQGV GPATVSTAQLSEKSRPGTGGSGGAPTLPRMNSMGSFSALSHFTAEQAGGDRQPPEYVQ AVQPRGQH L198_02076 MEVIINDVSPQLEYYTGESSTSGWIVNHGLNTTYPDTYTSSYLK STFHGTFGDGDRVVYTFNGTEVGVFGGKRPNHGSFGVILDDEAEIVSDGYSEKSIFNQ ALYTRSGLDPSVEHTITITNRPNDQTSAAAGIDHWLDIDYISTSLPLSEGTQLQTTFI DDTSVIIMYPDTWALFGSGDGGFYNLTDHLTSTIGDEMSFQFTGSSIQLFASVNTDHG NYSVTLDGGDARVYNAENWELIYGTPMFTATGLGEGNHTIKLSNLGGGGGENVFGFDY AVVNSTSSSPSSSAGVSATTKVISSAGENPFTVTEHQSSSASPSPSSSASSSNSTAIV GASSDSSSSSTNVGALAGGIVGGLIALSLLTFLAFWLLRRRRRQQQQHKPRVDSFYAG WAPAPGPPAGEVWPGVYSGGGLGTSEVREARPGNSNTVTHPSMSSYPAPSPPVAGAYA RPGTPCSTPSSHQSYPQSPSPSQPQQEDSSPLFFAHVPPPPTSNASSYPLSFYTPSAL PTSYAASSRASEEQESLPRGLNRYQQGFGLGGGGGNALRLSEGPDQPDQEGGGRGVLP PDYAQATRPLPGEMPRSGRP L198_02077 MVCVFTFNEEVQEEELMDGCTSSLARVDKAGYAGPLGTIKGAGW VTEMIARLNNTYPTQIASINSTLSSSPSTFPLESPIYLGFGHDTTLESIITAMGLLRP EEAYSGNMTLEKIDEGRKWKSSVMAPMGARLVLERMSCSGSSAGGTYVKMILNDATLP LKDLDACATSWGAVQGLCSLEAFNEGQAYALAGAGFSNCSNSE L198_02078 MVQVYMRHGEREATGKKQKGIAAAAEKVAGHWDCATDDENLKYL STWKWTEPTAYLLPLGSATAFAAGVAFQKVHHTAQSFTSGFLGPNYLSRSTWQITPNS DSSFNSTLSPHNCPALDTVSYSHIQRLFLSQSS L198_02079 MDADEQVSEEEYKALLSEHRAWQLVRSIYENKIHRADPGYELPD SARQIVENPYTSPEELVQSMIVEDPELSLWATLVEHLQTRPLLTASPYLEIRHGYLPS TLRQARTNPQRPSSLDPDFTLRDSQGAALAGEDQTYQPPFLDLLFNLVRYGELENAIK VCEQCGEPWRGASLMGVRRWAMGGMVEGSEPGGMTGNRYRALWKKSCRTIAKNHTLLP AERHLYAALISDLPTLLPACENWEDYLWAHVQHRIEARLEKRWHELGGFWEGEAGVGK DQAEEVEMARGGLEEVFESMRGVGKAGIADEMTDPYHVAQQMILLGRTDALFHSFADQ IIDLGEAMAPELFSSLLRFFTHLAIVLRTLNQPVPPSAANIIIQAYLSVLEKEGNDKL VAMYAACLREGSGEESYARFLWSMDPSASKEARSEALLRAQTHSLDTALIARETVRLS LEEAIPTSLSTAFVEPDILPLSVGLTERDVGLIRSIEWLTFIGETGEDVVVLGNQLVR FFLSQGQANAAQSLLLSLPSLSQEIASAAHLRTHLLELASYNRLFTLFTSYTSLSDVI LRKPLPTAGKVQVHSWRKDVGEAVEEVWKGTVGLVEDGWLELPHAETDDEEDEGEGEE ERQRQLKLIRHIFIPDLILRLHSTLIAQSSLFPTYLQKALQLATIVADAKYRVYEGFL PLSATSQGAGAGVGREKVPRLEVYLDKMREVALEALKSGSGSAFRVNTL L198_02080 MVHEHCQPSQFVLLHLHHLLFCLQSCAQRTAGPLDCPAPPLSTS PPPTRQAYRALAAITAVTRSKRFLPSPTPTASSAQPSPNKKLKPSHKSTKPKGKKPAK EPTPPPALLEAAPPTPKELDVEEKNSMSSDGENEDFELEDDDYDEDGFGTEDMDSASE PDAFDALSPNVDDGPPKKPYDVAYKILDLDQITAMQQGMIADVVSLLAVPMSTASALL RHFSWNREKLQEAYWTDPDSALLAAGLDAPSSPSQSSKSLPRSSQLRSFECPICFSDY EGKSASQDTFSMGCGHRFCNTCWSEYLKGKVKDEGESGRIQCMESGCKHVINAEMVDA LSSGDVSRRYKELLVTAFVTDSPDLRFCPHPECQYITQCTQAPARMLTQLVPTVECLC KRPLCFGCGYADSHRPVICKIVKYWEKKCADDSETSNWLSANTKECPKCNSIIEKNGG CNHMTCKKCKWEFCWVCMGPWTEHGTAYYQCNRYDEKSGLDARDAQTRSRADLERYLH YFNRWANHTQSAKLDTEFYAKTERKMEVMQHQANLSWIEVQFAKEAMDVVVKARITLK WSYCMAYYLQRNNQTELFEDNQRDLEGAVENLSFLLESNIGDVEEIAKLRLDVTNQAA YVQKRHEILLDDTLRGYMEHRWKFSVDV L198_02081 MNITLDDTSPQFRYFSSGNTWVQNHAADPAVDRYYKKTFMGTHT DGDYVSLTFNGTAITIYGAKRTNHGAYSTQLDGGSTTLQIGYLADVKFQVPIFSAKSL SSDDEHTIILKNLPSQTSVSGTNNTEWWLDIDFAVITTSTQGKVWTTTYDDSSPALKY IGSGWNPNTSAGTDYFNRTAYITQTVGDSVSLDFNGSSVQVFGGLYNDHGNYSVSLDG GQAASYNGTFFNLQPGASLFQASGLDEGPHSVQLINLGQGPKGKYLDIDYIVVNSTVD PALSGGNNTEGDSISTSTASPASGNSSSSMTSGAIAGAVVGGIVGLALVVVLAWFLFR RNKGAPGQAESPYLKPGRLDSRMDLNGDEVKPFMSNDPHAPRAHPGAAMGAYYTTLAP HNPANNNHTYPLGPLGREDHEERTPFLNGIPAPPPSNALSYPRSDVPPNGDGWPPLPS SEGANNSSSGQSFKSAGISLPYTAHPPSQSPPSSLNTNPNPHPAPASSHQASSPGADL PNPHQPYASPTSGDARHSQASLGRMYVPGREQDIGPLGAGFDEAPLSEEVLPPDYSQA TEPLPGQRRV L198_02082 MNITLDDTSPQFRYFSSGNTWIANHTTDPSTDLYFKKTFMGTHT EADYVSLTFNGTAITIYGAKRPNHGVYSTQLDGGSESFQSGYSSTSQFQVPIFQAMSL SEDLEHTILLKNLPSQTTVSGTNNTEWWMDVDYAVITTSATGKVWTTTYDDQSPALNY IGSGWDPYAAPLQPADYFNRTAHVTQTVGDSVSLSFNGSSVQVFGGLYFDHGNFSVSL DGGKTSNYNGTFFELQPGTTLYQATGLEEGVHNIQITNLGQGRKGKFLDIDYFVVNST VDHSSSDNSTDGNSTSTSTAVPLSGKSSSNAGAIAGGVVGGILGLALVVVLAWFLFRR NRTKLGGEESPYLKPGKLDFPMDLNGTEVKPYISNQQEHHILPIGALPVHNDPPTSSY NGHVRELSSNTTTGHSVHQLSPTGRDTNARGSFLNVVPGPPPSNATSYARSINPPSSI GSPPPIPEGYTNPFNTPREQGMARSEGAQTFGVGGGTSDDGHSRGSGKSAGVPLPYTA RLPSSRSPTSVNTATASIPPVPASHQASSPGADISNPHGEGDGLRSQGSIGRMYVPGR AQDVGPFGAGAEVEPEVAAVLPPDYNQATEPLPGQRARK L198_02083 MNDDDQHHTYQNYPQYDGGYHHLMYEPDFGMPPYHDVPSSATHH QEGIPGSHNHDFSQYVDPQLMNASFPVGGSALNDFNEFNNLDSWGQIVPAWQLETADD GQGAHMDGPSSVTKSSAMVQGLSTSAGSIHSNIVVSEGKTHQTSPEEEEGCDDDTEDE DWSARENELDSRLKKSQVKREILPLIQSES L198_02084 MDDSNNRSYHPSYKHPSQPTDASVDLSTPYTTVTSRGIKNYYPP VPLEDVNDGFSATVGPPPSLLPTTDLNQNLGPRCLLSFVDPSLHIPQQNASSYHPFSK PQEPAWGTMIPTSHFPARSLSSFASATATADDHYGKSSLGSEPEEHEDDDPDEEEMVR LRKERTNAASVRWRRNKKIKDAQLRSRLADQEKLIDELNDSNSNLTTQNLELTRKLAL AESELNGWRSGYATSRRAQEEEECTGWRGGARPRGGYAVDLARGARCQP L198_02085 MAKEDQPHHEPTEPSAISDAAQHSTMSGSEQSRARHSRKVAFVT DEGEEDEYEQEDPDARSRRREKLKAAATKYPTSQEERQSELTQLTDEQAPTAEGEPSA ASARGDEEWVDGQKMLRSDIDLIEVVWIFDVETRGVVRPTCHT L198_02086 MSESEASSSRSASEAPRSPSPAPSNPDAPEASHNKTFADLGISP ELCQACASLGFKKPSDIQAESIPHALQGKDIIGLAQTGSGKTAAFSLPILQALWEEPQ PFFALVMAPTRELAYQISQQVTSLGSGIGVRTAVLVGGMDMMSQSIALSKRPHVIVAT PGRLMDHLENTKGFSLKSLKYLVMDEADRLLDLDFGPIIDKILKVIPKERNTYLFSAT MTTKVAKLQRASLNKPVRVEVSSKYSTVDTLVQHYLLLPLKLKDAYLLYLANELASSS MIIFTRTVADSQRLSIVLRRLGFPAIPLHGQMTQSLRLASLNKFKSGGRSILVATDVA SRGLDIPLVDLVINYDMPTNSKDYVHRVGRTARAGRSGKSITLVTQYDVEILQRIEAH IGKKMSGFTADKEAVALLAETVARANREAALEIREAGTGGAGGKRGRDGGRKGRGDGD DRDRDDDVVEAGMPRKKNKFTTGGKKPQQKGGQRGGRK L198_02087 MALPRGSAAPPPMAMPITGYADVSELDKYKLVSNIGKGSFGVIS KVQRVSDGKEFALKQLDYSKMTDKDRKQILAEVAILESLKHRNIVQLIQKIKDPKNER IYIIMEFCTSGDLGTLIRRAQRNNSSIPEDKIWNIFLQIVLALHHCHWPAERQVKSGG RTSSAPVVPDGGARYQVLHRDLKPENVFLSDEFVKLGDFGLSKDMGTASFTSTYVGTP LYMPPEILAENRYDTKSDIWSLGCLVYEMCALNSPFSNAQTQQELITMVKSGKIPSLP SHYSPALRSVIKAMLTLNPAKRPSTKDLLETSEMKLHRKLFTVQNQTSLLFAKRDELK HFEDQIRSRSLALDTRETDLASRLASLEAREELCEKREEEGKETQRRLNLAAESLRGQ WERFREEKEQLEKEMREREARGRTGMGLGLEDVPDEKCQPRPGLTQVSRPPLEERNTL PTSASARFSRLYMDTPSKIPLPTAIASPTPIDGRFGALNLQPRPATPLRRAATKSMGN LAAAARAQATEQEAQSQQRTGGASGWNGASQATPARQHNFQRNQRTSIGSPSELQSVY CEDVSMASAVPSPWLVRPRRSSIVPPTFHSEHSSDSLAEANTATRPAPPPPTLIPGPS SGFVWKEAATPAKWQADDPDLPSPFIRRPISVPAQPQLLPATTSERQPLGAISPQQAT ASGPTSGTASGGLKKIPRSKSGNLHQHVLKHNAVMAGRTSGEGVGQKTRVGGSRVG L198_02088 MTDFTLNLHQSHSLITTLLAHIHAFPTERLLYHAPVLDRIYIPT AEEKDAERVEERRRVWRQDEVFGLRKFVDIVEHHESVLRNMIDHGIPPQEDPLPSVQG METFWKILITTEPPIVGVKMPMGGNAGGALTPKGQGKGQQKKGGKKNKDCWVDVIARG GNEWVRVYSKKISHLLAEFREQDSYVNSDFDSSSEDEGGASEPKKTNGDHDPDLSNSL MQTAHDLLRASASAPRIPGASQPALTIWLTRIPDSPEEWPDRRIPKTLQALGHLGITV RHLSPPALDTLTGYPVPKRLQPSMNINFDITALLGLTSDVLHYPLPKSREEAGARSLR PAGELLGTGSLARGRDGTGRGKGKGRKETDAEGEEGEDDVLRGQSQNSRELYRCILEE MGRPWIEEFNSVILAAWQSHPSYSPSSSTPPPCEFWATKQAAQYTFEALTSGPAHGEG MEQRRMKRMLGYEEGDWLEGSRYEGKGGILEGLKIRVFDDEDDTVGEQVDKTGLHKTL EAISGDFLQDYYASLAPPPTSKSSKLPTPSLPNFLQPKKLPSPPVAKITLPFPVVSLH ALHRGAKEGMTTVMMGTATFKEVWGQGRWKVRGWERGSYDLAAVDDGAGGEEVGEERK EKGSAAVMIFPYRVFGEGKRVRFEKGDYAYPAYPNAE L198_02089 MSHRSQLSSLEAQLSTATHELELATFLNKGILQTNTEYKLRIGE LESENAILRTAEGKLGDMEDFLEEREKEMEDLRAEVERGEEQRERMEADVGQAIERRE TAEKERDEAVRELDGERRGREYWERRYAELAVLTDQYCTQIRKARGEYAELDVFGSRG ALPSVPSSLPKERGKKHIPAANGAISSSSKKPRQPNTTSSSASRPSGSKSTHPPVASS ESPQYHSLQNTSSPPKRPVREAVKKRRRIAESDDEYEEEQAEEYIPSLPRGVKQEPVD QQTPSRQVQPPAQRVPFSSKSTKSRNNPTYSDPRKRTAEEILGPAAKIKVKKEPVSQR YESTEEDTDDDPL L198_02090 MPASPSHRRPSSRSPRRDQDRGDRDSYRRRSPDRRPKDSGYADR DRIRDREHSRGSREPRMNGERDGGYRGGVKREKDGSYGAREREGERSKDRKRYDEDAG RDGPDGPAVEPEKPNFGTSGLLAKETNTVKGVVVKYNEPPEARKPTKNWRLYVFKGEE QIDLIHIYRQSCYLIGRDEVVTDIPIAHPSCSKQHAAIQFRQMTERNEYGDVTSTVKP FLIDLESTNGSFVNETEIPKTRYYELRSSDVIKFGTSSREYVLLHENASSAS L198_02091 MTTPPVPKELAALKRELQLSHITADALLTAVQDLEEKQSKLETE LREVKDERDVLRGENKELTGRFAILKGKMKGIAKEMNGLLRDPVPPVVRLLGDGTEYC MEGKPTASQTAKSTPSKKRVVGVVIESKSKASPALSSSSDVHLKHGTATSTATDPSQP LPRAGKLEGIEKRRTSGKRFLEQIEQDSELDARERSGGKKSKDKAIGHAHEMRGQSIP LPAGNIQWRPEVAAKRHVRLVILPGAGVNADMLPAFQQNSMPHVRAVASAISKNLVYF EPIPLSETISVGGADNGADMKEADELDKNVEMALSNESQADSLVELGESASSDEEM L198_02092 MSVTASSLHSLTNDPSTLTLSPGVQPTDLQRQHIAVVLDLFQAK GTKAKLDDNFTKNAVYEDLFASCKTREEVGGQLLHLPTVLSSATTQSYTVSQITPNTS TTDGTGRTLSTDLISIPIKHTFEFKVPLLPSKLKSITMDSKLEIYSSKDEEGGKIVRL QDRPKENIPDFALLNWMRKFNAETVPKVVPLPKNDKEDAEKALKQQI L198_02093 MEIPSETWKTKTNKVAQTLRIPTSWSGPSIVSPSLLSDALWTVK DGLFMCTCRTPHNEDHYLVEDRIVKALQNALLVAATNEAETPRCLEKKRIPTRSAALN WDKSFRQDEFSWDKEGMRVRIVTLDEVAMCSTRKLESLKLVEMTSLKCPDKHLDCVYV CRVLTKAKVEDGIVFQVEDPQGRVLPVQVKFPTATPCYALNLRSTLQEIYPVGAILAI REPEVRTGMKGGYVIIVDVPTDIQELHRSHPLAQMFKGVAPAALIHELTWEEVKDLGN KALKAKNPIIAAKHYTTALRYPEVTSDPSRQLTLYLNRAQAHLDQKLYGTAYRDCFHA ERFVCDPDAPATYAQLAKLYWRMANAAYGLRLWSTAERLLDKCHVYSEVLEPLTAMKA KLETRKIERQYGHYNWTSILQDVQRSNAPCIDVADYQGPVDIRIIPKRNTRGLVSTRA VRAGELLLVSKSLLTSYSGDAGNAITPCIDHLNEDYIKPSSYSAISRAVHQYLDDPFS AQIFSSCDFYEHGALSMLPTWQCAEAKRLEELFKPVSDIDLRRIRNVIRDIKVHDSPV SLKATVIPRSTTNEESREWPLMVFGMPGVMVHSCRPNVSIKVWGDVAVVRALYNMPKG TELCSNKFDTSLTYTPRSEVAFEKGFKCSCSLCRSDAKTEYDLRFRLLEEVVPKLKAD FHTMLMAKMDTGTPSGWNKGKEWVKVWMQMERKLMSTYADSNKWMRGDLVETRKMLMA AWLLLDRRKAIQAMKDAMEANGCVWSSADERMSHGRLLERICAGDDSLVKDLLYPVKS FSRIKPVSTETRAFISFWARTAFWAHQILFGGDLAFFKYRFSSELPAEQEGLIYWEYN EETKKYGNGIDVPANNAPIDVKFFQGGKQQIY L198_02094 MSHTENITIENKAGSVGEGGHNSKYAYGPWEKLKFEISVEGEEP FTDDAIYHFVDDKDAEPGDDARKSEAEVQGVDESAVSITSLTRYQSVPGTEDDEQTWK ALSYVTGGAPEEIKITNWSQLCICEKPDCTLRLVPTELAEYIIEDISRDMSVAEV L198_02095 MSDTSSSVQSEGAAHFWRRYELSVENVGPGVDFTSDASTAPEEY HKEVAERLEIAASDVKAQLMIEYEANSKEGRWDENVINSQMCPSGKRVNLCSYLTGLL DNERSPPPGDSLCSYHNHYEFMDEKCPNSSVPSELAPVIVGDLLKENTADIITDVEET FQKESFGWSHTDVSRTSEEDKPSNGTAVFPTVPVRHEEEVPTRSL L198_02096 MSDTSSNHSDEDVPQFWRRYEVTAPEVGAGVCFSNDETLTADEY RLQLAEQFEAPPEDVSVKLTVEYEANFEKGRWDEKVIDNGIQPSGKSVSLCSYYNEVV ANAGEHQPPGSASSLCAYHSDYETRNFKCPNDDVPSEL L198_02097 MSDFWTDEHYQDVLLAADSVRAGGHGSSFAFGPWERMHLAIDKG GDAPHYEYDVFNFKGVGQQSAPPATRIQEHNAIIHDVEPSAVSYTLLSRYTPNPELRT WDIAEFSQLGGPHPLSGLWSGLCDCDQPECVLQSVPTELARELVNSINTDTLHDTELC EPRPAGHHRSLFTKLPWEKADIIVTKPDGTFYTESGVIFSTVGYRDDPENAARDNAAD VHDVSPDDVYATTLARYTPYPALRSWYIETFSLYERGQGAEEVARSRLCDCPNPRCTL ADVPSEIAESLHTAIETGD L198_02098 MATHVLDSGPLTEYFRDELENNQNSSQSLEGELGVLEPVSEDTE EQNPGRSLHKSHSNLTLKINDSMLSPSPIPGLFPIPESAPDSFAEQFKYLICSSGLLE KDYVLGVSGGVSEADAGLEKSELWIKARQVVEVAKERLDLMAAGACLVVGLTVVLGVW VFAAILLLGGLAAVGYERYGNGSGSISAPLTSSPSSTPKAQALASLTTFLTRSHTLNS TLAASLGILQSEPNSRTSHQELRVTLHRLTDNMTDHIATATSSLLEMADKSELAVLGE MYDIPVVGSFFYSRRRGQEISSSEDEFREQSVPSPRRYSSLRPLSTPSPRRTAIPQFS SQSFPRRHTNNLSIMSLPADPNDRFTQIPERTPRLPKRASYDRLSSRRSWGSQSAAMM YERRISEDGTGGEEGDGSFGSGESSEEGDRTMLRAFKGGRSPDSSTSPEMEGFRSPTM PVTPLSKLRPAASPFRHIPSPLSRKPAHLGEGGMKPLRTAPLATQTGSSSLLPSPFEA DIFPTSASASASPRPTEPFTRAFQDETDPGKKRRSLQNMPYISSDDDRPGADLTRTRS MPISDLQALREARAVVGDSSRRSSLNPSNAGSASTGLGLGLPSTYPPDRRSSLISVPS PLTRASIQRHNSVSPLTTPALTASALGIHLKRRRMACCLLGLRFVETEGRYWEEVRDE LDELVRRMNEERENVQEVLRKVMKELAVRETLDDLVLGQAQGAAQMGQSPVGSSFPIA STFLDSQRDFAPRTSNEQKLGESVEKMMESMVRAWGELASIKKDISEQDGEGQKMERW LKVRERLGDAVREWERGKEVISDMSQKSKDGEEDDLREADHPADLPEFTRSWDTSQTS RSVSLETDRQSFNDKVRLAEYPSNSPSPDGLEPLLEDLPPAGIDTVFEDISAPALSKS KALLNTMSREERIALVKQARERGVKVEDLLGVGHGQGEKVGEDVRMRGGEIVNELEGV IDAIRRMKQPATDRSTEREGEKEVEEISRSSARAPSRPLPPPPQSTPSSPSHPSNPSL PSSHSHLEFDLAELRKSFRAPMLEAKVGREDHVLG L198_02099 MPSSRSNTRTKTKPRPLSSLTRSTPLLLLLVLAKRATGQYIPPQ AAGLRRAAGFGRDDSGERWVEGMGRDLEERDDGGDDTQSSTSRSLYLVSSSDFCLFGP PSTSSKISETGGNVVSWCTREQHGNRLIPDGTLRGVTYVKAPGWVQVSGTGDFTQINI AEGDYGGQFDSGDNTPDGAVLYTTDDNQAADSWITMISADTFCLRACSDPTYCPLGYD EMGCYFYTSNGVGWDGVYQDCAGDDGDPPGVVDGVTYTQGNDPTPTPSTPAVSNCNPG SSVANGQSATAAAAAASSDSSESGSSSDSSGDASESGGGSTSWVPVQTCVPCTDTASA SGSEETGSGGASSAAGGASSGAESGESSAASSSAKSGSSASGSSGSSAAGSASGSGSE AGGENGGTQQVGITQLSGGSVAAVQISAASSVNASTYSGSLPSPSNSASESSGSSGGS STITSAPASTGTSAAGGENGGDALGARGWLVKKDEETTTSDGKCCFTTWTPSVVGGAQ ETGAASSGGGSSSSKGNSSGSGSVVLSGAKTAGSSSTGVKDVSESSTSGSVRASGTLG SESSTASGNTSGNGTNDTSGALRLEITGIGSGMGMLLWTAGVVVMGTVVGGSMVL L198_02100 MLSSLVAIPLALLSITGVSAQGDLSVANNVTDLEGTWSSNSAVS TGGNVVIPSEMTFNYPNNSGISYSFTNDGYFEELVYQYNSNSSNPACIQAYIYWQHGT YELNNNGSMTLYPFSSDGRIQVQDPCAATTNIITYYSNQVMFTDWGITVDPSNGRYVL QMNAFDQAKIARMYLIAKPPNMLPTQYITGTNATGQTNSRKRDLTAPVRDFFKRSAAE PRMVLGNGQILGMVGAVGTVVVGMMALF L198_02101 MPPRRRASTKAVYAPPPAFSDDEDEDSDDSAGPSARPESQARGK AKANGKGKGKGKGKAKVDSDESMDSGDGSAFEPDMSDAEAVKEESDPSSASASGSGDG EGSEDGFARDPSEPPLRSIGSLLPPSAPAKGNKRARESTAKGPKSRSTKANGGKGVIT LPQTLSMGESNSSNTEILAGVPAAYIKEISLMSELLARKPQDAAADDSAKGQSKPKGN YSKLGALPFPHPLPYSTFLETDPKFGSLPNPPVGRVVGQRDGEGGTEKQQRARFNKEA GRLALGVPWEMWRGDVWWPEMFVVGSGGKNGKGKEGDWLAFDDVTLGLQNVGRKKKED LTFLNSEQAKAYLPSPDEPSITIHVGPRTTQSIVEMKAFDVLPIVETNPIVPQPAFTF YAGGPVTSIDWCPMPASKAAHFKNTQYLAISTLPHVNNSPRMYERAPPDSRGSIQIWA LVPPRQDGDEDTTMKEGGSSGQGSKGEMDGSLKCVLTLCIRGGSALDIKWMPIGAWDD VQTVAQGGYGEVLPKLGVLGAVQVDGSISFYAVPHPRALALGESGEPLYLELDKPLYK LQLEDAMFTCFDWLSGSTIGAGLDNGSVAVYDILPLVASPNPNPNPPLPILYTSVSSS ALSSLTASRHPPHPTQLGSPATMMSVGGWDGSFVLLDTRDGGLGMLVRRQNLPIMCTG WSTFLPGPMMGNLDYNVEITTLGTKSRLMNKGRGTVLGGHKGTVLSLSASVFHPMVMS GSADGSVMMTNQFDPLRRVVKGGRQLESHTIYTLSHSPLLNAYRLTDDHLPASTPLAN LTGKAPKGEGEPAYNVKHPAWDASVGVGAVRWNCAGGLGQAGWCASGTGVGVGRVNWV DGRFLD L198_02102 MPGPRSNRPLHAQVEPLLIAQSPAGPFRHHIAQASLPLWELLQS ASPKSKRGDEQIAVSIDWPQDGRLGKGKTRNLVVWVEGGNDADEKRTLLIHPSLLPPV CPSPLPVTLHIHQPVPLNLAILQPVYASPEDTPSTIPSEDDFSALYLEDSGYPIIRQN DIISLHTSNRRYRLLLLEPVQQGHITPETNIILSSTPFYDHPSFLDDEFEGGEGMSES SFNRTHISLANFDPDAFLSGSLSLSLPREDLPDGDSFPSSDIESEEDRLTISSTTSGS ITPRPNYLNALTRQSTPPAPVDQVLGRQLDSLGGDDDEAEERGVRFDAVWAGGKGVEI GEGEGSGSGEDVCWLGVGGLGRAGIFEGDWVVIKPVGEGQGNSRLVKALAWERLDQVI PDDGLPANPILLPPLLYRSLLPSLPSSSYSSSASSSPSSTSKQLIVLPTPFAARTPTL PIAKSITIARIATAEGVDKRYERSWLDGQGDVFGVRANGRGWEGERKGEEGNKEGKEE GMRVVRRGDILAVPGWIGKPLTEEERQSYPLLLPPSSSNPYQTHQKPRPSTLIYFIIT SIAYDPLVPIEEDFRSSVVSKARAGELGCWAGGEGEGRVVWSGLDRATVGGRGRDGGW FGMPPSPPPFSKQALLKLNDLLSSTVAHPALVYGIQLSILIKGARGAGKRSLIRHTAD TLGFNVVDVECYDIVGDTPAVTAGTLQARLSKAQSCAPSILVLHHIEALASKSESPLG RPPPVVKIIQDLLADAKSPPGISSGEETWPVVVMATTSDPDNVPSALLACFKQDLSLL SPSESERRDIIEFALRQYDVARDVDVKSLARQTAALNAGDIESLVLCAWDYALKRASS TNSLPRALQAGVSLTAADITKAIDTARMAYSDSIGAPKIPNVSWSDVGGLADVKQDIL DTIQLPLDRPDLFASGLKKRSGILLYGPPGTGKTLLAKAVATSCSLNFFSVKGPELLN MYIGESEANVRRVFERAREAKPCVIFMDELDSLAPKRGQQGDSGGVMDRIVSQLLAEL DGMSGGKDGGEGVFVMGATNRPDLLDPALLRPGRFDKMLYLSMPTTHTAQADILKALT RKFRLDEGLDLKEVAEGCGLNYTGADLYALCADAMLNAMTRQATAIDTTIARLTSLAH SSPSSKTWPGFVLTPQYYLAKMAKEEEIQVVVKTEDFLEARGKLVGSVSEEELGHYER VRKEFQGYDIGGKKGGGEHDTVDVGRKGKVGRSR L198_02103 MKPDTSTEASSKPESTGTATQTSFSNPPGATTNDRSRMAPFWSQ YRLQIPGQPEEIQFLPSDRLDVAETVRDISRAPYVVRELAFNLDIDLSEEYLVGKDLA SITYQLASQATADIPLELAPSILEEMSFFVNVKIPPVLQGRVQDLTAVSQDIIEAPGT TLHNQPYFIPGEENSEAQDVRQMVSAQYFEGGQARGSTTIYTGYERRSPNDEQPTITS MVVPTELAPSVLTEMAFYVGSDLCCSLSEIARSNMSKMSESVVQAQFTKIAAEKMFGS LTGT L198_02104 MGFHSLQYLPDDVVVSVAFNIEVSSDSHTAHCHILLARKSKSKA SSKAHDCRSVGFKSGKRLKLDASPRETLAQLAKMSHRELLVSSILESLEAQSKTKDLN AKIEALTNYVAIPLTQEIKPEVLSIVKWAYMSASSSYQV L198_02105 MPCPCIDTRLPTGNITSLFPLPPEIQLIIYPHLFDNLCSSHRAR YSILFPPKDYKKLITPAYRNVTLTDENWKKFFRGIERPGPDTPKGEAAPFSFEETNKT KNNLKPSSLPMKIDLIYDCQSLRIDHPSVLVGLLQLRSAWGHLWGWAHPRHGRTFNQK PPPMSGSHRPCIFEWLRDIEFTSNFCREFLKNSKGWCDEYMTISRFWGGLPEHPEDFL FTNPDLTLVCLQIPESEEFAGVRMPSFVELGPLCNLVDKLIWGPILTIHIPDSLVYYP WFNDEDYPSNLTYMLPPRPSGAFEEEDDGDTARYEAEIVAWCQNTYLRWGPEDRSEKL PEKIILGNLGSRISPTGQITSVSDEAFEKMTMSMTACLNKIPMSEDDRVCFSFLKGKP VYNYCQH L198_02106 MGFDHHCPFFANCLTAPYVPAFLALLLYTPPTTILLSLPLYPLL LRRASAAYHLARVSDSIKGWWDWPWSWIVAGGPVGRWVGGVVLGWMQLDRMSVGGPGI ERLGVGVMVVVGIVLALITSGLAYSTLQTIKKGDLTIDTERRKSYHIASRAASGHSTL FPSEPLPQHIADGLKRFGGPAFYIPNPESEGEGHIVQPKLEMELYDFGETRNWKLVLG SKGWGWLLPWRALGKSMPDGQVMQWPIEEEVCRKLGEM L198_02107 MSGQHIAPRQPSPEKQPRVSPNPSVHSNLSALSTNAPPSALYNF RLLAALRSEDPAQIQPFLNQIKAGPNGEDLDKAGQLLGMAVRVANVPIVSLILASVPS PNLPVAPNSSETSLHVASELGRVDIVRLLLQDPRIDDTIKDDRSRTALECASTADVAS AIEESRAQIQAHYANLLSLYIASPLNSAEEGAVLGAFLESHRIGAVNLSALDERTGTS LLHEAARRRDLKLVELAVKGGADVFVRDKRNRRVLDGEKSPDERIKLFLRQFNNQDSL IEARSDGRPPDLRGFLSKWVNYRQGWRTRWFVLENGILSYYRNRDDEAIACRGSIAMA VATLHASSDGTKFDLSSQVSSAVPKFMVKSVHRAEIARWVQTLKLNIEYYQHGAGKDA SRPSMSPSVAPRRSGSLQRPDRRAASVVSQLPSGDTFLSPTLQTAPGLSGVNPLSKSA STSSRVTGTHASSIRYDNDNASERSDDEDDQQSADTIPHEATFDLGLINIKEQLEMTE QLVDSIVVSPNPPSPGNASPNTTTASRQAAVKDAIRESLSTIQILMKKLSSMSADRER FFTSRIQREVQARQLWEENMLTIATQQAEYEKELNAAAKDNEKKRRALRQAKGVLAGL SAGGSLPGTPVGVEVGGQGILDSAPVTGVSDSYAPPKTAPAPKGALASPPALVNQPSI SNIQDVQNAHAAIVAADSDDEEDDADEFFDAIEQNQLPNLRLHQSIAHPERPGTPSAS RAGSLDVGVKESEAVTKGTVKEYLARQSLEPYLHVRSKLPIDDDKRPSVSLWSILKSS VGKDLTKISFPVSFNECTSMLQRMAEDMEYDACLTVAASEKDSLRRIAFVGAFAMSNY SSTIGRIAKPFNPLLSQSFEYAIPNRYRYVSEQVSHHPPISACYSEAPTWKYYGEVDA QNKFQGRSFEIRPTGVAHAELIIPKSQVVPGLDYPDAGPEYGEGYVSEHYSWKKVTTN VSNFIMGNPIIDHYGDLVVTNHRTGETCTLTFKPRGWRGKDAFEIQGKVQDADGAVRW DIAGRWDTQLIAREHGVESVPLAVDATVSPTQKEYILLWRNSEKPKAPFNLTPYAITL NDIPQGLEKYVAPTDCRMRTDQRAFENAEYDRAQGLKTLNEEKQRNTRNLRTQGKLPP HEPQWFVSTIDDDTQERLWEPKRATDGEVAFWHEREKKNWESLGVESIFANDDE L198_02108 MISRVPIRAVKYARPLSRRSPICLRFASSQNGSPSSKITTAPFR LSPGEALEKMHINALLASGKLASIPNIVYAFFLRFFGPSVTPIAREFGFGEKLELKDM KAALYPVWRVDGVAEGKVDIVHGEGQNQLKSPSPTLSMSVTEGYVPGNPFAPLSYLSF AVPPLYSDLPEYDPSKDHDQLKDYGLDIVPIPFTVSPLGLVNHIRRLIDKRTWDHWKI DEKKIEEKMLACYPIMFPIYIAEFEYDQGEDGKRRFNVFMDAHDESVKTCRVSWPPPP HLIEEGRFDRNYFVNPAPFMPVSTIGLYPLPPTPRSALIAAQRTRLPELYGNFMSPPP DLDDPSQIPPSPMYAAQQAASEGEGIDWEDERIMSWSGVGREENGDWIELYEKTQKGI ETLETMRSFSSNNPHPEDLKGLVITSPLERRAGHSMIERKSLKDMEDQLERDVKRMKR ELEESKPAWLRAWMQKQKGNSK L198_02109 MTAPTDTEERVSTTDYHGSTDLSGFFSATHWTQYKLSIKGQQTR KTFVATDQDKEAFRSGISSVMQIPLDDVSVEVLSSYDADFQQGRWNSTYFGHEETARR RTSLCSLSPHALDTSSDRLCPYGSIPEELVAHIQAERDQIELMREEFEETMTTGTEDV SNNGQLDAERG L198_02110 MAESTGTASGSSNTDRQGFTTIDFTVTSHTEWTQFELTVGDKTR RIFTYGDKDVQTFRSEVARRIEGLKWCDSSMMAQPLDAVSATKVASYKADFVQGRWGA EYFGNETAQGRTTMCSSPSSRHELEDGSGRRCPLGSVPDELVTHLQAEKGMLEGKQWF RE L198_02111 MDADIDGTTSAPLRTHSPPSTTPTVSPSKTSEPSSREIALQTQI NSLQSELDNALFSNISVRHSLSEAQSTIKNLESMVTKYHVEKGEKERAERRGRALEMQ VDRLEWKIEQLKNEMKTKETECRALEEGKEWETKYRALKRGIDALSGGSGSPSKSKHN TQMPNKKLRVSLGLDHPTPLTPPHKPKPTKPSYRRHSVPSARALVQYDDIPEISDAES SGGQDDDVKDDNFVYYGDDVEVDSKKAKRVPGGWITSQKENTARLLASFNSAHRQALS LRPLRSPKSEFAQLSWKKERLAELMVRFVNLPGSAVDESELGKPGGQKLDLIKRMKDA LDQGLVYFEAVPPPESVETRDVGETEAANVWKTAVEKDMSEEKETEEKETDEGKDVGE GSDTAKEKHEG L198_02112 MVCLHSASHDLDTPGDLHATAWGDESSTLSTTCSPPANLAYTKV EEQALDQELCDPLPNATLSGKKHAGSELNNSGIKKRIDRILSILEKHEGIAKFKSEHS SSSSSSSSSSSSSSSSSRSAHSSAYNRHGLPHINRIGPPPDARHFDDISSQPFPFSSP SHQTFTRVLQRIVARLTLPSELPPPFQPVHQDFKTFVSVELGQGLLSFDDYTADPAQS AIASVLGQMEAWELLLGSTVIHRPGWANPGGDLTENEGAAKQDESKHAILANLVGRSW KELDNFVVYPQPDEGHQAVYRSITARKFLGHPHMRHGGGCC L198_02113 MPQPNSSTRARVGSPPLSSPNSPQSSNRTLVRGSARTYTPEDTP SLSDAYLTASSHIDLPASSQREGAGSPSRTRTTGTTMAAHPTGSGFHIWHKPAVGRPR VSTSSSDESIKGNPFAFHHPVHQQPVYQQSHPPPVFQTQTRPSHATFRKTFTRILGRL AVPHSVSISFRPPEEFEQYVQDVMGSFLHTFDDYTTQEAQEGIALMAGQLEAWQGVLA TPAPYLMSYMKGEEGERKEGERHEPLAGLIEKSWMELDGFVLVEGYPREVPRPFQ L198_02114 MSLSENPITYTPSQAHIAPLLSSLHALSLEQESQLSRASFGPYR ADFFDALMQDKFIALDEDKCWFVYQLLRAKNASVVVEAGTSFGVSTIYLALAVLNNQR DTPSISPTVIATENEPTKASQARAYWREAGPDVEGVIDLREGDLRETLKQGIPSEGVD VLLLDIWVDMALPTLTILEPHFKTGTTIITDNVTSSAGKKGYQELFAYMNDPENGYSN VVLPYKGGLGLSVFTGKKA L198_02115 MSSSADHEKAKKAAAEKEKEKKEKKKAEEELKAARKKYMDKANP KTVDQLLGEKDLEKDYFKEGEWRPSWAYVEFNGDACLADVLEKKVEGFYVPAGTMLPL GAQMFEMTVVKYGGYFPEGTSFPGGVMVPMHARMVNLLPKETKKGAAKMEESLCLVQ L198_02116 MSDHKYKIHVPATSANIGPGFDVCGIALSRSLSLVVTIPAPSSE LEPLPKIVYTGLDSENVPLSPFKNLLTRVALYVLRANGKSAFPPGVTIEAHNEIPFGR GLGSSGAAVIAGVLLGDLLGELNLPKARLLDFALMVERHPDNVTAALVGGFVGSYLRE LSPEDMSAASIPLAEVLPEFPPDAGPQWGKNPPQPPNGIGHYVRFGWAKEIKAIAVSP RFELATAKARGVLPESYSKKDLIFNLQRLAVLTTALARSPPDPDLIYDAMGDRVHQPY RMNLIPGLPKILSTLTPTSHPGLLGICLSGAGPTILALATHNFDAIAQEIESIFGAEN VKVDWKVLDIDERGSYVEEIKA L198_02117 MGGRKVMVQPINIIFSHLQKHNRVSVWLYDNNEFRIEAFVVGFD EFMNLVLDDAEEVYDCGAKPGKEVQPRRELGRILLKGDNITLIQPITA L198_02118 MPPTSIRIQPALPQDGPTRPGFALPFAETPQPQAARVFSPHHTP TQSTPHPSQSKTTGKGKRKEKETPQETVEYTILPAIGDGKIKPVPPALYCPIWKVDER MRGRKVRLVGQVLHYNVKKATIILTAQPEGLCRKCPTIVVNISIPLLAPSPSQPPTTS LVTRSEQRHRAVVFNTDQPPHPSSALSQSEVEREGLVGREMVRFGRGDWVGVVGWLED GRDLVRKIRTFGAYAPPPPVACEAIHITNARPPPKSRLPQPWVGGGTGIVRVMSEVDT RWQEAPSSPSEEAKEFTGDGADAEEGQGPEDEEENARRLNDKHRKERTPTIKRQSTSP SPPHLSPRTPPEISRADGFEVRILLPGEGANYENYEFDVTPKPRGKKVRARA L198_02119 MSDIFRKSLLSRLPDLPPTRAPWADEPLAEGDEEVEELAEESEG IGELPAGQMKPPKTRGPNKADMQDYSPLSASGYFDQAIEVQPTGSPCTFRVYLTPPST TTSSNTPSGPNLGPGPAGPAPKIQTQQQTNPHGTYLICHHGGGSGGLSFAALAKEVKR VSGGEMGVLAFDCRGHGKTRTEPVELENDLSAETLLSDFISLIETIFPNPKESPSFIM MGHSMGASPTISSVPLLIQKGYLVPGTVVLDVVEGTAVESLPMMESILGKRPGGFQSV VDGIWWHVTSNSIRNPTSARLSVPSILTPASPSPSPSPNTSQTWRTPLLQTSPFWLSW YTSLSSRFLALPSARLLVLAGQERLDRELMVGQMQGKFQLDVMEGVGHYLHEDNPAAL ASTLITFWRRNTRVLVLPPKIGSAPKKSGEEEVVAVRPVGQS L198_02120 MAPVKKTTTTAKKVPAHPPFLDMVKAAILAHKEEARKGISRATI KKYLEEKYKLDLSLPANGTNLTSAIRRGVSNEVLELPSGPSGKVKLAPAAKAAAVKEA KPAAPKKEKAPAAKTTATKKPAATKPAAKKTATKTTTTKSKTTATKATTKAAPKKTTT TKAATATKAKAASKPKATTTTKATTTKKPAAKKTTAAAKKPAAKKTASGTKKVPAAKA AAAKPKAKAAKPKAKAAPKKA L198_02121 MISGIGIDILSLPRFTQFVRRRGTGKVARRILTSGEMERFVKIS GSSSSPSLGYSLGSLEKSKAGEEKEDEDLIQKQVRFLSSRWCIKEAAYKSLTPLLPRP PSWKSFHLAHSPSGQPSLDIVQLPGLGGGGGERWRLMATLSHDAGVVVGVVVALDKQF GSDHK L198_02122 MDDEHNAYEIERQKTIAENRALLDSLGLDPSSRSPFPSSSPAPT SHPNKSKPAKPAAAKKRKAPDSAPADDDGPRRRSGRLRGMEADGEEMKVKLEEEERER EVLRVVNRREREQVMGVGSMVEEGTEGEVKELESFLKDKSALENPRPYPSGKESDSDA YADSSTTSDDTQRLREAFSDMSLKANVKVTNERVFSMCVHPEKRKTIVFVGDKYGGLG IWDALGPAAAKAENDDDTNGVKDEEESQEGRVWRIQAHAKNSISCMKVDPVNGSGLFT SAYDCSLRHLDFSTLQSTELFRLPDEDLLINHFDLVPSGQEAWMVDKNGGLSHCDFRQ KHNQSGRKRWVLQDEGRGAKLGGVSVNPAMPHLICTAGNDQHVRIWDTRLLADIPFAA TTIDESKPPTPPPSALLRTEPVGVSDYDAVSTFMGTKPGKGLMRGKWQHGKSCSSAYW DPWGRRLLTTSYDDTLRLFNLSPSTLSSPTPIPSSLLKPTKSIRHNCQTGRWLTILRA QWSTSLSAPPHFTVGNMKRTLDVVSGVSGERVVALWAEGVTAVPSVTASHPGVVDRVV GGNTSGRVQLWSSGDGIYEQ L198_02123 MSEAYQERSASSGPSGTQAGTSADESRSGSPTDTGPAPTKIWTR YRYQIDDTFFCPGDAATYSPEDVRKELAEQSGAPLESITVTLLSEYKANDENSQWDAS YYNGAEPRGRTCLSSEPFTANASVPDELVKGIEEEKEDIEAAKQLAGGLNTLLGSINR LTEYRSSMEGDQKSDNSHNNDL L198_02124 MTSTSAPSKKSLPDMMTSLKIVQSGQVEIVDQLLLPHSVEWLPV STPEEAFDAIKTMRIRGAPAIASLAALTLRSYIASSSSPVSSSSSSSDTIAWVNKTID YLQSSRPTAVNLGEAMDRIRAVLKDAEAKKLSAGEIVEQVKKVCGDVHDEDLERNMEM GRLGAEWLWKKRGAGKKGLKVITVCNTGSLATSGYGTAIGVITSLFQTDQLDTAYYAQ TTPYHQGSRLTSLELTTLQIPACMVCDTMLGSLMQHEDIDGVIVGADRVVKNGDTANK IGTYQAAVLAQRHNVPFIVAAPVTTIDLSLQTGAEIHIEHRPAVEATQVRGLNTETGK LSVVRITPPGVGEGDKPWQRVYNPSFDVTPAELISAVVTEKGVAERKEGEKSIDVQSI C L198_02125 MSTPSNNENNTQQSSRTQSSLSPSQAQSSRSPTSPYVTSPRSAT TQGSTGSALWSPRTDQTGASSQVPSPTSPGWNGQQQEIAGDIMGQLDAMLGPNNGGGN NGGGN L198_02126 MAHPARLNLLPPPHIPFEQGFPGIPKSPNRKPPSVQGTLEVRLG TVPVKAKWIRVELRKSESLPPGFPGAAVEESWEHVGEIQTLWKPSAGKEWDLIQATDY TFVLDLPAGLPPSIELPRSTGVKYTLVAALCYKQKGGMFKKESFPIIKQTVPIHVIKY ETLSTWPVYNIPETRTIKALEGLLDLTVSRPSTAFSAGDKISLIARLKSSRPQPFKLL GFECNLLEVITFIPPPPDPNSRSKKHQKISTAPVTKSRIIQTVTAPLNETVGRGGEKG ARLEMQVGEISYTARGTKVEVEYDLEVRALVDGIKEKVEMRRIRCTVGPYTRANAQQT CKQIGYVESLCPETPSIPNAPTFPDMLATDKPLPPRTAPMAPHNGVPPVFVRAPSQPQ PIQGYTPRNQHKRQPSMGSTNTDTTTTGTTATHDFSPQVAGNGRGYQSVPNQRRSMDV TFPQAHPSRPKTAEPQDVDETPSPVSSLPYQRQVSNESVNDEASRRFSSVTTGTFGRW DLNTDVQTRHGRSNSEATQTPTTPVRTTPLPSARTPPSAYQFQSAEQEKKRQNELYAN ARARAAQVQGDSGASLERIGLASSTSATPSFADLAKEEEAADDVPPPEYAPPRPVQPG GYSAPAKPISSYTDRPSPSPRLSMISSAPRASSPSSSTAPSTPPPPMPAKPNVDNVYL SAAQEKEAQRKRWEEATSRVQGGPEASGSGAGSVTPKTPSSGGHSSPGVPSGLSEKEQ MRRYYEAQDRVAAANNQGEGSSSQAASAPQRAVSSNSISGLAGAGGPVGEKEQMRRYY EAQDRVAAVSNGASGSGSGSRVVSSPTRPARESTAPVPGASSLSEKEQMKRYYEAQDR VAAAAGGSGLSGASGSGTTISPKRQSTAPVSSALSEKEQMRRYYEAQDRVSAAAGNSP SASASNSSPPESSTTTQSPAPVRSTSVLPPLDEKEQMRRYYEAQDRVAAVARGEGSSS AGHSQLTSSSDAPPSLSGPSNGSSSSRFPSAEEEKDLMRQRYESAQTAVHQRFASPPN SPPASLSSRHRSQGRGGSPFGTPPSSAGLGAGSSSGSGLGRPLSIATAMTPPESPLKS SGGRSARGRSSDEGHGSGLASGSGSGHGGPAVGAPPPLPAKPPREYITLLSPLGE L198_02127 MNPPQAPGQNYIPLPTPNLAPAGPIVYDEGSMYGSLSSGEYEAY KRRHANYKDWRQELPVKKIRPELGSGTGSSQSFGRQVGVGSADWTWRGGSDSDTGTVP TTVVHRLRRERDQVLEAQGMKYDEPPPPPAQVIRQLEHQRFVPVPPAENRPQPSQSTQ APPQRPRPPTRQVSFRQEISPIIAHPTPVPIQTLPPAPAPALARSSSKQYSISGPSQT TRKTSDLAAGPAFTPSPTASDTHAEREAYIRSATDLPLEMLVETQNGGTGRHPSVPAN SRGGISKKGSSRSTGSGSGCLRRGEDGGRSLGSAGARLERGGRDDLGSNGSRVSLNES KTDARSLGSAGGRVERSATDGAKARSSASQREHQYQGSNFLPVPGAAPTTNAVPSEAT IHKAARHPLPSSKPPSNNTVPSVPNGVKITRSRETTLLQPPIGRQEDIKVPISVKTAA GSTLPPSKPSTQGTIAQATRAPLPSSTLPLAPSSRQPTVPNAPTAPRTEDLAAPAFMN PSQVSLPHSTTKMSAPTHRQQMPLPRPALTPRTSYDTIGAGYQNGTGSGRRAESLVAR FEKGLASPDNGIRVMGTPKSSQVNISTETPPDRASSLKPTHQPSQRSVRSGQSLAPPP QNGHGAGGSHSSLLLPMAPEGTPDGSQMPLQPALNRQPSHSSNRNPPSHGPGPVPDPR SSQTFLAPKEATDYRTPGPREGHDFGHHPQPAVSQPWNQRFSTEPAPIFAEQYLDVPS PTYLDGHGDSPDVVFLPSGAPSSSLRSDEEQVAIEVPPGSKRRLRVTLKWLKDPHGSR RQSGLEVVNESPRSDRPPAVPPKEGLFRQSSRASHRQNGQPLHDTSFLNGSPLPPEHE SLDSHRPRHEQLPVDADYPHQSAKQPYASHVQENVYHDPNNNTSFNNVNNTYQPGATQ AQAEQAYNMQMPFAFNQPQGAWNYRAAQGGGVGAEDDVNPDRQSIDPSEDGSPRMGNT GMPYMMGRMAYPQPQQQEGLWGQQQGVRPQRSGFFQLFRRNSTPRGQGVLREGWDDDG NSINRWKRNVPLGRAPTAAPLPRPTIAPSYAPRMFDRPLASPAPPPEFQHARHEPYHS TFPAQPPSRYPNDQHRPPAYHQPGNETKDQRAYPSPRAQNQANLREKERRKADRDARR REKEEIRYNKQLRRDEREKRGLTGKEGGPGGRKGGVEGRGRTVPMVGDWVSKVKQDSD KIQQPGRSGTFTDYIPWRRNGHASNENNAARLRRNPSAPRKAEDVLGTKKSKTGSGSG SGGAMEMLKKGLSLGKQSEEKLNGKTRPQERGKK L198_02128 MSPTLFSCGSNAASHLALSHPDDVSVLIPTLYHPSLPAFPNGTE ILDVVSASAHSLVLLRLPPSSPSENEEGGMRGKVEQRHVLLGCGTNTFGQLGPKCALW DDLKPEGRWKVVDLARDAGLGQEWEPVRIAATWTTSFVVYRQTTSGGEGGGAEGGGGS SAASGANKDDEDVVEGKQVVISCGSNDFGELGRDLPLTLSNTPTPIPISQASQVPTII DLSLRPGERVEFLKGGQRHVVAVVSGKAGQRVVGWGASRKGELSADTLSSNTSKVLSS KAKGKSKAAPYPTTSPPTIIHLPVPSDQRIINISLGASHSLALLSGGTVLGWGGNLKG QITDVHLVKGVKEIASTWGGSYFLTDEGLFSQGSNTHSQLLRGQSVGSELGQVAVPEA LKVDRIVAGTEHLLFIATTSDGSQRLLSGGWNEHGNLALGDQLDRDSLKVVPGWEGRR TRGVWGGCASSWAWA L198_02129 MPPSDPIYDLQERLEAAECAYTGSREKNRQLAKRLADTEAQLEK AETEANEGFVEAYEKGARYGRLAGAERQLEAMEEAMKKLKQVEKQRDEWEGKYWDLHN GVGGLLGSQQSIEAGGSAMKLEMMNTAPRKSAPAALSSNRNNINKRRIVQSDTDTESS PEGSDYAQSTGNYKKGESKRWHDIAKRQNHSIILSSFNKARREAYDMRGRTPPDDLPQ CPWDTRLHAQNFREGVRKQM L198_02130 MALQDGAADEHALFLELLKTAANPSAPDAIPTDDLAASLGIDGP LSKQQALEILEKEVLAPVKGIEGSDLGRWQVQPPIDLPLPPLTLSPLYQTHSVAPSFR GIDGEFTNWREALMPKPPAHPSLSSSTTRAPGSLQNFVRGKGSYAPFLPGGLETAARV EEEEEESEEEEDGVFKSRAPGLKRGVKLDGAEEFLIEMLGQQQITAKAKRRRRDGEFE PQLAVSRLGDKDRDIDGIESSAQVKASDKNIDDLLPLGRLPAPPPAKKAFKTAAKKEW AHVIDVNEKLLNFNELVPEMAREYPFELDNFQKEAVYRLEMGDSVFVAAHTSAGKTVV AEYAIALAAKHMTKAIYTSPIKALSNQKFRDFKTTFDPATVGILTGDVQINAEGSCLI MTTEILRSMLYKGADLIRDVEFVIFDEVHYVNDAERGVVWEEVIIMLPDHVNIILLSA TVPNTKEFADWVGRTKKKNIYVISTPYRPVPLEHYLWAGRDTHKIVDSKSKFQMAGYE SAGQALRRKQDKEREAAGLPPVSRTGGRGGARGSARDLPTGRGAPFTNIGAGRNHSNR GGGNGAPAPAVPPRGGGRGGGRGGRGGFGGRPSHVLDQNVWTQLIGHLQKENLLPVVN FVFSKKRCQEYAESLSKDLTTAKERSEIHITWEKALTRLKGDDRELPQIKTMRTLLSR GVGVHHGGLLPLVKEVVEILFARGLVKVLFATETFAMGVNMPAKCVVFSGMRKHDGTS FRNLLPGEYTQMAGRAGRRGLDTTGTVIILSGDELPPVAELQEMMLGVPGRLSSQFRL TYNMILNLLRVEALKVEEMIKRSFSENAAQKMAPEQQQQIAQMEKALARLPSVDCQVC SPDISPYYDISSEASRVNGFLIHKASWLPNSGKLFVPGRVVVLRNQHFPGNLAVILGN APSYVGADGVKSNAKAFRMLVLVTPGQKSEEEADIEAKEVVPRWPPILPKGKFPSPDF ELTITDTNTITFVVNNILKLPFYQIINKQSLKDIQGATGKLVDLHEELSELPALPEVD WTRTRDVDVQEQLRKRDVFSERLSKFGCRLCEDFHDHYDVLHKRKLVEIDVQKLKVKL SDQNLELLPDYNSRIQVLKSLSFIDDSDTVLLKGRVACEINSAPELILTELILENILA DYTPQEVVALLSIFVFVEKTESQPIIPTKLQDGLDVIFRIADEVERVQDECQVQHDEF ATKYKPGLVEVVYEWARGMPFNQITNLTDVPEGTIVRVITRLDETCREVRDAARVIGD ADLFQKMEEAQNLIRRDIVFAASLYL L198_02131 MSSSTAVAPKRKLKPARKQVAADEVDKSEGYQAGREYNVWYNKW AGGDREDALANKVHSQTRCVISRDSGYTRADATGNKFCCLFFARGCCPYGYECQYLHR LPLPSHQLADHSRDCFGREKHADYRDDMGGVGTFNRQNRTLYIGKIAEHPDKKQMEET LLRHFGEFGKIVKWNILYGRGVGFVTFETDHQASFAKEAMANQSMDGDEILNVRWATE DPNPGEKVAEAQRIEEIGQKAIAGMLDDELVEATQTIRALEDGDVEDLYEIEASADHA QNGEGGEGEGEGEEGRPAKRGKTDGFFGADALDNIKFYADLARKQAEERVKERKVPEK KVGMALLGGYGSGDESD L198_02132 MPPLNHPTPTPTPKPTLSGRALSPRVYVTVTSSSTSSAGEATSS GILAQAVATTHLSWWQLLALILGSVLAVSVGVWLWWRHRKKVRADVVKKKKEIEEREK ARIEGDKERELEEKMKAMKGKGRGKKERGKGRKKREESEDSEDESEDWSDSGSESDDS VSDGGTLYRSRTRRRRYRARPSRRDRYRHRRRRYSDTETDWSDETYYPRRHRYRDVYR DDRYYDPPPARRPPPQEPASKPPRSFRDSVFSSYNSMKKAAVRLKYVEAKVKLKKQLE EEESLEKARRDKVKEANKEIEEEKKWESGLRKGSGDRASDPGSKQKYKPFLFNIQYPS SPTRPQPRTSTSTAKSAKSSIGLPRWWISRHPRPPPSILPFAECEWKTTLSAEDNTID TIRPGPGAGRKDTGELGDEISHLLGNSNSGSSSGSGRSPVTRRQETIPPPPAQAQAQP PAPGQGQGQGHGQGIMAWFQRQPAQPRPSPDLRIPLPRASTPPAIPSVPETAKTSPVY LDVTEPRDRPMIPRGGLAPAPAGRGRKGMSPGVPDIPSVPRVPKMTEPANAVLGSRVP GAGAGEGGGGGGGGAGSMAGVGAGGGKWANRLRAR L198_02133 MKNASPFQTTLYLKHLPPSIKPKHFDLILGQPPRKDGSKGRKRE TGIKMVQIYTIPAHPSPAVSSSTRHTSPLPLVQRGARTLPAVLRAILCLSSRPNSDRS QTPPVDALSKVDKSRERRVSDESHHEVIRRRSTGGESGIEVMEGPGYKLEGETPAVAR ENDENQDFQGSVKEEEEGETVAYIHFCCDNHLYSAERILGHLTIDGYRPTISTKRFNS GLVKRWVRPISGGSKEK L198_02134 MPRSPSPTLSDSELLDSIADSFDYSAHREARMEALAQQVKAVKN LRETGDGEYGRVVEYKEEKGMIERMAKEKYCLINFFHPDFKRCEIMDRKLAELAPSYP HTLFLKASVDNVPFLVSKMAIKVLPCVMCYVDGRAVDRLIGFEELGQTDNFSTKALEF RLQQSGVFPRNTSLSANLNPLLVGKGRQANGKGSDSEDSEDEDERRRTQQGRGGIRTG MASKGDSDDDDW L198_02135 MAPILYTLLKRDDSDSTDSSSDSSSSDGSDSSDSFSSSGSFLDE HGKQIYIALTILSILLLSLLLFLYSKHRLAFPPFNQKRCAVCKHGIAKSQKVDEDYFK NDDDDDDGGGKGGGGGKGGGGGGGGGGGGKGKGKGWICRKCQEEREDEALEEELEKEG LGSGSGKKRKSKGVSEGGGRSKGRRDEEGETEREKEGKATSKRREGKGSKRGEREEKG RGQRETREEDEDLQSPPTKNDRRPSKAREEKSRKAQVESDSESDY L198_02136 MPNVQFKKGPSRRPAQSRRRSPTPPAEAEPSSSVVRTQTKSIAN PLVQGTKRRRDVQAGDNVADDENGLDEFGYRADEGLTKRADELVTRANDWDLENDPVP EKKVRLNEDGDIDDGIYRGASNYLPTINKTRETLDKKMKSGPIKATSHVRTITLMDYQ PDVCKDYKETGFCGYGDSCKFMHDRGDYLAGWQLDKMAEDGGGGGRAQVEEEDEDEEV PFACLICRKPFTVPVVTRCGHYFCMAQVLPPSFSPKCATKRFQKSPKCYACGAATQGI FNTAEKIIAKIEARNKVRQEERDERKGQENDGGGIIFGGGDAASDASDNDE L198_02137 MNEDQQADNDALASAVAAAEAAEAHQLSLEHPHHFHHTLQQPDF GQHKDGDEHELGVHGLSIPTVDDHHQHHLDQHGELDLQNLGGMGTPGDMGGLDMGDME QQHEVYSSGRPPSIRKACDLCHAAKQKCSGDRPSCTRCSAGGWPCNYAPRQRRRTVPK DQKHHHHHQHTHHAAAHIHHAMDMQQHQPMPQSKKRKLTRDAIPSFGGDGMDMKMAMG IAMDMGMGVEEENEELQTMTDEQMLESIAIDGYLSDLPLGSFVHNLPYSTSPDHVFPD DFPSASSDPFPTADMDQHTTSALRDAIFSLNESSAAGTGQEGEGNGEHGESGETGEGE QLDPHLALLDLSNMNGENEAAEGHDPTSGLNLGDFSPQGCNHRQLVPHILSLLTQHTL DPKQGSNTPLTLAVFAPLARSLRLFNSLSICPTCLSSPRETLPQLSLLSRTTTLLTFP HPPIPSSSLGSSAQITIHGARISGTGLSEAIEQHIVGVVWDSWRASVREVFHALDKKA QEVITASAHAAMHPGEGQAVGSLEKQRAGLIFQAVSRLVTAMDEVEGN L198_02138 MAKDAYVALRAPLPPPLESLEHARPHFTTLLTSPSSSQNTRLLL KALDGVQEAVHAFLKHKKSRKKKDGDGSGEAEQVKVDWLDTEGVQLWNLASQVGRTTP VNPTLSKADIAIVAACKLTGYRILEAATDTKGPMAFVVRLLGLTAKTMSALLDAGKTA VASQLAVQGAEFEQTLLSATDPQDSGEIKQQVSSLVWFYCARIDLLLKEGNDTFAFDI FLKAIALDEMWSLTPQEATAKCWTVGNTMLQNKTNLPLSIDWLKQGIMLVEKMVNRGL VVDRLEELHLTYCIARAQMMQAEETPQSLQAATATLNELAQLVGEEDQITLREMRVLQ LHVLKRSKAPENEVKLVLEDLMKMQEWTEEKVIETLSQLASLMSDYPTLPSTSAQTFL RKALSHPDGHPHVQLIIYEGLLFAKSLSPPALGIRTAVAMLDIARKHGEYRMEDKVNV VACQTLLWNIANFNGNKERITESAHWYQLAGHDLFRELGGENTSRCLRKAALCHIKTA DWGAAHDLIARCPTDEASTHYLTFLAAIRQGEVDRQQAAIDAVSSIVECSDFEAQQLV LMTHHYRSLANEKGAQPVLLASMRALLSVLTDSEMSFEVQIETITVIRCLVRMSVLEL AQAEDKERVVDSILEYLQTAVELLTEDPSIGQGQTKGIAWLYKCAFNVAVQGLHSLSS KSLADLFDRSAQLMTIYEVIEPMSTDPDLPFVRGSAMFACLCGKIFLCKDLPTGPDKI LLLDQLLEYIPQCRNALQGATNTAHPRYSSVSDMLRIIDTSEVELQCEAREWTAIPEI LERLKDASKDREVGTTHRTLEMIANVLVSTVTYRLLEIILDICPTRYADDIKRFSRWM RAILRILLHRSGADDESAAFSYVSRALDVLKTPLGKTAYPLDEAHWLVATAWNRGLEW FSSSRVQQAKQWCEAAMTMSSFSPDLKVDRQKMHEHYQHLLDKMSS L198_02139 MVALESLLLTDTSRAFRRAAPHPLIFRLISWAIDTVVAVSGIIR IPLLAIAYVLSPTPFPGWSFNRLVQVRTERLRGTLLSWVLPTILFDERQKEEWTFYTE EEREGRVKIDALDIPPVDARWTGGFASLGEVKAETRPAFLICPLKGERAHRTDGRIIL HLHGGGYIRGHPLWTPFPYNIARATGLDCLSICYRKCLSPSSSFPAPLFDALSAYFHL TQTLHYPPSSIILLGESAGGHLSLLLSQDLHQLGLPQPGSIALSSPWSDWSPSYPSYA ENEPYDCVCMPRLRGAVLSATRYFERKALKSPWFSPSKASPGHWTYLQEEGVDVYLQY GGREAMRDEVAVLGESMKRDGVRVRVREDVNGVHTSALTDGGARKTFEKDLVEMLSDG NGAEDRA L198_02140 MSTAHQGELKPTESIISHVQKPHDVEAAREHDERRKTIEKSLVR KLDLRCSLFILLYIMNYLDRNNISAARLRGLQADLNLTDTEYSTCLSILYVGYILMQI PSNMIINKISRPSWYIGSAMLLWGMISTCSGVTKSFGSLVATRFMLGFVEAAFLPGAL LILSKWYTRRELTLRNAILFGGNLISNAFASLVAAGVLSNMEGTLGHAAWRWMFFIEG AATMFFALLCPLILPDLPTNTRGFTSEELEVAQLRLLEDVGEADQDSESDGVFTGLMM AVKDAKIYIMMLTLTAYVIGLSFNAFFPTLTGTLGFGYVPTLLMSAPPWVFATLVSFA VSWHADRTQEKFWHIVIPILFGLVGFIISMATLNTAGRYVALFLQAQSYAGFIVFYSW ISSSFPRPPAKRAVAIAMVNAFSQLGNIAGSYVWNLQENGYRKSYGIVVAMFGITIVG CFVFKTILVKLNKQLEAGDAAWEAREDVVDKGQKLEHFEQKDEALKMRKGFRYLV L198_02141 MPPSTSSPYQHKSPRPFVSANHRPADNAPDGIFRVVLISSGSVA SIKVPDIAGALLKNKNIALQIVATKASTHFFSQTDIDSSICSSISVPPSDSSPDIGVR VWTDEDEWADWKKVGEPILHIELRRWADLVVIAPCSADMLAKIAGAICDNLATSLLRA LSPSTPVVLCPAMNTHMYQHPFTAKHLSTVTQELGYLVSGPQGAGRLACGDDGPGKMT DWNDIVLTIEGFASMFHKQYHLHLSSATSNWTTSTPSVVPSTSQEPLLPQNEAKVQEK KEESAGMKDWREQCGIHGEDGSFWNKMWWTGV L198_02142 MRPHFLSLLTLLGGVSSAYATICLTRLLVKRLTTPLRSPKAENL TRRATTTTTNTTSYVLSNDFDITATPTTREFTWEVATATASPDGFEREVYTINGQFPG PLIEANTGDTIRVTVTNSMDKGQTIHWHGMFQASTPFMDGVPGISQCPIPAGSSFTYE FVVNDQWGTYWYHSHYSVTMADGITGPIVIHSPDEALVRGTDYDEDRVVFVTDWMHDE SQTIVDALLSADGYKGSFNPPQGDSTLINGIGNFSLSDTIPSPAEIQVPVDSTVRLRF INIASCTMIRMSIDNHDLEVIEADGTDIYGPTLHEVAIAPAERYSVLINTTQGAEGDA FWIRATSAVFCGLTNDQVGKAILRYTDSSGNLTTSEPTSSAWSDLATSTDECTPLDVA YTLTPRQAQTAASTALSTQVLSSQVGAFVDVNNVSFAGFAFNNTMYQNQIYNPLLSVV HNGDTINSSLIPSITFKDNGAANIILNNLDTVIDHPYHLHGNAFQILARGSGTMSADG VDALTLSLDNPLRKDIVWIERSSWALIRITSDNPGVWPLHCHIDWHLAVGKMAAVVVQ PDAIRAMDLPSSWSDVSDILRKLTIHKLT L198_02143 MSGTTATSDTSTSDLGDDGSFVEKYIWVIVIATVLILASGLTCG LLRKYHKRGRMTVWSMRPHCRECHTKLASKSTSTSAGDYGLHKLWCCKAKVYWCDDCD KKARKEGYA L198_02144 MDLDPDSPPPASETHPSIAPPAPERGQACSPNKPKKFKLDPMSL LLPHERPMPSSTGGAGGAYGVQKHQSGHVSPGLQAGASRESVAAKAPSPNPPQQLPLS PPASHASTPPATWEEQQTWNAMEDVLTSSFPHSAATHSHPGQASQWGQYYTLPPPTSK AKLEDWEIVETLGTGTFGRVLLVRQRPSYRPTAYHPIFPHLYQSHDPLAPSLSATQHS DAQLPHFAMKVLRKSEIVRLKQVEHINSERNILERVRHPFIVELHATYQDQLNVYMLL SYIPGGELFSHLRRAGRFSADVTRFYLASIVLAIDYLHSKNIIYRDLKPENLLLDRHG YLRIADFGFAKVVQDRTFTLCGTPEYLAPEIVLSQGHGKAVDWWALGILAFEMLAGYP PFFDDHPLGIYEKILSSHIAFPSHIDPYAKDLIRGLLTADRSKRLGNLRGGARDVVGH AWFSGVDWGSLEGRGIGAPIVPRVVSMGDSQNFQRYPPPRPQDLPGVFGQPYDRVADP YGELFRGFSFPKPKPKPKLALQSQSQSQSQSQSQAQAQAGRIPLVGSRGGQEGVAGGQ GQGQGQGQGSR L198_02145 MASSSQSPFDDLRISLDPTTIDLALGQKRVKAIDHDGAIIYEDD ESLEADLTSQLERIWNEYPGGLLDLSESKLESLPPDAIAFQPKPKPEEGQGGEGEKKR DVFKMMDWDEMERLRSEMFMQLNDARNELWFALELAKTLSVSSAHPSHPPPPPPTTLP QHQKKQPKPKATPALAAAAPPTIPGDPPILPPGTFTTTPSSLPSVPLHDTVNDLIQLV RARDDAVEECLGLVDGAVEELALMGRAGDRFWRDVRGLREGQGGRGRWAVVPKPDFAR TGTMKDGEKAKDVIVPYAIDEASPATRARCIAGFDLDPTLPTSLSFPARGYRRVRVLL RDPAGTVMSSGVEAEEKAGDVREEMERAQMEAFDQDLFTQIRIEASTLDKSIIEPFQV QIQIPTSSHTLIFQLYSPPSAPSPSPSPSPSSLHSNLASTSPLCKLLLASARINLLSA LRVQKQNLVAASSSSSSSSSLQQGVVGSLLEGLAFKALWEGVQNTVRSLAKALKGAGL QAEVGRDVSVSVGAGGKGEGKDTGDRGIMSVLGQVLQGNKVGEGFEVVWSLSLADR L198_02146 ELERQLLGQIEGRLKTRSLKELDESKGKGKGKGEGKGKGEEVWW DKLVGVVQVGRRKLRVSLPPPYHTIYASISSPPTPPPPSPLEPEVKEVVEDDVGHDES VIETEGKQEKEEEEEKEEKKEGEEKYDSRDSEGGLWDWVDGLEL L198_02147 MSHFIIPDLSPDHPLSLQTSPPSSPICEHPLAQQDIWEAFSLYP PSDDLDGSDDDEDEEDEDEDEEYMFVGDVWRLAMVAAMVASLDEDETVEALRCLSSAM DDMAQAFKQERHARAAAKLEAANAARKAQEQEQKAALKEAVTFLEGLVDRGVLTADQS GAIICAATEAGGPWLSLQAAARWGGASGDSIVRALARKYAPELPSPEPEPGSGGSGFQ AHSTPYGGGSYGHTGGGNGRTRLGFC L198_02148 MSAPLAHAFADLAAPTAPTASTSGFANADPPPPGGLASSPHVPK PGTSIDPATGKPHTAGLTRTELDAKKAAAIQAAAPSAPAEPPVSNSDGLTTSAGLGGE WGPGLASAPGVPKPGKSINPETGKPHTAGITRAELEARKAAKAAELSPSEADHIKSKG ADLLDPAPQTEQIRRGSLTAPTTERRPSDVSGREQAARQLLAAQINEAETASPGTSTP GQEMPGAWGDNKPIPLPGTSANAPTTLYEDVAEGLGKVGQAAFAVIPSPIKEAFHQEA MGAPRRVRASATSIIDQARVQATKLSGNLQGTLESTQRRASANFGPDGTIRKQVLNFV DEAFQSTKELSNAEFGFVASGPGGPGGRITSPSRPTSEYLGSVPGEKSDGTGALPGSI TETGVAILPEEKNLKNERSTNQNVRPGEASPGNSFYAPVVASSPAGPSDTSTSGPSTS STLGPKPSGSHTVVNAPTASTSRDAPSIPTSFASNPTDPASHAVPAPTEGAATASKKG ETVAPATSASEPSGAGSLGSSEPLESSRAVGHSGLAPALAPALPATILGAGAAHGATA LPSSSSEPQHEHTAVSSPALTAPGSSSTLSEDASPISTTTSSIPAGVPQTLHGNDRTT STSSVTAIAHGREGLPFERSAKAGGIAESPLREGVVAGEEPFSSAGVSNAKSLTPGKE EHGVGHPSSHGIAGAQRDVGKYPAAEHGEAASSSGAAGKSSGTGEKAALGAGAGALTG AAVGAGSGRGEGAGLARSEKEQLGDRARADVPGESNLAGASTSQTTPSSSSAAAAAPT SQSNSHTTGHTTDQVVGIAPGQTRVRTEEEKLADRGKADVPGFTEEEEKANIPGNGRD VLGSKHLSEKPAAAGAVGAGSAVSPASPSTKTAPTTATTSQTTPVKSPTSATTPSSAT PTSSSHTRTDSAGSGSGAVSASGTPSKKEKGGLLKKIKSGLKKI L198_02149 MEAMPKDVSYDKDMLGALAILNEMLIDELDRTLSVLYQWERQRF IPAYWLPLPPLPDLTFEPPLPAEQLPIYSTDDEGNDEPAMTPYFIHICRHLLRLQRQG IDRKWFDLWKELKPDEQLWIYKLEPPDHPVQPWDIALRPVPVTSAFV L198_02150 MPPSTANNPSQSGSFQTIVYVGLKKYYDFILFWTSIVAYTLTIV VLATLDSSTCPVPRLQMSADGARLGVNFIVYCFFMPSPPGRSSQQGGQQPLVLGMTRL QQIKIASAVIFTVFGFAALMLQIAHTASVERHEACLSAILCPDSFDKPPCTGVQGQFC RNPSVNPAQALIKDNIRAETIDGGYRFDFDADTLSRTARATSSLLAVATGPTSRSLMC KLFLLSVWSPQQANHFSPFPQSSFNIIVSPVLLLPHLLAPAIHYVVSSPCTSSHQQTQ TIPDSTVTPPAYSSTIILPKSSLPAANKTRFQVPVEAAFNCSSSEKLSLGVVVRIAET EKAKELERGDRVRLEEKKGLTRIAGEGKGDW L198_02151 VAGPPDAGVLGRLVASTECPQDVSAHWAAIALYVRGGSGVPHHT VHGYSSPPTLHPPTLHPPTLPQPPTTLLLPPPTAHPVPRLSGHHPSGSHPAVRELKIA TEAPGTGRRSIIGARALFEEALEACQTREREIRRDGWRSVYSFRRVAICWDNCAAGND NDTQRLVLRGCNDPSVNSAQALIKDDIRAETIDGGYRFDFDADVEPA L198_07696 MAKFKISPALWDKIYHFASFPQAGVSLQQMILFGQNPSQGTLLK ASQFLSEELPIRLSHRVVELEGLPDGLSHVPSINTVKEWYAQSFEELISFPRPKLNGE LEEILRIPPAPMLNFPPATPNPSLDPLMREGPVGSGFVSEKHLGTGGLGKRLRIPIER RYFSPPPTTIIYPPEVHEYNENFTHLLQDIKHRHDPTVTTVAQGVLEWKRLKRANAIG TPIQEFLDRFYMSRIGIRFLLGQHIALNTLPPHPDYVGIICTKANVHDICQEAIDNAR YVCEEHYSLFKSPSIQLVCPPSLTFPYIPGHLSHICFELLKNSLRAIVERFGRDNDEL YPPIKVVVVEGREDITIKISDEGGGIPRSALPQIWTYLYTTMSDEGLEDHLQMSEFKA PMAGFGYGLPLSRLYARFFGGDLRIVSMDGYGTDVYISLNKLSSSREPLQ L198_07697 MSAPAPPYAPARPTATRPSLTSLPVHLIHRILRLTLDQQATPTR FWSDAEEERVRRLWALFRALRGVSRVFWIVATSILRAHYLAPFLNLIHPGYSSDPFPF ESSHLSDPSLSFDSSAGGSVYAERGRETAVFDRFIAVRVGEVLRRVESELSEGSGAEV DIFQRLQPAARIEDLISTLPPHFITPTSILPSPPPPNPKRTLPLPQALVSISLTPNWA QVWINAQVQGVGLARKGEGKKEMVLEVRRVGTLEGIVASVGEGLDHLRLGLVRWGGRV Q L198_07698 MFRLAPRLLSRGHLRLLSTTRPRLNKGPPTTQGHTSTKDADDPQ ADSAHAAHAEKQSSNGSGEEEPFDAARQGGSGGEAKGSKTQATSGVDKGQSGAFKDQV GGQEGSDKGVEFGGQEPAAENTATQGLKNTNQGQGFEGLRKLREEGKNFHTSARAHGP AAEPTSPDAPGSRAPKESTPGDQNDHLKHSSSSTPDKGKGNAADDPHLPSQQGKGGST QQTRKIHMSAARRAHSPPSDKYAKTHHDSESHAATSAPPEALPPHLESDYSAEATEPA PSNLKPSSQIPHSSSAVDPPHPVLSQQAKDGTLAERNTQPTAEMGRQGNDEAWKHRK L198_07699 MASILLGEPNTLPTDPIVPGTGSEKKKRQIPQVAFYVAGSAFVM TIGLTAMVIPYVRQAARSINRPEFMSNRHMPILASRKYPSPLLPPSASAPGANSSSTS ASAPLGGKAKTSALPAYSHPTFAAEMPISEKDILREQVAKSRLFNAREEKSLFGGSLM LQKKDGSALREGEEEGEAGEGGEGGKEGDNMEGALLGAKAFGIATGIVFGCAGLGMWI VGKAVGAEDPEDFAVKMRQQLVTSMPALVTSVNKPGRSVDGFDGQAIEEWVEGLERED ERPT L198_07700 MSDPPTTISLPPSLPYPVTITRLIANPGDPLRRGSRLLEYSFMS SDQRERISKRRAQGRTADKGKGEIEGDDMVGSWDSLIEGDAVAWKGAKVGLVVEKRDA SNIVLSIQQACSHPVQLHGMCGVCGADLTAFNTSQAGPSRHPGGFEIAHDSMGVTVSK NARFALLSFEAQRLENLTRNALLDTRRLSLIVDLDQTIIHTTVDPTVAEWMEQIERQK ERDAKTKAGERNPNTEALDDVAKFQIADDLPAEYAKLKSKAGPGSKPVESGGRWYFTK PRPGLQKFLDEMSELYEMHVYTMGTRTYADAICQVIDPDGKIFGGRILSRDESGSFSS KNLKRLFPTDTSMVVVIDDRSDVWGDCPNLVKVVPYDFFLGIGDINSSFLPANKSTPP PSSAAKASPAPSGTSPSPPSPSLTVGESAASTPSPTTPSSVTAEVEPTREEELVMKAK LMDEVSSERPLAKLQEELEHAGEGEGGEKGVKESSTRLVTSEEFKERSSSPSKVPKPL LNPHDYELIRVAEILTEIHGRFYSGFDAIENWKPTNTLPMNCDVEFIIPEIKAEVLEG CTLVFSGMIPREVNPANTTIWQTAESFGALITPSLTPRTTHLVTAVFNTEKTWRASKM PHVSTVWGEWFWDSVALWKRQDEGKYLAKKEGSEPEKEEKKGGQVAESQPEGGAEQRK GEGGEENKDMNDDTQVGTGWDEEAEKEWEDFMAGEDDWSEDGSVAASEAGSVRSIGSA PSTPSRKRVRYADEEFLPLEDFKDPSPQDSLEPESKRRKPLLLEDAKPGEDIPEANRM QYKAKGSYGKGKDEAKGEQGETGTSGVEDDSFNVGGGEGDDEFAQMLMDSLADGEEVE DEDE L198_07701 MLPRLATFSVAFLALLSPTLAAKEPEDSLIGVIERTVPLRTHSL AAPYVDSDLQNRWWDFGGDTIINTMKHARLTQDRPSQQGWLWARMPLSVANWQIDVDF KVDGKANNIFGDGFAMWVSQDRAKTGPVFGSVNFFKGLGIFFDTYANTKHGYSWPRVS AMLGDGKTGYNQDTDNEANELAGCSENFRRRNDVPTKARLTYIKGKVLQLKLQTRKVD EWKICFETNVDLPESPYIGFSAATGDVSDNHDIISVSTHSVTVKPEHRDIKQALGADA NKSGKGRKAEKASGGGAGWFMFILKFIGVLAFIAFGVAAFRTYNAQKRSKRHW L198_07702 MKAEVLQITAPLVTKILITQLTAAYNYHHDTTSGRSPDDSQRPK SVGYMVGVAFGLWLMLLASSFMSHYTNFETSVMGRELRSALITMIARKSMRLSSGSRL EMTNGRLTTMVSVDCASIESLCPISLDMTSAPISIVLGTALLINNMGYSALVGLGVLA LIGPYQTFMFKRISRLRKAQTKVMDSRVRLLSEVLNNIGSVKLYAYEKLWADKIEDMR KEELQKRRSNSVGKSSLNMIVTFIPTLAAIMTYITYSLSGHTLNAAVIFSSLQYFNIM RVPLTMLPQLLSGFSEGTVAVDRLSTLFEAEELDHVIRIDTSSRYGIEARASFQHEEA SPDKAGAKAGPDAASNTPFSLKNIDLQIPRGSLVCVVGSVGTGKTALLSGLLNEMKRT EGEVVFGGSVSYVPQHAWVQSGSVRDNVTFSSASGDVDLKRVEEVIGACALRRDVNMW PQGVLTEIGERGITLSGGQRQRICIARAAYSQAPVVLLDDPLSAVDAHVGNHLLHNCI LNGPLSHRTRVLVTHHLDMLHHADVILVMGKDEKGDGHIIQQGTYEQLMSATGTFQTL ISQFGSTQDSSSSGESEGKDADEEAELIPKDDTEGEETDPSNKGEVKKLVIDEDMAQG TISWTSYRQYAQAIKSWALPPMVMSFLLLAQAATVFNSLFLGFWSENKYPNLRQGEYM AIYGVLGGLMAAFSFGAVFSTFLAAISASYTIFNRAWNGVIRSPTAWHDRNPTGRIIN RLSNDVENLDDRVPDLWYLLANAVMSIFGALALILYVYPWIALLFIPVYFFYVLAFIY YRITARDLQRLASLTRSHIYSNFGEQLTGLPVIRAFHQQSRYNRKLEKSVDVEMSTVL CGKMTQSYWMGIRINFMSYLLILFVAIFGVVFRNSVSPSHFGVVLTYVIATSATLTGM IGWAGNFEAKMNSTDRVQAYGELTPEAPPHLPSDPDNSSGPWPSEGAISFQNVELKYR SDLPLVLKGLTFDVPPGEKIGIIGRTGAGKSSIAQALFRTVEIASGKIVIDGRDLRGL GLDTLRQRLSIIPQDTFLFSGTIRENIDPTNTHSDAALNDSLNLIHNVDSSSHLIRAK FGLDATVASEGSNFSAGERQLLALVRALVRGSKVLMLDEATSSVDPETDALIQRIIQS QFSNTTASTFTHRLQTVAYYDRILAMDDGKVAELDTPLNLFDQPGSIFRSLCDKSKIT RTELLRIRRDAGLGDH L198_07703 MPPSLPMPRIMYGTAWKKDYTSELVIQAVKAGFRGIDTAAQPKH YREDLVGKAIQTLLSEGTVKREELFIQTKFSSLDGQDLTKPIPYDPKVPLVEQVKQSV QSSLKNLGIDYIDSVILHSPLRTIDDTLKAYTVLESFVETGQIRQLGISNIYDAEQLE HLIRQVDVAVSVVQNRWYEGNAWDWEVYDVCQKYGVRYQSFWTLTGSPTLLGDPYVSG MARKYGVTTSQLMFKLCQLWNITPLSGTTSPDHAREAIAAEEAKIEKDAEDVVKLWDA LHGISR L198_07704 MADYLSPYHPYQPEPNIAPFDLATIPDEDIDKANTNQELLPKAL KNPNSKDVVLSPLILGCAAFGYGMYTDQDHLTSSLPLRIVRLALRSGITSFDTAPWYN PSEIILGNALRALDYPRGSYHLITKVGKYGPNCRDHIWDPEVIKASVERSLKRLGTDY LDAVYLHDVEYTLPPPSCSHTPLSDLSSVLAQPLVPTPEESTLLLAISILRALQSSGK VLKIGIAGYPLPVLLRLSLLVYNTTGTPLDIVQTFGHHTIQNNSIEKGYLQAFEQQAK VDRVISAAPLSMGLLTTDGGPVWHPARDIPPLWHAARAASSLCLSSQTSLEQISLSYA YRAISQPSGKRVPVVIGCTEQEHVKESVKMWNQVNHEDEEHSKGSKELEGKVRELFGE EGVVDWSWTCPTDEQRAG L198_07705 MSRPNTTVPIPLTDVTLDSICETNLAADLYYQPTLAPITQRPTN DQNNANLHEAYVQTCHDADCNAKSISSRVPFVSGERAVAFYGRDKYSKEAFEQSLRNG HWYCPSHSAGAPSNPVIAIESCGIEFESRDDDEPYAEEILGSGEDGPMHRWRCTAPII VFEYGSVSRDEEGYRGCSRFHKALSLARGPDNRISLPSIETEENALVQYTAAPHPNNQ MLLPPVTTLLRLTSSEEDSRSVAGDGAPSHLNDPRQSAWPDNDILLVDADDEAPSPFD QAWNNTAKYFDDPRHPASIWCEAEPIPTCTVCGSSVIGGGENASREERKQYWKSDIAK KDTLASHRLGTITHPACGPVWEQQTAGLPRIQAECADSCGVSFNIVSYHEDKWPNRHK TDATSLQTNYYALPWCRSGVMEDFLRDKKYEMYEKSTSAWRSTNSSLA L198_07706 MTYLSSALAGPGPSSWLYRLSLRAPPSSSRAFNSSSKLFAASQR PRKPPPTPPKRRKAVPLQSPKPHKPAKATHSSDPPRPVSKYPKKLDLPIANVIFLPPP PDYDISPVLQQLLDALDGGKIDIILPVWSHLVDLDAVSRLKDAHFRQIAQTISKVLTR RTAPDLGRMAIKQPLEYGLFLNMAIEAAVRHHIGGLTMFMFRAMERGRPGDVARAYDQ TKAKMRLLQGKDEKDLVSWDRQKRLAARLEGPGLRTLTLANVAALTLLDQCDKEALYS MLDADINLRPQSVYNFGPIYRMFRRISKGDKLYDKFRVNLDNLLLAVQCYHPPVFVKR IDAYSHAKSYSGLYSLYDKVLESTEGKGAFIMTRDLDDFASHMRLARDISLPPVVWLA FMRAFEWHSQPDRIAEMVDTIFPARQLRINSFFLAQAMVHMSVISSRRGLSRSIQAKA RAWVDEYWRRLTSNGWHMEDQVFSRRVKSLAVLIKKERRLEGEVDNLYEAAKAGHLGK IGPKTRAAFVESFMAGRNLARALEVFSAFPHNPSSFRPNEWSKNVAEHDFTEATGQFI RFLAMWKWDDKVPMTYCRYILAIIAENKVPIEPRTLGPLLSIQISSGLPIIPTVDAIL SVLPTRDMPTNAMVRWTEVLAGMLTKWTHVSTPNARELEAGLYILQRASTEELYGRKR IREYDMWTRYLRPAAKTDQVDNTTRSAFIDAAMDCFPGGYSAMSTFLHFEIIHTLLMR PDRLGFGEGWKRWNSLLADRQVEPEWYDRMLRLLLLTDRTFAPLIVKSAFAASAVPAD QAFWLRAEDAGLIRELGLGEELEIRRQEMAGWEKGWVDTLVRYGREDGDVVLPEESRK LQEKEEVGEEAEPDYEVEYEA L198_07707 MFFFRIFNNNFSSKGKTNSSQATYAMPTGQKAPRVDEDEQLLRL RGGCFGGHFDGNRGTGGTTGAGAGVVMSGGGATSACGGTAGGGGGGGCGGGGGGSGGG GGGGGGCGGGGGGGGC L198_07708 MFFNIFTKKTSSSTAKANNTQASNDAPTGQRDRPLDEDEELLRL RGGAKTRAAPPTTAPVMHIAAMAMPIMTLIRQQHTCRRAGVAYIAVEEE L198_07709 MSSPRSITISYSLTPPATTSLPPSSPTEPQPVPTSNTLSFPIAP PSPLKIPYISATTKYYTSTSASLLSAQAVLNEKLSYWKEAIGDGEKEKEAGEGKGYGR GKAMMMSAEVNGEMESSGSGSEGEL L198_07710 MSSLPPAQLPETWALAKAAPADAKLHLYTVGTPNGYKPSILLEE LARAYPGNKELVYDFHALRFAETDQKKPGFLEINPNGRIPALVDDNVKVGGEGHKVFE SASILLWLVERYDKEYKFSFEDPVERSRVLSWIFFAHGGVGPMQGQANHFFRYAPEKI PYGIKRYQEETARLYSVLEGQLAKKESGGWLVGGRYSIADINGKSILLLAAVFPWVRV HAWAGVDIEPFPAVAKWLEAIEARPGVYAGLGVPTRSAVKRTKEEEEKLAEEAKKWIH KKD L198_07711 MSADKLPKSLQATEEDIQLLLSAQSHLGTKNCDKTMEPYVWKRR ADGIHVLNVGKTWEKLVLAARILATIDNPNDVCVISSRPYGHRAVLKYASFTGAQAIA GRFTPGSFTNYITRSFKEPRVIIVTDPRVDHQAIREAAYVNIPVIAFADTDASLKFVD VAIPANNKSRHSVGLLWYLLCRETLRLRGTIPRGPTGPDGWDVLPDLFFYRDPEEIKR EEEEKAEAAAAQAVEDAPAPPVGQEWSAENVGEAALAAQPTDATLDWSAEPTSGDWAA EPAAEPAW L198_07712 MSRTAAPTPPPQTYFPQKTATPSMRHNAHSTSSAHFARHGHGHG HHPHSFARRKVKNLPSGTFRKTPEDSSERDAGDAEGRREEDLHGPQSIASSSSSNQSS QSSIPSSNDSLSRSSTPLTSASMASIISQTPSTLLTPVSSDSEPCIIDADDISLHSDS ALSPGYLGGQDLGQMFLGGEGGKPSWVGKMVMGVVNTGRGFIRDEKRRGSFTGRRSSS QTGTSTPSSLTTTLPLRLPSPSSQLAQPSAQPAHPAAQSTGVKEEEKDKRQREWIDSE NRRIHECARLCSQWPQSGYNMTKHGPKGANIPYQPQSFCNPHYVAMVMQRQAELEQQL CTTSSTFFSCQHQPQHHRGEHESDDETDTSVSEPSGSGSAESSPVGSVLFGSAARFEG ARECKEEYEKKRAEELREAMASSLLCCRHSNASLPSLPHETDMTMQLDEPLSNAHSRS LHLPQRQTRQPTRVRAQSCGAKRPMVGQGQQGEEEKRRKVEEDEEDVKMVVEEAVDNG VILSPSESAKASVSAAAAAQGGRMSASVPDLSKAHAENPTPAAVFGMVVKTSESHPII VSPFFPSELLEILSRNVVGQVEEGKRMMLGSRIDVPSLLLGFAPPSASAPIPSPLQSS FRDLHLSPPSPSPSSSVSSPQTQTKPQTLGNLLLSSCPGKRLRMDAPSKGRGPVCRDL ATDLRRIKGEGVGALVCCLDDEELALLGVPWETYRDVAVGTGLDVIRLPMPDGFTMVS MELFDSQVALIAQKYTLQGINVLVHCRGGIGRAGMTACAWAIKMGFVQPHPSLTIVEE AARRHAAHTARSAPAPALNPSSTSTSSSSYTPNSSSSTAPAPAATIPAELEHQITMSI VERVIAMIRCRRGLKAIESYEQVQFLRRYVGWLREKA L198_07713 MFSLRTLPHPCTLRIIHLLPPFFALLTAFFLVLSGVTGTRVGFY FLRVQYWGETEAGGEQGGGEVWEVGGLGSCEVGQECTGETTSPAHWGSIHSLLIFHLA SIPISSPPFTTRELRLHRGSTMCPSCKTKEEARVAAEQEAEQAAANAK L198_07714 MPRHTLKPLSRQFRPTRPGLDGHGTDQSMWETLDRVQVLGGTDG GGHTGCVNALSWSEDGQTLLSGSDDRRLCIWQPDASPLPNTISPHPLKLSTMIKTGHR NNIFSAKFLPHANTPTIVSVAGDRDIRVFDVERLGRSEETSENGESWDVLDGTGAGAA GVRTLQCHKSRVKRIATENSPSLFLTVSEDGTVRQHDLRLPHSCSAGFCPEVLFNAPK GVDLYSLSVSPVMPWMFTVAGTAEHALVCDRRMTPSSSSSSWGPNTRSDGQVHCVRRL GLPNEEWERVLPGRRRFGGDRHISSVRMSGERGDEVAVAFMKHSTSLFSTYDSPYSSS AKSPNSSPILSPNENAKRTKNNADKSVLGKRPTSSRSLSPPPPSANPGSYSRPAPAST TRNEEPLSAEEIRDLRVLPLPPGEPQPEEELDMEPMSPGLQDFYEGVMEEAANMAEER DQRNYVSVDTFDDNGNLIGGEVFYREGDEESSNPNPESSSRPAPASTTRNEEPLSAEE IRDLRVLPLPPGEPQPDEEFDMEPMSPGLQDFYEGVMEEAAIMAEERDQRNYFSVDTF DDNGNLIGGEVFYREGDEESSNRELLIYSLAKKTKLINLPKANSSHLHNLADDFLQRP VAGPGHDDSDEDDYSSLDDDDDFDMFDEDAYFDADEEDEDFFGSPIFGFPFGAHASTA AKDKYDSVDVVHPVRSFFGALNTETVKDCNFLGVRSDKIASGSDDGHFMVWDKDTGRL EGVWEGDGAIVNMIEQHPTLPLIASSGIDSTIKLFSPLHHRPHPQPSFNRSNRGDEIV ERNSRVPRFVSGGGAGDDLFAMLLSRGIVVE L198_07715 MLSENSSNLPADTSNPFMSKSPLSPPKSRVSPDSSLASLRQGMG RMDISSKGSSVPYMTETRERKESKDTTRRELDRFVPSRPASLAHSSHSGSAMPTLAMD AATPDGSMDTSLSGAHDQSTSSLQASLGINNGNRRILSFRAPPPPSSRATSHLDAQRN YLLQSNASANRGGSTASGKETKKRAPPYMPERVLDAPGFQDDYYLNLIDWSCANRVAI GLGDLGYVWDAETGDVSALGSGVADEEEPNPVTAVSWSNDGAYLALGLDSGEIQIWDV EENKKMRTMKGHQARIPTLDWHGHVLTSGCRDGSIYHHDVRVAKHKVQELVGHNAEVC GLAWRPDGQFLASGGNDNVVNCWDGRIGASVLQTDEGTPRGVAKWTKRNHTAAVKAIA WCPWQSSLLATGGGTADKHVHFWSTSTGARTASLSTSTQVTSLTFSPHSKEILGTHGY PDNTLTLWSYPGLEKVWEVPAHDSRIISSALSPDGTTLCTGAGDENLKFWKVWEPKAV KKDRDEGESGGGRGKTAVRIR L198_07716 MSTNSVHVSFQRREVIAGLRDLVLLVGLVAWWLGMSELKKYRPI GLILLPYVLMRWKWCATPYGTRVFGAVFATDAPPPTARQAQSLAATVLPTFAVWCLLL CCEVLAIVNVVLVLCLFRDLPVVSELLSELFEGIPCPNPNPDLEANDPSLAPPAIDAP PPNAGPAQVPPEVQAQLDRMEALLTSQAEASTVLPSLALWCCLMCCERLANVNLGLVL FLLKDLPVVSDLVSQVIGRV L198_07717 MRWIKANCAFAATGPYSSLYEDMDRFIVRFQCYQQELGLAMEML VDWEAAHHVPRTWDNLGDARTSTFKNRYRLKPSKAASSSRFPHYPSPLFIFTVQDIFR FISYLRTQPLMEMLGDVVPDWSSGCHTRHAEGAVRFFKVGTRVYAASNVQQGKWLF L198_07718 MGEHESDDETDTSVSELSAYVSAESSPMGSILFGCATSFEGAGG CKEEEKYKRAEELREAMTSALLCCRLSNASLHSLPQETEPLSTHSPPLPQREARQPTR VRTQSCGAKRPMVGQGQQREEEKWRKVEGDEKDVKMVVEEAVDNGVLLSPSESAKASV STAQGGRMSASVPALSQADAHARNPTPAAVFGMVVKTNMVGQVEEGKRMMLGSRIDVP SLLFGFAPPSASAPNPSPLQSSFRDLHLYHPSPSPSVCSTPTQTKTLGKLLLSSCLGK LFRMDAPSKGRGPVCRGLATDLRRIKGEGVRALVCCLDVEELAFLEVPWETYRDVAVG AGLDVIR L198_07719 MNPTPQPVPTDPLASSPPSHPDSNKQDVVRAFAHVDDFWGRLDL SLYLWLVIFFGGNVGVLLYYIFSPKEGVHSVARKWGAIGFTLLATTVFHGSKPRIFQA LAPFQVIRAWNSNFLEISSIPMTTVAGGAERDERNLRVYGMGKFCFHFIEFFSKIPYV LLNLQRVVSGSVVVVSHAKQCVVVG L198_07720 MAPFGPRQRHDPAPRQPPQQQPQNPNVRNEVFENIFGRPAVGHH QSHGPPPGQHAPPQPPPGGTYGYKPYPQQQQPSSYLLPAQPSYPPSFPAQGPPRQQPS PFAYRPQPTPAPQPLPPAEDPYDSVYGGVEGGYGNRSVSAGHPQALMPAGRRASLAPS TYSGTSSASAYSPNPNDIASPHQPTARLPSATFPTPKPRLPSGPNLQYPAGAMTPAQA YQTNVSSGYGAAQVQVPAPHGMSSGAPVAFPRRPSQPHYGSEASSSNASFASSSNPAA LGRMPTSNSITSFHKPTTQSPPPPPNVERSTTPDYIGQMGHLSFENSPVKGGFQDDVA NAGGREDERRDRHGSASGMARASMHRRMASDSSLSSSGHTTKPSRPVIAPLSTIDATR DEAIISTPTTPTGSVSDMYTMALLNSSRQSMESTLSLPLPTSDHPLPPGAAPGFEVRS TSFSGSTRSGEHTLKGRGSGFLHRHSHDHSNSFSISRHTSVPPATRQALSALPPIYPA LLSAVAGAFKQIITLGDLVKDGITYKDSFEGRLAVAVIAEIIKTPDRNLALLLGRALD AQKFFHDVTYDHRLRDNPHELYQFKERLATPWLEGQENGGTESPKSEPGGLGRNGSGK SLNMGMGMGLGSGLRALRQARPNSDTLSMQTSGSATSLTSTPATSLAPSRSGTLGVDL NPEEDEDLPVGVFTLLTDCYSPTCSRDSLCYSINCPRRLEQMKRLNMKPEPGLTRKLS RESLHEVKETGTLWIHSVSQDILDSVDDREKKRQEAINEVIYTERDFVRDLEYLRDLW VKPLRTQEIVDLDRRDDFVRQVFWNVHDVLAVNQPLAERLTKRQQKEPVVSGVGDLFL ERVPLFEPFVTYGAHQLFGKYEFEKEKGSNPDFQKFVDETERKPESRKLELNGYLTKP TTRLGRYPLLLEAVLKYTPDDHQDKKDLPEVIKMIKGFLGKVNVESGKSENIFELAQI EQKLVFRPNENIDLRLRDKNREIVHKGPLKRRGGSREEIADLTGFLFDHAFLLVKPKW VNKSEQYKVYRRPIPLELLVLVTPDESYNSSKLSHGRTRLITRHTTSSNNQAANSVAA PPRPESKHGFSLTIIHLGKKGYSMQLWVDTYIGRKKWLESIDKQQTSLREKSCVFVSE SVADGSPSGLRKVNCASPYDQGNRMIFGTDDGVYFANLRDDKLKDPVKVISLIDVTQV DVIEEFQLLIVLHERCVTTFPLDSLDPSDPAAALKRGKRISSHTSFIKSGVCLGKTLV AIVKSSTLSSTIKVMEPVDVGQNKKKAQAGFMKRLNGREDALKLFKEFYIPTESSSVH FLKTKLCVGCTKGFEIVDLETLDMQGLLDPSDGSLDFVLKRDNVRPIAIYRIEEDFLL CYDEFAFYVNKNGWRARPKWAIVWEGVPTAFALHYPYVVAFEPTFIEVHHVETGHLVQ IIPGNNIRCLFADTPPSRVNAPPPPNRMMYPGQAGGVYGRPPPHPGYPYPPNTAAYPH APPAGRGYYPNPPLTSGARPRPPPQMGGYPVPHPHQQAPPGPPVNRFARSQVVFVSDE SHVQFLKFPPPPTPGPQGQRGSLGAQSTTSLAGPRGSR L198_07721 MSTPNHRPFKRESWRPHQPPQHPAQHGQSSQGPQGQGQGQGQGQ GQGWGRGRAGPRDQGWNRGGAGGDGRGNDWERERERERERERPGRDDGWRAQGKRKEL SPERPRANFVTQSEKERQEREARRHGGKDSERQGFNGGGGDWDRDRERDRGRERGRGR EESYNRPPLPTSERPPGQSGNYTNPPVPHNRPLPPRDQQGPPTKRRRGGRESPDYGVG GGSRDAPSSQRPVSPSLSAPSRSRDRTRSPTLSHSQTHRQRSPSPADSDRLSTTSGWS RRAHGRGRDHDRDGGYDRPRENRSDRGDSSRPHSDPRQHTPHAHTQNRPPFPHSQPPP DRSDRSGDNGWSLRRRGGPLPPPAPFTPTPNRFGPGPGPGQGLNGVPTGPASGRSGRG FEDRRFDDRPPFGPNANYPPGPGPLGPSGPSGPPGPPGPPRNTGRDIPMRQWGRPPNP SGDAPWDDRPPSRPFPPTDPSPSFNREPPNSEGNGRWSRSDMMDRERDVRDGPSAGYG GRRGGEEREFGREQAEKYGYDRIKPSRALRGQPPSKRGSPAPSEPSGYTPPRPVPISS PRHALSPREPERLEPPHRSPSPEPRYQDTYPSYPSPRPQISIEAPTSFAEYIPRPEDP LVPIPEPEPQVEEHQPLQRMEPLKISFAPIVPAKAKGNGGTEGKGGWKSLSGVGGVGI ASGVEKRKLSLFDDEAEDEAGDGGSQKESQAEVQAATAIEKNEQATLPHPDALIHRLA LASQRYNTLLSALPTFLRAAFDAYATLLPSPSSSVNPRHPLPPMDLFLDEFLNKRATM DEITRVEEVWDARMGWEAQEEEGRMYLEQVGKGGIVQGGEERDDLDGEKMEVDAGPIV DVLAQPTPAPSDTSAQPPEPAPVPEDIAPLPVYQKITHVGEGTYGKVYKARSTITGQF VALKRIRMEGEKDGFPVTAMREIKLLQALKDGNVLKLLEMIVEQGSVYMVLEYMDHDL TGLLSHPTFRFTPANIKSLSHQMLAGLSCLHHHSILHRDLKGGNILINARGELKLADF GLARIYEKDRGRGRREDYTNRVVTLWYRSPELLLGETVYGPEVDMWSAGCIILELFTT KPIFQGNDEIHQLETIYSIMGTPKESEWPGVKALPWYELVKPKEVVGSKFRSSFAKWL SPAGLDLVEGLLFYDPLKRLSADSALKTPYFTSEDPTMEKPTQLEGIGEHHEMTAKAE KRRRRMEEGESRHGS L198_07722 MTRFKDFFIPCPNLNPDLEANPDFDAPLSDGGERDVWWPGSQEL FAWLKHPFPEGRLGKGRQVFEGLRDLVLLVLLVGWWVGMKGDMKSWRLLGLFLLPYVL MRRKWCATPYGTRVFGAAPADTAPADTAPADATPTHATPTHATPPTLPLLPPPTEHSP SPLRPSTSESPPPPPPKCRLRSRPSWTGWKPCSLPKRRLPLSHPPSLSGVGFCAIRPP TQPVANPAFQPVDFTPQPVAYPAGQDQRAYDKWIEIEYRDIDSRQSPPKEDNEARGLA KGKIDDHREFSVWATAILPTFVFINSIVVCLVGSAIGFTLLATVIFYGFKPRIFQALA PFEVVRSWNSNFLEATEIPMVTVGGGAGQMPERDERSLSVYGMGKFCFHFIEFFSKIP YVLLNLQRIVSGAVVVVSLAKQCVVVG L198_07723 MRQSTRATRPRDIYEPEGPPPSTRRRLPPPSRRAAPVAAPPLHL EEANAVRQSTRATRPRDFYEPEGPPRRPPLPPPSRRTVATPKVTPPILGGEEDEATEV GRARR L198_07724 MDPAAWSKVTDGPVFSSSDSEYGPGHNGNGLKGHYFTEFAHQHI KVSRQEACKAWLACIDLVHILEWLSKAPPKLVAQKARAIDTDVASWGMLFNAPTNDFS NSWWRLLFREWRNVPGNFPKSFMLCTHGKRTATFQQLGSSML L198_07725 MIPSHVLDTSAVSDNWAHFCRKCSACIAEDKSADPSFSHAFTKV PSLSRANGMVLGDIPAVLAGLTWVETVCIARARASRCCVKIKGHGSHQSKGNVVILPQ AASELSRLLPLPASVIANEIVVIWVANQAEPLTAEKIPKNLLTVRRDKIIAALQWLRE NNPLYSDVSIDGDALTTYPEDGHLPIPCFNSVASASTEAEGAGYVPPATAPSQSADAS QPSEDVMVDVSGCVDSNSSGHHVDLRKVSALTAIKGGAQFLAYPSGATPMQEYSAPET FAALYPLLFPYGCGSFEDRLRPKKVSFAAHVAHCLRYKDRRFSTDVTFPFVCLNIIQR RQASFQTHLQTEKASFSELVPLLKRVDVDTLASMQGKLKPGVRFVPESEQERAAVTLL RKLNIMSINIFGSAGSHKDMREELRALLRHSGMPSLFVTLNPADTMNPIVGVFSNLDE TLGTGKAVSAEASARSQAAALNPGACAQGFHFMVSQERDKVRE L198_07726 MEESTTDQPSQLTSSPVDLWLLAIFGGNLGLLLAWIFSSRDGHF RIALKWCAISSTLLATAFFYGSKPRIFQALAPFQVVRSWNSHFLEISGTPMTPVGGGT GQIPNRDEGSLSVYGMGKFDFHSREFMSKVPYILLNLQRVIPCPNLPPDAGPTQVPPE VQAQLDWMEALLTSQAEASTIIPDFTDTTPVYPRHPARRSSLPAANKTRFQVPVEAAF NCSSSEKLSLGVVVRIAETEKAKELEKGDWIRLEEKKGLTKIAGAEKAGAIKPPSRKF ESRPSYVP L198_07727 MRVTKPNWVQHTVGEKKAKCPIYSISVHPDGTRLATGGLDHKVK IWSTLPILDQEAENEEQNPKLLCTMAAHTGSVLSVRWAHHGRFLATGSDDQVIMIWGI DPDGGGRLWGSDEVNVENWKALTRLVGHVADVVDLAWSRDDSMLASVGLDSTVWLWDG STFERLRKLDLHQGFVKGVCWDPVGAYLATQSDDKTVKIWNTEDWSLVESVSEPFKTS PQSTFFRRLSWSPDGAFIAASNAKNGPVFVGAVIDRDGWGSEISFVGHENTIQVAAFN PRLFFPQGEPKGRATASTVLALGANDFSISIWRNTLHKPLVVLKDIFGADLMDLCWSN DGHHLYGSAVDGSICAISFEKDEFLDLAEEGDTDIVLGEYGYEGRMGKRGKAAAAGAG ALGPTSSAPSVSTSFGPTTTTSTHVNVLQPKKGKAKRRASFLPPAPGGGGTNGFHPPS HTHTQGRDPFSGPIQGSSSQVGLEGQRMLQNANAYPTSPRTGDKRKAPSPSSPKHSSS LFRPAPSTSSSQALTQTLGTGADGGGAVRAAFQRGYEQGRAEAMEEAEVEVRGMVERE RKGIEEAAFRRAVEMVRKEGGGR L198_07728 MPPTRTPQRPPLDIIPPPSLPPSITPSYTPTPSSQTHTESRPLS EEERGRLIHELVGFHPRSLCNDITEVARTELYNAMNSIENWAETVARGKELSAEAGNG MHALETLLETHFDRAFDKYETWLLRNAFEFEKGLDVVLPWHNGLDFTRGEHVSLLPGG TEALDAQLESLRVQVEQTRQLSMQLELANKKLDKRLDTLRQREAHVGFVKEVIETSGL NPLPQNTSHLTQTISSLNTSLLPLESIPIPTSTSFTRLPDPSHGPGSASGEHTKAWEL GRAAYLNWAMGKVVPSASSPSSSSAAAASAPGSGEGQPAAASTGEGGAGAGGGGDKLE AIEQGIEQVGGKEGMEVLAESVKE L198_07729 MEYVLRRRVLQRGSGHLEDRVQWPINTRGYYLWMAKYGVTQPYD VMSLAYFSSKNRDIKTEGGSDYANQWTEKVAEWIREYNRLCRPWMNHPSFRSSDPSLL CRRWV L198_07730 MAACVSYENIVSWADHVHNDVNQDHSHFARELMRADSPSTCPPS KLQTSADAACLIINVAVYCFLMPSPPGRAPQGGGQQPLVVVMTTLQRLKIASGVIVVL FGFAGIVLQISRAASVDRHEACLSAILCPDSYDKS L198_07731 MPPSTTSDPSRSESFRALIYIVLQQYYDFIFFWTDVFAHIITIV VLAKMEYPFHLPRVQAPNLGRWHTPDRQC L198_07732 MQPNQSPASGAPPSSLAKRTTGAAGTSAGSLNPTLHNTRGAAKA GRTLAATESETPEAGFSLGDKGKEREDANSDSTAQAAPVPHTSNSTGDTIPSINITPL GDAPEPLLPQTPSSQINSAPPAHTAADTTGIPIFDANLQAAIEASLLSKFTEMLKASQ ATAPLVDPTSKPPGLENPTYPGTVPVNDTPQAKPFPSYDELHDVSCQLNQASEERDRG WNSVKDLNTK L198_07733 MSVGRNDHKYTKPHAHSLILAHVQVFLLLLSLLAIPATRIGLEP PQPLLPGSLSHLPPPTLILELPYSVFKVAHQRLQTALRRSSFLRNMPQTRRDGGENVD CVRREWGRIGGCNLEDSRGSSLGRY L198_07734 MSLSLAPAYPHKQARCYRRTCANAADLQGPTDNSENYSPKINYP NEVVFLRPLNPTLRTAHSRPYWAYTNNEACTSAYWYVVYRDYVDSLPPSVTAQIASPS TSTLHNSSLSLLTIPTASHIFLAPPRTRNVSAQYLTTRPRPIKPNPQLCPLTCPSLVV GLAVLLVNIVPVPLLALCKVRAVGAEHHRLPSLAALDCALTFVLLHLIIVLLPTISQH TVVRGFVPPLALGAGVKPEDIAVAVFAGLSEKKRWMRVFI L198_07735 MPAESIYPLATVIQTYLSRVAGTIVSLEPSTQTGRRRNDLRVRG GGGALRNADYSLKVYGLEDKSVYVVGSGKPAGMEWMD L198_07736 MIVTHTSTNPAPLSPHTVDVLTTISSCLRHVAEEARATESSLKA LVSDLEDRVGELEDESRMRQGREAAWEERLRREAAWEERLRRLEEADTSGGDGKKRKK NKEDLDVSKDERAYVKYHMNTLIHRFFSETVENTDGSVIRLHINSELKRWPETTDDGV LGDGGEEDDDDEMEEDEGESVVFRPNWEAFKDLRNTNFKKEVIARCKKDCPRLTTAAI TNEVKKQFKYAAEGVRQYKDADLSLMTKRRNACRTRVKGMQRRQVQILNQAESVVAKS REYNLIREAIEGGMLNPVLVAHGEDPSFSTTDPHGFDEFVLYAEMTEGRLLVDAAKQR YMVIIPGWWSKLARRIWFTLYQEQLTMLADRTGTQRPRRVVIAPQDHVQGFELTFEKR EPSADVFEVLVSRAARNRRDPHATPFAASPAPSDPHAPTLSSLIKDRPKLQASVEKSS RAVRPFESTEALRRMWCARETWSEERYKLLLDEGDVEAAVNDLSSSDFAESEQGDGDN VHEEDSESDDE L198_07737 MSTITAGRPVSKSEWGHFHRLEGVKTSIVIEPATDRTGEVSLFV MKNKSHHNAFCKACVKAKKEILEDTIEVVEVSEDEDEDGSETGEKDKSDEEQDEEMNL DGAFLA L198_07738 MFVLKSLLGKMWGNPANPELIQIPAGQLYLVRPDSIKGSRECIF QDAVATIRRTGVDFQYQLVITRAYEEGEEQLLDDDAETDDERVFLVDEALQFRFGALD GDPSCSWNDLSGEDGDLWEFVSSKSVPKATNSLFELTLLHCMFERKYSRSHEEATDQQ LQALKYSGRPSIKRISAGADTVEPSGVAETAGIEDQLSALAVQDDPFQGVPILHRAQA ELYLFDTEADVFVIQEAHVSADMAENNEYDTWLIVKHGTTPYISAPIDVELNARFDMN NRAFMFTFGESNGLPAMTWCLRFKEDVFDEWKDKFTIYMWEGKNKTKYAKAKPVEQRY IQEAYEDVEMAEEEDGVDEDEDEEDEEEGDTTEDEEEVSQSEGEGLYAKGSKNQHLTV GYKDDMSFVTRGDMIGVFSHDGDRLKFRTAIDRLRTTGGKAFSPHKMMLHNQDANMLL LDPNNKNAVYRMDLEYGKVVDEWKVADTIDVDNIVPDTKYAQMNPQQTLLGHSHNSIF RIDPRVSGNKLVESQFKQYASKNDFSAATTTESGKLVVASNKGDLRLFDSIGKNAKTA LPALGDPIIGVDVSADGRWLVATCKTYLLLIDTLIGDGRYQGSLGFDRSFPADSKPIP RRLQLKSEHTAYMDEPVSFTPARQAFHTNVFNTGLNEAEKAIVTSTGKYVITWNFRRL KQGRVDDYQIKRYDSHVVADNFKFGADKNIIVALEHNVLMANKKELAKVSTTFP L198_07739 MGQSPSSGAGGNKKPGPNDKKDKPKWEPPVPTRIGKKKKRGPDA SSRLPAVYPTTRCRLKLLKMERIQDYLLMEEEFVSNQAAQSGEDKTAADRTRVDELRG SPMGVGTLEEIIDDDHAIVSSGGGSEYYVNIMSFVDKDLLEPGCSVLLHHKNHAVVGV LADDTDPMVSVMKLDKAPTESYADIGGLESQIQEIKESVELPLTHPELYEEMGIRPPK GVILYGVPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKLVRELFRVAEENA PSIVFIDEIDAVGTKRYDSTSSGEREIQRTMLELLNQLDGFDTRGDVKVIMATNKIES LDPALIRPGRIDRKIEFPLPDTKTKRHIFKLHTSRMSLAEDVDIEELVMTKDELSGAD IKAVCTEAGLLALRERRMRVTKADFTAAKEKVLYGKDENTPAGLYL L198_07740 MFGNNNSRAQTSTATRRLMKEYRDLTADPLQDTITAGPVSEDNM LEWEALIQGPEGTPYEGGVFAAKLVFPSDYPLNPFTMTFDPPLLHPNIYPTGQVCISI LHPPGDDPLQYESASERWSPVQGVRSVLLSVLSMLAEPNIESGADVECCKLYRDNRAE FERRVREQVRTLLGI L198_07741 MAAGRRGAATSSFSQLEIRSHVLLCISLHLSSSLCISLHLSHRP PCEHPAPADPPADHRRFGRNNADFEEYPSARAQANAHSHQPTRPVDKDKTETELSTSI KKATSPEEIAPKQKHVRKCIVYTWDYHSSLSVWNGLRTQPILADEVQTFKALIVVHKL LQEGHPVTLKEAHAQTGWLETCGRTVGDTGKGYGVLIKAYTSFLLAKLRFHRHHPEFN GLFEYEEYISLKNIDDPNEGYETITDLMTLQDQIESFQKLIFTAFRGSVNNECRISAL VPLVKESFGIYKFITSMLRAMHRRTDAADALSPLRERYNAQHYNLRRFYYECSNLKYL TGLINVPKLGQEPPNLIDNGVAPELPERPTTDKRPERKETPRPPSSPQPTQGEIDEQR RMLEEYERKQSNLVSQREADQRRQEDEKRRQEAEYAEQQRLQQEREAQAQAQLQEQLL RDQMSQQWTNQQASQAGQVQQEMLAMRGQYERDQMLLAQYDGRVKALEQELASIGMNV GGQMSAKDDLIAQLQQQIESWKRKYEALAKLYSQLRGEHLDLLNKSKGFQLKANSAQE AIDKMERMERDVKSKNLELADMIRERDRARYDLDRVKSSHREETDRIKRDLAFANERA EDASRHKSTEVSDVMSRYNRQLTELEDSLRSKQLQIDDLLRKLDEKQSEIQHAMDEKD QEIMIMQEGMDSTLQELSDLRQNQGDTSQAFDAQVDTLILNHRRDLNAIIDSILQASM KKVDDAIVVLEAPMQTGNTTATPEYTLSMIEKAMTDATEFASTFNRYLTKSGGTHVDV IRTANEFCQSLWETLISTKGITRFAENDEASEKLISIAKQSGDTGYRFFYNLQSFRLL AGGKSEDAALRNNAETRSTLSKLSDTVEKFVPKAKNTLNQTTGDIGDIVANEMQNAAR AIEEATQRIHALMNRPKDGDRYGSLNTEVHDSILKFTLEIANAIGRLINAAKDSQEEI VREGKGTSTTQQFYKKNNRWTEGLISAAKAVAYATGLLIESADGVISGTHSLEQLIVA LNEVSAATAQLVAASRVKASLMSKTQQALEMAAKAVTDACKKLVKQVKLLANAQGDDE AVDYKAMPSHEFKVREMEQQVEILKLEKDLGAARRRLGEMRRAGYHQETD L198_07742 MAPFDLDACIDRLRNKQLLGEALIREICEKTKEVLMRESNVVHV SSPVTVVGDIHGQFHDLIEIFRIGGQAPNTNYLFLGDYVDRGLHSVETISLLTCLKLR YPERIQLIRGNHESRAVTQTYGFYLECTRKYGSPAVWQYFTDMFDFLTLSVVIDDAIF CVHGGLSPSIHQIDQIKIIDRFREIPHEGPMADLVWSDPDPEKEDFAISPRGAGYTFG ASIVKKFLHLNGMNHVLRAHQLCMEGYSVLYNDQLSTVWSAPNYCYRCGNMASILEVS PGGRRFFNVFSAAPENEVCQVIAWIMIQLIDSFSEMDRVSSSQERQQIEYFL L198_07743 MADPNFPPNLSIRPLLLQHSLSLAQTQSTEQADAIATYGIAGRV WEATRPLLEYLTPSQQYDPACPVFLSSGPRTILELGSGQSVASLHLAEGLTDRDTVIV TDLPSVMPLCEKCIESWEPPSQQHARVIARPLAWGESVSDATSEFGSLTHILMCDLVY FPHLYPLLLHTLLSLTEPKDIDDIEGTTFGPDIILSYLSRTLALEESFFDALARYFHM SPVRGGDWEAKVFICRRWKVTKEWALPGVKDVMNGGKGVLRGRSYDLIDELFGALDWD A L198_07744 MARSSTLAPNVGRLSRSQVAAKRGLFKGKKTAQAPAKAEAPATT EKKVGGAKNGEKRLVPTSKASKYYPAEDVRKPKISRKTAAKTVLRNSITPGTVLILLA GRFSGKRVVFLKQLDSGLLLVSGPHKINGVPLRRVSQAYVIATSTKVDISAVSIPESI NDAYFTKAKAAKSTKEGEFFGEGQEKKAFPEEKKAEQKAVDAALIASIKKVDNLSKYL KSSWGLSKGDRFHELKF L198_07745 MPASPAPPAPPHIQRLLPRLLKEGLAPGNAHGQSRPGELLHVLL EHCILKPLSSGSPVDPQQSSYTLAIIDRQTASNPSYLLDIFPDAPFYQWLLPRLIYIA SISRLPLLADEASAAVVSVIRGIARDSSEASDQSILGGPARAAQILDSMILFCQDILD YRDAKLFHCQCLPSNCASLLCSLSIVVQLDLTFSTAFQSTACNMLSQAIPLIQTDELQ TQFTRAVTSAISSGRFEGITKACASLASVTFSNDLEGQTALKDFYKNISLAHPEIRVE LWWCLYQRVHTLDMTDDCVGYPAINHLLTSIPPRLPHSSIQEALDSEGIIKIWLAKAR EIADSTGDSSKEHRIQAFRRHETHETDLPSLPSKKRKRDNGEATVRQDLEKLYPDTAG AEDLVDILLRQEESLAPLAPMMPRIVCGLAGEHRHTPSVSPRQLKPNILDLWLKVAVT DLSMMNALAAIFMHTPAKVVATSMHIAERAAIMDVISSGLLDSDRGMRVATSRALVSL FIAQDSHPDPHVAKRNQHGYIDALVPVLKPLATGEVATLALGEIGRYAEGDALCSVLR LLLEQLGSSHEHLRSVAYAALVNLAKARKKTPYALLNPFLDRISVVLAESIQRSPEMV AETTSFLKSSRQILFSASLQHVVPALVLSRNLDALSTVSGIVKQNIGVAIMDNIAHVL AHIFVHPSKINTTLNFLVKTMRSITDSSISVQSMMRSCTVDFCVILVIQLGDEDPNVR DAAQKGLKKAREYSEEKKTSNQDVGAFLKPHMAGIISQLNDMLHGALGKKTASEKCKM IRSLGELIKMAGDSMTSFSPQIMASLQSTLGVKELRLETLNTWLTFVDTLRFRNVGPF VGRTTGALVTNWPSFDSEAKQVAKRILDLIASNAKELKEFTDEVVGMDHIPELRHAAA TLAGPGKKAPVSVRISKVLDRAASNNVAISTASMNELKRLLLQHQNDVLKLAQGDTFD AIAAKIMSVLFTVATRDGDYDELRDLSYECMGILGALDTDRLGYQSESGTVLLMSNFN DSQESINFALHLVRDLLVDAFRATNDTAHQTYLAFAIQELLRFCGFTIKVVAQDSRGV DPQILARWGGFPKDQFETLTPLLETRFSLRDSTARTFVYPIYASTNTYREWLQGWTTD LINKVMSMPGQGRAEADSKSIFGVFKGIILKHQDVTVAHHMLPHLVLNVLLSNNQLYN DEISLEINTVLQDQVQPSGPTGRRSLAAQVIFDLMDHLSKWLRLNRVKPDKSERSVKG KPVEQVLNSIETELMAHAAMNSKAYARSLRSFEERVVQLTREGRARDDLQQYYENLHH IYAELDEPDGMEGVSTFVISPTLEHQIREHESTGRWTAAQSCWEVRLQQSPDDVTLHH GLLKCLRNLGHYDTLRTHIRGVLSRHPEWRAPLVQLEAEAAWIIGDWDTVRLVGKDCP PIGKALLALHENQDLGTVLRQVRSEVGIGITGKGYNSAQDALLQLHMIHEISMINETK TRIETTPRNANRQAIIQAGVRSLNKALEDRFHTTSPAFRTREAILSVRRTAYGLMNTP SLNPEIGDAWILSSKIARKAGYEQTAYSATLQAVSASAPFVFVQQAKLRRAQGSVLKA LTDLENALASEKRKLSGKGTNDDDMNQQIKMAKAVLLMARWANETDRFELNEIITRYK EAINFCDNLESPYYHFGHFYDSLPGETQENTVFKYHTVLMYSKALRYGVKYIFQTMPR MLTIWLDLGETTDTRKDKYVKSIHDTIRKAARELPPYQFFMAFPQIVSRIMHPDPNVF KELREIMVRVLCQYPQQALWPMVGVMQSNQIERKNMCRKVLDKAITIAKAVQDADAFC STLLRLADHPPDDKKYKSLKNDFPYVLRVLPSTMILPLQDALTCPLPTSSDTVQTHTP FPSEPIEIKNIADRVEMMSSLVKPKKLMFIGSDGKEYPFLCKPHDDLRKDARLMDLNS MINKLLKRASESRKRQLYVRTYAVMPLNEECGLLEWVANTAPFKSILEKCYARYGKRV WVSDVDLMLTKLIHHLRSSTVIIKRPWAMHASKIWTRYQPTRFNEWFLTTWPEPSAWM ASRSAYSRTLAVMSMIGYVLGLGDRHGENILFDGLTGDTVHVDLNCLFEKGATFEIPE RVPFRLTQNLVGALGVTGVEGVYRKAAEITLDILRSNSDSLMSVLEAFVHDPLVEWAR SGRGKKSAEKDVRDIRHSADRNLRPIKRKLRGIMKEKDDKNTVLSVPSQVDYLIKEAT SPNNLGLMYLGWAPWL L198_07746 MSKKSDLLVRVRYQNPLPQPPFPPKLLDVPTTVARLARPSYLEQ LAASTPLAMLVDSEMGMHINLNSYDGVWNGEDQSLNPIPDPDRVHNPIDLTLLAPFNP PPTATGEIKSAPSATDVSWMRNNSYITRRNNARRKETAAEAKEDEVVDASEAAQLLTI EKSFLDIATQDPKEVKHPDPKKRYLTVVDSYDILPDDEAWSNNYILLRFPERPSASTA VNPAAGASSPRLAKSILRPIVEDEQQMMDFYLPQEEDVANIDGAYNQAVDEEPLQRIL QLSADDTDDPEIDRTFANTHYDRIRTYEVVSTVVPEKEVLVSFQEEESRHRREELDNQ DDRWDKGRIGFRKPNETDAELRRKGRSRVADPGWANEQLRAIHGGENMAEGQGEAIDD EQDEPDDGALRAERAARDEDSD L198_07747 MIATQAAIPVPVPAPSPVPLKPSSTVLPATVPENAPDHCPGVES TNAGKSDACEGCPNQSVCAEGPKGPDPDLPLIRERMSNVKRKVLVLSGKGGVGKSTFS AGLSWALAADEECQTGIMDIDICGPSIPLLMGLQSSTIHTSSSGWSPAYALDNLAVMS IGFLLPSTSDAVIWRGPKKNGLIKQFLKDVEWGDLDYMVIDTPPGTSDEHLSIVQYLK EAGIDGAVLVTTPQEVALQDVRKEIDFCRKVGIPILGMVENMSGFVCPNCKNESQIFA PTTGGAEAMGKELGIEILGKVPLDPRIGMTCDEGLSFLDEYPDSPATTAYLDIVQRIR EILGDE L198_07748 MSTLDAVTPPKPSSLPHHIVASLLVNLDSQADQLRAKKQGGLDL EGLLYFQRAANYIAAAQIFLHANGLLSEPLEVSHVKNRLLGHWGTCPGLNFVYAHTNL LISNHQEEKNAPEFMFLTGPGHGAPAILSTLFMEGSITRFYPEYPMSREGLEYFIRSF SMPGGFPSHVNAETPGALHEGGELGYGLAVAYGSVMDKPDHITVAVIGDGESETGPTA TAWHAHKYIDPAESGAVIPILHANGYKIGERTITGAMDDLELACLFTGYGYQVHIVEY GSKSPEEASDHEHDIAVQYDMAVAMEWAYQEIQKIQSAARSGKPIVKPRWPMIVMRTP KGWTGPRKAEGKLVEGNWRAHQVPLPSAGAKGEEFDLLKSWLESYGPDELFHARGIID SKAVRIIPRDQERRMGMVNATYRDFKPLKTPSWKDYAHPIDEEISNMKAVGEYVAKVI ELNPKEFRIFSPDEISSNKLDAAFKVTQRNFQWDPETANKGGRVIEMLSEHTLQGWLQ GYTLTGRHGLFPSYESFLGIVQTMIEQYAKFIKMALETKWRGNVAGLTYIETSTLWRQ EHNGYSHQNPGLIGSFISLPRNLARIYLPPDANTSVAVVDHCLRSKNNINLVIGSKNP TKSFLTPDEAERHCIAGASVWERFSSDNGIDPDVVLVGAGVEVTYEVVAAAALLRNEG IKVRVVNVTDLLILGQTGVHPHALTQDMFDSLFTADKPVIFNFHGYPKDVSGLLFSRR AHVGRSRASSYSVLADTNTDSALQFDILGYIEEGSTTTPYSMLRLNDVSRYSLADVAV QRVSRAQPNHPVTVRAHALSSYWKHVLVEHDKYTKDHGDDPAWCKEIPEIREDAA L198_07749 MRPQYRITETVQSSAYITLSQRDTWADIQPIQQDDGPAPVVPIM YSEEYRDAMDYFRAISAAAEKSERALELTETIIRMNPAHYTVWQYRFATLIALNKSLE EELQLMNEFAVQNLKSYQVWHHRLLLLSAISPEDPAFEIDYIHRSLLPDPKNYHTWAY LHWLYSNFSTLGRISDAQWKEELAWCEEMLRVDGRNNSAWGWRWFLRVSRPGADLSTG AQKDELDYVLKAIHHIPHNVSAWNYLRGLLKSQSLPLSPLLPSVVPYTGWDSLSPADL ESYSFPIPSDVLPKDTPLPVPLALEYLGDALAEQGKLDEAGQVFGDLSVKYDRMRAGY WELKRRQCVEQ L198_07750 MRWMNTRRRIQRRASAIVVRFKSIGAAPIMKNNVFKATAGHKFQ AVIMFLRQQLGTKKEDSLFTYINAAFAPAPDDTVGNLYKAFGTEGHLIVNYR L198_07751 MSPRAAHTPPRTLPEFLRRLSQLLAIVLGVSSAGSAIWSLFILP LLHASFSARKALVDQQSDRAINIARALSRIRSLTIYGQPSPADTPAEGGEEKQVDGPL EKMSDASLQEIHSSAASESSLTRDSGATPGQAVFPLSNLSTLSSSLSRLTSALESTST TRTSLISNLESYTSHLHRQLFAARPVGSKGFGGYAVGMGSLDAHLRNEGEVESRGEEW DAVRKDIRAIKGMLLNRRTSTPVAGANRS L198_07752 MQKDIASSIEDFALRYVESGESQGEMALVFLRSKAKKGWFAELI PWEEHLITLSFQTSKPSASPLSSALMQITTYCLQHKDQVPPLTGSSDASVPYRILVEP ASPVDLFSPTSPPSHPMRLTSPPPASLTTATPATAIAQGSSIPSRPTDENISGNSMRQ GLSPASARLGYLEQAKDGLRAVSAKAGAGVGWSGRAMGAAFGKGNGY L198_07753 MLLLTTLLALPLVVNALPAPAASPAVEKRSVNLGWDYGQEKVRG VNIGGWLVLEPFITPSIFESTGNEGIIDEYTFCQYQDYDTAQSALQNHWNTWFTEDDF AKIAGAGLNHVRIPIGYWAYDVQGDEPYIQGAADYLDKAIGWAGNHGLKVLVDLHGVP GSQNGYDNSGQRGDALWATDSNNVERAKNVIATLATKYSDPQYYGVVTAIALLNEPAT YLNDELLSTTRQYWYDAYGVARYPFGNSDKSGLAIVIHDGFQDPSTYNNYMTEPEYED VILDTHNYQVFNDEYMTWNWDQHIAGVCDMASTYSAADLWLIVGEWSLASTDCAKYLN GRGIGARYDGSYSGSSYVGDCSDKSNDASQYSSEYKAFMRKYWDVQTQLYEANGQGWI HWTWKTEDASDWGYEAGIDGGWIPADAWYHDSPLSSYCG L198_07754 MLPRLLLRPPARGIHTTAHTFYSPTPAFLRDHLASHPAPPDSTS VFLLSTSLPDLPAHLAAVQGISPQSIGSFCVSPPGSEPALSLATFWDADITLFKSELS GRAPAEVGRFQRPERQRETRSEDLRGSTQSDVEGTFGQGWESIWKGENNVEKIAGLEG VQADSFLLLSDSRPAPVLSALDSMFPAAAKTGLLTAPTPFITNRPHTLLYNKEILQSG TIGLAINSNPTTKTDFGLSAMVEPVTITSARGNMLLAIDGINSNPTQLLISAIQQRGG TGLTKEEEFFLGFLEGGKVKRVTRIVSGDPGRGAMSLDTEEPLLEGQTVQFMHRSAIL FPQPLARSITFTSLPPADQIGDHPIGTPRVSEGFLASSEEGFIYSNPQSWVCTAPGAV TTASWYKAW L198_07755 MLLLPALLGVLISALAALAADPQVTVNRIENLPNRLFYFDDTPV VMYHDPAMLTVHRSEDEGKTWEQITGPIEGEAIRLVEHPHNNEMAFIIGRYTTHWVTY NRGATWQSFVTPRDASLGGKTLTFHSGHDDWILFQGVACESGGFGKWGGKTCWDETFY TTDAFRSEPQLLLSQTSQCIFARSSEAFVSAPEKLVFCVAFDQSQKPGSGGMHSIKES RLYSSEDWFENKKFVDLGIGKRARGVVGLGVVSKFMVVALNVADMGTKRAGGGDPMAL YVSTDGLEWRQTQFPHSALPDLKENAYTIVESTTHSIAVDILTSPSANIGTLFVSSGE GTYFVEALADTNRNEYGIVDFEGLVGLEGVGIANVVANREEVVGWGEPKKIKSKITYD DGSSWKFLSPPAKNLNGDDWSCDTSDLSKCSLHVHSVSTPHNIGRVFSSTAPGYVMAV GSVGESLLSYDDCDTFLSTDAGLSWRQVQEGAHKYEFGDQGSVLVIVDDEQATDHVHY SYDGGHTWTQLDLGVTVRGLVLTTIPDSTSQKFILLGTLPRKDSGGGRHAMIQLDFAP LQTRQCTDDDFERWYARSGEGNECLMGHKQWYQRRKLDAPCYVGHKFEDPVGHEENCA CADEDYECDFNYVRQDGECVAVGPEPVPPGTCNKPDGKYLGSSGYRRIPGNTCENRSG QAKDSPINKDCSDARPESGSPSHVVHEFESDIISHSYFPLSQTILIQLADSTVWQSSN EGFSWKQLYEDETFLAVIVHQYSPERAYLLTNTRKIYYTTDTGRSWNTMNVPNDPNTL GIPIIDFHPTKPDWIIFTGSIDCDDALSTSCRAIAHYSTEHGRRWRKIDEYVRICAWA RDQRLKIDEREIICESYKNKKGSQISGDYNPMELIAGGSYYSKKNKVFDSVVGFASFS EYLLVAKLNEDQGTLSLQVSLDGYHFSEGQFPPNMKIENRAYTILESSTDAVFLHVTM HSGQNKEWGSIFKSNSNGTYYGLSLDYVNRNTAGYVDFEKMIGLDGIAVVNVVSNPSE ADISGRKSIQSRITHNDGGSWKPLNPPAKDSLGQEYWCQSTSCSLQIHGYTERRDPKA TYSSPSAVGLMLAVGNVGEELATYTDSDIFLTRDGGYSWEEVHKDAHMWEFGDSGSIV VLVNDEEATDHVLYTLDEGLTWQEYAFGSPLRVKTIQTVPDDTSRRFFLIGSSPSNPS SSYLVHLDFSVITQTKCELSIEDPNHDDFELWSPSESREDQCLFGRQTMYHRRIRDKN CYVGERVVQPNTIVRNCTCTASDFECEFNYRRDASGNCVLVDGAVALSSNTEYEQCDG YTDYWYERTEYRKIPYSSCEGGERPDQGRRHSCPGLIVGGVRRGALFWGSIAILPFAI AGLAGYHYYKKGDQLGSIRLGDHRAFGGSGGSSDGWVAVAASVPLFLVGVAQEGWAWV VGKVPFLDDLVTRRSNYRSVPIDEDAEVLGNYEDE L198_07756 MPATFSTESYFETQQQPGGTQEKTAAVLQFVQKWLAVQDKKVVL VTSGGTTVPLEANTVRFLDNFSAGTRGATSAEYFLSQGYAVIFMHRQHSLRPFSRHYS HSLHSFLDFLSLVPSSTNPDEQSITVSPSVAQELFPILQAYHKVQDEGTLLSIDFQTI NEYLWLLRGVTEIMATLGRRGLFYLAAAVSDFFLPDEKIAEHKIQSGKGTLSLEMDQV PKVLKPLVQEWMPEGYIVSFKLETEAELLIPKARAALSRYGHQLVIGNELHRRKYEVV FVEPLSSTIRGDDSISGASTPPLERSRDDQFRETWLKLDDIQEGAAEAGVSAGGSGKD GEVEIEELIVKELVQRHQKWISAKPQ L198_07757 MISKPKRMSVDDSASEGAATVSAVHGLNATLGLVIHGAADGIAL GASSLASSNSSLGLVVFLAVLIHKGPTALGLTTTLLSLSLPLSSIRRRLMIFSCAAPA GAIATFLLVSAFGSGSLGGSSNGGDRLGWWTGIALLFSGGSFLYVATVIQPISSATDP HAHSHSHHHPESGHGPEPSGEDRKLGKYQRTALLMGGMILPVVLSLFVEHSH L198_07758 MLMKMVWPPEDIWNDQDGLMQYYQNHAIPIHPQPGALVASVIVS ILGSYATLLVLGRRTGSRGWTNHIFLFLAAVVFSSTAVWSMHFLSMTTIRLKASPSFN PGMTTMSLFVPMVATALSFWVVGSQLAFSVWRVIVSGITTGGTICLMHYSASFRLPNL SVSYTAVTVVFAFILAVLAATVALFLFFRLREQWQDSWWKRGLCAIVLAAAVCGMHYL GLGGTSYRTTTTTDADQLVRNSSQSIKLAVAISVMCGVILLICFLIALLDALTRRSIR NKARNIVVASASFDKTGKLLVKNDGTIPMQVISTDADLQRVLRELDPRQPTFQWLYQL SFNWSVVTPFVPRILRSVIDRSRGKVQRASPMERGMPNNSWETLLFRSRFIEASVLLA QQLDLSVESLGYMFDRVLTTGTQVPVADDPNKEKSAEEGKGGLKGDDESSIHGVTLRL RNSEGVMIFLVREIGSGHPSAWDNPSADKKQLRSVDNVDHYSSRGYRMAETRFFSKAM ADHFGVSKQEMDVFLSACKTYAKRGTRPVVQSQGAYLGLFGVRPTGERENLDVLVYNF ARHQIPAYRLPDVQYPMSPAMIAWIRQLANCTMGELLERCNQAVAIAESADDGQSLNS QVDEQLYEFQAALAVSIEALVTALRCWPTLLQIATLSPEILEIPASENDAEEPAQMVV IEVILPAPDARLTPVQSRASGVQAPNLSGRHETDKPPAPFVYTPFSLFAKSQAMLLRA RAYQEFSRATGADLNRVYPLVPTDVAAEIEAYDNEKSSGPTVNPFSKFNRMSKHNKGL LVDVDAARGVDQVGELPNSPIGSDTTESGQHEKSFGSFSSVAPLNQRIRPSTGGSDNS VAPMEGSGVKKGLTSLISKATGGYGAEEKLDDASILEPPAVNPPVFEGVRTKTDGWFM RSMRDLERTDRMGMLDGVQWGERH L198_07759 MSRPSSVSTTHLPIAPTIPPALHQLANPAPALIDAQLPGYLLPP VLHLLRQSSTHVVRKRRAQEEELRSEGLLPPRTQIEDEETVIEEELAKRIERIGLMAG GLIAEKLTLARPPLASHLDIIKFVCKELFLYVYSKQIDNLRTNHRGVYVLQSHSFPPL AGLSSYRGAAADMETAKTHLLFPQALLQGALTRLGMHSVVTAESSGLPQCTFQIRTTK ATATTPSVAGTPNPSAGLTARGHPGAVSTDGPASVAMSTGGSASTGLGIGGN L198_07760 MGIPKFFRWISERYPLTSQLITPNSIPTFDNLYLDMNGIIHNCS HPPSSENDPHFRITEEQMIIAIFAYIDHLFTKIKPQKVFFMAIDGCAPRAKMNQQRSR RFRTARDAREQRLQAEKNGEKLPEEKAFDSNAITPGTPFMARLSEHLKYYVRKRISED ADWRNIKVIFSGHDVPGEGEHKIQEFIRLNKAQPDYNPNTRHCLYGLDADLIMLGLLS HDPHFCLLREEVVFGRKSKKNTELANANFFLLHISLLREYLNLEFSSLQHEIPFEYDL ERIIDDFILMAIFVGNDFLPHLPDQHINEGALERIWGYYKELLPTIDGYLNEHGTISL PRLQLLLDKLAAYETMKFEEDLQGQVHYSGNHTKDTVAIEKARKKGKKGKTVITKYQK KILDQIRTFVQQHQKKPTDTKRLTLVDTKDQRDNAFIQEVGDELHLRVTWDEVDDYGQ NVIALTFDMEGVSQNGSAKGENDSEESETDEEDEGTQAIQRVFAKWNKAVIVDTYPEE VEQSMDARLKEAMDNVKKTYYHDKLEISYENPADMHEIVHHYIEGLQWILNYYYKGVS SWGWFYKYHYSPRITDLKGIADMKFEFDYGKPFLPFQQLMGVLPADSQEHVPLAYRDL MYDPTSPILDFYPKDFELDMNGKKADWEAVVKIPFIDQNRLLKAMAARDHRLTPEERS RNQSGVLSTQFVFDGELEENYPSSLPGSFPELTRCHCKASPFNLPTLGNGVNLILGLL DGVHLGSSALAGFPSLNTIPHQGALGFHGVVVFQSESRNPSMVITVTDKDERPKTTEI ARATIGQRTYHTWPYLQEGLVVAVSDDMFKCEVQQMGHVAKIVQSPHHGADAVKWKRQ ADNVERHYGRRYGVITGPVEVLLHIRPLKGLKHLDNGALIKDYEGPEKEIVQAYQMAV SNVAFEDERFLEQGPPDLNIEYPPGENVFFLGDVNNNYGCAAQVSKINDKTQTLDIIL AYFEGESRDNLAFRDLATQRPSSKWWPSPTLSRRLGISALALSRITSTLLVQLEDGSK TNIGLPLKFESRGLKVLGFTKRNDRGWEYSEKAAQVLQEYKEAFPEVFAKIENRSGDL AKSLELCPSADDPDGVIKAMKKWLKERDLVDFETVSLFADQLEKETCEAIEKLADHLY AHKAQSQQQIKKQLLRSVPRQSLLKPAQSIYRLQGQVFEVGHRVIMVQDPAAGGVPAG MRGVVVGLGAKSVDVIWDTPFMGGTTLQGRCSEYRGSSVPFSSCLNLTRRQFMITESS APKPVSQSAFKPQFGPRPAVQGPNYQSSTVARQPPSAGNKTLFDPSSAVKPVTARSGV AIVGTNGHLHYGNAAKGIKPEVEAPQHVSHRDQIANLLGGGFKLSQAPRPQFSHPASY APAQGAGIHPPGNAFAHPPPAFANRGGPPARGRGRGRGGFNPAVNPAGTHVHTPAAPF NGNVASQADGAGRGGARGRGGRGRGGFVRGRGRGGANGVANANGGQ L198_07761 MSANDDVDAWVAQLMQCKPLSEPEVKKLCDKAREVLMEESNVQP VRCPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVALKL RYRDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIDNQI FCLHGGLSPSIDTLDHIRSIDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFG QDISEAFNNNNGLTLVARAHQLVMEGFAWSQDRNVVTIFSAPNYCYRCGNQAAILEVD DALKYTFLQFDPAPRAGEPLVTRRPPDYFL L198_07762 MSRPTTPAYTVRRQGYNSSLSASATASTSRTGTPAPRNPVISLD EWESKAHLSDEQLSSIGAVKNRYADRPLPAKFGGQSTRPSTPAQSLTGPQSPNPALKD SLSSNTASRLSSSIPDPLHPSSITTPQQFLDHFTALTLSTEHEQDSLYRDHLAEISGL RENCELLIELLKGGEEEVQEMEKCLSYVEERSESLRGACEDLLEEQTHLLTHTSQLAH RLTFFTFLETATKMLNNPGNALVLNPDFLPMVKRLDECIGYLSDHRDFRDSEIYLLRY QQCMTRSMTLIKLYFVGTIRSIGQEVGKRLTDQSLSETATQALIYTKFTSLSTSLRPL LSELEQRVSTNPDELAPLLSECNAAYVSTRRNLMGGRVHEEIGRMKPNGSDLVDLTRA GCGYLKTTCMDEFLLYKHFFLSGEAQLYGFLESLCDYLYDHLRPRILHEPSLQVLCGV CTVLQALMVQDIPDEDEDDGLVFHSPAASPGSPYYGNGDDYFSSKPRLYHTGSSRSIS HQSSRRRASSLTGNGSPRSRAGSFAGSPGASTVHLPPPPRKKTRRPLRRLHIEVLLRM VLQDAQTRLVFRAQALLSAEVEYYAPKESDLDYPDRLKSGNKLVPRQTTVSLNADDED DTAVFELPSLEVQNSWYPSLKSTLWVLSCLYTFVDSAVFDDLAQEALIACRKSLASAS DLLLAKQGKEKSMDSKLFLVRHLLILKEMTAALDLGKTRRREWSGVGDFLRSLLDNAS SLLGYQRGSVAKSAAYAPDARTDIDRSLKSACEDLISILSVRSTFPLQGFIGQCSSYL ARAASSTTSTRTDLSAQAFAKPEKVKEVHEEFKKISVKAVEDWKRELRLYLLDKETVA VLMPPALNSIVDTYRQFHDLIRAEYDFAVAAGVMTPSGVKSMLESTA L198_07763 MPPLVRRSPKAALPTLLSLSKLVGLVPRAAQQWSAASGNAPIEP EDPPDSPVFWWKLGLSAVLVLAGGCFAGLTLALMGSDDLNLRVLSTSSTDPKERQAAN KVLRLLSRGRHWVLVVLLLSNVIVNESLPIFLDDVLGGGLYAVIVSTTMIVIFGEIIP QAASVCVRYGLFIGGTCAPVVWAFMILFAPIAWPTAKLLDYVLGTDEGHTYKKAELKS FLQFHREGEEPLRDDEIAILNGVLSLNDKHASEIMTPMEDCLILPADRVLGHEAIDEI LLSGFSRIPVHEPGQKDNFAGMLLVKKLVSYNPEDEWPVSKFQLLPLPEASPNINCFQ ALDYFQTGRAHLLLISDTPGQKGGALGIVSLEDLIEEIIGEEIVDETDRYEDNHSKKA VKRAGTAAVMRKSSHFHHQRGIIERRRVLNAFSRVPSRGESQTPQVPPGGPSSSDGIL VQINDGALVAEPVGLSENGANASVNPAKRLVISTPASGHMSPITEGSSPDAVIPELAK SLLKAEENAQANGEGNGTRVTRTLDERGQTEMFPDHRAFEKACRAMVSKYQHMTGTLG PQRTNRGWQWKEHKWMPNQGYLYRHLTCYVSPSSLSSESQPLATDSTSERDLEEEDME EADDTALLAGEDDKPVKVDIEQHIVFSATYRVPMYCFRAWDETGAPLSIASLLKLNIL HPDTPAAPLQFGEYGAALDTLMLPPDPSSPMTDEQGQQPFPLVQSTEHPTTGQLVFSV HPCKVGGAVDEVLVAECKDQCGDGGPLAWLEVWMMLTGRVADLRCT L198_07764 MAPITKGDLILVTGASGYISSHTAKEFLKQGYKVRGTVRSQEKG EYLRNLFEGLGQFEYAIVEDIAKDGVFDEAVKGVNAVAHLASPFYVSDVKDPQELIGP AVKGTTGILKSIQKNNPGIKRVVITSSVASVMSVRSRKTPVIYTEEDWNVDSIKHVEE NGLQPLSNPIRLEDTHQEHLHHERKPWSWKHATKAPKSGDNMKFGTLLELNSNIEWWE NYVDYDSLKKLFPSNPLDPDYRATLDESSSILPTARGNKKYASPDDFRQALDKERNKV TSFYQPKFGELAQSLEVLEEEVAAIEERDLTQPDTIKEEDEEDEDGEPRTAEGEVGEV RETDNLIEPSHSPTRSRSSFGRPRPSLVGRLNNTFRFGKRKNGAPSPRDHDILEASIP PFYRGGGRSPSATRSQRMSDSVGSSYFDDPGSARVPGTKAGRRSSELESSVDDLATVA GTHGRRTSISSLSSHEGDFAWSNPRHHSLGLVQMDPATVPEWAQTYQGDVEEGRNALA EPGARRPVFIWTANSDYGTVLRIGFKKRISKIWLDAYALKQYVDLNMTAFEKILKKYD KNTEFKLKKEYIKDVVLKSVPWTDEAKQELDELLGRILFLYRRVAAGGDDDLAKEQLR SQLREKVVIDRETVWSQMISGRNRSEGIFRSVTPDQILEFGSSKNVFKTPFGGIGVPN WLTMKVVMAVVAAASLVTIVQVQPLDRVEESNCMAMLVFCIILWATEAIPLFVTSLAV PLLTVFLRVLRSSDGEDERLSAADATKYIFSQMFSPTIMLLIGGFTIAAVLSKTRLDV MTATRILNAAGSKPSTVLLVLMAVATFASMWISNVAAPTLCYALIKPITDELHPKSQF SKCLIIAIALAANIGGQASPISSPQNLISLGSMDPPLSWIQWFAISIPVSSLSVLAIW AFLHINYRWEADLQIPKMRKNTDSLTMTHYYVLIVSGLTIMLWCGEKSMEDWVGDMGV IAIIPLLAFFGTGILSKEDFHSFHWSIVFLAMGGIALGKATLSSGLLDMLDSVLEHLV EGLGLYSILIVFSILALVIATFISHTIAAVLLVPIATRIGDSLDEPHPRLLIMATALI CSAGMGLPVSGFPNMTAITQENKLGQRFIGASDFLKNGIASSVLATFVIVTLGYAIMR GLR L198_07765 MLSLTAGGLVDNTFMDFVADYHQQSLEAMKGKAGKIRKTENIGN SRKTRKGGNVLGEIIHQCDKPESLNTSVAAFYEWASGKKTEDDLPAPLGNWFDVKDVA LAHVRALTAPEAGGSRFIVGAGSLAGQDFVDGIHKNFPAVKNVPVGTPGKHDEICKDL DIFDGSKAEKVLGIKYTTLNDSIIQMFESLRKRFGTTTVPSNRNIDI L198_07766 MLPAPRAPLSSTPVPNWCPDHSGRPDANAQGLSREMTTKTRADF AFIIDNKEGSDVTPTFEALHVSNHSHHSPTAGYPITLRKKKEIKTGAYESEAGVFRRI CQPSAAVETLEALHPVHHRILDELFRLKPLVVVTLSKYCYDFFLPRLYKKVYLNAHSL PATVESFLHGLSTSNGRKEEAFKHIRDICFYFPPLVYVPKGNKLPDGTRQMIPIARLK GLSPAPELTLGG L198_07767 MQLPQPRFNNKLRSYSAADVVSKRGTLKLLYPSDVQAQKLHKIL ESKARGEGGCCTATYGALDPVQITQMAKYLETVYVSGWQSSSTASSSLEPGPDLADYP SNTVPNKVAPIFTAQLFHDRKQRSYRSQARAAGESLEPPVDYLRPIVADADTGHGGLT AVMKLTKMMVESGAAGIHIEDQAPGTKKCGHMAGKVLVPISEHINRLLAMRLQCDIMG TTNLLVCRTDSEAATLITTNIDPRDHAFILGSTNPDAGELNELMLKAESEGKSGATLQ AIEDEWVGKAGLKLYPEVLAEALEEQGVDKSKIAEFVSKVSGTAHASHSATTALAKSY GLTSLPFWSWDTPRSREGYYRYQGGTQCAINRAIAFAPYADLLWMETKSPIYSQAKEF ADGVHKVHPGQWLAYNLSPSFNWEKAGLGKTEMKEYVWELGKLGFVFQFITLAGLHSN AYINDLFAKSFATEGMKAYVELIQGREREIGCDVLTHQKWSGADYADAMMMTVTGGVS STAAMGKGVTESQFGGDDKKSSHKF L198_07769 MFKNRRLVSYLFVSCRGDQRLPHDTGKYLSEEILAKLGVTYKFI PIDEQEQWKNSIDVFAKERGYKNRDQITVTPAGLGESYETKIKSFFDEHLHEDEEIRY ILAGSGYFDIRGAGEFHDEQWIRIGLEAGDLIVLPPGIYHRFTVDSNDTITAMRLFQD EPKWTPYSRQQGGTDNLGSRSLYLESVTKGSGVAA L198_07771 MVRERSHSICVDVHPIFDAPDARLAIDSIPLTIADLTFPSFERS MKSLRRSDSTGSQATIKPTPKQRQAWEMMVLKQKVMETEATPKAERKEFDFTPVEIPA EKEASPSVKWPADSVLIDLSDDASYDHVPDVKEYLRLVGFTIMQATFTNIATIDPERR FDISALTGDELVQVGREKLANAQRRGEGIFAAYPKVSDISIPTNFPPWAALLRRNLHS IAEAVRPKFEEKDEAFAPISGRSALGRKKVRSLQKKRVVSGEVGARWSMGGKLDRDVL LRPGEDGPCERMIKTNIRIPIPSSLSHLEPGSSEYRRLIATLYRIDSHPAALALRHRT SVLKVLVKRKTLLLDRGFDVSFTFDYRKAYFPRDGEKKAKGDLKVDHDWSQYLENIPI VGDWSQAVEMRCAQKDGRYEAWERMRRETLSSR L198_07772 MSVDTVSDSLAHTTLSEAKGPSPASCTTSHEKDLCMQAYDEACK KALDEYDQKNRLEFEEDLEMLKDYPDLKEVERMKQTAEEQIAEDRAELVESLEQGRLH ILRTETWKAQ L198_07773 MSSGSSAWAEPPSPSPAHAQPKPILPSFAALTLDPSLHSGPVPP SLPTPSPVSPSLAQPQPIPMNANPLADHGPLTPAPSPGPRGREDGRMDLESLGLENDF LKNWVDQQGALPPRGNVGGWFTAGGGAGHERSADSTAKGKGKAPVRPSHDTDLLLYQF TRLPTSARFNFLNSLVPELRLDEALLVSRKIAPLLRRDFLKELPIELSLHILSFVDDP KTLARCAQVSHYWNALLQDDATWRYHFSLHHLPDGPASLAALASYSPFMKRRTAEKEK SRSSSTPKRLTPFGIEKRIANLPVAKPLPIPGNTYQSIVALSYLSKTNWTTGGLLLAK HVSIDDSVVTSLCMDDSFIVVGMANHAIHVFNAESGAWMRALEGHAAGVWAMVLVSAN VADDEEEPDERVGTETPPSWYQPQPASGAYRDNGQSRRSSFTGVAAAERVPPLGNPMG GSRPSSVMGYSSLGQFDYPSGPHAGSSSHRRPSPPPPPSPGSTRKKRREGGGGSDVTG SIKGWPGLGYNMAVSGGCDRDIKVWNVDTGECILTMTGHTSTIRCLKVLPGRPIIVSG SRDNTIRVWNLETGEELHTLRGHAGSVRCVEVWDLGEEGGGGRAVSGSYDHTARLWNL ETGECLQVFSGHYAEIYSIAYNGFTDTIITGSLDCTVRVWKASTGECLALLQGHTALV GQLQLEGNRLITGGSDGRVIIFDLTSYTCIHRLCAHDNSVTTLQFNHQFILSGGNDGR VKLWDLHSGRFVRELTKPCDAVWRVGFRGDRVVVLCQRDGKTSLEVVSFRVGEGEKAR KKSRPEGSGSRRGGVERMRKLSLPLI L198_07774 MPYSLRTRTRQPLAPLDLPEDRPMLKRSPSSTSLRSPQKHSKLD PPPSPGPSSSRSRSVLRSGGMEGKRGSVTPRMSLSKMMGRGEKGMDGARSPARRLFGP ETPTSGISGAIITPPRLAPSPPMDGSTSSPFVVLPTSSNVQNLPNTGAENGQDGQHDP GFVILPDPKGKGKSKAEAGRTATTPTRLRRGRSRSRSVAAEDGADLPPLASENQENIP PPPPVPSLPPHLPEYKSKLPPSPISHPSLSRSTTTTSPSSSPSSSLLPPPMAHSASWS GTPGRRRERSKLVNELLMVRGETEMPSFLEEQAGLEEGDELTPGKRVLRSTRGKQKLA EEVDRL L198_07775 MACEMIVVEGRKSFILTFPSVTPFDVLKTRLQTIPPHPSHPSPP PISPECCQTTLPNPASTCATSPSPSPPASASGTKPQGQLSFSALRPSSAPVAPPSGCL NPSKWAGIWGETLVIEKVARAGSGVLVLPRQVDAQRLRGAGAAFGEQSRKMLGGSFWR EVRAVRAEAGVKGLWKGVGTAMMMGIPSSAIYMLGYEHLSTIISPYFLPAETPLYAST SPTTAEEGFIYEDDQLQILTPPRSSSSGITTLSASLTPVPLIAGSLARTLSATVISPI EMFRTRLQALPTPNKPPPTYASVSSDLLSLTRSKGLSVLYRGLGPTLWRDVPFSGLYW AGFEGLKTFLTRSREGGRMSWADRAGLGPTGVSFTAGFFSGTFAALLTQPFDVLKTRR QVFNPLPSCPPSFSSPLPSLPSILHHSSSSSSSSSSSSSTSTSTGGNPQKRVRPSTLA LCRHVIKEEGWQAVFRGTSARCGKVAPACGLMIACYEGVGRVLGGEE L198_07776 MSTHWAIVSMHASLLSLTLLQGNHLLPAVPQAIAGRSLHGAELL IPRCLTSAALTLADYWQGNSCTYQGNVFSWSHWMAMLKRQRQGREGSRSVKSPVLQNS TTRSYEGSYF L198_07777 MSLPNAFVTLLTTSAYLPGALVLLHALRDLHPGPRNFKIVALVT PETVDAKTIGELRKAGYDLVIGVEPIGSGRAGSSALNLMAGRPDLNFALTKIHLFRLY PFFSTLIYLDADVLPIRPLDHLFESTHPHVLSACPDTGWPDCFNSGVMVVRPRETDWE GLRGLLKDGEAEDGIYREGNGSFDGADQGLLNEWFSEEGGGGDWHRLPFTYNVTPSAA YTYAPAYKRYGHKISNVHFIGPNKPWASVGHRPAGVSNVQGKEKSFDYPSLIDRWFAV YDAHIRPSSAQHPDIRQRFVVPEHIAIWNQSPSSPSFPADAPDRLDLSELKAASTQGV LALKPGQYTSLPLEGRVDLIMPKPHLEPKPKPASVTPPAEDPSALSSPPIEAAPPPAS ITVQTTQPAVWDAQRSSPPKEAKPEMAVSHSYYPNAWESSPSQQSSYYSHSEKHIEPD YPTLPASVVNDSWYARFSSSVPDRKQLAPVFPWEERGHPRPQRVFPKGEEPLPRLVQG LRPPSISIQNPSPPTYPTSSSSSLPTEQRSPSPPTRIKSMQESMASYKNAWDELPEIG RYMQRVGGGKEVRGGHERVRSLGSVPGTPRGALGGFNLLPVAGTGVGGGGGGGSGRKG KKKEDRKGSQVGLEDSADGDDEVSTSDGEDATPPSQGQYANNARYRDRCAQTDRVRLV DEKIGVGEGAGLGTSPVVRTLPLPSRGGGGEGGAVAFPRHGEVSLTSGGTAQGPGQGH KAAPQPAYNFDLAQTQRQRTSPPSSSLGLAGFGGPYSSSSGGSSFPSSGTGNGNGNRN GRTGSTRVWDPSTDVDARRRDSQEVLQRFVRAAMGQEEAGR L198_07778 MTMSSCPWPTLVLPLLAQRLPFPQRAFGGPLLNVLAQDVRARAC VQECGGCTGGRARTIGTSCPGGRRTFRGIRGDHWLGIAVCSDGLWVYFGKVWTTERAG FDSSDVDKNGKIEEESSFCSSTSWIIVALLAAPDVVLCGPSPIGCCAKLGKLVHTPDV EGIGIELPLTTGSSLGSVRIGGVGGDNADDDAREDGRPEATTMLRALEKSRSLTTASL SGQGLVVCSDGPSVVWSGRGLE L198_07779 MPNNQVPNSPTSTSFSGTFSPGATAANTAANANAKDSSPSTPES PVMGQRADGKEQRLIVVSNRLPVTISKDANGEYHFKMSSGGLVSALSGCKKSMSFIWI GWPGKDIPMADREHVNQRLLEEYNCLAVYLSDELADRHYNGFSNSILWPLFHYHPGEM NFDAENWLAYREANMRFADTVSSMVQAGDMVWVQDYHLMLLPMLLRSMITGESAQGEM VRQELGRVKEGVDDSVVKEVLKMDPGVSEGVADEGVELLDDVEEEGGEATHAHGKPHF SRDMSTFQKQEAVAKENGKEGVRIGFFLHTPFPSSEIYRILPVRREILLGVLQCDLIG FHTYDYARHFLSSCTRILGLETQPNGIEFEGRYCQVGTFPIGIDPHQFTEGIQKESIR NRIRQMEARFEGCKVILGVDRLDYIKGIPQKLHALEVFLTQHPEWIGKVVLVQLAIPS RQDVEEYQNLRACVNELVGRINGRFGTVESMPIHFMHKSVPFEELTAMYALSDACLVT STRDGMNLVAYEYISSQAERNGSMILSEFAGAAQSLNGSILINPWDVQSTADAIHQSL TMGPEQRSSNWQKLYNYVSKYTAEAWGVAFVKELTRLSGQRPSGPAVPAGRRRSNGSS SRTSSKASTKRRSVASGVQSSGLV L198_07780 MLPTHPPSDSTPLPSSSSSSSRRVAYYYDQDVGNYNYYLGHPMK PHRIRMAHNLIVNYGMCDEEGEEHGPADIWGEGRRGVNDELAQGWGGGEMGEAELKWE KAALRGARSKTMQVFKPRRATKEEMTRFHTDEYIDLLEAVTPETADALTGGGTRCLIG EDCPAFDGLFEFCTISAGGSLGAAERLNAGAADVVINWAGGLHHAKKTEASGFCYVND IVLGTLELLRIHPRVLYIDVDVHHGDGVEEAFYVTNRVMTCSFHRFGEFFPGTGDVRD VGMKKGKGYAVNVPLRDGVTDTSFQSIFKPVIDRIMAHFRPSAVVLQMGADSISGDKL GGFNLTLEGHAECARFVKSFGVPVMMLGGGGYTVKNVARAWTKETAIMCGVELGEELP YNQYLEYYGPRYKLEVVPTNAQDHNPPEYLERIKQQVFENLRDLPFAPGVQMRDVPKK SVGQVLGITDGYGGGEGDEPEDELDQRIKKLLKRRRANPLDDPSTSSSDSEPDASAYA RPGRARRQGTGGGRGRWRSARYAQAQAKAKEREALRRKNNNRDEDDEDEDDPCGAKAR PKRSFFKMAANGVGTTIDPVGFGLGIGGGGGEGSGKGKSSGLSSGLSALAGLGVVAEW KGGVSRAGTPTSMA L198_07781 MSPLHAQAQAARLADPAVAYTLLEKLGAGNFGTVWKATHNDTKQ IVAIKMIDLESSEDDISEIQAEIAHLSSCWSDHVTKYYGSFVRGARLWIVMEYLAGGS CLDLLKPGVFTESQVAVVCRELLLGLDYLHMEGKIHRDIKAANVLLSASGDVKLADFG VAAQLSSHKSQRHTFVGTPFWMAPEVIRQAGYDARADIWSLGITAIEMLKGDPPLSEY HPMRVLFLIPKARAPRLEEGEAGEGLRDFVERCLQKDPEHRATAKELLAHPFIRGAAK TSTLIPLVERYQIYVSHLPSKPSSRPTASLNNLASGHGLTIDPSSGRGSVASDAGWNF DETIRGTRRGVPVELNLEEMEEEMWDGEVEVDPRTWDGTVKENGQRHGGLMSAETMTA SELSLPELERQPARSEASGKSTWKQRNDGVRGTVVKEGDVGDGFSTVRPMKKIDLAGS QRLSNAYIGSGSIRHQRIPESPSKLKTQPVESPTKPKSQSVEETTNSKETRDPRELAG EALVEDVILPVLANRTQSPSLPAPTLEALSLLSRGFADLAENSPQEVYGVVMDILGNM RRGGEGGRVGRVLGGMTLGREAGVGVEQANGQGQGKGEEKTGEEKKHGKEGSDLVKER SVIADLLYLRWLDGLRLKWPGSP L198_07783 MTMSKGMRRSVLVIGTGGTIASELTENGLAPLRQDSFFRRIRQH PSLASPASPSLTSSSVSTPNDLSFHSPVITTKVGKNTKYPKLVTPEMDDEGREVEYEI LDLDRHMDSSEMTPAEWNTIAGLVSENWKGYDGFVILSGTDTLAYTAAILSFLFANSG KPIVITGAQIPLSRPRSDGWTNILDSLFVAGILPYAGVGIVFNHQVFRGTRATKTSPN LFAAFTSPCVPAIINLNVKITRDASPLPPRSLTPPAPLISLKTDPTVLSIHIYPGLSG SLLSAQIAAVPTCRAVILSAYGSGNLPLDPSNGVIEALKEMVEREIMVVVLSQCAVPN VYPLYTQGRMLLDLGVLPGYDLTHEAAFAKLLWLVSDESLPFKEKQQRFEEDCAGEMS V L198_07784 MVRQRSHSIILPSSPLLNDDPSFRSVDDPPSPIFRIPSPIIHPV VIPSIPCDPIPYKRRTESDATIRPSVKQLERENEDWEDVDMTPRAEKETFIFFDIEKE EKEEEGTSDKWLL L198_07786 MFPVPAHLPRSGGEHISSPAQEDIPAPADSASEQESAVLTLLKP LLDAPIDTSKSTAPAASGPEKNKKGARWTAEEIRKVRESLEKAVEENKAKTHRLVLDN LPTISHDIQLSSSLRTDMSQVKAKLESLEKEIDITDPATSFLPPLVTVLNNHFSSLST LHTSRAYISALKSLQTKAQSVSKLEQAIWSGETAESWVIKALSSEQDVKEWSEALEIK ETKVVKALDQRKRELYDEAVQQFLGGWAELVTVAKRGEGVVVTAKSEVKLPAPRGARP NNLQMTPAPHYSQTAVLQALNSLGLLSQSLQTLQTQLLEDVIIPITASRCTLNVTSSE GETVLRVEPSASTVGEEQVLQSVRSFLSNLSPTLIPSSIELPETSSFLLSTKTITLQA LLDNFLLPLLPSSPSALPAWISLLQTALTIESSLPISDDQKQGPISRFFQSQAALEYA TKRRYDSAELVRGLVLRGWKGWEGVRWEREREVVGVEEVEVSDDEEVEDAERTPVVEK KVPVRVGDEGLEEEDGWGFDEPVSVPEKNEEIAEEAGEDDGWGFDEPIAPTAPVSEPE PEPETLPTPATEPTPIEVPKPTKAPREAKRLGKKAAKKPKEHDPWDPVPDLAPTPSEV SEASSSRSETPVSASTSVSGQEINGNGVVHAEKEPKPKAKAPREAKKLGKKVGKQVKK EYDPWDVEAPVAAAVPAPASVSDAVSSPALTDEVEGGWGWEDDAHVVAPVPVPEIRED VTASPKKRKRTALKEERKVIKEVYQVSKGCEELLIIARRLLKEVDELKSTKLDSPSFE NASTYTTIHQAIAEVFTLYRALLPTYYSQQLLEVPSLALQAYNDTNFLSFSLPSLSSS ADSPESSWDFEREQDALLALGDHLLEAFITNQLGQIDTELEGVKRLEGTGDDVVFRDS EKCIKGLVFELESLSRVIKPVVPQSERYPLLGTLLTHFTSRLSSFVLSLSDITEIESN RLTELVRLVYPLENLFAGGEGVVGHVRGWLKFCYIAEILQANLVDITYLLDQNALVDF TPDELIGLVRALFASSEKRDRVIDRIEAEGTGVGGEQEHEDERS L198_07787 MPSLDPEAQHLSPRDFDLDPDLNDDGPTAVPSRSPYHLPRSEQL RGVANRILFSRYYILFYGAMMGLSLATLVLSLIATHKGDCPPIAWHIIEVILNGLMVL EVTTRWFANGKTFPITFLNIVDLTLLAFCLFTLLLVFFSPCSSSTRSEEIFDTILLVG RNAIQFLRLGAILRRSGHSWMNPPKAIDLSRAREVDFDWEDEEDTERRVNEGGLGGRS LVGSGGGRGGYDRVSQEERRAGTGVQNNAGREGLSADDEELWDRL L198_07788 MSLNDVKLTRDGQPDIAAYGETFTAGPHAVDMHLVLPPSFGSSD NSNSSSNSKGKGKGKGKKRVLKAEKGKVWVTDYNTNLYSQTYSKVLFAPSNLTLCYLP GQYPESVALFPPLAPTPPDFGQLIEAVMKSKDKGVLMGIKEGVEVGKEESMRGERIGE SVRALREEGWGVGTG L198_07789 MPLIKKRQPQDGASFFHFDLASLRPKSSRTATPSPNPSPAPTPT SPAHSFSPFSNNATSKASRGSKGHKSLNGMPSIQHVMDAYDIPTHPAPSAAELYKERE GRSRRGTMKLPVMMPPPSGSSYGREGRKRDSWEMEWEARGGVGGGSSTQPSTPDVPSF HGSRQRTQSMKVPPVRPPPLPPVPPGAAWAPPVAADARSGWEGEDDALVMLEKLRGRV EGDGWGYGSKNAGGTAKRHTYTTPHGPLPPPPNTAPLTRKKPRPPPPSQPRPSLESIY SRHRPETQAASYRLTGRHIPPAPAPRGLPPPPPGAHVDTKSSVVGTRYSSASERAPPI PRRSSARPSYPSSKPDRGPGGPAPAYLGDRSYSSFPANTSSISLLDPSQPPPEYPSSF NTTPRQNTLSTNTLKPRGLTVQDMFRPRSSTSSSGTPSVSAGAGGRVREWVKVDRRME SDDEREGKMEVINVPAENVPRSLTGIPLPGRGASASISPKSGTMGLPSEWSGSTRIKT GPRDEHSMGFSALDEHYPSQSLAKAQSSTSPPPPSAMHTRQTKPRANTSSSSFSSHSA SFSLSPSASGGPPPTTPRHIPRKASSSARSVSSSNSSTSVVSGAVAAPGSRGGQVSGM DAVGGLPDFSALGSVMKGLRGRLGQKKGGEKVASSPRSPPGGATLYSPPNSQQSSRTS LPSPYPQVHSQIQVDSQEGIARPWTDRLAPAPLSPVKQQLQHQHQEGQVEDVYIIPPS ERSKIGEEGRSRSGRRKSGRMEKMFGRGSSASTPVSAPVSGGRPDAQTPADREKEGYE STSTTMAPGTGKGTKEEYRTSASVSVAGSDSTSECSLFFIPKPSSSSEAQGQGAKLQG VKEEYRSEKEANAAKHQSLHLAQEQRARTMLASLASPTTTSNNASPNAAPHPPSSFSP VNASAHTDSLGLNGLVRPTALTGHTTQEWEEDEWQIHAREQEERERKGLMPPPRPRTR GQGSISEERAPAFGAGSLPQSSAQEFLRSPPITPLPSQSSHPQAHPALPITPATTPTP ISSAAPDKRKKEGGEEKRLRRKPSGVGRAVGLEVELGGASEGGKGSVKRKGSASASGG PYPTPTTPNPLAAFKSAISTSPSPSIPTTIPKAAPAPAPAPAPAPSPSPAPAPTTKPL PADPTPRPSSPSVISTTSTSTPTSGSGSSADFIKEREEKERQRRALMDMWLDAKPIRG QKGSGSGSGTAPSAFGAGRKVSGGARPGTSTGQTSGEKQSLGCFRRASLDGRRLSGV L198_07790 MSSSRATCQVWSAPTSLKESGDGYDEDTYLINGILHKSMLQTPS SKQINGEEVEIDEGKEEKKAYVWSHTSIASGQDIYRGSFTTTFSTHASRDTKDKSDTE RTALANEVLAYLRDTLSLTQSRWAPLCRQTNSSHPLVEAEQKAFYELVDKSEPKYDHI GLGSVGMEMTRQVMLYGDSGIDVSKK L198_07791 MALDYDQFKGKPFQVISKLGVRYTGIFDHISQEDQTICLAQVYN HGTEDRPTARQLPGSTKSLGWVRFRTESIDSISLVENYVVPGTEPQPEEDPILASVSQ DAPSSVAQPPQHAISPAEQRYDLPAKPSTSAISAATALSRVERSLSELSTNDHPPRRG SNQGQQGYGNGYGRGYGKEVKQPEVPDAEFDFAKANERFEKEREAIKYASAQGPVVIG EVAPNPALAQTQSPPPPSLSAFLSPQPDQAGPISPPAAAKYQKSSFFDNISHSTGKVS RNEERHRNLDTFGEAGGPPPGAFAGQGRGGFGGRGRGRGGYGGEQQGSYGGYGYGNRG RGGYSRGGGRGGYGQQSGGDL L198_07792 MGDTWGLVLSMGVLGLLAWEGKVLRTAWLALNLLDTLRALRTVR SNGRRIGIQTRKKVMREALACWIIYILGTSISPIISTLVAWIPLYAPIKAVVCLCFLF TRLASSAAILSSLSPLVKPYETPIDISIHLLESLFALVYHFGIELPFGKVWSCLGVIR QGGWSGGIQRAVSWVKIGWHILQPSPKPAHPRDGAHLTPPHSPPPRIPPSAPNALPRK TPARPTNPVPKSTTRVRRPLEPIILSPPPLPQAPPAPPVRRPRRASPALPSFPAVPTH PIIVVPSTPPPLQAVNAVASGSKTKSQGSGLLGIVLDEPVRRSPRRNKGKRKTDDEEY VPPLEEDGQGEAVRVTKRALKDNEEKERPRASATAPLAGRLGKPSKRLHPSHPEHTAV KFTVSHPPPSTLSSAVPNRSSTSVNLSNTSVATNSRPLPASRSTAMLSTTASRARREA VAFDVASAAGGGRASSRKGKGIARSATLNALPASSSKTTTSRAAAVTSPSVNSKREAA EKKRQATGAGARARSTVKRAKRDDAEDEGPEEEEESTVGGKTREKVGEKRKAVSTRAQ LGAKETEDGSVARARKRTRLMGEKRS L198_07793 MAPSVTITGPADLQNLLTTGVPLRGLKEIIIDAQDDAHSMPANL ADLNTLITQGQRSPPTVTLCNVPWPSPAIASPVADLLLKLMKGSHACATHLRVNLPDH SYDGPLTRSMNIRLISTDPPVNHDDDILLLTEWVESATQRTLPNDEWLAEATAGSKEK AVFWETCVVEERWEISLGWSG L198_07794 MAEDELVRMQEFFRIQREEWWKWPPIHKDYDKDKSKPASIIQRI EEGTDEYDDSEEIAYREWMREFAPKQAIPASSWKDDEEDSGENQDSDKTKAYKSEAEI FRHIYHPSALALVNMECLRPVHHLILDELFLVKPSITVSLSKYCYEYFIPKLYTKVCF NDPHNIPVTGFMQGYKSPNGRKKEALRLVREVCIYFPPLVYVPKGELQSDGTREMIPT YRRQGVRTGYRHSWQTLPPGAKEIPLLHWEICQELGLRSSIYPDGIYMPNAEKTTFCG YMGDYLFKGNLEAGNVSRYRLGQTNYSTQWLMKTIIRLHDHIGFRPEHKTLCDPGPVP PS L198_07795 MHRPEPSTHTFLATLPCPLSPVKQSSTCALPLPLHWSERRRESS EPYDPYIPAGSGAAGGPSNNNGQNNAQSKKVGLSLNLKEDWSHGGGAGNDRALGVAVL LIAAIQSQIDETIDTMHDNITKVTERGENLDNLQNKTDELAVSARGFKTSASNVRRQM WWKDMKMRVIIGIGICVLIIVIVVPIVKA L198_07796 MRFRTAIANVSLLTKIIRALSALAKTCVIQLSQEQLRFIVPGHE SATGVQVWSQVKTGTLFEGYRIESNMNNEIWVEVNLDALHRVLKSADTAVGDGKSEAF LSDAEVTLKLGKNNSQPIWSFGVRGYTATRKQMCITHEINVKILSARRQQELEEPLCP QPDIHVILPNLLDLRNVVARMGHLGDDMEVSANHEGKLELSVKGGAVNLATTWKELRL PSVNGESSFSEVTPPPAPPDSQMFSTTVTVKGLLRFLTSHCISQDAIMCICENHCVIT YVYIGPIEDAEGVLTFYIPGKDKGN L198_07797 MLRPHSPSEYPSILLYVLDETHITVTAATALTILFTRDAHVVWL ALGALSSSLSAKMLKKMIRQPRPPPPPSSSKPSKIRPKKTYGFPSTHSSALSFYFFYL LPLFSCLPTSSSIHFSPEVQRWGAGAAVTSYWAAGLWSRKELGYHTWGQILAGAAWGG VVAWAWGAVWEKFALQGPVQGLIDGIWGATVGRLV L198_07798 MPEDNQAGSNPPPSDAGEVSDSYSTIVVSLTGRSNQRRRRGDRG GSDSDSEYRNPNVDASLHNTAAGYDLDLDGKVPLVQQLSVMTAHINDVLRQNHEEMTE EERRQFIQSTVELSLQSAGLEGCEVDFSYGPPGQEGAVGGEWTAQASNQTTRSYSSIV TIPTGASSSPHNDQDDNDTPEEQPQ L198_07799 MSGHNILEDDLDSQIVIFETVTPLLSREEGLQLIEDAFREFVTT PGNREEDAAGPENTGSPSSLNEESFRMPSLNPDDPTPLAEQLSRLTAETNDTLRNRYP GMTLEQRSQRLLSAVRSELEQRTGHSDFILDLKINDHRDPDWVQGDSNFEGEAITEGT VSVARLVPIEEGDTANPASEDDDTAGNGQ L198_07800 MGEDQIVLAPSSTGSITLSSLLSHPTPHSPSLNHTLPSIPFNAT EGHNATPTPPPYVKPPKYHYFASRYSWIWISSTILILIIIHLIRTRRHKRRLKQSLDR RRRQWKSARVSERDFALEELEQSKGGWVGRRVRALGAGWRNVMYMRGFPVWLYMPETV ADAGFTVLYTVVYLFFCLHRTTSWFPVRGYENAANQLGVMSFSQLPIILLLVSKNNPI ASLTGITYQKLNYLHRASSRVCLLTSWGHALLWTPDVWEIGDTRPYLLWGIAALTGFT MLWLTSFRFIRRMAWEFFIVSHILFSLLYLIGAYFHWNRLSYWVWPAILIWAFDRCLR FIKVSYINKISPFIQSRRDAKKGKRSNRGPQRGVKVELLEHDVMRVTIEREGWSWKAG QHAFISAPSLTSSPHESHPFSIANIPTSTSNAASFLIRVHSGFTKRLMHALHSDVEEG LPLLIEGPYGYPHSLDSYSTVVLLAGGTGVTFTSSHFLQILQNAASGRSAIKHLHLVW HIRHASHIQWIAPLLNLAAEYATKAEQACAGVDWLVDIYVTKSPSADEPWRPNTIAEP ERGGGGLESHSISHSRSQSRSHSRSHSPAPSYRPDELLTPKAQQVRIPLLSDEQDGYE GAQDREEEEDAGWGLTMKAWSYVRWNKGRADLREIVEEDAREAEGAMNVSVCGPVQLL QASKKAVREVSTMQSTREGLMSIDFFEETLGA L198_07801 MSSFPRRNSDPIIPFPSRRGDSSKSRQAALPRPFDTGFAGTPAT SLAGSLSRLSVATPDASSSTPSYYPSGDDESRPPSRAMHKRMRVLRKPSHTVSATSDS EDEDEGIEGEEGLHVDEEAKTWLLRGEEQREMSEKKEKRRDDTPPRGMAETLPPEILL QVFKYLPANHDLLSALLVSRSWCLCAFSLLWHKPSIPTISVLGSIARVLNSPNRSLPY ANAVKRLHLLAVAPYLGDGLFEYLSVCTKVERMNLTGATKLSAKGLSTVLGCMPNMVS LDLTNVIHIDDSVMKVIGEQNTKLQAINLSDCKLVGDDGVLALVEHNKLLRRVKFDRC HRISPKSLIPLIRSNPLVLEYDFQELLTLSDAVLHCVFLHAPHLRELRISGCTALTEN CIPDLEELADMSEDEIVACSERIGLQPDPLNGVLGLRPSATSFDSLRVVDMTGCTSLG DQAIDNLVTNAPKLRQLTLNKCSSLTDKSLDSIGRLGKHLHNLHLGHVSTITDRGVIN LARSCSRIRYLDLACCTNLTDACVAEIGESMPKLKRFGLVKVNNITDEALYALVKKHT TLERVHLSYCEQLTIKGVAFMLNRLNGLKHLSLTGVSSFRVQELQSFCRPPPESFNEH QRAAFCVFSGSRVNDLTSYLNEYYIPNMDANDTSEDSGAEGPSSSTSSLTVPGASHAP SPAGPRCRTAPQPPFHPSLVYRRSLTHLRDQDAWRSISPGIPSEAFRSSPGVSGDLGL GGGNPALGPGAGTAAAEYPTIQPPVYPAYHAGSQYPTPSQHLAPQQPDPFRDSSRSSS QQSLQRSLLGRYSNGPGAVVNGGDTRGRPMGPRAPSGSGPYPRYQNAPPPSPSSFATA QAHSAYGTARPPSLNAFQSAVSTLGNRTQSVDRGQGSTGLEAGWRGHRQAQGQGQGQG QGQGGQEGGGRRPRWFSRLGSNR L198_07802 MGKTLARLIPFFAYFYHYKFTPGYHNILDLAARPDPDPDAGREK FQVTTSTKLGIERKFHITLRDLFMLFYVLLHNDIKVKVNPSDDKTSVQVSEKVQGMLS AALIAILAQLAQEFHVNRCEFINLAIHDFSVTFQLKNRNIAHVSRIVFRDPATAKRDI LSEGELTNILSGIQSKTKRTAAVQMLLREKPWETEAQHSLFAVHVAGLVLPVGEDTTS MWMDGENEDLGQVEDATGPVSEVPPQQHRGTSAFKMIPYPAVAADLQLHQSVDTTHHL AMKRLSDFRWEEDSTFRVQVSPPLTTDESVVSTTGNEKSSRQEPEDLNELLRKTDHQT VLSLLSHPDLSVELLQYIGSGRLWDAYRVKLSSGSTPPQIVVAKICNLYTFMLGDANK YETPSAAAEAIKIELDLLNRLQAVQGEIVPRLYGRWTGRRQLPNGGMTRLECVMLEDC GMPFAQSDKLETESVRLLIPSLDLGKSLIVFDPRLYYDDKVERFTTDLSSNVRQQIIE SYERLHKQGILHVDVNKRHILLHPVDNKPRIISFEGAISVSEAQASYPDYNAEAEMER VRWMVRADEQR L198_07803 MPSVVLPLVQTPPFSIIALAYLQGIPVSWDTTSGETGQASYGEV KGAEAVRAELEKGVDGKEIPLPPLPTLLSSTSTFQDVTAVLDALDDYLAYRTYFAGPK FGFGDATIWGTIRGNNSAIGSIKKPGRPHLQRWFTHVETLDVPQQSLKTFSQAKSEQE KGKKTKRLESVDVVLPNAVKGKVVVRFAPEPSGYLHIGHLKAAILNRYLADQYQGKFI LRFDDTNPLKEEGEFEEAIQEDLKMIEISFDKIVHTSDHFDKIREYTIQLIKQGDAFM DDTDGETVKEQRRAEIPSKNRDLSIEENLKRFADMCEGTEEGKRWSLRAKIDYQHKNG TLRDPVIYRYVDADHHVVGKKYKAYPMYDLACPVIDHLDGVTHALRANEYWARHEQYQ WFLKALKFPNIEIFDFSRVDFVNTVLSKRKLKYLVDKGVVNGWDDPRFPTVRGIRSRG MSVQGLKNYILSQGASQQAVQLEWDGIWTTNKKVIDPIAPRYWAIAEDKIVPATIKGR ESEAVEVVKKPLHKKNPEVGEKEMVFSSEVLLEQEDAKTFGDNEEITAMDWGNAFVSK KNLASSGDVASLELNLHLAGDFKKTSKKVTWLAAPTAEKPLIPVVLIDYDYLITKKKL EEDDDLNEIINPKTEYRTRALASREVEGLKKWDIIQFERKGFYICQGTKDAEGRMEFG FIPDGRAATVALKATPAVEKPKVAGAAKGSWGKPGIKAKAEEAVAAAKQ L198_07805 MSKSPFPQDQQVIQLTTLQGAAQGSASLTTSSPVHSQQQQLAFS GDIEASAGSSTVGPHPYPYRPQLTDPNPQGDKAAEAEAVASVLPVLNDHLKRGVKQQQ VAHPPEAMESMEGEGGLEGDDERGQPSGTVATEDEEMDAKCTSISNRSSLTPAAQPQA NPHEFSHGPWNDPGPLLQSLPPRTPEYHSGRSERRPGLQLRGHTPNDREGDYGSQRPL DSTTLLDVLQAPPAIPQEQQSRAPKSQKPVHKLPNDVIQVEECESSE L198_07806 MRIPRPRPSLALAIESSADDSSAALVDTARRILSLHTISQHAQN AQHGGIHPLKAQALHARNVPLAVERVLRDARQQGITWRDVDIVGYTRGPGMRGCLHVG EMVAKGLAAGWGKPLVGVHHMQAHALTPLLTEHTPPEFPFLVLLLSGGHTQLVLAESV FKFKILMDTLDSKIGDVFEKSARLLNLPPSPSLSPGATLEHYASLPPLPPFASSSSSS PPLLKPLPIPLTQGSAKHVVGWSFAGLLAALSRRVEEHNSTSNSNPQGESGGWGEPHQ RAYSNLLQHATTLHLTTKLSQTLSSLPPLLKEKLSGMAVSGGVASNKYLRAKLEACLR ESLGEGKGVWYPPVSLCTDNAAMIAWTALLRYDARLTSSSSSSPPLSPSSSPFIQPSS SPPPPTPHIREPDPDRWAGDGYALDLRPKWSLEDLYDDVPGGF L198_07807 MAENKYQIRHPHQNYTGATPVSPTDSPARTVEYHRPARNSAHYP AGTDLALGMGPSSTPSSTSSPRLPSQHAPSSFRSPLDSPQLSTPSGRDSSYTSASSSR GLSYKGSPNPNARFSTGTAGGSNPIPPPRPMRAGTMPLELPSNNVTSWDTIPLPPSKS PSTPYPPGQTPALPSPSVYTPMSANGVNPYSLEKNMEDVKLAGQIGLPMGVAEPRLGG GVAGEKELPREPAMGGRSRSGTGRSSKDKKSMFGFVSDLLNKETKPPLISKPYDPVHV THVGFDFQTGKYTGMPPKWQQVLDDNGITQDEQAQNPNGVMAVVQYLKHQDEDEDPED EIWQKMRHAQAQQQTPGGGQVLVGSGSVTPNMSREPSANGEQQHDFTTPRAAPAPPQK PGLQRFQSERGAPLHSPGMPSASRHAPSEITTPARLAPAPGQLPPQQQGQRELDRSYS QRAPAPTKTKILDRANTTRAQGSSTKSTGGYGGPGLYKSQSQSGQHRLPPASSSGAPH QPSGSSIAAQQAPGGLTRNHTQNAGSSTQRQQPGQGAGAGPTPRRREKDKKENEEVIK QLKMICTPGDPNLVYKNFKKIGQGASGGVYTATNPSNLPVAIKQMNLEKQPKQDLIIN EILVMRSAAHPNIVNYLDSYLHQGDLWVVMEYMEGGSLTDVVTAHCMSEQQIAAVSKE VCEGLRHLHSKGVIHRDIKSDNILLSLNGDVKLTDFGFCARIADPNTTKRTTMVGTPY WMAPEVVLRKEYGPNVDIWSLGILAIEMLEGEPPYLTENPVRALYLIATNGTPQIKDW DRLSPLFRDYFKVTLCVDAARRPTAAQMMKHDFFQVTAPLTSLSAMIRSSKKN L198_07808 MPPVPIIHAHSGRPSTGPLVYARETSFRIVRALSQHESALPLAT GIAVIQETQSHSIFYGCQPCSIAAQCHIVLPLEIAELRGHIFSSRHLESVSVTKTKVE RHITPPASEPTSLTSSPSPPPASAPSPLANTQGILPPPSWYNQPTPSPTVSSSSLYTV STFDPMDAEMEEDEVEMSVSPRPLCTTYPLSRARLWLSHSPILSSASPPAPNVPFPTS VPMRRSISDIYPPTVSNISGAHSGPGMSRRATLPTQVRNPHMVLPLPGSNSKLPVLPP TPPLTSPSSPAFSDSSFSEIKAYQCKPCVYTGLFCGTLPNDPEALRLHSSSEGHKQSL AIYSAPHVLPQPHQHAHAHETSLVERARYAPYEHRRSPRPPPRDSPILHMPRPQRPHG DWKMLKSSSFPTLSRPYRDNTHSRKKQRRARSREAESEVDGGHDARGWLDALAHVAAM ELD L198_07809 MSSPLHIIVNPAAGAGNGPQFIDNHVAPLLDHLNVPFQIHTTEA PAHAGDLGLAILSAHQAAGTLEPIRVIVVGGDGTTHELIEGLQGNVINERKGSTRWEL FILPFGTANALYSSLYPPGSPLPDTTFLTSLPTPISEDVIKHILPLIAYLSPQKDAKP FPLPITLTTLNPPASASAPPAKAIPTHVVLSTSLHAAILDTSEKLRASQPGTARFKVA AQESAGVFFNADATLFPVHAAGGVGNVEQWDPKTDSWVTPYTLGEPKGAGSEWTVSGP FAYFLSTASVDRLEPAFVISPKTSLRPSPPASSSTSATHAPTSLENQNQYIHLTILRP LRDPFVSSLPPPQRSATWSQRAFEVLAKAYHAGSHINLTYPVSAAGEVGSESWASEVK GEGEVVVELFRCGGFDWVPLFSQSSVVEDKRDEEEEGVDKDRARLVCADGALHWIPQG GKAEIRLSVPGSDSGRDGGFFIWA L198_07810 MAHEGPSTQTSGSFAVPQPVNQFSVSRNPLSGILSSIRGVTAVG PAANPPIAAATPSHAGSASHKGKAPAAPPSSQSSVHSAYPSGLGHPGNRGFEGPLRLV PFDLRIPNDYPNSFTINPYKAVNQFLMGSFWTDCYPTILNERGFQTFHIVRYLQKFYV DPETVVYPTSWNTTVNFQQTPFYISLAKKFTDLKIVQALRNHATESKLQQLLKRPKRS SQAPSQAPSHDPSQAPSQAPSQAPSQAPSPAPSQGASQAPSPLPSKKLGGLEPDVCLT GYHIGGIAKGTQPTDGRELNHDNKPQRVLYALIEDKWEPWLGKSQLSLHLAGLRYANA EAISQTIYYSLIGYELSKCRSAMAWVNGDFTRILNLCDLVPEGEEVGLESVTMGGGGG RTILVEADSALVGKLRYHRHDCLSAEQFGALVTGEHFIGIPWKVPNSLIANYENWALD LKAKERLDATVYMNLALATHHPETVPDPPIDNRSRENVMDVNPSEEEAHQWRKVSERM QGRRQTRKASIKKAASAVNAAVSDTRNQVVGEEEDEEREDEEGEDEEEKDKQGGNRRD EDDRNPDGRPDGPGGGAPGPGGGASGTDNGSGDHPPSGRRSIRSTRARSDNGQEPSSK RQKRADVSGPTDNLPPSPISMIATSTPSPVSFPTICSLFKIEQPVNVKKWCLSIKQEA LTDHTFVNTAIGPNPVIYTDAEKVLPRGYWTHAEYLDHFSELGVSFVLATPLEVDVLL SRAAKFGWGGALGRGFSRLGFPSYLRRKDRSNTTGTENYGAALFYTAHTQSTTYALLK SYLLHRLYTVPPPLNLPPTSPDTVSAPVIVGPTKFPFNHPANVLDGDAVMIPSGWDS L198_07811 MDDRTPFPLFLPSSGSQGSATAPLHRVSTIPPACIPTSMADALE RVTFGPRHFYKKKKEEVTSDSTTRKRPAKAGYDPLPKRPRREHPSLPKNGALHSAKAG PSTSLPPEHPSLHRTLVASAQRTSSSGQRNPDSSDAKHFWEILNLEHVFTYQYNPEVV PRLVRGLIKVVNHLTTLSLDESLALNELVQFLFQLENRHSVFLPEPLRQFERGSNSFN FDTYEQRDKKGGSDVVRLVGYGGKEEGSTALAFSGECQAANIYAKHLSRLAANDPSTS SRLESRIYQYAPPVFSGVLDLAARPDPDSDAGKVKYQVTISTKLGIERKDDITLRDLF VLFYLLLDNDIKIKVDPSTGTSSVKGSEEVKKMLSTAIINILAQVAQEFHVNRCEYIN LAIHDFSVTFQLKDKNLAHVSRIVFRDPATAERDILHKDELDIILSGIRSETDRDEAV KMLLKRTPWETDPQHSLFAVLVAGLVLPVGEDTKSMWINGESLSNVQQASATGPTSKV PPRTTGLPTTTTTTDTSQSGSTATGGPSAFKMVSYPAVATDLQSLQHTDTTHALVMDW LSKYRWEEDPTCRVQVSRGKTSLSEFSDKSASSGSLSIPSLTTDGSGASSSSPIGPSS HTTATFVGPVVSTTGDEKSFWREPEDLDEEDLDEEDLDELLRKTDHQTVLSLLSRPDL LVEVLQYLGSGRLWDAYRVKLSSRSTLSPPQIVVAKICNPYTFTPSDAYYKTPSAAAD AIKIELDTLNRLRGVQGEIVPRLYGRWTGRYQLPNDEMARLECVMLEDCGMPFVQSDE LELESYYDDVVETFPTYLSSDVRQQIIESYERLHKQGMLHGDVNARHILRHPVDNRPR IIDFEGAISISEAQATYPDYDAEAEMERVRWMLRADEEH L198_07812 MSSPLHIIVNPAAGAGNGPQFIDNHVAPLLDHLNVPFQIHTTEA PAHAGDLGLAILSAHQAAGTLDPIRVIVVGGDGTTHELIEGLQGSANDEGKGSARWEL FILPFGTANALYSSLYPPGSPLPDTTFLSSLPTPISEDVIKQILPLIVYLSPQKDAKP FPLPITLTTLNPPASASAPPAKAIPTHVVLSTSLHAAILDTSEKLRASQPGTARFKVA AQESAGVFFNADATLFPVHAAGGVGNVEQWDPKTDSWVTPYTLGEPKGAGSEWTVSGP FAYFLSTASVDRLEPAFVISPKTSLRPSPPASSSTSATHAPTSLENQNQYIHLTILRP LRDPFVSSFPPPQRSTTWSQRAFEVLAKAYHAGSHINLTYPVSAAGEVGSESWASEVK GEGEVVVELFRCGGFDWVPLSSQSSVVEDKRDEEEEGVDKDRARLVCADGALHWIPQG GKAEIRLSVPGSDSGRDGGFFIWA L198_07814 MTAPSPHLQSPSHPAPTSADPEKSDLHPLPSQTPPTLPTSASAS LSQNLPAGLAYLEQGRAIPLTGERKVTTKWEYWGYCIFYIGASGVGPFNFASAQFQNL LYQAFPDETIRWAGSTKTLDAFILDINGITFAIQTLCFLLIGPYSDYGNWRPYILIFF TLISWAAGFAWLGIESAEKWKAATAVYMLGYFSFNVAVGFYFAAFPGLVRDMPKVIES EREVLEGRKDAEEHTKLDMLERSKLSNLSLIFSAAGATLILALGLSIPFSLIPSSSSD PSYTTQNTKTYSILVGYFTAFWVICAVPWFWKEQYRPGQKVPRGVGWWTVGGRQVWEG CKCAWQLRETMLYLAAFFLLNDALNTSGSVIGILQNNVIQFDTKKSVGLYMVAFGSEA IGIFVMNWVQQRWGLSAKLMTIVTGGAIVFFNFWGLLGLWTTKIGYHNAWEFYAWQAY LGLAVGGWYTYSNTMIPEVVPAPKMYLFFALFQIVGKTSAFVGPFIASAIIDDAGGNT SACFWFLVFSGLAGVLLLCLVNPDTAKRDCAKYLEKEARDLYREKESVVSEEGV L198_07815 MTSNLEFLFSRSKTGGGHSLIINTVSALSRMLDRENLCLAEYDT TPSFLIKAVESIVREFVGTIDLGHLRLASSSLPAKFANCLTAPSFPAFLALLLYTPPI HPPLGAGLPSPPAARLGSVPSRVRLRLGRDQGVLDWPWSWLVAGGPVGQWVGGMVLRW MELDWMGVGVGGPGIKRLGVGVMRLAYWTLQAIKKGDLNIDIERNPGGSAKRVNY L198_07816 MNQQTPLSSFLPSYDPPLYLISTIPPACIPTSMSNALERITFGP RHFYDKEREAEATDLSQVDRHASLDMGGPADRTTDEHEVTSDPLTTRKRAAEAGPLTS RTSPTKRLKVKKSSPQKKSSPQKKSSPQKKKLDASAKRTDSSSQRKPDSGTALRFWQI LKHFTFRYDSEVVPRLVRGLITVVSDLTALNLDESQALNELVQFLFQLENRHSVFLPE PLRQFERGDHSYDFDTYERRDKKEGSDVVWLVGYGGKEEGSTALAFSGECQAANIYAK HLSRLAANDSSISSQLESCIYQYAPPVKSGVLDLAARPDRDSDAKKGQYQVTISTKLG IEHKFHITLRDLFVLFYVLLRKDIKIKVDPSTGTSVEGSEAKKMLSTALINILAQLAQ DFHVNRCEYINLAVHDFSVTFQLMGRNIAHVSRIVFRDPATARRDILPRDELTKILSG IQPETDRDAAVRMLLELEPWETVAKHSLFAVLVAGLVLPVGEDTKSMWIDGDKEGLGE PLDTSATGPTSEVPTPQGWTAGSSTTTTTTDTPQSGSTATGGTAFKMFPCPAVAADLH CLQGIDTTHHQSMYRLPYFRWVEDPTFCVQVSRGKTRLSDVSDNSASSGSQSTPSLTT DESGTPPSSPVGPTSPTTATSVGSVVSTTGDEKSIRREPEDLNEEDLNELLRKTDHQT VLSLLSRPDLSVELHEYLGSGRLWDAYRVKLSSGSTPSPPQIVVAKICNLYTFTLGDG YEYETPSAAADAIKTELDLLNHLQAVQGEIVPRLYGRWTGRCQLPSGGTVRLDCVMLE DCGRAIVPSHKLETWLYDGDEVERFPTNLSSDVRQQIIESYERLHKQGILHVDVNARH ILLHPVDKKPRIIDFEGAISISEAQATNQDYDAEQEMERVRWLVRADEEY L198_07817 MTKKSTTTHLLVPLPSTTPESSNICSSKTANSIPASYSHLATLS SANGLAAAYATAHDNLLFNIVQVAQAWYTGQVVTTAGWSYHLNGRIFTDGVGIAGKLV LHERQGLNLNPSVIQFRLGGAKGVLANWPQLVGDEEIRLRPSLIKITADLDDLNVIRI AKNTKSPS L198_07818 MNQQTPLSLVVNSSDPPLYRFSTIPPACIPTSIDNALERHLRQV DRHVSLDLGGPADQTTDDQEVTSDPSTTPKPSKPSVEAGSSASGTPPSKRPRLQTPSP EENRHASAEQINSSGQRKPGSRHARRFWQILNPDHVFTFRYDQEVVPRLVRGLRTVVD GLTPSSLDESLALHQLVQFLFLLENRHSDFLPEALARFEEGDPSFGFATYEQRDKKGG SDLVRLVGYGGREEESTALAFSGECQAANIYARHLFPLAADHLSTASQQESRHYQYAP PVVSSVLDLAARPDPDADAEGDKIQVTTSTKLGIQRKFRITLRDLFVLFYVLLHSQIK VKVNPLDGKPSVEVSEEQQELLSDALIAILAQLAQEFHMNRCEYINLGVHDFSVTFQL RDRNTAHVSRIVFRDPATAERDILSKDELTNILTGIQDEMKRNAAVRTLLESKPWETV AQHSLFAVLVAGLLLPVGEGTTSMWMDMENEFVSQVQETSATGLTSDTSQPGFTATGG TSAFKMIPYSAVAAKLQSYQSVDTTHHLLMKRLSCFRWEEDPTFRVQVSPPLTTDESV VSTTGDEKSIRQEPEDLNELLRKPDHQTVLSLLSCPDLSVELLQHLGSGRLWDAYYVK LSSGSTPSQIVVAKICNLYTFTLGDAYEYETPSAAAEAITTELDALNALQAVQGEIIP RLYGRWTGWCQLPNGGKARLECVMLEDCGTSFDQSDELEYHLWYDEEVERSLIERLPA GLSSNVQQQIIESYERLHKQGILHVDVNAQHILFHPVDNKPRIIDFDSAISISEAQAT NQDYDAEAEMERIRWMVHADEEH L198_07819 MSQVWNTARYGLPPLPSVDDPKTLARCPQVSHYWNALLQDAATW RYHFSLHHLPDGPASLAALLMEHHRGIVQSVGTEKEPRHAARHAVKLVLLGGIPSSSW HKSQSKNRKKLEGDVQFAQSLNRRRPSVAAGLSASSNREARRVSSLLVVS L198_07820 MQRTETYSPNWFVRAVTVPGPDTDLCLHVSFDNAKFIFGAGEGT QRAYAQKKIGFSRLAGVFVNSGESKGRGGLPGIIMSVADAGIKTINVVGPPDLTQYIG TLRDSLTLNASPYPRDAQPGTPVNLFTSPNMTVRSIALFPPTPPHQRSPPTYDPYTPL GPVFRPSRLSPVDLQKWCHQVVSDMFQNNTTARLSQRAPSPPFSPGAKTRSEWASANV LLSPDGTINAARPDTRAPLPTPSETDVNTQMVYILETPDVRGKFDNKKAVELGVPNGP LRGKLTRGEVIEFPDPEMEGVLKTVRPEECLMGGGPGAVMIMVSCTLKTLPDLLRNKT FTEYQPLRETPGESARKVHLMVHRIPREVLADEGYKEWMRAFGPETQHLIADTTPTTS QTVFNSAAWATLSLSHLDSSIFHPPLLHPTPTPSSSLSLSPSLAGFLDLPPKTKILEP NHLCRMHPLSDMTEFRWHEKDVPFTIGPEEVQEKARERVEAEYPEYAEACRKAQDAVR ADPRSNEVQGGEPGDDLVITTLGTGSAIPSKYRNVSSTLLTIPPPPTAPDSPAQSILL DAGEGTLGQLRRRFGKEGELEGVLRELKAVFVSHMHADHHLGVNAILEERFRMGITTP LYVIAPQLIALNLRETATWQSAASDEGLRNVKFISIERLGERVALGDELEYVGRPTSF AVEPSPSSHSLAGATSPSVSPTRLARRDKERSASLERKPKEYGNKITWPFESIFGHSA ALATTQHANIQSFLSSLSLTSLHAPRVWHRGRAFGLVLQHSSGWKLVYSGDTKPCAPL VEAGKGATILIHEATLEDDKPEVAAVKGHSTFGQAVDVGKQMGAKYILLNHFSQRYPK LPKMPGVVPLAVAEEASESARLKREESVPEGILKEASIEEDVPENSSTETLAPLPENV GLEASSEASDPPVLPSVTPTAPTTDDTSSHLPPPSTAPPHVSISFDFMSLRLADMWKM PYYMDALSILFAEPEEAEVEDGAGSVVEGKANGAGTGEQGGATGTGKGKKAEKREKKQ AQKAAEGEAKIQGPGQGQRGEVKSKRALKKELANAQKEKQARKSGEGRREKGTLTESE LAVESLVVEDVVVEEAVVNEVDAKAMGAMEIEEKEAVEAVGEKRAGSPSIEEPEAKRR SVDDQ L198_07821 MHTTSCLACRGRKIKCQRESETEVCARCRKKGIPCDTKPRILGR KRGHRNRKTLERLAAEAGTSERAADYRKEAALLGASSKASLSPKSETLSIEESPELHH PNSINPLHILADTSIAHKGQEQLAPVELHDLPQPLRIQDGLQALFSPEQHSRETASRA VENVELSKTDGLASHPEYDVVNVGIVSEEQASLLFDYFMDNLAPLLHMFDPALHTFAF VRLRSTFLFSTILKNAAKYYPGFSHTTLQRLSEHTQGLQMQTYMDNARSIEIVQGLMV DALWLGQAEEGDLQWQERCWQHIATAIMQATTLRLDRLIPFCVSSNMQYQKASAEMQV KLVRNSQRTWLNLYTFDRALALVRGREPLVAEGELSSKRMLATWHTAPGSIDHDVLTT SSASSRQMLIQVQRQVAAQVASDETVRFEDVKMIVDEGFGRWTAQWKSLMTAKHYAIH DIILKASRFLVLMVPFERMLNQGRLNESTLGLCLQESTSACQSIDTWVSRQGGSGIQE ARYLCPSITLTMLTYAAVLTVKLMGSKLELTRESHLEDLFRLSTLTQLAIQLQNFGSI PLGKSPAISLGKYLFATLRQIGMVMIRSIRLPLHPSQAQAQSHNRSHSSHPSVSSTIT QQSPQYQQPAMVPPIPAPIPRLDSAYNTPNIPSRSHSQSHSHSHHRRLSNSHAPPTNL VNAYPQPSYPAPLPHSTSASTSASAPTAALTKDEYDKYSASSVAFAQLMSSLEHHNPA SFHMGYAPPPPPSQSQSQSSQTAGPGPGGDNGIRGVGHGVVNGGLDEQVRGISNGSLG LGGQVNEHGYEAVLGNGDGGAGGGGLLSEQYGYEGMDLSAFGLLLNESTGMNGWMMDY GMPMAGRGY L198_07822 MRFLFSTPQDTDPTPTMDEKPQPLVSKNGKILVVGGGGTLGSST ALHLARRGYTDVRVLDVYQTPSAYSAGNDLNKTAGAGRSGVWGQVGQAAWKAWTTDPL FEPHCHPTGIADVCSGKDPRAADLKAKYDSLVASGRAGDYAWLDNEDDIIRVAPHLKG AHIQGWKGLWGTQMAWVAASDVLNAVGLAAQHLGVKTTFGTSGTFKEPLLSADGKRCV GVRAVDGTEWDADLVVFAAGAWSPVLLDLEGQCVSKCWVYAHIQLTPEETLKMRNIST MYHHKLGFFIEPSPTGLLKLCNEFPGYTNLTPSTPYPLTTPTLLSSPRAHADHPTDTI PTESLLAIEKLIKVCLPWLEGRELVKQGMCWCTDTEDGNWLLCEDPRWEGLVLMTGDS GHSFNTLPQAGNEVVDLIEGTLSEEKRSAWKWRPGRGDPDGTGRAGGPPKDLNDLPGW KHGDE L198_07823 MLPTAINTPTSSGPALWDPSTGPTCDDIDQTTAPDCWLASVMCS LAKCNAGFIKDLFTHQTRAGNPQTSLSVVAVTAKVWDPDTLEEEDQDVTLSDTGNGEG NDDASIYVWQVYRLLWPSALQAAVKKHGGSGITDGKFDDDGGFAYKALSYLTGLEAVA EMDDSKWWDMLVSHVDTSPMTVCTNSDTSKLVDSHCYTAMTVVEDGDTDDKRKVRLRN PWGDTQNYKMSKIKDDIQYVAHLKNWDAYLG L198_07824 MAPTFVDDHITPRKLTNLEIWHAWEHFDQLPKDVLYGLNDFQTP SMYPPLRLTYYRTAPAVSADLHSLRLSKMAIWEFFERLKNPFGDDPTMGGMMFRMSFQ GVKYGESNNLKAQLDKVEAKREGANKLYRQGKFQDAMEEYTKAWKDVLPHYIESLSET DTQRPRLGYFEAVLFANMAATCIALAKASKTVKQEKGYLRMAFQCAWVTWEMRDFAAA ITVRNATLRFSDVLRRLYQNSKSPYQDRHFEQMAAYFSGQAEALEGVNKEQLFKDVEE SKRLTPPPIEALQAFGPRAWAQRWIKHLPGTSSPYILPPPPVSTLEERKKPRDLTPFE LWAAWDEMPEEHREDLNETKMPLYHLQTRLTHSLTTPAERTALHYLRQAKFHVFRTVM STAAGDSEFDAIVKMIKDKPVDQPSLEHKLAEAEMKKAQANKLYRKKEFPNAFAEYFF AWSVVLPYHTDALPAGDPLRIRLGKFESALWCNLAATFIAVSKDPLEEEAKEGFRDLA CKCAWASFAERKYATVNSVKHACLRARDTFFDQSSQSGPSFVMTGQQPDASEPGTITK FFSRQAEKLEGLPKDMLFADVEASRKVKLPDAEVWDKYGPQMWLMAINTKEMASKPFT YL L198_07825 MFFNESAMPSSTSSSPSSAQDASSMLYGSPNSSATSSPPSISSI TRFSDSNCESPMSKYFRDRKQGFQNSADVKPTAEDDVRDGAAEIVDLARSSPSPSPNA NHTLPASLFAKKTGSVMNSWREGEESETRSKRWSLGKCRSSLITASTDSSIDSATTDA TLVDPNSPSPQATAKSYFATQTYRPSLLSRGVYHPSDPKKSLYVGGGDLMQEGFTGKG MRPLLLLSRRTSSYTDDSPSRESFPSPTIEPPVAPSSPIGLGISFQPPSPSSDPAFIP SSPVSSSSSFDSDSEYDTLPHIPLPSDISNAVSAFVKFLSPDAFDLTEDDFDEKRENA EEDEGEKEDRVQAKADEEAIKSCLKSAGSPPRAGMKGVRFDVLPPRHIRKMSSLETVL IRSDTSEDWHPIFSFRFAFPSLPSLPSRPTLQPSSHAAKPPPTPPQTNIPLLFLTFLL SVLVAVMDCIERGAVSVVRGWVVGEMMLEGARVDERRRLVRRTRRKGTAVAV L198_07826 MSLSRSLLRSARPIASSSRQALRSFSSSRPSYAEPDAEAAAPVS PKIAPIVETISTLSLLEVSELVSALKTRLNITEIAMPAASAPAAPSAAAEAPVEEKPK EKTIFTVKLEKFDAAAKAKIIREVKAMMPNMNLVEVSVFMLFGCLVEGLGGFCEGREK YVDEVGEMWGVEGGYDRWTKKPCRQGDVACGG L198_07827 MGAHTALLPSAPTSTQRPQYGQATAESGAFNYDDKYYEQEDYDL EDELAQLEEELDESDSDNEEEGATFVQMSETWPSKVPNRLGEEPDDVRLSSVGLQLSL PYEVETIAEMDDKLDYICCKLVECVKAREFDQGFRVWDSALSIWQTMGYAMKRDVKIK LIFLYYEIIFLPGLSSGIIENAANMFTTLASDHSLTIYDFRIPWRPLYDALYLELFPH PSKLARHSVNLAPTLLNVAEDAQRFFHPADVDEMLEAIIPNIQGSMDSILATQTFLVH FLPIGNCHKWLPVMFRLWKGFNSGLWDDQASDLVGQLALAHVDPSRSDPSLFARIPHN LQNTPQQEADNPSERKRQRHHKMRQLEVDGKVEEDADGLNFWVSPSLVGEEEKLGDAS WKGIRKDVGIFTDEEFEFIMSKCLRSLNVPVGGNAASQNAMSVTVADTRVSKKVVEAK KPIDRVQSLAETIVYSMSEDSLPAISTASGVATPVKPAKGKGKENLGGIGGKKERRYL GGSKALDHLSRLLTSCETFFHPSNSGHWSNFLCTFLSHLASNFVERYKDEEEPECRTP TDWRLTPDIKREFVLCLRPLALTAIFNKDMKVVSAAVSALKQLSLLEPDLIMPAVMER AVPSLQGLEETQRTPAVIYTLAALAEPLIARRIWRSGGMYAADIFALLLPGIDLNDPT KSALVSMCISNAVDFIFLADIADGDSIAEPTAAEENARAVRRVERAKVEDDPNDPVLL EEEDLSREEVDSRVRFATAAFRDWVPEFLGRVLLLFGNLPEEGGKSGRAGGKTEQVTL QSVLHTCGTVFASLDNKLFDIALDQIFEYATTTCRSNAVEAVGELVRNLASHNAPKVF DKFFPVAKHRIISELKSGASSTRTTTTSIPLPSDATLHWWQAILIGCLIPGRTRVSFN DNNSFLELLRIMIDSCLSERGYAWTGKIIEKTVSSLTSVYYTEMKMLNVDTFESADFK ANHHLYWGKLYRPSEVKPQWKMPSKEDVDMAFEVLALADEAAGRIGKLVQQERGEMGD KEWSNEFCRAINVVDKVLRGSYNLIEEIEDRKVGGKQAPSFLPQNLYAELPKYRSGLV LTDPSDPRYLHVEGFRHRIAETLHQAANSMRTAGQSENSVESVRFLVTTISTYLTCYG VRSKQFANSQGALSAMTQTKKLLSGQRKHHRSIYLANGTVYHQTRLTTLAYYRKRSAL DDKLIVNMLDFCLSPFVRVRRSAQSALDTVAKLYRGVWVLCFPTLFDALKPGTDPDIM KGALYVLRYNHIGINRIGKDWRQLLELTEHLLNAHHETKASVQALVAKATDELVGRIK EPLSFNMDVRLEAVKKAADELAGVLSHTPSQELIGDLERGTAERLTHQDEQWDIFVDR VLAKAQTPGLNWRYVLSAARFLLAVMRRDRPLDLRLTAFFMDNVQNPLPRVRDYGIVG VTRALFQMTLRSLCKGSEELLFLQEPIDDFTKEIPLTDTSPEFTEKYLQSFREPVTDE SETWLHDRVESGWLAWGKKLEVTRFSGWDEPLFPIEEASLPARDLIEKAIAQEGWWQS LADLWAQEAERNYPSATHIDLVLALSQIYGIQIFHYVRPIVEGYLAEMEERKVYDRHK MRAMWEFLAGVIRGGIEWPGKDRKILWDWFTPKLPELYGNIRHDTTKCWDTSIEYILC EHDPRRFLPIIDFCMNTALNADFQGAAAFQLTRSVQLVRSALRCLQWRFNAWADDVLA VYFKEVGCGYAEVRSLLGSVFNAADQFKFHPSYPNAAAFVTAILEDPKDEKDIMHIQS ARYQSELMGIFDSLPGLKESREHGPKAVLSEHDKIAMTALLWMIVELSDVRAVSAFPY IIPILPQIFELREMNDNLDLQRTCGRLLAMITSITPALTLIEPLTAKLVQILQDSTSW RTRMNVMPVLSLVYFRNLALLSEGCKVQCLDAVAACLRDANQEVREMASATLSGFLRC SQRAMVEILKDKFTREIQSITLPRRRAPGQINPGQVNPEYQEKMVHLHGAVLGATALV DAFPYTVPKFMPKLIADVLAPRVSDPAPISTAIRACVASFKRTHEKYQDKFSEDELSS MNYAQAGNSYCE L198_07828 MLVLTETSVGFVVFKLSSDAKIDNKDLWKEFETPEGANKALKVQ AIQRFTSTASAVEDLAAVQEGRLTDLLSKFIQEAVAGGEDGEKKKKKKKVEEMLVVSE AKLAGTINKTLSIPVLSDSSTQDLYRGIRQQLASLLGGVDQKDLNTMSLGLGHSLSRF KLKFSTDKVDTMVIQAIALLDDLDKEINIYAMRVKEWYGWHFPEMAKIIVDNIAYARV IKAMGFRTNAVHTDFSTLLPEELEATLKQAAELSMGTEIADSDLAHINQLSDQVISIS EYRTQLSEYLRNRMQAIAPNLTALVGELVGARLISHAGSLMNLAKHPASTVQILGAEK ALFRALKTKHDTPKYGLIYHASLIGQAPQKLKGKMARMVATKAALSIRVDALSDSDSR SEAAAAEVGIANRVKLESRLRALEHQAGIVSTRRVTAGSARQQPRFELNGAAGGSYNA ASDNPTNPADLLPTQPSPAPAAAAASPEKKEKKEKKDKKRKSLAAEEVGDVTMDGDEV AGETKEERRARKEAKKAAKAAKKEGKEDGEGEKKSKKRRASEAAPAEGGDADGEKKKK KKKKDE L198_07829 MATHLPTPPAEPLVRAAQATVYLHTPFHPASEAYAATRFARLLR PSDGPWEVLMPQIDAILLRTGNVTSDMVKAAPKCRIISRNGTGVDNVPIQTCLSQSIA VTNVPGGNAKVVAELALTLMLTVLRRVLEVDRRIRSGECVPSIKALSPGLFGKVVGLV GMGDISYEVGKLVLAFGCQLVVFSPTSPPTRWTSSSSDARSQNQTPLPHTRASSLEDL LRQVDVVSLHCPLNDSTRNLIGEKELGWMKDTAVVINTARGGIVDERALERALKAKKL GGAGLDVFVVEPAYGESLGGLRELDNVVLLPHLGGSTDSITLDGCNTAIDIMADYLDG KGAINRVV L198_07830 MKEDESRDKNRSSGSTQAPPKNAPGSPTSPTSPTISEANTLVSQ LSPRSDAYTVVTPDLISEYEANFWYHGISVDPPKLMWRSDLETNPFPTPQRGDRFFKV PTKTAHGVFNTPLNSVWDTVAPRIIASIKSHNLQYSALKAVRFSTRFSTLQDEDETFG PVVVWIAVRPNTTNAGAVRDATPDILGILASANVTGIVVEWYEGSVQKLAGQPLMRVE ESISLSFGLNHPFNTGLGIPIARQSDDAQGTITFLFREVKDGNGYPSDRTLAVTNKHV ASVDALTTYEFNEADPQHILVCGERRFARAVVEIENSVNNAFRDAVILTRKLKRMEST SDGQNSVAAQRQRSALNDKNEDIVTLQTLLTKVQSDWEAGELREFGLVDWAPEISVRV DNRHYTRDIATFAVDGAKLENFEGNIVDLGNQYRVTELEDFFWPVTAVRNGRTIPDNL QLPIRRALPRRLVINPDTEDQNGEPLYIVAKYGNTTKLTLGRYSGMEAYTCTNLGLES REVAVYNYSKFSGNFSEHGDSGSLIFTGDGDGLAMLHSGMSRGMGNHVTFGTPLWWVI EQILGKYPSAEFYGITLD L198_07831 MSHPPSRNSSVPRLRAPSTLRSPPSPRYSTAPSISLVFAHGSSD TPRPAPSNNPARSGSASEEALSRQLDGASGGRHMGLRSRRSSTATTATTATMGGTNGG ERQESGSVLSEEPEDIGDTVSSPLAGPSSLPMGSEGSKRQADGEAGSEMAGGGRESIK RKLNGKASSAWLKWSSATSKLPPNVLPGGSAKGKERAVDEEDKGNPDQIASESPPIDA PVTSDTESPMHTLPPTDPPPKTEPLAVPKPADLKRTPSTRSSSPLKDATQEQRDEIMR TRKPSGMGKGDRGGWWRSLSRGTKGLGVEKEKDGKAGSGPGEESGKVVKPEEAQQSSP KTNVPAPPTEDDPTPIPTSEPSSLPPSKSEPEPAPKPPAPEPAAPEPLPSASPSTTQT SPPPTLEPEFKPTNNAPSLSSARGWKDYLSWSKANSPGGSVSESGEGSAPSDGVGLEP PSDERPSDPDSTPKAAQPPSTWSSYFYALVAEQKAKASAPEAARPVEPTAVDEEQMPI PALPTDVRKPPPAPEPFATPFATPSSHTEPASPSSSPLRPPNPANGNVSSSRRSSAAG WLNYLAFRASQKKVDAGAGSGSVDTGTEESSVMDFSNDPHFPADPPVSGINPNQLAPP SGKETSRGREGGRERERERGGGAVSVTPKASQNLEVARKRLSSASSVSNGTTGSVSTS PNPKSSQASPNKMTKNGSALPHPLPPSVQPNLVIPTFATTFDRPPRSFLPLPPTSPPS SPTAKQEQRGLAATTTGYAWKALGAVGSYMYGEAPPKTTSESLKEDEGETRGRGEGRK VGGDLPRRVGLGVGGPDDGWKNVRRVVVIGVHGWFPAKMLNSVIGEPTGTSVKFANMM GQAVKQFFDEKGVDDLRLTLMPLEGEGTIDSRVDKLYKAYLSNPAWINDLRRADAIFF AAHSQGCIVTTHLISRMIAQGHIRTSHNAEAVSRCEWAFGPIGVVPPSSPHRRHSSEQ HSSSIPGAEGGKQKVAMLSMCGVHLGPLYSISTSSVIQPYLQWFENAAARELFEFQDT TSPVSLAYQRALAMVLENEVRVVLLASLNDQVVPIYGASFSCATHPLLLRALYVDGAS YTQSDFMTNLLCFAFMLRNAGIDDERLVEHLSEATAGGLTGLGHSTPYEELSSFSLAV NYLFYATPARKPLPPLQIEPFNARDARNDFELPWIMRALVDAPEVKDLFPGELKDLKE GIVHWKPVTRTLKDIKRRLEPMAGRQSRLRPLPNSPSSASLVSQGAADHHTGTSPVNS KNLTAGKKTHQRSKI L198_07832 MFFSTPKFLLALFTTTAATSASVIEPRAETHNVKLVNKCGSGTP VFLYQGNGQRGGGTVNGALQGGIAWLDGYKNCQSSGVNCGVVEFTLINKSHKAANGYS YQNSADYSLLDGPGLGNHKYQYKMDFAFNGAGCNKGPGACTGNSAAKCPGAYLGSATA GGAPIQCAADNVGITITFC L198_07833 MLFSTPKVLLALAATTAATSANVIEPRAETHNVKFVNKCGSGTP VFLYEGHGKRGGGTINGALKGGVAWLDNYKNCQSSGVNCGIVEFTLINKSHKDPNGNS YQNAADYSLLTGPGLGNHQYKYKMDFAFHGSGCNKGPGACTGNSAAKCPGAYLGSATT GGAPTQCVADNVWITITFC L198_07834 MSSSDVRSILNLPQAGSSSHHPPAPKKLPQPKKPDGISRELYAL IGDNAPSLADAQASIAAVKYREKPAFKSKKVHWEWTPFTPAARQEEGNLKLGHWARVT DSDPEASVEYFGKFNNHGPSSMEYSQYEYDQHLIDPNWTPHETAYLFELLKEYDLRFI VAADRYAYMGPTGMGPEKKRSVEDMKERYYFICKRLVETRTASDPHAQNLLARQYEFD KAREIKRKQYASELFHLTAAEISEEEALYVEIKRMEQNERRFRADRDDLMRSVMGLDS GLVNVDQATIEGVFGADKNKKKRKADDDYLAPSPAPTPKRTPNAAFDNAHCIYHVPTP AEPQGSSYLSTKHPAHLPVYLRSSKLPLPKPTAAIRVTELLAELGVNATKLVMPTRQN LEVLEGLLGAGAALVEMKRQVDRVEQELRTVRAQKEGLLPMATPGVEPSQQSRADSVV STDTTTTTNRQSRPL L198_07835 MYSVILAFLPASLDILYHAYLPASSGPSIVSTVVGYDRLAEGSS IGGEWVMGERVTIDMSGRCWAGEKSVHCCSGLVGKGVSTIIPLLASYQIFYGLLVFSL GLAATFQLMASRKSFEVERLKEFRTFALGVMVLKDFVSVCGAVFTGCALMIVPLIEDS IPADNIVDVRVGWGFVVTVFMAILSCFFTLAFENKSRKMLTDEEQSIRLGDDDVAYDE DPEKQPLLVEDVE L198_07836 MAPALPPDGKIPCPTQRHLVEELDELAYALEPAEKEDTWEKFEK AIIRFAAVVRGGGYKFTETFVEGVGRGGVGTKLVRCMLSDRGRLSGVATDLLQTFAPR LSTHFKPLTHLYLEPIMTLLGRPNKVYLRRTEKCLATIISNCHLPVIVQELRKGLDDN AAACRKGCAVAIDRALQEWPYEMWNDKWLKVLEGCVKKMGADKDPQVRETGREVLGLF AEAWPERVDEFASPLTPITRRNLKIDANGVTAKPKTRPAAPARKMPPPLTSSTASSSS AHPPAPHHRVQELGGSRFPPAQQPAAPSRKLSGDEPQTGRSTYDPRPPRSHAEPLPLP EVEPTRPRALNTLPPVRARTVSHESLPTSSSSDPNPNSLFSPVDHPHQSQGVKHNPLS KPVRPPLPASWSTSALLPQGEAANAKPRRMAPPERIVKPVVEEEDEDEAAGSGAGSKA VASGALRGAVRPAVVKKNTMGQATRRVVTAPVPLSSESGLEDQPQQSIRPSPQTSAES FFSPVPSRVLEGEKKSVESPLMPVLMQSTSSKVDAEEIKPSQEESEGVIDMEEDVYPA SPSKRGDGEDGRREVEVAREVALPDSPTSEKKSAVVEVVGKPTEDERAAAEEAVEPGV ETKVVSAVEAAVQQAPEPTSAPEPPIESKPIPPPPTAQPTSSPSLTAKPSRPPAAPQA QAKPLSRAPSRPAPAVSGARPEPKAAAAVPRKPPVPRARVASGSKPVVPLVRKAFKPT SAASVGSGGGQASATAPAPAAGVVKKDAAGAVSKPVVTSASARVVSASGATGNKPPVA TKTSAPPPARPELAKVSRPEEPPKRTVTSAPISKPPASRPPVTSSAPAAKAPIASRPR KPAVPSHVVLPAAKKEKIRLKAPLPSFRPMRAKKEVKPETVPLPDSPPKAAAIPLPPS RHNSPSPRDHPLPPSPPRSPTPRAHTPVLSRPSPLRTEIARGRGGADSPARSVRSVRS QTSGRSVPASPGGVVLEKGRPASPLLTKGEVGEGLLLGHVEEKDEGAEIVGNDGVKEE GGEQVNKEASEDEDISGSVLGNMEGKLEKARVEDSIPQAEVAVTTVQVTEDNSVSRSF NSPSIDDIPSSLSPPTATPSTRTPSPLSPITKSPAPAFATRIEVSTPGKSALWLLAKL EGREDVGTPERERKALAVKDANAVGTPKVVGGGGFEGDVSA L198_07837 MSGHSKEGVRLCEHIVSQAFGGIVQRVASTLLNRGRLPATTVAR LSGLSPHVTNESLLILLQHNLVQSNGASVKQTGEDEQYEFNVDECLLRLRWGRILAIT HEKLDLVAVQVVKQLMIYGKLRVPDIVSACGGDSDAIRAEAVTNTIISLLLNRFLECT APELQILESDQIERRFAANRKRMVLAQGSSLLSANDLENCRLEASHDVRTEATSLRAV SNILIQKPKIDPKKKRKPANANPIDDFDFSLKENVHLRVNYDRYGVFIRNELIVKAAE DRWNKGAGVVMRAVLEGSVQDSSALRDDRTHDPVSINTIISSIPPSSAPLLLAGISAP SKSSLPDLARHYLTILAAEDQVGNGGWLVREGSTNPGYRVEFEAICVRMRESLLGDLV REKLGDKAARVLAVVTKSNKAFETTIRDCAMVSLRDARYILAELQQLSLVETQEVPKT AAKSRLGLPSSAEYHLWAVDLPRVYGVLLTSVYKALGNILQRKAAEVGKKSTVLAREQ RVLGHEGGRGLLQLKDQEDLAELDDSMNKLTLAELRSELVVFILRDLPGWPGSG L198_07838 MLSAPLHCSICAIPCLLPRNELPPPKASFLADSLVSPSKSSGVG ATCWLNEWYCLRVSSGIVRPEPFLPPTPPISPTSKACPSPGQTGAKTKRCVPIHAYCL LAILSTIRQSQFNNAQTHEEKMMIEWSLPRWSGFGPWVRKGDEEGEGAGGGLSLGHWR GLVSQRERWEMEGKHYLDSDLLSPFDVGEPSSTDPLPYFRPLQTSILTTLPYTIIYSI FLSSLENPDLPDVSQLTSSPTKTLPKLLNPSAINDFLSLARTCSDLWHYPLSSNIWAL LVLDDVRRWKVTTLSRWRANPSGVGSALHLSDALDASFVDPIIKSLGASREEGAEWKA KDVWSWWKFGNGWKSRRRVWYCVVHGCATARDADWW L198_07840 MDIRQATIDDLLDLYHALTWPELSYVAVDPKGRIVGYILAKMEE EPSEEPSGHVTSISVLRPYRRLGLANKLMKQSQEAMVAHYNAHHITLHVRKSNRAAIS LYRDSLGFEVQGMEKGYYADGEDAYGMRCLFKKEEDTSVAV L198_07841 MDSYGYGEPSSSNQPQTIDVPVGPTNQPKILLRNLNDSEATFHL SGTELAFANSLRRVVMADVPTIAIDQVLFLQNTTPLADEMIAHRLGLIPLISRGVEKG LRYTRDCDCDEGCYYCMVTLKLKVAFNGDGFMKITSDMLEVIPIIMDHRDNEMGIPIG KGNAAIPPILIAKMGKGQSIDLVCKAYKGIAKHHAKWSPLSTVAFEYDPYNKLRHTTH WFETDERGEWPLSNNAIFETPPEPNAPFDYNAVPSTFYFNVESVGSIPVRSVIEQGLD ILVENLAGVILAVQKETGGDEEEGEGGEQGGIMEPNMQGMGGMNGHAEQGYGAW L198_07842 MASIAEQLKKAEATLEDAKKRGSSSVPTHEKEVKRLQEESEKPQ AHPALQFNEYAQCIAVMCALSLLMASSPLPLQLIPFYRIFSTVTMIAVTGYVVRASII YYGYQQELAKWPKPDDPEGSKLKALMASKKPRPDLWAHTRSHPSAFLTTKNAQPRLFP FPLGKTRPEAAVKDELWWEGGNAPHVGHFNRPKLPAPPGGPDEQTLMKRVQASMKREA QENLWRRRIKDIQALSCIVIVSFLSKKVAAIALAVLIYRTVSSEIAGMLEPPDDMDKV WAAIDKVTRGAQRNESAPKPTQLTGGMTYLFEQDGDWVKKLADVPVDTVPPPVLMTTT NHWYAGPGNEMKG L198_07843 MSTTQLTSSALRTLVPLEDIPPLTLGKTTRASSFASSTTSTSSI YSRAADDLIDRFATHQPQTPIHLWSGGNVRLGNMDLTLTTHDAELLMHAMNGDERFDF VKLTFLDEDREEWKISFMRSQVSSISPPSSVFEF L198_07844 MVSSHKPRNPNKAPPLSAPSSGCVKKWSIRGLILAALVALYTFA NTINHHFYILDPASLNTTVQESLARARSLSPGASPNATLVVDILVKKLVEDHPQARWA TGWEDRGEWVFNNAGGAMGSMFILHASVTEYLIIFGSAIGTEGHTGRHTADDYFHILT GTQTAYEAGSLTREVYGAGDVHHLKRGVVKQYAMEPESWALEYARGWIPLMLPFGFAD TIFSTMDVITLYHTVRITGREMIGNLLEGKI L198_07845 MSSSQEQGPRPSTPQPQPPSTPNDPQPPRPRPNISSMLFMTAFF FFMSGGNHAPVNSGSEIGPDGQIAPGVTEYEWVRGQRDEWRGWLNGTGNYIEPPIPEV LPMALIPPKYSHKPTEHGFYTNITGFYRGATIHPLSLSSLSSPNSSLSSFWQGSTIPP AINHTASWNETRAEAMRGSWDWASTVRWDMSLRERNISKPIGDEWKSKEDVEYTDWNW VKGSFTLTSRPPNQVSTLNDETIIYDFYGLHHLPNGTYNLYALPVGMRPDVRRLPGLW GEGKNGVQGSVGNVTRGILLREMEREVGNQENAFVLGNMKPDDISEITTCPLLISLTL PPLPPHWKAEIDAYDRELVNPTGLLASMNRPPGYWQTLPGLGGVVVADQCGWALGIEG GKGVPVGEFWRDGVTYSAFATLTQLLLLTLLIHQMESTRTPSTLSKVSLWTIIIMSIA DSYVFSIHVVLGILGEGGGGGVGMFVPGFLGLCGAVIFGPRYAVLLHRIQAPEVDSRP PPPPAPPSIAQAPLPAPADNTAPINQAPTNQVNRIPIASEPRFNINVVEVVRSAFRAA PALKWLLLLTTLFLLPSILFTPRLIPYVFYTIYSFWIPQIWRNARRGNGRALEWRFVL GSAVGRVGLVLYAFAYKDNIFFIEPKTWVWGLVLWQAVQVLILYAQEKFGPAFFLPKS MAPPEMYNYHPHIPPPDAENPTPFDGETTCSICYDDVDLHPFSPSHPFSPHSPSHAHS NGHMRAASTSKTGGKGKDKKDEAREGGRDVLSGLGLGDRRGYAIAPCGHVFHTDCLAQ WMGIKTICPLCKRSLPPM L198_07846 MAPPSILSPDDKAKVKKAVPTSSSSNKIVTATVARVYHAKPGAN SWSYSGVEGAMVFCADKAKGGLWFRLVDLTASVIWEHELPNEIEYNQEKAFFHTWQGD DQSFAFVFPSETEAHSFYKKVANRSKYATKIKEKKEPAEKKEKSTPTKKKGGKAKIDK SMISGPSVGSFRHVAHMGFDSEKGFSSSGVDPSWQKLLEQLTQKGFSEKDIQNNQQFI QDFVEQQGGIEKATAPKKPPPPPAPTSRRKPAPPPPASRANRTSTITSPSSPAPSSPI PPAPPARTSAAPSAPPPPPPPPPARTAAPPAPPPPAPPAPPARSNVPPPPPLPPTRSS APSAPPPPPPPPARPSAPPTSPPPPPAGAGGGHSALFASIQGKGVGNLRKVDPTEQKV SPLAGGSVVAGAAGGAVVGAGAAAAVASTQEDNGGDLASSLAAALSKRKADIGSDDED EESDDEWD L198_07847 MAHLPSTSCGSTDVRPTPPPLNVPHAHAHAQNPQQSSAANSLSI SQASQATSVLTEDEEDLEDYRPGGYHPVNIGDEFKTGQYVIVRKLGWGHFSTVWLARD NLSNRHVALKVVKSDGHYTETALDEIQLLQRVVNSSPTHAGRHHVVGLLDSFRHTGPN GSHVCMVFEVLGENLLGLIKRYQHRGVPQHIVKQIAKQVLLGLDYLHKECRVIHTDLK PENVLICIDDVESVVQAELASCPAAVPTKIVGVPPSQGRMGNQTPRKDNIFIVGSQPL PSPSSSYSSSPMLDKYGFGMSKISGASAPKESLLPDSSASASGAGGGAGGKKGASTDA IGNGLENVKLGEGSGLKWEKTSTPAPQPASGPSLLSQQLHTHPASPPLNAAPSESTSS VPSAPLDDGTDVSTPATTPDHLSRLPENDDRAPEAGDPNHLPPPFPYDPVSLEKITVK IADLGNACWVDHHFTNDIQTRQYRCPEIILGTKWDESVDMWSAACLFFELLTGDYLFD PQPGVKYDKDDDHIAQIMELLGDMPRTLAMNGKYSHEIFNRRGELRHINRLRFWPLIS VLQEKYLMEKEDAEQLSSFLGPMLCYQPGERAKASELLEHKWLEGVVVQGEVELSKSL RESERIRREVGDAAGANAGDGGDGGKGQGNGTGNGSVNGASNGKSVEPQKGGFEEVLN LGPAVKGMVGMGRI L198_07848 MANNLRGRSSRAMKMATTTASTGAVPSSVPETQPVCSSASSSQN QSLYLLEIIQTPSAIPPKPKKATPSLARSASETPPTRVRRGRALLLTPCPRKRAKRSY RPSELGMRAWNAKDANIVPQCMIWTPTTVRSPALNVIRKAYPAPCVHGHGIYPQAIQD GYANLCFTDTLSIGTNELSVRMIQDDGIEVIEKSLRKTPLRRSARASRPSLLPFNDED EDRDNKDWDKHAIGLYDPAHSLFSLPTAGPLPGSPIPAAGYLSYSPLPAARPDSMTPA PHSLSPAPDTDAGEGSAKKRKRSDDDAGYAEADAEDWGTGEGIAGKEKVVTTAVQVFL MERRFYYYRTLIIGHGPISASLSVDLTHLFSPITGLDLTELLHLPSLGLLTLDCAS L198_07849 MPPQGFTECDAPYLASSFPPFAPVVIFRLPPPWAGHEFYTLCSV RLASLADVFEVLVSRATRNRRDPLATPFAASPAASKPHAPILSSLLKDRPKLLASVEK SSRAVRSFESTEALRRMWCARETWAEDRYELLLDEGDVKAAVNVLTSSDLGSFGPVTP E L198_07850 MGHRPNSTIIQQYYDFGNFEADVVGGILGEGEGGADEIIPLTAL ARPNNESTYTVKDALRDSPRFRNMQEKWSFLRDCLASGSGEWTKVEPFKSDPGAAIQT SGHIGMLPAIIAAYKSQMRAFVVLQG L198_07851 MGAPTTPPPPSPTPWQLSMYRLSPGERLLYYLYLPILSTTLILL SLLASLPYMFCKRSRPLLPKRSPPDPKHPESRYEWYGPEKDYPPGLALAPLGKGPGLK RRMWEMYGFFREFLFMTTRQGLQVGGVLSGAVILFFQVEMMSHDYTSDNVKKYQDAIS GGDGEPSGYLKSDDKCAYIVGTGIANWGDRQEPLAWYGCYHGFLCLLCICLMGDELFN VRFGAFAPQWFRGGIGYTIMFLVSATLSTSPNWGINDFVQLRYLFLTVIFLFGLYQVL RTLLSSIGITTSGYRHTDYRIGRKPLRPWTQSEWLDPYYRQNFIPYQFDRYFWTESVQ SKVGDWHFPAERRPREDVEEQMEWLERNSKGRDKWLVDMKVQKVYQKTPQEIKWQHGK IGRTIIHGGPKKMPPFMLDDLHARERAHLGQIPSSPPPTQEEQEEIIKTWNEDKFYFG IANWKPKTKSFGWWWFDVRRAFAVVCAVGLMGVRIGLCIFDLGSTNMPAYRQEYEQAQ DAWDSTDSGGPDSSTCQYYQGSSLPIFVVMSEESYYGVTANMIWLAIWHLHLLGMCTA IILVSISNNMWWGMHWPFPPITLIGPRMTSMSIGVTLGFVAFATLQQGFFFGNECVFL VQSFFDVSEKLTWGPG L198_07852 MTSVNLLSLLTLTPLLASAFAPAAPPSLLPRDDGVTPLSFRAAG DTGVSSQQMFLGNDKKAYVIDKTENNPVTIDGEYGSHPAWATEYDIETNEYRAMDVYS NTFCAGGNVMGNGTWVIFGGNQPVTTNGTAVTDAGVYSDNDGGSAIRMITPCTDEQCE YVQGAQSYDRSTGTGGWLQMTGKRWYPTVEALPDGTLIIIGGDTNGGYVNTREQDNPT YEFFPPQDGDPVDLQFLSDTLPLNLFPLVWLMPSGRLFMQAYNTTILYDYTTRETTDL PTMPYAVRVYPASAATVMLPLTPANNYTATLLFCGGSNTTQWGTDGTAGYNVTAVPAD QTCVRISPEDDDPQYEDEDDMPEGRSMGQFVLLPDGTMWMGNGVSMGTAGYGNEGYSL GQSYGQDPVYMPAVYNASAPSGQRWNRTGLSASENERMYHSTAILLPDSSILIAGSNP NKDFTNLQWRTRTDSEKWYPWYYNEERPAPSGLPANLTYGGDSFNVTLAGTDEGAAQN TKAVIIRGGFNTHGMGFGQRMLELETSYTIDENTGNTTIHVSQLPGDPGPTIFQPGPA MIFIVVNGVPSTGEFLMVGNGQLGDQTTTTNAALPSSTVLAVSASASNSASATDADSS STATGGTSNQSTSGAGKTASVRSAAVVGGVMGSMGVVGLSALVLLL L198_07853 MPLRQYQSRHSDAQKPREGRGGLLKCSKLKTKQEQEHEHEHEHE HEHEHEHDKEKGGRWKVGSLVNARPWPGAEFAAAAAAEGERTLKTAANEDDGGSKVED EDNYEQYEDEEGRLPPWVCLKAAVKLGSGLILRLWRDVRLVSGWDKVNGCVDLRIKGF ITFVIATTA L198_07854 MLLPASLTTVRLARSGTRARSWRLFSASCPSRKEEPFRILFCGS DDFSVASLEAVHNAKGNIDVVVPAERQVGRGGRHAQHHTYTPALRQYAEKHNLPLSTV PSTGLKSWSPPSHFLPSPSSSTPDPEKTNVLLTASFGHIIPAPLLALFAPTHRLNVHP SLLPRWRGAAPVQWTIAAGDEKTGVSVQGLVKYSLGVDAGDIVGRVYGVPVPENATYN SLLPHLALIGGDLLVDVLRQLKAGTASFTPQNPTHTTQAPKITAPTAQLHFPTQTAVA IDRLHRGVGGQVPLWTTVGGRTVQLLSLSVAPAPAPAPATVGPQDLSPSSCPPGPGPG PGPRPVDPDPGVAVLHTEKGKAKKDRKLFIACKDATWLQVYSLRTAGKKALSAGEWWN GLPRDVREGGVLRFG L198_07855 MLSAFPPPSKILLYGLLLASLALRCAAYIPAVAINDTDGLNLTD SSSIDIAWTDPSGVSFQLQADVLTGGTTSGALVHFAESTMGSNVSTTTPWIAFISCDR NESVASDEWDVFTLARDRGAVSAFHRGGGAGDRGGEGEREREERAGEGEGEGRKKVDD EESVAMRQLDRDDSKVGFVAEQYNPAESKIEHDNPFHPIITDDNSISLSTLPIAASSS TTGFQTPPHDTSTSILDSAAAAAAVGGEGGEGKGEKEEEQHCPICLVEFEDGDDLRAV ASISELSAMSKRFQQPLITLRYTNTYHTFFPHLQPHPLPSGL L198_07856 MSDTFNPTDYATYPGSQVPNVTGNWEADYLMFQATESQAAGEGG STAVSSAADDGDEFETYEDFVEDATTASSSKAKKARRLEQLGKKKTEDLRSKHRRASS KATLEKKTLLGEINRRTGGSIKLNQVTSVKALRDQLAWLDNAETELAARVGGLSVGDS NATFSHPPGSSWVAEWSSYPPQWFDNNSNQESADPPPEDDRL L198_07857 MSDFNTNYAQSVNYEGTDDEIPEAGSSSKARLQNERQWRENEKG NRRARNKRAQDQADEDKKQMVEEINRRTGGAWTVNRKTTVVALRNQIAWLDDADRRAE QQATNMATSPSDAEEQATYSLPPSESWMDKWNSYPPEWFTNNTNQDCETYPSDDDDRL L198_07858 MGQANGRMTDSEASLQASSVTSLPPTPMPEHPEFHHAPHSAPIL STNAFSNMPKALHRSLSKSRRVTPPKSKGHDQASPPVPSVPAIGSSGKSLKMLGRLGV DEELKAFEALVLQAEARAGIAQPQARSMKRHSKCTTVPSVFSHHTPAASLSTTALKLT PPHLRPALYRRNSTSSSIHSSSRGSFKSARRAEREWRAKVAAISSGFGPGNSPSKERA KSSPLARGGPVPPRRTNTPGKMAVPRPFGTRSDSSPPPTAATLLTPLSSSIPSPSPND SLTPFPSAIHDRSVAKSIQGRKSFETLGHYLHVPEGRDRQASVPNSVSVKENDEHAEE EDGEGERERQLSVAASTLLALEGAGRRIAEAREGDVKELYVFQLEKPKSEVEEKDVEE KDVEGSVTQHEPLKRAISPTSSIPSLPTSFSASPSASAATSPNPTISPLPSLSLPAET DSHTAMIDEPQTPLTSTGLSPASPSSPPTPYSPATAYILSAPMESLGWNEAMLSAPVA SALASSAPVGKTSFSELGDIRECEELPVTPATAGHPYTFGKQDSPLAPTPPRKDTIPR LWESGTPTQRTFPSRSVPAPTSLGASGAFSESEKGSTAVVYPRKKPAASTSAAPASRA LQPRFAQATVPSSTPSSSRPAPSSSSSCRTGLTPAQKVKMEAMGSRHAPPPGGKGMKR VVGGIDLTPGMGVDGKRPGKSGLPRSDLERWLQGTAGACSA L198_07859 MMRPTIGSYLATLRQELGSHNKARQQANRNRKRALQTEINRLSG ANPGSNFGHTLRDRSIVDLEENLRQLQVAHSQKSTQAEGNGDTNNQGMDDDGAAYQAM SPSVGGVSGNWTSFQHDLDFMLQHQSTGGSAYDPDEQQGWGYEDHDDAYDLL L198_07860 MIAERTKKAEELLGKDSIERCELRHVTGLERGEDGHIYPGWGYF LSRARGASVLPMIQQGKLIHLIDYFGGGAYSLVDWAYFVDFHHENMEVWAAFIQWADK CEWAYFLDFDHEKMEIWGYGPLVREAGFELLREDPKHIDKTFRPYWSEDQED L198_07861 MRVTLPNPLGEPVTLDSDRIPRPAAILPTAVTRLARRSQLKLLL LLFGFAVLITWHPSPPFPPSYDDEWNWEKRVAKEQGQPARMIQFDIPKGTGTLVILIR FRLQHHLAVLGNRSLSYEPYVEDTTILPFNPTNWPWRSAKIPLSAFISTVVSGFETLY DSPRAVPSWYYRAHCPSHKQVVYTIQSPSNPDGKIDLESNGQDRIHQLQVLLGGSDDP CIRIQGEPFDNNFFNSHAPLDLYESFIKSPAMEHFSFSPRVLSILNDRLQILSPTTPL YDLEAAAEGKGKSAISTPIWKHVLAMHIRRGEDWERVCEEKGETSANMVSFNKLPLLP GNENVPPSTDMVEATRMGLYRAKCLPETLDIIGRARRMRKNHPLLKSVYILTDTDDAA WVGEMKKWLESEGWDHVWIGKDDVWGGWEEKEVGVAVDMEIARRAGVFVGNGFSMTSS NIVLLRARDGLHPDLTQFW L198_07862 MALTAAMSAWEPRFSAHNDDHAIASAVALARAHISPRNLNVSGT ARPVVTPEIAAAFQLIQRRGLSKTVFNDFLGKLGSSIGDTFAIYIGPLPAPIRDTKLL PILTYDDVESNFTLIQDEITHAIAAIETPSSNDDEQDQRVLRVIAHLFERLASWQRTW GVPLRAFQDNGYISAFTRTFHFLLHSTLPPSFPAHLIHFLSTSLSSLPSNSSSPSSPS SPSFPSASFPSSSNHGTLQQNPRTKIPPPTPHLSRLGIFPRYSGSLSHVAHEEIRRIA LEEADRGWGERRLGRARQRIGDGVANWLAGMFEGNDSVQASLRPMFSRFDYFLCKCFF DIRTDELFDIIIDFPDSMAALEDLKECLFKVDQRVELVNKLNAANLKRLLHPGAETHL ILNIYISTIRSLRILDPPGVLLHSIALPIRAHLLQRPDTIKCIVETLVNGEELQDENE GGLIGEGEVGAEDFGDPRWEPEPIDAAPEFRSQKSPDILSTLTSIYPSTASIIEALQA FLANRLLLLTDYDAVQEVRTIELLKLRFGEGALAGCDVMVKDVADSKRIDARVQGEKE SVVHPMVLSKMFWPDMPRSSLVLPPKMQKIHTSYEQSYHSLNPAKHLSFLPSHGSLTL TIALSDRTLHLTCTPLQAAMMEFFEKKEVWGVEELSGHLGVGKVEVGRALGWWGEQGV VREEGKGRWRLVEVLDEEGDGMVDE L198_07863 MDYNKPPPGPKGTASFSSSSSDPFNHTNQLPYDSNPQLPLPHQT GAFAHPDAPNPDAGAGAGVAPPGQGGQYAPYYDNEPEIGGRYDGGGMGRETWASESGW SQQDVNYPSSDYHGGPGYLPSRASTPTYSESREGHKPREPYPAWTAEANIPLSKEEIE DVLIDLANKFGFQKDSSRNVYDFLMIQLDSRASRMSPNQALLTLHADYIGGAHANYRK WYFAAQLDLDDAIGAVQNPGLSRVRSVARRGPKGKSPVTAQAKSLDSATSRWRTAMNN MSQYDRLRQVALFLLCWGEAAQVRFMPECLCFIFKCADDYYRSPECQNRQEAVPEGLY LRAVIKPLYKFLRDQGYEVVDGKFLRREKDHDKVIGYDDVNQLFWYPEGISRISLTDN TRLVDVPPAQRFMKFDRIDWTKVFFKTYLEKRSFFHLLVNFNRIWVLHISVFWFFTAY NAPSIYAASGSTRATTPMAWSITGLGGCVATLIMIAATLAEFSYIPTTWNNTSHLTRR LIFLLIILAITGGPSIYIAFFNQTGRVALILGIVQFWCSVVATALFSTLPSGRMFGDR VAGKSRKYLANQTFTASYPSLPRNNRLTSFLLWFLIFGCKFTESYFFLTLSFRDPIRV MNGMKVQNCNDKYFGSALCTNQPAFALTVMFVMDLTLFFLDTFLWYVIWSTILSVARS FSLGMSIWTPWADIFSRLPKRIYAKILATDDMEVKYKPKVLVSQVWNAVIISMYREHL LSIEHVQKLLYHQVQSDQPGKRTLRAPAFFITQCEKGGSKAEFFPKGSEAERRISFFA QSLTTAIPEPIPIEAMPTFTVLVPHYSEKILLSLREIIREEDQNTRVTLLEYLKQLHP IEWDNFVRDTKILAEESNMFNGGNPFASDEKEDAKKADDIPFYTIGFKSAAPEYTLRT RIWASLRAQTLYRTVSGFMNYSKAIKLLYRVENPEVVQLFGGNTDQLERELERMARRK FKFVVSMQRYSKFNKEEHDNAEFLLRAYPDLQIAYLDEEPPRKDGNEPRIFSALIDGH SEIMPNGRRRPKFRIELPGNPILGDGKSDNQNHAIVFYRGEYLQLIDANQDNYLEECL KIRNVLGEFEGFKIPTESPYATTISAEFSKAPVAILGAREYIFSENIGILGDIAAGKE QTFGTLAARSLSFIGGKLHYGHPDFLNAIYMNTRGGVSKAQKGLHLNEDIFAGMLAFG RGGRIKHSEYYQCGKGRDLGFGTILNFQTKIGTGMGEQMLSREYYYLGTQLPIDRFLT FYYGHPGFHINNILVMMSVQVFMLALVFLGTLNKQLTVCTYSSAGSILPGQSGCYNLV PVFQWIKRCIISIFIVFWIAFVPLFVQELTERGTGRAILRLSKHFLSLSPVFEVFSTQ IYMHSILNDLTFGGARYIATGRGFATTRISFSILYSRFAGPSIYLGIRTLVLLVYISM SVWVNHIIYFWITVVGLCVAPFLFNPHQFAIADFVIDYREFLRWMSRGNSRTHANSWV GYCRLSRTRVTGFKRKRLGLPSEKLSSDVPRAPWKAILIGEIIGPICLAILFVVCYLF VKSFAVDGVVQPGLLRIAVIALGPIVWNMALLLTLFLISVFLGPCLNSYTHQFGATMA AIAHFGAVVGMVAFFELLWFLELWDSSHAVLGVIAVISVQRCIFKFLIAVFLSREFKH DETNRAWWTGVWFNRGLGSHALSQPAREFVVKTIEMGLYSADFIACHVLLFLLTPPML MPFFDRVHATMLFWLAPSKQIRPPIYSFRQRSQRRKIVAKYGILYIIIQAIFVALIVV PIILKDVVNLTPSSVPFNGVI L198_07864 MIQDRKDRAARTVGTDGKERLRGNCEVSPLKHHFYGPPTSGDSV LYGAQWARALLLIQQGRLHHLLDCAGLITEDYYQWVYFIDFHREKMEVWRNTRRGICL EREVTFETLREDPEYMIKTFDGAPGDWED L198_07865 MLALFGILALLGGAAAWPDPFWIVMHGNAIFTSRLDPIVSPGAV AGHVHSVIGGTAFNPTYSYAHSTAAKSTTANVEVDLSNYWVPQMYRKSGDGFELVKMN RVNTSGDDEEVYPFPKDFRMLAGDASRNTYNESDYTNNAISYVCLGVDGSPQTNAFPE QSCPDDLRAQVFFPNCWDGVNTWLEGSKHVAYPTSGGYDQGGPCPATHPKRIMSLFYE FHFSVSTSFCDFTNGWPEGYFEEIFSYKSTCNVEFSLENCPPLAQYFLKDGAGTVVPD DDAVIVDEDVGVNGTILSALPGNNPVWGRSGPKSPDASYVETGKLVSFADGSEVATSA AVSGAASSSSSVVVSSSAATASGSGSISNTAPSSSSPSSDAFTTAASLSSSDNSPVAS STQTVSKAIATNVAAVIESSSVSLSSPSSDSGSTATGAGASSETGVAVHKTCARRKRS RMNW L198_07866 MLFSFAAFFPLILLVNAHPSGKKVSHNGPATGPHTGPNTPPRTL PLQRLPSRESSPSLSEKQAWLLDQAAVLQHKYAPELDEEWKKYIGMNEKRDVGTAQLT DVDYDGWYLGAVHIGTPPQELLLVLDTGSADLWAAGAACGSCGNGERFDPTASSTYQQ TDGTFAITYGSGSVSGNLGTDVIELAGFSLPNISFSIANEASNGLISAPVSGIMGLAF QNVSTSKEATWWETLATSGRWDSPEFGVYMARFRGNTNASRVETDGGSITFGGVDRTK FVGELNYVSIDSSARDYWRIPVEDLTVNGNFINIVSRYSPKHQHLANHRQSQPQAAID TGTTLIGAPPSAVQAIFAQIPGSQPLNASIAGNGYWQYPCNATVSVVFQFGGEKYEMS NQDMNLGSYTSDSSMCTGAFFEQQMSSSSPIQWIVGASFLKNVYTSFRNDPTAIGFAP LLNAQ L198_07867 MPVATAPQLYATLGFTLPPARMDHDESIYLTWEEGRTKPLERLR IPVSNLRGELDMPEQERVDPKEQLGKRGFAVLKHESASLGGLGTEEGTAKYLDETADL LKRILGCDKVIAWNSVVRKNTTDTKEKIINKQQAPEKGFVPTSRVQPTAGVAHVDQNA EWGFELCGKAIGKPAGEFKRVQIINLWRPVTNAPLFMLDPTTLSPQDLGTHASQFGSG YDIHHSPSQRWAYIRHQMADEAILLKCYDTDQGKGGEVLWCAHGAGTVDGDGLAKEGE EERPRESVEVRLVAVWE L198_07868 MGLRFRMPKLVVEGSKGREASLENDDLLPIPIERRTWDFMTFSV FWFSAVGTVANWLGGGTFLSYGISVWDGILCNFFGYLLISFFMVINGRAGSVYHVGFP VYCRSSFGVYGSFWPVFNRALSACVWNGVNTVTGGQCIYIFLHTIFPSIARLPNKMPS SSSLSSANMIGFFLFWAFTGMALFLSVPKWKILIHIKLVAYVLSSVGMLALALTHSGG VGDTLTAKARVHGSERVWLIVRFTLLAAAGCSTFASNASDWQRNATHRRDPIFGQIFG FPLSNFITTLFGMIVAASSERATGTLIWNPLTYLDHILTTNYDAKTRAGAAIISAGFA YSALFSCVFENVLPAGNDISSLAPKYISMKRAFAVCMIVTVVICPWYLLGSASIFISF ISSYQIFLFAIIGVCLVDYYIISKGRLDLGWMYTANKEGMYWYKWGINWRAIAAYVVG AGVNFAGFLNNMGVRGFSTSVVRSFYFAFITTGCASGLTYYLLAAVFFPQENFKRFRG LKFREWTEEEVEMYVAGAPWRDAPGEVGKPEIGEDGVPVIGMSGRDVDNSTPEEDEKK VYGTTTAVLEV L198_07869 MTHTHPPLDDRPNANTSPPISAPDITCTGMLQPGLATLARPADP SWLLYWVEEMQMQENTARTPPYAIGGGGSLPSKHELLRNCESLIITSLSAIDATAALA HEWEERSLTGLFSGVMHIAFEPDCIEAYCELCFPQGFGPTGSLVEARRPPTVFSVEYD L L198_07870 MPSIDPPTRKATARFGIPNARLPPSLDPSSFLIYTPGLPENPRP QETIDIQLHDIRHEMVEGPRAVYDQVHERGWAVAGHESRFVGEIGGLEGAERYREETC ELMRSYLNCTQVYQWNSTVRKSDRKQSTIVDRQKGPEEGFLPTSIIQPPASHAHVDQD EIWAPNVVRMATKQDPTTFKRSPVTSSPLCMLHFPTLSPSDTAKQESQFGTGIQIHYA PSQKWCYLRHMTPDEIVVLKCYDSLQGSDGGALYAGHVAAEMDDSEGVEEGLVGPRES IEVRMVAVWE L198_07871 MLPLLALLPFLALTTALPAPSANVAPGPTGTAVTSKLPLKLLAS RQYSDSLVERQAWLKHQAKSLQAKYALSLDEEWKKLIGMSKRDSGSVQLEDLNYDGTY AGTVSIGTPAQDLLLVLDTGSSDLWAAGSGCSADFCEDVETFNKNASTSYQTADGTFR ITYGSGSAAGDYGTDVVDLAGFSLANTTFAVVDQATSGLIDEPLSGIMGFGWTALASS NASPWWETLASTGQWDDPEFGVYMARFRGVDNATNDEQEGGEITFGGVDSSKYSGDLN YISIDDSAKDYWRIAVEGLSVNGDDISLSSPQAAIDTGTTLIGVPSSTISSIYAQIPN SEPLDSSVVGSAGYYQFPCNATASVTFTFGGQEYEMDGEDMNLGSFTDDESMCTGAFF EQQMSSRSPIQWIVGAAFLKNVYAAFRYEPAAIGFASLVANGTSS L198_07872 MPYLAPGSDAPTTLPIIPRTKHRAKDVPRPAALPSIKKITTAED ILASQLSHIAVRCPLTDSEGEEGEEEDHDPPMLEHESQGHPSPAGTSIRTLSSQGHGG GGGELHPRSFMLNMRFIHTLPPLPHPQVETKCHSEKKEKKKEKATAMKYGK L198_07873 MLRLPPRLAPLHKRMSSTLPSLKNELASSKSPYLLQHAQNPVHW QEFTPQTISLARQLNKPIFLSSGYSACHWCHVLAHESFEDEETAGMMNEWFVNVKVDR EERPDVDRVYMGYLQAVNGGGGWPMSIFMTPNLEPFFAGTYFPRTRFQALLKRINELW EEDQEKCEQMGKGVIHALKDMSNAPRTSASLTELLSTSPASKLFSSLATQHDPRYGGF TPSGPRSRGPKFPSISNTLEPLLRIATIPHSSHSEGGTDKEIIEEAREMGMGMLRGMW KGGIRDWVGGGMARYSVDEKWMVPHFEKMLYDQAQLISASLDFSLLFPPSHPDRKICQ DLAADTLKYTLRDLQSPEGGFWSAEDADSAAGPGAKKTEGAFYIWDKKEIDEVLGPDG AAVFESFFGLQDEGNVDLVHDSHGEMRGKNILHQHKTFEQVGLEQGHSPQKVQQIILE GCEKLKVVRDKRERPGLDDKILTAWNGLMLTALSKASTLLLPSYAIQPQCLPTAIRLA SFLKSTLLNPTSHELYRSYRQGPGPSGQTDDYAFLVQGLLELYQATGDEAHLLWAEEL EKKQEELFWDKEGGGWFVSKEDEHVLVRMKDAQDGAEPSAASTSTQNLSRLSLLLSSN YAHYESLAESTFLSMAPLIAQAPRAVGYAVAGLVDLEKGWREVLIVGKKDDAKVQGFL REARREYRSNQVIIHLDPDHLPRRMGNDVVEALVKGIDEGKEEGASLRVCEGGACGMA VYDLEGARELLG L198_07874 MQGLVHYSDDSSPEAQSRPQAQAGPSVNNARPISKPSTPAGIIL HKNSPAPNLRPTKRRRQSPAPADEVSILPPDANLTQVAQSSLPDSAQAPDLAPVDAKP SIIDQAREQGLDDDEILDLVLRPGPLDGDEEWGLPAEVDPGDCDPALSAKVAHFLKLK YEQGEHINTRLLSSSTFANPHIYSQLVDFVSIDERAPSFPSPSQSSSSSGGWLTRQNL ESLIPQYGPSALTSRQKERDKKSEEAKKAGTKRGIVFGKGKYEDGGRRRERERERDRR R L198_07875 MSEVTPISEYPVPPKQRNDVAIELISGSFGGAAQVLVGQPLDTL KTRAQTAPKGQFKNTWDILKVTVRKEGVLALYKGTLSPLIGIASVNSLLFTAYGAARK LVSPYPDLSIPQVATAGALAGAANAILASPVEQFKIKMQGQYGGADDKRLGKVVGDTW RQYGLRDGIMRGYWVTVVREIPAYAGFYAGYETTKRQFASHYPSQPLPIWTLLTSGAI GGISYWLACYPFDIVKSRIQLAPSPPTRGGWLSGGYVMRELGAIVREGGVGGLFRGLG PSLVRAVPAAGSTFVAYELARGKSSVFSMFVWMLIIHL L198_07876 MPSKRPARRRTANRTANRTANTDTSSPSSSHPLLPAEVQYPIYD AFLSSDPSLTLARTLILAKLSKSHYESFIPRLYKRIVVSDKAIERGLYEGVSARRAGK KVVRGEGGPLGSKRDLLENCESLVIATLTARDATAEAVELWNGVMTAKRYTAGGLPDT PGIFNNVTHIAFEDDCLNQYCLDKLGLLFRGQAAVINRHPWPLRNWSHVCFRLPYPSL PFEYENYLESFYDRASYFAPGVNATVGNVTRFQNQNMSADSISSINYVNGGKTKIVDM LPLEHPEDMGGVEGYGHHVELIHLYCQNSFYVWGKYRKKFPEKLPAALIFTNFGTTVS RDGNIHYIPPQKKQAILRKAQDDIRRRLQPVAASEKLDTGVVDKIFIRGYEECEFCGD VCPKEYHYWDPEEEWEEYYTSQCDWEA L198_07877 MPPSTANTDTSSPSTTPPLFPAEVEHVIYDNFLSFDPSCTLTRT LTLAKLSKSHYELFIPRLYQRIVVSDKTIERGLFEGLSARPAGGTEVVRAEGGPLGSK RDLLEHCESLVFVTLAARDATAEVVELWNGVMTAERYEAGGLPDTPGIFNNVAHIAFE SDCLEQYAIGKLDLLFRQQAPAIKRHPWPLKKLSHICFRLPYPSLPSEYEQYLESLYH LASYFAPGVHDTVGNVTRFQNHDMSEDSISSINYVNWGETMIVDMLPLEHSEDSGGVE GYGRHVELINTYCKKSFWIWGQFGKKCPEDLPKALILTNFGTTVSRDGNFHYISSNKQ KAILQKAQKDIRTRLQSVAASENLDTGVVDKIFIRGHEECEFCGDVCPKEYEYWDW L198_07878 MLLLTALLPCLLLASAHPHHTRSHAPRSAHGEVSAHPSIDLTLL PTRQFSECLEDRQEWLHEQTDTLLHKYAALIDDSWKKNTSLVKKDVGVAQLTDVNYDA SYLGTVHIGTPPQELLLVLDTGSADLWAAGTDCANCGDGERFNPSASSTYQASSGTFS ITYGSGSASGDLGTDVVELANFTVPNTTLAVVDQASSGLISAPLSGIMGLAFSRLSNA RATPWWETLVNAGRWDSPEFGVYLARYRNDPSASTVEGDGGAITFGGIDTTKFAGELN YVPINDSARDYWRIPLEGLTINNHTPLNPFLPQSSTSNRPQAGIDTGTTLIGAPPSTV QAIYAQIPGSSPLPPWIIDGQGLWQYPCSQNVSALFQFGGQQYSMSNTDMNLGPFTSD SSMCTGAFFEMQMSPNSPIQWIVGASFLKNVYTSFRNTPTAIGFAPLVLNAASNNTIA L198_07879 MPKLFPKTDSRATTTPTRRKRLFLSYAPDWALTILLWGLFYLLD KIDGYRRLFDVTDTSLAHPYADPERVPVWLVGVLCGGVPAILIILVAAFERRSFWDGH NGILGLILGLGLTVTFTNILKITAGRPRPDLFARCILPPTLTSNPTHSLTSWTACTTT DKDRLQEGFRSFPSGHSSFAWCGMWYLILYLAAKMCINNRQGFTYKSWLLLAPLSCAS LITVSRTMDYRHHPTDVIAGSLIGVAGGWYSYRQYYPSLSHPQAYKPHSPRIPRDEPS PSPSLPLHHPHPHPSSPSSRPGPGRDSQEVMLKPLPPQHLNGNGNGNGNGNGNGQDSS FVFGGQPGFGAPYQVSGGGSGSGGGEGGHHQSSMGTEMTSSSGGISQEQGQEQGQQVV READLGARGEMDLVGRYK L198_07880 MPPPDLAISSSAPLANQVAGHDGVMSDASGSLVIKPALPREIAF YQMLTAAGREDPIYALKGFVPQSYGTLRLEGQLQPSGDVDTSVKDDVPESVVLENLSY AYTRPNIMDAKLGTVLFGPDANDEKRKRMDKQAHETTTHETGLRLTGCQTWHAPTGSY ISTPKSFGKTITASQLPEGMVRFFPLPSDEIPSLAIPPSPPPTATSTSAGEATSSSEA AAHLLPPEPSTSSTQTADLPPTPVTAKPPSSTPSPPSYASHAIPPRLLFRILTLLLAE LDRLAQVLGGLEMRFVGSSVLIVYEADGARLEAALDRAEERRAVRALRAASGEGEGEG EMDPMSRRSAFSDDGSSCSASFSEEEEDDESVEEDLDLDGVREDERRARKCPPLTVKM IDFAHTWLAEGEGPDEGVLKGLKTLRGLVEGRREEVEKAL L198_07881 MNPHAHGESELLPSPTSPTYTNVSTTSAFASITGLSTESTFQSQ LPPSQTRTAPSQVPPEPASPAPSSSSSSSSSSSADSTTPFEADFEKTAGLPPSSTPFQ AVQTVQPDRKEKKRFSFSLRRKKGVKDILPARLYKDRHDSVSMIHITAFGTAFVVAGL LGGLFYWMANKDNNENVQ L198_07882 MSARRAATPAPMPLPLPTPPVDAAVKDPEQDLVPSLHQSPPIQD SDITDVLGRPIRRESLNACADRMIMGGEQESERVKPGFTIMSIPTVSEPSAVKLASTR PAPAFFPTPAAPTSDSSILSSLSSATTETTLASTATAYDVDPKRSPLEVFTNAQFHSS ADIELGVQHYNKVAPSGIKEKRENCMKAPRWIAYAAASLFLVAIIGGCTYALVHHVKS RK L198_07883 MPTSADDDAPSAQAIPPPPPSRPRTPVHFPHAPIGTPPPTPTTP LTHLSLSRKKNPSRQSSVSHAPIHTASDIELGLQTYNRIAPPITTATATNANANAKGQ RKAPRWVAYVAASVFMGGGGVVVGSVVRLVYYMTKHRDVRSWTWGR L198_07884 MRLAAPLALGCLHLLSLAAALPSITRAGKYLYDDAGDRFYIKAI AYQPPGELAASSEANSANGGFPEPSSFHDPLSSPENCTRDVPYLQQLGINAVRVYSVN SSLDHDACMRTLSDAGIYLLLDLSLPLNGSIDRSSPSWTTNLLDQYTATINVFNAYDN VLGYNVGNEVVNLASNTNALPFVKAAARDTKAYLRSIGSSAFVGYAAVDGDADFRNSV AEYLTCGDDDTILDIYGFNNYEWCGDQDLSASHWDTITSGFSDLPIPTYMSEFGCITS PPRLWTEVAALYVSPVSDVFSGGVAFSYFPTSDGYGIVTISDDGQTISTTDDFTRLAT QFNNTSPANSPSQSSSSSSTTTCPAESSSLLASPDLPPTPDASVCSCLEDTAFACHLT DAALNSPTIIGSLLDYTCSLLGSSNSSASCDPISSNGTSGAYGQLSFCSPAIKLEYVM SVYYQSNPVSTSCDFDGNATLSTLSSAPNTASDADTAAQSCLAAETSGGVFTPSASSP AASPTATSPSSSSASASASGSGSGSSSAGVRAVFSVGLGVVGMLVGGGAVLM L198_07885 MTGLGFGRGYAAQGGDIGSFVTNALGVYHEECKLIHLNFRLLLG KPTGSTPSPSSTQQSQPPDPLLPHNAGCG L198_07886 MYEVGRGVNKGVHIEIRMPQPPDDVKRWHVTLTSLPTSSLPPPS LSLSLSPSPPPPKKDNTKPWPTPPSPPPPPSPSSHSKVSIPSTSIDDLRTLLRLSRIP RKTYESVNADRENKFWYDDRPSGAVAGCLVGLLIADVYWGKKRRKQESYINSHPQYLA TPKTSDGKELKIHFAALFSKKKDAIPIILSHGWPGSFMEFYGILDLVKKQYTPETLIS RLC L198_07887 MDWSLPILFYITSPLTKRRPIDHGPLPHNPTIPIEPFTLHVPDT ELRELQDAIKASRIAKQSYENVSADENDFGVTRKWLIDTKDEWLKFDWRKQEERINAF PAYKAKIKNKDGLDYSLHFTGLLSEKKDAIPVILSHGWPGCFFEFIPLLELVSKQYAP SELPYHLVVPSLPGWLFSSPPPTDREFSVIDVGYLFHSLMVGLGFDGGYVAQGGDIGA LVTNELGATYDACKLMHLNFYNIQPTSSSPPPSPDDMIEIFQKYAYALEHSTRPSTIG LVVGSNPISLLAWIGEKYLAWTDQSPSTQTILTLISLYWFTDCFTTSLYTYRYGLGVR RNDPATKTSTEYQSCPTGFSNFPKEISPISIEAAKKRSNIVWSREHDSGGHFAALEKP AELWQDVEDYLGANWDKYTTK L198_07888 MTLPSPIPPTPPLTRHRSDTILSTSSSSSYPKVQTPETPSILSR LSFAGAGHDLFRDEAKQTGKDQGSLGMYTLKRRASSYEFGALLGEAAVIERGRGTGWS GLSGWAFGADEAGPSHQEQERANMPFVESPTEESTGFHYPLPNASSTSPPDEPYFSHV PSDPPTEPPSLPTSTPALTDKPASASERRSSWFQKAALDKLPRSRSSFKSFSSSGPQQ STFGPSSQSPSIASISATSQSTPPHPHPHPKREKFQSLISFSRPRKASYSSQSPFADA PPPPPPQTQDNSNHAPSHPVPVAGGYRPWSPPPMLPSAGPSGEQVTVQRFDFCKRGPT GDDAQSPGAGDEEWMVKVDRKGKGRAVPLPPPLGLNPPMGIHNRHHNLPLPAHCTPFT TGETKPKDKPVSLTFEQLPHEIRVMVMRGVMEGWPESLRTKMAGELRGRKELIRLSVS KSWESLCFDGQLWPHLTLSSFAHLLHPSTLFRILRHSSSFITHLSLRGMDKVGGYTIL SALGGLSPDGLELGLETMDLQGCLGISSRDICLLVARSGRLRRLCLRSVPHVTVETLI SILSNAPLLEELDVSYCSNILLPDIAHAFAHSSHHSSRLRKLRMAGVNGMFPEDIWTI LTDSGVLPGLEVLDLQNCSGLSENTFAPLLSSNPTALSSLTHLNLSSTPLTPSIFTHL THLLPNLQILQLARIHRFYDPDDDDDGHALAEMLKSMPKLRKVDLEDTAGMSGVCDLV LKVMTPGEYAYEDGEGEGEGEVVGMELEELNIGYGDVTSEAVVDLIHRCKKLRVLDVD NTSVGNTVMRAFNRHIPSPSPSSSSSSPSHLRRLSLIDCPSITSQAYAPLSPRTRPRQ GWPGWQAVPFGYDPTGHGDGDEMADEKVVLKTFWSWRRVAAPKGWREVRKEAERVERV AEVEERKMKDVGAGAGAGDGESAGARPIPNARAKESVSRMKMRGSESDGLYDERVGCV IA L198_07889 MSDTELEAPIEHASAFGQLVTGPPGAGKSTYCNGLHQFLSALGR PVHIINLDPAIPSPPYPCSINITDLITLDSVMSEFSLGPNGAMLYCIEYLEANVDWLV ERLDEVLREEGGNGYVVFDTPGQAELWTNHDSLKNVVDKLVKMDYRLAAVHLSDSHYI TDASKFISVTLLALRAMLQMEMPHLNVLSKIDLLSTYGELSFDLSYYTEVQDLSYLLG SLDSDPRTAKYSKLNKAMVELVEGFSLVGFQTLAVEDKESMLNIVRTIDKMTGYIFIP SNSLDGDGTSAANAQALFGSAMSSAKLLGRAGGDVRDVQERWGANKEEYDDWEREQWA KEFQMRKQGEQAAGAGQQAGGA L198_07890 MPSIDPPTRKATARFGIPNARLPPSLDPSSFLIYTPGLPENPRP QETIDIQLHDIRHEMVEGPRAVYDQVHERGWAVAGHESRFVGEIGSLEGAERYREETC ELMRSYLNCTQVYQWNSTVRKSDRKQSTIVDRQKGPEEGFLPTSIIQPPASHAHVDQD EIWAPNVVRMATKQDPTTFKRSPVTSSPLCMLHFPTLSPSDTAKQESQFGTGIQIHYA PSQKWCYLRHMTPHEIVVLKCYDSLQGSDGGALYAGHVAAEMDDSEGVEEGLVGPRES IEVRMVAVWE L198_07891 MRIVWAAHRDYFCARWKRGCSRSQRRLMLDDMITLDHLSTPQSV YPILRGWVFRKYLNPRTRWWGYCDSDIFVGDFSRTFPYDLALDDTFDVLMPTEPSDNG GGRLIFMRGHMTFFRNSETTEDRLLSFPHFKSFDTWDNMPLPGPSMGEAEYSHFVVGN PEINILSFDAMAPAPFVRSFSPAGILTHPDSLRPKNNNPPTLPLSTIRHLSSALPLNE PPPLSSFTDKAKSFSITVNQGYTPPDYKVWFNSSFCSWYFANAIPAEDNHAPAVVGKQ KWKRYLTKIGGVWREILEPENEWDGSVGGVDGGERIRGSYTWLYAHWQEDKKTSHWRA LPSHPPLPNILVSYFYDGLAAFDGDTGERIFWLPKKEESCAVDGCVNIGSPLPGSRPE MLAWRDARSDFVQWYVHSKQVRLGIETGTVGPQPSE L198_07892 MSDDKKGEERVLDPSEIRWKMAVACDVCRRRKVRCDGERPCSRC AKASIECTFSLPLSSLHCTLVLILFYSVKRKAPGSLRGDEFDGNKRRATSSAEPAQMM PVASTSAIPATPTDESPSHKRHLLLVDKDDQLVYSGPSSGMPMFDQMGVLKTVEISEG QASNENIPPPPGSALGYAGAHGASRDYFDLCAQKCPVESMHQLIKIHFQSSAFFPLLH FPSFYSEFVACTQRRLRCTPQYGALLMSILAVTARLVESARPFLHEKDRQGESFYEVA QDLLKISTNKLDIRHILALYHLAIFAECKKSSAGEASSFVSEAIGLGFTTGLHRNASE FQMDPVTSQIRTRLFWALYRINISLAYSQGRPSLILLSDCSVDFPAVIDNEYITTSAM GPQPDDRPPVIMAGAVKTLETFMVLEQVLSIINAPANPISQRYSVDSADSRQGDRFQR AETRLQEIERSLPPYLREERVPPNNEISVHYIVSSRIRSSLLFVRILMARQALVEEFE SSSHTGSPAPTDSTITACRLSIDCISMYTRLKHVDYLQYSGFYSVSHITAAAHTLIAC MVRSTQLAQAHRQELMSAIDLLRLLSRRFTCSEPAAVLLLDLTKSLDLNNRQGGSSSD REAVAIRVLARKMAVSPSAAAPIPLPPISRSASPSSQPPPPPGAYPPQAQANYPGQAF HPSSIPSSRIDPALQSQPEYAIARSTDSDTRNMPPPRPAHFSPTQSLFSIQSPSNVSS ARYEPPPRWGVNGSGGLETELVGEQQQQAGSYAVNGEYMEYDGSMGYDGQGAPMSQPG NEWQGSALAEGSGREGQEGQDAALQAQTQQIAEFMVSGMPQMVPTNAPASGSEWAANF SFLNDGLFGPL L198_07893 MISHRTTFDNLYAKSVRHGHEASDTEKTRYFKHSWPRTGDFAFY RATFESLPATSQTWDKLDSLWCQALRSAEESEAMGTHEGVVAMTHGRDQGQEKGARNG GSSQVAGKTWDHNTQPFRGVCYYCQKPGHKVSYCRSLAKDRPRMDDGKEGDAGKDGEG RPKGKAHALIRREETDEVEDEVEIGDVNLGSIYYYTSDIHDFYLQAHAGLQGTARPTH YVVVADENKYTADKLQGLVNTLCYSFARATRSVSMVPVAYYAHIVAEKVRLVIDDEDS DNAAMSSTTSGSKVEQMTFNATRVSKRFEDHPKSNKVAWESLYREGYHWVPKLATRKM KKKTDLSAVPHLSTLSSLCSLPCSTLPLPSTPNSSPRAPNPVNPVQQPAAPSRKGRAF KGLPGSKKSALAKAYSAPVLGGWANMDVDVPEGSEKKEEQEDGFELDWSKDMDFP L198_07894 MLTDVVPKLLGFAGGGGRGGRGGRGGFVGGPPPGAARSLQVLDP PQTTRLNDILRGAKFTVTHQNTERVFVIIKLTVKAADEIKFTLNGKDGQPDRTVSVAQ YFQEQYNVRVTRPRLPCVQYGKNCRGRHRWREGWGPLQKSQHYKERITMKARYLFTL L198_07895 MSTSNSDDRNPTASSPSSEGDESSRRSPDAAHPHIRVVITNRPG STDVSSSQYSSRRSSGSGLSFEPMPEFEPLTVDAEPLSPGILTPTLGPEVGIFRSGSV ATDSDDFHSSPRGSRPIYEDTGSQQPPQPARPIMSNPRPMVTLYFQRQGQGAQDFSLA LVSREDYAPSDEDDY L198_07896 MADTMEIDSLTFDRSMNLNNQEEDKENQRRYGGDSSDQEMSSDD SMGEDDMSHGGRQRGGRSTAPSPTNELPQPSFNNSQFTYTQGPSVTLLFSSNETGCTS RHDEQVIQSLTLFQSTPSEPAEEEETSVMDRYYSFNDYDVGHTISVHDAIGSQLEPAT EKKASDLAKMVESALISLGGQSLVDDVTLDMRSGYGQ L198_07897 MSSNNILIVGATGKQGGATVQALLSQIASLSPSLTPPTIRFTTR YPSSFAARVLASSGASSIRANLSSIDDLHSALQGIDVAFLVTDKDAGEEKEVEMGIRF VEAAKKVGVKHVVFASVADADVASTVPHFRTKYKIEQALIESGLSHTILRPVVFMENF PQTPSASQTINVSAFFSTFVPKPLALISTTDIGHFAALALLNPTSPPFKNQIIPLSSG VYSVKDWEKATEKAGRKGWFWTQGAVGKVVWLTLSVTYRRMTECELGLVPPVPRDDIT L198_07898 MSPNILVVGATGKQGGKAAAAVLAAQSTNPDLNLTFITRNPDSG SSQKLVAQGATPIQADLGDRTSLVKALQGIDRAFFVTDAAVGEEKEAQQGITFVDAAL EAGVKHLVYTSVGDADVATGVEQYLVSSGIPFTILRPVAFMDNFPTEAGLTRFFVTGL FFSSFGLQKVDLISTSDIGVFAGKALLDPEGSHFRNRILQLSAGTYGLDDFTRAIEKV QGHTPWFARYGTRWVRSAMPFDFKQMFTFFDDPGYKPTDVGELRRIHPGLLSLEDWFR ENTPQAKKVV L198_07899 MASENNDTTTSSQWPPTLDSKSITFFFSPEQSGNSWATRLTLYE SSTPGSQDPSKQYSGQVAETEEEIPPNTAFEMEVAPSKSRHRKVIRALVSEGLSNGGG STRHAVYDYRDRDANGRLQEA L198_07900 MSESDNQDVDNRLNRYRDFIDSQIRTIQNRRNASEDQSAESRGA SSVSPSVDMSPVETFDPSDIEENVIDNWVDAENANTPSTVILEDEDLVGDDENTPPTY DFGPASNSATHPSMLGFMFTTEQTGIAGESAVILCTNTSPQGASYARWMLDQVDSGGR DRQWNRISMDDAITLYVGDLDEDRRDAVSGWMLIGLDQFWRTGQSMFTYGNRGASVEE DAAQNRER L198_07901 MSQSNNQDANNPLNRCREFVDVYIRTIQNRRNASEGHSAESRGA SSVPPSVDPNDIEENVIDNWVEAENANTPSTAIPEDEDSVGDDESTPPTYDIGPASNS ATHPTMLGFMFTTEQTGIAGKSAVILCTNTSPQGASYARWMQDQVNSGGRDRLWNRIS IDDAITLYAGDLDQDRRDAVSGWISIGLDEFWRTGQSTFNLVHRGASVEEDAAQSRER L198_07902 MSYPPSSLTSEPSSALGESGHTCFSARHLKPVRTLAPPSPSSAT GRAIIMRSYKGGSKPATWELVSKIHFNDGSCTIKDHACMVESVRRGFDITEDPDLDDF VVGKVSRRNLTSMGLWPEYKDVYRTANGVELGVFDDSGEFVNVFEEDPVFEVEESMTG ETFDAAYVEFVQQTKWKADVAKTYLERRVETDAGIISIQEEE L198_07903 MEDLGLREGDHAVTVGMSGGVDSATTLGILKDFPIHLDIIFMRN WDPLLSESSPDSDSPAPQISLSYTPPSSPSSSTPKTPNLSPCEWQRDYTDVLSVSSHL GVPEAKVRFVDLSKEYWSRVFEPAVGVWESGGTPNPDVDCNRQIKFGALLDVLPKNDR HFLATGHYGRISHFPSSPSRLLRAADTSKDQTYYLSQMTEYQLSRTILPLGGLLKKDV RRLALHWDLPNAKKAESMGVCFIGERGKFGDFISQYTSPPPPGFFTTPSGTRLSQHKG LWHYTIGQKARLGGQKEVLFVAKKGVGREGEDILVVPAGHPALLCSSVRTSSFIWIHG GEEAKTIIKERPAGVMVQVRHRMEPTPAKVAFGENLSDVKVIFDPPLVGVSPGQVVGI WHEGWCLGSGVIESTECVDESVSSS L198_07904 MAHHIAAASASDDEDWVPPTEEEYKDMKKYKSFIMPMDTPYSIG EFVWMHHDKCRPTSESPRPVQKRVRPSLTPSQSQREASTASLAPSAVPGEGSPGALVS AEEEERQRQRREVNKMWKAGHWIGRIIEVRAKGNTYVWMKIRWMCRNINELKEQDVKT GLPRSKPAGREIFMLGPEYDAIQPVGTVEGRVPVVLFDESNPIPPEDQEIDEETIWYR HEARMPNEMEMELLHPRASTGTGARPGGGGGRGGKGKRGRSEEVHISRHLFASHLSYS YQPTSCYCGDPYLPIATKPELMALCPNPGCLRWFHLGCLDWTGRGHDHRLPYLTPSSL AYIRASGLALAAYMVESTPAGAPVPPMSALSVSSSPSRINGHGRGNVTGQGQTQTQTQ TQTQAPEIRTSQTALRRALTPAWSWANRNPQAEGLPADTLPSPTVSQTLLEVGLKASL FLHSEARAKGRGRSLVEEEGDGEKEGGVDMRLGEEVLAAAEGVIVRGKGYGTGGNARK ILSARWIVHQAALSPPSSASASASASASASASAALSLPSPLPSPPATSSGAGGEGGEG EDRIVRMVREWETKWGGVAGLEVRQCVWSCPGCERLM L198_07905 MSLRIPRLPRRPLPPPPIPRSFPRRPFSTPSPTPSAPGAPGPEP TSAYAKFKALSKKYGSYAIGMYFFLSSLDFSASFLLVHAVGAERIEPFLDEGKAWYRS KRYGEEKALRLKAEDERSREEEAREAKKDGKVEKNKWFGRTFWAEVILAYTIHKTLLL PFRAGLTVAWTPKFVGWLTKQGWVGKGGLTRAATHAQGKVKNASVKVKDRVKRQP L198_07906 MTLLTLLPLLLALPSTLPSTLAQVTASYPNGPTNPDAPEFYPLG SYVNQTSESRLISLNSVDDFCLWGPPEKGTGVDTEIGTVEPIVVAYCTKPRNGARLIP DGAITGAHFIKTDTYVQVWGFWDGTQVNINQGDEGGELDPHGAENLGNPIGGNATSNV EGRDVFYEEWMSFISYDQFCLRVCTAETNNVTAALQCEHELDVMGCRFVMAIEDFYAT NNSFSSCEGEPAAPPGLYPLSNGSTSTFRQRYTGTWSNAEETGMFTVGQTVTPSSVAF YPATSNCVTYPTISNGIDTANYAVTATPSLLVSGSTVAPSSIGTTSQEAPSGISTSSP TTTAAGSGSSAASGAEASDSGSNGSGGGDSGAAASASGSGSSSSASAAGRGRRVEGVV LAGVVGLVGAVIGAATLL L198_07907 MATQCIKCVIVGDGATGKTSLLIAYTSPSSTFPPNMEYVPTVFD NYSAEMSVDLGPGQGGGRREVNLGLWDTAGQEDYDRLRPLSYPQTDIFLVCYSCVSPA SFENIKSPNQWIPELQHHAPQTPFLLLSLKSDLSSHPPTLQTLASRHQAPISAAQGQA LAKEVGALGWGEVSAKTGWGVREVFERAVRGALGPPSGGGEGEGRRRSSV L198_07908 MSLRPLLRQTQLRRVGVRSIQSSAPKRAAHGGHGAEEDQSDTYT KESFLNPKWRNAFLLFTTAALIFPYLPTPSKSNLSPSLSPDAFQDARRDVEGELPWLT RWFVRETEKASVWKERADRHLELTKEEADRRLLFQEAERPRVLRMRYPR L198_07909 MWNTSNQSDAGGEWAGKAKEYTVNTIYYSKGNVHFNTSDNVLFP FDLDRLSKVSSFFRDLDDIPQPSGKKIGAHIPGQTIIDRLNNELSLNERADIDIPTHM DAIIIFPECDAKALQPWLNLVSFGGAYFTDQV L198_07910 MSTTSNQAHSGGEWAGELKEYTVNTMYYYKGNVHFITSDNVLFP FDLDRLAKVSSVFRDLRNIPQPSGKEIGAPISGQTILEKLNELSLNEREDISRHTDAV IIFPECNAKALQPWLNLVSDGGAYGSGLRISCEDCKELYTLMDKYGCDDSLYEVLRSQ LSFMGNDRPWDLFIMASHGNDRVLGSRVLQSMSNDTFFAGGFVSRLERLSDSWAMAVY RAVFNKDVNLGLTDELTETHRYMKRLPVEPPKYINFDFWKDNLYETFFAIV L198_07911 MSTTSGHEVPLMSNTSNQSDAGGEMAGKVKEYTLNTNTIYYSVG NVHFITSDNVLFPFDLDRLAKVSSVFRDLRNIPQPSGKEIGAPISGQTILEKLNELSL NEREDISRHTDAVIIFPECNAKALQPWLNLVSLSGAHGLNLNISCEECEMLYTLMDKY GCDESLYECLRCQIKFMTGEKEWEMFIMASHGNDRVLGSRVLQSMSNNAFFAGGFASR LERLSDSWALAVYHAVFNKDINWELTVEYQVLCHQLPRYPPVGPSKYINFDSWKDDLL ATFFADV L198_07912 MLTTFNQAVAGGETAGQAKKYTVNTDTIYYSEGNVYFITSDNVL FPFDLERLGKVSSFFRDLGDIPQPSGKDIGDPVSGQSILDKLNGLSLNEQEDISTHTD VIIIFPECDSKALQPWLNLVSFGGAYGSGLRISCEDCRELCTLMDKYGCDESLYEYLV VRFYNFHR L198_07913 MSPPQRESVPIPPNAQREHKKNRSGVLQSSLELVFSSYSRSQQL RYGASLSAPSFLDDNSFQDDQDLDDGQDSSVERHVSSNWDDIEARAGDGTRRLGGDAW VQEVAEDDEDDPNGSNISRRVSYEPSIFHADGMPLSTARPEPPSMPIPPASQNKGLIS VGMFSPRPSLPSLPGSYTTSSSVTQTPHVRGKVLSNKTQSSGGTSGFTERSSGGVASA GTEETTALLGDGQAQVYDSVDGGGRARARIKVRVPAGQSTEGQTLFNATAVLVGIGLL SLPLAFAYAGWICGSLMLFGFGWLTCYTAKLLSRIIRADMRLTGYTDIGKKAFGRSAG IAINFLFCLELFALGVALVVLFGDTLNVLFPHIPANTWKLIGFFIVAPTALLPLHILS LPSLLSSLSSLLLILVLLIDGLLSPSSPGSLLHPMPTSFTPELTGGNWLGGVGLVLAG FGGHAVMPSLARDMRRPEMFERVVDKAFAIATGISFIAGASGYLMIGSTVSDEITKDL MQEKYHYPRILNIVALWMIVINPLTKFGLSSRPLNITLETILGISPSPPIDARESGFD SSLSSQTPRNGAAYHENEHDQYHSKPSPPFRSPSPSPLHRPSSPSHPSHNLNPPSLPS PSQIALHESTENRKATYRAISRIVVTAVCVGTAVVLPGFGRVMAFLGSFSAFVICIIL PLLFHLRLAPTLFPPSSASAAGRARTIALGQWVLVAGSVVLMVGGTVWAFLPGSGHGE LDP L198_07914 MSTTVVSSPGKVLVAGGYLVLDRAYSGLVLATSSRFYSSVTSLP SDAQNATISVRAGQFPKEQSTWNYKLSLRSSPHEPALTLSQTNEAEVGRNKFIGIAVT KVLEYAYESILLSTKDEEKAVEELLRRIGGGLEVVVFADNDFYSQREQLASLSLPARL SSLPSLPPFTPLPRPIPQTNKTGLGSSAALTTSLTASLLVHLAIVPQSPHGEIDDQGK DAVHSVSQLAHCLAQGKVGSGFDVSSAVYGSHVYTRFSPEVLAPLMDLAPFSAPSPLL EHLDPGKWDSKVKPFRLPKGLRLVLADVDAGTDTPSFVGQVLKWRKEKPEEAEDVWGR LDGANNGLREVLMALVEREGEGEYEEVLGKAHAWTLADLSSAPSPSPTMTLLKTLAES LLNIRALLREMSDKSGVGIEPEEQSRLLDACGDVGGVLGGGVPGAGGYDALYLLTIDH PAPLTAIDDLWANWKEMVVCPLGCRQSDGGLRGEDVGEVRGLGDALGRV L198_07915 MAELNQVLELLQQLAAKQDALAEELKQQQQQQHTCTCGNHSHSS AASEQGVTHYPNATPPHSPIAPTSTGGIDALRASRKDSMSSLNGLSTSPLTTARNFLT GLTSTEGVHGNGDGNGDGDGAVTPATPYANLSLAAAARGAGAPGGLLPPPEKNEKDEK KGKGLYPSRVILTTYPSQHGINPTPLKWGAGPTARERGPVVCSRIKSNLLIRNAIGAH AGSYSIYRALSIGMGQLRPDWRPDLTNTHPPFVLPPTQGWFGNKIVSFDPWGAMSQEI WAKEYAEGLDVRPTISQTKAHIKIQELDELFKKGDFPCDGDIVIKSPELPAFPGVDQG IEVNTYKAAIDPVWHLPGVADRLGIAESTLRRALFEDTGGMYPELLTRPDIKTFLPPI GGMTVYIMGDPAKLQDPETEVTCRPHDSCAGSDVFGSDICTCRPYLIFGIAEALKCAQ RGGVGVIIYFQKEGRALGEVVKYMVYNRRKRGGDSAAEYFNNTEVVAGVKDARHQALM PDVLHFLGIKHIHNLISMSNMKYDAIIGSGITVGNRYEIPEELIPADGKVEIDAKIQS GYFSKKQVTDDLVKETKGRNWEDIVH L198_07916 MQPQEYPRTTPPSTLIVILDIHPVSWSLLTTLPPAPPSDIRAID LAKSSPTPLSSFLTTFMVYLNAHLAMRWGNRVVVYAASAGRAKLIYPSTALALGGGEP SKARANVYRPFQVLDGRIEEGIREMVEEESRRLRQDDLGFMNEPSAIVSALTKALFIT KQDTVTSQIPTDPTTTAEPSSGAPATNETRILVINATPGSAVGSQTDSVNGDDTNGDG ASGNAPRGGGQMRGGYVGLMNCVFAAQKAKVPIDVFSLPPPTIDPTPPIFLQQAAHLT EGVYWQWNGRGGLLQYLHNIYLTPPSLRRTPFAVPPQDAVGFRAVCFCHHNTLDVGFV CSLTLALVFCEPRPVCLMCKTRFPIKSLPTLRAYASLINTIPIPDTIVAPPEPKNVDK GQIRAPERLANRGGGASDDAIVID L198_07917 MATVPLHRIRFYDHSPSPIAALAFPPRPLPPPANPASLPAHPQP HEQFGALVLARENGEVEIWEYVRDEERSMSSNWVLQKTLPPTLTHPTISQIALVLRSP SSFESKPYSVPQIPDLRLFTAGSDSSDLVERCLDSGRVLQTHQIPQAPLWSLAVAPTQ DLLVMATTSPNLHFLSIPPASMLDPSPALAPPPSHLLRSDALPSRTRTVSIAFAPPAL VRVGREQDDEWEWRNTGLVTGNSDSSWRRWEIPPPNDGSRIGPSRVLLKGRAKATIVW NVGVLPDNSVVTTDSLGSITFWDPITLAQKQTFRAHKADIMALTIGPRGESIFTSGPD QRICQFVLSAEGQWVMVAGKRVHAHDVKALAVFPAYLPIANHHPLAPQAVNPGYAPVL VSGGLDMTPTFTSAASPSSPSPSGTPLRSALSKPKPTSGKQAVTFEESFPRRMGYLSG GPLGGYVALSKGARLVVGRRERSVGIWRVQEDESGWEKVLEMELRLRTHLISSAISED GKWLAVSDLYETKLFFLASTSAGTLKPQRISTFLPTLSSSPLLTHLAIPSKGCGASSL LFTPDSQRFILGLQSSGQVLVLELPQADGDDAGVKGKGKEVGVVKCFERKERVVDGRV IKGREEVNGAVNGHVDGDVSMNGDDEKEEEEEEEEEEVVGKKKQKEELQAWVSSLAAS ADGQWLGVADLKGRISLYATLPTLPFPPTSLSFPPSTPLLAILTPTNNISFYHLEHRR LLPPSPELEKFLGAFESLHTPAHGIIWTSATSPEGKEGKAVKAIAWGTDYLATIKLDV ESLVRRRRVEGSPAVSTTTTVAGSVGAGVGKASRKKRAREARQAREHLSSLTPTPLGS VPSTPVSVSEEMKHQHLGGEGREDYYNIVTDRFRSILGVGWLGEEGEVGVVERPWGDF VGDLPGVFWSAGYGRS L198_07918 MSTDNDDDWETSDIVPKKILRPTATTFNPTPRPPPPTWGPPQVP AGPSYSYLPAQQQQRVADGEEDDWFRGDRRSLNNRQIWDSANTKPKTPSILAPQILPQ PQVQLLRRTLSNSSPNSSGTSSPAGEKVKSYEERQEEYRLARERIFGAEAEAVEGSGS SKPAPPASLAQRQRSQGNQPRRQDSPSQTHQPSPQQLPFAGLVPTQVRSSPSPSLSPS ASPYNSRPPTRTHSNPSVAGGGVIPSQGGGSGLVRQPIGPGQGAGFGGTSGFGGYGRG A L198_07919 MALMGRDMGTRGSAITLAALYRAVTRHCWYDHVCCCRASVTTWL WYFNASGIPIVVVCAKIDRMGNAAEDVEANGGGWEEKTDRAQQALRTPTTYALLKSYL LHRLYTVTPPLNPLPTSPNTVSASAIVGSTKFPFSHRTNVLDGNAVMVPRGWDSWRKI NVWRDGFDPALVEKSWKVSLSRYIAQSAGQEPEEDDGGPLEEFWQALLPSFASPPPQS PANLTTATEPSQNFLSRPLDLLMKDPNRDPRQSFRHAPSATSSSNVPSATDPGARVDS TMPPSGLRAVLPSEMEGRIGEEDDAKEGELKEKFARLGRKDGKAVPGTPAPAMPNEVL HNFASPTLTDLVVPGLAGKQRQDGGSAAGTPTKRTSAEGAGEGK L198_07920 MFLSDKDQPGPPENYGSFFDIDTALRGQEETDAVSALFSNRKIL QNMIAFFDLAGKNEHTEAVTVRAHIALLERLLEWNYIVNGDKIGEGFSELHTAGGSLS LYDARVREDDGWDRSYRQTTHFSRFVNWSRKNAKLLDEGAEGKVGAQAGIIKPDGGIS ASVVCKFDGIDMPTLVNSSEPVMEPNESLTSFSRVSAGLRTQYKLDNNQVLYHIVCIV MEIKPPIIPEMHLIGQISCYAGGLHDVCGTSYGYAGHGPKVYRLLVLDNAIVFEGPSD GVEVFKNFNLLAEGLEDGSVEVGRSLLLKDTDKKGKKGLDKDLVKDIRKLFVAAIKQL KEIRCDHQPLKRLPSPEGPTWTALRDRVKTATIENAVTQPEPLFDAACLSKKKVAKRK DKGNPASAEDAESGPRAEDSGFVAPPPEGAESTEVIADAQPGTNVNPKKPKRNKKKKT KPQSVNNPPPKNRCNRSRSPGGGGQGPTKRRSTINQATPAGPSATTSSATKEGGQRGR GAKTTDRTVGHRVGNVRESNGNTTDVLKGQDSFESASSQEEIQAPHASIVINPEMTDT YGNDDVATTEYDPLTAFNLQNIDSYMPESNASEKVRLLHESMLMDPVMNGGQTHHNAL PELRNIEEEVPKQTANGIMEDDIIDEEDNGSLDRAPSAVYDDPLEEYWLGDDEKRRAF NEKIKLVAARSVLRQANTIFAIASKEIFNRCLDEAIKFVHLYVHAIGLRLTQSRS L198_07921 MCANNVPHDLIIEILQDAVADIKRFRDRAKQGRLSKADKELVEL CNDSPFMQLVRAGFNTNPLLLDVAAILECRALQDLSLPLFRLLLHLSHLRVNSSFWTR RRPEGMRGGGTQR L198_07922 MSDNNAGIDTFPYNTHTARQLAPPKFSNVTEIRGLVKLVRSIAW SCDGSRAATAGEYKDIHVWDNTLHIKSAKPLPASSNPSPHSNHVTSVSWSPTDPNILL SADKTFSHGSVIAVWDLTSPSQPIATFKTPGDVISLSWHPSGHHFAAVYPKGLHDVVD FYRFSPSSIPSASSSPSPPAGKWEKRTDIVLGGSSPGIGSEEINSLRFNNSGQLVCAV SNDGSIGAWLYPLELLEGENMEAPVELEKVESIAVEDTIEDQAGTAGGAASEAVVEKE TDVDMANGEETGEAPSATFIPEETAKEGPQEAEGVAAEAEPEERVAGDETASKDDVEM TENTPHSSKQPTPSRPPSPAVPPSADIPETATPPAPIPAPKATHLTRVAHTTPYAASL LSLSFDPLGRWFAVGGQDALLSLIDTRDWICEKNWDVCSSAIRHTAFSSDGEFIAVGG DDLFIYILSTHASQVVSKIPIPATLNCLVWHPTKNILAWCHSDKKGAPLWYVVQQEV L198_07923 MTPPFEKSQTAVLPASNTSSGRLIPTSTTTESSKSLAAELSPTV IDRALTLIYDNQQLAEVFFPDSRKRHDLPESASLKLSQQLYIAVYRSGGSIRDNEALW HAIRHGYLQSSEGKYRATAKWTLQLRNPLTECIQQLRLEMQRHINAYKTTPYPSLVTA GIPFSHQWTGCGDTCPPDRSLHGCRCPYTMTLIALCQGRISKKATAKSSNLLHLSLSQ KSLLSSTSTTSSSAISKSSIKPSSPSSSQPSFSRPPSSSVAIERPPGPHLPSPETYAH SRRGYKPRKMKRKRESSPRSPLLSSADPSTSLSFSNASIKTRKIVAQSAHVQTAGLPP KIDHSSEAADGQLKKKRKRSSPPSTSSLSSPSALSPSLPSPNVAGILVEEGAESSLVV RPSWGPSSSASSHPTTLPAPNAATLPLSRAAGQPKKARKRKNRSRDDDNVPPPSKLPR LSAPSSVIKPSFLPNVDANPIGVRAEAAKSGSKTKTERKPRQKKRDRERKQRLAELGG NGEATSGGKEEVCGIDSGIGLPGLSAMSLTEVGESIRQPVIAVANSSTSRPTISSSSS SLPSGNASLGTAFLLDPHLSQPAPLASQLPLCAGVNPPSDMTHLTETSLLSPTVPTKQ ATEISAASERSSLGEEQAEGCVEQRAEVEQEEILLVGIVENEQLEETTSVCVAGHSGA SPRNTATAQARQEMDLGPGIVGGSSSRWEMYLPFVNSRSSARDTTGSHIPPYIGQSTD HSSGTDGTAVPNPPITRPPVAASGVDYVPQEAPLEAPLRVVERSSNSPPTSPVLGQPD DRGTAYGAFSYEAQNFEPESTLFSGVVKSEPIEEITPAWELELSRAVFLHAPLSSSEA PQRLASGTQRSRRGANEALGVIGDGTIQWEIYPPVLSSPPSSRPRPTRRQKHPRPPAK RRHPVPTSSLRRSPRAQIDRPSRSSRSRRSQPTQDEALSHSLRRPCPPSPVIVEGEEH YEVERIVDSRRQRRDEVEYKVEWSGYEGADQYSWLPFGEVKHLEEALHAFFAANPHKP GAPAEPVILAERARREERCEAATVLGERSGGLVEGEGKDRECWDDEEEVEEGEDGRQE ALETGGQTCWEVMVRDETVGQSGGVDQGGPALAGQGHEGRVASSGRRFSWLVGFLGFL GF L198_07924 MAKPYNRPEDTKKSRQSSDKQVIPADSVTLLSINTPFVLHSFLL TIQADPELRRAFFPIHIGLPARPRWKLARQVYIEVYSTRENDLEGTVLERAANIGLLK LGVDAGNDNYIAKPTSRWTANVEIPGVKEIEVARQAMLDTSKRSQHFLCPLSQVGFQE QWKGWADVPPCYRPMLRFYYPYYFTLRNLCAPYPISHPSQSSPISTPPVTPFQPSDNS SPIQPYIDRILIMNATYSPPSLFATHLSASQRSIKRKMRSDRSSGKADVEGEIRHGLS YELTRRSEDMSLQDVPESNIPQNREEGDRGQGTGSVVAMNEDGIGSKEKAKRIQKRII DISERESLIGTDLPISPPPSPQLPFGFLSSGSSTAVRSQPSSHLSESISSRHPTGMPN GRPRWRVSSRSPTPERRSYAVKVGRGEDVAGEKRIKAVVYNSPHAPAALPSARPEEYQ CPPTYTPPLSARRTPSLPLASSGHLPPTHGICHAVTDLATSSSGLVGDEDPFQTPPSL PELNPVPTPSQSPQLVAAQPASPRKRKRPSWVTCTPPKPRPKSNSLPRSPSPSPALSP EIDIKIEPDELMPIKEQVEDMARREAARLAQRQTKLTGKRRGSGCSQRGDRTKRKSWA WSSR L198_07925 MSPAPESSSPTGFSWLDLFDDLPSQSPHNPPPTLSPLSVTTPSP SRTPAGQVHAAFPSTPASSVPALSYSNSPCSPGSIIQTPRACHSLLEPIVVDPDENPF VAFESHGEQDRPSVIARPKRPPPPTFQLPQDWVTETPTPIRFHADTFDIPERPIFPPP TVPIARADEPEQVNVGLRWLAHMPNSGGLLPSIARLRESMEDAPRVWSNISGISTYIN NPKRRVCCTPTQSTAPAPSADCSAFQNEMDELMEDWRETSMVHGVYTVNLLSSDPEVL ERSKVSVIEEMRQARDLGFRALIIHLGSEGQGVKSSSHRHQTLSRLIPTLQHIISSVP DVTLALENTCHSSPSSLTNLASIVEILTHFPPSRLKLCLDLSHLYVNELDLNEVEGRN AMWELLDRVGWKRVVGVHVSDNHVEHGGKGDRHANGHITPSSLRSILSQPFFKTVPLL LESPPYFKNFPIPSESIRRMPRYATKIISLEHERSALERGIIECMIHLSDDEWHGKGE VCLWATYNEMRKAVERKIYNIVKKQGGGIWLSFSKGRRKVVEQLRATEGAKRKKKNRA KR L198_07928 MLRNAGIDDERLVEHLSERRREDKADLTIGLGHSTPYEELSSFS LAVKANYLFYATPACQTLPPLQIEPFNARDARNDFGLPWIMRALVDAPEVRDLFPGEL RNLKEAMVHWKLVTRTLRDIKRRLEPMAGRQWRLRPLPNSLRPLPNSPSSASLVSQGA ADHHIGTSPVNGENLTAGKKTHQGSKL L198_07929 PHEPSTYPCAPSDIRESFPSFLSKLNISASSTPSTLASKQDTAF KPVDFVNFWEAPRYL L198_07930 MSSTTPPLSRAASYSIPATPTEALQTPSTGTTVDLTQRQSQYDA SQVSGQADPPKVAKYCVWSDADDSTMLGVLTDAKIDGQTSDNGFKASVWTRVINAVNP PTSGNPKTLRSAKDRHAHLSRDWAVMRDLRFKSTSGWGWDDENCMVTAPDEVWDRYLE AHPGHKKYRDKHFPLYDQYEFLLSDVGATGANVVTAGDPPSPVESTSTVPSVAEIMGE RQATEGTAGGSLEEIPSSSRSGDAPPTAHGTPSSSRSGDAPPTAHDT L198_07932 MDSSIFNLPMTASPVGDSPSQSSQSSQRDDVSQSSSLYEPLGNY QSSWSHSQPSFDPFSAAPAPCSHDFFPTPIQSTFPFESLPGQTPWTQSPAPGGTSATP IYPSFDALSSPPTGIPASFDMTSGLTPMFGAFSTITPTNESFSPASLPTTSRPGSVRG YSAGSLPTLGNRRRASTIMSLSSASPVTPAHSYYPYPSPHIFTQPSPHQHLGQVQIQG QGQHVGSQQPENPRIGRLFRQPSAPAFGETKRIFHPSPGPAQGGDGLHYYSGASSGAS SISMGMGMGFGIGGAMGIGMEPKPPRFKPTKEQLDILIKSYEEDKNPDGPTREALARQ LGPEVRPKTLQIWFQNRRSKSRAKERNAANVTPTKLSTTSPNARQVSADERIGLSLTS PSSAGTEGGAGSMQYQAERDALRRLTRDNSTNLTILPITVLSISKWTRFLTPGTGHTH PDLGAAVSFSLPTPTLHLYILHGSLFRLDIPLSPSTIQGLQATSNPGVSLESVAVRFQ MIEGGEEFWGWQDGMGWVRVGDFTGGQAGEGGKVELTGSKEVLLPAFSRVQQIVNPTA YPTPISSADLLSRHHTHPPTDPSPSPWRFPNSASPSSTPTPELAGPPSAELQPRKSLG IFTGMSHQRQRSFSQPQFPTTSFLAELAAPPLPLPLSQDSSTSGLQTVLGTQHQGMES TSSVESGDVPETDLSGLEWLSTGGETSRSSYEAEEVEGTDFVKQERLEGRSP L198_07933 MLRHASGHVQWRLGNLPKSVGVWKKERFSVMGWLQEPLLASVSH VALGQVFESTDSPAPAASPLFGPSLKHICVLSPVKGPNEYKHPSRLWSPLQRELLESF VRHAPSFAAGSTKDGSTSLTLHNAVPGSISKSLADTMRYDMPRKDAWRRWKLQILHTA PVMSKYILALRDAVALGKLGPDGDWAPRKKPWRLCFTNIAPHPSRLDIPQMLIDDFTR KTQLVLSDLCMAAFRKWFWETVSFEGPGACECCGGVSQERVQSAPQPLELYINKPPRD NRQKNNQQKNNKRKNKQSKPKKKRKNAKDMKWKEQATKGWKVCHNHWVVRRLC L198_07934 MSSSTTAAAQAKSVPKLNVVIRWSADPQQICRLLSIIKSNPLWR QACFPQGITTVPKLYKIYIDIFLVFCNNDPAIKAAEREGLVQRVKGSGGKKKWEATER FSSRVGNPVRRKIDSLKSALKSGTYTSTHNFRPSWKSWGDVPNGIRATLQAEHPYYFQ LLELAQSSSEFVLPPRSANVEASQSGRKRKLQPSLDVEDDRGPRVVNHKRPRTLSPSL SYQTESVMEADDSGNDDTEPPASRSNQAPSSVPVLSPRWNTDPHAEDDRGPRVVNHKR PRTPSPSLSYQTESVVEADDSGNDDTEPPASRSNQPPSSAPVLSPRWNTDPHAEDDSG LRVGNHKRPRIASPSLSYQTESVMEADDSGNDDTEPPATRSDQPPSSGPVLSPRWNTD PQEVSRLLSVIQTNYLWRQACFPHGGASGSEDYNVYIEIFLRACKDDPVMEVAAREGL VKRLGGSNGGKWRWTATDKFDSQVENPVRTKTSSLMNDFVTGIYESDHGFHPSWTKWT DVCVTIREDLQALHPYYFELRSLVKPSLKVINLLRKCGLVIEGEAAHVSKSERAAADP STPVLSPSWNKYKKTDTWYLIHCIDKCPRWRRTCFGPSGSTSDSIYKTTCLEIFLFFF RSDPVMERAAKEGLVTRVNGGSGEKPWAATKKFGIIGVVNPVETKLTSLKRDFDAGLY HSHYGFDPNWNNWKNVPNDIMGVLKAKHRYYFKLRRVIEKANRPSDTAVSERPKRNQQ KNNKPKDNQQKNKKRKNKKQKNKKRKKQ L198_07935 MPSSTTAAAQAKSVPKLNVVIRWIADPQQICRLLSIIKSNPLWR QACFPQGITTVPKLYKIYIDIFLVFCNNDPAIKAAEREGLVQRVKGSGGKKKWEATER FSSRVGNPVRRKIDSLKSALKSGTYTSTHNFRPSWKRWDDVPNGIRATLQAEHPYYFQ LLELAQSSFQFVLPPRSANGEACQSGRKRELQPSLDVEDDRGPRVGNHKRPRIASPSL SYQAESVVEVDESGNDDTELPATRSSPPPSSAPLLSPRWNTDPQEICRLLSVIRSNYL WHQACFPQRGASASDNYKVYIEIFLRACRDDPVMEVAAREGLVKWLGGQSRWIATRKF DSRVENPVRTKTSSLMNDFVTGIYESDHGFHPSWKKWKDVCSTIRDDLQALCPYYFQL RSLVKPPLKVIRKCAPLPEEQQYQNRIDQKKEKKKKKKKKPVDINKAKDSKKKQREYS RRGRRNYDGR L198_07936 MSPDDSPCAKCSPPPSITISDLPPLPPEIISMIFRHFFFLNHRY CNRHHIQFMRLSRAFYAHHARKLYEQVEIDDANCDSFLEGIWKHTSPISRRDPLSFGG CILLSGTDGRPVWPSSLHKQLYSYCPSQPGGAGEPLLYVSPGSQTGGHAVVPFSLDPF IRKILLLRQCRKISINSRGALDLLRHAGGHVQMRISTLPKVVGVWKKERFPVMSWLKE PLLSSVSHVALGRISKSLTYPAPVDSPLFGPELKHICVSPSRGFYENYPNAFWVPLRR QIVTSFIQQAPSFATGPNKDNLTSFTLHQNAKPGSTRDSLADTMIYDLPRKRALRRWK VQWPEVKSGLKGNWTPGKKPWRIRVRNMAPHPPDADVPKIILKAFARENEALDEECEA LFRKWFGETVSFEEARVCDCCGVFQKLEEAAPLPEKKEKKKKKERKKEKRKKKPVDIK NAKDSKKKQHKDSRKGRRNYDGR L198_07937 MAANPRVFFDLAVHDSKLGRVVFELFADVVPKTAENFRALCTGE KGISPISSLPLHYKSSIIHRVIPSFMIQGGDFTKRTGSGGESIYGGGTFEDERLEARA DNGRSLLVMANRGPDTNKSQFFITLAPSPHLTGKHVVFGRVVFGMEHIDAIGNLPTDD RDRPLSPVTIIHCGELELRRPPAAAKPRSPSPTPSRSRSPEPKRRRYSDSEDAGSDDS REYRRKRKERKREKRERREKEGKKSSRRDREETEAELDERLEREEKEMLEKQRVEKLA ETKRRLEEERQRIKDDGGIVYKGESSYRPSRGAMRFRDPETIAHRAPRNFNAPTYSHL PGRPRHQPNGPGPGFGPRVDRDRPPHRDTRLSRPDMGSLDKDMDRWQHDRSQHDRTAE RSKFRRGEDEREKERSVSPVKEVPRAAAVENGQHSEDDMVLDLDD L198_07938 MSLDVAHPALTAARQAIADPADRTTWFLLQYNPAAPSPQAIPLA AGPLPVLPAWRRHLHETDQGVLFGYAQIADKGLVLLYLRPDVGGVKRARAIVHSRAIA NMYPEYSCLITLADPAELTEELISERLGLGVPAPVEKARVEIPGGDGPNPLAPGLGRG IPPQKQRDATPMSAAAGSETGSPSRTNLSERRKPPPQLSALGLGTPSPPEPQAIALPS VEQLKEKEKDDRNRKPSFTSRLKHTFHRSSPSIEDTPTSSPSNTDKPTPLTPTSPSSP SMGMGSGRFKAASLGKVFSKKRAGTGEMESLRADGGGEPPRPISHDFSPALPPKDAPL SVSVPISQTSQTSQTSASPSPLAPSAAPSLHAAHGHSPYPAPSATPSATATPPGGGGP PLLGPPVPLVSRDSTKSESDAPSQSESQSQSRYHTPLGSPSPFEEEVVPNPRSTSLLT PLLTPGGGEAGLSPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSPFAFAFAFAFAFAFA FAFAFAFAFAFAFAFAFCSRDLSLSGKEKEKDQEEKEKEKEDDGRGEGQGGGRGQGEG KGGSDGYESSTRLAYDDPSTDTDTDTEDERVNPTPTRGAREREGEREGAVEGERVGVP SLDALPVSPVDDVPVPVPGGGGWGGGLGGDDPDHDPDPATSRGLIGRGAFPSPPPPPP PPGGQGLPEPEPGPGAETRETETGTRELEEGRQAGMAMAMMRDGMSALHTDTDTDTDT EREGEGEEGVVREAQAEAGAGAGAEDPAGRKVEWAEEKAREEEERERRREEGEERERE RRREEEEEERRLAEEEKLSVLAEEEERRRLEVEEEERAKVEAAQAERQRLEEEERARL QVEQERKRVEEEALAAKKRAEEEAERQRMEGELAKKQAIKDGLLSGKRDGGVMLRGWV TAQTYKSMTWRRRHFQLLPTEMRLFKNESDAKPIQTIFFGPSTTISEAYEESQVKDSF KLISSLPEKGDEEFFLFTDSAEDKEVVLEGIRLCI L198_07939 MSPLPSFFSTIYTYTLGLLLAAFRDGAYSFFGFLNMVRWSSDIV KVVPGKPGLVDFARKNNVLGGERGAKGVTVNEWVEENVPSLKGTFTPAAWLPNGHLQT LFTAAGDFTKVDKVHYVRTHLRLPDGGTLGIDVTPKDHHLKLAPDAPTVVVCHGLTGG SHESYVRNILAWVIKPKSEGGLGGRGVVVNFRGCAGVPVTSDQLYSAGTTMDLALALH HISARHPSSKLLGIGFSLGASILARYLGEYGQDALVKSAVVLGCPWDLGAMSHKLEND WFTARVYSSTLGKNVLRLFFRAYDRNPAIFDDADSPLAGHMGELKKLRKEAGSRTRLR AVDDVLTCKIGGPRGIGAWPFESAAEYYAWASPSNVLSGVKVPLLAINAFDDPVVDGL ALPLTGIQASTHIYTAITRAGGHLGWFDGPLFGSPAKTKHRWILQPVSEWLEACARDL RAPGEDARAAVGVGVEVEEVDGWEWVKEARYTIPGVERVGWKVLREGEVVAGEEDEGE GGLVQGL L198_07940 MTRRRGALHAPSQEQEVSGLTAGPGTPFTPAADIPLPPSSPILE NSPRHEGTIQGPEPLNRQNLGTDDVDDKPPAPAFAPPPAPASPVPPTVVYSSSPAPVL APEPTTTRGHRVKVAKEPEPFSGNRSNLEYIISNNRLYFDTYPCSFVSDKKKITYMLT NICGPVWAALQPYVNQEP L198_07941 MASRVKTPLADSDGFTLSHLPSEIISLIYAYYLAQNPLESRSQF LNLLVLSKEVYSENAWRLYEAVELNDQNHKAFFDGLWSRRDIHVCQDPCPPHLRCEAS AKALAQEIEGYSHPKRRLKRPSDSPSKISYQKYEYESYIPFHLHPAIRKLLLIRQCRR LYIDSCQAFVGLQMGNESVRDAVTVWPPGHDIRHAEPSGYTWISDPLFSSVTHLSFGE TVSLVDPDTTDEISAVKYKSFGPALKHVCLSFNDKFYDLEGQYEAAARFGELDEFEEK QSSESIAKLLREGLSFALRPEVDEKTSLTLHNAWPDDIELGLADTMIYELPRSTENGD DDWKRQIAMMTWDMESHMSELQERMRDDRLGANVWRPTIKPWKIRFTNMAPIPPNTDI ISAVLDDNTLGQNEPVDGLFKKWWEEVVSFEGPVKCDCCEIFKKRHPKINAYKYSYNT RRENFEIIEEEADDLISLTVCSCIA L198_07943 MDENPPASSSRVTLNCPDERQPLLSTQHPDYHIDPMLSLFKAQD GGAIDPTVHVDPQTGAVIEKKTKEERWFVARLDAVLLLYVCISQVIKYLDQQNISAAY VSGMQEELNLYGNQLNYFTTWFNVGYAIFLIPSQIVITHVRPSWWLPALEICWGVMTL ATCKVQNYKQVYVLRAFTGAFEATCYPGAIMLLMSWYTPRELAFRIGFYHSSQSSTLK LAGALQAAIYNSMDGLHGMSGWRWMFVIDGILTLVVSFAGFFLIPDFPSKPNPWAFWL RPKHIDQAMDRVARFRRADNKKFTFRSVKKAILSPLFYFFVVMYVTTVLAQGGYSYFN LWLRSLRNDDGTARWSVAQINAIPIGGGAISVIMIWFWGFFSDYFQTRWVPIVVQACI GLIPGIIMSIWTVSDNAKYFSYFVCYLSLATAPPIWAWLSDLNPFDAEQRAFTLGFAI AFYYACGAWSGPLIWPASQAPHYAHGWQVTIALWVLVIILACSLRYVELKHIRQKAEA KIAAQEAEQARLDAEDDDMKKDPVLDQVRPVVSRV L198_07944 MSLLFKAQDGGAIDPTAHIDPHTGAVVEKKTKEERWFVARLDAI LLVYLCISQVIKYLDQQNISAAYVSGMKEELNLYGNEYNYFTTWFNVGYAIFLIPSQI VITHVRPSWWLPALETCWGVLTLATCKVTNYKQVYVLRAFTGALEATCYPGAIMLLMS WYTPRELALRIGFYQSCQSSTTKLAGALQAAIYNSMNGLHGMSGWRWMFVIDGILTLV FSIAGFILIPDFPSKPNPWAFWLRPRHVDQAMDRVARFRRADNKKFTLRSVKKAILNP LFYFIVLLYVASVLGQGGYSYFNLWLRSLKNDDGTARWSVAAVNAIPIGGGAVSVVMI WFWGFFSDFFETRWIPIAAQGVIGLIPSIIMSIWTVSDNAKYFSYFACYLSLATSPPI WAWLSDLNPFDAEQRAFILGSAIALYYAFSAWSGPLIWPASEAPHYAHGWQVMIALWA LVVILACTLRYVELKHIRPQNVRKAEAMIAVRKAEENDLNDEEDDDKKEPVLHQVRSV ASRV L198_07946 MPSLLAIPGSPLFSSVTHLSFGETVSLEACHLSDERFAVKYKSF GPALKHVCLSFNDIFHDLEAEYEEAARFGDLDEFEEREPRERITKLLHKRLSFTLRSD VDEKTSLTLHNAWPDDIEPGLADTMIYELPRSTDNGEEDWERQLAMTTWEMTSYMSEL QERMQDDRLGANVWRPTIKPWKIRFTNMAPIPPNTDIISAVLDDCLLVRDQHFEGLFK KWWEEVVSFEGPVKCDCCEIFKKGHPKINAYKYSYNTRRENFEIIREEAGEIREEYEL FKEAEADFYDNLFALSECSSP L198_07948 MPAPQLMGIYPPDSITDVAQSLPIDPLGPGAAALLAGDVEYRLH LILQEAKKFMVHAKRTVLMPEDVEYAMEALNVEPILIPPRPLAVPSFHPITLPSQGTA PPQTVYTTPDDEIDFTSYLKEPLPAGVASSAGVKWKAHWLAVEGVQPAIAENPAPSGG AAGPGARGGKNAAQPASTTLKASAKAHLPQELQLYFTRLTSALVPPSPANCTPDSEAE RHRLAALASLRGDVAVAGMLVYVVKWLGDSVQKCLMAPLGTVGHLVDAIGALLGNEGL FVEPYIHQLLPPLLSIILTVPLGPHPPVPTPNSPSANNIRERASDVLGKISSTYGKSY PGLLPRLVSTLIKALKSPPFPSPLGASQAPTGRYEGAVMGLAVLGKEAVREGIWGEGG EGLVRIDNIVTSCYPASESGKKKNPLMKSTIKALFQIIQPKPADTPTPPVNVAEVTEL FGTNTAAGLNKKGWTASELIRMRREALAEGVREGSDGSAAVSGPPEVLNGTGEEQTDA VKEKDDDQMEVDTPQVQG L198_07949 MTFDDKDHKNNVEEGGDTYSDDYEEFYSSSAQLLVDTLNQEIRS SPAYTETNTADSQAQSPSSLTFLYVKVIGKWSTSTGADGRRPGTLHPAHRHTVAEALS EMTEDTNSAVASLLPNSTPEETATLVQRTLSRMVEMMGFDGDVYVTPTPGGTQENSRL TTMQRESANNLGTVGSWVKGLSVQRIQQVGTRRRRQRTLGVSKGMDSKSYKSPSSSSI LSLGTNKNQNQPTMSSNTRDQESTYSQMPTNASIRQEIMDEDPSLYTAQEADAVRHAF GGNGSSVPEQTVVEEQTATQASSDQQ L198_07950 MSTSPSKTPPLHCDLATLSPLPSEVISLIYAYYLAQNPLESRSQ FLNLITLSKEVYSENAWKLYEAVELNDQNYQAFFDGLWSRGEIHVCQNSCPPYLRCEA SAKALAREIEGYSHPKRRLKRPSHIPSKISYQKYEYESYIPFHLHPAIRKLLLIRQCR RIYIDSWCAFIELEKGNGSVRDAVNAWPLAHDIRHAESSRYTWISDPLFSSVTHLSFG ETVSLEACHFSDERFAVKYKSFGPALKHVCLSFNDRFYDWETEYEEAARFGNLDECEE RQSRKNITKLLRERLSFALRSELDEKTSLTLHNAWPDDIEPGLADTMIYELPRSTENG EDDWERQLAMTTWEMEDYMSELEKRMRDGSRGGDAWQPTIKPWKVRFTNVAPIPPDTD LISAVLDDYYPIGQDENFYGLFEKWWDEVVSFEGPVKCDCCEIFEKRHPKINAYKYSY NTRRENFESIRERADEVREHYEILQDAEAAFYESQYDSDEYFWA L198_07952 MSKKIYSENALRLYEVVELSDSNYSMFFRGLWSEGQLHESTPPC PSWMLRETDRSRSPTGPGDFLEQMEQYTPPTIYVERPAHLARSGVICTNYKYGIHIPL SAPSFHPKASPHTTMPKALRRQLGGLRNRNSGSCH L198_07953 MGGRQGGKAKPLKAPKKSTKDLDEDDLAFQEKQKREAAELKALA AKAGGKGPMSGGGIKKSKK L198_07954 MVSLIPIAVLGIAYALGGFAQSSTSASPSAPTGVAPEGDYSGSY RPRIHFTPPQGFMNDPNGLFQDPNGTWHLYYQYNPTDTVAGNQHWGHATSSDLYHWTN QPIALFPPNATSGVFSGSAVLDPDNTSGFFPNQTDGVVAIYTLNTEYAQVQQIAYSFD GGYTFEEYAGNPVIDIGSLQFRDPKVVWYEDHWAMVIAYSDDYVIGVYTSPDLKEWTH ASNISHIGLLGVQYECPNLVSLPIANSSETAWVLTISINPGAPLGGSVTEYFPGSFNG THFTPIDGATRLSNFAKDDYAGQFFYNTPISIGWASNWQYTNVVPSGEEEGWRSAMTL PRTNYLANATSAGWDLVQEVVDLSPVLGDELASGSLGNGTTTVNFSTGVYLDVNFTIP DDISASAAINFTVSTNTTGEKVTGGYFPESKVTWLDRGKTEFENVFFTDKFSVAQYYP AKRLQLIVDRSVIEIYVDSGVLVGTITAFPNEPFFALDLQGLGLPEGADVEYVVWELE DTWA L198_07956 MPTRPKISLTDPDAFTLSHLPSEIIALIYAYYLAQDPLESRSQF LNLLVLSKEVYSENAWRLYEAVELNDQNHKAFFDGLWSGSRDIDVCPDPCPPFFLGEA PPFLRLRASAMALAREIEGYSHPKRRLKRPSHIPSNISYQEYEYESYIPFHLHPAIRK LLLIRQCRRLYIDSWQAFVGLRKANDLVRDAVNAWPREHDIRHAESSHYTWISDPLFS SVTHLSFGETVSLDAPDTSEERFPRRSKSFGPALKHVCLSFNDKCYDLEAEYEEEAQF GELDQFEERYFNDGIAKLLRERISFALRFHVDEKTSLTLHNAWPDEIERGLADTMIYE LPRSTENGDGEWKRQIAMTTREMECYMSELEERMEDGRWGADAWRPKIKPWKVRFTNM APIPPNTDIISAVLDDYPFNQDEHFDRLFKKWWDEVVSFEGPVKCDCCEIFKKRHPKI NAYKYSYSTRRENFASIRERADEIREEYELLQSSEVAFYESQVASYEYPWL L198_07957 MKIDDKDHKNNDEEGGDTYSDDYEDFYSSSAQLLVETLNQEIRS LPAYTETNSAASQAQAPSSLTFLRVKVVGKWSTSTGADGRRPGTLHPAHRHTVAEALS EMTEDINSAIASQFPKSTPEQTAILAQRTLSRMVEMIGFDGDVHVTATPGGTQENSRL TTMERDDVARLAGQRTLGVSKRMDSKSYNSPSSSSILSLTNKNQNQPTMSSNARDQES TYSQMPTNASIRQEIIDEDPSLYTAEEADAVRSAFGGNGSEEQVATQSDEAEASSDQQ L198_07958 MSVSPPKTPPLHCDLTTLSPLPPEVISPIYAYYLAQNPLESRSQ FLNLITLSKEIYSENAWRLYEAIELNDQNHKAFFDGLWNAEDVHVCQSQSPCSPFLRC ETSTKEFAREMETYSHPKRELERPSHIPSDSDIPYPKYEYESYIPFHLHPAIRKVLLI RQCRRLCIDGWEVIDGLRMGNDSVQNVVTAWPRGHVVCNDEAFDYTWISDPLFFSVTH LSFGENVGSYCPPTQYKCFGPALKHVYLSFNDRFYDLEGEFVEAARFGILDRFEERLP RKRITKLLQERLSFALRSEVDEKTSLTLHNAWPDDIEPGLADTMIYELPRSMKNGDGD WKRQLAMTTWEMKDYMSELEERMKDDRFGVNVWRPTMKPWKIRFTNMAPIPPNTDIIS AVLDDYPLGHDEHFDGLFRKWWEEVVSFEGPVKCDCCEIFNKKHPKINAYKYSYNTRW KHFKYIQERADKIREEYESRQSVEGAIHVSKFYLYDYSWL L198_07959 MSEQTSVSPCCVTGHIHAGTPIGSTSILHSLRTYTSLPSSPAPS SAGEGKQDTVIFISDIFGIDLVNTKLLADEWAGKGWKVLLPDFFEGDAVPDSLLQAIA PNARYQAESTTTSKTADGAKAGATMGPWLAKHREAVSKPLIEKYVQAVRSDPSTGKIA LVGFCWGARYAFLLSQSTSPARPDVIIANHPSFLTVDDVKPVKGVPVQVTKGDEDGIM SEEELDEVEKVLTENLGQNVLVKRFPGAVHGFTVRGDMEDGQEKGQKEDAQVSPEPKR QADSDPSFKINTEFVQKYFAQVPSRAIVR L198_07960 MVDIPTAPAPKADNGPQRGEPGYLDSMPDRGARDFGGVPNFREE LPLPTQPPYTAHIGNLSFEPDAEEQVKGFFADLMPVSIRIIKDPTGKPKGYGYVEFKT IEGLKNGLDRKGEMLQGREIRISVAEPPTNPRAPPSQAEEASQWRRSTPLPSRESSSA PARRDGPAPGPSSDLDWSTARGSRFTPAPPSQFMDRELSGAGRPREMRENAGVSDSAD KWRSSKPLADIKAAGRDAPPHQAGAPAGPTSPSAAETETTWTRGSKLKTPASTNPPTR QGSVDSSPRSPPPAPAAPAERRKLALKPRSAASPPASTDAPASAAGIFGNAKPVDSAA REKIAEDKMKAREEERKKAQEAEKAQLREAEERGKRYQEEKLRSIRQAQESVGGRPAA PAGKGPARKPSADKPKKDEQGFEQVSGKGKASDKADNKPKKDYSTRPAFSFAAAAGAL KSETKDEESLSKDLEDVKI L198_07961 MPHLAHFEKASEQLNHDRHHFNPYIPNHNCPYILTPPSPQSTHS HTDKQPDKDLPPTPLLDSKHISETRRYWLHLKFKARLSRPRLDLKAKLSRNTLKVKDL LPSKWLGPRTFPDLWMRVCKLWTIVALGALIMPLVVWIMIFIENTKTFADTMDHYLGN DQSRYDQIDGDSEAGYVGNTNIPKHLGGVIFAAGLDVAMWLIILISACADLFWRFPIA KQFIDAFYANGLYDEKGLVEIEFFFLVANAFLKLFIVVATGSQTYTGLYPDNNQVDTP LFCLYAVMILLCSIPFTLIALFYILHGIKRKEYAASAA L198_07962 NPTSSRVGNPVRAKIDALKKDLKAGVYSSVHGFLQSWKKWKDVP KDLRADLKARHPYYFRLLELAESSPDFDHPAPPNIDKKYKPSTNDSPKSSGGVVSETT KKSKGKRPATAPPSEDERMAGSGESDSDAPVTLAPRHKKPKPTIRVSDTASSTDAPVS CMSPQWDSNPRDISRLLRNILSNPSWRQVCFPESKVAVPQVYKVYRDIFLRSFRFDPA MKDAEKKGLVAKIEGNGEKSTWVATEKFGRHVHNPVRAKISALKRDWKAGVYTPHFDP SWEIWRHVPAGLRNSLEAKYPYYFDLLRLMRPSSNPSRKHPLDNRPPTLLTGVEGEAI QLGKKSKRTVAHPPPNSYENEDMPRGMNDSLALDNHQAPSCVPFGMDPPLWYPNPKRT ADLLYFIGRNDSWRRAIFPQNNVTLNPDIYKVYIDILLEFRPSDPFLTQAEEKGLVRR VEGGWAARRKFGARVVNPVEVKISSAHSKRAGIIMNTDFKNDLKAKHAYFFKLRALIQ GSDPTALSGNVDVEEEAEVKRPGKGKRAAVNPPSSSSEDEDMAEASDRDDDANVSRLS KRKHSSSPLPVPVYRKRRRVLPPTRSHYGSEYSEDSSVVFLEQRVAPGARADTAMGVS SSEEGEEESGGDEEDD L198_07963 MLFGTIDSLAGLAAPAHSLSPAALGQGKDADGTDVAASHPAGSI SSAVSVVDSESPGQTRSSSFGPVPRARYDALVNALHAPYPRGDSRVQAIAAHRQQLPS AAVVKGQGLSVAALCAVLAGSSLSAALGTAALGTTRDDVLPTRHLSGASPVTFSAATA STSALVGTCEVHSKRSREAFERDNLVDDDAARFFKRSRLVSTSPGLDDNASYPPALSF SRSPSPELEEVQTPPMEVIALPDVQLERGIKRSADDFDNDVDITMEPEPKQKVQRVRE RIAVPPSRALKRTTTTLLLAAPSTFIDRPAIAASAPTLAPAPFVASCAPKACPTLSES RRLQRMTTTLGQDVLRPLPLQSIDMNRPNRPSRRALKRTTSALSLATPSKPSSQLAKA KALCKTPRQASAVPRKVSESRPLKSCLERYAPPTKPPPALHFNKPGQTWPAFTFFCPI PRSRRTLSDEEKEARKARRDLKASLLCERNPETGLKEFLPRRVPGAEPEPVLESFKDV EPWSEGQSEFAARTAETAELAAMVMETLSLDDVIANLSKLTVVPREKKVVTWGGCQNR FF L198_07964 MSKPKPGLGKGLVRTLSSAQHPSPSPSFSLSPSGVLALNVSVSP GLLGNGSGSGSGGGGGGGGGGGGGYGYGTPSLVYNVGWGRSASASSSGVRGGGGMGMG MGEQVPSWSWDSSDIVLAHHSHSHSARAIRHPPSPSTTTTPRTRSTMFTSSVVLYPAS SASSESGSGRGRGTRYLSTAALPLGSSSGSNGAQSQGMYLFPDRGVYTSSTAQASLEA LLQAFQLSPSSSSSSSSSSSSSSSSSAPPTNMIPRAPSPRTTHQSLKPRTSNPISHSS SPSTSASYSSGTRAGWKDVPPMMAGEDVPPAAYGATLIFHLGASGSPKERLPPKPSSS SPPPRPPVPPRQRSFPLPPTPSPTSAQLQSSSVSVGEDAYFTRVDGMCIADGVGAWAA SGRGGADAGRWSRLLTHFCEREVEGWTEGSDVYLKERKGKDGTVGEKEGVAEERERRP LDPVEIMQRGYEKCLSCAISEGVNGSSTCLIALLKNSTLHIANLGDCCLLLIRGDKVV FRTEEMQHAFNFPHQVGTHSRDEPMKDAMRFDVPVKKGDIVIVGSDGLMDNMFDEDIL ETISQFTSPSTPQPTTFSPQSAASALCTRARQISQQTSTTTPFMCAAIEEGIDFVGGK KDDISVVVGVVGDREMGQGEGEGGGVEMEEEEEEEVRGKREGGLALHMS L198_07965 MLTSIPSLLPLLPLLPLLPAALAQYTATYSPGSLPDSSEEGQYG TNACGDGSAYCLKSGYGTRLIPEGTLTGAHFVKVQSDKVSYVQVTGKGDLTKLLIADG DEGGELDVPWTGLGNPQGGLVFTNAFSGSYEQTHEWTSFMSSDEFCIRACQDGDNAAS YCQHIYDLLGCGFTIPGSTSDGFDACLAPPTDEAPGVYDGTTFYQGDATTPAAHAAAE TSECQYYSSVGGNGVWNKRSEGGEGEEKREEMVVSVTSTVTGKATTTTVFSTAPATAS ATASTTPTALKANASSSSSSSSSSSLSGAGPRAFVVGPVMMGGLGLVGLMSLLL L198_07966 MLFGTIDTLSGLAATQVPPTPDHSLSPAALGRGKDTDDTDDAAS HPAGSISSAISVVDSDSLGQTRSSSFGPVPRARYDTLVNALHAPYPRGDSRVQAIAAH RQQLPSAAVVKGQGLSVAALCAVLAGSSLSAALGTAALGTTRDDVLPTRHLSGASPVT FSAATASTSALVGTCEVHSKRSREAFERDNLVDDDAARFFKRSRLVSTSPGLDDNASY PPALSFSRSPSPELEEVQTPPMEVIALPDVQLERGIKRSADDFDNDVDITMEPEPKQK VQRVRERIAVPPSRALKRTTTTLLLAAPSTFIDRPAIAASAPTLAPAPFVASCAPKAC PTLSESRRLQRMTTTLGQDVLRPLPLQSIDMNRPNRPSRRALKRTTSALSLATPSKPS SQLAKAKALCKTPRQASAVPRKVSESRPLKSCLERYAPPTKPPPALHFNKPGQTWPAF TFFCPIPRSRRTLSDEEKEARKARRELKASLVCEWNPETGLKEFLPRRVAGARPGDRA EECETRPCLPKRVLESWREVEWSAGAREKVVSRRGRPVMMRSLVWCKFSFSQSQRQIK MMMGMAAWERFPLIASSCRS L198_07967 MSSTLTISDSAQLDDILSNNAPLHGLQSVVINTPTYCASVPGNI DDLSNLIKQKTSRPVMVTIIANPPSQYQPSMLSAFLLSLLHAAPSGAIIIRIGYRQTE ADNSWQHRTLTIHNFGDRDPAQVYLMEKWLEDLWAYDLSPVMSAHWWRWELKERYGGK ETVFNSKVELHDEPWRIEVSAGSMVTRPYW L198_07968 MLPAPPAPLSSTPSPDRCADHSDRPDANAQGLSREMTTKTRADF AFIIDNKEGNDVTPTFEALHVSNHSCHSPSAADTTPLKKKQEIKTGAYESEAGVFRRI CQPSAAVETLEALHPVHHRILDELFRLKPLVVVTLSKYCYDFFLPRLYKKVYLNAHSL PATVESFLHGLSTSNGRKEEAFKHIRDICFYFPPLVYVPKGNKLPDGTRQMIPIARLK GLSPSPQLTLGGWLVLIGSAALLLFGHGGRGLINRLN L198_07969 MASEQAVFDAEVREVEQFQKQPRFNNKLRSYSAADVVSKRGTLK LLYPSDVQAQKLHKILESKARGEGGGCTATYGALDPVQITQMAKYLETVYVSGWQSSS TASSSLEPGPDLADYPSNTVPNKVAQLFTAQLFHDRKQRFYRSQARAAGESLEPPVDY LRPIVADADTGHGGLTAVMKLTKMMVESGAAGIHIEDQAPGTKKCGHMAGKVLVPISE HINRLLAMRLQCDIMGTTNLLVCRTDSEAATLITTNIDPRDHAFILGSTNPDAGELNE LMLKAESEGKSGATLQAIEDEWVSKAGLKLYPEVLAEALEKQGVDKSKIAEFVSKVSG TAHASHSATTSLAKSYGLTSLPFWSWDTPRSREGYYRYQGGTQCAINRAIAFAPYADL LWMETKSPIYSQAKEFADGVHKVHPGQWLAYNLSPSFNWEKAGLGKKEMKEYVWELGK LGFVFQFITLAGLHSNAYINDLFAKSFATEGMKAYVELIQGREREIGCDVLTHQKWSG ADYADAMMMTVTGGVSSTAAMGKGVTESQFGGDDKKSSHKL L198_07970 MDLPSLTATCSWTGCETTLDPLSCHYACPDHVDNARLRNERISC KKDFEKKHERWCRPREVATMMKDVEKVRLPGFTVFPNEAYLRESFQSSLTSHRIMKRP FDFRGQFTVRPKIRPRNEEGEALAWIRGIARFFIADDDLVFGKNIPYRFGEWTVEFSS ASVGISLRCSQSVEALPAERKPCRPSPIPIQSERSASPYDASPSQRERSASPSPPTTL KRKRSASNFPTTDANDPPDARDHFECDGRLDILYSADTSILTLTSSHSIPHVPYNGEK AYTEEDAERTRAEMQEQADMLEELGESLRERLKKDQVDFGDSAYFSAMLDRVRELSEK MTLLEEKQEQERQLEQRGKKEATGRWRRKLSAESMLP L198_07971 MGHEAPRDDLDPALALGPFFLGFCLESFGMGVVLVLSLNYLIAI RTRPRAPADSDLKCSACLLSFCLLCNLAQTLVDLARGWNMFAENFMNIRVVTTSTPLF FISLFLGLIPTTITQLFLLRRSILFLTSLSHLWPRLSHPVSKTLFAALMLAAIGTSFV SGTITSVLTYRVGMLWRLASPQQKVGRLFQTVEMIWLGTSTGVDGCISGVLCMELWWA RSKLGIEGGVMREVVTRLILVTCNGGMAVTALQAISLLLYRYSSHNAFCYIPITILPK VYNITLILSLALPHSSAQRANQPNHVFSLPTILDFPRSPSSRSPRSPRSLQSPHTPRG RGAYPYPYGREGREEGRSPVSPKGRSSSTLTLGPTRRRAGPAVGVGVAERERGDVGKE KERYPSMRYAFTSGSSLPLPPALSGARSGTGAGSGSGVGMGGGGGGRRTSSWFDLSSR LRRSADFEGDRGRGEHEECAGAGGGSGIGSGSGSASGSGERRGSSWHGPDINASDEYR RRPSERSIIPLLHSPASAYSASASYPTPSSLSSFPSPNSSTTFSYPLGLYEPLNLVPP AFSDSSSSTKRKRLKEMEKETEKEKEKGKEEGPVVLPDDHPLYHPAFTLPSVQVPKKS LTVGKAHSFSDTASWGGGGGSSLSLSPGAGSGLGLGLGMGLGMGMGMGVGGMGVGGGM VDSPLPYVYEKEEDFWGPHPHPRPRRPLSGLGGSGSGGEGSGSGEGTGSGSTWGGSGD PLLAPSSWSWSSHSNSHSNSHSHSHSHSHANFHSNSNSQSCDRSAAHPNANANANACD PIDPIDPIEEGYEKQPSCASSSSSIAIAHDDDALESGTRPKGRAGVEVDGEDEEEEEE EEEGGTPLPATQLSLIPSSPSSPSSPSSPSSPSSPSSPSSPYSPSSRSLPLSRSSHTI STNTPNTSNCPKRLSLSGRAAIIAEKRSKEIGEEEEDGVV L198_07972 MTPIVDLSAILLYLSAASAAVVPRQDSANATTFDVTSGDKAKVK DAAPVAISIEFFAFPEYVQVLGNTAQCLKNLGDAAGAATRIRIGGTTQDRATYDPSST AAVTYSVDDPADAPANLTYGPAFFELASHLSGPATIGLNRRLNDISNTIAAAQEAVER MDNLFAIELGNEPDLYTDDDPIANHQTWSPSLDAQIQVDWQRQIATALHRTSIIQAGV FLQPPAFSIAELGPLEQAAGSLGYVRSWADHAYPQSACGGATTDLESLQNHSGIVKFV GTFRGEVDAAEEVGEGRPLVFGETNSATCGGGGISGTYGAGLWIVDYVLQSVKLGYER LYFHHEGTIGNSPYSWWGRDQVFSPYYGAIFAASALNDAAYMTQLDASTSHLAIYTFH ASNDTLLRAVILNTQYYPNTTTAASRPSETVVLTGLEDGESGEGKGKVKGKRLTARWS GLEVAYGESPTFGGQRFNGESCEAEGEEVWEEMDVAGGEVTIEVAASEAVLVYF L198_07973 MPFTHITLNDGRKMPAIAFGTAKIPDAASNISQAIKVGFTHIDT AQNYGTETDVGLAIKSSDLPRQDLWITTKWSNVDDKSPRQSITESLENLGVEYVDLYL IHSPSFQKGRGEIQDWWKEFEALYEEGLAKSIGVSNFTKEQMEELLLHATIKPVVNQI FLHPYNIVTQGPLVASLAEQNIVTEGYSTLMPLTSMPGGPVDKPVEEIAKRLGKTPEQ VLLAWSKAKGVVIVTTSCKKDRLESYLEVGDITLSPQDVDAIDEAGKTGFVGVMN L198_07974 MPFTQVTLNDGHTIPGIGFGTWKVPNEVTAAQVTQALEAGFRHI DTAEIYGSEAQVGQALSSTLSTLSSPTASLKRKDIYITTKWFNISNRTPRQSLLLSLQ NLGLDYVDLYLVHQPFLVKGDFEGVWREFEGLKEEGLARSIGVSNYTKEQLEQTLASA TTPPAVNQILLHPYVISKQGPLLAYLAEKNIVAEGYSSLVPITSKKGGPLDGVLEEIA GRLQKTPGQVLFGWSKAKRAVIVTSSSKKDRLESYLAVGDITLTDEDVRSIDEAGIKG DIIEE L198_07975 MPFTEVTLNDGRKIPAIGFGTWKVPNDVTAGEVDQAIDIGFDHI DTAQMYGNETEVGQAIKESGLSRKDIWVTTKWSGVDNKSPRQSILESLEKLGLEYLDL YLIHHPRLAKGDIRGTWKEFEGLKKEGFVKSIGVSNFTKEDLQELLTHATIKPVVNQI LLHPYVISTQGPLLSYLSQQNIIPEGYSSLIPLTSKPGGPVDKPVGEIAERLGKKPEQ VLLAWSKAKGAVIVTTSSKKERLESYLDVGDITLSPDDVHAIDKAGIKGQLWEERKER LVKASKWAVPVYIGFWVLFKAFSA L198_07976 MESFVDVLTTVFQAVLAVNVVFLGGYAYNGRHLPALSKNLSNIN KGLLLPLLLLTGLAASSTLTWKTLLQSWPLAIIALTTHIASLAISIGIHNYFKTPEWT VEALTFNNVSSYPLLVLWALYKVSPEGGLGHLHWRIRDTNLHVLERASLYILINILIT NVFRNLLKPVVSRYTSPPLIDEPADRYIPLPAGESTEEEEATERTSLLSHPSPSPSPS TSLETGPKTSFKLILRSPIVLAAVVGLAVGLVKPLQRGITGVGAGGAGEGDGTWLWLG IGSGLVALGQFYPLFDVFTSGVTVRAGHQVSQVTPPPHSLREIQMLTWTRVDRSEEEP VPPTLGTVLILSAWRYAAIPAITLPIVKGLRHIPSTKVFLQDPAFSFVLALTVITPPL IPSPSPLTPFKSSSLFHTSLTSLLSSLPLTLALAIPAHGISYDLNFDLLSALKSAAGG GVAGAAAMVVQVLTLMPMRTVMNYQYRFGGGIKHATKTLYEDGGLKRYYAGLAAALFQ GPLSRFGDTAANAGILALLSTLPWPILLKTIAASLASACFRMLLTPIDTIKTTQQTQG GKAGWGLVRERVRERGVGSLWWGAGATAAATFVGHYPWFGTYNYLSTHLPLPTTLPQK LIRQAFIGFSASLVSDTSSNSLRVLKTYRQTHPGDVGYVQAAREVVAAEGLWGLFGRG LGTRLITNGLQGLLFSVLWKLFADM L198_07977 MVSTKSKEQGTEQEEEGLLSRNSADTQLKANDDGRAESQYTPKS SGSKIQQVTGKYNALAQVPPLTSNPRPASTSLSPSQPCSPLTTYNQMIDQWVDAQAAY TGTWRSRYPVMYAILTVYCIIPEVVSLACHNGWMWGIFIVWICLTLIPGTLYFVSKAA ALKNVELVGKQMRLLLAANVEYHDLSILNFLDDDTIHPPPFSPIPPSILIFAHDWYSK ESANFWAAYFFFCGYLMCLFLGSVDILDDLDESGGLGWAICGVHLILITLLWTYAAYD PYSHLRGKVDGQGAKRRWAWHKKHFHSWGIKAVQDVLKPDDISELKEAAQLPQTNNTP ARYTIPRVVTVPAPANASTLSTNALLQELQRRANGDNLLEELQQRMSAGDAARAAYVA LLGPRREVDPPSYV L198_07978 MGTSATPTTGQRLDKETEDVVNAGERADGVLIQEDGDIPDHTSD HKNHEITDSPSLSPGKASPAAPSKPDDKYTHWASIQISHAKSLHTRPSHISIILTSYC LIAQSASLYLGGGWLWWMAVPLAVVGLVTIGQRAEANEECVDLVRRCEERMRFWAEEE KQEAVDTEFLSFLNDSKSPPSFQPIPSSILLFPYEKGAIPGSCMSLFIFSLVYLFAFY SGFNGVLFHVGGFGTFLSTFRLRILFGALSMMGPLIWYLVYYNRASATTRLDIEGARY RWAWHKEHMGAEGVKALEEILPGEQVDYLRRFFVGESDRGE L198_07979 MSEAYQKDANPIDPSVEDDRSNPAEDGRSADADPNKETEPDDPF LNGRTSGPSFPPCYGLSYIKNGAPGGQNSETGAHYLELGKYNGNEWASTWGDAPGLKI DSEWSASDMRTQLDMYMRRG L198_07980 MVGTNSKQNTGEEEGLLSGDWTEGYRNPNVIITDGQRGNKRERG EVGRGLRSQVADSQDDDRKAYTPVQVDPPSLTPGAPSGSAYPPPATTEQMISQWVDAQ VTYSEIWPSRQRVIYAVLSLYCIIAEIASAVTLGEWGWGVFLLWIATSLLFLWRHRGV MKGRAAALESVNLVAERMRSLMYTAEESRDIQILEFLEIKSFLSKLIPHKTDLSSDKY ISPPPFSPIPPSIFLFANNHKCQSDAAVGLGISILPFFAVFTFQLGLILGNLHGEDGI GVAIIIGFISELILIFSLLQYVYHDPHGHLCGGLDKDGAKLQWAWHKKHFQWWGTKAV KDVLQPDAISSLKKATAPHNESNPSSSTTTAPAGYVSSLSIDVLLQELQKRMEDGNVV AELQRRMNEGDVARRAYMALSGTNSNQSPPSYV L198_07981 MSRFVRPSKYRHVYGSKSKVNYENVKISGSAWDTDLVAAGGKYL SVNWQASGGGAFVVLPLFSPVTPPKPEGFPTKLPDIVPLARGHTAAVLDTAWNPFDDN VVASAGEDGKIFVWKVEDSLFEGWGEDHWEPKDLSPAAKFSAGGRKVGQVIFHPTSSN VLTSASGDHLVRLWDIGSSSPNPEIILEGHKDGIQSIAWNAIGTTLATTCRDKKLRLF DPRAGKEAVRITDGHAGVKGSRVVWLGDRDRIATTGFSKMSDRQLGLWDTSSLTNIST ESIDTSAGVMMPFFAEGNDVLFLAGKGDGNIRYFEYDNDSFHFLSEYKTTDPQRGMTL IPRRALDTNENEIARAYKLAGGCVEPLSFIVPRKADSFQADIYPEANSIEAAQNAADF FAGKTSRPKVVDLETGGTTANTAPIPTPTSASTPARAASPVPKKEEPKSTLTPPTPAP AATPAPAPAPVKEESKPTPVEVKKQIDSVPEVTARSLDIPQAAESDSEDEKAGKKGSV GSVQESFKGLSVGGENGENGVAAPTNTLLIKKLSSAATTPTRGSPLSAGYDLYAAEDK LIPARGKELVDLQLSIAVPEGTYGRIAPRSGLAAKHHIDTGAGVIDADYRGPVMVLLF NLSEKDFEVKRGDRVAQLILERIILAEVQEVDDLDATARGSGGFGSTGGFGAAVKQVA GNLI L198_07982 MPPPNPAPLDPFPPLSRSAPAQPSRASYASILRGTSPAGEDDID RQDVLDDLNDYHRPPPPPMPPRHSSNPSAGPSILSTPSSGVAQGRSVAQGARNPPHQS LTPTSTSGAVPPTNLSPPTPSPTRAARAARRAAEAGAVGQDGGGESGSSFTLEDMLGN VPPPEVFQVGGRRGGSVGTQRAARTSFPALVNPSGERAGEGLLRLVSDLHNARQRNTT SSDNLPSRRYSARPIIPLNLSDSDESMTEGEDDAPAASAIRTRLARPSGMGGGGRGRN GGGDHDNLEEWLDASIFNESRLLDEMRANPLGRDGRERDAERQGDEGAHLHRGGNNRR REYLEWMDHIGDLRHSLPHPPLPPRAEGLQPTNTDLAAVSQPYLVSLTGTRRERSSSS STPRKRPRPSSPPPHRASYFSHSTLPSSTPLPSSFVPPLTRSHLTLTTHISPSYGPAP LITFKGHHPTREDADATALLTEQPIPLAAGIHYYEAEVLSQGEEGYMSVGWMVKGRNL RRLVGWTAGTWGWHGDDGMLFRGQGAGEPFSEGWGEGDTVGCGVDYIKKTAFFTKNGR LMGTKQIDLPSELHPAVGLRTVGESIAVNFSGPFKYDIDSRVRDAKEEVIREVEGTEV ANVVRVVDQLPRPIASPSKAVQEENMDIDLPPSPSHKGKSKASPTPTPSPLGAPSLTP LDPSEKVSAAFVLDHLLHHGHDRAAAMLRQGMARRKVMPGMRPKEEGFAEKSAKVPSF GSVQEGKPPALSDFPSTLSAAHFVASLIRTPFDHSLFNTFWRDLLDQGPVSDTRYGLE WRGLLWQFLFVTTNGGGVVDEDSAPSTSSFPTINYINSSNTDPDPDSASLSLGLKLSS LIDHHKLPRADVAVVKEAFGALANPGGEGWKSGERWRGWREKFADDYVAWVRQTSNAK AQSHLEAAIGQTQAVLRTLAQNQGKTGAAFVSVADVL L198_07983 MTQEMEKKQEEEQKKMKIAIIGGGVSGLSALWVLNEYTAHEVHI YEKDSWWGGHAHTVGFQQPGKEPCQIDTAFIAINAKNYPNFHRFITTTSHAVDLITTT MSFSLSRDGGAFEWASTGFMGLFCQGANLFKPRVYRMMWDIFRFHIFAKDFLSSPSSA DESFSIGEYLDKEQYSQAFKEDYLLPLTAGIWSIPPDKVALDFPALALIRFFHNHQML QLWGRPAWLTVKGGSARYVEKIIERTEVGRLHLGEGVQRVVPRGDGRFGVVEEGGEEV VYDKVILATHTDQAVQLLGENISEEEKEVLGGCEWSPNEAVVHYDEALMPVRKSAWTA WNYLTSTTNAVEPGHESKTTASDVSTISITFDLNVLQSLPIAKHGHIFVTLNPPTPPS PSKTLSRWVYHHPLLTPSLLSAQKRLPSIQGVRGLYFAGAWTGYGFHEDGWRTGMEIA YRPEFGIPKPKRPWEIVKVDGRDIKREAGEWLLRPLVGGIDSAFKGFAGWMWWSAGMY VSVIHRLFGAKMKSA L198_07984 MSTGTVSRPLAVPHLTLPNADDHFSAYAPTSAYKQKIQAYRESI DEELRGYDARIGQEFEERVEVWEKITSHPEKKERIRLRAEAQLASEREELVKGLESDF QHYSKVWLLEDMALTSAMNQK L198_07985 MSAQQNRYPTIRMRHETIIKALYEMMVYDGEAAADIPHGALKAL STSYFFFAPVARSSLYPNLVVSGDPSLDVALPTPQNTNQGTIRWCLGSCFEGWGVRRP RTGRQRQRGWGRGRSAPPVRRRSMK L198_07986 MSTVTVSRPLAFPQLTLPTADDHSSAYAPPPAYEQKMQAYRESI DVELRDYDACKCQELKEWVEAWEMITSDPKERERIRPYAEAEFASEREELVKGLESDF QHYSKVWLLEDMALASAMNQK L198_07987 MDIDKMFKLPKLPASAGQKRKMPDNPNPEYLKKFKFDEAAPLSQ ATDEPHVNGNGKGKGRQVTVQDEEMEEREERNAGGGGGGGGGGGGGEVEDFYDDADDE GRFFGGGLNNEQEQILNIFDAAGEGEDGPVLDLPALRRQLGKFERIVTKNAEQRGKYP DDPSKFIESEADLDTSLKSFLPLTQNPPLFYPELVKAGIIPILSGLLAHENTDIAVDV VEVIRELTDEDVGEEEDAEGGAEEEERASKTRMAIGQLIDELLNNSLLDLLVSNLSRL NESEESDSQGVFHILAVFENLLSFMPPLASTITSETSLLGWLVSRLEKEGYDSNKQYA SEVLAMLLQEGREVVLRFAKLDGLDKLLQVLFQYIKKDPGDGEELEFMENVFDCVCTS LAEPEMKQEFHDSEGVELMVMMMKEKRLARTRAIKVLDYALQSPPGAPSCIRFITASG LPTFFSAFMGKSTNKSKNRHLQTSQVEDEEHLLGILGSLFTNLESDSPERIRLIAKFV EEGYAKVERLLELREAAESRLAPVKRDIEDEKKTLKAEGAVEEEIEEMEVEWYLRKMD AGLASLQNADYVLAWVVMEDDGAMTHARLLLSRKGMNFKNVSSVLRELKDNIGDDEEE EGEAAEGEAGGAALQRMILEQLIAFLEGL L198_07988 MFLYNVHAPHKTFALTHKANETQPELLRRIYAKSGLGEGERKGV GLVYEFEGGRWSLDDDDDLQILFSRFPPSSTPSVTLHLKAPTAPGQHPHHAQTALGNA PPPAYPTSPSVKSRTTKSKKAKSHAGKEVGVGAGAGAGAGAGAGTGAGAGAGAGAGAG AGAGEKVDAIVARAGTTDGKTPVKHTLKPLSPLSNPHHLGAPIAGGSGPGRVVSSTSH LAPPGAHSHSHAHGQGSKLNGAPSVSGVSTKSRAKSVMSTVSRKSKYGDPYSEDLGVV KRRAWEEFHANNGVRTVMGKVGEISGVRMLLKAGYRHVYVSREFAIRHKLVPKKFGTT GGYAGIRTIGQIPITVGSRTALHAAYLTEEKHFDVVLGRSWMEKMGVKIDPLDQTILT YMDSGEPIECDIVVLRDEKGDIVTIT L198_07989 MTAVQAPVSALTDSFKDLSVNAPLAGYSIGVDSQKRAHQKKLLS EAEKKAKIYETSYEPSLDWWTADQKAYVKPENDPLPEGFPEQDHAASDWDGRVLINQP EKFLYKFTPEDIALINKAHDHFISLHLHNNHISRSTFPIPEDSTLYKALRNAEHEINH GLGLRVLRGLPVDEWTREKQLAVFAGVSSYISEKRIKQGLQNVVHLRWVLFLLSSVKG QTSGNQVYHNDGSAGIIGLITLGVAETGGLSQLASVAHTYNELARTRRDIVRELAKDD WVNKQYPDGKPLFFTHNNRVISSYSRRPFFGFYEADADVPPLPTEKHLALDAVHFTAE KFGLDLDLEKGDLEYFNNLTVFHARTSSSDSPTNARHLVRIWLQNPSFYASSIPPQLA ALYDRINEGEAHGWPLEAWDSADPYHEFKDAERKGKEGEEEGKEGGVGKPVIAGNAVY L198_07990 MTLEGQVWLGQVDSGCQWQVSQVCQFPLKVQGLMKSRLGSGTQI SSYYTWSPNPVVPTADDSDIYDVPFSFVPMLWGCTSQSETDAFLEQLAGNFSNVTLTP SRDILGFNEPDHAGQAECTPSQAAQVWVDVLEPLKEKGYRLGSPAVTGGDTGKQWMID WYSACNGGCNPDFTAVHWYDPVAQDFIEHIQHYHNEYDLPIWVTEYAPQNFSVYNSTT GLYDDQATYIEIQRFMDVTTAYMKSVSWVERWFWFGAMYDMQDVNTLDTLFDESGKES RTGALNDLGVEFAGANGSVVSSYDHSGSDGTLAIEWNRVLVLWVAVNTGLSCLL L198_07991 MAPTVSHIAEVDASKLDSLSPSQRSTAHSQWLNILSARLSALQS AHNMCMDLDAGGGMASVSEEIAPLEEAVNEARKMVSVLGSQLRRRGSDVDVY L198_07992 MAPYLLPLPSTALLALPALLSDPHAAYTATLADATAARTRLSLA LADPSPLPVLDAVQVYLPYLQGIVACLDADQLLFKAEPVFPWRAPLTQYNMSPPLLSL PSIHSEHLMVILTYSLALSNYAHSILQSLPAFEIPAGSKSMPHMSAEDEKRTTAGLAR AVDLLCQASGVAEWASENVCLKVEPVKSAMGGRLGKRRWPAESSRETFKALSMMSLAD AHQTAIRKLLLPVLTYTLFSPPGPPLPPNHPSPSLQAKLYLHVDHLYTSACALLNVNQ QQPTSSKVNEPDAVEGEVIPQLKRYLTKESSLALALAHKWLAVDVGENSKGAKIGEAL AWVKDAQSRLEELEDGKVRERLKGLSLGKSGERKKEERKARKGRVERELEVVKAWGKT YQKMNDTVSFQTVPPVSSLITPSGRPIFGAKAFTPPPSKFEPRRSGDSDSDDEDEGGE GDPARPVEAEYAGKGNYF L198_07993 MATNITFHPGAVTQDERDSLLAQKGATIWLTGLSASGKSTIATA LEQHLLHKKLHAYRLDGDNIRFGLNKDLGFDQASRVENIRRIGEVSLLFALSSTISVT AFISPYISDRQLARELHEKASPAIPFIEVFVDAPIEVVEQRDPKGLYKKARAGEIKDF TGVSAPYEAPVNPEIHIKTNEVDVAGAVEIITKYLVEKGLISA L198_07994 MTKLFSRGPWTLWRQPFFTARERIADGIDIPSAHSPIFDLESFF WALVYVPLHSDTQGGTSNTRDASIFRSLFGSFPASESKRSAFLWSNGASENIYNAQCC LSPLKDLLRRLLDFLRDYHQQSLEAMNGKEGEIRKAGFIGNSRTIRKGGKGGEGTEGR EVGKVEMVENAGEVEMMCPWTEGEENMAVDEFIEILGNYVREQVEGGRE L198_07995 MSDKDSDNELKLDLVGCKLHDLEFFDDGKQALPDKSYRAQAAHL ITFGEVKKNQSIYQAILQASTYGQATFGSQPNRRGVRFVVISFNDTKVQGAIGEIDMA GIYLTHIHNLKDDYEYTRFWRLLAGMYCSPIDDAGCNRRIRFYSNEAGNMVLEKWSYP QEVWRCSNKTPV L198_07996 MSTEHLLGDIGAILSALNGIANEPRREAELHLQKLTVEQPAEVL LLLAQIGALAVGGFQLDERFLSLILLKSLAFKPLPGLYLNSQHQLPSAPFDVIRETTR GRIETVLCAGLKDELDPRIRKGLGMCSASWAEESHKRKRPARPFVPVCLELTQSPNAF HRFTSFQLLGYTPTLVDDAADGPLSSSQLTALLLTGLEDSSVDVRLEAIKATKSLLIR ALTHEARDEVGEVLIQASFQTLQNLPQDLVPIGLIPLVELASIHPKLFISSLSLIITK LLPLLSPPSQGRNLPPYAFSPYPAHDMTLEAWEAFANPATELLLSLAEMRPNEFEEFE NGRALSEMVGLLLGREVATFEMDCQEWMEEEDLDEEDVNYPVFPEEALDRLSVAASYT DESMEIIYSSLLKHVQALLQQEDWRCRFAALMGVGCVAEAFGDAIVDRDILSQVFALP KITDYGRDTLHIILHLLDDPVMRVRVHAAASLTTFFEGEEHPEIVGEVLDRIVRGCVG LYEAGPMYAKDQALATLGTVAMAAKDMFRPYYRNLMDLCFQIMSAQLGASKEERVVQG GAIECGYMLAIALGGTNPGDALKFVQLLLSIQNTIVDEDDPRSRHLMDAWEHMCDSLG QQFAPFLQYVIPPLLKTAFYRPQKASPNADDEDDPYWGDELSDKAEAFKHLGFYASKM RESFAPWLAQSMGLCLEELNHPYAESVKNVAAYLVPALLAVAKESGAWNTNPENYVQA FRQLIKAMVNALDISVISILFKSFKDALRVVHTPFPPDLTRRLLKASTAIVYNLAQAR ADRKEQEPYMDETDHEYWIEEQLEEDQCLKRIEEAVDGIVIVGGSEEGQAMGALSGGI ENDILGLKALIRKVGAQKLETKGYDDDDDDNETLRGDQGS L198_07997 MPTIGPSLLFAAGLTLGVGAGVLYPKQSQAAVPLPPAPPEGALR GVSEAKESRALIPTAGGIVGPLQHGFPGPTPDILKRVAYTAAYDRRLRHPAWTAEHIT ATSLAKKPPPQASTNPVPLDVARAADVAPPVKVDRSKSVFKEDEGIPEMFRAKLGDYF RSGYDRGHMVPAADAKISQQAMDETFYLSNIAPQVGDGFNRHYWAYTEDFCRRLTSNF EDVYVFTIPLYLPEKQNDGKWRVSYEVIGNPPSVSVPTHFAKVILASRPDFAYPQKPA PNSLVPATSPSTVKELALGAFVLPNKEIPDGADLRSFIVPVESVEKAAGLRLFGEEVK AKTKQLCAVTQCQVIVRRFDDAKKQFGGKK L198_07998 MNAALLSQIQQGKGLKKATTVDKSGPQVAGGVVDGGGPSRPAAQ PRPSAPSAPSASSSDPASQLAGLFAGGMPQLKKAGDRVPSGPGNLAKPPPVPSFNKSK PSAPPPPPPTAPRQPAPAPPLPSAPSRTAPPPVPPAPAISRKPPPRIPPAPPPPTISR TAPPAPPPPPPPPPPLPTSSTSAAPARDLPPPARAAPSPVRAVPTVPAPPKRNTPAPP PLPGRSPAPSLPSRPTSTVGGGRTVPPPPIRPSGNAPRAPPPPPPRPTGPPTLPSRPA SSYAAPSRTLPPALPSSSEIRAPPTPPRRPTSVASPSAPSPPPAVAPRRTLPPVAPAP PARSLPPPAPPRQPSYEPEEESEEEAPPPLPRTASPAVRGLPPAPSPAARLPPAPPVA PPAPPGPSPAARAIPPAPARPVPSPAFDTRPKPSGTVFAPPPAAGTGPTNRASLAPPI ARNGFSSNSGSDYAGSEEDDGVPEPAAVVGGWTFPMKGMFPAPRPWAGTRKTYVSGNA VGGGRGF L198_07999 MASTPSPTHPRIMNFSPYQPPPDVPSTDPPEPSKKTKSKSKRPW FNRDPSSYNTNSYQSGGSISDPTAVPQAYSNDPESAAFLDSGVQGGGLEADRANAWES RFGWRVDIMAAAAYLGGPVTALFFLILETRNDYVRFHAYQSSLLTTPILALLLIFNLI IPLPSFLRTLFILASIGGTLYAAFRAWKDAQEGLERFWLPYIGPIAERWVRGKPIILP LPQKRYLALPPLPILILLLLTGFFLHSIITPPCPSLPSSLTLSKYTKSPFLIKPYQFL PPPKVERPEYLPEPVPPKKKGMVVPDAVHYVYGLKPVKEGEKGEELPYFAYLAMRSAL VNIKPSVIYFHYEHLPTGPWWDLIRPHLTLMKTVVPDQIYGRRLEHFAHKADVLRLLA MKYSGGIYLDIDIFVTKPFDDLLYYPTTLGMEASPDSRRDELDPEGLCNAVLVSAPDS PFIERWLASYDTFDGGIWARHSVVKPWELARAHPTELQVLSERAFFWPMWHGDEIRKT HETNEHDFQASGQYTYHAWESLAMGYLSKLSPKSIRENDNSFNKMVRPCVLLRSGSRS TNY L198_08000 MSTQLPPDIKEPQDPSTSTSPSFERWRHSLALFTGMGLSPAEQE ERLKLQDAGKLEKDWDKCEKWKRDLSNYSPIVSFLLNHLRISGCPFPSSSIQCHPCPE NRAGGFAPEHGILLCQDRFFNKKHMEDTLAHELIHAYDHCRFKVDWGNLRHHACSEIR AANLSGDCRATREFKRGFYAFNKQHQACVKRRAILSVLANPSCKSPEMAEKAVNEVWE SCFADTRPFDDIY L198_08001 MPEQSPERPLPSTEATHDRPEPEHTEAPPTKRARPNRDDKARGK RLFGNILGTLQKFQTEDKSTRGSEAARKREEVSERIAKKLHQETALNHEITETDRELK GLKIATEHAETTLRQKDISIGARHSLLQSTAKFLHTRLPLPHPPVFEGGLFNPAPLPI SVGPKREPPIKTDLPPLYFLPKILLPHQQGTIASQTTNMSERISEEQASLETERQHVR TLAKENRARIEELSEKLGGLRRQVRPERADERITERDRGHMRSERSAAQREPRRARGG DRDVGVDELGRTRREDMMDIDQDKEEGDKAVDLRDEREKGVKIQGDDGDIEVEY L198_08002 MSRPSRGRKQAKKGVQLTIMVVGASGTGRTTFVNTLVESVLLEH STASLLSNPEDLHSPLDLNQVKQIAAEAHVEQPIRIKPVNVELEEEDGVRIALTVVDT PGFGDGIDNEYCFQEISTYLERQYDDILAEESRIKRNPRFRDNRVHALLYFIPPTGHA LRELDIELMRRLSPRVNVIPVIGKSDSLTPTELRAFKKRIMEDIEYYGIPVYNFPYDA EEDDEETISDNSALRALLPFAIVGSEEEILIDGEPVRGRRYPWGIVEVDNPDHSDFER LRNALLVTHLTDLKEITHDFLYENYRTEKLSRGAGGGGNDADSSFQPEDMANRSVRLK EEQLRREEEKLREIELKVQREIQIKRQELLAKEDSLKVLEARLAAQGSHSREGTPNY L198_08003 MPPIAKGDLVLITGASGFVAAHITEELLRSGYRVRGTNGALDEA VKGIDAAIHPATPCTTTGTVTGEFIGPAVKGTTELLYALQKYAISEGPKTLSLGSMSP MMATSPVLTPDCSETSADWNVQSVAYIEINPPSDPMWGVHAYMASKVKAEQAFWQFME VHKPTWQGAVIDPPWVFGPVLHDFKDVASLNLSSKLSWDWVSGEKGEKDLPVPIVGGW VDVRDVAIAHVRALERPQAASQRYIVCGGELAGQHWVDVLHAHYPDHPLIKKNAPVGV EGSGDEAIKALNTHDQVTAQEALGLEYTSLEQSTKDMFETIAKKLGVFEDASAWLLPR L198_08004 MPAQTPRRTTRDSLRNQATPNSVLSLRAYTPTTTAKSVTTLTWL GKPRATEVQKDKSVRTYYNAFDRLISYGGGHTPSRKKSKKQDEISRFTVGDGVHIITE AGLAVGVIIALWEEEDAEKDGEEESGDESEEEEGTRMRARIHWASSEADLPSEMRSDL KTRENEVFIQYSLSPKTRSVTEDIPLSAIHANIPILSRDTFLHQYADDFQEKRKGGWG GWDIHVEGVYWCERALDSLGAGSPCYSFDIDEWRGNGKQSGDWGIHDLSLIPRTTSTG HSVKTKKAEVVVESGDEGESDGSGDNFKAQSEDEGEEMEEDEGDVEEELCAPPSKRRK VAKPKPAKKPRAAPVKRTKSIPAPKAVKSKRKVHPQTSASALPSETMDIEKLPVDPFE RALRLLHVGATPDSLPCREEEFVDVLSKVEEGVESGGGGCLYIAGTPGTGKTATVHAV VKELRRKAQDGEIPAFSYVEINGLKIPSPQHAYTVLWEAISGSQGTSAKTALRGLEGH FSKKSGVARGPRGHTIVVLMDELDQLLTSKQDVVYNFFNWPTMRDSRLYVIAVANRMD LPQQLAAKIKSRLGLQSIMFETYKKDHLVSIVQSRLVPHPKAPSQDPKVLLPDAIALA AIKMAGTNGDARRVLDACRRAVEIAIENGKNPQASVSGAPPKPPGPQPVTSRQMNAVL NIMSSSPTAKYIQACSLQQKVMLAAVVRCVMRQGLSEVSWKSVKDDHDALTRNLLADT TTGEAGVAADAALLSNAELAIVLSGLTSSHALLCSTNLSKGLDERKIALGMEVREVGT ALTNEGDDWRRVMAGL L198_08005 MHIALSLFGLNGALASVTGFLTHKDKLKRLDIVGCFLMLSSIIL LILGITLGDSRGWKTAGFLVPFLLCWAIFVRFFFWEAKCSDGYALIPSSFWKIPNMTL LIVFAIGIYPWWAVSQHPLMERFISFYGESSIIAAVRFLPQGISAIITAFAVPPLLQR LGSPRIPLAGGIYWKFFFTSFVIGSGFGMLSFIAANITVMTSVTPETSGVAGGRAYFK YLSRLALPWVSPSKPDSSPSNREVLQLGPTYRLLSGSSSDG L198_08006 MSAQTETATSYVSPTSIPHTIDHDKTAVDLNQSNKESTPFGPAS PSSTASLQSEDAFPKHEEAQPGGIPMSKKRKWFLLLIFSVAQYLDGVAVCGLFVVTDS IQGDLKIEYENSSWVVTSYSVTFASFLMFWGRVSDLYSAKQVFA L198_08007 MFSRVLKSTNSQQQPPPAPPSPSKSSRSGHSKSQSTTNPPSPSK IPVPSTPTSRSSFLNTGSKENRDSVPPSPSQANNERSNYLSFLFAQSQAGTPSTPVKV NKTAPAPAAPNPGQSHHGQAVAAQREERHSAYQQRESDDIHMMTMKNTVNPAVLKQLA STPQSSQTSSPASMGPPAPVQKPYAPTNAYAGQRGGAYQADDVQMKTARHDTRHEKER GLQLWERELLETPDVRRKATVAQIYFLDYYFDLLGYIANRKKRLDTFKADTTSRQITN EAYAKELSSYNGRERVLLRKRRTKLRIDQFRIIAQVGQGGYGSVYLARKADTGEICAL KKMRKGTLAKMDEVKHVLVERDILTAVKTPWLVNLLYAFQDKEHVYLAMEYVPGGDFR TLLNNSGVLKEEHARFYAAEMFICVNELHKLGYIHRDLKPENFLVDGTGHVKLTDFGL ATGSLNPQKIEDMKQKLDQVKDENLVFRSTLERRTIYRSIRATEPRYADSVVGSPDYM PPEVLRGKTYTYSADYWSLGCILFEFLCGFPPFSGSTPEETWANLKNWTRVLRRPVYD RPEDLTFNLTDVAWDAVTRLIAHPKDRIASLQDIQSLPFFSALPFSSLRQIEAPFVPV LDGETDVGYFDSFSSPEDMAKYAEVFKKQKDVEAVEERGIGNRNNWVGFTFGKNANVA PAPRGIKPEGEALQTIF L198_08008 MAPSSYIASNRPTSLQNLLDTSTSKNGTPSLDLRWEPFQQHVEN FLTAIDAYTQSARTEIVARATDHYATVRDKKAEMEETEKRIQLEREKEGDMLTTLESE RHVLADLNSSLSHLQNSLTKTKEQSSSLEAELQALRKEVRSEETEKERQKNMLNDMRD RDTVELKQMEEALGWKVEGIKGTFLLMRFYLIDPDDPAKEFSFIVDVSKQDYTVVPNC DPPIPTLPQLVRQLNEDRDLFSFIKKVRKSFRALIPNPPNPSTKFDDLSGPGLGLRTP GRSQRTLSSTTPAAARDVTEGLALDHLTLGKLWEA L198_08009 MYDMAYEEELRQQTIMAEVLPDAEMWSKWEKTEAPLRQGRGWYP RLDRHFLELLVISEIHALSHPLNSSSSPPGYAERVHRHVSRVRRVACERIGGERLGAY CERVKEAFEAYMMGGWARAQAQAQAQAQQMQMREDEMEDEHYEEAPGEEDDEHRGRKL ERVGSVNREPSPTERPPAYQYHTLDSAMIDDEEDQSHLLEIDFKDVQLDEVSEAGSDD DGSSIRATVGTSGSWSKSSQMDVDNASSYTRLPRPDHAQVHAAIPERAQAAVETNVRL AVQA L198_08010 MSALRTSLVRSARSLPSSSRVVAFPRQAALQQLRPREAIFRRWN SSANHNPAIEAPLPGSRPQKIAQIEPRLQMTFTCTADGCGHRSTHEFAKRSYEKGIVL VQCPECKNRHLIADHLGWFKESLEDGKLKTVEDLLRAKGEKVKKGRVNFDGDIEIDGE L198_08011 MHALLGSPEKQLVCAEFIKALEDCHAQGLLAKVTGQCNKPKMIL NDCLREERIERTTRNRDEAKERNARKKAVWEALEREKAEEKAI L198_08012 MLAQAALALLPLLSLPALAIDADAMRSRSVYQVITDRFARATGS TGACVEADRSYCGGTWSAITDHLDYIQGMGFDTVWISPVVDNIGDETGEGEAYHGYWT LNPNSLNSNFGTADDLRALSTALHDRGMYLQVDLVVNHVAATSSSTFSPSDSYGPFST NSTIHPFCWIEDYNNQTNVEQCWLGDDSVALVDLNTEDESVTSYWNTWVRDLVSNYTI DAIRIDTVKHIRVDFWPDFVNAAGVFNQGEVLLGDADYVANYQNNGNVNPFNYPVYYP LISAFNGTNQDFTSLVDMVATVKSNFSDPTLLGSFLDNHDNPRFGSYQSDTSLIKNAH AYPLVTDGIPYVYYGSEAGFDGGADPLNREPLWTSNYDTTTSMYGFFTALNGARTAAA NASSSFYTDQMTVSRLSDTSILIAKQPLISVLSNSGASASNATVTVDTSASGWAASTS IVDTITCEAFTTDASGNLDVVIYRGLPRVMIESSSKGALCGSNSVDSSSSSDSAAGLT AAVGGWAVAAGVAVAGLQVLL L198_08013 MSAPISIANDTHHSPSSSASLSPQTPFFPTPALSSSATPNQPGS LFKWASSFGKSPPPAQFASGSFTSREGIGKEMGTGIPEHDGEHSDNFEFGDFNDTGNS GSWVKGRRAMSMSMPYGAQSGVGAMLKNNGYSPNEQKETPFDADKDSKGQGVLRRLSI GGPPTQNTHLPPTELPASSSLPPPPASTPSGAEGPSEIKRSAALGGNAHARGRRFSES QGNRKKGVSPMGERILREHGHF L198_08014 MSYSQVPAENHHQADDDDLDALSFSHPNPSGPSQAASSFTPQPP PLQSSPHPSGVSGKIGQSGTGGRAGQTSTGWGGVRTETRFTGESTLDEPVSETIMRDL RSIYAKLILILYPPKGGNHQLLRDWDLWGPLVICLSLAIILSMDAPGEESMQVFSLVI TLITVGSVVVTVNSKLLGGKVSFFQSLCVLGYALAPILIASIVAFFVHNIFVRLPVTL ACWAWSVWASMNFFNGTQLPESRLFLAVYPMCLFFFVISWMIIIQ L198_08015 MHSFSLRPHLSTTPSMSKPTLGKGLPSQLKKSSQARREPSGGAY TMFTPSQVKQFKEAFMMIDQDGDGKVTEDDLKTMLSNLGQTPTPDLLHSLLTSRPGSS TTPGKSADGVNFTQFLSMMGEHLIQLDPEQELKEAFACFDDGDKGWVDVKEMRKYLGD MGDRMDDQEIERLFSGPFTDRQGRFDYPEFAKVLRVNDGDPERSDKLDT L198_08016 MNFYKSAALALDHLEKNQGSVKGSLAAAGVNAVGGEAKRILALI IETLKYRPVLLQLLTTVPILSLEKLTFPRKTPFRAPSSQSLVLVLLHDLLFSPRTRIE ASDKWPPKPAVMRHQARLKAELVRIQIKEGKGRKEDLAKTAGDGEAVRYVRFNPNAGR TLEELSEHLTKKGYERLEEAVYPVPEGKYFADPHLPEVLFAFPGNSNWWVGDEWYEGG GAILQDKASCMPARVLMEEWVEGEGECLDATAAPGNKTSYVSALMSNKGKLHAFERSP NRFKTLEKMLAKAKCTNVKAQRADFTDSDPKSKEFKNVTRILLDPSCSGSGIVNRLDY LVDDDTEESDSKNERLEKLAGFQLQMILHAFKFPNVNRIVYSTCSIHAEEDERVVMSA LQSGIAKERGWGLARRAGVIPSWERRGRPEETGGNEDLAESVIRCLPEDKTNGFFVSC FVRNGLRPEDGVTRDQRPSKRSRDEIEPVAEDAPAEGGDEAEDGDERGKKSKTEAQVE RARRKKQLQKAKKRKTGK L198_08017 MSSCKLCHGPLAPSASIKKPLECHATCIQFACPGCVKANKPSFS IINLNNHIYDYQCAECTAQTPTVSLVTHTKVGPANATTTIC L198_08018 MLTAAPLGSPSSAAHKQHRRDTPGPSSDPLRHLLPHDQHQHDYG THHHIRKSIRPVLRDDGEWTEEALAWYGRTVVWSRGAEVYQRYTYEHEGESVGYACFA WLRTGGGSSETSKKRKLASTTSSTPDTFGPFHRSQHSSWGGPRSSTHKNAPLRLERTL VVFLQTRAHVYYADGSDIIVHLPFTVDGAWGLPNGGILVQRELEKRELRKFGREKKKV GSVLRGMADQTSMSILDDLMDIEDESAPSLPRLYVLENPFDELKVVMQGQIEFGDTLH LSSTHPISATCSVLMVSEDPYPFVVVHDQHAGEFIIYRRTCVPIIPHQPAPPPNPRTM RPEELLRQAELTQIPPQPRASRPSLHRNASSFGATTGDRRLSSVADSLDRGQRRVPRL SRGPLPESASTDELHAVLEPDANLPPPTAPQHRRSRGMSMLPHSQVVPPGPEGQRRLS TGLPAQPLPIPPRMALQTAVEKDLRETTMMMGLERDEVGVRSEVVLSVIGRWKQPYPV DPQQLNAFISEDKGPNQVTVNIHVLPLTPRPSTSPQLFSFHITLPPLSNRVKIDVATP LKCLSAVPVLATRIPTFSRPAREGVYDVLFLTLEGRTQLMTSGRKILPFHVPVEDGTI ISSLADASGPHFTAVYEDGSAKQYSAAMRIRHELTEQCFLALSGSLSAEEFYHYKIEF LSSLQQLKPWEKNDEERVWGVFVRVLEGILGVDHDQVEQHGFGGVTQAGLGSADPISR RLASLLETSTGPLSKPSSTHAPRYTLPPQRAVSLLLAIHLVGQDQRLALSKQRDLERV AGLIIRLAIHVGRKDWVDYWERLVPMRVHNVTFGTGLDYQLLDQFSHPPDIIVSLYQQ LRLPVKEFIRPETLYLYRHGTGSDLSHTDELGPLDTCPRITLVARIYKELGPSTPASA RPLQERAYQTVRTIAETITNPDWISDLPHGVSLPILEVLRACQVSPEKDWTAGMYKLV GRSDLVKKVEEGGLGEIVAKDDWPKEVSRDILNKSRPTVGEIMKAAETGALGNKKTDL ALPHVRFRTDRRLQEVERIMQTTKLRIVTIQDPKGASAEDVTRYHQSFVNTLASRTLA VTVGQGMFEYGTRTTVITDVWEIPFIELSVKVTPGNTLLKAEIVSDSAEWPCFHNGVS AGLLISPDCKDIDSSWIVFNRPGVLNAEHGGFLLGLGLTGHLRSLMTYHAFPLMEPRH DFTSVGLLLGLACSYAGSNDLLVTKILSLHTHALLPLGSVELNASPIIQSSAMVGIGL VYVGSRNLRMAEVALYEIGRRDMPNVDGFGEYQESYSFSASMAYGLIMLGRGGSTASE VDRKMLAQLRKCIVGEAPVMDGSHAKTASPGVDINTTAPGATLALGLAYLKTMRRDIA DLLEIPQTPYELEHVKPEWCLLRILARALIMWDDIAPTTAWVESLVPSFVLAAVKSNQ RPSSIDLPIELAYLNIVSGAAMAIGLKFAGTAKELAHNTILTQYSILAKAVSGQSMTY EGRIRRTSARQGLNVVTIALAAVMSGTGELGLLRRLRVSHGQEGAGVTYGTHMAMHMA LGLLFVGRGEYTLGNSNLAIAAMCIAFFPRFTSSPADNKAYPQAFRHLWALAVEARCL TARDVETHETVYLPVKLRFMEGGTIRQQSLISPTQLPSFDRLLTIEVDSPRYWPIKID LSDPRDMEALVRTRSIYVQRKAGFIDYESDPKGNRSIFVRVGSMTGIDLHYDLISSGA PPSVGVEEVKEIVRVHSGDAGLVGLAEHFEGVDDEWGGGVGAFLRTIIIECLALDKPH LIPIYIQMYLSLRRDAAHITNPALYQSGGMHTVDQLTFAKRFYDEEYEVNFAQMKVSG ERRIALVRQSFLNAARRTLLESPGEEDVAARYLLQGVEGWREDPQRLGRWLARNGVPP LPLLEALRLGVAKLAVGKPELEMKMRDSAEIYWGEVLKTYSEQSDGQGRRGEGWKLHS VQQTIDLWRGA L198_08019 MSSAHTITKGDLVLVTGPSGFIASHAAKEFLQEGFRVRGTVRSE EKGQYLTKLFEGMGEFTYMIVKDVNQDGAFDEAVKGVDAVAHLASPFYVSDVKDPQEL IGPAVKGTTGVLESIQKYNPDVKRVVITSSVASIMCTDSVKSPYIYTEKDWNVDSAAH VEKNGLQSAGFHSYLASKTLAEQALWKFIEEKKPSWDAVAINPPYVLGEIIHQCDKPE SLNTSVAAFYEWASGKKTEDDLPAPLGNWVDVKDVALAHVRALTVPEAGGSRFIVGAG ALAGQDFVDGIHKNFPAVKNVPVGTPGKHDEICKDLDIFDGSKAEKVLGIKYTTLNDS IIQMFESLRKRFGTI L198_08020 MSAHGVMHFEGRPLSPQHRLHSESDLPAPGANWVDVKDVALAHV RALTVSEAGGNRFIVGAGPFAGQDYVDVLHKRFPDLPNIPVGKPGTHDEIVKDSNVFN GAKATKVLGVEYKTFEDTVVEMAESLQKRFADKF L198_08021 MAPTVSHIAEVDASKLDSLSPSQRSTAHSQWLNILSARLSALQS AHNTVARSSTEDSSSDDRLPVFGPGTRALPGSSKYELPTESDILWLLVTLGLLPPPR L198_08022 MTLEGQVWLGQVDSGCQWQVSQVCQFPLKVQGLMKSRLGSGTQI SSYYTWSPNPVVPTADDSDIYDVPFSFVPMLWGCTSQSETDAFLEQLAGNFSNVTLTP SRDILGFNEPDHAGQAECTPSQAAQVWVDVLEPLKEKGYRLGSPAVTGGDTGKQWMID WYSACNGGCNPDFTAVHWYDPVAQDFIEHIQHYHNEYDLPIWVTEYAPQNFSVYNSTT GLYDDQATYIEIQRFMDVTTAYMKSVSWVERWFWFGAMYDMQDVNTLDTLFDESGKES RTGALNDLGVEFAGANGSVVSSYDHSGSDGTLAIEWNRVLVLWVAVNTGLSCLL L198_08023 PAEITQKVVQGIHAGITTVELDNLAAETAAYLTTKHPDYAILAA RIAISNLHKETKKVFSAVVHDLYSWVNPKTGKHAPMIAEDVYQTVMDNKEALDSAIIF DRDFAYNYFGFKTLERSYLLRINGKIVERPQHMIMRVAVGIHGANIEKVIETYNLMSE RYFTHASPTLFNSGTPHAQMSSCFLVAMRDDSIEGIYDTLKTCAQISKTAGGIGLHIH NIRAKGSYIAGTNGYSNGIVPMLRAYDATARYVDQGGNKRPGAFAIYLEPWHADVFDF LDLRKNHGKEEVRARDLFYALWIPDLFMKRVESDGDWTLMCPAECPGLADVYGDEFEA LYTSYEKAGKGKKTIKAQKLWFSIMEAQTETGNPYMCYKDAANSKSNQKHLGVIKSSN LCTEIMEYSAPDEVAVCNLASLALPAFVDLEHRVYDFKKLHEITKVVTKNLDQVITRN YYPVPEARNSNMRHRPVGLGVQGLADAFMALRLPFDSPEARLLNIQIFETIYHAALEA SCEMARDQGRYESYEGSPISQGILQYDMWGKEPTELWNWVELKAKIAEHGVRNSLLVA PMPTASTSQILGWNECFEPYTSMLYARRVLSGDFQVVCPWLLRDLINLGLWDENMKQN IIAAGGSIQNIPTIPDDLKRIYRTVWEISQKSVIDLAADRGAFIDQSQSLNIHLSNPS FPQLTSMHFYGWKRGLKTGSYYLRTQPSAQAIQFTVDASTLKAAKEQKNKPTIAASPA PSSAADAIVAPMRQVSIATTASRLPTPAPEEQSRDRSPEPSEEEELTYEEAKKRAEER AEAALQCSIDNKDACVMCSG L198_02152 MLHGPIRQGLTSIEKYYRKAIEAKTPVIAVYLNPLMKHWWFELN LGTRLAAEANDIFREIYDEFAIAKRSSNTSDNHVSCPQAPLTEMQRKIKDLQKASLAF RGSGNLSDEFDRYISFFEEDDSLSLLAATPAKIEPDFVLQWWNRNEFAFPIISGIARD FLAIPASSVPCERLFSYAKLADTEKRRCMSEGTFSDLQTLGAAYREERRVQDEGKRKG STFIDDRDVQKKSKNKESI L198_02153 MDDPSLDGPASLVRALAAWAEEDEQLQDTLMRSSPIQPVVEEDE DEDDEDEVTPSPADFREFQGDAGLGRRSKKRGQSGVAPTQNSQLKVEGGMSQPGVVKK RGRPKTSKKRKQPDGTLAPLGEDELLPAARSKKCKYFGRAVGEEPEVPRDDTDWRDWE L198_02154 MLTVLTARECTVPCFSCALHWYQGLETKEVNRLSVVLGPVWGPG GNQTAKLGGIHLSSTAHLTKVPPSQKWQCILVRDELTQRMSQS L198_02156 MPPTHYDLLEIQQDASSSEIRKAYLKAVLCSHPDKCPEEGREAA TDLFRKVQEAYETLLDPEARSEYNNSLVINHGPPSDPLTEPRGPPGTYTTQSLFSERP VYPPHHMYTSASFTTPGPRIPVYTWHVYSRRPPWLPVSSWSVE L198_02157 MNSDTLAIALDRAKFYARDAIFTLSQASPPLLHAYPLTPAQCIC QPDATLKINGRSYKIEKLLGEGGFSFVYLIRDLSSDRLYALKKILVTSGQDGVKEAMR EVEAYRRFRHPNIIRILDSAVVQEESGEGKIIYLFLPYFSRGNLQDAMNTATVTGNRM PEQNLLTLFHGTCLAVRAMHEFHLPSIPTTYPPAASREDQPLVGDTVFDHDEELDTAV QGDLVPYAHRDIKPANIMIGDDGAPVLMDFGSTIKARIMVNTRQEALLEQDIASEHSS MPYRAPELFDVKTGKILNEKCDIWSLGCTLYAIAYGHSPFEVDGQSIAMAVGSGRYKQ PPGYSQKFVALIDSMLVVDPEQRPDIQQVIDTTEAALREQV L198_02158 MSIMAIPKPPFPAAWRSIPVSPKNLTLANTLPVGQSFLWHRLPQ TAEQDEEYSRAIDNPPRVVCLRQSPTHIHYTAVYPNAAAAERDEHMSATKVWLEDYFQ LATYPDLDVLYAEWRNRDSMLFAPAELNPRAIGVRVLRQDPWECLVAFITSTNNHISR ITSLLHKLSKTFTDPVLTLDHSPHSPSITYHLFPAPHLLPVALDTTLRDLGFGYRAPF IESSLATLRHKFGSQKGNIEAELALWRHRDTETVRDELVALKGVGRKVADCVMLMCLD KPSLVPIDTHVANIAARHPSFPSRLRGKPMSKQVYDETQEFLLDKWGPLAGLCQAVMF AADLAPAPMVKKEVVSVTKQTTKTRRIEVVEDSGEQPPWKRTRSATRQAIKVEVSTTK QELP L198_02159 MGAKAQFLRDVRKRLSAENMEVQRRAYLFVARNTTLPATVRHKA QLGLNSLNGGEGRMGAVSNRCTETGRGRGVISKFGLCRFQFRTKALRGDLPGVHKASW L198_02160 MATRSIALGPQETAFVQLLDAFATAQNPPVECRIAGGWVRDKIL ALPSADLDIALSISSGYAFAASFVDFLHTKGVSTGSVGKVVANPEQSKHLETGCTRIM GLECDFVGLRSEKYTDSRIPQVEPGTPYEDASRRDLTINALFYNVHTRQIEDWTEKGL VDLENQIARTPLAPRQTFQDDPLRIVRCVRFASRFNLHIAEDAFECIKEDDVKLGIID KVSKERIGIELTKMIHKNPYRALELIHQLGLHPYVFHCDVNPPRHEAFAAAQILNALS DRKQLDEMLWLATAATPFRHLDVKRKGKSVPATAIVIGEGLKLSTEIKSSVSNLFDAV KVIDPGATRRSDIGAVLQLPSVRPWRRSLIWAVVMEVLPQWRGGWDEAAEAVYQKYED FEARIESLGLPAAIDKPLLLNGNDVQSLLSISPGPLITVIRQSLNLWQLDYPEATRDQ AEEWLKSQWEGQQRVEWEKLAPPRVISKKTKKAEPGEKRKR L198_02161 MPGASLCSPIPSAANAMLSRRSSNRAPPETPPATLSRNSSLRAH SHDSPVAENAAANVIETGAVRVKRDQESGKWMINQYRVLSEIGSGTHGRVRLGQDMSA EIPTADDDGELEAGASPEDSFWAIKIVDRNPKRKRLAGLGKHKGSSGGAKMMNESEIR KEIAIFKKVNHPNVVRMKEIIDDPESSKIYMVLEWCRSGEIIWKDGEGQPALTVGETR KIFRDTLLGLEYLHHQGIIHRDIKPSNLLRAADGTVKISDFGCSHFSEALQAAVAQPG HEGDAYVDDIELAKTAGSPAFFAPEMCYSGLEAELSPKSTTSHGTPSSELPSFTLRPP SCADSYPEATLSVKSATSRTFPLKPTDSNDSQGSRRPSSYRSQSSSATAQRRGQRLPI TNAIDAWALGVTLYCLLFGKTPFDAPNEYLLMQVIASDPYIVPPFMGKDRLPTGNGGL PPADEAVEALNVLAKLLEKDASHRISLEQAKKHPFTLRGLHDPAAWLAQTDPHTQTFV TVSSDEVAAAVTKSTRFRDRFKRGIKSLSVKLFSATGRARGHSVSSTDTPLGLESPSG TPSSGQAMSMSAWTSQQTTPRGTKLAALNAVATPSQDASPINSPLPQPSLVRRISQLN GTSSEHSHPLPVHSASGQDGSSDRPIHASPESMRDPAVKLPPSYIAARRLSSHLAHHA KPVIVPLMDDTTHPPHSVASSSSLDRFRSTSDQPYVASLRHRESSEADMYGRQRSHSN ASSISSKLARLWRTTSQRSKSLAPEREPHHDSDIDDLAVTDRSVRSESPGNQFGRMSL EDSFPRESLEYLDSSSPSSPMPSPERSLPAGWDTRMRTNISRRGSSLSEEFTGKDVPE EEIDWEGSISDEDDYVQGGSAGKVGAPSRWNKPDDNGIGLGIQQSAAMSVSTAPSLEP IPDGSPIAPMTFPVVRSPSRPTPVNIRPTTPDSVHRVPPRTSPRISHSPLRTGFGSER TKSPLGGKFREDSRRTVLADQANSSSVLLDDDEEEEEEGLAISISNRRRRGSAMTQLS VVTGDR L198_02162 MPAHDAPPPYNAHGDPYNLAPLPQEQNRPALPELKRNIHLAALP DEARIVKFQTIVREGREIVVGRIKVPTPATAAGARHAFILRRYDTNAISLTTMYKVAF PTATDEDEKREMDWVKSSFDTRGTNGGRDNAAVRLAGQWVSRSLAIHLAPAYKMDTLI NALARAVPDPNVAYRKSQRSQAASDEIARQTGGGEDGIFDPPAPAPAPAPAPASVPSL SAGDNASPAAKRRRRDQGTAPQQASSSASAHQPSATAEPSTTTTEAEDVQHISVEATT TITAPAGEAVDMNAEIEQAKQLVKDLRDEIKLRNEAGNNLEDQGVDVAEDVRGTKRGK GQDEGVTLSGGASGDNRVVRTNKRIPQNAVAQVGRKFGWGALVFSVGLGASLTFISQY ASNLL L198_02163 MPKSKRSKVTTLSKTPGRSTKASKQALANEIRENIDKYEHCWIF SVGDMRNEGLKEVRAQWRGTGRFFFGKGKVMAKALGETPETEYQDGLAQIARRLKGQL GLFMTSHPVKETVEWFESWHKPEYARMNMRSTMDVSLPEGPILTPFADPPSGDPFPHS MEPQLRALGLTTTLIRGVPSLNNPHVLCTKGEKLTSEKCRILKLLAIQMADFRIHLGS RWSKEAGFVAGAELEEGSEDEAGMDED L198_02164 MPFPPSAPIGQQPLSIPGSSTSHQAFGFNPSGNSFPRQGNFAIQ EQPQAHMGGRWDPTGQASGASGSGMAHASQRTSGLSNLMRSRGSEMEMNSSPPGGGSL SSNPHAGFHPSSYGPNIAFTAFALGSSAQGAGGGSYLGSSTLGMSISPPHWGSLGSGS FVGSLGNPVLSTSFNREREGRERELEARYVKDFDCCGKKLNGLHELLEHYEEEHAGLA PDVRVAAINAAQGGSTSSPRAVSNPFSSAPSVPPSHPPPLVTHQSHSDAPQPPGMMDI EMEEPAPYQQPQALDLAQIQAQQNQFGVRSPGLGGQNTNPWAAAFRPQVLSSQPQCVP PSLLSYAPPSTPGLTPGASAMPVPSAAPSPVPMTPEQQAARAERKANKKRAERAAARG DEGSASETEGTATAGEKRFPCPIEGCGKVYKQANGLKYHLTRSINSSHGTIPPQNLAA LLGEKGGEVEV L198_02165 MSPGIAIADMEEGSGWKIVAGRDMLPEELLLSVPKTSILSVKTT SLSVQAMQLIEAATPHPILVLSFCLLHELRMGAQSEFYGYLQSLPRDMASALPVFWSL DGPNSDGQRALPWLQGTEAAREMVTKGQSGLGMADMESLYASLAHHIPPTPNHPNASP FTSFAHAYSLASSRAFLVDDYHRVAMCPMADVLNHSSDPHTCLASDDFVCPSCGSLKP CSHDAPSQKAGVPGRLAHLALRERTQLEDEVDCVEMRLEKHLDEGEEVFNTYGAAGDG KLLVEWGFVAGEFADPGLTWTFEELAELSATGMGDYLEMCAEISRLDSRFPDLDDEDR LLCSQVSRRPDMCNLDQSGRLSINIFGILWLQQSRIEGSPISSQIKDTFVADITILER SWSALAQVTPLKKIPAKVVSVANAVYQLLTKRLSGMHCPDASLEELFDLRDNLDKEND RRQILAITLAANEKSLLLMTIGRWREWINAYTSDVI L198_02166 MSQRMFMIERIAYQPEPHRADQFKVLGSTGNVYTVTIGSTPDCD CPDCEKGNSPCKHIIFVFLKVLKVSIGSPIWYQKGITPAELNSVYAGAPAEPSASVSV NSRVRDTYFKATGKEPPAEPAQGGSGGKRIAAIGEDCPVCYDEMTQKDEDMKKLVYDE SLGGCGRPLHDQCFRMWAATAKKQHKDVTCVWCRSPWAGSAGASQGKGKGASKTGVSY SSMGYMNMAHAAGISPRRDTSTYYHGRGHRYDDD L198_02167 MSSDTASTTAALSSALLDIIASASTTASLASASASLGDVATATT SVSDGTVGNGTTVFDGGMADPNVDLGSDEGSYILNTFIGLLIVLVSSVFNALGLNLTK LDHIKQQEIPRRQRKKEYLRVLWLSGMGMYIASQVFGSPLALRYLRPDWVAPLGSSSL VLNFLFAYWLVGTPVTATDIRGTAVIIIGVILIIVFSSINHGLIQSLPIERLNSLWSR GAWIAYFIFNILFTASTYIVSSLFKTLLRSRASFSPLPSPSLELPTSRSPSSPNFIVK FYQTIKGTWERFERMAVRRLEILFQRTGDERVVWLQGIGWGVAGGSLAGLCLVFTKAA VKMMGLPGHPFLHPSSLISLICVIVTAILQIVCLNRALECADTVVVVPLFYAGYTVFG FINSLIFYDEAGQYATWVLVAVFMSIGVLILGVVLLSLKSSAKAESDPYTVGETVQAG GSMRLRPRAPKRSTEDGHDEDHEDGHESRAENVLWEVGSASDQSDDENEENAQKNRGV GGVKGGTGERRGLLGEEEEGRHEGDEEGDKSNPFEEGDDGFGEYAGVGADDEREDKGK L198_02168 MKAARLLLARPRALLRPLARPASTDASTSTPPPNAAFEDLSQQA GLEIEQALAERQGEPVVATASLTAAVQLATWFPSNSGKHEPILLPVSSLASPTPTQPS GDDVVIALPPDIFAQPIRRDILHRCVVWHLSQLRQGNKQTKSRSTVNYSGRKLIPQKG TGRARAGDASSGTRRGGAPIHPIAPKNWAQSLPRKVNELGLKIALSSKLQSGLLRVVR DLGEGEWSGTREARKALANGVEWPRSQSGLEQMPEATAAEELGLQEHVQEKAIEEQVK EDVKDAVETAVEPSGPIYTPRFGASDDLSILFLYTPWKLESELESFFRATRNIPGVQV MAADEATVYDILKSRWLVLEGGAVDYFGDDAGPLSLEDFEQPGEFEVEEGVEAVDSAR EEALKA L198_02169 MSSVQTFGKKKTATAVAHVTPGRGLIRLNGSPISLVEPVVLRYK VYEPVLVVGPERLANLDIRLRVKGGGHVSQLYALRQAIAKGIVAFYAKNEDAASAIEL KKTLVAYDRSLLVADPRRMEPKKFGGRGARARRQKSYR L198_02170 MPPRKAAPPPSPARSARSARSNTHDRTNDDEWDRESITSGAFKV PSSRGKTSNGGGFKDTSVNIATAFHAAQTGHLPPPSKSNLSLTSNGSSSRSLQVPRAI SPAESLAQSARALSPVRFFLRPTDEDGEEFSSFSSADNTSANKSINTSGEGESYDYYQ EEEFVRRAQQQQKAARGKTGPADRKRRGKAAAEDMPYRPAEEDAVSLASDDSGGAGEG IVRSGALDGRAGTRGKRAERGEGYLGMGLGLQPKRRGKGRRSGGAEGETDDDGTPGPT ARGWTPALELEVPRRSPTPAQLLRALSPRLDRRSPGPSMGYQPRRQPSDLRTILTNLL HGVALGLQFVVEIVSTILDRIMLRPISAVFGTSRDFTRLARDNWWKWAGLLLGLSLAI RLFDGAWRSRGIYTAPDAPPSNIDEMSNRLTSLEQATAVLSDMLRAISEGDQDLQQSA VAIKSRVTDVEDSIVAERKRIEGVKGDLKHQKTAITSEIDKLRTEIHTITTQSAKHEK LLSASDKSAKTIESLEREIAQLKTRVGTVEQSVHVALEDGRLVAAIEKVLPHWMPVWT DAKGQVQVEPAFWAEMKKVMVGKGEVETIARKLITDSIASDGGVPEMPAFDQRKVEEW MDKAFDRRSADSFMDRQTFTQMLDEKLRELARHVPASPSKQSVPSSTVTIKSSKGEDL TSLFTSLIDTALLRYSKDTLARTDYALFTAGARVIPHLTSDTLTLRTASGLGKWALGR KDVQGRPPATALHPDISVGSCWPFQGEAGSLGVMLVDRVVVGDVTIEHAPKELAIDVA TAPKSVKVMGLVDTEENREKLASYWAENPTKDPTDVDYLPLGTFTYDPSAYSHIQTFP VPPDVVNLGIPVGVVIFKVESNWGGDLTCLYRVRVHAAKDDFA L198_02171 MYTKLALLASVTGVATALTINSPASLIECQPTSLSWSDGTSPYY LAIIHGGEVSSSAYESFDAVDSSPYTWTVNLASGTNVTIRVTDSDGTIAYSSPVVIQS GSSSSCLTSSISGVSTSSSGTSDGSSSTAAASTTGSGSSSTAASSGSTSAGSSDSSTS ASASSSTYSSSSSSAFLTKTTSFAASFAGLAAVTFAALA L198_02172 MPSRPPNSKPPPPLIVDVLNGDSSPPRAHLLDSKDIDDISMHRV SRASIDQDRPKVDPRIEGIPQGWEDDFSIGPAGPKTLQKTTVRGSGVQRESSILGGAF RDTRTKISSFSGLVKDGLRDRDGQMRSSAAQNRQRVESTRVQSRTEHTRSHNPSKSIH SQASSFSHPTKAPPSIPPSYSLTEYTDDSAELTNLFTPPTSAREPAYNPSIAGSTHSS APSREQAIAPDKEALYGLAAPRSRDHLSPNAPEVLPFAADSPFSAWPGPIQDRTVVAG PTATSDMQDVHAGEASPALNRVDSATSGKRVWVNEKGKDVAQVYKVGWERDVLDIEGR LHETLYELMGGRHTFAEVEEEPKAVLDIGTGVGLWPISQARVWPGSTIVGLDIVPCQT NLSLLAQAEKNARSTSDGSAAGEGMWESIQRRVKWEQVDFLNDLPYDTGVFDFVHIRF VALGIPESRWGDVLEEAARVLKPNGKLEIVEMTYNLPSSAPPTIKNPFDGIMASQAIS QVPIFPIQFSLPAIDILSAKGLADPAFSKTWKGSESPETLTDAVLTWAEGALGSETAN RTKKARAALKKSILLDHLMKELGWYSKGRWRFDVKNGQEKCGMHEAGEEALEISVWAW VCTRR L198_02173 MTRIYFSGEARPSRVQRDQRHQTGRVIDKSLQSLLDLSSRSSVF YNGPLKVSGGRITPGDDGKRVAPDMSIHDWCTSTNGLSGLDKPLTAFNNVASFIQDRY RRTDGSRVLDRDDANQLAPFILQDKRNDGTKEGRDFVALGLDDMVINDVLPTKEEFSS SQEQVQVHAFDIPTNEEAASRIDVSDWEGNSLDEWLTERVKHEHSGDWRATDGYVLSA GEEMLADVRSLGDQMSRRHDLDPDLTTYFSTRISTYMVDQMLLSGEREVTPEFLHDAD FNLFKAKNALMSMSPGERKAILYGRHASAQSSAATDSRPSWRSSSLPQDSLPSSFGSR GFHRELASDGSKPNSASGGPQPSRKTVESTEDDVSVPSDATDTRASQAKGVRFNPIVN SVNYSR L198_02174 MSFKQIGKAKLTTPNNVLFIDNEVPPSNAASAVTTPTEQQADPF TSTADRADYLTKALEKSQAPGQEAGLPPNGVSRVGKMGREERKLPDINAEPSEYYGGA QVWSRARTFSNAGGAGDYKRRPLQMGGEKIGRNRRLSHDEVTPSAPRRYLIDVEETMR LVLEQEDTDNNFQISVYDSGPKLLSLGTASSNAHKTFDIRGTYMLSNLLQELALAKDF GRKRIVLDEARLAENPVDRLSRMIKNSFWNALTRRIDAQGLEIACADPKNKDQSAGAR IYIPHGEDEMAEHYRQIGRDNPNLKLEVNILPVHCDDPAYVKTLNDKPGILALAMDRK VDADGKVTLDAFPFVVPGARFNEKYGWDSYFMALGLLVDGRLDLAKGIVEHCIFEIKY YNKVLNGNRTYYLCRSQPPFLTDYALQIYNQLDRSKPEENKAWLKRTIQAAIKEYHRY WMQEPSLDIVSGLSRYRPSGLGIPPETESTHFTHIVQPYAQKLGISVLEYLDGYDDGT ILEPELDDYFMHDRGVRESGHDTSYRLDKKCADLGTVDLNSLLYKYEFDIASAIQVIF DDHLEMNEEFELSPWPITPEAFEEGAPRELSTNVVQTSKHWFERAAKRKETMDKLCWN DRLGMYFDYDTKARKQTRYESVTTLWPMWAGMASEEQASMLVHNALPKFEVAGGLVSG TEESRGIISLDRPNRQWDYPYGWPPHQIMAWVGLERYGYIDDASRLAYRWIYMMTLSF MDYNGIVPEKFDVVELSHLVDAEYGNQGTDFHFVPREGFGWMNAAYQVGLQCLSTGMR RAIANVVPTWEFFNLPAPDFDLAAKRRNERDIHDKAAAASGHGGQPKNDTHNQPPSLE QAIAELKLEFSGPAK L198_02175 MPFHNPIWEVLEPHLVRMGLYKVQDPTYGRYLLPNHPPHLALVE DPTVSHNYRRVFLPPTPSFKSYHEAWDTTQIIHAENVNLVKDELASGVKWGGRWVTYS TWEMTSPPEGGWEGAGRGVGKDLVLVHGLGDYGLRYAPHIKFFLKSGFRVIVLDLPSY GRSTGINSYLPSLLLLPSAVHVVLTDLAQNDIANGRKQKKVFLSGASMGGWTILYYLL KYPPTNNTEAVVTQGSTADVTLPEGGKGYDDLERPRADEKVRPQVAGAFVLCPMVEVS KESRPNIILEYIGRAIVFFGGSLPLAKSVRGNVSDDPRVEEDFFADRKYHLRPTLCYH GMLRVGTGLACLEGMTELEKRAHEIDVPIRLVHGNKDRATSHEGTLRLFDRLLNDDKE LEIYDGYEHVMLRLGSNEEDDKQRQKVLDDMRSWLLQRC L198_02176 MSTSLPQLRIWDHIPNPFNSSPSSPFSDAEDDGQDSISKIKLLD LNDGSMHHPFEGDIMAATREEESLFASRMANETARSDDAPMGVLDWLDIEAGEEAQDE VVTGGWSWAMPRPMRPTASRFFVQLPYHLRLRHTKDFDHTMAVPPPRQVAIVPGRNVW LPLVDSSSG L198_02177 MEGSPSANDDGFSTFFSETGSGKHVPRSLYVDLEPNVVDEVRTG AYRSLFHPETMITGKEDAANNYARGHYTVGKDLVDSVLEQVRRLADNCSGLQGFFVFH SFGGGTGSGFGALLMERLSMDYGKKSKLEFSVYPAPKMSTSVVEPYNSVLTTHTTLEH SDCSFMVDNEAIYDICRRNLGITSPSFTNLNRLIAQVVSSVTASLRFDGDLNVDLNEF QTNLVPYPRIHFPLATYAPVISADKAFHESNSVYEMTTACFESNNQMVKCDPRQGKYM ACCMLYRGDVVPKDVQAAVANIRTKRTIQFVDWCPTGFKIGICNEPPALVPGGDLAKV SRSLCMLSNTTSIATAWARLDNKFDLLYSKRAFVHWYVGEGMEEGEFSEAREDLAALE KDYEEVGIDSEMDNFEEEEY L198_02178 MKSSHDTGHDDDHGNDHGDDHDESDHDHKSLKGTKKDKDDPKAD KKGTINRVNRACNNCRRMKMRCVGAEDPPCKRCRNSGLDCIMEKPGKSTSSGDGAGAG EDRIRTLETQVSSMQNTLSDLVSTLRAGMSSSASNGSGHTPVNITSTTPDYGPSGHHF GSGLSNLALGPKSASPPVPTGTLDPGSHFRQTASNAGLNGFMPPPSTNFMHQHGSDPF RPFTSNTRVQPLGYSQNQNSDQTHAPRRPGLLDDASRRQSFAAWQNGPHKRDDGPQHP TKHMSLPPSRAGSVGPPDIFGAEEIINPLGEMSNMAGLVEAAVERARQEEAAKVAGKH KSSDDSSHVKRNAEEIESGPSEDTQVPKKYRQDSPSPSTPIGSTIIETQNLPPRAIIP GMPAISQTTHQHAYPDVVSAGYVDEEEGKAMMQVFYSGSSNFIPCYDPSVDTWDSLRQ RSPFSITAIIWAGARVRDGGGPHSETQKVCRLHAERIAKGTLFNPVHRIEAVQGMLLL SAFQDNGWLPGGHAVRMAIDMGINRSFIHLLRSGMGKGKSAQELEQERSLVVHSRVWF GLYLMEHQMAYGTGRPAIIREDETIHQCRRLLDHPLSIASDARLVSTVELTALRSPLH IELTAAPDFPIAESTLRRLKQANQDFDAWERYWDRILTDRFKKCKGDFFRESLVIQRQ LFVNSQLLRGVKEPSDVVGMPEEKRALAIRAMRNAQKCLDICLHGENYRNGLRYAVHY THVCAAFAASFLIRIARLFPYELNLKKTAKDIEELASILADIPAGRYARSLRLILRKA RRQKIIPAPSVLSSPNRLNVPLPGATPAAIATAFSPSQLVNPAFYGASPAAPHIPGNT AGAASISPNPSMLLNATNQIINDSPSSAELFEFDSIFAHETMEKAGIPLGENDQLPLF LDGQSLGGSAGPTDTAPYVGLEQFFMPQDVDNRLVDPGYNAEQQASGSSTLPTNVWW L198_02179 MPLLRLELYNFKSYRGKQVISLGDSPFVSIIGPNGAGKSNLMDA ISFVLGVKSAQLRSTQLKDLIYRGRRAAQNDDEPVDEETQTQTDPELGNDAKSAWVSA IYHDEKADKEWMFRRSISMSGASSYFLNNKSVAWRDYNARLAAFNILVKAKNFLVFQG DVEGVASQDSKALARLIDRISGSLDLAPAYEAAKSAQEKATEASTSNYSKKRSMLTEV KHFREQQEEVRQWDKLNDSKDALIQRHALWRLYHLTTTIEKLAESVEKENANLDECRD AAVAAQKELSDIKKEQTKAQLDVKKRESRVKQAERAYEDKKPELVALETQIAHSDKKA TNATNLQERVKKDEERQADSLKTLEKGLEQITKRMEEAGEQQRQRSQAAGITLSGDDL DQYRRLRSSANSQAVQERQQLETLRREQKTLRDSLASVEDKMQQARRQTEKLTEETNA LEEREEIASTKIREMEAEQETISGQLSHAQSERERINKRETEINERLQNIYHELLQAG VDKRESEREAKLNETLASLKRVFPGVHGRVSKLCRPVATKYETAVMTVLGRNVDAVVV DNEKVAIDCIEYMRNQRAGQATFIPLDTIQVKPIPEKLRNFARGARLAIDCIEYDPVV ERAMQHACSSSLICDTMEIARYVCYEKGQEVKAVTLEGTVIHKSGLITGGRGSRTGAK FDSVDVDKLKANKEKEMNNLKELHASKPNDKGDEILLESLSRLNAEISIAKDELASIE VRLRGSREELKHALSSIKKLDPELKTKTRAVTSIEQRSSALTDRIKEADNVVFGAFCD KIGVTDINEYEDVQLRIANEANEAMEEFAAQQARVKHQIDFEVSQLKSTRERLVNLQK VVDKENTHAKELESKRDGLEAELESLQEAIAKQQTKLDASNQAYRKVVAAVEATRDRA RSAQKDLDKRLKKIAGWNDEATKCASDRHAVYRRCRLEEIDLPLVSGRLDKVPIEEPP KDEDEDMEDEEATQRPVQVEDYGIEPDFDMLEDDDKEDEADEVGREMEAQVAKMKADL ERLAPNMKAVERLDEVEKELDETEREAEETRKESKRAKDEFQELKKKRCDLFNKAYNH MSSVIDKIYKDLTKSQHQVGGTAWFTLEEVEEPYLSGVNYNTMPPGKRFAEMEQLSGG EKTMAALALLFSIHSYHPAPFFVLDEVDAALDATNVMKLARYVRSQADKHVQFLIISL KSTLYEKADGLVGVYREQEENSSRTLTLDLRQYAN L198_02180 MSTPAFADIAKQVEPYFHLTEDRLRTILKFFIMEMEEGLANYGK DVAMIPAFVTGVPDGTEQGAFLALDLGGTNLRVCLILLQGKNSFKIKQQKYKVSDELK TGQARILFDYIAESVDAFLTDIDSNHGDINIPANEPMHLGFTFSFPVEQTAIDAGKLL TWTKGFETKNAVGHDVVRLLQDAFDRKHIHVNCSALVNDTVGTLLSRSYQSGPALIGA IFGTGTNGAYIHKTRDISKLGEEKIAESEKGGEHAGEYMVVNTEWGAFDNKRRCLPVS IYDNKLDRQSINPRKQAFEKMISGMYLGEITRNILLHMIDLSLLFGGNSTKILNKHNG FDAAFVSGIEAAKSDEDVKKVIHSILEVPTKSITSEDITIVKWAVKLVADRACQLSAV ALAAVIQHTGNDKTVEGEENKKIDIGVDGSVAEFVPRFNERLLETITLLLGKETAERV SIGLAKDGSGVGAALTALQARKAIEKRSDRSSHYLPGSRGPR L198_02181 MDSVAYGLLTRPVLKAVFPSENPISSHTPASPVSTGATSPSYTH SPTAAGPPVLHPAIEPPRAREQAPLDFPEPTLRQDIEPLAPAPEQPSPIQAPVSKDEP VESPAIEQPTEQATHTNMAVVAPQPQLDHDSALANQASGLDLNDRPVSRATQRTAPKT YHGGPGYGPWSAQSEQEPLQAQRTGPQFAGSQYGERELQNGYGGDNQVALGSALAADP SEFREEGYEEEKQQRPAFNQTPSRSYVNAVPIVTNYEPQLPAALAGNRRSVAGSTKAP SVKRASSRAGSIDGTSPVKSQSKRASRAGSVRSSKGGFNNNGVNNFAAVDHHSNGGGY EEPHAAQNISRPLSRAASTRSNRRNNFGPEAGAAGVGATAGGLYVGEYVSQEKQQQLQ GANGGPRQYQDGGHQNRTTFQEPQQQYYQQQPLPGRALSPTPRPHSAYGNRGLGAINE GQNDGYANGRESRAEGHLGRSGTVLSRAGTLEERNGTLTRSGTVGSRRGAFGKGTGTS IGTQPEEVLARDDIHTRAELSERVLDEATLRRLSTMEKKDAKRLAKVIKKEAKEEAKS VQASIKELERMVKLQKEAASAERKSQLSLSKWTAREHKARLRFLKEKERYEKLEGELR NAENDYEERRDHAAGLTAQVAEKTSDLDLLRGQKAADDREREVKLLALKNPAHS L198_02182 MDIDVDRWSEDERMEEEAPSGEWTGEPQEGNDGDETMGEDGAVV VEEEVFEEEIDMDVPTPKPDAFQARPGEMDVVAPAPDGEVVHGHSHTPELEMRPASPA PAAEGSLPPPLTHELEMRAASPAPDASVVVPTAESYENAGVDPVIETEAQDEGDDSLS GGLPEGEGDDRVVDAQPPLESESTALDAGMPPSIEETVPASDAPGHTEERHLNVGSHG DEEEEYDEGDEEEPITADNLPSILLHLPPLASSPTGPAVRALFSPIESDPGQLAVWLK DRQLELAEASLYDVWAAIRAECAKEGLIQGAGDGMIITEKAMDLKMAEDDVNIQSITF LEFVILYHGCELAEPVQLYLTWEPSRFITRFNAIQSELEMMRSKSDSSDIGNGDEYEE DQEVYEEGAEYEENPEYDHEETEPQEGEEGQEASPAKPKADDKAQYADSTPGDDSKAL ERAHPNWADARAQPTEELYYQGTTDHERQVVYPAPERVAERSTSPKPPPKEIAEAGAD DEHYDEAPAENEEYDEDAAGEAGEEWAEEEETDHQEPAARMEPSTTVESSEQTLLTAE DPSVPTREDTVPKDEIALLRPEHDRIMRQETPLVSGISTPLVSLPPSTPDATVPLPSG INTPAEASSLTPSEVDQAKTKARDILAPAKASAIPEESEVGSDEAEKLVNEEIKSTEP LPDGESGFVPPEVSTDDVIDLTADDDYEDEEYNEDDVYEEETEEGYEQGEEGEYVEEE GYATNEETLDDDEERTAPEEDTRAAAGDEATTLLKRSHHDDEDGESKRTKRE L198_02183 MSFRASLLRARVPAAPLARAPLSTFARLPAPSLKAAPALSRSFA TSPVSRLQVSQPLRNGGTEEAPHSGINANRSVRMDT L198_02184 MHPNLRTLAGPCLPPLIRLGPHSIRNLSTTARPTLTQPRHLSTS TPLLPRHASPTTPLLAPPQSRGIAVTAMAPDGAMFSYPPPSPGEDFNVVMVGAGNIMF GSDEGPWNHSFRFEHKLGPRLKVTALIDPSIGRSEAVLDGKRQSFVESAYKDTKVFRT IEDYHADLRAQNQYDPHAIVVGCPPAYRGGTTKGTDLEIQLIKLFPKTAFFIEKPVGT GTVEAAKAVTQKLIDNGNIVSVGYMLRYLRCVQKMKQIIHDNNLTVMATNARYSCAYE AIAKPAWWNKAIDMGPVIEQGTHFCDLSRYFGGDVDIDTVVARSVEWYEDPGKLSKIP IDESKIDEELRIPRLTSAIWKYDNGACGSFQHAVALQGHDYSCELEVWADGFHMRLVD PYNSPALYVRRPGDDHEERHHFTDDDPFFSEVSSFIDAVEGGPDPHILSSFEDATKSY ELTWAIRLAAEASKKIKA L198_02185 MPEEDSGGVQMAKPSSLRGRSDILTNNTSSERVEAPVTLKAYLL CVFASFGGIFFGFDSGYMNGVLGMDYFINMMTGLPIPGPDASASEVAAFTLPAWKKSL ITSILSAGTFFGAIIAGDLAEFFGRRITIVAGCGVFIVGCVLQTASTSLGLLVAGRLI SGFGVGFISAIIILYMSEIAPRKVRGAIVSGYQFCITIGLLLASCVCYATQNRTDSGS YRIPIAIQFAWALILGTGLMLLPESPRWYVRKGRVEEATKALSRVRGQPVESDYIRDE ITEIVANHEYETELIPNQTYLGSWAACFSGGIRNPQSNLRRTILGITLQMMQQWTGVN FIFYFGTTFFTDLGTISNPFLISLITTLVNVCSTPISFYTIERFGRRPLLIWGALGML ICEFIVGIIGVAKPGDSTVVKAQISFICIYISLFASTWGPGAWVCIGEIFPIPIRSRG VGLSTASNWFWNCIIAVITPYMVSTDQGNLGSKVFFIWGSTCVICFVYAYFFVWETKG LTLEQVDRMMEECGTPRRSPGWKPHTTFAAEILGAHEHGGLEKTGSVEQHEKAPAPAH VV L198_02186 MSDPQPSTSNPAEIVHQLHNIDAIQQDLADTAAAVENTGAQGHP EGASDESSQAVLLDNAEAMESALESAIPAAPASASESAASEAMGETRGDTSPHVAVTI PEESVPATAGIVDESVQSEPAQPEPIVAEAEPTPLSTLAPGVSGEPVTVVVDDLAPSN PAGATSSTANTHVPEATPSQLVPETPLVSQSTPTVSTPVEAPPIVAPEQSQPASWTAY EDPVTNTPLPEGLTESSPSVRQNAELVYSWRTDSQQSDTVLALFNWSIQRTEVEDARA WYKVLAVDNPTASQPLLALINLELALSNFPQVEEIFASTLKGDAGINAPIDVGIWAAY LHYIRRQNPILDGAPNNEQVRDTIAKAYDFALRECGNDRESGEIWDEYIKFVASGPSS NQWDTQAKTDNLRRIYQTAVRIPLNNIEALWKGYDAFETAQNKLTAKKFLAERSPAYM TARTALRELRTLTDRIPKPVIPARLSFTEQDRQAINAWKAYLKWEESNPLVIEDQEIL QSRIEYALNKSLGEMRHFSEIWQYATAYYLKQNEKDKAIELVKAGVEACPKSFLLTFA YAELEEERKEYATCHALYSNLISKLNPEVDQLRKEIVKEVEIARGPPIPNAEKAQSAA AAGDVDMDGNDLQEIQKLVDERENRGRLVAERRGRDVDELMIGISVVWNMYMRFARRA EGIKSARAVFGKARKSPHLTWHVFEASAMVEYHSNKDSAVAIRIFELGLKLFAEDVDY VVQYLKYLISINDESNARALFGRSITKIAADKARPLYDAWAQYEYMYGDLPAAKKLEE SLQEVYPNDAPLKRFAQRWCYNGIDEIAIRDLGFSQNRTSRASTQPRPAPHIAPAVDL APAPPAPILAPALQESYKRPAPEDLARHDSGDYASRSPKRHRGQSPRREPPIDRDDRG PLGRYSREPSVSSKLPPAGPLNGLGSVAPAYGSPVVPSVASDKDRSGLGPVFRPDDMV KVFNSVTFPGASAAPQAAPIPRGPPPPVTGRGYYDDRDRRYGGPPRGRY L198_02187 MAHALPHQIRALALHPAPPPIAQVTAHIDTHFPDIASLAPRPPA HKRRKRAIADEIQHWENKQSRSQTQLDETTTSLPSLLESTQESLQRLLQSAQELSLQR YNLSDKLSGLVASISDVEQTGEDRQTVLGQLEALQLELSSLEAGLTWVRLLERAVKLS ESVLDSSNHKPSPLAAVSFYRELDELAYNMQSSLPSEMGLVATVIQVRDQTWDGLKEI MSQNLIAASAAIGWPRKVIYEDVPADKRRSFESAYQDLLYLQAEKEDLDADTTGRPPH WSSGDGLYPLQALILPIEQRFKYHFMGSKGTNRVDKPEWAFANILDQIFTHQAFVATY LQPLTAQAGYEVSVSSEFTLLLLPLVLSLLKARIPHILDHPALLAHTVYQTVVFDEAV KDGGFALEDTSIYEGKEGPQWEGLTGVILRESDWFERWLIGEKNFAYNRLQEIISSPG AWVISDETSDEEDAQSSLHPTVSARQTKSLIAQIIDRFTPLPDLTYRLPFFMTVQMPL LITYHARVSSSLDAFETISSAFVRAVPGALAGNTRSGLNIDQKALTSGKAGAERLCKA YLSAEWISEALRQWSDSVFFIELSSDLQKSTALKWKVQSDPLIPQALKTPAIPASDDH VSGSIFEVFIDRYSQLSSRAEDMIVKLVTVEVENDLKQHLTRTWDNPPSTETSEPSPH LIAALTTYTSHLSALLPLLPPIASSRVYRRIVHDLSRHILQRGVYSGWSKFSEQGGRD FQSEVKEWQEATAEAFSRYEREGKGGVDIPFQLPWVKLEQVAVILSLPTSSSTEGDVP TFPQAMAAAWANDASAQAFGQRVGVQTDRTELQALLRRRMECWK L198_02188 MVQESGKNLWVAASDGDLERVQYLIENEGCTPNDKDSNSYTPMH AAASYAHIPLLTYLLTSGGNPNITDDDNETPLFVVESLEVAQFLVGNGADPAWKNSEG VTAGEQLEEEAPEVAAYLNGLTGLGGQIDEAAEVGDTSGDISQLALDNFTATQTSALM EEAQRIMEQCAAEGVEPDERLREVVERAVRDGMAFGQATGEGEEVEAASQGDSSSKRA REE L198_02189 MDSMHPDPTPGIPPSLPDLKTQVARLEASHKEKGLPLSGRIIHV MHHLPVEIVRVVPADALEAAGSGVLSPPMTPEFKPEDAETTVESAKWRIHARTAHPAL VSGIKSLSDTHDQVLVAWTGEVLIQPDSTASSQAPSNKGTFPSIADTLAAPFSGATEA PPPPQDGPLMVFGGEFNDTDRKELKSELDRFSQAESGKDTSEKLQYIPVFLPPDVSKG HYEGFCKKTLWPLFHYLLWLDSTATVPSPDPSWLAYEKTNQTFAHAVAEVYRPGDLII CHDYHLLLAPKMIREALGQPTEIMIGMFMHTPWPSSEIFRCLPKRKEILDGMLGANLV SFQTYSYSRHFVSTCIRVCGYESTPGGVDANGQVTAVGYCPIGIDIKRVIHDRDQAGV IPKMQALRALYKDKKIIVGREKLDVAKGVYNKLQAFEKFLQVYPEWRNKVVLIQVTTP ALSESPKLERMTAELVSQINGTYGSLDFTPVHHYHQALEKDEYFGLLSVADLALITSL RDGMNTTSMEFILCQDKTAKSPLVLSEFMGTAPSFASALQINPHDLLGVAHAINKGLG MGEQEKVERHANLLEGVLGHTSHTWAATILKQLLENVGGEHTAHQTPALDLAKFVDGY KKAKKRLLLFDYDGTLTPIVKVPAHAVPTEQTRNAIAALAEDPKNVVYLISGRDGDFL EEHWGHLERLGMSAEHGSFVKQPGEDGFINMTESLDMSWMSEVEEIFKYYTEASLLAS TTGSTIEVKKASITWHYRNSDPDFGDFQCKQCLDLLESSLAPRRPIEVLVGKKNLEVR PLAVNKGEIVRRLMYENPDADLIFCAGDDKTDEDMFRALRTIFPPGGIVDNKVVMKPP VAVTSTLEPEDVAELPDVELSIRPNEVFAIAVGPPAKKTLANWHVTCPEEVVEALETI LDENVIGISSV L198_02190 MKENKAAENALGTIGAVFWMVQIAPQIVKSHREKTTKGLSASLM FIWGLASLFLGSYNVVQELSIPLQVQPQAFGVLAAISWCQCLHYERGYSKKSVWAIFI VFCCIFAGFEAGSVFALRAGLRNGTEWPITMYGYITAVLLAVALLPQYWEIYRFREVI GISLMFMAVDILGGIFSFASLFFRTDLDVAAFVSYVLVVILDGIVVLLYFILNPIARR RRRAEGTEGERVGDPEAGWGSTSANSTEPTLVGHGMVVDTDVEKEQEGQPREPPRE L198_02191 MPADQPDNQQTTPPRGASGLGRSPSIFSYQTRLLGQTANGRQTP PPATSIANSPAYTSLASIAGRDKASPPSTPIRRAVAKMVIDSDSTPPAKTPRPSVGHA RSAKSVDLVRGQWEAKIAGVPEPSRPPSRSSRLGGTLVPAAPAPVLTTTESPVDEKST MPPPPVPNVEGGSSRFGSTYMARRAAKRATVDGVSAFDPTRIPSAASTASASTSDRPA SPSITSSTSLLTPNATGDSLAPSAKGQSVEERLAIAKANALKRRQAREQAKAGSAQSV ASLDVAQPAAKTPETKQSETIASGADVQSVTETRPKDLGDGHETSRLSKATETDAKKE ERDVKQAAKPSPSTPLASKIASSPFAQLFTPPSRPATQSETPTSSTSSNPQSSLRSIA TAPSTNRYVPSGLSVASAPPSSFEQPKNSGGKYGSISKSDNRRLGRHLPRIASGEKGW EDDQASKHAKGPSEGRRVPSTLGRKSVTADSEEENKPPVTKADKKPEASSVAPSPKTS ISRATRPPDIDILGSSEVENRSSETRPTATPTKRRSQFMPYTPKSTIPDFSGPKSPRV ELSGADMKGLMSAVGSLPARGDAKDEEDGVTGMSNRLRLTKSRLPPSASSASVAPAPL PSKRLMQTNWMDRNRFALGSYEYLCHVGEAQQWIEGCLDEELQFGVTELEDGLRDGVV LAKLARVYEGEGVVKKIWMESKHRYKQSDNINYFLNFVRNVGMPETFIFELTDLYDKK NIPKVIFCIHILSHLLARLGRAERIGDLVGQFEFTNEQIEATQKGLKGVAMPNFGDVR NTLAKEASWVPEEPEETEEEIRDRLLLECEDSIVSLQSYLRGHLARKRASRVQAQLEL ADPITRRLQARARGTLCRRALLAQLDERKPLQEMTGSIQAAARGKMVKKKWQARLGEV RSVERNILAVQAQLRGVLVRSRKRGEAKRLRQTGRAVVGLQAHCRGCMTRWDRKLHQA TVALPHVVESIESLQALLRGRLHRQNAARQQRVIYSQVPTFTSLQSQLRGALVRRKIR AQEQKMDDASTYVVAIQAVSRGVLARWKKRAFTQVLQQSAPSTISFQTMARARLAKQT HKSMQKALAKVEVAGSVGGLQAFLRTRLAKKQTTEQKKKLEFVQPDVIGFQAIARGYL AREDYQFWREYLHDGRTIGALIFLQSLIRGFNARRRLYIRTSYVHQNVDKIVKVQALW RGRQQRLSYERMITGVDVDVPTIQSYMHLLDDTESDFADQVRIEALRGQVVDLIRENQ NLETEVKDLDTKIALIINNQMTFQELARAKRRTEQATYHAPNNDPFSGGVHLDRTNQR KLELYEQLFFMLQTKPEYISRLLRVLAKGDGSSEKEMRLLEGVTMILFAFGHERREEY LFHKLLQLAVHEEILRAHTLHDLVNSRFPILPITTQYTKTSLTPFIHDVVAPHIMRIV SAPELDLCSDPVKIYMDIINAEETQNGVPSALPRDRNADQILQEHAMTRALFIRNLQE LRNLTDLLLGDILQAHAKLPYTIRLLAREALLALQTKFPDASDDDLVPVVAKTVILPF LLPAIIAPEQFGMAPEGVGAVERRNLSELANLLTHVAGQQYTNTPDQRLLRTPLEAFI TAMAMPFREWVLDVADVEHAEGHFHAHELFESTVEAKPIKITRSDIYGTLSILVHNQD YITLGNKKDPIISILEELEGPPIEYDRGKNTVNLRLTNRLAGPQPGDPDAAAKADWIQ AKRHVLAVLRVQSGKTLFDVLVSSPEEVHEQMWIKEVHRDIALETARKAKHGLPPTPV EAEYQIESIRSMPFHEVKSRAIEFCMKLERSGRLSREDNLQGLLVSIASDIRQKHHLR RIRKDNLAGMVKAHEDMSKKKAEFESQVKAYHDYIDGAMAELQAKGKKKPTFMSKQYR HQMSQRRQGKQAKFGSYKYTASDLYEKRILLSVNQISPRQFDKLFIVISSNEVGVFDC QLLYPSSPTAPGGLLGEDQIRMEDLLGAQYENKERLDMFDGQAAFSLNMLIHQVNKSE LCVSSPV L198_02192 MGKHDKKTGKGRLDKYYRLAKEQGYRARSAFKLVHLNRKYDLLS KAKCCIDLCAAPGGWLQVAERYMPKGSLILGVDLNAIKPLPHVTTFVSDITTPHCRQT LRQHMHDWKADLVLHDGAPNVGSAWVQDAFTQNELVLQSLKLATEFLAKGGTFVTKVF RSQDYNSLMWVFGQLFKTVEATKPPSSRNVSAEIFVVCRDFISPKHIDPKFLDPKHVF KDYTSLPTSIIEPAAASSGPSVAATSSSTVSAAAAAARLAANNHAHSNVYAPEKKRRH REGYAEGDYTLHHTASAADFVKGQDPVLLLGGMNKVEFLTDEEKGWLKSRHTTPDILA NCSDLKVLGKGDFKALMKWRLALRLEIGLDVKADKTADATEEVVVEPMDEEEQISEEL QRLRDAKSAKTKREKKRANEKKAKDLLKLQLNMTAPEDLDTHDLALQGEEEIFDLEEN ENEARRQGKKAGLASLAENAGMDMQSESESEQEEEEEEELLDSDEERERKTAALEGQL DGLYETYVEQKQERDAKWKVKQDRAKDKNYDAWHGIQEKSDEEGSEAEHEEDEEGGWD IVRNKRAKYGEGDSSDSDSDEEAVPAKKARKSVQFEQPSRPAGSGGLMTNLQEAELRS QRSRQAQVWFDQPMFKDVGDLAALDAEEDDESEEYGEEEDEDESDDEDVEMEDDASTL EGDDFEIVPQEAEDLEPEWDVDDEDQDEVKKKIIQDKGLLTAEAVTLATQLVNRQTTA DKLMDQGFNRLSTQHKDGLPVWFLDDEGKHYKPNIPITKEAADALKARQRALDARPIK KVAEAKGRKKMKTAARLDKARKKADGVMESEEIGDGEKARQVRRMLAKAAKGKEKAKD RKLVVAKGLNKGVKGRPKGVKGKYKIVDARMRKEMRADKRIKKANKKR L198_02194 MESSQLPPQNPHPAPNNGHFTIEPKHLETLARLALSSGINPFAR PHMDNPDPTNEYMYQVPQGQGNRKSMSEGGRPGGDKYAAPLDLDALTNRLESLNDRLD ALQPQSYSHYQQANPQVPFVPPGTDHIPPHKTRPSHNLPAKPPHTEAEPQAYSAAQRT NVSTSFLDPNDPDPDQVYPTYPSVTELAPFDSVSMYRSADEPSTTSGPTLRRGGTNID GQEEYYRETGGYERAADRWSQSQWSNDNITQGSPHTVRRFEEEMTIGPTSIRTRGDLG RDMYEMRNRLLDAEAHRDQAAMTTIRRQISEAEALATASARLEAAEKQLRELQAKLIA EQVARTQIEHEAGLRSDEVKNYQNEWASAVRALKRAREEGRKTDEEKKRVQRCFEEAR DKLWKYHEALRVREARAQGKEEGRTEAWQEAERWMGGAPPIPGIDPIASVPGAVLHQT PMADLANLLSPALKSPTANNFRGSQQHGQQQKQFPPQQQQQQAAQPPAPPMENIAQVM EYFARNPSAFPQFQQFSNGSGSYPNQPTSPQQVLNGIQHNASQQGAPQQYASQAPQNG TQQYASQQGMQSYPQQHQGQGQGAAAQFMGKTPTPPQAQVLHQQGFPPGQLQGNIQGQ HQTPMGQPPAHLRLPTQQHPQLNSTRSAAQQLPRNNAPTAISTAVHVPMPEYSVNPHV ADSYLDKVEHDPELKRMMSGARSKTVHTSAVPSTDGRYGLQPPSASRSHFDDSMSNLD KPLPPVSMANSKVSRAQSTRGPARGPSTVGSRRPNRRMSLSDGGQNYEHHHQRPMSQI PDGDRYPVFPLPGQNIQGGQKGYGQTASRDASDYQSNNTDRLNRGPSVSTRSRMADAL RGDMEIEQGIPEVDERQYNHQGQIPPPMQMQNGGMRPPPSGHQRSQSGPPRPPPSMPN MRHRITTVMPQPLSSHQPRARSDFGPGEQPKSIAALYHDRDIDPAARANDRMPPPDSQ YHPPRSHDMFVPPQLAPRAVSGGVEDVQGPRTSALGLSLGGGASERAGSADDSSRMRA PTSSRSRSNNPVSTVYPPSPEAQQPPVNTHPGPIRLDTPPGEHHDNVIEFAQSPSRVP FSHHSADARQPHDYPLPPSRSAAPTAYSRAPSSRSHAPSRSQPQTPHQHLQPHEVPLP GSRSLAPTAYSLTPDDTPPDSADVKTVDFAMRLPLPSGKGTAYDTRTTVSDEPEPDFA KTSRASSRQARRKPSPSNGGQSHRGNGSNVGKGASTDPRMYPVPSSKSRGKASTYAAS VAPIEELTEPESASLQKQSRAPYIVV L198_02195 MPIAQRPPTDTPHTPPRRVPRPLAPPGQPPSPDHPRGQVPPPAP CSPYGRTLAEAYADAHSHPHPSTSRTPSHGAWRPDGHAREKKPIFDWITRKLTTARRT TVSSHSTQDAAGERREKRSRLASMPRARGLGLSNTPSHDSQRKREISMPAMTRADTLP SVSFSLDSAANTVEHDRRREANNPYPSLPLSLAAGHNRHNRHLDAGAEDTTGMSMFSG TVSLSGEGSMRETEEEGGNYSRRSSRSAPFERDTHYSTVSGHGGSDWPADDDASLRPF PPSARGSPTQSSFRSPSTSVLYHKRLRASTLYSASSDGADLEGGRDNEDGDAEDGDES SGRGVGRRRISRDGSTSTKPTTCISYDSGLGVAHIAHASTPPAAPSTASHTTPVFPII SPPVSPQPPPIPNDPLVHAPTHTPHHPSYNPHPSSSPDPNASTLTLASSSFALPAPPG QRRSDRPSSLVTSPSIITWAEPTSAPLSPPYPATGDRPLSVRTSNSYAQSLGTGGGHL SLHAPSISMSLRGLRGIGGAGPSGGFDGRADGDASVRAVRRKGSWESNESGWSWRGAA AAAVAQGQGPASVTERDGTELERVLESPRVIDVK L198_02196 MNNDTATDKYSVILPTYNERKNLPVIVWLLARTFESASINWEVI VVDDASPDGTQEVARQLAGIYGEDKVVLKPRAGKLVDRTAYVHGLNFCTGNFVIIMDA DFSHHPKFIVEFIKQCRLQKLHNLDIVTGTRYSDHASPKPTAQSPSIGLGPGGVHGWD LKRKLVSRGANYLADTVLTPGVSDLTGSFRLYRVHVIKDIISRCTSKGYVFQMEIIVR ARALGYTVGEVPITFVDRIYGESKLSGNEIVGYAKGVASLWWYV L198_02197 MSSNLVPVVIPYPDLAHPHDPSLRERLRQALGSHRGALGIVVIS ELPGDFPALREKLFRLAQELATLPVHEKQKLERPDTSYMFGWSHGKEVMNGRPDTQKG SYYANPLLDQPDVSERLRQDHPEYYAGNVWPNGIQRLEEFEKTFKSLGRIIFEAGLLL AKACDKFVVEPSDRHGTISSLIQHSKSNKARLLHYYPQDQEAGSSDCESTLGNDALCG THLDHSLLTGLCSAMYLDAADPSKVVPSPSDTTGLWIYPRESDTAVKVSIPEQCLAFQ TGEALSLLTSQRLAATPHFVSGSTASTTPVSRETFAFFLQPDVDDVIGEDGETFGAFT KRVLGRHYQGDVAAEAED L198_02198 MAMVPHSQTDLVYLPDHGFVGEEGALRILPQITRQIHRINVSRN PLGLAGITILFKGLTTLRARHSSPELGLGIWGLKDINFATTDAEDEALDCVLSYAKKD GFLRAVYMQGNEIRLEKAVESIALSINMSRLDMLSLTNNTNISTEGLTKLLRLLDSPH LRELHLAACRLPPSMAPILADFIRSPRSRNITHLLLHGNQLGGAGVTQIIDAIEESNF SLIEAGLLANASPPSDAPDQAVKTKDEASLLEHQVHVRLVEILKRNRLLTKRVRRAAS RMIAPARIILNARPLSDAETARNVVSAFSASNPEAYNAFRLLDLPEDVIQDVVRHTSG DPGALSQGQYTRLRLEASDKKALKTATEARNRMLRGKSVYDEKGALEQEQVRAEWLKK GKWDKWERD L198_02199 MEGQIDNAKKFLNSDEGKGVKEQLFGGSSGNNQNASYDAQGNKG AEGQFGQQGQFGAVTGGGFGGGLAKNAQGEHTNQGQRDSPYEGIHNDDSTSSGGYGQN AQGGAFSRQNQLGADNHNNYNPGGVSDDNEGSKTGYSTPGYRREDEDEEQLRARNQNV YGQQSDERGSKDNDYHEI L198_02200 MSPLSPALPIIDPAPYLSTSSGPAQVSAKAATAKAIHEACRDIG FFYIRVPDDFLSDHETKEVLELGREFFHRPEEEKMRIRLEESDNVRGYQKLHQNVTQG KADHHEGLDLYAPSPYPATSSNNGLALRPLEGPNQWPTNPPSFKPRMEEWIDKMKVLG LAVMHAMADGLDMDEAEWKELEGCVDDSFWVMRVIGYPPLPQGMDGISCGEHKDYGCL TLLHADPIPDSLQVLSKSGEWISANPLPGCLVVNIGEMWQIWTGGLYPATLHRVVHKS PTYRVSVPFFFEPNFDCRVKFLEAAKIKIAKEGREAEPVEEVVYGDFLLGKVSGNFKY L198_02201 MLAPMGLHAHLRQQLFKSRLRPVVQGGVRVPSLPLGPLQCRIAP RCLHTAAPPRLPRPTLRSQGFRYLTGDSSQPPASPTPSSSTTKVSPSQTPETTASEVK PPRPSILARLTSNVSLTPEEGESGKDTGNSNIRKLMSLAKPEQKDLTIAIGLLLVSSA VSMLVPLTIGKLIDFFSTHASTFLGLSFPVAAGILAVTFCVGAAANAGRAIIMRTSGQ RIIARIRNQAYFATLRQEPEFADRSAGDIVSRLSVDTNILGDSVTSNLSDGLRALVSA TAGVAAMFWISAKLTFVMLCVVPPVSLGAVFYGRYLRKLSNKTQEAVGDMSKIAEEKL NAFKTVAAYNSQSLEANLFAGKVDSIFQLAKKEAYMTGIFWGLTGLTGNLAMLCLLGY GGHLVSIAEITVGDLTSLLMYSAYVGGSVSGLTGFFTGLMRGVGAGGRVFWLLDRQSR IPLDVGTRLPLTRNGPIVFENVKFRYPSRKEVQVLKGIDMTVEPGTSVALVGSSGSGK SSVQALISRFYDPEEGRITFDGTDIKEFTPESWRSRIGVVFQDPILFAGTVHENIAYG SPDISREDVEEAARAANCDFIWSLPDGFDTMIGKGSLSGGQRQRISIARALVRNPSIL LLDEATSALDSASENAVNAAIDDIIRHRNITVILAAHRLSSIARAEKIVVLENGVVSE TGRYDVLSRKEGSRFRTLMAAQLLVEKNSEAIPEQEESAEKSQLEEALVVEKRE L198_02202 MSTKFILTAQKDTLPPAPALSLLPFSLGPTNAPYKATDANISGY FCPRPIAAPVGNQSEGQDAHAAQNLQATFRGRQLVGQELALPPSYRGVILSTTLPPNK GGTEDHSASHHTPLTPAASTASVAPTEVSAEGEEKPVTGESSSRHQRKSVLAKIKGAG QIALSRPRITRSKRPEPKKRVRLDSDDEDELEVPVEEPKEAKRPRISPSTPGKPLDVP EITIEEPTPLKASTSTTPSSLRQLRSGTPTASPRPSSVIEGELPSLTEEGEEGDEGAG DGADAVSVEVQEVEDQKEDMEEQVIPSPATEDALPTTPTFNVPPPPIESPDKEAARLA TATEEAVKEEHEDVEMKEEADAEEAYGGPVRLLRPTATFDRFVLYTGDAPLAGFRADE LEASSAPTEPPAESPESSAPAPAGESTIDGGIQVRPSWWRLGGSGEGGDEFVRGMGEW LGLVESMNKPVYLDGIEDDDDE L198_02203 MLPTPLLFSLLAFLAFLLSVVASPEPAALIPFPQYTHRPAQPSP TQAPQVVINHDVHPLVLVSTVDGALHAIDRQTGTEKWVLDEGDPLVGGKMRGRDDEKY IVEPLSGSLYVHEEIEGEVKMRQLPLGVEQLIALSPFAFPDAPTQIFTGSKQTSLMSI NLLTGEQVDCFPSAALNLSHHSAAVCENDELDDLERANALPVRDTLFVGRTDYRLTIH SPSPSAQGLSTYTSTVYSSSEKKGGPGVQEIRYSTYTPNSYNRPLADTWLKASVDHQS WGPDREEPRTRVELGFDGKALGVKPGAGIIWNKELGNVGIGVYEILLPRDSPHGAPVL VPQPPAHLPALFPEHGDARAFNIHRAPPSTYIATLPEHLALPTPQTNLTASDGKSVGD ALHFALSSSSYPLINFARPARPGHLSDGLFFLAEDGDSSAEAHKSMLPFLIDAPKEHG LIDGPADVRTLEAGGIRQKVERAWWKWVLLFGMAVTILGAGIVNFARYRGGRVGVGEV DEKTPLLVVNEDKSKTEEVIPSITIQESTPSPAVPPAALPPTDTQPLAPPQKAGKKST RRRVRGKKKRRDSNPILEEGEEGEFDEDGDGRESGRSSKTGGKPLPDLPRELSSTDLL DYQDKERLAISDQIIGESFLSIGFGSHGTVVLKGTWGGRPVAVKRLLSDFTRLASQEV KLLQASDDHPNVIRYYCQEKRDNFLYIALDLCQASLADLIESPEKHKELAAQLDRKKA LMEVTRGVKHLHGMKIIHRDIKPQNVLVSQTPSGLRILVSDFGLARRLDQDQSSFAPT ANNLAGSLGWRAPECIRGVVRLNEGFDQTASGTSSGGTVTAEGEACSSSRSRLTKAVD LFALGCLYFWILLSGEHPFGETYNRESNIVKGEAVNIDMLDILGEEREEAKDLIGRML SMEPGERPETAECLTHPLFWAAGKRLAFLCDASDRFEIILDPTDPSHPECALHLLEDT AQQVVGKDWYSRLDKVFTSSLGKYRKYKGGSVRDLLRALRNKKHHYQDLDAHTQAHMG SLPSGFLLYFTSRYPRLVMHVYGAIRDSGLGGESMFEGYFADP L198_02204 MPIYPHDDISTYPPLNGVVLIDTSEPEPPPAQNPADVANVLRRN QACRQCRRRKLKCDAARPHCATCIRSYRHLLKTSPRSNPILCCDYDDGAPAQSAEDET NKSLNQSTGQAAPTTSFDNNADDEGTQGNGGSKKKRKASGEGKRKKREDEFQEEKDRL NKKIQELQSQLSKKSNGTQQPPSLPTSRGWSGSSSLFANQDQSAPSPNTFLDMFTTGP AAQPAAGSQTTSNTMWSNPTSLDSGSLAQTAAGLVNLGQSLDFSLGPSPPNQMGSHRS SGQNDTPDLTESSSGQNTLSPGDLFNFPSPDTTSAARTHAPGEVPYPFMDPVALDPDV HVVSQGPKSLWQGAPSGPGDSASFTASSNTNNGSTAAADVSMGGEINMDGLQASLDAA MQQQLLMDMFWPGWPAKLPEPNIVNELVDAFDTVPNLPRMMHRARFLSRLALPPTHAN FPHPSLIHAICASAAAWCDPNVYEKSTRDKQWANYGMDGSGLWGPEGQPTKKALSFAA RQTLFAKEAVQEGLNTGNRLFDVVRAMIILCRVFIDDTRMLECWAYGGLVARMILPLG LNVRSAELSLKSVMLPPAQDALEREERRAAMWMAFYHDTIASAASGWGTSISLEELTV PLPVSKKDFDTGNEYMKPNPQDVESPDLFLTHPVPDSYVMMTKASILMNRTNRFVRRW KNRHLKPNDDLEGMERPEFKELANSIACFQMSFPPSLRNFSKVGPKKHLDIDLVAAHM LPFAATMCLYEPFADLDDPSDQPTRRLVVATQGIVGIVQQLASAAGGEGDKFASIMHS SASVCFVTTARTSLLLMRHAMNNNDAVSAESHRMDCEMVRLALAQFGTRFKIGQHHAQ LIEYFLDRACNPTFEKLSAHYPDHPRPGAPELTRESDFGWCISNALNVKRGFWRAVNP STSTSPPDSNSSSDLHSSANHSYPNFSQTQTYITVEQMEKEMLVQQGQHQVRTVSGDS QGATLKDISMESSPGESSTSGSGGQGGDEKQEYVLHKGLGHISSVGDFCSMTADGAPL PDILPRRPSEEVLAAVIESQQTQGLGDKVPSANRAFGGGFWIEPKTSS L198_02205 MANPLPSAPPRPITPTGTPPGTHTIDRADRETFHDSLPTPPPSR RPSFLSSLASLPFSFSPSNSIPSTPASTSTTHSRRESFNHGLGFGMTPASNASAVVDD HEDARGHAKKRSLSMLDRALPSGRDVPHLPPTAAHPDLPAAQPQAQALAATAPPTPGP NSGAGITRPKSTPHLPRNKQGFDTAAAEEGLGVQANVAGQGPPATTGGRRASDSGRRH FDPSRDPRLLGLI L198_02206 MSALRLPSLHALRAHRPLPVSRSVALRSMASRHGNDPEVLQKEK ARNLRGQQESSAPHKEHAPGWNEHLAADQAGPSGKPGKELQDATVGHIDKHHHKEDGK AGHRTHDAPGPSPTDSEATVKADRGEA L198_02207 MGVQPEQFAQLKDIPAEEVATHNKQGDIWIIIDAVVYDLSKFAN LHPGGLGVLLDGDVVAGKDATTVFFSLHRQEVLLKPQYQRLIIGRVAGSTQSVSPPAI GAISTVPYGEPTWLTPQFKSPYYNDSHRKFQMVVRKFVEEEIKADAQACEESGKRASK EVLAAMARVNLNAMRLGPGKHLHGRSLFDGAFKGEEFDYFHELIITQELARTGARGYA DGLNAGMVIGLPPVLNFGQEPLRSKVVEEVFKGEKVISLAISEAFAGSDVAGLRTTAT KQGDGWVINGTKKWISGGVHSDYFTVGCRTEGGLTVFLVPRTENVTTKQIKTSYSTAA GTAYITFDNVYVPNENMLGPENGGLLVILSNFNHERWVMCCGSARISRIIVEECLKWA SQRRVFGKPLISQPVIRAKLASMIAKVEATQAWLEAVTFQMCNMNYKQQSKNLAGQIA FLKMQSTRFAGEIADDAVNIFGGRGLTKTGMGRLVEQFQRTQKFDAILGGAEEVLGDL GVRQAMRQMPKDVRL L198_02208 MPPLQFWKPGTAAPGSSLDREAEGDGSLLPINVSQNVGLSIDSQ RKRLPIFKHKEKLLWCVEKYRVVIVVGQTGCGKSTQIPQYLREAGWTNQNYVVACTQP RRVAATSVATRVAEEVGSVLGDEVGYTIRFEDLTNPARTKIKYMTDGMLFRETMMDPL LSKYSVIMVDEAHERGAYTDLLLGLLKKVMKKRPELRVIISSATIDAEDFLEYFNSNA DGADRSQDDAIVVSLEGRMFPVEVCYLKEPCSDYTEAAVQTEPAGDILVFLTGREEID QVLQEVADRLQSLPKAAPKLLALPLYATLPPEEQSLIFDPPPRDTRKVIFSTNIAEAS VTIDGIKYVVDSGFVKLKTYNPRTCMDVLTTTPCSLASANQRAGRAGRTSPGKCFRLY PSTLLPTNNPSSPMPVTTPPELVRSDISLYLLQLKALGIDNLAKFDFMSPPPSEMMIR ALEFLFCLKAIDDEGRLARPIGERMAEVPLDPMMAAILLNSQEFQCGEEILSIAAMTS NVFITAEGGTKATMAELERRKFTAEEGDHLTLLNAYNAFTRYGQNNKSWCGNHRLNHK ALSRAMSIRKQLKKYLDRFGIKVQSCEGDAVRLRKCLVSGYFKNAARMSPDGTFRAAR SNAILHVHPSSVLFTRQPSTGWVIYHEVLETTKSFMRDLTVIDEDWLVELAPHFYKFK GGGLKQHF L198_02209 MLSAVTKRWTQAREQPRWRLTLLGRAVALISGELLFNAACWVAA GICFKGSGVLGLALLAWTIGLRHGLDADHISAIDNATRQLVSQGQLPITCGLFFSLGH STIVIAVNIAIAIRRADCPVCVDVYDKLDKVGSVGGIVGASVSASFLFLVACLNIFFL IGAVKQRRAIKRRQALGLPPDEEDMDPTKIHGGGCLVRIISPILRAVDKPWKMYPVGI LFGFGFDTASSIALLAISAVAQRDNNGQSINHGKIVILPFLFTAGMSLVDSLDSILML YAYASPSRSTPEGKIALLQDPFDSKETINETNIETLPVETSDERERAESEELRASPRG EIERLEEEQETRYMGARDGGVEEEGIGGPSNFAEGAENERVIQAKANTMSSLSIILTL LSILVALSISLIEIMGLIGDNCTQCQEAADDPDGGGLAGSWWRAWARANDQSGYIGAA IVGCFVAILVGFYGAKWGAKKWKVRQEGKRAVMLPSTNEEEAHQ L198_02210 MSASSRFSLSSLPSPSPSLYSHSHSPSASTLAAANQNRRESARA SQPSSLQQQLFGSSTPAPLGPQNIQDRPLGKSKLAEVGLGAWSFLFAEVVAYSQSRVD SVSDLEARCVLLLLLYMMEPGVWLGCLRPVLIEGMHYRLSSLGYDAGQRILPLLLLRN TQSSGIKEPKREHRLIPILQFIHTQVYRYCFGKPADGLERSVEEENEYMITLNQPPLT QFISVPKDMSQLSCEAFTAGIVEGVLDGLEVPARVTAHTVPTDQFPQRTVILIKLDQK VMDREEALGK L198_02211 MGQANSSTKKLGRTSSKQLSPNDLADSLSRTAIADTDTRNIPKR SKAPGHEPRQSSSSSYGSNRPALNTQGSYDNTPSIRSTTSAGPSSPSSPSNIPTTKTI VAAPEKAGRKSSLIPGGGSPPPPSAMSISPSSGRSASPPPLGSSPATPGGSHISRDSA NSLLTPNGAALTQSISRSSVGPGGGVQVLDVDNMIQRLLEAGYSGKVTKSPPLKNAEI MSVCAAAREVFLSQPTLIELSPPVKIVGDVHGQYADLLRMFEMCGFPPAANYLFLGDY VDRGKQSLETILLLLCYKIKYPENFFLLRGNHECANVTRVYGFYDECKRRTNIKIWKT FIDVFNTLPIASIVASKIFCVHGGLSPSLKSMDDIRRIQRPTDVPDYGLLNDLVWSDP SDTAFDWEDNERGVSFCYGKSVINAFLATHDMDLICRAHMVVEDGYEFYNDRTLVTVF SAPNYCGEFDNFGAVMSVSEDLLCSFELLKPLDGAALKKEMTRSKRKSCVHLFLGCIS ILTRV L198_02212 MYIPKHIRMKKALKSTWPDYAVTGRKPELPLPAFPITSLLALKP VHTTILDALLFLSPRTYMLLSKEHYARTIPLSWRHVVLNMELIDAFAREESRERDNLQ YTVSIRIAKVSQIAALESIPSHSSKRRIFERVRQVELTTTAFKALWDRGEQNQLDLGS IEECLGNDLEELLLWINRVPKKTFALPQKAFDQLLGTVPTVVTFVLAIPNSHAPSWPD MLERFPALLRHWYPSQRLRIVFKIPSQMVSLVRDELENAIITHIAFRARDKAEWSAGV SGCRVEYQLDGVDRLRAKMRAVFGMSDSASTGMIEDFVGEQCELVELAPHAFPFRRSG ME L198_02213 MAGPPVMHHPDCLLEPAQYARRFLTALLGVPLATGDMKEGDTQG TLTLHFHENKDKDGNPSNRVFGVSSCHVLRKDTHNDYEQRGIFAPKEYVRMCSLRRFR HGLYEITDKITEHSFSARSLALQVAALQGKEGLDEEGARSLRWCLFRLGNEHEALTGL EALYHEIKSDWSDIKHADRTIGCIQHAKAVKVDQDGPCFTLDWAAFQVSEAKFGNQFE GNVATDDDKQGKRCFTVGKDGTTTGLKNGAGTESIELGIYDSGVRFARAFSGLGDSGA LVWHIRDGKTKIVRQIRSGQNKGGSTRNYITYSTLGSSRSESASSMPTFTVPAGLLEG GPGGFKILPPLPAPCSCAKLLDIQVTYMGDMGNI L198_02214 MPVAKKQGAVFGIYADSPARDPLPQPTRSSPRRPSNTARRALAS KPVAPTAAASSKSLASATSLKEKSLLDTSSSKPKSTKSSLQIFSDPEPSKPKSASGVT GVTKRRNAPTPSAPTQSLPLPLGGSKATKRTRDLLSPLPIFDDNSNTQREAARRPALR SKDTGLSPAKRGRTALSAAESSPAILSSSRAPSASLAPSSSRRKTPLISDQENAPPPR VFGELEGSPATRTRSKTRGFDFSITLSPLTFRDSPQHRRRSEVERLMGDMPGLRGAVD PGLRDVSEVTEHGSPKSGKTGATKTPRALGEPVDADGALADVSEAYGASGSAPMGFSS QGDE L198_02215 MYVDDTVDEYSWIDPSEVGSDRLRTTVPSKNLPSPPNEEASRQP GPSRHLSPEDSYNLLLLYQSTSPAFRLFSSYSPFASLSPGLQQQLGHAPHFDPEFWYE VVDKTFHDSLDSKEQNRQESWWEMVRSEKMYVEDLGVICDVLIHGLRTADPPIIEHSR LEPFINEVFSTSVLLYQSHLKILSRVLERQREQWPLMMSLTDIYLENFLELLDLYDAY MKNYPYAKARAEREAARNPKFALFLTSPSIPRKGINTFLMRPATRLPRVILILRALLH RTPLDHQDQEDIPTLIDILHRVVKSSEPGISSAEEKIGLWDVAERLMFKKGEIVVTSI TTIPEFPWLLTMNGGTIVAFNLRDMMTTVDPQTWVRQRRIDGVLLSRPGQHVAWMSVG KSKGRTLVAYAVYANTSHNTVFAFVEPFQPHPHLGTASTRFPSQPPFRLLSTLTVPGY ASSLTFFRATLAVITEKEITITEIGNPNLNTLPTTQGLGTLEEGAPLLKILSGGLRRG GGRPLGMWQTGDNEFILVYDWGACYVTKFGEISRSGTYLRWNGLPSQAVFQSPYLLLF GEFGGRAEVRDVVSGRMCEIIEERGLRLMKSTREGQTMCGWTATGLVQVVETVTL L198_02216 MGNAPSSPQSPASQPTAHHRPSSSSVSAQPIAAAGAPGSSSHRG SSTFHSGITPPTSPPSPPAPSTPTLLPYAGHLSPQNPHCLSLPQAHDYSKSVVTRQIL DGKLAPFYRGLEDYEEDWTEDDIIRELNEQREKDYEEGVANSFTERLREEREGSGGMG QVTKKIGINKGRETRREGEKEEREKREKRVYRNAIECPICFLNYPPNINTSRCCQQPL CTECFVQIKRSEATITHLESEPACCPFCVETDFGVIYERPTTPLSSLSDTALATSPGD SALASGFSSLGVGSDAELTVGPGMNPLQKETLRRKSVSSKAKEVVTIDEIRPDWEAKL NAVKAAAARKASRRIVMRQVGDRLVPIGYTSSRATGTADFSMSVGADSEGSSSIPGRR PRRRESNRERELEELMIMEAIRLSMVDHEEHQRKQAGELRNGSTTDSGPAPSTSSPGP PPGPSTSAALATTPAPSSPTQTTGPRSSAPTETTKDKQSGPSKLLSKFNNVRARANSA ASGKALGSSFSANRARGNSASQSNLTVPPPLAPSTSTSSNSTSSSAAPSPIPSTQSPI DSTHAAGPPVPPKSGLNPTSPAPAAVSPVTTLASPSAAPGGHQSGDLMDEDSGSAPLS GASTPGGLPRLSVDMPALTPDTTGPGQAKAVSASGSSAAGLQDALGSAMGSTSSSSGS RPKQQMQRAHSNISEITEPETESGGVGYAQLDSDGE L198_02217 MTGHTIVVGTSLSKLTPQGTVGDGFDVHVAIGITKSGAQPSDKA KPQHAVSELTARTVCHTVLMAISLVSACHELTIANMDEKTKAGTDLAQLERQWQMLVY EMAWKTCTKDGRMKMGHNVEFSKLTTCRAFDKAVGLQSAHPKAFLSFLRLRYKVRPNP LLSPKVLTDVRAWGTNSAKRIKQWVAEEPEKRDVVEYIEQVNDPYVTFFLARGAIKEA LKSQKD L198_02218 MSQDLIQFKVTGVVQGVNFRSHTQKEAQKLGLRGHCYNHEDQSV QGAAVGPSQKIEEFRRYLEKGPPSAAVHGVELIKEIRGASDDQIQGVIGKDSGFQVKR L198_02219 MYRPLLTTSRTALRNSSRTFTSTAVKMGVTVQSITAGDGKSFPK AGDRVAIHYVGTLLDGSKFDSSRDRGSPFQCVIGKGQVIRGWDEGVPQLSVGQKANLI CTPDYAYGARGFPPVIPPNSTLKFEVELIKIN L198_02220 MSEDAKSSIALGKRNRDASPQQNNKNGAEAPDMLAADVDDSSDE EIGPMPGGDLEASESRPKKKRAVLPHEKLYLASLPDTDRYYKSFMHREPLNSVTITKT NFVVTTSIDGHLKLWKKQEQGIEFVKHYRASLKAIVGTSASEDGKLFATVSEGGEGRV FDVVNFDMINILKFPYTPKACCWIHEPGAGQSLLAVSDVASPTIRIYDGRGDGQPLYE LAKVHRAPVHLMVYSAHYDCVVSADESGFVEYWQPSEPWGLPSVPGLWQYKSSTDLFH FKKTKTLPTSISFSPNSSHFVTMALPSRSVHVFNFLTGKLTRTYDESLTAVMEMQQAD TAVFKLDDMDFGRRLALERELDRSESGPGGMLRSANAVWDESGNFVLYPTMLGIKVVN TVTNKVSRVLGKDETLRFLNISLYQGAPAKKGVTTIQMAASANPLLQDKASRDPHVFT TAYQKQRFYLFAREDKEETKGDRDVFNERPTREEQTIAVPVEEKQRPSATRCTIHTTM GDISVQLFPEEVPKTIENFVTHAKNGYYNGTIFHRVIKKFMIQGGDPFGDGTGGESIW GGTFDDEISPKLRHDRPFTLSMANAAGPGTNGSQFFITTAPCQWLDGKHTVFGRAVGG LDTVDGIENSRTDKDDRPYEEISISSITVE L198_02221 MLLVLTVFTLIAGIIDSAIGSDDVMERFNSWSTDKLDYLTLFGG GEVRACRGWDPAAPEWSDPAGCLKAKQYRQAFKVLERERSRKHNHYHFAIDHNIATLE VMLRCFLPTSDAEYTPCHEKPLIISGWWYTAAVLTDSTTGEVVWQRFITQQLEKLGYF WIAVGPYTNWIEVAEIMPDVYNTLWNNDVETLSCITDPRCIAKEDYVPTEGGEDLSVG VSDEERGVIPLWALNVVDYWGARPKEILHNTHWWGLKDPWPLPAGHHHLPYSMEEECL ARPTTPLEERKDAGLILAKRSSYFHYHHVSPPELWTNLTQNDGVELISVANVEEGKPM PVGLETIGKQTVQDYTKLVGDVKAMVGIGIPVISPSVYAAWCQATPVVIPIFHDEDNS SPWHPYSDYSQHGPALSVGEPYAYSYHAKNYTQLVEAVHKAMSTPIERFIPDDMPLSH TMKALEAYMNRDLVKMMELKVKANGGKIPALKAGLRERCIELDRCMPELPPGRVPSGP SSFLLY L198_02222 MISAFFIFNQKGEVLISRLFRPDVKRSISDVFRIQVISNPDVRS PIITLGSTSFLHVRINNVYIVGVTKCNASAALVFEFLYRFITVARSYFGKLDEESVKN NFVLIYELLDENIDFGFPQNSEIDTLKMYITTESIKSEMAVREDSSKITIQATGATSW RRSDVKYRKNEAFVDVIETVNLLMSKEGTVLRADVDGQILMRAYLSGTPECKFGLNDK LVLQKRGGEQIAKSADAAVELDDCQFHQCVRLGKFDTDRSISFIPPDGEFELMRYRST SNINLPFRLQTHVVEVSKSRVEYTIHLRAAFDSKLNANNVVLKIPTPLNTTGVRNKVG VGKAKYVPGENFIVWKIPRLQGAQECTLTAEADLAATTHRQAWSRPPIQLDFSVVMFT SSGLLVRFLKVFEKSGYQSVKWVRYLTKANGSYQIRVILV L198_02223 MSAPETTVPAQETRPVQQAKPAGAEPAVATEGVTEQSKKGAKKE AKRLEKLAKAATKTSSAQTQAPKKEKAEKKEKKVEAPAEAWVNTTPKGEKKDVSGNFP AGYDPTQVEAAHYDWWDAKGFFKPQYGADGKPLEKGTFSITFPPPNVTGNLHIGHALT VSLQDALIRWQRMQGYSVLYLPGYDHAGIATQAVVEQRLLKTEGHSRHHYGREKFLEK VWEWKDQYQQKITLQMTRLGGSFDWDKVAFTLDDNLSVAVREAFVQMHEKGLLYRANR LVNWCVYLNTSLSNLEVDQLPLTGRKKLNVKGYDPKEFFEFGVITSFAYPIEDSEERI IVATTRPETMLGDTAIAVHPDDKRYTHLHGKFAVHPFNGRRIPIITDAITVDMEFGTG AVKITPAHDPNDFECGLRNKLEFINLMNDDGTYNENAGPYKGMKRFHIRNQIIIDLKE KGLFVEQNDNEMQIPICSRSGDVVEQIIKPQWWINCKPLAEDALKRTRAGELEIKPKT SAGDWVRWMENMQDWCISRQLWWGHRCPAWLLKFDGEAPDTSDDKNWIVARTEEEAQE KAAARANGRKFTIEQDEDVLDTWFSSGLWPFSTMGWPNKTADMENFYPNSLLETGWDI LPFWVARMVFFGNSLTDVMPFTEVYCHPMVRDAYGRKMSKSLGNVIDPLDVITGQNLA KLHGDLRQGNLPEKEIIKAEEGQKKLFPKGIPECGTDALRFTLCNYTSGGRDINMDIG RVEGYRKFCNKLWNATKFCLFRMDAVDLAGPTGQEGLVERWLFHKLNIASAGISEALE NRDFADASTIAYQYFLNDLCDVFIEATKPIFEANSDAAAKLSAQNTLYTCLEAGLKLL HPFMPYVTEDLWQRLPRRQGDACESIMIAAFPEKIAEQSFPKEAAAFDLVVDSIKSAR SIIGLYNLPTNGKTVEDKITVIIQARNDEQLQLFKSVETVVVGLTKGCGKVDFIREDS EIPRGSGTEVVTTDISVHIPVQGKVDAASEIDKLEKKAVVVEGQKAKINKVMGMSNYE TSVKEEVRAQNSDKLEKINVEIESLTLAIERFKLLL L198_02224 MSKTIAAQVVKIVVPAGKAAPTPPVGPALGARGVKAMDFCKEFN ARTAEFHTSLPIPTMITINPDRTFSFATRTPSVSYLLKKTTGLEKGSGEGMKKVVGTV SVKHIYEIAKIKCMDEDLKAVGLEKVAKGIVGTAKSLGLQVVL L198_02225 MASKLLLPLISPALRFLPWVPTSLLTLPPSIALHIALHPSPSLP NFVASPVTSPLHLPLLFTLGSIPIFYFLGLVTNNISWVDRSWPLYPPVISCMIFVWAL INHASLTYAGNIPRITLMFGLQLIWSTRLLFHATKRGFYDLKGQDYRYTVVQKIVPRW AFALIHLFVVAIAQPILLFALCLPLYAALVSAPLPQDQPWSIPFSAVAGLLPSRLRTA VPLDTPVLAVSDYIMTAISLFTIVVEWQADKQMYAFQTGKHNLISSLPNDQLIHPSPP PSEDQPLIQKEGLPKPSPYPASHHPGYPTRGMWRLSRHPNFAAEQLFWISQGLFAALA GAASGTAEQGWFMRTALGPCFALSLLFCSSTFLTEWISGRKYPSFKRYKQLVGEFLPQ ETALLWLWGVVRGTRGQLVKEIYEAPRPVDNGQTE L198_02226 MALTDYFSPVAFFILLRESLEAGIIIAVLLGFITQIIPSKPPRR PSQTLRPSRPSTPEPRHSAESDLSNNSGTQLISSTSDIGSRYGASRNASPSRYYDEEH DNVHEEEVVLGGDVDRLAIMKKMRVQIWSGAMLGGSVAIGIGAIFLYVFYRYTRDLWQ DAENLWEGGFCLLAAFLILVMSLAFLRLPHAQTKWRLKLLSAYQTQSGEEAPSPPPHY GNGLLHPHHAHRHKKRTNKANAILFGLPFITVLREGLEGIVFLGGIGLSEKPSSVFGG GLAGLIVGALCAYLLFSSTSPLSVHTFTAFSSLLLFYIGAGLCSRSAYALERQYFINH VGAAAAESGNGPGSYRVKGNIWHLTWWDPEPGSGDNWAQVAQAVVGWNNTGSWWTIST YIFYWVAITATLVYMKWKEGRCAILGILSPRGKELERSRRQRGGIGEDDEEVLLDDRS EIGE L198_02228 MPQNGYTLLPTSSPPLGYTPPSGRPRSTRRNLTIALVTLTIGAS YFVGFSGHDERLNKMATWGNDNFGEWIPSSVLGNGETRECRGWDPSRPESEDPLGCLK ARQYRQTQAVLQREIEHQHEHWYFAVDHNVDTLTKMSKCFLPESDPDYTPCPEKPLVL SGWWYTATVLTETTTGEVVWQRSVLEQLEKLGYFWVGIGPYQNWIQAAEMMPDVYKTL WGSDMEVVSCATDPRCIAKEHYTPPEGAEDLSIGIPDEERGVIPLWALNVVDYWGARP KQISHNEYWWGVTEKGDWSYQPLGQEWIATPWPLPGGHFHLPYTMEEQCMALPFTPLE ERRDSALILAKRSAYFHYHHVSPPEFWTNLTRVDGIDLISTANIEEGMPMPDGLETIG MQSIGGYNELVGSVKAMIGVGVPIISPSVYASLCQATPVIVPVFYDEQEPSEWRHYSG FSQHGPALRIGEPYVYSYNAKNYTELVEVVKRAMSTPIERFIPEDMRLDYTVSKLQEY LDRDLEGMMRVKVEENGGQVPALGKGLRERCHELKRCQPELAKGRVPSLPGRSL L198_02229 MPRTRSASAKEISQQQAPVPAPQSLPPKSNVTHPPSSSSYPVTR RQSKARLLASTPAQPSPVAQQSDQGSAHRTPSTSTPDIAATTTVKETTEEGPTKLEAA VIEDQDASEKLEEEQKSPAEEQKPLEEEDADVIEKTTHVEGRTAGEGPDDSNTLSYQR DISRPGVVGGTSASDFQPSQSYENPDDLFLPEASPLDPAQQTSAISSQQQTASFDGIP QMISSLAQRAYDMSEEEAKECAKRAWRDVDGWDVADDVKNTVKMIVFGNDLSYMRE L198_02230 MAPQRPPWIVNDVTKILGLDEETVAQMIMPQLEESLLGPTQAAR DFTTRYLALRFPSISNTASALPNTKLAPDADIAKSKGKAKSPVPGSSSGAGSSRAVQD AFGPGGNVYMKNRDVDDAFFLSRGGSGSQGGISRSGSSTPVPRQAGAVHYNVVDKGKG SAGGAKGKGKAAEKIWDLPKSREVKKIESIIQALQKIKDDGPQPGDGHNCFCQARIHP LSKYTPVCPNCALIVCSLHAPQLPCPSCAKPLYSSAQLTRLLLTVENDLDEQLLKEKG EEEERERKRQEVLMAESGGGNFPTLPVGLTGTNNVPLGNSRKVISIGAKEKGKGRATV TTTTYRSGASSTAPLPRSKSPPPQNIIPRPRSLPTDQNKAASEIAKITKWRKEEGRPW GDLKAEKKGLALKYKEVDRVALRLVDENAVGRRKKGKMKGEGEGGRVVPGAQKKA L198_02231 MSNRYDRPYQGSSSRRQDDRNGSRYDDDYSRRDNRDSRDRGYDR DYDRRRDNRDYDRDRYRDERDRYRNDDRDRRRGDDRDDRRRDDRDRDRGGYERRRDDR DRKEPSPRPPPASTSSAPPPEGETEAEKKQRLKKERLEAWKKERALKEGKPATATPEV RSPAPPSNLPSKPVGFSMSRIGLPLKSSAPLKRSLTALDDEDESDRKLQKLDLPDFDR QVQSGEAAKMGAIGDDLAVADGDENEDDQIVKEESDKMEVDQEEEEEEDPLDAFMKQN TKDVSSVNDKDARRMGLRVAEDASDDEEDNTKVVEKDKLAEAEALLAQAAAKSRKKDL PPPDHSKIDYEPFRKAFYVPPVEVLEMDEEEAELMRLEMDGIKIRGTDAPKPVKNWGA FGLPQGCLDVIRHSGWESPTSIQAQAIPAIMSGRDVIGIAKTGSGKTVAFVLPMIRHV RDQRPVTGSEGPIAIIMSPTRELATQIYKECQPFAKVLGLRVTCCVGGSSISEDIAAM KKGAEVVVCTPGRMIDLLTANNGRVVNVRRTTYMVMDEADRMFDMGFEPQVMKIINNV RPEAQKVLFSATFPKTMESLARKILIKPLEITVGGRSVVAPEIDQRVEVRESDTKFTR LLEILGEIGEEHKDDEEDFRTLVFVDRQESADDLFRELLQRGYVCASLHGGKEQVDRD EAIKNFKNGDVPIIVATSVAARGLDVRELKLVLNYDAPNHMEDYVHRAGRTGRAGNKG TCITFITPEQERFSVDIVRALEASKAFIPDDLKKMSDGFLGKIKSGKAKAASTGYAGK GLERIERRREEKDRAEKTTYGDTSEALSLSSREGAVIPYKAKTNEFKPPETSHKGDAD YTFTEIKVDIINGPAPDRVTQAPTFDAKNAVSSLPAQTVAALKKAEKEGRHVDAARFA SVIAKLTQSIELTKAERLGLADAPSAPRLGVGARTKDPDATDWHAIFPINDYPQKARW KATNKEQMTLLQEVSGASITMRGRYYSPGDEPGPGDEPKLSLLIESNDEMRVRAAVEE IRRVLVEGSVQALNTVDRGGASGRYAV L198_02232 MSSDSLDATLNELEIDGTRDPSSSVLSDTQNGQSSTKQQAEESQ DEPLSRQATRVTLADMQLGEPENQPPRLSSTRLFGIAAIVTFTMCMSSAGQQALNIAL PTIQADMDMTESDLQWVNSAYSLTNGCFLLLSGRLADVQGRKLLFMIGVIWYAIWTLI GGFMKNGAALVVTRALAGCGAAMSTPSAVGIIAHTFTGKARSTAFASFSAGAPVGGAL GLLLGGLFTAYVKDTWRGALYCLAGLAFGNAVCAMFIIPKDVPHTNDRRVDWIGAALV TVGLVFIQFGISDGEGAPNGWKTGYVIALLIIGFFLVVGFFVWERHIIKNTSRPPLMR LQLWTRAKGRLAAVYFIGFVTWMGFTSLFYHATLFFQQVQNTGAVGAMLRFLPTSVSG VLCNVIVAFLVSKVRTQWLVCVGLISTGVANVLMAVSQQDTLYWRMPFNAMWLAVVGA DILMATGMIFVAALSLPDEQSVAGALFQTLIQLGGSFGLAVTSVISDVQMQKALAEGM SETQALLQGLRAAFWLGAAMSFTALCIAIFSLTGMGTIGKGVKRSTGKEKLNAEGNEH NHTGGQADNGSEKV L198_02233 MGDQQEIDLDSVIDRLLEVRGNRPGKAVQLAEYEIKYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLQSMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEF DNAGAMMSVDDTLLCSFQILKPAEKKPKYGGYPGGRR L198_02234 MSAPESVVPTTIASDIPKPVIPAEEISQPPSKALDSIAESSLLD KARDAAKPYLEKAEPYVNKVSETAKPYADKAQARFEKILDKIEGNDPSTTSTPTSAGT LDKSIDNAAATTTGAAESTTAVAADTGDKAKQYLAQGLSAVQSTFTQLTNTIEEKTTT ESHPGFITQVTNAVNKGIDRVEGYLNEPTDASLASQHAAPTSGSAAVAVAAGVPATGA TPAIPVAAIPVVASDPVASEPVVSTTTNTVPHTSTA L198_02235 MSIALGVYEIRGLADDAVSIYHPASPSLDLEGGNTLASGSLLNP HISTDQQYPYANLLASEPPNHAPSQYGSSLGGTPTYQSYHQDKDYINNSLVGASHSLA SQNTAGRAQTARQHHRVHPYQTSTADVLSRHTSAKAHWDDVSSPDQDISTHFRSANSS AANTPAAGPSTTTTAVNTPNSMAKPSGRSGQKRRQKYTRTRTGCLCCRSRRIKCDETR PICKRCVIAKKECVYPEGVHGSGNISSSREDKKGKGRSSGEDSESEADMSRSRPGTRP GSPSGAFFPPQTALAYPYGGSSRAGGSNAFDGSALNVAPISGGLVGSSMGGGMGLMEM GLNMNLGMGQQSPSDGSALNVGREGFAADASKQAWGADQGGAPMLATPDFLLPWFPTA EERSLILHYCANAASLMMAIPSGLNPLLAINLPLALDSPRGMNPSADALRVALLGIGA IHQAFLLARSGVSNSQTAAMFQYASTLRETGKEMVRRAARTGATDAALGASTALATID IFFGGANWQDNFTLAKEMVSTRGGPSQMLKDSTPTALSEGVTVSPARLMLEILAIYET FGCLTSGDEPTMIPEHGQNWWLEANRSMYEEHSVEKQFGMSRVMVLLLNRLTRLINRV AKAGTIITEQAPSTNAGSNSFVPTPSSTPDEVLIKEARQLNKDVDIWIESLQMSTLEH ERVQVGNRAYAHAMKILLLRRIFKYPQEDARVQRAAQQVLQHCSWSTAALGMSIDLTW PAIIGGCCVDGSSRQWVTTLLEGFKSQCCFDIDSAARIISEVWRRVDAGERRADWKEV CDDLGLHVL L198_02236 MASSSADVLKISILGNESIHAGFHLFPYIFETITTTLPSSAYVL VTDTNLSSIYLNDIQKAFADASAKNGSNARFLVYEVAPGEGAKSRKVKGDIEDWMLDN NMRGVKVVQIPTTLLAMVDSSVGGKTAIDTPHGKNLVGAFWQPSYIFVDLAFLTTLPP REVSNGMAEVVKTAAIWKDDDFALLESRSAEISLAASARPTGPATAGRFEADRSHAQS LLLRVVTGSIYVKAHIVTIDERETGLRNLVNFGHTIGHAIEAVLTPAMLHGECVSVGI ILEAEVARQLGVLSQVAVGRLTRCLQAYGLPISLSDRRITSLPASSQLSVDRLLDIMK IDKKNSGAAKKIVLLSRIGKTYEEKASVVADDVIRKVLCEAAIVKAGIPTRSPITMAT PGSKSISNRALVLAALGTGTCRVRNLLHSDDTAVMMNALVELKGAVFSWEDGGDTIVV EGGGGILSAPAKGKELYLGNAGTASRFLTTVCAMVSGAASSEKSTVITGNARMKQRPI GPLVDALSANGAQIKYLETVGCLPLNIDTDGFRGGHIKLAASVSSQYVSSILLCAPYA AEQVTLELTGGQVISQPYIDMTIAMMIQFGVNVQRQKDAQGNLLDVYVIPKAAYVNPP HYSVESDASSATYPLAIAAITGTTCTIDNIGSSSLQGDARFAKEVLEPMGCVVVQTAT STKVTGPPVGELRALGNVDMEPMTDAFLTASVLAAVANKPCLPERRVEGLPETASRIY GIANQRVKECNRIKAMRDQLAKFGVETDEFEDGIIVLGKSHSSLLRGASIHCYDDHRV AMAFAVLACIIDKTIIEEKRCVEKTWPNFWDDLQNKIGVAVEGHELEVHNHASTSAKT LDHSQSDRPIFLIGMRGAGKTYLGRLAADVLGGEFTDADDAFFEESKLSVQDFVSANG WDAFRKLETEILGRFIQEKKGNHVIALGGGIVETEVARQILQAHVAKGGHVVHVTRAL EDIQSYLDSIGNTASRPNWGEDFADVFKRREPWYVESSSHELYNVLEAVGGQTEEQHH QAMRAECHRFFQFITGRDSNRPRLGAENPTSFLSLTFPDITPALAHMAELTEGADAIE LRVDLLSPSGQTPTTPTLPPASYVAKQLASLRCATSLPIVYSVRSKDQGGFAPSDQPE AYEKMVRLGLRSACEYVDVEVSWPTKVLKDITESKRESHILASWHDWTGKMEWDGQLV KSKYLLCIKYGDVAKIVGTAKSALDNSKLAIFAEEVRTQPGSKPLLAINMGAAGQLSR VLNPILTPITHAALPSRAAPGQLTAREVFEARSLVGLLPPKKFVLFGSPIIHSVSPIL HNTGFASLRLPHNYTLHQSEAVDQGVLDVIRSPDFGGASVTIPLKLDVIPHLDSVSED VKVIGAVNTIIPREGKLHGENTDWQAIRQAAAQNLAPSVLRDSSSAALVIGAGGTCRA AIYAVHKLGFRTIYLFNRTPGNAEKVKASFPESYNIVISTSLAALHTAPSVVVSTIPG DSLTLDPASTGIHFPQEVLSRESGVAIDLAYKPHKTALLQAAEKNAGWKAVPGVEILC LQGFKQFEEWTGKRAPEGKMRRTVMDKYFA L198_02237 MPTTGSSRSASTRFTQTPSNKPSKPPHKDPNPPSQKKASNRGPY AEKACYPCRKGHRKCRDIRTITGKPVCGLCADQELECTWPDDGPPTGHGRTYDRWNNT YTSRDPPHPSWDYTSSEYDAEGGDGDSNSNITDEDEEGWSSEHASGGNQGVDAHETHH NSIAQQIDNQSSPARTHHHFPHTVRPRPRTQQTPRVPTTGLQLPLFGQQQPPVPAATA SATDDTSVSDRYRQPSNSHQAPPPQPRYPDRLELLATAAAAVEGNRSDLGRSLNNGAY GRLRIKDEDEGDDDEEYEYER L198_02238 MKAVLQRVVNASVAGKCYNSFDGKTISSIGKGLLVLVGIDRYDE PADATLIIKKILTAKLWDDDNGGGWKKNVKDIDGEVLCVSQFTLLASLKKGAKPDFHD SMASLRRDNSTIPSKAYYTSFLEEIKAAYDPSKIQDGEFGAMMQVSLCNDGPVTILLS SRDKPATKSGTPTPATSGINTPLPEAGQKKVKKPKDKGTGHPKFAAGADREAANPLGT AVDEVIDEGRREAGATNSGVNQEEANRE L198_02239 MSEDTAPAGMPQVPTKNQENGSDGQQQPEPHKEAGSGDPLASLS QARKNVLLFTFSIATFVDVCNVAGTAIAVAQIASDIHLGSSQVVWIITSYSICFGAFL LFAGRISDLFPASIVFEGGFFVLGVLSLVVSFVTSNKYGFLILRGLGGIAGSMTIPSA YHLTVRMFPDHKEQQAKLALLAMTGAIGNVLGLVLAGICMLASYRWFFRVLAIICLVF TAICFLLLPMTHTVKHHSDGISTWKKLDLVGVGLLAAALVCFILSLTQGPIDGWGSAS FIAPFVLSFPIAIAFFFWESHIPQKTAVLPSSVWKISNIVIMSLALGIAFPFWSTSQL MYSTYFQEVLHWTPIKVSAAMLPQGITGLIIGGSAQYIPQIITKPKFTLPLGGILIIA AELLQIFSNGGHGKDYWRFCFPAYILGSAGAILTYLCTSINLITYCPPEMAGVAGALV QVVAQIAAAITLAVQASFQGQGVQDWNMAARRSFYFQIAWTAVLCIQFVVFFRKPTSP EEAHEATRKRIAESGKGARL L198_02240 MPLIRLRDSDSDAEAARPSSISSYFFPLLLIILACAGYMYWRRS TRPSAPGIPLNISGERPGIRLSEDGPPTHTFITNNLSSDSLPSHALSDLPELPESRDQ YRDQPQQLHSSESSSASGSAYVDHPLESAAPGMPMTRFSAPKPGSGAPNPLITGASTR KPKSGGVKGMRRSQRGRAQGVAVEASDDDESGSDSGAEMSSSGRAIFDIGDDDDGPLG R L198_02241 MLFQSITSIALLAIGVQASAAFAKTTPKKEKPVTSSPINSEFIG CLDGGFKPVGGKSIKDMEARKSYYECSNVCQSNGNYPYAYFTNMGKGVQTCVCSSMGP TPSDYVTQSLGSFGCKLGQTRAAITKTDHHFIVCSSGFIGEFENAGTYYSPSDCLEVC EGQGGALVMPVSNGFRCRCGNVSSVKSVSACGDPGVEFSYVYKPKNKKPKGKVATSAQ GKKKLGKGKKVYDNPCDDPDSILGYCGFGDDDTPVFHKHGSL L198_02242 MSPCSLKRLTNRTLVHATAIVDRIVSAPFDPTGKELRLVIKNLD RLSDLICGVIDMCELVRNAHPDQSWKRESDQAYTVLCGFMNELNAKRELWESLVKATT HPHPEPLSSLELKVAHTFLADFERSGIHLPPVARANFVKHSDNLIPLGRSFLSSAHSG PSPTPAIEIPRPESLLGDNLDAEFVHQLPRNGRQGPALVVPGSWEASMISRHARDGEA RRLVYMGSMREDKDRVRVLEEMLTERAKLADVLCKESWAHIVLADKMAKTPHNVMGFL ESLLQHNHLAAQADVARLQGLKWTALTGSPSESSTRVRHLAPLYAWDKEYYSRKYTSS LSPSGELPSIAPYFSIGTVMAGLSTIFSKLHGISFRPSSIAQGEAWHSSVRRLDVLDE KEGVIGYIYCDFFSRPGKPTGVAHYTVRCSRRVDDDDVDGDRMSPGWDKSYGGGLETR GEVLQGKEGRFQLPIVVLMTDFGSVAEGSPALLDWNELETLFHEMGHAIHSMIGRTEY HNVSGTRCPTDFVELPSILMEHFVSSPEVLSTFGRHYITGEALPIDLIESHLRLNQSL SALEVHGQISMALLDQKYHSFHHGKDSLDSTAIWHQLQTDVGVIDPVPGTAWQTQFGH LYGYGAMYYSYLFDRAIAGKVWSTLFTGPSPRGIFGDADAGGILNRDGGDVLTDRVLK WGGGKDPWEMVGDVIGGHEGEVVSRGDKRALELVGSWMLK L198_02243 MPVHPHIHLFLPSSDASISLEARLYLPLTANRTQPPLTLTDKLS SFTSNPVRLDELSIETVGSIRGLGVERLVVAAHPWGRMGGNMLDPNLQHLVSAVFSPS EMKDPGLAASSNGEPPKTAVLTYNVRGIGCSQGSQPWLGVGSDPADMAQVESATADLL GDIKDIFRFGYSWGSLLVTLAPPHTLLRRLLLVSPPCTIFGGITYFSNRSFRGSLGDL LAEGVHVKLIYGTKDEFTSAKVFQTFGEDLPAVIKKKEGASDIGTWEKVEIDEADHMY RRDYGEMLREELGKWLGWSAPVYTPLSARM L198_02244 MAPQTKASPAPAPKSKGFTPKPPRPVEERLPRLYRSLSDQVDEG YFENAVKTCKKILNLDAASETAFQTLLFLYLQIDEYPAALKLIEDSKKTHPFERAYCL YRLHRESEALAALEGLEEEGKKVEHLRAQIYYRMGEWEKTQEIYESLLDEVDAHSPEH PDILANIAATSKRHEFDSRDYRSHLANVGSSSQVQVPAAEIESYVPSLPTGWATAGGL AATVEKKTAAVKAPGEKLERSKPKHKLPKGAVAGKPVAEDRLSYINAQSKKKGAKESM GTGFTQGSTAQSSGGGGGTKNKKGKKK L198_02245 MASPATSTAPLPRQPGPHAIPIMDPADAPQTSSPTKRAYQAMET PTTGPSYPPISETTSKAFSPDISKLKIEMTEDDGPSPFWGMGGASLGTLNKRQDGWAY QTPRRFSIPRSSSPSPGLTTTPYTPQTRYSARSAGIGTPVTPYTPDYPTLDYRRHSET SDAMHRATQKPFNKPVEEEYTAQTLGRYLLITNVPMNVRNDDFRDMIQNIAEFKALIV KHLQTKGCVIVAFHDPRENLKVYQRLQAGPINIGSAYPSLNLSCMPVTKDLVESVTGH GPAWEEVWKTSEAVVRIDIDGGNPVNPDAMHRVVGAIAPYQSIEPIGYDCRSYVVDFF DTRDAATCIRTLDGHVSGQAYVSADYYDPTPRYAPTRPTLGSRAFSLGSAAFIPGSLG LPKTDSYSDVFSLGHSAESTGVHTPSSATFNRLRSDDDIFTSRSASLSPTKREVSASG PAYNAASPWARRGSTPAVFSGADTPPRMLSLSRRLSEAGTVQGLVNKADITARARQRQ GLGGHWDANDRKSIPEQNRVFPERIVAGLDHRTTVMIKDVPNKLSRQELVEILDEVVP SEFDFVYLRFDFKNCCNVGYAFVNFCSVSALVRFIQARVGKKWNMFSSEKVLQVSYAD IQGKAALINKFKNSAVMSVVESWRPQIFYSSGSMKGQPEPFPVSDNLSLRERSGAGFA GENFKMSWPDTANKIIQARHPATFSESHERPYEYAQTCFDHGF L198_02246 MPKAPSSKAQAKPALTQRKLPSKATKKGSGDASPPASTPSSPSS SGDPTSFSLDLDKELVVKIILAKLEANTTCDWYQMSQRLKAEGEVGLDQEDLGNGVTG KQKGKGRGRGKGKQKGKRELSGTDLHDLYHNKILPALKNGRALWVEDGEGCDRRASTS STSDSSTAGASKAISGLGLRVNTKNKTKPKTKQRLSRGDEEDELDSSDDD L198_02247 MTFISVFVTSPDTHSERKLDSDLTIQQLKDKLTPITGISPHYQI LKIYRSAEQTSGAPLAVLDNDNRTLASYGLQEWNCIKANNTDPTYLPGEFTDDSNLDR FELTPEEYAARPDTVLAHIKANKLGRFADVPHNLTCPPPPPFSADQSIVPGARCEVSH GEDGLGKRGTVKFVGETEFGKGGVWVGVQLDEPFGKGDGEVEGKRYFTCPPKYALFVR PAKVTVGDFPEEDLFTDDDEEI L198_02248 MDSAAPPGVPPATPSPAPLAPSPAPPTTAPTPLPIAPAPAASTS APAPKRYQKKPKQKKIDGLLAYTTSFVPGTYNVKIPAGDYLQKERNVDVARQISVERA KREQEEEKAQREEAGIAQQNPLSHILIIHPGSRNLRIGLASSYYPREVPNCIARPTTA VNASGANPVPALGSRVKKTRSEGNKKRKREEVQSQDVSMNGGEEAPVDPVIEPIGYLR DYLRNRLVQERLTTDWRDTTRVKAANAKTKPELLPEHNDPYRVDWTEPEGKPFFIGND ALRLPENAGYTTRFPILHRTLNRRDWSSSQSLLDDISSILTTALLTELEIPPSKYPEY SVLFIVPDHGDRIYVQEMTHLILKVLGFKAIAVQQEAYCAIFGAGMSSACVVDIGAQQ TSVTCVDEAVLQPETRIKLHYGGDDITSSLAHLLEQCSFPYRDLDLARAQDFLMMDNL KMKICTLEEHLVANTPWDFFVPRTEGLTQKWALRTFDENILAPLVFFDTRLIDFEEKK GQGSMRFWNTSDDKVSDEISSNYEEPTGTMRACTSHLLPAPVPIPTPATESISVSSTP APPPITENPSPERSSTSGTPAPDVKPGTSSTPTPVLGSIPTMASLAPLPTLSARKVFE FSAQSPLDAAIAASIGMAGTENKMKSLSQSILLIGGSSNLKGLSAFIAERLPSLLRQK GGPGEVTIVPPPRGLNPKFVSWKGGSVMCHIESLQDMWIRRDEWEATGIRALKDRYMW F L198_02249 MSFLRRASLARKKQDDEASPSPQRAPSISGDSSPQAHNSSEASS IPDDSSITPSKNNHGSKRKSMFGGKGRKRLSSLFASSSTLSSYANDREGTSSPQPKSR LNNSTTSSSSTSVRSNVTGSVRSTTAGAPAEGGIEFPQRGLSNLRIGTVTGEVTESPE ASPTVESGSAIGARDAATKRTRRDSMWSQWELEGVGEDGSSSDEDDGFLTPSEGLSEV EEEDEDEVEPDALIQSAHPAAIAAATTIVPTAPPSDFKVEPTNVDKGAVVTDTKDVSG TGASTIHRSLPTMTETRVRRHVSHRPDASTVDQSAVLAEDIETCRGAIKLFLTSHMKE AEDFCQEKAGEGHHLYLQSAMGIIEALKGMMTFDSVDLHNALDICKSTSITASTLRRA NDSVMHRLGGLVKSGGGLARIKAMTPLERHAELVYAEQSLLKAMLAIVAGGDWIGLVR EALNMRTAHGIYRSLQQYLEDADKHGYDDDIDMDFRSGVLLGTGTSSLMLSLLPGKVL KIAEVFGYAGDRTVALNTLMAVGGWTSGVAEPSLDETNEGLRRPICDMILLAYHLVIS VLIPISGIDVPLARNVLAYNMKRYPDGVFFLYFQARLHTTQCQPAEANQSLQKALDLQ LEYVQLQHMCLWDYACNHMMMGNFKGALDCFSILKDESNWSRAVYTYAAAACIVEMIE DGHKEASLEEADKLMRQISKLTKKIAGKSLPIEKFSSRKARKFESQGGRLFLPALELA YVFGSLGNTPRRSHLDVHIPRLNAMLSKLEVGEDEYGSKNGKEYWDDYVLGHFLRGMC HFIARWQPKDAVIPEKNVRPEDPSDEELDQAAEKDFKAVIRHGPDVQLDHWILFHCYY ELGRLYAQRGNDELAKHQFEIVMSGKIPDHNPYMAKAAGKYSLEGALLLKTHAALSAV KEREKERSGK L198_02250 MLFSALFTLALALPAFSAPVEKAVALEKRRTTYSGQATFYDVGL GACGGTNVATDYIVALNTAQYGSGYPGPHCGKAITISYGGKQVGATIEDECPTCAYGA LDMSTSLFLNFATENDGVFQMTWWYDDDDSSEETTTSSKKTSTYVAPTSTYTPPTSTY TPPTSTYTPPTSTYTPPTSTYTPPTSTYTPPTSTSSYTPPTSTYVAPSSSSSQSPSSS IEPSTSPSAVPSTISSSAAASSSSASSAASSIISTSSFPDVVVVQTNATVASSTGVNS TRSGSASASATASAAPLESSVSELAAFGNLVTLNQAVVNLGRILVVGAQE L198_02251 MTSQLSEAQALQKEIRGMELELARIKATYPPENRMTISRATDTL DAQTVELGQLMDDKEAVQVEADRTRGEVARIAKDVQRLGRDREREEAKAKEVREGREA GDTKVDDICRWLSSSMSFYRSLLGIRSVQAATDNELHLVYDVPNGPVTLALAFDPLIK RLSDATLIGSDLDVAEAVSVAIGNNDVPGLIADVLVRLRT L198_02252 MTDAANTSSGPALHQAVDQLTAAAQSGYNVYQNRDLFQERALRD VTMGSNESALMLDPNNPILVRDEMNAQKDYFRRLKFTYLEQGAKRHFLASITGEEPQR VEPGENEELEASNAQKKAELKAVKTNIENMRKETVALAEQNAKRKSNYLPADGPG L198_02253 MSKSIACHVRVRPGKEADGKMNEEGIVIEGNKISAMNGQGDKRY HFEFEKCHAADSTQDDVFDHVKPLLDRAWKGVNTTIFAYGVTGAGKTHTMQGTRQDPG LIPRAVNAILNRQAELSTSTVQVSMSYVEILKDEVYDLLGSANEPRKRDIRTSTGGQN VVADLIYQPITSWEQFEVDYDVASKSRKTASTKLNSSSSRSHAILTIYLHVIDEAGKV TDGKICLTDLAGSENNNLTGNDKERMRESSAINTSLTTLGKVVDALNVVQQKGGDASG VFIPYRESKLTRLLQVDALGGTSQGLLICCLAPGEKFARDTINTLQFGKKSKAVENRL NDNRRDSRRLSALPTKPRASLRVHTDASTAPTTGRPSLGRPALAPIAANTRQVKVQPR ASMAKSVGKKVTLDLKENVGLGLTEEQLEKRIQKIVTQEMANAREKERPVEAPLKPVV EHPFGEAVGMSDEEKDTRAKVIVKHARSLQQSGNLEGALDLYKKAYDYVPGNQKLATR IAEIQLSLEGILPPPELSGRSRHHDKSTTSSKAPLKRSRAPAGSTSLAELSYEAEDES DVVASVKKMKNNDSTAISMTSPPF L198_02254 MSLQQSLADLHAAYTSAAPDVPQRLADLKLELAQSGLYFAPPTA NTQDLVAARSILEIGAFQSLRGGDLKSYARYNFALQPFYDNLRQVIPESPNRPVTLGL HLLGLLSENLLTEFHTVLETLQPEQLNDSFVRLPVDLERWLMEGAYNKVYRAKDRVPR PEFEFLLERLMGTVRGQIASTIESSYPSLPLQHAATLLFYKPTETSSLTDFASNRGWS LSPETQTFTFPRSTKPDIALVAAESSSGVSSSTIDKLKGSGVVRGVPMETMVGPALKL AQQLEAIV L198_02255 MSAPAPIKPQDVGWQFVPQYYNFVNSQPHRLHCFYNKRSTFIHG EEGEDVTPAYGQQEIHDRITQIGYNQCKVYIHSMDSQSSAEGGIVIMVLGELCNNNQA WRKFSQTFFLAPQTGGYFVLNDIFRYLNEEVDEQKSEDGHQEEAQPEPEAPKAAVEEP PAAEEKVTQEPAAEPAPEPEPVTAPAAVVPDAVPEEAEVAALPDKDVAPAEEPTPEEP ATAANPAVEDTPAPAPASEKAPSPAPKVPSPAPAPKKEAATNGTAAPAPAPTGHPKPR TWATLAASNIPPTSTWGKPSPAVSAQASPSAAAAPVPSAPKKEEQKAAPAPAATAAPA PRRGPQGGLDVSKIQNQVCFVKLPNWALDNHSASELTEPELSRLASKFGEVKSVEIVG QKACAFVEFVKVESARKAIQHSLPVEQGGEGGTKFPNGTLFFEPRKEKDERGQKKRTG GQQGGQPQGQGQPRQQVNGGGAGRGGRPNRGRGGQANQGDRVSQKQ L198_02256 MAAKKTVVITGASGLLGRAVADKFIGQKDEVISLAHTRADRDPH YTKLDLMDKEAVEGFFGTHKVDVVVHCAAERRPDVAQADPEKAAKINVAVPAHLAELA RQKGFQLIYISTDYVFNGRNAPYQVDAQPDPLQSYGQQKYQGEQAVLAVRPSGAKITV LRVPVLYGRTEYNAESAINILRDVVEDQSGKTYKMDARQVRTPTNVEDIARVLYDASH FEQPLPAILHYASPAPMLTKWDMTRIIAKHLNLPIDHITKDTTEPSGATPRPENTQLS NKALEELGIETREEASFEDWWAAYLKE L198_02257 MGRRAKNKQSDPRPLPGSVPERDPSRRQKAKKRAPSSVAAGDAR QAKAHRGPATKKGVKVGKTGNVIQGRRGKKVDEVDEDSDLDEALQTGEFSSDEEEEEE IPKAKKSQKSKTEESDDEVVQRGPAKELVFSDDEEEISDDENLNDDKEELPKGLHAFD LDAAPSDEDDEEMGDEFEMDEDDLSEGDEDEADSAFASSGDEDADMDEEEGVFGSEEG DDIQTNLEDDLEETFTLPAVDNGGEEEAIEHGVSLRDVETRMRWLVGVVINKDEKVSM GVPGKSRSDHLLQLQHDIATYFGYNTFLVGKLMKLFPADEALAFFESNESPRPVTIRA NTLRTRRRDLAQALINRGVTLEPIGKWSKVGLQVFESPVPVGATPEYLAGHYMLQAAS SFLPVIALAPQPNERVLDMASAPGGKTTYISALLQNTGTVFANDSNKARTKSLTANVH RMGCKNVIVCNYDAREFPKVIGGFDRVLLDAPCSGTGVISKDASVKVNKTERDFQLLA HLQKQLILCAIDSVNPNSSTGGYVVYSTCSVTVDEDESVVDYALRKRPNVRLVETGLE FGVPGFKSFEGKNFHPSVELTRRFYPHKHNMDGFYVAKFKVEPRKKLTKSKKDKEEEE EGPQMTITEEGEIVPEEPANFDSPEDAKLIQESKRKALKKKGIKVTPKSEDKPKKSLE SKGKGRKVKA L198_02258 MCFSHLDQLASQNKCAPPIPPPLISNPRLLRPLRPKRLGVQYAP RSLLATYLRPKPSIYELCHCGMNNGGRYSEGSFVSRTKSYGPLNKPGHDLMLANGSAH KRVSLTSFASNGHRDKQYSCLGRPITPADTWPVEELLCASLLASRATITPPLACPVST PPVIRERVFTPPPKIDLSSEEPLEREIFMQEIPGFATSQLEREIGLVAPDCASPHIVT DNEVLEVEVVTELSEGLDIFDEEDVTALFESESCGSHDLERSGLKKSVTFNEETEVYW FEISRGTSSEAHLDLSFGYESDIEDNLTPTQLLRKQYRIVPASPPPVEHREFWNVVAS LGISSPLQDLSDTSIQSPTRNSLPSSLEAEQLSPHRQSLSRAEDASLDSVDEIVSRPE DQDIDLSSDTTPIPTQHISLHASSIHLSESFDDTPTKMVTAPRILSHTVRPPIMSLCP THLQGKKNSRHPRRDRKPTLTPVLYARSTRRS L198_02259 MSTHTLRDSPSSGNSNLPKDTASDHEKAVVPADIPATTTDYHRS PLADLSQVRKNFLLLIFSVSTFIDVCNVSGAGVAVVQIANDIKLDTSQIVWIITSYSL CFAAVLLLAGRLSYLWPAQIIFEGGFLTLGVLSLVTSFVTSNKYGFLILRGLGGIAGA MTIPSSYHLTVHMFPDPAEQQAKLALLGMSGAVGNVLGLVLAGVCMLASYKWFFRVIA ILCIVFTIACVTLLPITKSSYVPDPKYPRWQRLDIVGVGLLMGSLICFILALTQGPID GWGAASFIVPFILSFPLAIGFFVWEAKIPPQSAVLPSPIWKITNIVISSLAICIPFPF WATSQLLYATYFQQVFGWKPIHVAAAILPQGIASIMAGAASQFFPQMITKARIFMPLG AALIIIAEILFIFSDGGHGMDYWRYLFPAFVLGSVGAVMSFFASAINLIQYCPPEYSG VAGGWTQVMSQVAGAITLAVQASFEGDGLMDWNKAARRSFYFQIAWTAALALQFVIFY KTPGTTEEEHEATRKRIEASGKDKGVM L198_02260 MPRRRVSVSYSALEESRPPLLETRWGLMTYTLSRVPPRKLAALL TYAWLIFQLSDRQWITPEVWGKAGGSIVGVLSMVTGLLLSYRFSTAIGKWDEGKKVWS EVRTTIRDGIRVLSISGGEDVSLGVDVSEKDRRDDPSSGNNDDNCTLVNSRTDELSGL FVGFAFALQHHLHGTRPLPQAPLCDLLPPSYLSSLKRTDARVRFAEAHAGPSGTLRRK PTGLPGSEESEGDDWEIGNLKENAEEAMSKFAEAITQSNEVDSHRSQELQQQLKQLNI PESSPAIDNGTVPTLTADKQPAKSNLHSPYPPNLSLTLLKIMDAYVEGLGGLPEERGG WNVPKRERGFALIKSLNNHLGKAERLSSNPPPLPLTLHLSHLLTIYLAAIPCSLLCVV KGWPLVFITFIAGWCLLGLEALISEVSGVFGSSENHHPLLIFTQEILNESLDISPSFL RYYRSRVAARVGDDVREVLELDRRGRRSADEWLPSFR L198_02261 MSDSNPLLTARSLILLQLLSRILTFSLNQTLLRLASPAVFGTAA IQFDLVCSSILFLSREGIRNALLRRNNEEGDKPQGKNDEQTHALSIMPLRLGALLATA ISGIYLWSSSSITTSQQGFHLSLGLYVLSALLELSIEPYYICVHTSTPPRLNVRVQAE GGMAIVKAVVTVASLLGLGERHALLSFALGQVSGALWLAVRYIQEYNWNVQSLLVAKR RTVRPRSDPGLYSLAMANTGQSFIKHVLTEADRFAVARLSPLDDQGGYAVAMNYGSLV ARIVFQPLEESLLLHYSSSLESPATLPLFTETIRLSLYLDTLVRTFVPPLFPALSPFL LPRQYLDTSAPSILRLYLTTYIPLLSLNGVAESFHTASADPSEVKLQARWMVASSGVF AGTLALLASVGDIYTTSRGAPSEIITPNQEQRLVLASSAAMLVRIVYALRHARRSFSL QKPSLRLLNLLPSLKVLSWAVVARSLLGFWSATDRWQSGWKGWVELVGAGGVLGVVSL VFM L198_02262 MSSKAKVGSWLDQSDEPRSEAYESESESEEQVKKEVEDDNDDAD EIEPTEDVTGRRETDVDWEALGPRLRTSLLDPSSKRRRAFLSQYLYVSEQSPPLPQVP LVLTALLSTLSSITDVDHSEDIVSTLIKLVDRDEKLEEGKQKLADKLVKWTSMEVEKV ANPSKSVSPVTLFPYLIITTSLISELSSTRAPVDQFVSSQQGQDLFLSLALVLDAMRS GEKEIHHESRVKRIRKKAGIQVWRVLRQLRPTLSQVLHSLVTRTYPQPSRLAVLITHV IGVALRLKPLKNGESGRAASGREIVEKEKDAIVNYYSTNILGAKTHVPRHSSTAFNEF LGEFVSYQTLSEKLIPQAEKMLLRSPEVALELTADLLLACSHDVSALVPAKLVNSTLS ASKSSNAETRSKSLTLLKAVIARCSDEAAQAKVASEIIALPKAGKTASPEHRAILFAM LSEIPVSDAASSVVVDLLPTLVAKEANEAAFQALGAALGHHLAHTFTSNKALAAASSQ ALIKELGATKIATRRGLSSAVGQAIWTVGSTGQQFSIEGENTISALVPALENNLKAAA VCLPANPAGFLEGYVALSLALGALKGMPAADKLNGSPVMDGILAVAPKPSFVLNSNIH LRLPAAEDELWLLRSLEGVVATQGSKINTDAAKVAIGLAFIHLAFEAKSSEVRRGTLE ALTKLVKVQPQVLSSIIRLALQSWLRTRDERRASYKPTSEDDDPVSSKSREIGRLLSS IFVAGPDASKRVLEDLAVDFIVLAHHPELTSEAQTSWVGLVQSLGLDPAAVARDEKDR ILNVLWEAAATPPADLRLAEAAYRAVTTLAFISPTIYVDAFVQQLKSDLDPTSLYFIG LEERGIWATPPDQAYVDVLAQKKDTGAENKNRKGYADDQWEREVRESLAKKRAAATVK LSKAEQALVNAQLVKEAHTRSRILEVQAKLKRGVELVSALSKAGSAEVGRWVGFMAKS LLDSVFAHGSFLVDSRAFDVFINLGSLGSDRLGENRRMLMATILRAAEAPMVPADYLE EAIGEVTTRIMHQLHFISAQTSLDSVTYSILTLLLSTVVEKGGVGVEAQSDEALEQLT LVVAIIGTCVGEFANDAYPRYDTIRALLHILTTYTKLSKDAASALSDLGAAIKDVATH DEIRALVAGTLSKESYVRNAALQALQPVDITDLDYLEELWIAMHDEDEQNANLATHIW EDNGIDLPETYLSSLLPYLGHDSAAVRLGTALAVAESAEQYPSQIEPTVQGLEELYVE KAKLLVPEYDQFGMIIPETVNRPDPWEARVAIALALEKTAPLLSISLIAPIFDFLIKQ ETLGDRSEAVRSAMLSAAIKIIDLHGGDAVTSLMKTFEDHLGADLPSSETADYIKEAV VILFGRLARHLDDSDPRVPEVVNRLVDALNTPSELVQSAVADCLPPLVQNMDEECEYL VDRLFSTLTTGAKYAARRGAAYGLAGVVKGRGLSSLKEYELLEKLTDAVEDKSAFQPR QGAVFAYETLSSTLGKVFEPYIIEIIPHLLASFGDTNADVREATQDCAKVIMSKVSGH CVKLMLPTLLDALEEKQWRTKKGAIELLGAMAFCAPRQLSLSLPTIIPHLTGVINDSH AQVKSAANTSLKRFGEVLSNPEIKSLQNTLIKALADPTAKTNTALTSLLKTTFEHYLD APSLALVMPIIDRGLRQRSSETKRKSAQIVGNMASLTETRDLVPYLDQLMPLVHDVLI DPVPEARATAAKSLGTLVERLGETNFPNLVNELLHTLKSDTSGVDRQGAAQGLSEVLS GLGMERLEGLMPDIISSTSSPRPYIREGFISLLVYLPATFGHRFAPHLARVIPPVLNG LADESEYVREASMRAGKMVIANYSGKAVDLLLPELEKGMLDPSWRIRQSSISLTGELL YKVTGISGKVELEEEDAPTQSADQARRALLEALGPEKRDRVLATLYIVRQDAVGVVRQ ASIHIWKALVQNTPRTTRDILGILMETLMSLLGSAHVEQQETASRTLGELCRKNGERI FGEIIPILQKAVGSPDVRVKEGACLAFADVMASTNKEIISQHEDAIIASIRAALVDPE HAVRIAAAKTFDAAQHYMGAKAIDQTIPTLLEAMRTPGESSETALQALQEVMSVRANS VFPVLIPTLIAQPITAFNARALGQLVKVAGSALNKRLDTVLNALVLSLEKEKDEDVLA ELNEAVSSLLESVEDPEGVHVLEMLLIGWARDVNPTRRATACKIFGTLCQVSEADTEE YRVDWIRVLISLFDDTTEEVVAAAWEALDHFVKTVDKSELEDLVVPLRRSIESVGAPG RPVPGFSRPKGAQSVVPILLGGVLSGTQEQREQAALGIGELVQRTTEAAIKPYIIQLA GPLIRVISGQAIAPQIKTAILSTLTVLLQEVPQLVKPFHPQLTRTFVKSAQDPTALSV RNRAAAGLGELMKHQPRVDPLITELIGGVRSGDGDIAPSMANALAAVCTSAGKNIGDA AKTAIVELVEESFEDKHHDNYNLAISRVVAGLAKTDSEAIRPIIETFITPALPPTALV SAMIVTILEDSPDAFYELDCVEDVVKRVMASISVDNASAARPARESRDLMRKGRYGDD AEVQALLR L198_02263 MPTRFSNTRKHRGHVSAGHGRIGKHRKHPGGRGLAGGQHHHRTN FDKYHPGYFGKVGMRHYHLLKNHYYRPTINIDKLITLPESKVDAPAGTVPVIDLTHLG KFKLLGKGRINTPFIVKTRFVSKLAEEKIKEAGGVIKLVA L198_02264 MGTGLQDLPPELVYQIVEHIDKRHALLTISRQTCYMASQSIYSS LSSGEEDRHSIDGYTPPLAKVVEGLQYAEPFAERPFGRQLKLAFLANTKVLQHSFGTY ICFRESSSDEKTLLRITSIFQHLASQSIVVFPSLTEMHLHCTGWSSITPPNRACAFSL AKVSKPRDVAYWPFIFGLDELPPLPGTAIRANGQTEGKPGEYKALEFAAGHVPTKVIH VPYKVPCIPSVCYGTLNVVSYSQLWSHPAWSVSETVDYLLSILRYAHPEVFGPDNEVV RDMPAKDREKREKTTWAFLWVGRFTKKEGKTYGTMMKMVEYGLYEAVACMKGRIKFSV GEVGK L198_02265 MTQVDTADHIILCLPLTPALFTAPQPLVALILDILPPSASKSFT VFFSTPKGALANAQSLIPGKGSDQEQLYSLLQRSPKESFAGLQSFLGAIYTALWTAQW KCGKVLLDVEVHFEGEGGSLESKLCRGVAEAEEYHIVKIDGVRETDVVSSIDKVVPAP FTEISITSPSLASISPEQFSLPPSSGFPVVALGGTFDRLHAAHKLLLHLGVFLSTQKL IVGIMADNLLASKTHAELVQPLAERLDNANAFLARSGASDTLQLDVLRIDDALGPTRT DPDIQALVCSRETLSGGEYVNSSRKGAGLEELELFVVDVIAEREEVDLKQEVDEAKLK KMKMGSTGVRSWIAERGTGQGDR L198_02266 MGRRPARCYRYCKNKPYPKSRYNRGVPDPKIRIFDLGRKKASVD DFPFCCHLVSDEYEQLSSEALEAARICANKYIVKTAGKEAFHMRVRVHPFHVVRINKM LSCAGADRLQQGMRGAWGKPYGSVARVNIGQVIMSIRCKDSNRAVIMEALRRARYKFP GRQKIIVSKKWGFTPLARADYEALKANKQVINDGAYVQFLKPKGSLLQNLRTAERA L198_02267 MAVTSAPCLPDTPKLRAQASPPSGNNSPVLLAVHHSRRFAKRPS HSTLLLPPLSTPRHRVITRSQSVDFPTHQPQAFGATRVPQKPSVMRTAAPPAIRLEAP DIPTARLEALNSPPLLPPTTYQVGPAASPLSLTPCSPPWQTEFHRSPSPTILSTLDKI SPPSSHPAAHRRTLSDFGSSPPTSLVAAAPTTSNQSKPENGVDLWPAPATPHIAACTL TSMPPLHPLMPRLDQLYPPFKPSQDAIPSRVKSDAYASNQQSACAPAEVEMVHRKSRA RLREAYSDVPYVALKNANGKKPPPIAATPISTRRAQPSLFFPLTPETETAHHPARPDD EIRLRLQLLSPPPTTRRIEKREKRNHPASFDSPFIDRGLEGREKTGQVVRKSGSMMEF PERVQVVRAAKSQALRAISPGMWDMPEGAREQTPTPTLSQPMTVTIGRQFDGGVPQVA APRDRTREKAEAGSSKKGKKSLRGPRTTEVCDTCRANHRKCDGHIPCRVCIKRSYRFC LYSGTATHPRNEPLVASAGGTRDCEV L198_02268 MTADYHRMDRLQNAFTNLRTRTYSSADTLPQPSADEWYIPYTGN PTLPQQDPQRPQKKATHHRKTSSLGGNLRSAMCGAEMEDDGHDRRDVRYNPAAASAQR FLSRSHKGSSPSFSFPSSQESLNHNPAPVTRFPSYTSVPTGLEEPNILFSPLNRELRA KAESSGESVPLRDQPTLEESSADIRRRTVSLPNRSHHNLDTYQQKDEPRQWLVPSARE LFLFPRPHLYPRPSQRAQTRVRRNSIESFSTTSSDNGARVLEKTQARAKERNDWATLV QRRGRSLSLGGRAEPPPDAPIVGNAKARERERSRSRSRERGRNGSMLNISLGGVQGRK RSASLGSRWGRHSKNSSVSDKGERGDNVNNFGKEDGSPAIPKSFDFTRSNNTFRRGGM PPRRLGVSDPNLIGSYQRNNPTPSLAHSRGYTQSHPDLYNTLHSYHEPRNPAASVPIG RTLHFKQPSVADRGGVVVISRNASSRWASSRANRSVPFLETEKPLPSLPTEQRLSSAP SDMPVSPTVDSLMFGMSPAFRGSGEFEAAQDEWQRGQQEIGVAVSPGLCHDPEAEVER SQRPEDLRFSDGSSKARDFLAKQQKLARMRKAFKAPVQSPAGYRRPGPISPHVLSPLY ASTTSNGDSSRAANDSSGLLPSAPSPASSAKIQIRQPTIEERGHSRSTSVGVIEGQGK DPQALTAERPWSGSDLELKSKHGSRPSTAEHRTRSSGISTVQDEEDFHGLFFRTPHDA QSTPSLPSGNLFPPLPPLPPMSSRGSRLSFGVDTISRGNGQGLAHSTSDSQLRRTSAG SDGTMLEINTPDTMLEEILTQGLDVGPRPVTPPRRKLLAPIAIERPIGVTVRQDDSFE RLPHQSQDYQSPSRPESGTMPPQNPTVLPSPVTFSLAPKQPIVSHSHHPFAHPHNFPS TQSLHTPLQSGRSRSRGDGLAVSPAMSWYRDSAAVSFVDDFPSPPGRYDVGEEEGEEM IYSPAKKRTDLSSSS L198_02269 MVPQTISGFFSPLSKESLKSGVTPTSSRTLHPILAKAETIYETK PDGFILTSVVYGADGDIPDIFIEVCSPRASHQSYKDRHDALVIQRILNLVAKNALASA TEEGKGIYRTARQAKQAVHQAIKDLHGIHSKAGYFRFAHVHFRSFDKLKEARMATKPY ITQGQGASVHLEQASLGERVVRAEAEKDAVCGEEEDVGHGFVGGQENGSLDSGIVF L198_02270 MSNRAIVKYVLSGDTVAVRSKEAPEKGKVPKERILHIAGVQTPR LGSMSREDEPHAFQAREYLCQLLLGKEVAFNITHTLESNHAGPGREFVSLFIAPAAPG QPPQDVAALILAEGWARMRDGVGEGDEAIRRLGAEEAKKRDALRAVEAQAKAEGKGIW DEQPESQRTVSFQMPTDPQAFIAEHKGEEIEAIVEQVRDGTQLRCRLLLEDGNHQFVN LVLAGAKSPRASTSRDTEVSNGEPWGDEAKYFTEVRVLQRHIKVRLLSAPASLGANPL QSQAPNKPTGSGLPGPNGLPAPSQGSTVIIGTAMHPKGNIAEFLLAAGLAKVVDWHVG LLAPYGGLDKFRAVEKASREKKLGIWENFAPVRATANGAPGAVAAQGPPSTKGTDFEA TVTRIWGSDQLSIVEKSEDGKERRVQLSSVRGPRGVDAKQTYWANEAKEFLRKRLIGK HVHAHVDYVKPKEGEFEERECVTIRYGNQNHNISEQLIEKGLATVLRHKRDDEDRSVE LDKLILAEQTAQTGEKGLHSTKEVVMPRIVDASEKGSMASSYLPQWKRAGKHAAVVDF VSAGSRFKLFMPKEHTKITLVLAGIRAPRTARNASEKSEPFGAEALKFASKYLQRDVE VGKSSPDYAFDSTDRSGGFIGILYAGGANVAVELVQEGLASVHERAAETLPFGRELVA AEEKAKKEKKGIWSTYSEEEASAKVVSDPTSALPTEYKDVYITAVKDTEPFTFSVQVL EPASVASLTKLMSDFGLHHKQSSSASPAGFSPKAGELISAKFSEDNQWYRARVKRASA IKKEAQVVLIDYGNEETLPFSQLRPLDSKFKNLPGQAQEARLSFVKLAAKSGEYGPEA RRRFEDLTGGLKLIANIDQREGNLLHLRLIDPADPQIKEDPLACVNADLIRDGLATID KSCPYLASYPQVVKKLQQSTEDAKADRYGIFEFGDVSED L198_02271 MPGPLPSFPTHPISSVPTPFASTSFSTTSMTHGLTINTTSMLDP VAAAALQHSYGYAGNYTVSPTALHGAWAAPMSDMRGPTSDLRRGSVQIDPALQDARDL GPGSSSASSAFTSLYHTERDDDSPVYDDDESLPYTSDIYDRFFQNERNAEMTDGDIMP EEHKHEHDSQGSDFEFGRRGSSSPTRGRGPGRGRGRGRPPGSLSKVRGGPGSRGGRGR RGGGGRGSRGGHLEPKSQGGKGGWTRNPVACTNCQRRHVRCEGGPPCQRCQTRKITCV VTCQEGDRPLTTSVPLNNLERPASSPSQTHWSSPEQGTSHSLHNTRNCPLPESGSSTF TGTEQRQETAPPKRKRGRPPKKRDDDV L198_02272 MSIPPQPTLFLSPANANALISDHRPTTLSSPALTSLNSLLDEVL VHLISAAQSINPLDLRKEAIPSLFHAEKGAGDSTAIQSLGRSAVSEAEVELRSWMEDR GPTRGFPPGGKGSGTRSERAFPVLQAVELMRIKCVTFSTLAPPEAADASKEEQAIDEW KTVGGDATDDTVEPAALWLTAVIEHICEHILAQLARVVARDSGSSVASPQDLYTALCE DESIWGVFKRMKAKEQIEFTIRASTRSKRSNTSRSSPDNRSIGRASPALSSASPGRDV SIDTTRSVRMTSPGSATETNAMGGISGGLIRKGSTLNRRGHTGSPVSKVLHYGQHHER NGSVLSINTRSMLSQFQDSFEDDQTAEDIQEAQQEFDKLVKSGDTMKLANGKGKRPQT SPSPGTPSTPPVPPLAHIDKSRRSHSINRVPPPIDPSPLPTDQLPATRPFDASSPPRP PLSSKRSQSDKRLVARTAKVIDEEEEEEEDPAGVPDFLKSKSKKESLMDILAGDPLPE SSHPPAKRTVPAVVLGTPPPAAPESKRKAAPSPIVVAPHVQRPGRVKEVTQVVPEKAV EKAQPPKPAEPAGRPRRKTDAQELADFFNLGPPSGTSSAAPTLRTVSSFQRNGGKKRT EAQDLADFFNFEPPPAAPSPTSGEQPPLTAKSNRFRGFMSKVTGSGKKRDAAEAERHF RQGSTAKPAAHGPLASLSLSRLNSETPSAPPAVSSSTLPPHEMRRQKSLGNMDSAPSS YKDDAPALPAAHTQTQTGVPRIPTPPKVESIPIPEPIDVPQPVVAPSPPQKDSARGKK ALRKPSLSNLRKINPNQSSAGTPISGQSDAPPSAVSAGASSHVTVDQVAAATAALAGL GLGVDDERRGEDPEVPVSSVTTSVTSTPNGREKTSRGKSRSRAGTVSQTRPIIIQKTT STTAITTAASPSDPASNVGTSREPKMSTGASIDKAASTSPVNEKVDEAAEPVAAKDAS PDLVLSVKVPTIKEPSIPLTDLLPLRLLLAHATSATECRLLLNAILTQFGVPQAAPAS ANQDASDEGAEERVMAWLLAGREGPVGDYGYRQPLPASSCVSEQGGGEEKEGHEKAES CESTKEDRIVTPVLGSEEFSYGRKIESGQKGFDEEDVEYLDAEDNHRVEIIV L198_02273 MCRLLVYKGTEPIQISHLVTRPRHSIINQAFESRLRMPSSRPLN ADGFGLGWYDSLPALPANAVPRAATTPAPAWSNANLTRLAEKIRSSLVFAHVRASTMA GAPSEDNCHPWMFDKLMWMHNGEINEFPKIKRALQASLPEELFLYPSGYTDSEWAFMV FLSKLKDPHARSFSHVELRDAMMETVHFINKLSKEAGCTGPSLMNFVVTDGSTVVATR YISSRTSEASSLFFSSGTSFDEYQGSGRGLYRMTKADKRENIIMIASEPLTFERSDWM EVKTNTMVVITPKMNLLQIPIIDEYWVPPQDPAALARSRDFAIRRGFGLGFASDAARN EIAAAA L198_02274 MVRHSRAYALFLVLAILFAIHYYLQPFALPKPDLEQRSPRYTEL KPTRSKPFRTSPQKHTGLERVPEGEATYDNKGLFHWSAGKKHPIALLIEQAEQKAKAM EAKIANVKSVKDAARDYTEAFGMRPPKGYAYTQSVPLPHIPALPSLIPFVHKPFDAFL SHPAFLLRDRVTNLRNKDKSIFTLTFVPDGEGDEGTACESDEEWDPEDWDVRSRGRVV VRGEMAWSWRCNNTLSYILPILPLLPPDILTLNPPLEIAFSTDDGPRGMVHNSFREKS EALARAGRVWPDGQLHQAEQSMRWTYGWSWSCPEDTPLKTMGSDMVLNDIEELEAAQH GKIHFAFIKISRQRTGGKAFVADPMSYMDYCQNPHLMAVELQPAIATCRTMWNSDILG VPLDGVRETVPYISWDDKPNHKVFWRGTATGSFHSKRDPWRSSQRERLHFFSTNTSGS VDLLLPNLASRSVPRQDVLDAWFDVGLSGGPVQCSEEDGSCADMAREIEFKGRVKKED GAKYRYVVDVDGNGWSSRFRRLLQGNNVVFKSTLCPEWFDTLLIPWYHYVPVKMDYSD FFDIISYFEGSPSGDIYGNDDQAKVIAQHALDFVNEKWREEDMRSFGFLLVLEWWRML SDNREEASFQG L198_02275 MHIPFPSIKDRPPSPQLPHPPAGPRPHPFLLEPTVYVNSYPADL PDSVIFECLGRCPVKIDLPPAVPLTHRLMPDAYYDWMTRSGTLQFETLADAEKALAIL HLHPFLVHRGVWVSPLPPAQRLPISPTPAARIFRPSQRMTDALSDDTIPTLADIYDAL RPWGSLKAVSIWITDTESSDAASWFAKAEFWYYNEAEVFERDFGRKGWQIKGWQMYIF TPGEPYQEPSSFPSMLPSPPTELLSSFPLSPQSYHPGPLPPASSHHSSVAPVQVPPPL PAYFMPPTPPGGPAHLPDPTPPWASHNPYAYRPSPPITPNSKSSAMSRSASLGSPSGP KSRRWSLTVGETADGSVQPTGLISDDGTFIQHGKYYSCPGQHIRPAPAFGPGSQSASG LVDYSNVFIKNIDADVNSYFLNKVFSEFGVVVSAKIMRDEAQRSRGYGFVSFESPEQG NAMNNKPFGRQTLCVTLHEPRKLRPDKIAERAALGQSTRSFSNPTTAPSSVSPTRAGE RRSSFTSHLIQSTSSPPPGAYPEPTDDIRLLSPADRATALHRRLSARIRQYTKKCQVE SKWVESVVERLMPMDLALVPLLYNRWEMDEKIKEALAAVQEEAGSERDEGERPTEKDV VKLREELGMIDPEHAEELAKIILEKEMVTKDEWEKGWVESKAGVAIKYGEAKRFLVTE RETEILEEHVEEDGEKKVEPEPMPLEQLTIDELARLPCSQISAYLASPPHLAHLSLSP PSSEQETSLRAWYAKVAQRGRFAARGEVVGYLSRQCVYGQVPGLVGSKSQKLKMLGEL ANAEADDEGLVKLTLYPAVLFAKLGTFIKARSAVASPTLMTIHDD L198_02276 MDPFDYFAPFAANYPRPVVNAKVKRRPLGDIPGPSRPWPLPGSS SSSSLPFVPYNHASMPQSHTSPDSGISLSEASQEVPSVPKQKPRTASKPHSSASKPVN TASASKESHKRRVAIASPTSVVLEDTPHKRQRTTKTKRNRPAEEMAAVDETSVVTVGR LSGNAVSSQDDAGTSPSTAINISGSVASPRRLANPPAPPNPSQSTPISQWSNHYDLGI MGSDLLGGILPSRLNQPSSVHDLQKSIADVETSFQSQLSALDDQWQSRLGKLESEWAG KLVLAQLEVEREKVKAREAAGEMKEKLGRLEGAVAVLMKQSQEQQRHSQEQQRHYQEL QRQHESQHSGVTAMIRSVGMNAAWTTRVEEQSAMVGNLEQTLSVDWTREDAMLDLADL PVEPARDEEKEVVAHSMAAGLVQIDQGHPSASFLKHLTQPTHVPAISPREIDPNQPPY AQDLSQPMTGAHLSTFGRYSHDGLEQIDDSDQDGEYEIEPAESLFEESPPPRPPPRNP FPPRSLNHKAAPHAMSSLDSLFDESPGKHNRPQSKVKTKTSPVKKPKEKDRVRGTWDN SRDMSAVSRVASRTDFEPAGTITTLNNTPSDTTLPGSHESRLFFSGEEFDESHGLQDS FDDASSYDSAYSPGGKKKKTSKLPKTSIKKEVKFKADVLSEPPELPPGVTLPASDGSK RSKGKWPNKKPFSIVGTMQEIVCDWCHGRCHWACAGLSEHVDMSKRDWFCADCKHLQK VKGVTRKEYVDRSQEERCIRFNCILLDDIPEEAYQEEVFVVQRLIGRRTVRKFSAFSH ANSSVETEWLVFWDGYELNDCSWEVRDNLAPHDKKLIADFDAVAGQEIGVEANEKSSL VLLEEAKTVWSTETGDAIEEM L198_02277 MTFPDSFCPGHSYHRPSRSNLSRRVRSHPKLQQVYPSIPLPFSP PSSPNSSVHTSPSSSPGQTTHILIEPEFPPPDPAKTTTLSPSPPPPPPPHPSSAEGNG ACGDSYSMGDLEVAELLRDINHQLQEVRFGQACLTDELRASDQQSRRLSDLETALGER IGQVRYLVNDHMEGNFIDSEHIYRRIQKSEKKLLAQLMDVRMTIEAIQEQQRDMLDND NDAELAAWASLSSRSPPRPFGRPTTPPSDDETANAFTVEDVLEMINNVDSVVQCFLTQ KSPSENAAPATGKNIRKLGETMKRASLTWLSMTGLSLEDGTRGSALAARSKASKRSFK NDSLSTALRDMSRASQSSIGTPTFARKVSSRPSLYFTREADPFDEHQSVSESTPDSPR RSFRHTSQVTMAAVPSAARVATKSDVSSTQDDSDDEKETSVIRIFTYTSAPPTLTDLT VAPAFPRVPEPAPPGTSDEVAYPRLSDMEYESMELDEYQQTVDPEHDTMPDVAPTPPM TYLPPPIMQPQLDTTLRLPGLSKRKSAVLVGNDDAATEWRPESPTKKSRVGSTSLTHD EPMVEQSWFGREDADVRHILGVSGGIDGAGLPPVAAISPARRRIKNFRSRLGLGGSKK TK L198_02278 MSAFLSGAGAECGPTPVLKNVSQRVDVDRSLHQDRFAAGPSSAP SKVRYQAFAQSLANMFGAEQLGGPSRPSPFDLSSLRQHILPPVPNSQAFQSQPSNWAM DFVPKQQTGPSPQRVQSPPVSSPWQTEFSSSPSLYQRQGHTLPSINAGPAPWEIPPQQ YCSIAVTHGHVLPRYFSPGEAPHEQFSPAYQYQPPIEGHAAQSSVSQEKTAYQDPLSK EQGLLAETARNFVDSSSSTMSQNPKLAQSNFMALVRSIADESTVVKEDENSSQTLRED MVGEGAKFVQRGENNWASSFVQDKGKAREASMEDDAQRRSPYPEGDKSYPALGSWLPA LPGHAQAPVMSIPPPPNCQMPAAPPAFANIGAQASHSSNEQDGAWEQQFRDQEALLQS TETRRKSVHFDSETSLAPESSGVPNTLEEALASRVGNIPGSGWGWHESGLTTPEGFDE ETFNHFAGALRWQKEAAPGQSISEQEGWNSLADEWDGLVEKENMREKVVQGMGVGDRE ERYLFMRKNPYAEGVLEEHDYGMDAEQARNSPTIKNILELEAAVQSNPTSANAWFALG LKQQENEHEAQAILALSKTIQLDPSLRDAYLALAVSYTNESESEAGCTMLERWVAMGE EAMGLAAKPASGGRGVLIERLIDMARSSPEEVDPDVQVALGVMFNMVGGEDYLKAEDC FLAALAARPNDWLLYNRLGATLANSGRSNEAISYYHKALLIHPSFVRALFNLGIAYLN LGQYKPAAQSIVDALRLQHADASEGYSFAQREGGMGGGSKGVGSDALWINLRGACIHM NRHDLMEIIERKDLAALPMDFVDQN L198_02279 MNQPNRIDSWLLQDDEKPLTITEDPKLPNASTVTLRRQDHTLGN MIRAQLLLDPTVLFAGYKVPHPLENDITLKIQTDERSNPADALKRACHLLIRQTMQVK TQFQQQAKNIEMGMGPEQAPVAGGAAGAGGQAYDPYGDGFGREGNVVVGGQAREQGQD VYDF L198_02280 MESLIALGDMAYSQSTPTSPTTSVFFSSSSALGGEDLDDQGDVT MTQELSSAPTLESPIFSKTGRNSSSLEEGDGENDQHVSSQGRLGKRARALPNSDSTAK RPRAPTPHLAPPCDDNLDNVSEQSAILAAFAALQGTLKFHQDISEQSLRSFTKRLDRL QIGFEREMREVKHELHNNNEELRQVRGILKSLGLTSLVTVPETIAPVPTTPLTHHVTD SAARQVTPAESAFSGDKYIGNAMREAGIHTLVVGGQGRTVNFPFLSRPRTKKDRGINF SDTEEDTMTDHPSTHGDTNFGSENDESDLSSSSQPSPSQASDVSDATCRPAERPTMIR MRSPDIAPDSPTPTANQVSSPLVVEREEEGESEIGSDDPLDSVSNSVGMSRHGELEAT KANRSVRGPSLISEQSLLPSDTAQRKDWVWKCQGDNTAKGRNWILECRGCTGRVHWAC AGFETMTKWTKRDIYYCPGCWPLLGKAIKQQYSKQQATVEKAQQETCLRADCILHHDP VEDDDDQFAFESVVGRRYRKKGDPETVEFLIKWEQWALWDSTWEPPSALADFPYAKKR FEKQARAEGNSVGAGAFGERVILLKEVQEYFLPKTGKYNISFLKEKKLAHREWWDVEE KRAMS L198_02281 MPLFSRNRPASDAPPHLSDVHTSPRKRLSVDSPTAPSNGDPPTT PSRLKALLQKGRSTVNLGNTDEPVPKRKTSGPNFFRRRSSNASSDPSRRGPAQSTEPV TPPRTPHTGSSGAAMARYADSAPRHSSQFTSPTLFPENFTLSSTISPEAQHVDSIDSS PGLGASSPPNRSARQSWQPSYPLVVPDDSYADVPPDGHGTPAAESSVIASAAGNENAK RRSMDAQKLEGWRPLEPEKKESAPQAESAPSIGQNEVPPYAPSSELVTPPSSEPQLSG STPAPLKSLASTGEPQGSRPSTASTQKTATSLATIHADGQYQFVPSATSSPRISTQNI YPSPAATPSAPSSPSSTFRARPGPPPRKITIHSPPMPQPIKNLPTLTNLSSVANGSAA PEMTVPTPVQTPGWGELAKEGGPKTPGSGLRSPGWTGAWNMPKTPGLGAFSLNLPPPG KDKRSERQELSEQELRKARRAMPVMLRQPSSAPSAEDDEGGEAGDDDEEEDDGSDEEA DEEADADEGDSDNDSDYETDRPSGSAMGLGRLVGKGKGKEKGKASRKISHTQVGDVAK SPNGKSVWSLATPAKEKDQSTAWASFGGETPKGAPATPGWGWKPTELEEGSPITRTGS AQPTATATAAARAALTRDVSSYATSFSTSVTSSGYFDSQPSSSGPSQMPSPSPAERQQ EPLPILDKGKGKALLPSDSGLAPGLPIGLGVPVGTTATVDDPPVVNRESSADDEDDEV ISVIEEESDEEDGRGTTSEGTNEVDTPSVEAVEPSSTGLAARPSIPSRPSLYTQGSIS MIDLPSRPKDTANTSAPQVSVKPSLRTVQSGEAVPLHIDLPPPNAGPSGLMSPAEWAK PPPTPALGIDRFNLGVKPSKPLAAKRRRSADDLSAPPPKYEPPFPGTFIPKPRDEEGQ ELLPKYWCSVHIEGMLQRKMEFVGERDLSTEGTDGKRKVEKIQARDRSWKKLYFILHG TALLVYKFDPHRFPLRANDQSPVPTIDDEEMDEHLHVHPTPERRRRASSVGGSSVGGR RSSNATIGGDSGRRGSADSTNGSIIPRRGSGESNSASSIGLPIPGRRGSESNNLGSGS YRRSSLSIVTNANGSSSNVSEEKDANMFTGSTRRGSMSQGNAPNLSSSQSSIGTGNPL SSHFQHNALVKQYSLNKTESGLAADYHKRKNVVRVRADGEQFLLQTESARDMVDWVEA FQAATNVAKDLDQRPMPKIITLPRRRRRRNQQAQAAAAAAAAAVQANGPQGEGQAVDA ALRVSRQVAASDLADRERERMLMEDQEAAVS L198_02282 MKDDIELEPLGASKPQPPPRHPAAEGSLHAAYKSAEDKAKAMIH LQEGGMDAISVWGLVIAAWFAILAIPLLLFPRILLFFSQVAPPNTPFSSSSVANHAAT AAARENHYDTLTSLESTLCLTLSFGLLAVALISVFLLVPTYDPEVVTPGRGVLVGILT GLMTILGWVLWNARGLGALGTLLGGGNWFVALWGWWVLLFGGGRSEMMRGQKHKTPKR LKKL L198_02283 MSYVPQDLDLSESRYTPVDLSLPQPSLSPPPAAPSTSFTTNPQT HTDAKPGRRRVSPFSPWYTSSEGSCEPPSEHLSHCTGAKPVFNWQGGKLVALPLSPSP PPPSLSGFGSLAPKSRGEYQDTFASNSRFTRSQVNKKRSSAHIGKTTSSPTKPKSKPK SNPKLRPKASGGGWRNVGMACDKCKSRKSRCLGGKPVCERCQKSGLVCEWTVNPRSRN SRSALNSQAEAAAAAHTSQQESTGVGYKSDKSEMEVDDDDMYG L198_02284 MPTTILLPRQNPAPHLTPLPVLLEAQRIARSRGHILDIQALMSP AQREDYRASIGLPGRDRDEDEEDEEHEEIEKEEKAENGKEAEHVDGHEATATDTPAPT DPASATASPAPAARKPFKVPDLSHLHWKQRQKRLAHIAREQELLARGQITEMSIFTED GVPQPKTRTKEKLLTNTEKEAIRDSASYWQVPFSWHIILADLLCRNNLLMQARRQRIP QWDYSTQQQHFERHSQDYYTQGLSPPPTPLHKKRKLSSTDAERALPNGTSIERSLSNE GGASDTPGSASNHMQGSGRHPSATPTSSYTPLQRGTTPGSHPGQPSSARSNSLSLPPG FSGHTAPSGGMNPSLMAGMNPSQMGARPDGYSQGVNGLAMRGMGSLPHTGGAPHNPSN PPATPGSAQGVPSFLGLNNMGAMQGMQAAQALQAQGRLPPSMSGMPGLSGMPGLGGGG QGAQGPMLSGGWRRD L198_02285 MLFLLPFLLLLLPVASAQHPSPALSHPPSVAFSSLPTSLSLPPL NSSSPGLRLNFTDVQSLYLTLSICSLTSNTSLLPRALISTAMPLSFDLGSKAVRDQSS GGLSASVGGKGVNKRSSKDGTTWEMEWSYGFGNWSWSSNGGGYEDVGVLFGLGLDKDG QTLNTSMIGSGNVVMQLDASTRSQQSGLTAAYPKLGDTTATQVLLFSPLLYSSIQEQP TYPNYTLPGPQLAFPDVAELTAAPVNSSLSKNMTLMVVPTTSSPSEIGLDFSQCAVNA AFANASVSGDNNTVVKSARPEWMAIGNQEGFRTYWVLGGLQAGTNYTAWINDDKDVMS RPAWFGTKNASFPCQLTMPNDICPNIGYSAPLSPNATTINSPSGELISNTTPITSFPD EIADVLTDNLQAFSTSLLTRACGRDLFSHVSTCTDCYSSYRDWLCRMVVPQCGPDYVN TTSTSTSTSSASSTIFPLPSTTPRTLDTPRNEDLPDVPYDYNELLPCLSVCNKADRQC PVWLGIRCPKRKVNAAKSYAFVGDHSSFGDGSAELGMASEDRWGNKWCNG L198_02286 MFVAGLAVNPTPATRTRSESVVSIVTTGTTRRPSGGSFLESTGA SQLPLTSPEHAPPSPAGQETLPKSPEPLEPTEPANPPLNERDQVFEELLVNLHGAFQR STGKGRIWVDPKARRDFRVVIVDKGVKLPMRKVPPAVGASNSDAPTNPHSPLSPLIPS SPLYPDGLIAPVWVRKHSELVPSVFVLFLRLYESPPPPTGLETIEETQSRESEDGQRE KEMDELLIKEISDRRRRLVERGIKLTVVLMASSQTLDSPDLDPRLSHIRRQSQISSKA SLFVLSPVPADQLPEFVASLQEALYESAFEYYSLHTKRVRRKRSRLPSNPPINSPVTT SSGQKILSPQGWAVRYDWKLGWFAEIRGEVEMARRHYEDCWNELAKMFASTTTLPPRT KRWAEAKVLADCVATRICKLSLYDAEGPRSLGSFSVHLKRFGDLSRGWGIGEETFEFW SWIARQYRIFAELLEMAQQHGLRITTPLPTFPPPGAASTPQNVMYYATPISQGNPSQT IQHPAIYYYTAACCTLKRQERFKEILEIENDALSSEAGIASGYVSAAPGFANEKKVDH SALVIELFSKAYSLLKDLPAPSNRTALYIAYRIADTYHKTGQYEMAIRFFDRIASSFK KERWDEIVRDIRKVWYDCAKATGGVEGVGRLILEMMCAGNSIGQEEREDLQEELVSLL KTTAPSTSSDKILIEMDGPPEKELLDVRAGFWQPDAAASQEVPYQVTLRCPSNVIIGN LEFSEMELNFTDDRLPIKILNEPSTSGGHVSVVDLNTTTVAPLKWAPNQVLVLNGTLT STHANEVQLANVKLRLKQGSWVFELAFVPGEITGWMTKKGIVNHGATLSSSVFFSPEP HKVDVEITHQPFAFVGEDLPIQVKVINNDERTMEATLSIFMQPAEDGEEDGSRISVSA QETTTLLRDIALGTLAPGASISLPVHLWVPAESTKIIDFALHTTVNGSAESLSASAET HMTEERPEEVNRTVVVPVLKAFAIETSVKLTHQGKKGGEGAVGLNIRVGGPRRVIVEG LELVANKNDREVKMRSTSLDGVTLSEEWDEQTSYAVWAVFALAQGHRGAVGNPILIPA ELVISWKSDSEGPLVKTTHPLPPLLIPPPTDSFLIPTLHLPAPAIVSPHTAFPLSVSI LNTHPTHAASEVSIVAETSDSFAWVGNRQIRLTEIAPGRETEVKLECVALGGSGWVKV PNIIIWDGDGEDREEVRVKGDSMVLIRST L198_02287 MPGLLVLLIALTSLPILFALRVYRLLPSRATKAQSRAGGHTSEM KALLSSLDFERYQPRTYIYCHGDNMSLNAVAEIESQKGSLTHAKAYDLLPLPRARRVG QPALSTSISVIKTLIVTVHHLLVVPLFTRPTEPFADLLIVNGPGTCVVLVVVAWIRRI LGLSYTKIIYVESFARVKSLSMSGKLVRPFVDRFLVQWPQASDHKAECKGWLV L198_02288 MSTIPSLPVPGEQQFPRRLLHYIAAHPALRSWALSPPLLSILLL VLIVRQGGLVVDVEDDGRDKLVSIVYAMMESIFSMTVRTLSLSAVTRTDELPWSLFRN HTPRHVPSQESQLSDVRPRIGSRKSTNLEVNGHLHHNLDKDHLDLPEVLIVTGLENAS GPVQMKMCDYLTKKRVEARVENEDRVWEFEPVVVWVRREGAEVPWWVTDHFMCGTTVD PFAMDKPPRGLRPGAIIPQPYLKTLSLLLPYTHIHASISMHISNLLSAITTHPSLHSA ITSRAVRAFPIYVRAHRLLVGDFTLPHLFEVKLHQQDSEGVGSQNKKGLGGGTGGVDS WNIIAGEEPDVNGLRQEGNEEDFDGWHVMPANVQGVWNVLMAHRVKKRREREEVMWLV KGPAHGGRRSAPGGSIDDILNEIIRTI L198_02289 MSCCSNLPPVQADYTPKGTYTTSIGLKSYVTGPEDAKAAVLYIY DIFGFSPQILQGADLIASQGYRVVMPDFLVGKYATPAFFGPGTEEKRKEYFSQFPAAF ATQSKPLADSIAALRAAGYSRVAVLGACWGYKAALTTEGLPNVDVFLATSPVFPVPED AEKINVPALVLSTSGEDKSIIDAIEKGVEAKYPGKNVFKRYDDQVHGFTAARADLSGG ATLAAYAEAYQLIVKFLKEHL L198_02290 MRILAPSRRRLPRHILPASCALSYTPAARTQATTDRNEWLDFLK TETPHTPESSGKALLFAGLGSYPHTPHAPTPSSLHLWEEASEALLSPDATIGYQPIRS ADQVKGGLRSWVEGRSLDDLMKRPDITTSFILASSLAILKSEQERNGYDTLLPPEITH LAGHGFIGMLTALVAAGKLDLATGVRLARIYATLPPSPPGRNRPHLTTVLSARHFHSL SSPSFSVPPPTHYATSDDDPLPPLAAKDPASGEVEVGERQGRRRAMQLILDEIHGLEP QWAEHSQEGHEEWAEAGIINSSKVVVVSGTHDAVLQVIERLQQLNLANPVMDIHMPCP YHTKLMSHAVPNFRDVLDRCYFTKKPDGPVILDTVTTKPIGNSASALLPHLTDQLRWH KTLVRLYSTPTPEVGTFYTVGRGAKGLGIMLRGELKRRLNGSAPIHIEEMGVGPRDER LVRALRG L198_02291 MAATTAPQFKILNKIDTIPVVHDSVTYAHGLINSHPLGASLYQT AVGVASKGYDAATPVLSRTKPLLESVDGLAVATFDRAASTFPYPFTTPTSDLPGVQKL TDLFKKTAEVNSALGARVHGTVAGSQDLAQGLFEQLRGLAESGAALPHALSERAVKVS GEVRDIIFAKEGTVQDKGQKFTAYAIDQAKPLIDEIYTLLHAAKVKAGEEGDHLAAEA QSVAEDVNTKVDGAKEDASKKADETTKKAEVAKEDASKKANGAAKEASSKTNDKVQSG AQKTAEKADKASGTVQNKTA L198_02292 MLRTLPRNLRLPSRATLRPKHFSPAQAARKHYATEAVAPSKNDL FANGGNAYYTEEMYRLWKQDPKSVHVSWQTYFAGLDKGLPSSQAFNPPPGMLGAVPTP AGGSPKLSVEGSGDVTDYLKVQLLIRAYQVRGHHIANLDPLRISDADLSSRVPPELKL EYYGWTEDSLSKEFKLGDGILPRFSGHVKSDTMTLGQIVDELKRMYCTHIGSQYVHIV DRGQCDWIRERVEIPTQWQYSTEEKRMILDRLMWSELFEKFIASKYPNEKRFGLEGCE SLIPGMKALIDRSVDAGVKSIVMGMPHRGRLNVLGNVIRKPIEAILNEFKGNDDADDT GGGDVKYHLGANYVRPTPSGKKVSLSLVANPSHLEAEDPVVLGKTRAIQHFEGDEGDG SSAMGVLLHGDAAFAGQGVVYETMGMANLPNYGTGGTIHLIVNNQIGFTTDPRFARST PYPSDIAKSIDAPIFHVNGDDVEAVNYVCTLAADWRATFKKDVVIDIVCYRRYGHNET DQPSFTQPKMYKAIQKQPTVLSIYTDKLIQEGTFTEKEIDEHRQWVWGMLEKAFDGSK EYKPSPREWLSSSWEGFPSPKELAEEVLPHHPTGISQDTLEHIGEVISSFPDGFTPHK NLARIIGNRGKTVKEGKNIDWPTAEALAFGALCLEGTHVRVSGQDVERGTFSQRHAVV HDQETEQAYIPLKHLGADQGSFTVTNSHLSEFGTLGFELGYSLVSPNSLTMWEAQFGD FANNAQCIIDQFLAAGERKWLQRTGLVLSLPHGYDGQGPEHSSGRIERFLQLCDDDPR VYPSPEKLDRQHQDCNMQVVYATTPANYFHVLRRQNKRDFRKPLIVFFSKALLRHPAA RSTIEDISGETMFQRYIPDSHPESLVAPEKIRRHILCTGQVYYQLLKEREERGVNDVA ISRIEQLSPLPYDLLTPHLDTYPNAEITWAQEEPLNNGPWTYVQPRLITALKQTEHHK DKVPTYVGRKPSSSVATGSKGAHKKEIEAINEGAFGTESQE L198_02293 MNRRGHLSKKIPQVKIRPAPARSGDNRQASPERGWDEEREEIDI AQEDQLQRQSRRNAKPWKGVHLTFTGVENKAHLMGLARELGAITESALTKSVTHVVAV ACQSAKYHYAVEHRIPVMTPVWIEDAHAVWLEGNDFDFWEMQEAHRLLPFEGLRIAMS GIEHLDRRRQIVDLINYNGGIYSKDLDKECTHLVSSKPAMEKRPSEKIKWALRNIADN EAARRRGNKISEDADIKIVYEEWIWDCVAYKGRWKETMYDARKPRRGGRVNPTDVIND TVNLSEPERRVVLETAVNLPDNFDASEPAAIKRRKEKGLDSLVGQIIPGISNRGGSGR STPKRASPDVEEEPLAKRPKTVKSSMAHLSRTTSFAAEAERKPPARSKFSSPPDPLLD TSADPSLVQVFEGLKMVNRADGIFDQVNSHLGMRGAILVSWSEFNAGLEVDYVIVRMD FKGVPEQQQSGQTRWVTENWVECCVTEEAIVDPNSDIFYRPLTFPTPLPALGFSLSES AASQEMTHLVTNQSNTQKMRRASRIGAKIVSIEWIQKMAETGKLEPWEDYQLTLPNAL KPRMSNNADVTSSMSIASNGDLDRLKIVSKTAREQAASAAGPSNGASSSGAETARALR PHSTHIDRASPEKKDKMEAPAHPVPSAGGSARKLPTGIYSLSPEKQSTLSRAESSPLK AAKSSPIVSTAASPSISIHNPSPAKSASSRAASKSPVKPSELDIADVVGENRVLGQKE SMTEVMRRLAERPSGSATKNPPRRARPSARLKTTASRSPAVNSPASNSAAGANPSPLS RPAWEEESMQYPDDPLRDLALGQAEESMQVMHMDPAGDKAKRRLYALLKKGEEST L198_02294 MSLYRTSIACARTPRVAPRWLHTSTSRLQQKQAQPQTPATDNIE LTAPATYISESHDPWFNLSYEDWQVDINTPVDQPVLFLYRNFPCVVIGRNQNPWKEST PRKLRDEGLPLVRRRSGGGTVYHDMGNTNFSIMLPRLMFTRSHGALLVSRAIRERLGI EECGVNERNDHVSGSAYKIIQHRAYHHGTMLISSSLAELGKSLKSSSPNMETKGIASH RSPVTTLNHFIRDVTKPIHHDSFTSALTSEFAQVYATPSKPMSTHLVHKSGVKEEKVW KGYEELKSWGWQYGQTPEFTNKLEESFSWGDLSVSLTSRHALITSLTFHLSPPASHPS SPSAPEVAKIQAFLDALALDLIGKRYESLEGAEGAMGHEWEGEAWRNLGWEVMNWLRR TM L198_02295 MDSRSSIQQVPLHLTSLTILSPNNAPLYVHSFTGEQDQLSHYHL GHAAVDVIEERIVMTSTPTKPADSYLGLLFCMEDMAFYGFQTPTKLRMVLSVALVDAM IKDADIVAIFRAVHQLVLSTTNNPFLSLPPTFHAKPAIPAETDTKPPSSESIINPQIP ASNMFATSPEDIKAEWFRDSKRFNDGIKAIGELLSGGR L198_02296 MTSLDPSHNPLSHKAQAVYDPAHSSPFPDNLPQPSRHGHDKAKR EGKRRVTRQMPPLPDLRFEQSYLLSIRPFLKPSPRTESGREDKKSEKPSGAAVAQSTD RDEVFHWGREVDVDWQKVAWVTIRDQLFAPLIQGAVWGWASVLLAATGVALRASLYPA SHVRQGRVAGGPGGPIKSAGGGEAVAGGWWKNWVGSFFGGAETNAVI L198_02297 MAPLISFSALLRATRPRAGIFSRRGVSTFRQHPAIRTLSPGLVV GAGVALIVPTYIYYNTALLESHTHVVDAAVPDKPHGLEAEQGKVKKISIKDVLEHKEG ERIWVVIRGEVYDMTEFLEDHPGGDEIITSNRSRDVTPLFNPRHPTNQLEPENLPPTV QHLGTLDTASASDEEKEELRLKVSKDEEDEKERIKRLREEIEENGLGKIVNMRDFEKL AEQMVSKVGWAYYSSGSEDELTMRNNNNVYKNICFRPRVLRNIAECDASTTILGYDST LPIMISPAAMAKLGHPLGEVNLTRGAGNTGLIQCISSFASCSIEEVTDARKQGQPLFF QLYVNAKRDLAEAVIEKVNRLGLNAILLTVDAPVGGKRERDIRLKGAFEPPKTGAYEE HKDTKGVSEAMFAGVDPRLNWDDIKWIRSQTKLPLLIKGIQTVEDAVLAYNHGCDGVV LSNHGGRQLDTTSTGLETLLEIRKYAPQLLRPQFRGPTERKFEIWVDGGIWRGSDAVK ALCLGANAVGSGRGFLYANAVGGQQGVEHAVNIFSAEILLTMRLLGATKVSDLVPSMV NIKE L198_02298 MAPKPASNKKRAAPDAGAAPKKAKVTNDKPAKKQSKPRSAPRER EEEIKKRKKPITQGGGDEDDDASMGEESFSDEEEDFAEGEDVEMGEDGAAEGAEGTQE KKPRMTKAEKLALHAAQPHRTSLLPSHPLLQDTLLPLWETARRADLSKEDRKKAIVEL WEAVKGRVGEVSRGHKGGRVLQTIVKYGGKEERLGVAMELEPQWKAMMESKYSKFLMS KLIRYCPSIRPLLIPHIAPQLLTLLNHAHAVAPLSDFYDLYASAKERRLLVRGFYPRE VKVFDGAKQGAEVKGLEASLEDMGDGKGRERVLDAIEKTVTDVFNATQKNALTQSIFH RLVLEYVQCIFKFLDAETAATKYRELLAVGAESLPEIVHSKDGSAAVRELIVRGNAKD RKTILQPMRKHVEALCKDGDAQMVLFTAFDCVDDTKLMGKAFVGDIVALGPSLAFDKQ GRRALLYLLAPTSTRHFMPTTISSLAANQKLAKELGTSKKDADARRKELMSQANEGLL KLVEEKGDEMVRDPGAGLLVQEILLNTTGDKTAAIQTLASGLDAPYPDPAPLDANPDP ATSHPLDLSHAIRTYKILLSGGHFDTKAQTLVVPDATLSPAFSRAVWDVLSSEHAGGE ANLVRVCKGNAPFVVVELIEALRKAGYAAEVKKVLGGKELRQEVGQSVRKGASLLAEK LAEL L198_02299 MGKYAGKSQVLVKPKTTKELSTVVTWCHDRNVAIVPQGGNTGLV GGSTPIHDELILCLSNLNAVRSFDPVSGILTAEAGIILEQADSFLASKGFIFPLDLGA KGSCQIGGNVATNAGGLRLLRYGSLRGSVLGLEVVLPDGRIWNGLSGLRKDNTAGYDL KQLFIGSEGSIGIITAISILCPRRPTSTNVALFSVPSYAACLKVFSQTKAHLGEILSA FEMFDATSYEAVKKHGGGGTGRKVFGDESEGPFYCLVETGGSNAEHDSEKLSSLFETL LSDSLILDGVLAQDASQAHNLWQIREECPSALSAAGKAYKYDLSVPVEKMYEVVERMK ERMESLAWVGGKVRDVAGFGHMGDGNLHLNVVATEFSEEVQSAIEPFVYQLTAEYNGS ISAEHGLGAMKAPYISYSQSPASIELMKRLKKTFDPKGIMNPYKFIL L198_02300 MPAQRVILVTGASGGIGRASSIALSNAFPSPSHPEELVLVLVGR RHAELEATAKQVREGTTTEIAVGDASNEEDVKRILETVSEKYGRLDLLFNNAGINEKS DGGFEDQDMEVSVRVLDINIMSAFTKYAFHVMKAQEPQGGRIINNGSISASAPRPNNT AYTLSKHAIHGLTRSTSLDGRKYHITCTELDIGNAATSLGSHVTAGSLQADGSKKVEP TMHVDNVANTVAFIAGLPREADILSLEIMQAS L198_02301 MITLLTVVALMVTMTVSLLGTALLSGFDLADTSFLLDTSTTHSS NCVSILPCLAMVIFLAFILSYHFNRCDRAPAMRLVSHLSQVMETLCHTWASRALLTPW YQSVLRALQPSYPPRLLLPFYKPYHHLPEDTWRNPPEARRCSQGFMGARKDAEKSGRL RRRDPPPAASVVLWNIAPSQTSSSR L198_02302 MDLPGVRAAWGLPVDGVPTSASADSRHLASSSSLERGVRPAAPE MMAETQRRARRLANDVFVGTRGNDLSRDLNAAVDTSHYPIEAGHPSVEAQGYDGDDDE EVVGADDSGYAERDSTGSGSQEDSTERDSEASPESKPRRKTARGCRSGKKVKEKREQR RQEKDRPRLLARRALLERQFVGIYDHAAVGGVMPYPGAGHGLPQRPPPLYPFPRQISP HRPDAWHQPSQQAHQSPQQR L198_02303 MPPASSDRFILLPSTYLPSRDPTSTVTLQSLIDVSDRIQDDARE TLPFKFDECSYGKGHLRQSVWSCIDCGGKGVCYGCSISCHGEHKLVELWTKRSFRCDC PTTSMQPEPPAGNQVKRRRCALYPPEIQPQSPNHKNKYTHNFEGEFCRCGRDYNAETE EEAMLSCLGCEDWFHESCLNLLLPASIKSEAITEQLPTPVTASSQPLGEPVPASSAPS VDAQAAEEEDDDDERVLIPSDTYDSLICSACVRSNPFMKSQAGKGGWMMIEPSSSGSW EVIGKSVTGSDSQIVDEMKNGKRALQEDEADAHAKKIKLDDATVKASSGEEISDWKWQ GKGDVFLSHGIREKLKTQLDESTIASLPFPLEDGDIYEPARDEQEDQTTEEVMTQALS NLPRVQTIEALHGYQRLKNRLSEMLAERAADGRSVSKEDIDEIFEQLRSGQQV L198_02304 MSAPLLPSYMPQSPGNPRVGSPSSPSRSSPSPRSPARPKGGRAR SSSPSRSGSYPQRPGWREAGDEERQFLANASKNVEISANVPENKKAGITSNPVVIIPI WIALSSTVILYNKYLYSNLHYPYPVFITSYHLGCAAIGTRILKATTHLLDGLDNIEMT RDLYLKSILPIGVLFSGSLILSNTAYLSLSVSFIQMLKAFTPVAILLISAVFRLQTLN SRLVGIVFLISVGCALAAYGELHFEMFGFLCQASAVAFESSRLVMIQILLQGLKMDPL CSLYYYAPVCATINALFLPFTEGLAPLQEFWRVGSLIMLSNAGVAFGLNVAAVFLIGA AGGLVLTLAGVFKDILLISSSVIFFGSTITPVQVFGYVLALAGLMAYKSASK L198_02305 MVVSASSQRDGTSTSKRDVTLKMTDSSPFDSARSTSMTAQSSVI GSQTEATTQASPVDSVSSASRQLDPTQSSESFGQAPISSEVSSASQIRSQSSMTTTPL SSPTTSTFSTTASASSAATPSTAPSLSLRAHSRRQWRDGDGSGPGETQQTCQTTTAST IITYSSSTSWSTTYITSISTKTVVVPTQTIWGGCESTSSTEWAEPTSSSWADGSAWTY SSSEEGYWVESSSSSESDEWWSSSSSWTEAWSDSASSIPSTTDDNSFIAAISSTTSTT ATLTSHGPTTISLSTQSPTSTSSTTPTSTISSSAAAVAAASDAATSSTTTASASTAED NSPHINKSVSIGAAVGGVFGLFAFFAMCLYAVRWWKRRGRVQRTAELRSSWFYGGDVR ESKMYGTNEKGFEHGDAESQLEPEAQLPQSRFSAPSLISHSAIPALLRQPISHIRQGS GRFPPILSLKHLRLGWNPDQTARKENGNGTGTWVDKYTTPPTVNSTRSRSGLSRNVSP PRAMEMDSPLESTPTRPRRPDGTVSDHAPDTGVTWGASYTSPTVAQPHHQQWEHGQGL EAEFDHAALSEASHSVYSRTSTYTQHGNALSRGSTLKSMQAGGAGGANEAAATFPMPP VDHPFLHSRSSSNIQTERSLPPPPLPEIPAITFSPNFSHPVSQDYSITRSTQHSSGVW EYSGYDDSSRHTPLSGTLQLSREAPLIQGGVDDEYRATRDWYEKSIWDGGITESSTVP HRPEALGHSSRKSVKSVRWGDEERDLQDGVPRAI L198_02306 MIDQILGRPSPTLRRSQIWLILFFWVWRLYKGDGAPRPLGRNPA SAFIPGSSRVSGGIGERVRDRAAKSWLWKLWVELLGRRLVTWMGKVNDRLRIFTPYQL ILATLTVVYAVRHVDDLLGISAPEPLAKLYSRSYYRATYVNTALDAGFSMAMNIKPQW LKDISSMVFSGYYLLYAREGDEVLRRFRAVCSVEMLRTTWDKTNNPYIRLLTARHRPK LPIVRTIFIPRPSQSSRASLPPVKTMLFFSGSEAELAQATELIVDFPGGGFIAMSPEC HEERLRTWARRTGKPVLGVNYGKAPEYPYPWSIEEGFDAYRTLIETKGNVIGIASGRL DIVLSGDSAGGNICATIMLRIIEYPTHIPRPISLVLAYPALDFNFTSWMSPQNLSVLR TEQSETQIPGMIHGKDHMRHKAPLSVVDDVEKKSRRQKTWAATISNKIPMMSPRTEGW RSNPQSPKSPSKRLADLPRSVSAKVMAWATADAEEDVRYSTEEEDEGDEGDEKTDSKV VGGADKRKDTEKSLADRVKTPREENRFELTRTESPAPMVEAEKDKEAFDAKVEKKRKK KGAIGTRLTMTSRVGYFQDRIISPTMMRAMAILYIGPQRNPDFETDYYISPILAPPHL LTHFPPVYLICGERDPFVDDTVIFSGKIRSAKRARKAEAETAALGKSTKHGEGLRMST SKSKARREDTVDPILQETDEDWVQTRIIEGWGHGFMQMSSLMKEVDPVLLEMADWIDE SFLREKERKREAEELSAAARLSASTYPTVEAGVTAHASTSLHLKPTQDYPRVPKKTGT ADLGSAYFGAVEAPEDANDNLVTFTPKNKKRTPPPSGFLPVPRRASKESLASLRRSPS APKFDADETGSSGEAMSIVTPPLSLKSLPFREGATRGKATFGLFGGTTTPAKPQERSG RVSPTLFGRPRERPGSSNASKPIPSPSAGARTSSVPPHSSLNSSTPTSPPEKPKGGLV AAALSSARAASPALAAAAGFVPQSVGHVSEAELMRRRRMEAVYGIGATASGASSEGED E L198_02307 MARNLLLSLANKHSAHHQPDSAPSEPPNNGCAPAQDSSRVRSRL HALFHAKDEQHPQPHHDPWGGESRASEQTDRDAGELAGAGVAEGADEGKRSETPNGDP RVALTLSPPKPQREAIKVMVVTWNMGDALPKGDLSVLLGQVPPYKPQPATSEIPQLPV ENAHPYHIVVVAGQECPTPSGAPRGIGGGLIKGMTLRNKRESKEKERMEKDDAESRGE VIEGDLEEGAGLKSPDIAEDDDFKGRVSSPMTSHSPFFHRLPTTSKGWSTMLDDFLCG PNYKYKAPPSLPLSDQLSNPTSRDSPMPQKFPTGASSPIPSPKPPIFRSASVPATPAT PILIPFSKGSNSGTQTPTGILRTHSRSHPIVSPPGNSRSSLESTGVESSSESGNEEDY SNTATTSDEYKNTRKPNRPKSSSSPVKPSMMRPEIVIPTGDGADEDEGDGSYVHVAKE RLMGMYFSVYVYKGAEHLIQGLDKDFVTAGLAGGRVGNKGGIGISLKLAGHRFLFVNS HLAAHTDRKAARIANINKIKSELRLDCFLPKDDPRSQEEDITERFDTVFWCGDLNFRL ELSRLHADWLIEQKKYEDALKWDQLRMAMSSEENNPFPGFEEGPINFPCTFKYDVWKS VRATNRELRRTLKRRKSTTSAISTGDPEPSDLAVPPARKAGGHQKTLSGVPEAGAMEE MGEEEPSVKNPSRASNDLETPYEFLSQRENSPYRSDDDYDRRRSFESSRYSGMASTTA GTDFDDSDDDEEPQASAQQLKQFEHVFKEKTRHLLELVKMDGILTTSPAKKERKRQMS NRRRGFSSAGQDRREERERELEREEEELRDRWGNESRRTSMSSFVSNAIEDDNRRTSA SSYRSVRAGPSSYEGHLNVPGTSNRSDNSHSSFSPPKFDQGLGKPPFARKMSVMKRNP SGKSFKDFEEEEDDEFEMGLDRREGIYDTSKKQRVPSWCDRVLWKAHVSPDPPSPIVE AVEADDESLPQDRSFSRLSTVFTSFGGHFRRTATKDPSPLAASANTDTALRMKQAVES SGDDYELERNISRALAYDAERNLGDTVVESPPETPDLNAGPSCVNESGLIPAPKRSSP ARSQAKDGRFFPSSQSIPTPTITPRISPVKGGSGSATPQANQSTPNPTRHMLSFDSLP KGISTPKQSTPTAETPVRSQSPQVERIKSAFGARPRSHSHNAASDKPEKEKKKGRASD GIVGVLATPTKSAVALRRKVSGAASRSGSPVAASPIRASTAPATGDYFVAPNADPTVN TDRPPQSVAWGPPDAPHHFKSYAPLTKEPIGMSPVTHAPTAPVPNLKSSANTRKEEFG SLRRWMKEFPGWLHVTKDKPVEDKEMLHPIVEQEKRWQKGEVRCLHYGTIDDSGMRLL EGRSDHRPAIFAGAVYV L198_02308 MSPPSTFQPPPLRPHSALELYLASALMFLISALAALLAASYVYC PAHIWWIQPLCEDTHYKYLAPLLIPVTVWFAFANWFGWEYFRYA L198_02309 MGSPIPRQRSRANLKHPIPAPPSTRSLAAMAGGNTSPVASPTPQ RRPELTAETIRTHLSTMLEQKSSQLQMLGTMGQEILRQQQELEEKIRGFEDEGLDGDE IQEETKDRLRELDEAMSKWENQNEDMMRELGGKMPDSLQGPSLGQSSPTKATAQPSNL TRRQRNAQHRQLDMEFATEIGQNLLVEVRRLQALLNERDRALEKFAEEKETWEQENQS LLVAVRTAETSVDRYKEENWNLEVNLQELRSSTADMQEQITKVTAEQARLQRSLVSAR ESSESYKTEAEKHAQAIEEIKVKHETEMAQARRSAAGLQRDKSDLLGELNVERQRRVS GARGRLSRGTTDSPGMLSPGADSEEDDVFAAGSKGNGSPTKRPGFDANDNALSPSQLY DSDFDSPNPTPSKPFPRSPLGEMFVNENDELREKLREAEKEIEALRSENATNRQNSSH KDNVDEFGARPAGGEWDEDEQTIGAASTRGRGSRGRRGGRGKGFAATIGRKFGFNRAP SGMTTPGAGDKSFDSTSSGTPDLLRNRGLSASPAPSVLGGESLGNVLGTNSRDRLRSM SPSVASSENLGGGDFGQIALSDEFGPGSGHAEVSDDVDDWTPEKPTMTSPAATTPPPG TPKELQTPTRSAFNDSTLRGLPPAPANSDAASAGETTPTKNTVALPMPSRLQQVFPQS TSVDDGMSTATDTDADAYESAAETVGATTPNRSHSELPTDTEAYQTGREWNDAEDSDA DDDQPEPEHTMRGHKLSSTSLGLGLAGGWTAAKQAHKLASRDKIVERVEVPVEKIVER IVEVPVEKIVERIVEVEKIVEVEKRVEVPVDRIVEKIVEVPVDRIVEVEKIVEVPVDR IVEKIVEVPVEKIVEVEKRIEVPVEVEKIVEVPFEVEKIVEKIIEVPVEKIVEIEKRV EIPVEVEKIVEVQVEKIVEKIIEVPVEKIVEVEKIVEKIVELPVEVIKTVEVEKIVEI EKPVDREVEKIVEVEKIVEKRVEVPVEVEKIVEKIVEVPVEVEKIVEVVKEVIKEVEV EKIVERIVEKPVNVDVEKVVEIEKPVERIVEVPTIVEVEKIVEKVVEKIVEVVKEVPV DRIVEVPVEVIKEVPVEKIVEVVKEVPVEKIVEVIKEVPVDRIVEKIVEVVMEKRVEI PIEVEKIVEVERIVEKIVEVEKRVEVPFEVERIVEKIVEVPVEVEKIVEKIVEVPVEV ERIVEVPVEVEKIVQVPIEVERIVEKIVEVEKRVEVPVEVIVDREVPVERIVEKIVEV PVEKIVEKRVEVPVERYITVEKIVEKIVEVPAAANRSIDSASQTDPLASALSSPHSPN PDIGLFRVAAGTNYDFLKAPPAPGSLRNSRRVSSENLSAVADGSKGTPKGTDTSDDMP PPSPAGSLPPDRSRPPTMNLPPPPSGPPPAGVGKKMSMGPPPRPTSPPPEDFLARATS PTFQPTGRRASRNAPSSSAAAIRAAHNDMPPPASTTRQPSRSSFKPTSSAVPTPVKDD KARSVRRRTGNTIATNVYASNNSSVTGHDQFAEFDRNPSISSFGSFAGTVPNGQAQEP AHGSTDPQTIHAITQTMIGEYLYKYTRRTVGKGQSSNRHRRFFWVHPYTKTLYWSSED PGSSRATESSAKSVFISNIKVIEDANIQPPGLFNKSIIIATPNREIQFTAPTKERHDI WMSALGFLLQKQDANADTALADTTAIRNATSRSALSTIVDEQGRLPMPKSPMSLRSFG SVRNSLDITPKAHRAQTSLGVHPRTSSTMGKRQGTAAHEYMRRNDVPHTLHGGHRYKG TYRGAPIIDDEFDVISRGDGDDLDTSFEGLENVRACCDGKHLVGQPHHHHNHPNVPHT PSRPETPSLRGWSIRSQRPPTAMSDAESIFSTGKRRERERSKSAMGHRDRRDGAKSPA IGSLRNLK L198_02310 MSPQESPSALLRNLKIFWGYESFRHPQLEICSDALRGCDLIVVA PTGLGKSLCFQLPAITIDHGVTIVVSPLKALMADQVEDLTQRGIKAVQLSEYTTWEQH NEVRKQMKMGHPEIRLLYVTPEMLLSDKHKSTFDIAYRQRQIARLVVDEAHVITEWGK SFRSKYRELGQFRQKYPGIPITALTASATLEVRKDIIQTLRIGKGHGQWVLPFNRRNL FYEVRYQGRGYYDDEDREPQKSTTDDMAEFLLKYRPQAMKRNEAKGINRPCVTGIVYC RTTAACEEVADNLRQRGFTARPYYKNLSQNVKDQALAGWKDGSIECIVATIAFGMGID QANVRYVIHYQMPKTFEGYYQETGRAGRDGHISHCLMYYSREDAKYLRGLVEQEDAKN KRNAKFKDGNIDTSKTPSQGLFSFKSLQNHVEKAGQCRHIGICSYFGEKIDHTNAEVK AAYCENMCDVCKNSAAVRKASMRLTEGVPVASPQRIDEVAVVTQSVDKPFNGYSSAIE DDRTVPENDLRDLHGTHPSIFDFNDEDDDELEALGPSAPSRPHALQFRTQAVLNSGRS APLSGSDSTEKPLVPGSSNPLASSRSTNSSVHHNQSSHTPVLERDLSGEERHHQPIRI REIIHIASGGSPTPPPSASLPKRRKVGLSRQASGLSNSLTSSVELENLEKGREVEMQK IRSRKEREREVALSRIQQRPEMMSPHVRYLEDSEEGTDSRLKLTRDQRIKAEKMLGSV KPVRDAVGPYACYNAAAGPSVPRRRVSAPDKAFKPPILRSPNKVRSELLTKSARDKSV TNIGSALQDSLGHGELAWKLLIFCGKNERGTKRANMLIDIARLIERDLADACREDPGA YNNQVTEFRKAVKALRSEEVVEAIIDGEIDAFEDGGPGVGYLKALIRLVKGYTSDR L198_02311 MTQTSSDLALASKTSRIVIVGGGGTMGSSTALHLVRRGFTDITI MDVYPIPSDNSAGNDMNKIAGADNIDSFGGTSDSAWDTWVKDPVFSPYAHSVGKLDLT ENEGRASRLRAKYEKFVALGRKDFEWLENENDIKKWAPHLKDADIKASLYCATGGWLA ARDAIDSVGRELQKAGVKTVFGPSGNFNSLVFDNDGKTVKGVKGKDGSVTEADLVVLA AGAWSPALVDLQGQCVSKCWVYAHIQLTPEEAAALKGIPTVYNDKYGFFFEPRVENNL LKLCNEFPGYTHYVQHQPFDADEPKRISVPRSHADFPSDTMPTEALDEIKRLVRIALP HLAERELINQAMCWCTDTADANWLLCEHPKWKGLVLATGDSGHTFKMLPIVGGQVADL IEGKLSEEKRHIWRWRPNAGDPNGTGRGGPPPKDLADLDGWRHD L198_02312 MSFNNGYGQMPGMGFGGNGGNFGGGGFGGGYGGNFGSPGGRGRG RRDNNRGGGRGRGGGGHGGGRPPVPETSDNRLRKMVIKLGDDEDFDPIEDPARLSKVL KRGWQEGTVGVLEGFRVSVTQQPHKHGYYVGLLSALARPSESTHTATTEEDKSIDEDK TPAEPAYGKEILDDLNRAFRGWVEAREWQNVRLCLQFFSLLLPAQLVTPASLYSVYST LLNVIDEVGGGGDRSERAVRAVGEGIIRSGSALAEGDATQVDALVSRIEGFILGRRNE SKPLRSPVAPIVAAGQEQPQYSDVLDNLLAALHAFQASNWASPKIVPSYMREIVLLPG AAPAAYELQDVSMPPELYEEDADNAEAGEGHIGVLNFFADDVSTIFLEARSADSTKIV PSPGSVDGWSLRSLVLDIINLFEVNRKEASHILLDLRKFLPRDTFKPAAQPADAPPPV STWSLESLIISCLLNAMLLLPKSQHKLVYYGSVIAELCKASPNTVAPPVGRAMRKIYS LLGSEGLDVEIVRRIADWFALHLSNFGFQWMWKEWVADLDLPLTHPKRAFMRRVVELE IRLAYYDRILDTLPEPMTAENAGVIASEAPDPFWAYEKDDHPLHTEATGLLSQMRQKL PSAGIIKYINELPDASSGPTEPLFPATRTMAFETILQLGSRSFSHFLNATERYSDVLR FLTPDFESRRILLNAVKSYWRRSSEMRLVTIDKYLQYGILEGADVVEWIFTDDDAEGE EGAGWTDGDNWEVLAMTLDKQVGRAKAIRRKLRAVEREDESARARRAADKLEQDEDVE ADEMAEDARPETSKEARDAQTSLDVQTSRLEKLLNKTFKHFIAALLPWTAADQDEGAA GNVNEGLKGVLTLLDSDEEGLWGVRAKWGWYREFVRKYQSHLLPLAETIDSANFATLT RADDGSAESRAEKMTRGVWEVVRA L198_02313 MATPTVKSTKRSRESDIGSVELEEPNVASASASQLPSDEQETGP LTTKPKKKKKKDVTSGIVYISRLPPGMTPQKIRHLMARWGDLGKLYAQPKDGMSVTSY NSNGQHKKKQKHQSANYSEAWVEFLDKSVAKTVASMLNAQVIGGKKGDKWRDDIWTMK YLSGFKWEMLGEQMAYERQAHQARLRAEISRSKTEQGEYLKNVDLARQLEKRNAKKAA AGESGNAAPSTGDDTKASRGYKQRQVVEKSKTLEGQGMDGVLGNIFG L198_02314 MSRLGIHLALPHPQPQMNFHGQMQAPFHNQQPPTAPHLHQSPHP QQSQAPLQNQQQLQFQQQQYGLARPPQQMTMGGMGMNQQQQQQQQALQAAQQAQQMQQ MQLRQQQQQLQAQAQAQHQAGPLPNQQRPIPQSPHHPTPSPHLSNQFPPASHYAHQPG QQMAGMPMGQMSPRSAAARGMPNAPQGTAINQTQAKGMNRPPAWMQNAMAGPSQPPQT QQGPPPQQHPQHVQGAGPHQRQHQHLPQSAPTPQSQLQSTPQMAVQPHPSQQGQQPMP PQQGPGQQPMQQIGGHPDYRLGIGGMGLTPSMSRGASAHNQNAPERWDADRALKLYIH DYLVKHNLMATASQLAAESGLGDTGVPVDSREGGVLSEWWTLFLDAFTNGKGVQGVLN QSIYNESMGELRTVRQQQSGLAPAVYINQPTQTPILQSIQAVFTPQQLESLKQEAQSQ GFDNRQATQFIQQRIQEYQSQLQQQQNQQAMNQQAAMLQQRMGHPGAIGSPVPGARPS SQQGQPLQGQQIMYHPQPQQHLGIPPGARTSSHSPVNPSPHISQQPHPGGMPTPSPVQ QPARNPMNGMAMNGQLRMASNPHPGRPGQGPGQSDEQNQFTHPQVSTPMHQHPPNQGQ LQQSHLPHQHTPLPQHQPPHMTPQSQHLAMKGQQAHVAQQHAQESLTQIQMQAHSQVQ AQTQARTQQQQQQQMQSQQAQQQREQALQMQQQHLQNASNQLWEGLGVPNVNHGVMSH SAQNLGLSSKDVKSMSEDEKGRLIQTYRMTMQHQQHQAMLNAQHQQIPPQQQPQSQQH LQQQQQQQQAYLMQQHQQQQMYQQGQAGPRQARNHPYEAPQPPDDNSAPTPTGPGQRA PTPVQPSMTPSGMRQPTPPERKRKRKISEGAAPSPFGMTYGPGSQQGATPGATPLTPG FAITTDPMLRSVPGTAPPQHPTASPRHTTKRERRNQAQGQGEMLPPRTATPKPSGSGI PEDGQKDGTGKEGKKESKVGKAGRTPKLPKEDIQREAPTPKSLNPSPNGNIIAVTDGN PHSTSTHGFTPSPPSASTATPANGAMPLSSTPASNQMSLPADLSMANMDFGNMGLGNM GLGGMGMEFAMGMGLGALGGINDNSLGAVNNGQQTPLGGDVGSDLDAFAGMNQDFDFG LYLAALDEDDGSGNNNHNNNNNNNNNNNSGNGELVV L198_02315 MARQSRPFTASTESKPKKAKAVPKRKLNTANAYTYIPDLPKRHR TSAFQNSLSHEELEESRAQNKGRHNDEDNEESMQSRVKKIAMMIAGEEAQEVDSEESD IDSDAAWESDGSDEERWGDVVRAVQKGKGKKKAKDVVLKPAKPMTVNLDESDDEAPKA KSKKAATPEASDDEEEEDAEMSEGEEESDEDEEDDDSDISISDGDDDPDTLADLDSFV DQLAAADKKRKAPESEAASEQKKRRVLPVKSTQDIKEDATLKSSQKLDLSSLITSHPS LAGASALMKPAKNAGTSILKSGVLQAPLPTTQQERLDREAAYAQTKIEGQKWSTLMKR VKEAEHLSFPLQAKERGGVKSANEVLAGWKPQNEMESAVDALLKKANLTEDTLKKQED LQMEAKDMTEEEIKERRSQLRYQRELLFRAEAKAKRVAKIKSKTFRKLARKKAAKEAG DNEVSLEDLERLDPEAALEQREKLERERALERATLRHGAKNRWAKDVGGDAGEVEDRR RAKEDMLDMKEKLMRKIQGKDEGSSSDEDSEDEDEDEEAIKAKAFDQLAQLSSDPGAD ATNKGLMSMKFMQKAQERRMKAVAEEERDAQRQIELFGGEKESADGSESEDEEPAMVN VDGNEGRMRFTGPVPVVNEQPSAPQPAPVAPQPVATRLRSPSPEAANPWLASSASSGP SRKRNVQVGAQLSGEAKTVKALKKAGKRREDEEDDERVDIDVEAPLAKPEPKKKGKGK APAAAVEEEEEDREIADLLPSGVKAFQQRDLVAEAFAGDNVVEDFAAEKARQVEADAP TVEDTSLAGWGAWGGKGAKKRKTNPKFLVKTAGIEPTARKDFNNSNVIITEKKDKKAS QFQLKDLPYPYTSKEQYEKSFEVPVGSEWNSRSGFQKGTLPRVVKKPGAIIEPVRRLF L198_02316 MFAARLAPALRALPRQASAVRIARRGYAEAAADGKLSLSLVLPH QSLYSATGVTQVNIPAATGDMGILANHVPSVEALRAGVIEVIEENGQPSKKWYVSAGF ATVHANNTLSVNAVEAYSLDKFSPENIKAALTDANRVLGSNAPESEKAEARIEVEVFE GLQAALAK L198_02317 MVTITPLVDGQDRSIDARSIVTLIFFFAINALVIWPVRIPVPLF VSRLFGRFVKRSHKDKAEEKCDPKRDMSTPAPATERGQENTTPEASATINSQHSPAES RVPSKRVYIPIDLRTAPVIGVLILLAFSIPGSVVRRGIVGSGGVRPYDIMTLFICFAY ISISLDTTGFLRYLAFLVASRASRGQRLFTTFYFLFAGIGLVFGNDPLVLSGTPFLAY FTDHAAISDPTPFIFSHFQVSNLVSAFLVSSNPTNLVLTSAFAINFLVYSAWMAIPTI ASAIVLYFVSRYITFSRKGLIPTTIHPPAINPRDALLDPVGAVFGATVFVITVLLLVG LSAGGILEGKVGVWTVTVPAAGLVFLRDLAKDLSERREKPKGNSKPLDPAQSTLPTEE IAAASTAAPSHPPFLGLSRVSSVVSKLPLALLPFAFSFFILVEGLQHTGWISVFGGWW GAWEEVGGIAGSVWLMGMLSVIGCNVFGTNIGATILLARVIQSWSLTHSAVSERSIYA AILTLAVGSNFGAYSIVFSASLAGLLWRGILRQKGIHVTVTQFMRWNTLAVLITMAVG CLIVAIETRFPQRQMAPYLEQRIPVSQASRYYSPDSPLSRSRSRGRSPGRGEEGMRMS GADTPYTLDQDDGDSQANPILEPYHNLERWTYNDNHTWPHRPKDEERAEQVDWIKLQS DSDCIRSMMVIKEEPVDGSEIDQDRRTAFQKLGLTVRREEPISYNLIQEITLPVPCPP EAEGGDDERTSVSKIFIWDHLEGEHNPYGCGGSICEGYPYDTHIVSGFEERSEGACKP YHEFTPELIEDVFDMLCRRDTFAEVDTGLPPDAPLKEVSIKVATFNAKSDLSTGIGVV EEEKMTRIHHEICGTEFCAGSRTEEEARNELVEPEYLGDKCRPDVWYIFEPEDGVAHR HSRLVLYDEAFQLDAEPGEPVHLEQPEQVEEPEEPEPEAPEEPEEFEEEE L198_02318 MSTPHGASPSASYLHQDAELYSLDFLGLSELDAPMSDVDSPKPA AGLADSARRNQGHAVDAGQHVHGKSDVGETFQGGIHQNGGHTMEVDEGVMDGKKQEFM FNGHEYDALQAAMLQQQLSSFHMQSPLGFDINNPPFHLSQMLSSPARQALQLHNSHSQ QTSHSAYDSSVSHAPYNTHSHRNSFSALPTKTPLEQLQDQQAQFQEQLALLQQQQLEM QATAAAVMAAQSSPYMLGHGPSPSSSRPSTTPGVTQSPGGIFSPLTSPALEATNRPHR SHSGHHNHQFSPAFNAQQQRTPHPLSTMSSPALNPVGSSGGANQTLSPALTAQNSADM DPEYVRALVGMLDSGHTPPSGEPLQPPYQSPSMASTSTAGHTTVISSPALHATGSGTG PHRQSLPSKTRPSPMLKPTNHRSNHRNSVSGHYSVPSSPAVTKYQPDASMPPAAMSSG LPPSALEHHRQVHSSTSTTSTPSPVDLSQIMPPPPVPAGSSKSRKGVMPMTPASLMNL GSGNESTDGGQSVPLPPPPKRVGNSSTGADGGQIAAEGSGGGQTAITEKGAKKQGGKK GNAGKPVPVGGKRALAARPQAVGVRVATKAAAAAAAAALSLEPENRKISHKAAEQKRR DSLKAGFDELRLLLPPINTEALDPLSGEPIPGSSAPRLLPKSSLVPDDNPNRGISKVA LLRFGNEYIEKLQERVDRRDVFIEKLREEVERLRQGGQEEVRGEDGEDLLDYDWREGE DDEFGEDKDSDEEGEEGEEEEDVMDEGMEVEDDGSGLSKRPTRTKSLSARSPGIKATG LSLSRASGSDATTTRGKKGE L198_02319 MGFFSFFSKSSPPDYETVLSKLASDVTEAKTNLSAIRLRERRTA LLVNLYGVSFWAIWAGLWWVRSLPLGLIKTNYEELLGRIVGLAGIVGTPFLIWVLNFV VHAYFSRQRVHEETHLRKLLNQQRKQVDEIKKATNYDSTRKLIERYDEANGLGSPIGP KTPQRPGQVTPQHVTPSSKGPSGTPRAPGHLVGAGGTPGPAQPQTPLPVPQGLSLEQA TAFHLQAGAIQPILPTPEKKWYDRVVDSILGDDPSQGNQHKYALVCEECFRHNGLVGS KYEWERMQWLCPRCNHLNPSPLSRLPPDPNQPLLATPTQPSKFQTQAQTQAPSTPSHA QRSSAASPRGRRQMGDKGSPKSSRLAQEVFNADDEEGDEGRSEEGMEVDEE L198_02320 MLAARTLEVCRLPRPDRLRVLARWTSSARSLGSSPSSSNAISAQ DPSFGPKKAELDDYRVALEEDVKPRRIRRARPALPSLYRLDSFDGSGSALPSSGHQSR KGKEKELPVLEVAETKETQAPQSAVTVDMPKGSRRNPVGVQMLSSSLHSQLFPGATLP KPPESLLAISKRHLQDNGLSPEGAAVSPDISFEMPALRGKDIREHFAALGKHVAEPYA SMANDLVNVRIPEKPAAWEVNKAGWTKYYSDGRTEAVDDLGDETLVSFDVETLYKLSK FPVMATAASPDHWYSWLSSTIFEDLPDTLPEKSAPYDISTPSCHPNELIPLFPESSPT PRLVVGHNVGYDRARIQEEYSLERTGTRYLDTLAFHVATRGITSVQRPAWMAYRKNKK AKTQRDQDNLDVLQEYAQESGDVNMLASLQDFGGTSETEADTSNRRWEEVTSMNSLAE VASLHCNYPVDKSVRDRFGDDAIKHASQLRSELQDLLTYCANDVRITHDVYKKVYPLF LESCPHPATLAGVLTMGKSFLPVDQSWKEYLKNAETTYREMDDAVKKALRLLAEKVKA DGPKEDDPWISQLDWTPKNARWADGNVDANESAVTEASLDAVNDTAVATVPPSATVEA DTNSPPASRGATVAPAWLSDIVKDDSNLSSNVSQRYLFPLILRLSYKDHPVVYLSEHG WCFMVPQERLTEYIAVHGDPVASGDKDRLPGVCEHDNALFRIGGYGSSKKVKLSGPSS KKLVTSGELTSPYPEVLNGLVRSEDVSLHLEDLRRCVEDLKGMKESVWGQQLDWSLKD VVLSSTPEAKSPKRAKSPKTAEHINGSWPKWYWDLTGPAHRIPPGQLDLTCKKSVAPL LLRLQWQGFPLFASKEHKWLYRMSKSALQKDPLAKARGEPVVFGEKDADFVHFHDPNY VFFRLPHKDGEGNNVGNPLSKNFVKPIESGELASAAAGSEDDVAARAATDATNMNAFC SYWISSRERIMDQMVIYRDGKFGMILPQVITMGTVTRRAVEATWLTASNAKKNRVGSE LKAMIRAPPGYSIVGADVDSEELWISSVMGDSQFGVHGATAIGWMTLEGTKSAGTDLH SKTANILGISRDAAKVFNYSRIYGAGKKHAVQLLLQGDSNLTKESAGQLADNLYKSTK GAKAMRSKQKLPAAVPSLWHGGSESYLFNILEAIALSERPVTPALGCGVTRALRKSYL EEGSSYLPSRVNWVVQSSGVDYLHLLIVSMEYLLHKYDIKARYLISVHDEVRYLAKEE DRYRTAMALQIANAWTRALFCYNLGIDDMPQGIAFFSAVDVDHILRKEVFLTCETPSH KEKIAPGESLDINQLLEKVAGGSLGPAKEDDLAVSGNAKSPIALFPNIQSDNHRKFLE AQANRRGHLARGWLDSLTPIVQATEGVAKRPWKWGTKKPVSVKGGASA L198_02321 MPALASLGLSLPRRSLSLRHFPQLAPRASSTTAKPTPRAVTRGP VPRRPVFKDSSKPTVSNWPWRQVKADTPHGFELERTLFARPPDMNPRMLSLITCVMGL IIMSFVIMPPKKKRDPTPEEIAELEAKAAESNIFFQYGVKIGSYIFPSANAVLGTGFA ATLIVAHLFARRIITRLTQVQSAPNGPISLRITTVGHQVLDGTMFAMKPRKVETKDCS VYFLDHENATTVRLRVLQPNGLPYRWTLDRYAYSLDFRKLKDDFKAEGQEIVLSVPRL DYIFGKVGQGTPRYSSRK L198_02322 MSRRHSQFRPCIDLHQGVVKQIVGGTLDLTSISGAGPTENFVAT KPTSHFANLYQSNNLTGGHIIKLGPGNDAAAQEACETWRGGMQVGGGINEDNAQEWLD KGASKVIVTSYLFPNAKFDEDRLSRLAKQVGKDNLVVDISCRKRGDGWVVAMNGWKTL TDMSVTKESIKMVEKYCSELLIHAADVEGLCQGIDEELVKSLGEWVNIPCTYAGGAKD ISDLALVDSLSNGKVDLTFGSSLDIFGGTGVKFDELVQEDKKAKDASL L198_02323 MAQNTQTTPKPRAERHRHKHRKELAEAGLATPSAKGKERAVEVP TATTPGADGKAGKKRRKRQVPNGGPIHEGASAQQHAESSASALRRTGDEETWDPIPVA QNEVSRVPLVWSTDGRFYLSVVHTSIHVHSSVAPDFTRLSTLSSTHAKGHTKAITSLH LSPINPFQAISSSLDGTVKVWDWITGRLVRTIEIQEPSSKVEHVTFGQVAGKWWLFAA VTHSKPSSSGSKLAYKVLRMPLSGKTSPVLLGKLSNPPVALMMSPRNTYLVALASTKA YTYRMPVSSKAFDPWQDRPTCVKFVSDQPFTCGAFCPEKALASAKEEEWFATGDQQGV IRLWHGLTQAFLQVDAATRVALGGIGDTSKAVLPETEKRLPTTSLHWHAHAVAAIAFT PSGSQLLSVGEESVLVQWHLASGRREYIPRLGGRPIISLAVRRATGTSEEEWWMSLAD GSVIRVGGSTSSIANVGQGIRLDPLRPSSPDVSYPISVHPATRALVVPSSHPSTLQFI DPIASTVIFDLEVVPSNRVSRRDEKELEPVRVEKVTFTEEQDGKSTWMATMEGRKGDE GEGGGLVKTMKIWKWVDERYMVNTQFPRPHSTADITSMSFTSIPAMATASKSVSGPHP YLITTSGDGVAKIWQVKQSKKSEQVHWSCRSTFNYRNLSIISSASSADASILALCHSS VVTLWDIASNILLKVLDVPAGVDARTVEFVGKEGRWLVGAGSTEGLVSWDLLSCEVSW SLPSLPVSSLVPSTASTFFTVAASSAAGTSFRVFDPTSAVPLRTVNISHQSSRLLALP SATGSEAVSSLHLVSVSPSGEIYRLGDLVSSSSRTAIKNVSQAKTFTGLSIWQEMFGK GAFLQDSELEEPATATATALQQRVANNLGRPADVFEGPSHTMPPTSMLFDAFMDELLS GNQAPREEKQEKNVEQGDAIVYEVEVDESREERDETKILKAKDVADEDVKELEVFFRD LLSSTPRAPNTPALRKPHPVRNGLPSHISSAQNTPSRSVSTPVPKKANGHGPRGSLLA SASKDMDSDMGTPSSTTGSGKKKAKKRKAPQE L198_02324 MADTQSTSKLDNPSTLLQSVSTNAVHEKITILPGHEPDYSACTF ALWQEDHTLGNSLRWIIMKDPEVEFCGYTAPHPSEPKIHLRIQMYDGQSAVDCLRRAL ANLRDLLNTVNDSYSSSLRNDNYVKEEDVDVKAVVDETLRERGFAVEDDDRMDES L198_02325 MSPAKRSLHSNHLATPPRDISPTPSLDSAKSDVILTPAQNASGG SPLVRSPSADTVVSGDLHADSRQIEEPLKAHKKPHFWRLTPSPSPSRTLVSLAERLEG QDIDMEDAQPPSPEQTPPPLPKPVELEDSSKPSVESRASLPTVDPRLKLYPFVLPPGL WVTKSQPDASGVEHETRPLLPLKPVASSSPVDPCTPASPSSRVLQDPSLSTNHSTFHI PSPLLKHSPTASLHLSSTAPYPGESEKQGQLVWLDLKTAYHLRRGGCITVRNFEGRAK TVFGPVLAKKALGRRVIVKREAIGLGHLGAMQARRRSASGREAAQREAVFRALMPANP KGQRGPRGPRKRASVKV L198_02326 MASSQHKKAAKRKSTAAHREITVDVAEGTTGVAPSFANFPSVRP SKNTAFGVYTRDPGSRADFSQQHTLVAGETEDVEFFSTNRDRQTNTEGSDCQYLPAVY DPSTKTLHVHPSTPLYLFAHRVKRLRTAPVSAPPAQAAKAQWRQERNDLGEAFGTRKA KAQIKSEERNKVNADAMQDAKGHLMETIGELQKEEEVTAPSDIIPTPNLETNDPSEVY PREAVIPNQEWSSIDVSQMLHTEDDKERIGYLPSRRSFWVQNKCRLVYNIKDKATKKT QMKYLYYLSCLLQFLDFAPRLSKTAAAELTSKFPGVPQQILDGLITRFSEQSGRKHAV TEKTKAKLLSWICLLYLTLDGYSVEVGRIAKDLKMEPAKVATYYKQLGCNVKLATPAE RQAQGITLAEAGQMRRAVMVAPVKFPKTKRRGPAQH L198_02327 MGVHGLWDLLRPAAAATSLSTLSRDAFLSNKNGLRALTVGIDAS IWIFHAQVVLQGENPFLRTIFMKITALLRHPVIPVFVFDGPNKPNVKRNQRVTGNFGT HDNRSRQFKALLDSCGLEWWNAPGEAEAELAVMNRQGKIDAILSDDADALLFGATCLL RNPSATLSGAQASKTNQGNASSSHQRQYEVYTSSAIKHMWSAKEGTQLKTEEDCRLAM VLVALLSGGDYTPEGTFSIGPTISHGLASAGISSKLNDYIHNKSSFQDALPDIQLEII QELRTNATKQVGRRYPDRANKISAITPEELFPPATLEAYLNPCTSPRDDKSKGWPGFG QGSASGIRGKGRNEGRGDMEGFASACENYFEWGTKDLVCKKFGGESLGVFGSQIMNSA REAVRARDAFKRANGSNETPTRLTSYFQQSVPGSSSRSKGGETSQSVPPSQPPSRNPP PHILAIHSAHPDPTNKNLFEYRISFHHAALAERCTEAMIGTRMDPGQLPEEERERLGL VGRKEQDEEGLPATQGAAAAKTETRVWVPEYLVKEAWPGMVQEYEDKLAAKNKPKKKG KAVPPKKVVEKEKPKPKSKKVVLEANEEDTGAFTSFFSSQPIPPSDPVQEDEDWDALF RMSDEEPVVNRPAVVPKRAQVVGEVINLCLSPSPPPVPTAESKKRLARSPLASSQSSA LSGGEKSESSVRTVRRARKKRSPVPASPSRSSPVPTSADQTLPTASNAPRFSARQWGQ KAVSSPAVFTARPAASLGVIDLCNTSSDEDEVVPPPRRRAVRASKGLAAESGVLTSSQ RPNAPSPRMTRSSSVSLPAAEKSTTRPPKMAKGGSILNHPLFSAVSVMSPPPPSPAGK GQTQASSESTSGLGSPKAKGKERSGSPTLHPRRPMYRMISETEEGEVIDCTRRRRS L198_02328 MTVNLSYYAIPLVHLQTLFASLRGATIRLGFPNNVAPREVISEM EKSGKVKPHTIAKLKRRQAAHENCFENMGSFIGAVVAGNTVGLSTTWMNAMSITYFSL RCLYIWLYLNVTEQKKSYFRSVVYWGCNFCFLATYVKAGLKLNSGL L198_02329 MSDGQSQPDQDYSWVEDIDDLTAAPATEYLGSQPGEAASKPSTT VPQSLPEDESVKGDLQSIVDDEGEGN L198_02330 MTGHVPLSDKYANLPSASSTAANTPAATEDRPFVFPSALSRHTS RLSERTVDDEKEMKEEKDIESVQQTPAEQYLPSVDYVVPEKVEMSTRKAVLLGAVMMS TTFVASATLSSSLLCIPSTAADLGVTELEAQWVSSAYSLANGVGLLVSGRFADIYGRK MLFLVGMAAFLVINVISGVVRSYIGVCVLRALAGLSISISLPAAFGILGVNFTTEPRR TIGFAALALGYPVAAAVGLVIGGAISGVSSRGWQYVFFVDAGLALPSLIGGVFLIPAE PARRSSVTNRKIDWVGAFLVTAGLSLFSFALTQSGVAVKGWSDPYIPVVFVIGLLLIV VYGFWEHWLANNSTTPPLTHLSIFTRQNWKVTAILVVAFFAYIPISGWLYLTTIWFQN FKHDTPIMNAVHIIPAPIFGVGACVLVPLLAPRVRAPVLLMMGSISTGIATVLFAVTQ DSTIWWSTPFLSTVFDPPGADLTVGIGSVLMSNLVDEDEQSLAGALFQTSLQIASTVG ITVASLTQSEIAEKHGLLKGLQDSFWLMSGFCWLSAILAIVTLRGVGLAKDVGKKE L198_02331 MAARSGYSGTFFVLDVSPSMGELKADPIGSGKVPKLTLAKEYIA RRWQEKVLSKRKTELVGLVTCGGRTYNEKNAFEGVACEVACQTAKPKCLEVLMNLDVG DHEGNRESSFDHDLYDSAVENAKLLDIKLTIVGVDFDVPPAKVDKSKSRNKRLSEKVW RMFVDSLQETHSKKGITDLYPSIHSLDDALDDARRPHPQLTAGSALGLDIYIGDQDID PAQAIIIPVKTTKATAKATAPKFSKAWKPAMDLQAPLRAKDTPKLSNNRLFAGIIAKA EEEGRQPPSFEEMSGMVSSTISRHTTYLLKKEEKDPNQTQTLDVDQEEEEEEEEEEYV PEEEISQAWKFGSTWIPTSKGDIMPLAVKKGIYVLGFVPTANIKQQDLMNEVKYIWPE ITKPKAQIQFSALVEALVERKSCAIVRWVNGDKSEPMIGAAWPKSAYTLGKCLLIAAQ LPFAEDVHIFGFPSLTTYKTSQGKVIEKHPLLPTEEQCDLMDELVKGMDLDDIIFEWD EESLTIQRAPTWFNPEEAVNPSIHRVNEAIFHASLTADLDQDPLGAPHPEIVKYFNTP AEVGKKVEDVTERLKELLAIRKVPPKMKKKKNQKQELGEGEGYIDDDALLENGEQAGA TTKPEPISQPKADSQPGAQTASQPKPTVPTSEPTLQGKSKPGRIISNGSPIADFRRVI KEGDVFRKAIQDMGEVVRENVAASFSRQAFPVAIECLALMRETALGYEEVETYNEYVE SLEKVVKGAGFKHPNFWQEFEKAGQSVSKISEEEAEAALNGED L198_02332 MSFVVLFASSSRASLASGLRPAHGVQPRAFQHAFHACSRRAAAK PAAAPLPSSPSVPLASPWPWRPVSPSTKKANPHTIIEERVIYARPMSFSPRKYLAMGF SLGALGMVFASIPDKPIFPDWWTVEEDIGIIGRAFGTFNNYLIMDAPPYLCLLGTAVV AWRVFVQSGRRVTRLSQIRVRSDGTGSQPSKTYLQLYTGRQAFWGRLAKPRELDLEQV NVETVPGKHGKGFLSMTLDPKARKLVIIERRPYFLDFRNSSFLAGTNQEVVVSVNRIE HLFGKLPTPSSSA L198_02333 MSFNDLERGHTEPLLRGNAPGMLLVKWCMRIQLTSSDSALFVAA HVRSIARTSLAPADQDATFTAIKDSVSIQVFKIQSNVQGIQRLVDKLGGSSDGEQLRA SLHNLTEATRDMVKKSTGDIKKLASYPAGGEFASRKPIQSKLSKEFTNAITGFQKVQR LSAEKQRLYVENQKRRMDRMVEDGDAHDEPRGSVELERVQVQQQVQQQQQQVSSQEVE FQETLIAEREAEIQEIESGIHELNDIFRDLGTMVVEQGSLVDNIENNVTSVARDTSSA AEELTTAHDYQRKAGRRMACLLIILLIVATFILLAILS L198_02334 MSRAPSSITAHSTSIVCLDTDLRGTITVGAGVIVHPKATIFAAA GPIVIGDNCVIEEDSIIINRHKEIMRIGEQNHFMVGCRVEAPSIGDYNTFQPRCTVSS QVAISDHCILSAGTIALLAPGSDTAREVLPPYTVIYGADSSRRIWDGSGEASELALRA RHSEYLREILPKFNRLRPTGSATPT L198_02335 MLALRYSRRSAMRIALNAPRILALEQDLPHAESSSAPSRRQFSI TAPQNQPQPSPIAQGPKRRRGGKNQLIENARKLAAALKAEDSAATIKPEQQQEEKPAK ASEADAESGFWGGLLNPSPSSEFPKSTGEKPTLEDLLSKRPERDPPAPWRTRYPLLYK RLYNSIDSAFVVKQLRMLAPELKIGYISKSISKSQLILKIMDSWGWVEPREAPKPPTT YNEAVFDLPPAELFLFLQDQALVEQLATDAGLHLSVVRASQAPSSHFHPLKEGDGDRM VLVARGIDDDLALVKQALEKRRESIIDITFKQQEVFGVKAPVILLRAELEYRITALTS ASAQKAKQLLHMTIFRVNLCPTSYALSPPPQADPTLLETPRPTSEQRYALYPFLPCTT EQLPWDIAFSTADKTFFRLGQVKKWQDKPSARSVAHRKENMELRPSLIAGEGERVFGE SVREWGRDGKVSARVGHLVLPVEPADGRTGTWDSPLPGQWPLEMLTKWSSEARGKVNE FIFTPSLSPVITQYPLPGQPTLSRRLRYHSASEPSKVVVFTNTEEHGAGKVRWQEKFA KLVDELEKSAGSAEEVEPAVTEQESAVEDVIRELGVAEGEGPLFKREAQVSPEEHVES EQAVDVVDNVEAEELETKATTSNVLTAEYGTIKENNVFLPDRPVDVKLTSTSLRPLLS IPDEITSFFSSTQFSAANGATPPSSVDVEGERFDLAWDETVRETRWKKEGVVVKSVEV EEGLGRGVVYSEIENEVEGELSEAFWAELADITQDIGPDAAALK L198_02336 MPDFFLPQIHDNPDSSWGPSSSALPSQFKDCSIPYAPFSKSDKI TRIADWHDSQTEAAGPRAGRTGQSGRRTAYGAAEGTVFGFVHDEDDKSFSLVDSGVRA GARGKAPIRGRSTRGVASARGARGRGGQRGGFGTRGGRGGGRGGYSDWNKPQRTRDSS VTIGTEWDVLEEVDFTRLAKLNLSVDAPEDLGSYGTLHAYDKTFDRINTRNDKPLEIV NRVRYNSTTSDDPIIAEASLHILAAQVFATDSILAVLMSAGRSVNSWDIIIEHRNGQV FFDKRESGPLDYLTVNENAADPPIDSDDPNNINSAGSLSLEATYISHNFASQVSASSK TKPYSPNPNPFYSSDVETEPPASTLYKYRKFDLSVEEEEQFDVVVRAEADAYLGKKDV LVTVKALNEYDPRVQGGSGKPLDWRKNLDTQKGAIVASEMKNNSAKFARWAIQSILVG AEQMKMGYISRANAKDAQRHVVVGVQSFKPADFARQMNVSLTNGWGIVRTIADLVLKQ PEGKFVLVKDPNNPLVRLYRVPDDAFEAEADEEDEEDEE L198_02337 MDPASPLPADFPRLPRHASADSFEPLFPPHSAASTAAFSASETT LHTPRSLFASHSTIDSLASTLVSERYSSPYDQLDAYNKLDPYDFGLDAPAPYVEKPPA TPVPSSGIEAPIVLSLWRFWAVFGSLCIVVFLFALDQLIVATALPKITAEFDALSQMS WIASGYLQFMNIFPSKHVMVIAITLFEAGSLISGIATNIELLIFGRVLSGVGAAGVFG SGMVIIAELTPLSTRGKYLSGFGICFAVACVVGPLLGGTFADHLSWRWCFYINLPLGA VAVILIIIIQPAYPPLGMKHTYTGYSRSMITQVLRCDWAGVCLSTAWGTCLILGAEWG GVSKDWDDWRVITVWAFTAVLTPVFCYYEWYMKDRGFFRIRMFSRRTIGGAGIVGFFI FGCYMILVYYLSLTYQSVYHVSATGAGTRLLPLVLSLVLFLILSNIAISKLGRSKAII SIGPVFLIIASACFSTITYETPKMRLYGFQVLLGAGLGCCMQNIMLAVQNELREEPLL VSLGTGMTVFMGFAGRIIGLNTAQSVFENMIQRNLRHEIPGIPYATIQLIMNDASAVW TQVPDDERPMVLKAYAKTISQVFLITIPIAVFALVGAIWMKDERMVSKEEEEEVEAKA AVGAAGGDVERGQYASVKTKRSEGMGSTEKLLPTVTEESVPKIQA L198_02338 MNLPAAPFAKFQPLVNSPSATSLPTTPATPYDLSTPSASTLVDG RHDYFQDRAEPPAAGPVEISRWRFWAVFGSLCIVVFLFALDQLIVATALPKITAEFDA LSQMSWISSGYFLTLLSFNLLYSQFMNIFPSKHVMIFAILGFNAGSLVCGLAPNMTVL IIGRVISGAGAAGLFGSGMVIIAELTPLHSRGQYFSGFGICFAIASVVGPLLGGTFSD HVTWRWCFYINLPLGAVTIVSILLFQPSTPPLGLTDTYTGYSWNMLKQVLLCDWVGVC LSTAWGTCFILAAQWAGVSKHWNNYSVIICWVGTGVLPFVFCLYEWFMKDKGYFRIRL LSRRTIGGAAILGFFTFGLYMILVFYLSLTFQSVHRISATGAGIRLLPLIGALVVFLV ISALLISRFSRYKAVICAGPVLLIVASVLFSTIDSDTSLAKLYGYQVILGAGLGCCMQ NIMLAVQNELRHEPAVLSMGTGLAVFVGFAGRILGLNVAQSVFENMLQRNLRSQIPTI SSELIASIMNDAGSVWTVVPDQLRPDVLKAWCKTASQVFMICIPLAVLALVGGLWMKN SRMATKEEEEEEKHVGYGLGGGGGNGSGSVKYAESTISKANHDWEVGTWASSDKPVVV VDGPRGILVSGLKNQAE L198_02339 MRAPPVALALAAASLAAASSPPVHFSLETGWAAPPLALEILETL YDESPNSYFTLLHLLSSLPSDTTPETLLSSTVDLIQAYSLLPPSSLATFNLALSLHST APRIEAEYNWYESAVRGQEKTLGVEGCQGWVDWRGKGFCGVEELQRDMELSLEDGSHK AVPRPVSLPFDHVSSTPASQDSPQAVIYYTPSSSSSVELLEYLSHHESEYPDFSYIVR YQPTSQEEAKPLPLAGWGVEMALKNMDYLVVDDRATGKKGVVKENTVVKSDVDDIFSD VFGDDPWSELATPLNPREIRNIGLQAASLIMSSNDSLRALTHLSQDFPKYSAALARKV EVPENILAKGRNIAVRGQGTQAIYINGKPFGTDLNAYSLLKTLREERQLTLALTSLGL TPAQAVTLISDPNIGSAQVGEDVGEGFVDASDRTEGGDVVVWWNDIEKDHQYRNWPSH LQGYMRPLYPGQFHTVRRNTFNLIFTLDISQISSLEIIAGSLAPMIQRGIPIRFGIVP IFEPGTDDLCKSLKMAKVFRYVVKTFSKESGRDFFTSIIHANPRNTVHQPIDLSILRA AYDALLSTSELEQPALTLDEVFGSVDEQLEKTEGYLKRLLVRKSDEELGGMFLNGKWT PLGVQWPSIVTQEMASQLSFLQEEIMRSASTIPDDISTLFYDLPTTSPRRSAYIIPSS THSLRLFSLLDLFEGEVEPKIGADFVYAEGTRGAPLTMWIIGDLDSADGIETVKNGLL HLQTPECSSRLGFIHIPSASSSECSDGYCLSTVLYQLHAQNALSIVNPAELLSLVSEI EVSQSNVRQGEIVDAAGEQKTTFEGKPLHAATFGGWSVADTAAAGEFWKAGEKLKSKL GVTEGVHILVNGRLVGPIVPAAFPAEDFDALELYEHRKRVTPVIDVLQTLFDDITVFD RPTLANLISKTSSVIASAYRPQDAEGVYTAAQLPRTRIYERLENGDLSFNLGNQDNAL LKVAVVVDPLSERAQKWSPLIKALSEIDHVSVTVYLEPKANMEEINLKRFYRAELPSK LSFDVDGQVVDVIAPGVSFNSLPPTPIYTVALDTPPSWIVSPRASPYDLDNLLLSSIS SPVSVLFSLKHLSIVGHAREGPTTPPRGLQLQVAGPQGDVAADTQVMANLGYLQFRAV PGLYSFSIRPGRGQEVYELESVGSQGWDSSTVEEVGNGVALASFEGETIYPRFKRREG MENADVLQEPQEPPKTATGLVLNRMKSMIGLAPSPALAQKEHADINIFTVASGLLYER FASIMILSVMKHTDSTVKFWFIENFLSPSFIDFIPKLAEEYGFQYEFVTYKWPHWLRA QTEKQRIIWAYKILFLDVLFPMSLDKVIFVDADQIVRTDMKELVDVDLHGRVYGYAPM GNSRTEMEGFRFWKSGYWKEALRGRPYHISALYVVDLKRFRQLATGDRLRGQYHALSA DPNSLANLDQDLPNSMQDHIPIWTLSQDWLWCQTWCSDESLSTAKTIDLCQNPLTKEP KLVRARQIPEWDVYDREIAAFAARVSGDGDESGALAASVDELASEANADSSVEAELVE SDGRIVDEL L198_02340 MPGLQHAGVTKGLMMLLGITTITTSLLGIKPYLHLQFVPHMSQY HQYWRILTHPFAFVNSAELLMGELLLYKASTHVERYDRQAFITKSFILVSSVLSTLLA CATIIILHPFGIRSVPGGPYGIIFSLLWQYYRILVLSKPPSSTLMSLLGLLTGYIYRT STLFPLPSFSLRSRRLLVRSSLKDYRISQPLFDLLARFFGPLVGESAGPRNAERVLPG QVNERVPGIEDLAARANTATARGADRRSLRAMLASRLQAEQPAAPGDNSSSPAVRTAT VAEEDTNREPASTTAAMGEWVSEMTGRSGARAPTEEEIETLRGMFPNSGRDAIVRALQ RNDNNTAQAVEALLEQAD L198_02341 MSQLSDLELVAQAMSGSEQPPASNGTAQATNGAQAGIELSSLPN VDPSSLLPADLASLHPLLASLSLSPEDLDNLDEGEIGAILGQLEAADGVADDLEGKLD RLLEMLGGVEEEIVEGKAELQEGESLPKTEGEEKGAATETKIEGKKQD L198_02342 MAKTALLFLLVALVALSFTPQVAAFGAGNIPSFSYLEDKAFRHG DIEDVIANLFKAAGGGLLSRGTKFTPLDVKRVYFGNWLRDYSQAVDVGALKKTNLQTI LNIVMVLGFMAHGYATGEFEVTKEALGCYLTTEHIDNPKGYADGEDARQYDDRLRGPV DDIELAIDPQTGMKNYIANENGHWDTSKALVRQRLQECIHYGRLYRANGNKADIYQAF QLLGRALHTLEDFTAHSNFCELALIHFGHQDVFPHVGQNTKVRAPNGRDVYPLVTGTF GGADFIHSLMGEATDHLSQSSVSDLSKQMTNARSISEGQTNSADTLRQLFFSLPRGNG DSMTRDLDGIQNMRAGQPGGVDPSNMSPQELHNVIWQILSFRDSVMKKIENTIDRIPG LAGIVEKISNSVSVFIITTLEPFVKPLLGTATQALGESSQSIIDSHDQYEVWTNPNAS DPTHSYLSKDHFALILNQPAGEIAKIVVEHTVNLVVKAWDDTSMNTNQVTESALEAFH HPAFATGNSEIQRKMIDKMREWFESTGNDKNEILRRLSSANVKEGKNKRIGDTSTDTG HVHNQLLPEGGLQQVIAQQNIHVPGAQYLNDAQDLASGKMPWQQGFGSGGQNTWRDTA GNSGNAATAGVATAAVVGGATSAYHQTSTNNYGGADSSYGNAAPQQTYQSHQSHHQQP SYGAQPSYQSPTQQNFGSQPAYGGGGNEGYPGQHHGHYNSGSYGGGGGFGGPPPQQYG APGGFQGGGFQGGPPQHGQGGFGGPPQYGQQQGGFGGQPQQQWGQYPGHQGPPGGY L198_02343 MSAIPQTMKAWVQNESSALSIQEVSVPELKANQVLVKVQYAAQN PTDWKHAAALSVPGVINGCDYAGTVVKVGSGLTASRKVGDRVAGTVHGGYFKDEGSYA QYAAVESDLTWVVPESIKLEDAATFGVGWVTSLQTIIQRQKHAFPDVEQVSGNPWYIV YGGSTSVGLFAVQLAKALGYKVLAFASPHSYNLVKSYGADATVDYHNQEEGIAEALKI TDGGAEYGLDTISEGDSFKIIVAALGKKGKQLNAILPPPKDIKDLNPNLFSEWTLMYT LFGQEFDWTPRSPGKNIWPASQEDRKFGTEAFKHTSTIIEKFGIKPNPVQVTGGFEDV TKGLELLKNNKLSGTKSVIKIDA L198_02344 MDALIASAGPLQSNKKGKSSNHHHRTPHPTAHNTVARGEGKKAI DPSTQSILGSTRIPHSFHQSNLATGSSSAPLKPESSVGRIGDKKLRAKVARQDVGNKR AKIDRDEVNEWLNKAVGGESGGIEVDEDLGEKTWRVKQDEIVKEVGMNVRGKKFDLKM EDMGSYKVDYTRNGRHLAIASSRGHIATFDWQAGRLHSEIHLKETVRDIKFLHSEAFF AVAQKKYVFIYDQNGVELHKLKQHIDPIHMEFLPYHYLLATVGNAGHLKYHDTSTGVM LTQIPTHLGSPASMAQNPHSAIIHLGHANGTMTLWSPNMTTPHVKLLAHRGPVNGIAV DPSEQSAGRYVATSGMDGTVKLWDARNWGKEVRQWKVRNQVTDLAFSGQGMLSVGGKS GVSVFQDLHKDTYKPPSPYLTLPLPSLTASSVKFCPFDDLLCVGHERGISSLIVPGSG EANFDSGEADLYETRTRRREREVRGVLEKIRPELITLDTEFLGKISEGRGGETHEERE GRSFRQLGRLERLRVSGKADEVDAEGAPEDEEEGGDANAGERAVREKKEKRKMKGKGS ATKKYMRKKAKKNIVDNSLLQMKAKVAAQRSAEDTRKKIERGEIVKETGALARFG L198_02345 MAHLPGAQQRRPIANLPHQHQRPQPPASRVTPYTPDNRELYAGP RNRLFLALRSSIPSEVDWALPRLVVASFDAPDSFKLDSWVDSVGALKEWPTKWLEGLE KEAAAFELRQGRLDFADVKGEDRSAKRLKRDLVLSTMPEWLSDPAVEKRATNSLLILR NASFSGPNAKTICKEPFLAFLNDFFSLPIPFLQHLLLRSPEPVHHIFATIQSIFPAMN TEIPGIRRIFGEVFPRLLIESSDIAVANDVLPILIMGQTIPNITYPPELVPYLLRLLA LRPPGATLDLVLDLITAFTLNPVYARQILCDPNFMQHLKSLAVLLDHNGQNLSILYDG VPYMRAKAVLNPAGGAYKADESSKRRTLEREWAQKTIDPLSGRGLAMEVGTEPPVLSD AAKKRLFAMKEPQRSIAWMHEIFVYSSTAQVLQVTFWHAYRDFFSGPGSHEPLLSASE VIKNVTAAFPTAAAKVWNDAMGNQKFVIAGVGFRKGSDDSERFGCFWRDCAHREGATN PAQLIEHIQSFHVPPSTYTPCQWGSCTHAPCTLSHFLTHIPLGKPITQVPDYLSCYAG TSPDWFSRQVVTARLPPPTISRMSFAGRKTATDANRRPVGTPLLAALTVRNLARTLRT EITLALPEDVPTEDEVQAKKKHLLEERYGLPIPESVIKEEEKEQEEANQGAAGKEMNM SDEERERAKAAFESIEEKVERIMEENVGNIGHYLGDAFGW L198_02346 MAQPPEPRRSQSSTSLYKMYHGPNGGMNGQQVGEEELQNPRLFF CNSFWGPGERGYDVIMARLRGAGRTVEELRAFWKERSAIEEDYAKRLNKLSRTSLGRD EVGDLADSLKHLLAETAQQASYHSSLGNEIKQTVEHPTSELSVRMNNLKKGLQAAIEK SYRSKGLQEGHVQKARERYEQDCLKINSYTAQSSLTQGKELDKLLYKLERVKGTIGAN EHDFLQFVKVLEGTSSKWEQEWKNFCDHVQDIEEDRLSVSKDLMWVYANAVSQVCVED DSSCERIREKLEQFEPANDIINFVKGWGTGDLIPDTPRFINYSAGESYPIQPAYHVAN FMRISAKPPMTLTPGEEIQEPAAEPEPEPEAIPEPEAEPETAFNANGLSDGMKRTTLR DEPVKTPVAEPGKPATKPPFGGVALPGMSSATSPAQEKGPSYGSMPPPPVPSTLSMPE PRVPSRQSQGPPSPRRDINDEQDPMAKALAELRRDPPPPSSVRRAPSHKRADSVVSAA GSARGSAYGGGIKSPASPGPGRHSYHQGSVPAQSRSPPIDSSLSPPPGGHTAAALARS MDEFKHHSAQSNRNSVNYSNFADDIVGSHPTSRPTTPVMSPITGRGPSPAMMQAPKQP ATHIADEVLSQYHQAFPGEREQSRSRAGSVMSNRSRSSFIEPPQQAPPSPSAQPRQGF VGIGAGGAARSPSPQPSSFRSPSPSPAISPGALGPQNLGISLNAKGGVAQDTMAEQYR RQYQQQQQQQNQPPPSAPGPQMGSYLGQGSSQYGASAGRGPSPAPSSQPGYGQRGSVY SAPKSPVAASPIVGPPAVSNRPISNYGQSQQQQYDQNAVPSPHSPYAPASQPAYGQYA SSTQAPYTQQAPAPEPNYSQRPNSGYNQGAAYGRGPSPQPQQQPMYAQNQGYQGQQYA RGVSPAPGAQSQQYQRGVSPAPGAQAQQYQRGVSPAPAQAQQYARGVSPAPGAQQYGR GVSPVPGVQSYGYDQHQQGYGQPRRSPSPVPNQPPANATPTGQWSTTGLPVLFYVKAL YTYEAKSSAEFDFQEGDIIAVTSTPEDGWWSGELLDEARRIAGRTDFPSNLYVFFNPF CTDY L198_02347 MAAPTPSWALADNQTADPKDKSAGPGAQWRALNVGPDLIRSLLV RKFKSPTPIQRASIPTALSTPPRDILGMARTGSGKTLAYMIPLLQRTGAAHRSQGPRA LILCPSRELAMQIYTVGKDLVRGMSKKGKGKKKVDEDEEMAEDDEAKQALRWAVIIGG EGMDAQFSKMSSEPDIIIATPGRFLHLIVEMKMDLRHLQTVIYDEADRLFEMGFDVQL REILHRLPSTRQNLLFSATLPTSVAEFAKAGLVNPLLVRLDSERKISEDLSLKFFAVK PTEKEAALLVLLREVLGKPNQPQMSETNASPQAIVFVATKHHVDYVAELLRITGYRTS LIYSSLDQVARQQQLAGFRSHKSDVLVVTDVAARGLDIPIMDHVINYDFPAGARIFIH RVGRTARAGRKGTAYSLVVKEDYPYFCDLHAFIGAERLGEAQYTLRSMPTELLSENVE YVFSSLEEIAPHLSALRGVMKKGQGMFERSRTKANPISYRASKALSTALSARGGARLD GMFENAMEDEVNEEKARLLKQVAEFTPDWTVFEVGKRESESAIIMQKRRKTVDEKKKR ASKAEADQAAASGIERAVKAKERRRAAVLPQLPEKDWKDPSFFLDHTRSGADEEKGYS LKTGADVPTNLNNAVTDFTADEGAGPRAQKASQLSWDRKKHKFIQKSGSAEGVKMIKS ESGALLPATYSSGKYKEWQKKRKNVVDGPVDPLSGAVGGQGRKGKHGPVGVKRKDREE GDGEESGPRGKGGRDGDRPGKPGKKGGVKQSSGIRSAEDIRKHRATMAKRKEKNARKP HKFRK L198_02348 MFTCISCRVAFETADEQRGHFLTDWHRYNMKRRVATLPPVPASA FNEKVIERREQNAVRTDPRSMACAACRKTFSSENAYRTHVQSRKHRDMEASYARSGEQ PEPVASTSQAQDEESDSEEEKEETGMEVNGEEGEEEEEVDFETRMANIRRRIKPTDCL FCTRHLESLEENVSHMASAHSFFIPDQDILVDLSGLLGYLSEKIAGANMCLYCPNGGR EFGSMEAVRKHMIDKNHCKLAYETVEDRTELADFYAYESGSEDEQWEDEDGDDEELGS DEEIIDVGEKPVKSKKRSVALASDGLSLVLPSGRTLGHRSLKVYYDQRYRPATESGVD PSSLKVAQVRKRLADPTLALVPTAGGHGAFGKGQQLMKARNAGEAKWAKKQGRSFADQ KFRELHKTRVGYIHNSQAHFRDPLLQ L198_02349 MSSPVATWDTIQDVFYRKDTVYDMSWSIPDLSDYIVATAKNGGP VAMIRDERKIMLLGRHAAGKPKIYVYTSSGILLSTLTWDLTPPVLLHFTSQNLIVLSD EGLYRVYDLSNPTEYKQYTLGSEVSSVGIIEAQAWEDGLVVLTGDLQFLEVRRWGGGR AVPLCPSELKELPASWTLLSPDKSPSGHVQVLFSINDTIITLDALERIDQHVSRGPFS QIRISPNGRFLALPTVFNTLWVVSSDLSRNLSEVDVSQWGDGQPDRVEWCGDNAVVIA WGGKVAVAGPGGDCLIYDYSPSAHLVGEIDGLRVISSHVCDFIQKVPDYSLAVFKPGS THPAAILLDALDHFERKSPKADESVRYIRAELADAVDTCIEAAGREIDSYWQKRLLRA AQFGRAFIDLYNPSDFVEMAQTLKVLNAVRYYQVGIPITYEQYTSASPTFLISHLVSR NLHLLALRISQHLHLRPDAVLKHWAIAKITHASSQSIDPSDRGIADDHTICSAIVAKF QKEGKRSVSYAEIAKKAWEAGRTRLATMLLDHEPRAAEQVPLLLEMKQDKLALGKAVD SGDTDLVYQVLLNLHSSLTPGDFFHLLDDSLSPNLSPAVNLLQVYARQADRQLLRNFY YQDDRRTENGCLDMEEAGESASVEKRKERLGEAARHFGESKERAFESKMAEDAQRLLT LQDSYQNELSTPTHQYYFASLSVNAFIHALLIGGFSKRAEKVRSDYRVPDKRFWWVKL KALAEVKDWDALEVFAKAKKSPIGYEPFVRHLLKVGEPQKAASYVARCDAKARVDLYV ECGEWGRAAELAREKGDKGRLEQLKRQAPTGLAQRDVEEVMRRPGK L198_02350 MTYPLSDREAAITPTTIQSIASSWSTMEIAQSDKPIAKTNKPIK GIFSEPGSLPLPDAGHHSLGVVWENVTVEGSGGSKKLTVQSFDMSALRVFYVWGFVKK IFQITTGPTRPLIQNFSGVVEEGEVLLVLGRPGSGCSTLMRALSNVTEPFVAVNGDIA YYDGEIIFNAEDDENIPLLTVEETLKTAIRLKEPRKKANKERRGEYVEGIFSHLLHTF GMPHIRRPKVSVTSFIVIIPYTLLVGDQFIRGVELAADPEFLLFLDEPTSGLDSQASY EIVRFLKRIAASGFAVLRTIHQPSGDLFEMFDSVVLLAPGGHTVYVGETGEHASAVVN YFASRGADCPPEANPAEVLLNTVAPVGGTAVDWPGLWKESPEAANVQAKISEYTSRHN HKSADLEKQSDAEPEEGHDAYASSFMVQTKELAVRNFRAQWRGKSPITQMVLTIFFGL FVGFYFFHIEHTQGNMAAASLCLLFTVQAMPGIVMDVGINYLAKLDMYLARERLGIYS WQALITSLLVVAMPVLLLGWNIMFFCFYWTAGFVGGTPGDGVLIWLCFVLCAFLTAGF GILLGAVSPKECLCLTSCRSFGTVSLPIIASGVLADIAAVLNTLSWALVFYDALPAPF HYFFSWLSPLRYLYGALMTPRSSTSHSPAPPKTSSPSTPPSGQTCIDYTASYLSTTSG FLVNPDATSACQYCSNSTGSDYVRQMGFSEGAMWRDWALTIVWCISNVAFCFLLIKIQ PLYKKS L198_02351 MAPTPRLRLENKVAIITGAGSGIGLETALQFASEGASLVISDIN LPGVQAAAELINKHYPTSGTVAVKCDVSKEPEVKAMIDKAVEVFGRLDVLFNNAGIMH PADDDAIATEEKIWDLTQAINVKGVWYGCKYAIQAMRNNKEEPEKGLSTGGSIINVAS FVAILGAATPQLAYTASKGAVLAMTRELAMVHARENIRLNALCPGPIRTPLLMDFLNT PERLNRRMVHVPMGRFGEAVEQAKAVVFLASDDSSYINGTDFMVDGGLHKCYVTPDGE PSQAGPTGLLATLAKA L198_02352 MSHAARLFSSSARRSIPKPRLDYQRLLSDPALTAQNAKLRAFPL PSDHLSRLSDLRTSQRELMRLLNASRAKQREVGNLMKQGKGSPAEDIKQQAKDLKTQV KEYESALLAAEENLLDMALALPNFSHPSTPIGPEEKAVTLETFGPPPTPADQARDHTA FAAYFGILSPSSSATTTGASWPYLIGPLALLEQALINYALSIAVKKGYRPVAPPDVIK SDIAWRCGFQPRDPSGSSSQTYHLLPTSASKKAEPLCLTGTSEIPLAGMFAETIFPAP DLPQKVVGVGKAFRAEAGARGADTRGLYRVHQFTKVELFVVSDQEGSEKCMEELKEIQ KEIAQGLGLSVRVLDMPTEELGASAQRKYDMEAWMPGRGNWGEANYQARRLAITYRPA PSSPTASIEPSSGPLPFAHTLNGTAAAIPRLLVALLENGLTYEKKGEDVVYTGLRLPE VLKRFYVGGDELGEKGKKGVITWV L198_02353 MSATGIVFSIRWCEQFFPDHRKTFTTSPSRGSKTPLLHIHTMWR YPASPNHISAPTTRSSTPDLAPTTSRDDSGRPLRLAPQVNSYSSPKSSEAEMVRFESN VSRQTSSLAEKRKRSPGRGMMPKTGSPWLASDHQTLSGNRTVTPVAGSLNGWDAIPAG EEYYGNGYEVPRPDYGQLPAAGFTSVEQEVNQLRTNLNEIISLLQSFAPSAQQPRPPP PPLYLTTRFTRLSSSVHQSLSSLAPHLHTNLAPGFIPSFIPPATLLAPAPISPTASTA KKTDPESSTEAQTRRKKVEVQAEEHNLDQTRLEEEMSQAEREMEVIRKRRDILIARAQ AAAAAGHNSVGGRPNSKLRPPATSSYSGRNGINGSPGLGNFSALSEASEIASSRLLQH RGLGEDVPITAKSLSWVGRCHGCGIGVRDGWREGPDGPDTLCESCGGHYERLTRKKVV EPYRDSDTESSA L198_02354 MTSQRIANSVPGYAKFPFLSAAVVSNGFVYCSGQIGATPDGKLV EGPITKRVKQIMDNLEAVLKAHGSGLEHTVKFNIFITSYDIFDELNAAYTKRVPSPPP ARSCIGVATLPRGTDVEIECVAVLPTKAKL L198_02355 MSDYQQKTNDDASQNNTVGILHPMPENTAPTSSSAANTSSSSSA KKRGRGASMLQYLQSAHRSHAAARNREAELEETVTRLQSTTESTQNENEELKAMIEEL QLLKTQAEQEEALSQEIDWEAIVNEIDWEKTTN L198_02356 MSSGGKGKASSETKSSSRSSKAGLQFPVGRIHRLLKKGNYAQRI GSGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIVPRHLQLAVRNDEELNKLLGSVV ISQGGVLPHIMAELLPVKTKGKAKASQEV L198_02357 MAPKSVASKAPASQASKAPAAASKAPAKAAKTSAAPKDGAKKRS KKRVESYASYIYKVLKQVHPDTGISNKAMNILNSFVSDIFERIATEASKLASYNHRST ISSREIQTSVRLILPGELSKHAISEGTKAVTKYSSSK L198_02358 MARTKQTARKSTGGKAPRKQLATKAARKQTTAAAAGGVKKPHRY RPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSNAVMALQEASEAY LVSLFEDTNLAAIHAKRVTIQPKDLQLARRLRGERS L198_02359 MPLFPNLRAHQVFGANTEVGKTLLTTALLRASATRFAETSAEAK KRVFYLKPVSTGPDSESDTSYVNRNTKPWSDYISTRNLYQYREPMSPHLAAKLAPDLV GRPIPPFPQTNEELVRGIESYTEQCLKELNGEEGALFVETAGGVHSPALHPPHTQSTF LRSLRLPSVLVASPHLGGISTTISAYESLLVRGYSLSAVLCLHDPYYRNHTFLEDYFK DRGIGYWTIKTPPEKYGTVEEDGVRLEEWYKDVERSVEGELGGGVGDAARWLEDGHKK RIGELGTMPQRTLDSVWWPFTQHQLINKREDVMVVDSAYGDNFDAFYTKPGGKEISKN ESLLKSYFDGSASWFTQSHGHANEHLTLAAASAAGRYGHVLFPSGTNAPALDLAEKLL STVGQDWASRVFYSDNGSTAMEVGLKMALRAAGRRYGWDGELGGDVGVIGLRGSYHGD TIGSMDATQASTFNKAVDWYRGRGHWFSPPMVQFVDGKPSVLSTAPDSWPTLPSSLSS TPTPDGWQVAFPSYEAIYDISSRSSSPLATYYRAHIRETLERLTKEGKKFGALVMEPT CLGAGGMVFVDPLFQSCMVEVVRASGDLFAKEWDGGSYEQELEGLKTRDGGEWQGLPV LYDEVFSGLNRFGYNSAASVLGHTPDISAYAKILTGGLLPLSTTLASPSIFKAFLSEH KVDALLHGHSYTANPIGCSVALEAIKLTQEYENKGGWQGEKKMWGVEDGGRWSFWKKE FVEGISQIEGVKGAMAMGTVVAIELQDDESDYSSHVALEFLNDLRKIAVSPSSSETES ITPFSPFQIHSRPLGNVVYLITSLWTKPEVMRAMEGVIEERLKSRAEFGEVLKARNA L198_02360 MEQPSRTILIYGQGGVQTDLDLDSLDQDQIADIIPDMLTDYAVE CREWTIIAGEHLEKKRYQRALDLLNRGVHFFTRPHMRRPDALVNIHAMLAHIYLNLAR DAPKHILQNSKYDKIDPSTKTKEFYFREAAANLNASSEALRNAGATVDDEPVSLTMGR IIHHLATGQPSLAHPLVESLLSRQPNNIPALLAHARLQFARRSHEQALATYQQLLRLA PEMTPDPRIGLGLCFWQLGDKEKARTAWERALERDTGSWVCLLLLGLASLNQAREPSV SRTDRLQYETQGVGYVQKAFKLNNKSSAAAHALATVSGHGGQIPLASKLAERAIQYAD NKRHAVAANAERGRLGFVAGDVVDAGHYIAALKAEDPAAVNVVAELTLGQIAIQNDNL REALNYIEQTAKKLNGRGPLEYTVLHACLLAYPHPGMPQDEVLKNRAQARGMLEEVHN LVASAESEEDWAKLRGVGTDADVFVDLAKLWQGEDVDKAIGAYQTALSIISDNDLQTT STELQPPNLTALRLSDNLGALYHLQGNVETAERMYQEALQKIQGESGKEAEVLKTVLA YNLGRAYEEGGDSFKAAGWYRDVLRQHPEHVESKVRLALIASAAGRVVDAHTLLKECL LSDENNLTVRSVYTNFLISIGSHKEAFAFTSSTLKVDRNDVWTFCALGWLHFTLGREA KSSQELAERSKQYLRSAEAYERALLIDHKCAFAAQGLAIALVEDTLAPRGTNYGAEEG KVRARIAGKALGVFSRIQDSLAEGPVSVNIGHCYFIRGEEEKAIQSYGTASNAYGGKD VSTLLYLARAWYALATRTSNFSAMSKALAYSQTAFHLLPSDRAILYNIAMIQQKAAEM LFSLPCEKRTLEELQLGLRQAEHAAKSFRGLADDKTRPLPYDVDLADQRARYGEGLLR RAPGEMTKQETFEGEALARVEEARKTRAEEHAKIQAAEEARQEELRAKAEELAEQRKK AREEAMAWQEELAARHAEEEAKRLSNAEKRKRKKDTGVIDSGDEEGTKKEKRQRKKKP AKEKKRKQRSKSEVSSDEEEASASGEEEEETEESIAKKAKNTLAMLKAKRKSKRTDPD EDDDEDEINQGQSKKGKQFKSKAYISDSEDESDAAEANEPTQEGSASPEKNGGMDVDE EDE L198_02361 MSTEAHPVSSTSKPAPPAEGINPVTKNASAEAKAAKKEAKKSKG GSSSGPLVLSPEPAFFDERLKIYDEYKAKYEKFVAEQPREPITITFPDGKTAEATSWE TTPLQLAKNISSSLAERVIIAKVNNQQLWDLTRPLEASCSLALLDFDSSDNNYEARQV FWHSSAHVLGEACERRYDGCCLGYGPPIEEGGFFYDMSLAEGRTISQEDYKPIEDVCK MAVKEKQPFERLELPKEVLLKMFAYNKYKQHYIQDKVPDGTSSTVYRCGPLIDLCLGP HVPHTGRIKSLAVTKNSSSYFLGDAKNDTFQRVYGMSFPDNAQMKEYKKYLEEAAKRD HRKIGKEQELFFFNDLSPGSAFFLPMGMRIYNTLMDFIKGEYFKRGFTEVGTPNMFNS KLWETSGHWQNYAEDMFQLKVDEDTFALKPMNCPGHSVMFGSRERSYKELPLRFAEFG VLHRNEASGALSGLTRVRRFVQDDAHIFCTPEQVESELYAAFDFLDAVYKPFGFTYKV GLSTRNPKKWMGDLGIWDNAEKTLREVLEQRVPGNWHVNEEDAAFYGPKLDFQLTDAL KRNWQCGTIQLDFNLPERFDLKYHSATQSAEGAQFARPVMIHRAILGSLERFIAIITE SSGGKWPLWLSPRQVVVIPVAKPYVEYAQKVAKTFGDAGLFAEVDMTDNTLNKKIRNG QTAQWNFIIVVGEEELKANAVNIRNRDDEVQGREETVDLGVAVQKILKLKESRAAVSK LD L198_02362 MEHDAQINSQIPPKGPAVVTAVAAYNADLPNGNASILETTGHAV GVKAMVSIANIQLLLVEGESEKYPQERMRREIRPNSSGVARSSSSDAGPISYRSPFVI RYPIAPSHNTSVLVSDDENEAESPLPGFPNHPSNGRPWGKSGDGAKGASDIRYSHFMP DEAISPSADSPIKSAHGLAQEKLIQRLRSECPDPLMAGIMTEEVCAELFEFYFRHLNA TVALLDSVLHTPDRCRNRSPLLFTAVLAISSRVIRPKLYSQSLLLANRMVGQAVEFGV CTLEVIQALCLLTHWKKADDDTSWVRVGMAIRMAQMLGLDKTSPRPLPRDEMRAREVL NRERVWLSDLSIAHGLPKMLKDDVEDPADWVADHPHLPTPGESSFSPIITFNRLCKLY TDSLESMNGDPSNMRMLNWMEIEWKRWRERWLLKNDRHNFSHFQIAALKVSDAIFRFH LGEYRLLFIARFETKGKPLKVSEPSPLSMAFTECSDAALGLAEIVQREFVPHGYLTYC FSTTWSMLAITVIWLVRNLEPMSGTDRARVIRLLADLQFSMGEASTSGDDMAAYTHRF LKHLLNGISPEWQLASFMTQPSPPSYEGHDRSDRFQRAGHRSSFDQLPPPPHQQVPNG RSVHLPQLSNNQQPQYAPPLAMPSSLPGVEQPSFPVDQPFVWAPSSAQELIQEYLWST PQLPLQNSHAGNNIAGPSVNQQQVSQQVPPVTEGQAMTMNGTGMYGALPINGDYMDLF PETDDDLWKHLFPSSVMN L198_02363 MDEEADLALLDQHLLKTNQLGQRMTSILGQLDNRLYRLDKAIVP LDIESLLNYLEGKALAPSAPASAPPRPPTSRQASASSAKGYSLEPPTVKLTAPPLSPI ASAAPSRSATPADDSALLMRGPDIMALGEYFTALNGVVEDLERMWKGLTEGRGGAREA GVKDLSQLVEVGFEGAVQLFLRLSKEGSGRALDVESLLNNGPPTPPNYFPPLNTVLPL TTRITSLLYPAAETPKTATVLNPSYEDAISKLAGIRGEWICRSMSSLVSKVEEADEGG IWEGHGGREKVESILRLWEVIIHVAEAETMLITTLFPNHPPPTLLAQTLARPIALAEQ TLAPTLAMLKRSLAQHTFTALDLYASLLRLQPQWDHTMTQCLSRLGTPSSPATKDLIS TLHQPISTLRSLSLRSFPEALVDIRSARADGPSTSAIIDISYSTITYLENLIRYEDVV EGLLGKSISERSWLMGLKDAPSNVRSADEEGGIVKFFVADVLGTLLGHLEAKSRGMRH PVGQAFLLNNSSHIRNMLIIQSNSDITGPGAEAMLNKAVRDARGQFIAEFQSLTALLT NPPHDKNQRFGVPKVPTSERHVLKEAAMAFFDRFQELESILMQDPLNRQDPEMRDSVA REAEAVVRRGYEAFVGRCQSKSAEKYLRGTPDEIERRVQAIFR L198_02365 MTEPRKIHDLAYIDIQHDTTAVFDDIEQGSVVKEDFWVSGYQTG STSVHGRVKVEYEDGGRISVTPRDGVQVDRITQSDFIVDIPSLSISRRAVQFPKQAIH PPYKKKSDLDPPLQINDLSINPKTPHIVVGGPDGYCAILPTSISANVNKEAVKLEGHV GDVRSVQWFPSGEVILTASSDLSLRIFGLTGVNPRTFRGHTRAITSSLIIGVGKNVLS GSKDGTVRLWDVAQGKEVRQWAVGGNKLYPAEGLTLLEDHKSLKAVGLEGQERVLLVQ TQEGVWVQPEEGEGWLVELEGQLSALALDKESGALALGYKSGVIEVVEIARLAKEAYT GKRSTIRRNESPIYSLALVADSQTSESNLFVGTAAGLPCRLRIKPVADGFEVTVTNEL AGWDAVGVECIQVGSDGSVWCGGGEGGVRRYVVA L198_02366 MIIPVRCFSCGKVIGNLWDSYLELLAAGVDEGEAMDKLQLKRYC CRRMVLTHVDLIEKLLMYNPLARER L198_02367 MPPRTADAATRAPPKAPVRRPARANPGKTPDELADDIGKLAITK PEPKARATGSKAASPKPTIPPARKTPAPAAAKGKGKAKEPLAETIPWACAAAADNFKP VERASQAMQAVNTSLKSISQAEAMGYRYGQGKVVERGGGDWTDETADTTAELSMVGFA VLRELDKEGAIGKKGIEVERVCQVIVHKMLVIGMTKQAIEILCKTKSALLRLYSPIES EPSSSKPKTPTTSASSSRTTARPASKAPARPTPASSSKAPASVEGDVAVPASWLDTAS YPSPRDGSEIPDLVRSLLFSAVLSSWTGLVSYSHGSEEVIGALWKSHSDHSSFISPLT LARSLSRPSITPALYAFYRAVSSLAPPATSPIFLPLRRIALFALSLTITATAESKNSP TQLWETTHRIVAAVVNARKDEARLSEATEAVLSVVEWVEKILEARGEDGSGWFSGKGW SGLADMWIALGRKLGDSNVIDKALSLMASSASISTPVSTPKKSGMSPAPSTPQAAPLA RFEGQPDAEVTRIRGVLAKASLSIDKILLEASPPSLPLEGLSRQELSILGQALGVLSE DEDAKVLVERTVRAWERTRRGCVKLFDKFGSQEEWKNVLKDIESWSVAAVGLAEGVSG RVKLDPSLALHIVSGSIDTIVVLSQRNASNSYPLLCRGYGLYKQNRPWTSPSDHINWL RCLSTSAYNIGGKLFTEGPASDATPLIQASCEWGAEAVEIGKSVGDTDKGLQHLQEGL SKRWEWLAGCQQKAGDKKALFASYAECFASQPPSLLTSLSSASSQPISVILKPFPDLH RTLQRVSTFIFYDPSQAVSFGTDVVEAMGKRLQPSEAGAIGEKLMETIEEGAWKGEVA GIALDIGEGLLGLYDGRYPLRRLRVIARMLKITVASGQRAAQFLDLVEEADRLYAQSA LKEDVPLEVYKKEYYAYILILRALQAYHSTSDPSASVLQHQQQVHQLLRELILPPTPV VVQDEGVKKRQPLGRATTTRAAPTRSTRSAQRTVSEPQKKPVATTRGVPAKKGKSAAE VGTKAVAYDDLKRLTFLLSSLATLLGLMGMSLAQVEVLKLLRALQRNRDPLFSGYIDA SAQLATEYHKLGKISRAGSVFTQAHNALQDSKVLVDDSVQVELLLRWCCYLAGTGDVA KAREMYAKAQNIDRHIEDSKDKSRSLHLQIITRCVTLERAAWARTATAAINAAEDNAS AAIMQLSAAFRLWTRASDNICRIAEEEVSVIVNHTTPDDPFLDAPNSNRPTGADEPAK DDRPPPPPQASSFSLKHLDSLQWHVAVGLLNTTFDLASAFAARGSVKDVEYFLKVAGQ VSAVVKSGGMGAKTGASEAEILFRLRKYDEVESRLEGAAALLGTDTGPGMVDILRVQG DLYTRQDLVEEANQVFECTSNEIAGLDATFAAAEALLPTTKSLPTPRKSTSGKEPLLT VPLAHVLVQHAWLLREAGTKDECERLLARIKGLPHSRYIKSQELLLHGRIALHEAFVQ FKTDLFMSSLTESAIAMPMGNSTRKANDRQSSRLKIQSVLTRAESSFMSTLDLVAGSG RVEDIRQACLALALLRAFQTALGLGSSAVTSAAADILASSSSITLQRELLQAIDCKFI DVGANDIQWSSLDASKASKPKPEDEDSEPECLDDHDGKLRSYWDMIREKYSSNPLLTT ESVSLESLPSNWAVVSVNVSDDRNTIFVSRHQKDHEPIVFFLPLDRQGRREGDDETWT FDAAVEELQTIIQASNEGARRARLITTAEGKVEWWAERRALDKRMEELCVNLEFVWLG AFKTIFGPRNRFSKEDLAEFRTNLEKVLQAALSGGRNAKGKKVPPKLHLNDALLECFA GLSSKCQGEEAEDLIYFMLDTYQFHGLEVALSELDMDEIALDIKSVLEKIEAKQVKST NLTEEHVFLALDKNIQSFPWESIPILRGRPVSRIPSLSFLLDQVAMGNHLRPSLTRSL VEPGDQPTTQRTVNSRRTFYILNPSGDLARTESHFKPWIDEMVKTSGWKGIVGRAPTE LEMKTALRDYDLVLYFGHGGAEQYISSHKIRCLPQCATTMLWGCSSGHLKDQGDFDRT GTAWHYMVAGCPSLVGNLWDVTDRDIDRLSEHVLKQGLHLDSAHQPHSKNRLNTLLPL SELSTVQAVNRARDECKLKYLNGAAPVVYGLPVYLH L198_02368 MARPSASYDPLLDVSKEFTVLPANRSYKHQYANIYFVRLVEQRP TVEKRAMEKWKKVKGNPPLLPRILNLTRSQLCYIVGTVYMEMPLKPNILEDMARDHWL APPIARKKFFSAQDAIHLEDESGRVRLIGDVIQRERDREGGGIVTGVIMGVLGMENSQ GDFEVVDVCFSGLPDKLAVKKEGKSKGKAKDEDADMADDIPKSEKTWVALASGLSVGS QDSPSDLKAELLVEWLMGEAGGEDDRLAGSRIARLVLVGNSLTTPVKGEDDKKVTKFS TSSKPQFPNHPTKSLSALLHDLLSTSLPINLIPGPSDPAGATLPQQPLPRILFGGKAK TEGLECETNPTWMEVGGKSILALGGQTIDDIFKYLPGDSRLAMAKRTLEWRHIAPTAP DTLWIYPFPDADPFILKHRPDFYVIGNQPEFETTVVGDDDPTRIILLPSFAKTGTLAL VCLETGECKTLEFEVPQWAGQTAGNVPESQGRTQSQS L198_02369 MSISTKLLEEYMEKKQQGVPDRSYSNSVLEGRVNNRNQEFSIAS RRPLRMDHGGNSMGDRSFNGGYSGVQGGGNQSLADSSHGNGSFGFGNGTFTSTQRGCA SQWSEDRVEQIQARLKRKLGPEYVTQRPGPGGVQKLSYIEGWKVINLANDVFGYNGWS TTIVSLKPDFIDQTKEGRIFVNATAIIRITLLDGTFHEDVGCGQAENVKSKGAAIDKA QKEAVTDATKRALRTFGNVLGNCLYDKEYTKEVVKMKVPPAKFQSDGLERRAEFAPPG YSHDAAPGPSRSNSIPPHMNTDNRPPLNNNILPPRNIPQADPQPPPPPMRPPPNIVQA TPLKSVHSGDGQEDEYFFDENFDAEFAQFDDASMMAGVDGAGPSSGANTSVHSEPSKP ERPAYQHRARPDLSKSVSEGPAKEASFQKPAPPQAPQFGRPAQHAPQAPVANGVNVHH APGLEGQKTIPSNSSPVDRGVFGKTVSAPVAVGKQPPKVVGGFNFPGPANKPASSRAQ AILKGLNGNTHPSPHLKGPESPRIPSGGVDHAALRATSRLATEGARLGFDENDEAVAA DLALGGFASAKGLKRAPPGAERISHSPSPTKMSGGVQGRTALGELDPADWAAKRSRLG L198_02370 MPFLRPAQSQATFRALGLGLGRRTLSTSRPMALRATSAKRDAMV SAEETGGFKYIPGGPILKGTVNDATTFPSPSKSHGSYHWAFERILSAALIPVTVGAAV SSGSAYPIMDGILAISLIIHTHIGFDACVVDYVHPRKFPILGPIAAWVLRAATGLTVW GVYEFNTNDVGLTELLRRVWHA L198_02371 MAAIVTRQTRPEAILVVIFLIVALYMLLTGRRRSKKKTSSKTGA EGSAGDATNSDVDSRDDGNDAASYARRERERKRDKEEEDRRKQKKAEKASRRDEAGTS DTNTSAATTPTSTDDVDNAEAENAKKERERKRQKEEEKEKRRKEKEEEEKRRKEKEEK KKKEEEKAKKDKKAKTIPNDDDSPQLPSMPDRPKPEGELWKSPAPPSSAASSRATTPV LLERNADGTWKRPPTPKDGVKFDDGGKRGKENKNYNVYSIYDTPVTMYNQDGLEHARN HESRAKGGDDDILYGKLDGSESPLKGLKETGKLSKKLLSFMEKAEYLASQDLLLNQKL GSDIKAMALKDQWLDGAGPIPEIIGETIRQLMLEKHMDVTKCLEERSCVNPKTSEDGA KVGKANAQLNEFAGFLINAGYNVDELREGIKLYKWKEMTSDQIRELVRTWVDEQIKKG RGMSLVASFFLPYYRATVSYKRAHIGKGVPPKWEEHLDSLSKQLIDWFRYIGKAFLVS RMFSSIGADLSDGNIRVSISPRRSVVGFTMDFTIFTPEPTADELEKRKKLNRMLAKSN AEAPILRVKYLALRWADHLNDDVYPQPDGRKLFSENNPFHITRVTFRPGLERATVNSA ALKLATSGASTPRLPPNAPSKRDWKIMPVSSQTRAEEYEQHKATGLTEGFARTFRAMN AVFVRFVNKRQYHWYKSSDGKLGDMIDESTFNILLMLCSTGLIKYHLQQKFKVKGAKE YTDDDGAAMDFDYDAKYGVAQTIDLGGMVPLENATTTQPQILPLDDFKGLRGILLPLL LRSRHNSRSFPASSSLVINEKWGLFKWLCGPRAITVTFHGRDASEKENLVREILEAMR EEMKGWALVATSGGSSGSEAKGVLRQRIDEAIGVVRWRVKFIVLGVKEHYPADEKDVE AEGLKDFDVPEEYLPSVLR L198_02372 MFSLPSFITSLPFALPSLPSISLPANIQRRFLSYILKRTLGRFV LHKALDAERIQAQVSAGRVELEELQVDSAEINSYIPPNVPLTLTSGSLGRLTARLPFP NLWSDPLSVSLDTLTLDFTLASPSPVSHGKASHPADHHHDLAESVTSAADDFLHLELD AYEEKELEGSIRQSLILSQTDPFESDLPGGFPSSLPTGHISPGQPLPADIESTTVLAG MVERILARLDLKVENVVIRVKTEGEEEGIVELRIRKVQYADESETQLAEASTTRSIRI SGVDIVSVPSFPPPSSPPVSRQQPRTSSVSSGSSKASSSDEESADMYMSQAVADLRES LADVRLSPMQSSSSSEDEPSVYESALFHSVIEEQPGLSGIPNMPPAGSSSSDTEDEGS RSATPTPEAKSQPRSPLPATPILSFGQEDIVLRMRTTRPSPLLNEAAPQSTLPKVDVE VLVGTVAAVILPSQLRLLICALQNLSQTESSTEEPLPTTKSQPRMTVINRIKSFQLVA VYDLSAAQSPQLLKALETHFARPASSLPFNHLRFKLEQLEAIYQSQGQAGPRPVRAMS SAPAAGLRRRSSAHARFGPVPTSLSVTVQDMSLLEHFIPRDSEEDVALPIVLFDPGLA RQYDLPTSRAKQSAMPEFESMDWRAPRRSGVERQWKVRQRGRGILKDAKKVEEGPVVV LKKDSGGKHPEILLSNADLVLAPVVTLLPVHVFLDLSLVERLLPLLRATTPLIHKTEP APPSYPSFNQEVTPESIISSLSAPSPSKSSNTKAEIRCSMLRLDVRCPEPPPEGVERE AWSEGSGLRSGIFSVDVHGLGVKLLHGPDAGHQGKEVAKVEFESTLFFFAPAGQRNAC AFLALSPLTPDPDEDDVPLLPSVSVSTVASSPLTDASSPKTTLVTCKIPAIHSSLHRS LVVGLQYFADDTTRWLDGTFSDGSAKPRDELKMIGSRFFGGSKGSEASSEVEEEEEGK GAGLRVAVEINEVDVGLFVPRLEDSGGERMFSLKASDLDVRMETNPVKNETALTVSVM DLDFSDVSSETSRRILGRTTPFTLTSHQAPIVYIRFVSSTDRLTTMKESNISLTLSHF TFATHKEIIWLHELLAFIKPPEGVFENLSPTDVTKLSVSLSSASVLLVPPNVPGSIVI IAREVEGWTEMRRGAEENPIHVGIGGMGALAIEGDSGPLEVAGSLSDIWKKAGYAQLI EVTVLELQLLRRMAELGETSLDITDAQFKVTACADSLASFGELATDFGKLFPNEKLVP IKSPALKESINVFGELTFFDKLHLCSNASLTGSLDEDAFNVVPEIVSHADADMIDDDL PQNLDYLDHATRVPKHKPSLDSSTGESLRTWESTGAHGEDDHWGHGEEAETVRVFAGK VQEEQGYWEGLPVLNNGFSADELPGKTRVRVHRASLKLMLYDGYDWSRTRKVIEDEVR AVRRRLERIRQLLASGQKADESIERTASSVLFNSVYVGLDPQKADMNLSGMGMGKMDD KALLAAIDEELGDMETESQSSWQTLPAGVGAGAGAVHHAKKVKLKGKRLTRSKKPQIE ITVSDIKADIDLYGPGEATSSRVHFTAKELEILDHIKTSTWKKFLTELKSDNRGNIRE TDADMIRLELVGVRLQEGEEELRLRLKILPLRLHVDQDALDFLKRFFSFKAPSLASQQ PPNPSLASPTNPSPHPSSGPYFQHVEIYPIQLKLDYKPKRVDYKALREGKTIELMNFF HFEGAEMTLRHVTLSGISGLERLGMTLQDLWTPDVKANQLADVISGVSPIRSMVNVGS GVADLILLPIEQYRKDGRIAKGVQRGTNSFVKSTALEMMKLGARLATGTQVVLERAEG MLGGQAGEEVIAQSLPVDDEFGIDSGLLGTGSSSEDESEMVSRYASQPENAREGVQAA YASLSKNVNAAAQTILAVPMEVYERSGDDGPLKAVVRAVPIAVLKPMIGTTEAVSKTL LGMRNSLDPSARRELGDKYK L198_02373 MAGVVNGVRVATDDPNRVITVSAQWGKTGSDTTISYTNCKAVGN GSFGVVFAAKMSPVKHDDGEEEPESDIAIKKVLQDKRFKNRELQIMRLVHHPNIVDLK AFFYSNGDKKDEVYLNLVLELVPETVYRASRHYAKLKQAMPMLQVKLYMYQLLRSLAY IHAVGICHRDIKPQNLLLNPATGVLKLCDFGSAKILIAGEPNVSYICSRYYRAPELIF GATNYTTNIDIWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIKTMNPN YMEHKFPQIKPHPFTKVFRPRTPADAISLISTLLEYTPSARYTAPEALVHPFFDELRV EGSRLPNGKDMPVLFNFTKEELSSRPDLIRQLIPPHTEETLRANGIDVNDFKPIPPEQ LRVHLD L198_02374 MSVAAKTFFGAAVAASAGTVWGVHYLQKWEYDNMGQGIIKDEAR LAAKAALKLQASSSPVTQPAPTIDPECTTCVVSPPPQLLEAQSAESRAKEREGRRREY EDQKLLADRLTGQVEAEKRV L198_02375 MNRMETEAKSDRCVEISDDRTVSLKNSASLAGPEKDGFTFDRVF DTDSHQRDIFGWSVKGIVDDVMSGFNGTLFCYGQTGSGKTYTMMGADIKNENTKGLIP RIVEQIFASIIDADSIVEYTVKVSYMEIYMEKIKDLLAPQNDNLSIHEDKVRGVYVKS LTDVYVSSEDDVYRVMQAGSANRVVSATNMNAESSRSHSIFVIGIHQRNTETGSQKTG NLYLVDLAGSEKIGKTGATGQTLEEAKKINKSLSALGMVINSLTDGKSQHVPYRDSKL TRILQESLGGNSRTTLIINCSPATFNEPETLGTLRFGMRAKSIKNKARVNVEMSPAEL RAKLKRTTAELAAVRGFASELEEEVKVWRSGGQVEQSKWTGPLAAASDAASGAAAKRG ATPPPPPTPGSSSAASGGRAGTPGGGLLAVDGSRPDTPTSFGASLDKDEREEFLKREN ELSDQLAEKESALAAQERLLADLKDEIAFLKEAESGMSEENKNMSGELNELRISSARL ESESKDATITLDSYKEKIAELQKDIEDQKAQIEDLKHAQTREKEEEKEKRKHEMLSDM MSKIDMGGAVLDSSSEKLRGVLRDLEEAPEGEMREKLSAQTRELIRSTLAENHDAVRG LQERLRLSHEEGELQGKRRSELEKMLNKRDAAYEELLDKTASGQGISLGELKSQLESK YTSSEELLRSEITSLTEHAESRASDVKRLQSTVESYKLSNEELNRALSAATAGTDGEN FANSAKELERARKAHEIQYAEFDIVKKSLMKDLQNRCEKVVELEMQLDEVREQYKIIA RSANSRAQQKKLEFLEHNLDALSGVQKQLVEQNTILKKEVAEAQRKLMSRNDRIQNLE AALSNADQRLTQKNQKYEQQLQLFREKLAEAQSKQNATYAHGRIAKPLRGGGGAGAGG ALQSIMGGGKQEESPGGKRRES L198_02376 MTGAPPSHKSVGPLVTGHRLDTLPDDVLIIILRDLGLAELVNLR RVNRVILNRIDHLGIPLYLASHRLSHLTLSPFPTQWLPYNLAKYNHQISTRLISRQWH ALQIGRTWSQSVIPTLYLDAEQSRLVLGVGGDVVIHPLYRPHHRMGGKVVGQGRGYPI RSAEAGSKSDVVSIVDLKDGQGSLAIAQFDGTIRRFSFPDDPHSPPKVTAHYPHSSTR STRVRKVVGSEKGDMMMSTSWDGQVSFLHTRSPWVQPTTMNVEGKVAWSSLLTTSHPS LPPTAMLGTKTAVSCHDILPSGPVETPARLLRGPDLPHTTSPYDIQLPPPSSSHNPNI LLSAWYDSHLRLHDLRSSSASPIMTFQDPFTWADSSAFYSCCFTGENWLAGGGARHGT VAFFDIRNSTRGWSCFSPGGKGSPAYSLQGEGGKVWGVTEKRAFVLAYDGSGDIHEGL VANEARAAPQNQRDRGRHAPNGYRGRGGKWNWTVRYDADEGNKAVGYEHTDREIKMFD SLVAR L198_02377 MVCRDVAVMRRRSDSYLNATQILKVAGFDKPQRTRVLEREVQKG EHEKVQGGYGKYQGTWIPIERGLALAKQYGVEDLLRPIIDYVPTSVSPPPAPKHTVAP PSKARAPKERPTKSTPLRTGPQSAAALQAQAQLSRQAKHESTPDADASFRSYETRTSL TPEDDSSSDTPSPVATELSDDDMDVDQPKPGMLPNVTMSSTMDDVGSRKRSAAMMMED EDHYARLREIRGSSAVHTPQGTPRHIGMGMPEEPLGPEQYTDIILNYFVSETSQIPQI LISPPTDFDPNAPIDDDGHTALHWACAMGRVRVVKLLLTAGASIFAGNNAEQTPLMRS VMFSNNYDMRKFPELYELLHRSTLNIDKQNRTVFHHIANLALTKGKTHAAKYYMETIL ARLSDYPQELADVINFQDEEGETALTIAARARSRRLVKALLDHGANPKIKNRDMRSAE DYILEDERFRSSPVPAANGGSAKPGAADGDEKPLFAPQLYFSEAARICGGSGLADISS HMSSLSRSFDAELQGKERDILQAKALLTNIHTEVNESTRLISTISTQAAPLDEKRREL ESLQFQVKDKVKAALKKGYVGWLEGELIREKRWEDGELNSGSEEEERKEVESLRDIPA GGEEVVQAEEEKLRWEIEEKRKRRATLVERFVKAQTEAGTSEQIAKYKRLISIGLGGV SANELDGLVNQLLDGLEDEGDNYASGSRPPQAPLN L198_02378 MKISSTLPQHLSYREVLDHFISLKQETDVLGEAIALKKARNKAQ MKERFPLERDDPEKRDDPALLEEIAEEEEEQLQIAARRGASDELVWITDEVIGYLCSD LVPTSLQTLEGISQLADELQDHQLTKAEVLQMCNLAPSEPVTLYSIIEEADTRFYPDP AAKLDEIGNQIYATLLPTPPEELLPYMPALAEEQAGEGFEPGYVEGDADADMEMAMMA EEYVTETGREGGVDDDADEAMD L198_02379 MLTLRPFQDFSKSMQASNTTTCIGSRGILTFIQWQNYIYNGPLT PELEQAFKKEASRALSRSSGTPLGFSVSTSVTTVNGVTRGSTEFQVGKGTAWTSEERR ILDEKFGRLAPDGRMIGYVALCKEMNGADAMGIDQMEDLSTLRTALKSRLLKIPHTSP EPSSLPQAPPTPMQRTMHTSPKPLSHPTPTPQMHTLSEVAQEGRSIGRIMLMPIRRRS VRRGRGIRMLGRDLSL L198_02380 MATRTIQAPTRTRTRQHNQTDQLTATKEESEVAAPPGRKIQDSF TGITLWSPSEEDSHVFDYHGILAPELLERLQDKAMWKLRLGTSLSFRTAAIAPDGYVV SGKPNIITKIEVCRKGRWREGETRLLDEIFRKLGGRRMFGEGGRVLPKSSSTRSGPVS PVFTDPTRNSSSETVVAREGPSPNRPRGMLARERQMSNTERPGVLWTGQLNAQPFQAT PLNQQQRPRAISGPSYNAPPTAFPTRPSQQNTPQGPVPMPTYAAPTNPREHDIMAQLG ERAQRMKAADAQQKLSPPSGPPRPLEGQGSPGRPHMRAPPAASTGARNLQQFPPPAGE VTEMRRQPVYNSEAPSNAPPYQPAALPAQPTPVVYGLQPLHQGPGTSHYTYTLTPHEG PIPPPGQPQPQPQPRVRQEVPPPPAGQVPPPAWDYVRRRGM L198_02381 MQQPYSNNTQQKGAPYYQSHVSPSTAAAHHLSLPYLHSPANGSS TSLLDAVVGHQAHTPNTGIQSSTNYPSHPASVRPNPPSSYSQAFNNVSRDPSSGASSQ SHGGSSLGKVSERGESDWDVVSASEGGARGQGVDEEEDEEAVKDLQEKVAKRRSQLPR LESQLADLEAQIKAAEDRLAQAQTNPKGGAPVRQ L198_02382 MRLYNLTPLLLASLASSAGLFGGDSSTAEAASSTSTASASSSSD TTSSEVAFLQTLQYAQVASMSCLITLVNLTTTPLGTCLGLTDLADLVSNPDQNSSFSD QLNTYLGTVCQQTCSDSDLQEGKAMLDDNNGTSDLCLPATLNGSTTANSNTFFDALVA GNSTTLEGYQDSVFTQAECTGCMYEMFKAAQYTISSIRGQTVTEAFGNHLKNDCPSSS SSDSTTTSTNGTADTSTINWSDVDDQQIPDALQVSQSTASSTSGNGRVVDSWVGGVLM GLMAGALGWCDML L198_02383 MSSQNTNTHISQDASTYSSHPGISRKNTNTSFSSQHSGHTRPSV VQRNSGTETFGNKISKESVEDLRSQAFELEHQLAREENKFKSLTKQSERHSLQKTIDE LKARLALTERKVKLASSDWVPEEDEEDRVTW L198_02384 MSGAPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIG VDFKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDRDTYTNVK QWLQEIDRYAVEGVNKLLVGNKSDLATKKVVEYAEAKAFADELGIPFLETSAKNATNV EQAFLTMSKQIKDRMGSSTMGAGPNTKSTIKGLGQGVEQKTAGGCC L198_02385 MPAFARRSQIAFAGLSAERLSKWGPSLVFWGVGAGSFVSLLLSE VPLFQKDILRKVPVVGQYWVDTVPDSDKPF L198_02386 MPFALLIYLFTLTTGTTSGILRKIESLGNGNNRRRDVELDGSSS GGIGYTIDVSLNGGSSIPILLDTGSNQFWAASTACDSCTSAGMQSSGLEAESGCEVYG LTYGIGSVKGCIVESTMTVGEWTVDNLHALVRDLGYGSRRCNEAPVVGFHLGREGDGT ESKMTIGDVSSISYAQTSKKVTVPSRNNTYDLYQVSMNSISVNGKTLESDIIAYIDTG STAISTPEMLAGDIYNALFDGVAYKYGTSYIVPCEPLTNASIAFTFGGVAFDMASEDL VGDDIADNSGWCYGRFVSLYEGVDYMVIGDAFLHNVYHTVNVQNGDVTFYALS L198_02387 MGPAFGYRWIFDRRVYTIDVTVGDGSVTLPILVDSGSADIWVAG SNCDNCTSSGMADSGLTATDNCQEQEKGYGSGSVKGCLVPTDVKFGDGYELEAFPVLV ASDSEGFDRSYMSGIFGLAMNKSSINNQATPLNMMSQLGMISTPEVGFYLDRAETGSE IIFGSPHDDNHADQSKKVTLPKVTEDDGLYRVTMDGFVSHGYMVSSTESNVSMENIEV ILDTGTSDIRVPDTMMLPIYAALGNGTFFTDTTTGDLVVPCEGPDNKDEALALQFGGQ QFYFKWEDLVANPSSTDANYCYCRVQASPSSISDYLIVGSAFFHNVYHVINIDSGYTT LYGLADVF L198_02388 MAIDADAYMEGNIPSLSRGGSWLPASRQMNNGSSSPLSPLHPGS VHGSSPLTATFPRTPPGPYGGSIAPGSLIRSPGMPRSPGSMGGSMYSGKPMLRHLHGG MAASTAIHGLKRDHAVSPKSHTGWLRAGSEADDRSMPGSVYGGGSFDGRSLVSGAHPV SSSSSYHTRQYIHHHFDEHLPAEKGRSWEKNVGAPKLISREKDYGLRKDPPVKVDGRL RRSSEVGQVTTPYRKLEGHQVQQGAEDYFSRSHTPLQGVLSPKPSRRKASDPDLHRSA QLNSRLARMSLQGRECRTPDPEPSVGKRPTMTRRHTSHPHSSGESSRAPSSLPLSPPV HKQLHQIKRTRHNSTPPPPSSITTSFQDKESDIDFTLQPAPAPRSRAFSRSGSVAPTS VSRQFPRPHVGTPYRPPVSAKGSMGYIPPLADDPYDRYASHPSTPTPDFVEKHLASSA LSPSTSSASRLRPPQPKAEKAGEYTPPGFPKATKDFPWNRDGPAMKTYGIAWCRDGEA DQLPLGPEGPRWTQARPPRVDHIMGGGWWESGGEDSVV L198_02389 MSVLAALSSIPSTSYSHPIEAPPANAQKLNPYLPLPPSTSPTVL FTNATFLHSIPTSSLNRTVVHVFDAEEIVSPKGANAVSLISRSAQGAYDHALLALRLA QDEDAVVYHFIPSGLEGEIQEAEDVSAWLAGSLGTPRIAQGEEAEVSSEVRLATAFDA ISLSLLKFTRRPQRPFIHNKAESSRLVVNFLPSAVEAENVVEVVLAIPAPKEKLISSL AGVKEVVVVEAGSGKYGPAWASVVDAFEESDVSIRSVLVGASASSSEITSAISGEAPI TRVGKPLSYSIPSKSVAIPSPEATYTELLAASPSPLEILNDPRHLATNESTSPLYAFG KAVAIRRDRARLVQLAKKVLKGANTKPAVHEALSAWLLVRDEKKGAAEAGQKVEAAIG SAEGEEKEIVELGKKGLWEKRALWIVISNSWAADLASSGLHHALASGLDINLLVYETA ASPFSPNAPAQPPKERKKDLALYALNMGDVYVASVAVYADYAGVLNAMREAETYSGPG LVLAYLPWGEKEDGQAVSATEKAGALERLRETKRAVSGGWWPMFRWNPSAADDKRFSL DSSYVKAALSEFLDRQSHLSQLTLATPAIDSSVTASAGTDLVAARKEKARKAYDALLN SLDGPGLLVLVASDGGNAEKLAKRLVGRAKMRGVGASLRVLDEVAENVVETLSQEANV LFITSTAGQGESPLNGREFTKALGKISSSEELKETKVAVFGMGDSHYWPRPEDAGYYN KPARDLFPKLMSVGCQELLPLGLGDDSDPDGVQTAYKPFEAALWRALGVDSVEVVEEK EEVVANEHIKIASDYLRGTILEGLEDKSTGAISASDAQLTKFHGTYMQDDRDIRESLK AQGLEPAYSFMIRVRMPAGVCNAQQWLDMDAIADEHGNSTFKLTTRQTFQFHGIIKSH LKKAMQAINKSLLDTIAACGDVNRNIQCTVNPALSKTHETVYNFAKDVSEHLLPSTNA YHEIWLDKKKVSGDAVQHLSADHEPLYGPYYLPRKFKIAVAVPPDNAVDVFTNDVGFI AIVENGEVVGYNVSAGGGMGVTHGNKKTYPRLGTVLGFITPEEGKKVSESIMLVQRDN GNRQDRKNARLKYTVDRLGFPKFKSLVEERWGQKFAPARDYHFDSNLDHYGWQQGHDG KWHFTMFIENGRVEDNAKHQFKSGLKDIAKVHKGTFRLTANQHLILSDIAPEDLDEMK RLLNKWGLDHIDHSGVRLSSSACVAFPTCGLAMAESERYLPVLIDKVEKICEEAGIRN DALVMRMTGCPNGCARPWAAEIAFVGKAPGAYMVMLGGSHLGTRLNKPFLESATEPEI LAVLKPMIKRWALERHEGERFGDWTIRAGYIKPTTEGMNFWDDSFPSQQVQAPAIAA L198_02390 MPSRTDGESAEESDLDSDYQLSLGRERYLESIHVAPSPESSSST TPSFLSCPCDSIPLQLHTPTCPYPDFQNPLAMNASRLETSTPTRQQASRARPLNEPVV SPLAYEMSMIGEKGVHLDTDDGGGGEHTPHEYQEDGAEVVSAA L198_02391 MPGKARKGKSSHNNDPNQTSLKGWVIPKPSSAASQPSSSNLTHQ PAQKNPKRVATSSLEVQDFTTKRSKSRGDEASINPPTHKTAPRHPTTSTQDDLHSNSN SDDISSDEDEDDCGSDDDGRSDELDDGFARCIEAEKMPSTSLPVTGDDFWMSYLITPL EQHASRLGLKPTPLPETTYKRLSEVLDFVLANKSVYFVWTINSMSKNVRKRLLGLLGL FMPTNFQELLAGPNPPSATQIYSLIDANGVWFNEYGVRVDKLEGRSEVQSRSVGAYWK VALPKGSVHHHPPLRILIYTGQTAKVSPSDNTMGFRLRWRQHVNDAYGHDEANKKDSL FTKAFIKSREEYRMAFTPIVTVPVPVGTKLDIPFRNAVKFFARLSEAVCHEACSTIYR VDPGCAHTPKTFWENSPREYTGMNARDPLRESFGFWELGKPQRPSPNCSTCGKLFGTK TQRDQHEVEVHGPNTFVCKHDGCVYRCSSEKRLETHRKSVHGKKEFACKIEGCERKYG TKKLLNMHRKEVHGEKVHACDECEREYGTEGRLNMHRKEVHGEKVHACDECEKKFRTN SLLNAHNKKVHQEKTVSCKEIGCRTMFRYESQARTHMKRCHPERKFVV L198_02392 MAELAPPEPEPRLSTSSTSSAHSLDLPSLQPLSHTHPLLSAPDF DPDAFLLSRIHIPLEELRGELREYLGQLREELVQLINEDYEEFLSLGTGLRGEEERLR MLSGPLLSVRSEVESVRDVLAEHQQKVQSKLDERASLRSEKALLDLLQRLFDTLSRAE ALLDQPHHDEEGKGKLVGRVASEYTQVVYLLNKAKAEGCAIVDVVQERISKIKKRISD DLSTVLVTELQNQDKAGLKHCLRIYELIEGWEEAEEVIRKDFQVYCRDAISTQALNPP TTPTVPLTPHPLQNSLTSLRLPPKFSSPLALLYNQLLTRVAIYQPLMDVGEEVSSKFD FFARVIWPEIGERIMEKLGGVIFAAGRPDELHRHLTTTYKFLELLESIAPSLRSVKTM RQSQTYLTFERRWQLPVYFQLRWKEIVSGVEQALTGQPSYTSKEKESEWALGQSEKVW KGVESCWREDIYVAELAPRFWRLTLQIVSRYGTYLKSTLDSYVITEENTSQEDTALRF AAAAIIDLEQIGARVRELQVVKELDLADHLKPSTSLYISKIESILIRRCLDPLKLIRS IASQFRASTPNPTAPSQASYYVPSVMKPLRAVYGQRPELKERHSEELGGRVVDEVLKN FASTLASVKKTEDLLRKHRKSKKTTGFSSFFGGGAAAEEGKGEEGEKEEERFAAQMKV DIKALREDAESLGVEVEGMESWKELVGVCEGTVT L198_02393 MGKAEAGSAKAIGNAIKAKGLGRLRWYCQVCEKQCRDENGFQAH CRSEPHMRKIMNIGPKAGSAIADFSRQFQSDFMTLLRSRHLTNRVQANKVYNEYIQDK HHTHMNATRWVTLNGFIMTIGKAGLVKVDEDEKGLWIQWIDNRPETLSKQANSLKFER AQMDGEERERKMLEEQIARAKAAAQKDDQPTIEPGLQREEGEKVKLTLFPSAPSESKT EEGAEPGEQKEGEAAPVKSGFKMFGVSAAAKPNPLKRPAASNVFKSAKSTKTESSNSS SNGAGGPPKYKSEAERLMMEDRAMKASRPQGYRGMGPSRGAR L198_02394 MPTSLAFSSVTTSSLIGVAIACGGNVLISLALTIQKLAHRRNEE ALHASEYDSSDEDEGEEEDENGVQRTSPSRGPSPIPEEDEPPPTPRPDGDVSFTPPSA GTFGAQDLVMEQGTMETEGFGGQALAEDLRDDGLVRMPIMIVPERSLSDSVALQVPGN GQGDESALKSQSTSGSSSRVTSPTHKPILTQNHSNSHVKLESPPQHLRSKRMASERHV TDQEVDEGQDGHEATSTQTSGQRVGEVQEGQYLKSRLWWAGMVLIAVGEGGNFLSYGF APASVVAPLGTVALIANCVFAPLILGERFYKREIFGMALAIIGAVTVLDPDQLLHALT RTPFLIYTSLNLLLLLPLLCLSRFPIATRILPIDVAICALFGGYTVLATKALSSLLSQ DFFGAWENGITWGCVAVVGGTSLGQVRWLNRALMRFQSKEVIPTQFVFFTLAAIIGSG VLYQEFEGLTFSSFVNFAFGIATTFFGVYLLTTTPSEPAFDLSESGSETEEQVVDGAI QLNDPDDPELVRATSSSSLGFLLPTSSTTNEQAPLLVSSPSLYTSSTTASLAPGIPRR HSDAPILHSRGPGADGRSLTAIPATPRKARLTKRTSTSEFISAPKLVGSQAGVLLLAT TPPPNGPAYLATSAGYVPNTGSLRGRGWTLGLGGGRQGDEESGVEGMGYGSLSRARGR SRSGSVMFAEQRGESGSRQGSHSRSGSKASERGDDQNQRA L198_02395 MLTTHLLLVLSVLLLPASLGRPTPPRPASRPDRRDGRERDIFDN SWFACPDSSNTQTFYKIERWGLKRECFCPGQYQFYNSFTRQCHCAEPFTPSYSLSNEL QCSAHQPPLLDDSQAPEAALVFESSPVEDQNLAIPQHDAPTPSPVLKRPVAGAVVGKG RLFGGTRGGGRGSMRETSGWRVEGGAEEMDEDVREMAGKTVCDFGEKLCKVGGGYSCL DVMNGLSSCGGCPGEQGTVDCTSLSGVSEVQCHAGTCQVDSCRSGYQLEYDPYPDAPS TSSCVSTSNRSKMPWFSDVKQAL L198_02396 MAPRLALRALPTARHATRALATNAATKLGARNALVSTAAPLVSR GPSRLLVDGQRRAASSDEGVTMMTVRDALNSAMEEEMLKDESVFIIGEEVARYQGAYK ITKGLLDKFGEDRVIDTPITESGFTGMAVGAALAGLRPICEFMTWNFAMQSIDQIVNS GGKTYYMSGGNVPCPVVFRGPNGAAAGVGAQHSQDFCAWYGSIPGLKVISPWSAADCR GLLKAAIRDPNPVCFLENEMLYGVQFPVTKAELDADFVLPIGKAKIEKAGTDVTVVAH SKMVTHSLEAAEILEKEQGIKVEVINLRSIRPLDIETIIASVKKTKHLVTVEGGFPAF GVGSEIIAQVVESTAFDFLDAPPERITGADVPTPYSESLEPMAFPDTNLIAKVLQRHL YRQ L198_02397 MSSKSSSADGARQTRNVVNMQVFPISTSIVADHQQGATFVDHAL VTVRGGKGGSGASALEASLRGPAAPSGGNGAQGGSVWLTTSPDLSSLATLKKRLIGGQ GGTGSGAFRHGRKGEDLIVQVPLGTIVRELKREGEEEKMERDEEDLGLSDEEKRKSRW QRWFLTHPSAGGQVSTEEYEEGEALLKKERRWLTHTPSFEESPPLYLDITKTLAEPVL LAAGGSGGLGNPFFPSPRIASRGILPPTHTFEFELKLLADVGLVGFPNTGKSTILRAL TGRKAEVADYSFTTLNPQIGVVRVFEDGSWGDRGEEVVETQIEREREDRARTEGTGWT HPTPVPRSDKRQLPERLRFTLSDNPGLLPLASQNVGLGHSFLRSIERSPVLAYVLDLT KPAPEEDLEVLKEELEAYREGLSERARVVVLNKGDKVSEEQGKERIEKVREVLAGWDG EFETIVLSGRYGLGMDGLVDALAGRVERAREEKAILDKEREERGEEEMEDRGVQRIPR TGFGVKG L198_02398 MAYYLAPPSWKTCDNSLQPCELHMISLFESRGRNNLANCIRHGR VYLRLSQALLLDNSCSLFYLRMTGYINGLKAAFRELDQKGVGGHVGRIEEWEREGFQR YKDDQVLLNMRQKVGEWDVMKERGVGMSESELEEVYV L198_02399 MPKRLLNSAVRLYNNNFQRRPDTTLIVTNGALNGIADALAQASS ILLHKPTPQSPLAPKYDYARTLRFTIFGMAMGPFVGRWMSFLETRIPMGNIVRSGRVV LPGRVVKQRGGDNAQLAKRVLADQVIMGPIDLCLFVGSMGIMEGLSQASIIEKFQDVL ALIADWAYWPIAQTINFKYMPLQYRVPFQSTCGIAWTVYLSILNADENKNLKEQHHTP HV L198_02400 MPRKLLYSFAQFYNSNFERRPTATLIVTNGVLNSVADILAQSSS ILLHKPTVQNPLPPTYDPTRTLRFAVFGMAMGPVIGRWMRLLEKRIPMPNVSRGGGLT LPGGVVRKKGGEGIQLAKRVLADQVVMAPIGLCLFVGSMGIMEGHSQLEISEKFRDVP ALFANWKIWPLIQTINFKLMPIQYRVPFQSTCGIAWTLYLSLLNAK L198_02401 MSRPNSKPPAHLPFYHRLLFPTCAPKQVPRLIDGEGKAIDEINE KLYNLIALSLRAYILSWYTRFTKDRTLLPSIHSTILHPLLHPVLQRAYDEPEWLGEWV VLDLGTVVGVHVRVWNEARGAVAVFGGNVGDAYHARLPLPSVSPSPSSPGAPSYTLNP TYLSALANAIIPQNQPDVQQLMVREVLARAVLGGGLRRLSYGWFWYALILRFVGEPGD PLTWTLSEPPTDPKPKTLDQIILSHIHSLLSSLTALYTLILSLFTLYTTSPPPPTPQF HHCSDSFLVIGRELLGIDGFEGGGKKWGKRLVWGGVEMGVGFAAPLLDRIIPHLIQTR LTPELALRLLDLTERLLFPLDGYPGPSPIDPSPAEAADLRNKLEKRLQELIPRTIQVL LLPCKDDISRLLDPISDAGCNAHLIGMLLDGVVGVLRPDLVVANEEKARAVETGL L198_02402 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTGKSNHPPTIHTH L198_02403 MSISLIITHPASASISSLPKPISPSPLPTQTPLPIPSRFPPSSR PHLTPSPSGRLFLHSQESHFIWEYDHKGRRVGEMVFGKQDRVNQVVCGDAFVGVLLDG REGVEVMEKESERLGKWKKMKSVEGPGGVVTALASDGKHLLAIGTDEGGLVVCNVQDG TRTDVSLEGHSGASHTVCSRVKLTSGKSISPLLSFAPSLPNTLILPSQTSPTLLRIAL TPQTITSLSPFPSPAYEPISSISFSPVTESPDGTRKGGLCALAAGGQVVLVGLDRAVE GSLGKRVGFGQEVGDVVFLDGATLGGKVKGGKGLLVKDLRALDKSPLEFAFGEEVVKA QAKSSQSSKPTTRRVSNTSTTSALGEINTNLPPTSRPATHAPGNKTKAPAPSRPSSSS LASTKPRASSTIVPSQTDRVGREKEERRSTSGPVVRDRARKPLGMSTRTIEVIEEEEA EQESPGPSRITCLVDGALTRQVPEPVEEEEDEEEEEEWQEPGVDLTWALHPPRPSSRT QSQTGATHTRAVVEEGGMSEKEQIAHLRREMAFMHMDMLRMGRDLRNEMKAAVDPLKE EIQTSRVVIEKQRREIERLRRGY L198_02404 MIRSNPTAIPLRASDLKILQVEIDKRKAEREEQEAAANPHRTRE GERGEGERRESGKSREQERRERHERIGL L198_02405 MAEIYQKKELLALMKEGANNKCIDCDAPSPQWASVSYGTFICLE CSGVHRGFGVHISFVRSITMDKWSEAQVKKMKLGGNQKFKDFLESYGPEGGYTKGVGM SEKYNSWAAAQYRDKLAAECADPPQEWSPSAPPPSAPVRPESAQATRKSRAGGSLGPS RTNSPSVPQGYSDYNQPANNSRNDAQRAQNEAFFERMGNANASRPDDLPPSQGGRYAG FGSTPAPDPSSAHASYGTSSHSAPTLDEFQRNPIGALSKGWGLFSSAVALAGREVKTS VVDPGLARAQALAAGEGSEEWKRYFNDASVRAREAGGWIGTQAQTGWEGFNDVAKARG GVDFNEQFNKLGINKHDQGNQGYEGYGQVGEEPLSAKGGASGGYGQKNGGKVQEEEDF FDSWGVGESTGGKGKGKND L198_02406 MSQFPPERIRNLSIIAHIDHGKSTLADRLLQMTGTVPPESSPQF LDKLKVEKERGITVKAQTVSIIHTHTDGHKYLINLIDTPGHVDFSYEVSRSLGACEGA LLLVDCSQGIQAQTLSVFHHALEADLSMLAVINKVDLPHAYPDETSEEIASSLGLEKD RHLRISAKSGLGVDTVLGSIIEGLPSPSPYEGGEDGKLRGLIFDTFYDQFRGVVSLVR IFAGSLKKGDKVRFLQADRKYEILEVGLNNPDEVPVERLTVGQVGYIVCNMKDSGEAF IGDTICWADKVVDPLPGFQPMKAMVYAGVFPMDSGDFPKLEESIERLTLNDRSVSVQR ESSAALSQGFRLGFLGTLHMDVFRQRLEDEYSSEVIVTAPTVPYKVVFTNGTEQFISN PVEFPEPGDPKARVAHVEEPMINATIFVPNEYIGSMMDLCARYRGIQLEYRILENSER AVLRYTLPLSEIVTEFFSELKSQSSGFASFDYEEAGYEQSPLVKMNILINGKPVDALS MIVHKYAAQAIGKAWVKKLKEVVPRQQFELSIQAAIGAKVIARENVSAFRKDVTAGLY GGHYDRKLKHLNKQKEGKKKLKKLAGNIEIPQSAYYKVLSSRPRGFATSARTTVGLAL GLASYPQPPLPMGGWGFERSHSAFQPFSSSSQPDTKDKDALSESIDPILRHISHLSAP SLTPPKSEPNPEINPIAQHTLSPIDRSSSLSHLFRLSSLSSTSCSSSTRLLQAYTDLY MTSPHTQLFSVGEVSEVLKALRMLQLREGADKERAEEQIEALVEEIKYLVGDKKGFRG LELLVLSSKTMQHRQVRDKDVFEVEKTLKSLFPRPPNVEDGPARRRFKAGINYAMYLL ALSGKEARFGDWWDVLEKEGLEPDGYTWLARMILAEKMGRGEELFDILEHAFQSSNMA TEDHSVLVNYALAGFGRMGRWDLVTDVYRVLRPETEEGDLVTIESATSPSSSTFASDI PLPKSGITLGHRSYSPLLAALATQGNMPGALTILKHIFEDDRSPSVADYNALFKGFAQ YGETPSGVIDAGPAGVMLGVSSETSFKASDVTGSFEERMSGIWERGAMFGAVKNQPRQ EKRVEGWSLETLEGIFESFMTLRPSSPPSPPSSEYGTLVKAARETPYYANAPSRKAVW TIMRAFARVTGGDDDMLRQVWERLEGKFGEQGSEGWRGWREDGRLKWLKKNLYEQ L198_02407 MYDKSLFHGQGDRSAKGVTVRGPVDVVIFEGWMNGFGALPDEEL AARYAAASSPSAEDTPSTLVKYSKATLDDINERLRDYEDVWNAIDCFVQIRPLDMLFV WEWSLQVMEVWECLIEEVRQFIDRYMPSYELFQDGIDKESTTWHGKGLRFRVDSHRNI VKVEKF L198_02408 MLDSISSLPDAFQPFLSWLSTLTLGQIWLALYNPPNRVTLTIVL IAISFKAPIWIKKWSESRAEKKAVRFLYIEPKEGDRKWEGKVINKPSLSSHLQDSNLL PPETGHSGVKRDHITCYDPSTGYHLTTLPLLSAEEVAQQISSAHNASPALRATPFSTR RRFLRGIRDWVLRDMDAIVRVACRDTGKTDVDAVFGEILTTLSKIDWLVTYGEKTLNP QKRAGNLLLAHKVSKVHYQPLGTVLALVSWNYSFHNLLSPILAAIFSGNTIVVKCSEQ VAWSSMWYIGGVKACLRACGLDEDMVQLVICLPDVAETVTRNPLIKHITFIGSEPVGK KVAQAAAEIMVPTCIELGGKDPAFILPGTDLDFFASTWMRGAFQSSGQNCIGIELFFV HRSQYPKFLDILAPRVRALRPGKDVGSLISHAPIPKLESLLSSATSSGARILAGGKQF VLPRHPEGAYFEPTLVVDVKMDMEIAKEELFAPVMTVVPYDDVDEAVSWLSKSRFGLG GGVYGKDRAQCRSVAERLECGMVAINDFGVFYLNQAMPFGGVKASGHGRFGGEEGLRG LCSVKSITEDRWFSWIRTTIPGPVDFPLPENGRPWAFLTGLVQMAYGVELWTRAKGLA GLIGAAL L198_02409 MLPQKSASVLRSALRPASSCARTYATAIPHFGKPVGGFTGAVGN TPLIRLNRLSEEVGANILAKAEFMSPGGSIKDRAALYLVQDAEEKGLISPGGTVVEGT AGNTGIGLAHVCRSKGYKCVIYMPDTQSQEKIDLLRMLGADVRPVPAVAFDNPQNYNH QAKRYADSLDNAVWTNQFDNIANRNAHIVTTGPEIWEQTGGKLDAFICSTGTGGTLAG ITRYLTEKSEGKVESWLADPPGSVLYNLVETGEIKREGNGSITEGIGQGRVTNNLAPD LKLLSGAIHVPDSASINMIYRLLDEEGLYVGASSALNVWAATELAKRKGKGSTVVTVL CDGAYRYQARLFSRVWLESKGLISHIPEHLQKYIVLA L198_02410 MDEKNPEIDHVEHKAEPVEHVEDLKKPVDTTVDGAVSHEIEVLR SMSDEELAAEEKKLVRKIDIALMPTLFVLLILNYLDRNALSSARVQGIEKSLGMVGNQ FNTAISLLFVGYILGQIPSNMILSKTRPSIYLGTCVMIWSLVSLSTGFVKNYNQLLAV RILLGFTESPYFPGALFLLSTWYTKQELAFRTAFLYCGSLLAGAFSGLIAAGVENGLD GARGMESWRWLFIVDGSVSGFFAIVSIFILPDYPATTLWLSTREKAIAVWRMERDGGQ RDEDDEGMFKGLMMALTDYRLYLLAIIVITKTTAAAVTQFIPTVIQTFEFNKVITLLL SAPPYLVASVISLLISRLSDRRPERCWHIAIPVAVGMIGNIIAVSSLNTGARYFSIFL MLGGLYGCYTVSLSWISTTFPRPRTKRASAYAVINALGNIAQVYSPYLYPSTDSPRYT TAFTTNSAMTALAVVFCFVLRAILKRDNKRMDREEMEAEERGEEGVWKARYVL L198_02411 MIVLASLVFAVAFSALPSTEPSEDEEYAQYQAYMERRRAKAAKA KKAETSSDSKRKVVLEDKEGLDAKRSWKGGGMRGCDFGIQVPSTDSIDPLAPSPKITS ASRTSRALSHSFSFPSFAPSTSPFPSSPVFEGEDKCGFRHLRRVSSVPSLSMSATSSR QGSIISSPETTTVTLH L198_02412 MTHQTTRSLPHVLGALNGPTAPPTHYLVFYSDVVNGRMWCPDCV AVESTVKDAFDGPEKPNAAVYWVGKIQEWRTANNKALVDWNVNTIPTIVRFDNGKETA RLVKDEILDKSKLQAFLK L198_02413 MSPQKPAHPAPSTQAPSAHPRRAPTPDSVRRGTVILPVSARLGL VENVGSSPDPLAGSGSDLDDWKGVDGGKRGGRGETRLARELGKGDGVSKGLGGFQQEV LTDTTTGDELGTEPSSQDTFGISLNGVASPQKQQPQSNNLQTPSTPLATVPSALDGTP TRQSQQPRGRGGKFLKKPPGEKKAKNRTPKVKVQAAPKPVAVPATATGVGAGQAITPG PSRPTRATAATQTPKGTPSASASKPPQTPTTPSTPLDPKGKGKAVERRVLPARIRRAT GGGAEGMRDVEEMIIDWVQRWGEPVTTPPDDMPILVTTISLDLLQPPVTTLFPSNPNA PTITLTPSRPSISNHPFPPADTASTTTGIVDHGGEQRLKKEEMIETPEWVMVKPGDDD MEEAREELGGGIGKAKVHTSPVKRLRKVHDEPEEDTSDAHYAGLHRKFEAFERRQRLR EKEKLQFERYKMGNRIELLKQVAKPSWASIVSTILARGTSEWDAGRKKVEKEGEEWLR ERLVKEGREVMKRYDELLPAESRKQKGDRHSSPSQTPEPSVLPARVAALRDPAFTSSK SSKRKRSSISLSAETAKEEETPKKAAKASNGQRRSQVFESGEYEEVTPSRRKNSIKTH QPPNISEPPALSVLPTAEPLFVPPLTASGMPVLVEAASRRELAIKEQEESKSRSGAPR EGRLIKYEKTRISRRLDLVSPFGMPVPGVVEYKSEFTLTDEEDFWPIIAEREEKANQH RRQSLLNTPGTPGPGLGLMASSSDTTESGSSGAGGGSAQLQMSGMVGLVGVADAKVGD AVVL L198_02414 MRSLSLLRPGFAPLLARPIAPLAVRHISSSPIARLATPSNYTGP THVPAGQPKSPNPLETGTADAVSASPYPDYSKGPSALDKASQLLFLTEIVRGMWIVLE QFFRPPYTIMYPFEKGPLSARFRGEHALRRYPNGEERCIACKLCEAICPAQAITIESE AREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVETQNQEFSTETREELLYNKEKLL MNGDRAEPEIQANLQSEHFYR L198_02415 MPNIPTTLSMTSSLGAAPRALSNIPSLGELQKQAESLGSSISSN LPSLPAYSSPPESPQRLGQVANTGRPNVGKFPGAPPSYSEADPRVMPGRQEGGNKLKK AFPGWIRLPQVGIPGEMVVRKDLTEGGRGRPVMKETRVYAESVRSSNSVSQNNRPPQP SFLDW L198_02416 MPLTETTYPPPDSAIDSSSSPVFLIFYSNVEGGSMWCPHCRDVQ GVVKAAFTGGSKPRGVVTYVGNYTQWKTPSHPARGKYGVKSVPTIIKFQNGKETGRAT KADILDSGKFEAFLGV L198_02417 MLVNILTLLSLLGAAAALPTSVGTRQDATDLPPTAKVIKPANST MEQFKAEYAPSSIHPRARLLTKKHSFEDFCPRYYAPHDHGQTDQPIFISQSFEADSLS DDAAEKKRDIDPDDIATVSCIYLYYSTTPYQNIGVDVAIALGGDGLVTIDN L198_02418 MYLKSVLKNRPLTSINRLSTCNPRLSTRMFAAQAHQNAQAKTLA NQHKLPRLPVPDLQESLDGYLTSLLPLLEDKVRGGSDDATSRSYTDQYGKAALPNELE KRKLYIKDFACKDGLGRALQEHLDHVSPNNWLNDTLWLALAYHTWRAPLPVNSNWWLC FAPDPTDTVPPVTSLGGKSLDSSTVSLPEPNPLGDAAKGSQGGGAEWIQSNSIDPPVA FDQVTSEEWITPHQIRRAAWLARRFAEFRAKLHKEEVVPDAARNGTPFCMSQYLNMFN LARVPLPQCDAFSTPQPKATHVSLLIDNQYYSVDIFAPSTDGVPEPLPVAEIESRFKA AVADAKKRKDAGEAAPEVGILTGDERDNWAKTRERLILLSPSNRSTFNSLSSSLLSLS LDPYTLPSVPPPSSDPLRLPSVDAQMRNNATGIRGGSNRWFDKAVSVAVETNGRAGIM GEHSPVDALIPSIAAEYALAEDVDESQFGHAPATAGAEGWQRHDWVIDNETLCQIEDV RGKTNKLIENSDSSQLWWGEYAAEWIKKSAKQSPDAFIQQALQLAWFLDQGYPTATYE TASTRTMLHGRTDVIRSLSSDSRAFVLSMTSPTSSPQERYALLSKACTTHNTLTKNAS FGKGYDRHLMGLKVQLRAGETHQIFEDELYAKSQEWKLSTSGLSAGKKFMGTGFGAAW PDGYGINYLAGPHLIKFGIESKFSCDKTSTQRFKHNIVQALRDMRELCEGVAGKAKL L198_02419 MSDAALVQLLDMGIPLGRARAALKRTKGDSMSAAERVFAGEFDE IPSDDEGDAEANASGSAQKITEQVKQVVTISDSDEDMVEDDEDAEISYGEEDDDGYDD MDDGFGYDGMSDDEPHASDPYAGIFFSKDRVEEVIEPIDDDEFKTVPTPSGNTKIKIL GRGAWMSGCPEGGEQSFLFQLYNTLEEGQMACSNNCGHSFTRKNQDFFGLFPTFPKYT EYLANIIRPKCPKCAQITCLACAERVNRPSALRSHSLDSVKGKGRSSATPSTTAESFA PAESLFHCANLQGAVLGIGLHMIDQNFTTGKDQTRKAALAGGKVTPPTKKRKTGSAGE DADAADTTFQLLGKGKSAVKGTGYAGELAEDRTGQIAAEKAQAAADALVASLLQQVSV FLPNPNRAGGGLTSDHHVHPTVLAHLRRRSTFVNDLLRNDSLLDMSKRGDLYRALFDW LEIVSDNESLSSMLGMPSMRPARSVQTPNDPTSSTITYEGAPSPRELLENVVIQAQAA LRGLQGVQSADEEEKDRKLTEEELRASKAEVDRLAQKKVEQEKNDENSVLKAFCLRIV KSAETIDKRLVDTKGKAFVERMKAQLPRIEEGGNVEEAVAAEDETDENIIKIYEEWAT KARFQYIDLSTGSTTVGAPAFKHAYSASASGIENNSAPKRSLAIAKELAILTTNLPVA YHSTIFLRVDETRVDVLKAMITGPEGTPYENGCYLFDIFLPLEYNVKSPMVKYMTTNG GRFRYNPNLYSDGKVCLSLLGTWAGPGWIAGQSTLLQVLISIQSLILCDEPYCNEPGW ANDGGSPQSKAYNANVRRMVLLDAMANNMKKPPHPFENEIKTHFRLKAKTIRQQIGKW RALDDKQEILGDNWRDKNDPENTNPESKRGFAHCAREVLRLLDELEGKPPGEYAVEPS GEGSGGKAGGAKEKKEKKTVSKLKAAIGKSK L198_02420 MSPATPQETFEDLSLESPPVSPTPPPDDARDIGAELPVSPTKIP ISSSNSDLSFTLSPPPTEKPDALSLAKDASSTSLEHPHPAQYRPELADEDGDIGGGLP SVPPETPPKPKGPHPLSPLSLSSTHHNSDPRLSRVLTRSPSPSYRSFNLSNGHGGEDS REDLELPASPTRVPLPGSTSSLSLALSPPRSAPSHTLAFREYSSSASLEDQTPFQSHP DQLEEGDGDLSAPFESIPLSTTPPAPTAPAAPLPSSPRDKLSPQNTPDKRRSWGGFGI SNGERVAHGQLPEHPPLPPPPLEVQRAKSAGDVPQEDGDEPPDSAKTSASTPTPAPRR AAPPPHPFPWPIPTSPPPPNPKRLSAMSTASNATHVSQASTSTSQPQAMPALGVKGSS TFEKVISHTRPAWLPPKDKTEDVGHLHQWEDMMKHAREHEKIVAKQHEKQKLEKEKRL AAEAPKWEKLLDEKDFSAQRVRNDPEMRRLWFEGIPSHLRGRAWSLAVGNPLALSKDV YKPYVSRARKGLANARFPADLLETIERDLDNTLVTLNVFYRGSPLRDDLKELVCAWLV YRSDEGLGYAPYITLIAGILLLVSPPATAFHTLLNILAGPVLRAFFTPLPSEIAAYYR VLENLQADRYPKIYANCKSLGVGVPQSWFQSLLVEQLGFEASCRVWDQVFLDGEGYVF RAALAIFGFLEPRLYYPDKGEVLSVLEGHNPASLAIMRREKERARLRGEVWSEGVDGT LTSFGLNEGQLFAALEEDGWREKDFERLVLREMPDV L198_02421 MTASVRSWATVSSSDDSSSDEDEQPPAFISVPNPTLDGSCFEPP PSNKPYKAHLAGGEDGWQNIATAADGLTSRYRCDDDATWNIEIPTEAGDKQQTLDLMV GRGHDGVTEAQWLELARSSVMKNETEKYPDLGDAVESAFPNAAEALRDEVVNKVTKEL QTFRNLALAPHYDYFVDSTDSESSEDE L198_02422 MESPPWMGNPGRTGFRHSGDEHRAKRRRGRNVDVIASPAYYNPL PTPETVRRPHKSKLASQPAHPPTPQTQRHIRRAPSPNLAADSHHTSPSNQATSDPSTY QTATRPEKTSRRRPGLMFAQQMGLVDSRGVGVGMGGHRLGHAKGALKAVKEEENPFLV SSDAPPAKRASMGAAMGLASPGAIQRRSDDEDSHSDADDAPAPSRLSLQSPATGLLSP PPTKHAPRISDPSSQTVRTRAEQASSSKHARQSIPLDMLDPEHNPFLEGPSEPARRRP GPSVDEDQATITYIFRGAKRVFANPLYHSSAPFPQADLQPEDEEFEPHPLPKPRLLWP EGPKESGKRINVQEIRRRGARTPSPGPSKRLLSYSTSASLLTPTTSTARRFGNVTRDS PNFGGGSSAFTDEELEQDAEGEEEESDDDEPFKPLKSAGAKLAELGKRGEEEEELPVR RGLLFGAGAGMKRDRDGGEEGRVKRMKGAERL L198_02423 MGNISSALDPSGSKGVISVPDGYTAPQWPSLYIPTLDSTTDQRA GITLTPSAAIWRFTLYWTLSLLCSLFLLCSTLASFTLLLSLTVFRPSSSKSLNPPPSD NPAQPAEAKLPSSSSTTSDNPVSDSNSATPLRSSDSAHPAPPRPKILERAKGKRPPLW PVLILPLVATALAAGVALVTGTVVGFALAAVYSAAGFSMSTWVPFLWALVIALVIIIS SYSTLTSIL L198_02424 MGALIWHVWGRLLGLTAGVYIVWASFWAFLFRKFFWDMMYVPLR TYHGLSRKVPNADGRSGRSGGTLGHAGIIPGKNTEPLVNLVVNIPLLQSFSLVLGIFA LVLEYPLPLIEGTAIHRSFILRAVLYFLTGFVGIMVYQCVDPAVYFVIVSGVYVMAMS KGEQIGSGPGGDDRGKV L198_02425 MTPAEDVDQTPEIPAGEADDDGGDHEEEENENDEGEIDVEGEDG EDGEDSEEEEDEDEEDEESDGDESEDLEESPEIIAIDGPNGPPRPLSLPPAIKAEPQS PGAQPTFEAPPTTTTNPADTDVPIATAVEGEANVLVPKKKKRARLRTPDDDDDFAPPP PPMKTIRLERTMLPPGETLEWNILDEAREKGLCIAWGVGVLEDLPAGVKIPAVEVTGD VPLGDGMDVDGQGEGVAGPLSAAPAPVAIFGGGDDDPEEIARRLEEKYGEKKKTKKKK RPTDYDLEDPFIDDADILIDAPTHYQRPKKEGFFVHAGQLELMEESPGKKRQANGKPK SAQHKSTQAKAKTTPGLGGGSEARPSLSSALAAQRKESQNGQLESTPVVRAEDQPPVD RVMFRNASREDQYLPPYTSLPEPVAQVLMALRLKSLQHDWDHTNRGKFPDHLKPELQK ACQIAYQNDVFTGISTTNNPARRGDQSFFISLTSVFPYNLFTLTKLAVKLTYHDYLQW MQDCEDEGLRQFRSIVDKDANEWIEKYEASRAEWEQEMAGWDAKHQHATQAKEGSALK HDVRPAEPAKRFLWTGEMREVYWQLIENVMDMADMVKIGADWNIANHKQGKEWTEQSI RLRLYKKVVDCFPEGYSNNNVVSREMTKIKKRKEEAAKSKEAVKDETKDGAGGSGEAA A L198_02426 MSRLALLALASLAAVASAAKSGWGEACSQANTHLDQANYQLVTD CDANTYCNSNNTCAWRGCRRNTYPYGYNDYSFDQLPPLCPTGEFCPDESDHCLTQVPV GSSCQKDRDDECAQPDNWNDLAGDLNTNGSICLQYTCYYANMTVGQTCVNDNTAYTAY RDDGSAYAFIVSRDNCANGHYCDASDSLCYRGKAQGDTCSGNKECLSYNCADDGRCGK AADDVIHPPVWQYVLIGLGIFILIGAVMTTLWFVHRRVRNRNQAMLEQYYNEQIAYRQ SIMSMTQAKQTLANLPPGHSMNDARASLYGDMPPVGWTSGAEIPLPPNMRRDSASIYR EGQGQGLSEVQLMPHQTYQDASESSSRFRGGPL L198_02427 MLLPRSLLRPHLSSRLSRCLSTSSRAPLKVAIIGAGPSGFYTAS RILSLLPANSPEGNGVEVHMYERLPTPYGLARYGVAPDHPEVKNCQHKFDELAPDPRF KYFGNVLISSQSSSSPSAPSPSTALSSYTYPHALRLSFQDIIPYYTTLVLTYGASLSN PLNSVPGSSSSDNPLEGIYPALALVSWYNSHPAYADLPVDLRGVKDVSVVGQGNVALD VARLLLKPVEDLAKTDLSDDVLDVLSKSSVEKVRVVGRRGPGQVAFTTKEFREILAIP GVGYPGIDPDLMDEAKENVPPGNGERMRKRLLQLMDKQQDGKKVLELDFMKGPKAFLP NPQGGRVGEVEWNINALLSTPPLAPNSPGDSPAAPSLVAKATGQTSKTPADMVVESVG YRSEPLTGPGHEWDLPFDVVKGRVQNVGGRVVDHEGVPVNGVYAAGWAARGPVGVIAS TMHDAYSLSSVILDDHLSPSASASSSPSTSPSSTKPPYTPLNTSPLPGLPERIISAAN EGKVVVDLDGWGRIDQAERERAKLAGSGKEREKFRRVEEMLSVLA L198_02428 MDAKSLLRAKKAEARITHPYATYNASGVLRCSICAVPAVKQWDA HLLTKQHRTSVAREKAEKEKAERVKRPRGDEAEPSSKRPKVQPQPSTGPSNLPAGFFS AGNAPPPQEPEPEPEVEMSAPVVPPPKTGDADLDDFFASLADDTPAPVSSTAAPPTAA TQAARRKTYQEDLIPGQASYEAAPVRNVPKEEEAAQEPEEEETEGERKERLEREEREE IVQRLEDEERAQEDADSRVTALKQRMELMKKKREARANAKGKGKANGA L198_02429 MLSTSNIGPISQQYSAAATVPLIKSPSLWLPKPVELPTDVHPLP EDITAYFVYPFTLEEHVRQQHPSPHEAIAQRRARLAEVLHQREEEEERKEKDELRRIA PGYNPSSLLVPTSTNHTPSTSISQSHGGQPALSSLSRDVTGSEGGEAREGAGGDPMDD LVARLEEMEAKR L198_02430 MSYHPALPQISSSSTTRFVPPRIPATDSRQQSWDPAESSQSWGG YDWCDPPQPHLEPQLSNLPAFNPPPPPPVSSAQTYQYPGMLQPTTTMASTAFQDTGSF YGIPYPIQDIDPHQPIPSLVDSRSSSGSSVSLPNMPRYPQVIIPHPHAPLNGTPLISP SPIPLLNLNATLPYLIHKTPPVIPSPLPPAPVLYDTVPHLQRPVPPRDGQDGYAGGMP EGTFSVGGGGEGMWRGMMPESGMGMVSPVEDRRFSAGSQAQVPTPSSDPIQHFGGIYF TDPFPPSSAPAPAPPFSSAPGPVPAPPTQIVSNPSPISPSSFLPPPPKHSYRPRDYTP PTPPPGRLSSPRPRPLPAPFRTFNSYQEMLHDRVDEYGARAMSMPLTHGLMLETQRKK RLGLVVAVGGGMREDEGARLLRTGLEKEEAWDKNEEERLLRKEGMLSPLPLAEEDEDE NEEMPLLTTEAMWSPREDDDLDDGFNGRPSGGGRRAKRAKKVKKALNAAARKVKKFLC TECNEGFTRKNDVDHKSSPGLAPHVPADSDGKTKWNCISKKTQRADNARHQKKVSPVP PLSINFLLAGVCLSLSSSFSPPHVSHQSNTTSLPVSRYRAIRRRRSSANKENAVPGSS AIRLD L198_02431 MLSRTSHALGPLRSLPRALSRPPAALHPTSSAQALRTPAPFSHP SSLPRSFSSTPLCRQQPHAPQSTPTISQDLYDEVADRDMETLHENLEIFCEQYGKDDW EVEYSSGVLNLTLPPYGTYVLNKQPPNLQIWLSSPLSGPNRFEFQPASVEEEEEGVWE GVWVSCRDGERLGGIVERELRGILKEVEGPEGWEGVGMK L198_02432 MSKPDEIPALSFILEPAIVVSLLTAGCLFNRRPPPLILQAHNAV NDVPPPPGDNAWNWKNMRSFRWTVRVPGNERFRSRWSSGLLGMFPFLMEVWYWLLTYW IYQIARAMQALTMGADFRALAEQHARQIIAIQRWLAIDCELALQKFVMEREWLLVFFN KTYAMVHIPATIAFMAYSYRYFSPLVFQSTRRTLVLCNCIAFVVFSSWPCMPPRLLPY EEFGYVDTLHTGKAASIWTTNKFQNQLAAFPSLHFGYSFVIGLSLFLYSPHRPIRAVA LGYPVLILLVIMATANHYLLDAVGGFFVTVLAHRMNRLTLNLRPLEEWFFWLLRTERP MDKVQFDSVINRENVGHRDSSDLSHRPLMFGQDNA L198_02433 MPLIQTVPGTPSPRLPTPNAADVEHEHTDEQDVENLLSTAPDDP QEQDETPTAPSSPPTTSTRLTISTAPTTPLSSPRLAPVPHGPAPEQGQEQEQVSPPST PSTPVSSRFSHSTPQRPLPAQKQQTPRYDGLTSRRPVGWISSLAGAGSRGMSDNAIPS SKQRATSGISQSHGHGHSQGKGVEVRVSSVAFGGVEGRRVSGAMKYALQSGESREPRV GGGGGGRIVSTTSAQEFDLSQHQFDLATASADSPILNEREEDEDEDLGEDEAENIRYQ VSTAQEQDELWMAHVREQLNTLFPDFFAAEPGDLGDLAGLGEDMAGRFQEEENDGEEE GEGDKSVGSVRVREVDDEVEGEGEEDGEGEEDQAEETSFTTSASATTSSSLNLQTPPP TGPSRSFSSSMPLHRTQRQVTRGRGSLGVPNVREEITDLREEIMRLRSVVGGLADGMR AEHAAEVAAIDREDPVEGVRKGEIEREQGQGQGQGQGEERMMQVPESYVRTANKSIEI LLHLDTLVRVPDPTTSSPVPEQGGTRTLEMRDINAIFEESNLGKILEFVKGLGGAAGG EIFK L198_02434 MTDPLLAHLQGSLFPQCRVGINGFGRIGRAAFRASLERTDLIVV AINHTAPSIDYLLHAIKYDSTHGTSRHAADLSIKDDALYFKDRRIELFSQRDPLRLYW KAAGAEYVVESTGKMTTVETAGAHLKSGAKKVVISAPSKDAKTIVVGVNRKEYNPSMN VLSNASCTTNCLAPLAKVHASTSSQPILDGYSKKNRRLGRGVSSNIIPTTTGAATAVQ LVLPELAGKFTGVSVRVPVNNVSMVDLTVHLSTPVQSVHDLLLPIREASTGLSTLGPL ANVLCVNDDELVSHDFLGWQYSCIVDSAATVMLNERVFKIIAWYDNEYGYACRLLDLV MFTHEVDNGKVPTPTASGWQTPTGGHDHAVQV L198_02435 MLETRQTSLPSLVLASPSAPSASLLVPETNRTYGSIPHIPSLLQ TQSPRSSSDPRGERPPLPRINSATPLIPRSSGLYRDLRRGHWHFPWQGHRNCRKQRSC RASGLTGSIVIMTGMLLGLVLGVMLWVYGWMGREERLSVFDLMSFIDGNFSTKGIKKM WACSHNDEMQGQNALSLALSLGYGWIEVDTHLAATPPKHHPDHVTWTLLTGHDLADLD PRKSLKMLYLDPLLAILDMNNEGWGKDGGEEWNGVYEDDPREEVVLMIDMKSDGDETW PHLQKALEPFLAKGYLTTYNTTSQTLSPGPLTIVGTGNTPLHQVFYAPLRYIFFDAPL VDLHKPHHLPATPSGPAVTIEWSPSLSPIASSKFPLQYYLAAPPTPFPAYNPFLCNLQ LTAAVAHEKGIQSRWWGVLHKPGWARRRMWEVVWQSGVGVVNADELGGLESWLEGKKG VEREGFC L198_02436 MAADVTTTQADPNTRLTDTLCTSLRRRQIVGSLNVALATAALVQ SIVRSAKYSTIDELLALIKSVGRKLIEANPKELAAANIIRRILRLIREEYRAAAARQI DSAPNSVPSTPFMGPSTPGLNAPLDHYLNASNGGQYFPSTQASRQTSLSNFVAMRHSR AQMEKSGAEGYSANTVNLFASPGGPVRSSSGSGTPAQPSRVDSDEFMKHSAKLKPLLV QAIDEVVGELETTHEDVAKGAREHIHSSEIILTMGHSRTVEFFLKQAYKDRKFTVVVA ESAPSYLGHSLASSLSSSGIPTLLIPDSSIHALLPRVTKVILGAHSVLANGGLFALSG SLGCALAAKEHAKPVVVPTGQFKFAPAWNLYHEYGAVDFQGPGAVIGELGKGGGGGTE GVEVVNPYYDYIRPELINLFVTNEGDHPPSYIYRLMKEAYDDEDVEL L198_02437 MFKFLYLVLLASLAAIVARADTEIVNFHLPLSKDTTVYPPLDTV IHTISPFETVNLNLTDISPEQWFSLDWKDQQDKYRSWTLRASWPASSPTRIQILPPHS PGFLLIHASPLSPRFPYHPPLSQYLPSFLRPLFTFLTWATQPVPAERKLDTEFETPLH LTLEPLLLGVLPYTALPAVGLILVVVVVAGLGVPYVIKGLEGVGNRVDGRQRKEGVKE E L198_02439 MVFSDAVKITIVVVAPVLFFTVLFAAAYLYRRYHSPYRHGTFRV EDGIWDPSKPEGLLPHCHMCYQNHSHGQDIDVSVEDGPSYESKAEGANNISELHYVEK SIDVRRLNHNPYAPDLITRVNSISSTLASKEPANTSSDVLPFGGGRRASHASAVDPNR LGYQGVEANALSHGVIAVGDRRPSVLSGGLSDRRESDTLSVRKVSFGGGEEMVNVQAA GIDQSKLPVRRSSNPSRSFSRRPSIKDGELQHDEQLEPVPFSHPFSATSLSSSTSLTR GGVGSASIVPPVPALQQPPPPRKNIQNRPGSLKLTSTSTRQSSHPPPSILASAYDPVT GVSTKALKSALITSPEMDEMSTGSDISEDFVEPTPGVFEAWKGFPQQGSPARAVLIQP LELTHASGQEPPAEPSLGPTSPKTLPPTPAPRPSQLIPASPKPSAPPIGLALPSSGVE RRSSSSSNRSITYLADVVRDKAQRKGAMSAGLPGKERKNSLHVRAEEDGMEEVTINSP PPVPALVAIHQAQSLSSPTTPLKALVSASLSVPSPNVAIGRKASTHSAKSNTHLVVEM VRENSVRRKSATVVPASPAETRPQSVHVEIVSPNVYGEQDAPMLSPSKFQENLVEYEN DSSRGQAI L198_02440 MSTTARPFFARLWSSYTTALRERPLRTKMIQSGVLFITADLVAQ IGIEGRSMRKAIMGEEGEEIYDPLRTARITTYGSIIFAPLAHTWLSQLEKVNLSNKVT TLATKVTLDCLVWSPCVTFMFPTSLGLLEGKSIEEVRQKVAMGWFPTWQKAVCVFGPT QILNFTLIPPQHRLLAVQSVGMCWNTFLSWQNNKNNKILAQATAHLVEARVHAAEVEG EKTHKEGEVEEAERDVEEAREAVRKAQEKKDKMKKEGGALGVGTRMGWS L198_02441 MSYTTDNAASSAYLANFEAAPQDDENVSTAITEVDYEKKGTPTT DAIIQQTMMLRLATPEPAEDDDIEEIPARDSPPLTHAHARHHHHGPRGMPPPGFPPSP FDDMMPPHPPHLHMLPPPSHGFEHSNRVKPHGRPEHGKRGERPSHSFGPGARPERKHR HERAYSPPRLEEIKAGSGFEGRHHPRGFGRMGHASHGPRGHGPRFCKSKKDEEDDVSE AGSDSDLSIATLKYHKHHSFPCQAHPLGQEHGRGMPHHMRGMSGPPPPPRMSFDHHME HQGGMPPLLPSVPMAI L198_02442 METPADAPITVIPPLTPCPPIPRATGPVDLILPPLEKRIHNDPK ADKAAASMNVGIGHMSDPDDLPGCAHFCEHLLFHGTKTHPQENGYKQYLSSHNGSANA YTALASTNYFFDVSPNALEGALDHFAGFFIEPLFNEDSTEREIRAVDSEHKKNCQDDE WRSIQLERSLSKAGHPYGKFGTGNFETLWVTPKAAGRNPRKELIAWWENEYCARRMKL VIAGKNDVDTLAKWVQDKFANIPVRTSGKPRVGVDGERVVFENSPYGPEQVQRFTYVR PVMDLRKMEILFPFPDIQHLYQTKPANLLSHLIGHEGRGSIMSYLKARGWANKVDAGT TPAAGFSFFRISVDLTPEGLLHHKEVVVATFKYIKLLCSQPLPTYVFDELKRINDISF RFAEQGNTCSYCRNLSARLQLPIPREKVISSKWLLEEFRADEVDSALVLLDPRSSHIV VSCRELPDDVEGQFEMVEPIYGIQFKTVAFDHLFLRDVLHGPPTEALALPPPNRFIPE KLEIRKSDDAKLTRYPVILRETPISRLWYKQDDTFGFPRTILNVTLHSPIINNTARNS VLSSLFCELFPDSITEDTYDATLAGLKFSITDDNKGLEISISGFSDNLALLTQSMIER LASFKVDKKRFQLMTDHKTRSTQNKQLRSDPFESAHYWALYATLVTNWTHEEQLRELE SITADNVTVFGEDLFGRLHIETLIHGNSTPDEAKNIQVMIESALQPGQLSNTELERHS MSLKLPPSSEHIWHMFMDNEQDPNSAVYYHCQIGDPADIVLRNSLYLFAQMANEACFN TLRTQEQLGYIVISRPDIIEGIISYTVFVQGERDPVYLELRMEAFLESMGVYVQDISD DEFEKHKQSLIDWREEKPKRLSEENERFWETIRRGAYDFARREIDVATLRKTSKEDVL HAS L198_02443 MASPFPPCPPIPKASGPVSLIVPPTEDRAHKYLTLDNGLEVIVV SDPKADKAAASMDVGVGHLSDPEDLPGCAHFCEHLLFMGTKTHPQENAYSQYLSSNNG SSNAWTAMTSTNYFFDVSPDALEGALERFAGFFVEPLFNEDCTEREIKAVDSEHKKNL QNDVWRFYQLEKSLAKPGHPYGKFGTGNYESLWSIPKEAGRDPRRQLIEWWEKEYCAR RMKFVVAGKEDVETLAKWVKEKFAGIPVRTEGKPEVGRDGQRVVFEDSPFGPEQLQNF TFAKPVRDIRGLEMVFPFPDTEHLYESRPTHFLAHFLGHEGRGSVLSYLKKQGWVNTL RAGDYHDASGFSLFKVAVDLTPEGLQHYDDVAKAIFKYISLLRSQPPSRLAFDEIKAI ADISFRFAEQGKTSHYCTNLSSWLQSPVPREKIVSSKWLVEEYKEDELSSALQLLDPR RANIGVTCKELPKSVQGSFDQKEEIYGTEYKRVKFSEEFLRETTAGAPIPELALPGPN LFIPKKFDVEKFEVAEPAKRPAILRDTPLSRLWYKRDDRFWLPKANLEIMLHSPILNV TPRNAVLSRLFCDLFSDAITEDVYDADLAELSFGLWNTSQWISISAGGFSDKLAVLTE TMLDKFVNFQVDPKRFEEIQEATRLYWKNFALSDPYKIGRFWDSYATHEVMWTQEEKL RELEYITVADVQAFGKEMSARLHIETLVHGNTSPEGAKEIQDMLERVLSPRQLTATEL KATRSLVLPASTEHVWEIPVPNKSEVNGAVIYQCHVGDPSDVTLRNHLSLFSQIASEP CFDTLRTKQQLGYIVSGHASQSSGAMGYSVLVQSERDPIFVETRIEAFLDGLKETLEG MSDEEFEKHRQSLIAKKEERPKNLNEESTRFWGRISDRYYEFARRENDVAVLKKATKQ DVLNVLLNYIHTSSSTRAKLSIHLRSQYKGVKFDIAAAAPLVEAFTKAGIAVDPAAIQ TLLTNNPTLQQVKDFGVAAIEGAANVAEEVKGQLKSVVDALKGSEEATEEQTEEVKLR PGNVYIENIEEFKARLVPSKAAVPVEPLRQLVAKL L198_02444 MLRSAIPSSARALARSRAPRAAANVARPTAASFARHLNTKPVSS PAASSLTDKNHSGTHSSSRITPSNKSKVWSDAKSAIVDIKNGDTVLSAGFGLCGTAET IIKAIRESDLQDLTVVSNNAGNAGTFGLSPLITSKQIKKMILSYLGTNKGLQAAYLAG EIEVELCPQGTIAERLRAAGAGMPGFYTRTGTGTFVETGGIPQKFSPDGKEVVIPGVK KETREFDGKKFLFEPAIHGDAAIFRAWKVDKAGNCVFRYTTRTFGPLVARAAKLSIVE AENIVEIGELDPMEIDLPGIYVDRIVPATENKEIEIVTTREDSDNVSPSSGEEVEKKE KPKSQLQREKIAQRASKELFDGAYVNLGVGIPVLAANYCPDGMKVWVQSENGILGMGP YPAKNEVDADIINAGKETVTLVDGASVFDSSESFGMIRGGHVDVSILGAMEVSANGDL ANYMIPGSLVKGMGGAMDLVSNPDETKIVVVTVHTDKHGRPKIVQDCKLPLTGAGVVG RIITDLAVFDVDRTGEHGGGLELIEIAEGHTLEEIKEKTGATFRVKEPLGKF L198_02445 MPPPPQTHAGGSTFDKMKMGAVMGSCVGLTIGFIFGSFAVMRSG PGPRGLVATLSQYMLSSAATFGFFMSIGSVIRTESQHNYLLPPMENATNSATGQHWRM AWRQAEERRRMEKME L198_02447 MPKDDPAQRVPLRRGDACQYCRKRRIRCSADKPSCQHCLKSGRE CIYDIKKPTSRVQQLEDKVAQLESLLKGTETLDGQEHRGSASEAVPSSLPSSSSLPAM PIAGPSSLPSTTYSMSNHETVDVNLYGGNYPAAPTGLSDGMPGMFPNFGGGAVFGGME GVPIQGPSQAEKAFDFSTLDPTFMGLVNSFQNSTDLAESFPQPIPTPYEPPPRTYSIN QPSQPQASYAPQYTPNPNSLADMAQAGTDINENMAALLEAAAQTKPEQWNLGITSTVG GTGVGTPEPEQGLVGGWFDAGDLPKIARDHLLDVFFSGMRLFGQEFHVPRFMASLSLP PTKRPHPCLLYAMYTMASRLTSSPSIRQLESHFYSLATTHLATATAQADRLMDATRAG TILAAYTYSKAKYHEGWLLTGQAARLAISCGLHHIKGSVWRATKVPPMRADLAGLMRH RSYNLAPPKDAVEHGERIWAFWSIFVTDRCGSIATQWYPSLTDDMVTTPFPRPIHEYE LGLVSDADDVSIASIFSPTGSVPRPMRYDYVDLVNLRIRAITILERSSKLMYLTPEAG WDKHLPRHDSNASALFNESMEYLSTPEHGSPYRSSDSPSAKSALGSRSWTKTARIRTP KAYDSVKRALLKIEDDLPEMWRTNWLEWDGKVHTWHFQGARKDLISLHLLLGCSWMFL EDVFAFNVENTAAVNVAKRLTVTVKYLSNQMVNTDLDVFVVTMLAFISKILIRELKRL QSLSPPSPQQIAVIESEVEVVVHALKHFGRRYGSVQAIRAERYRGSTAEEVEFMKEDH DGKQGDEMVWAKERLGGWVGERYGFCG L198_02448 MPDSNAQSGRDGHSQRKERLGNYVVGTEIGRGSFATVFKGYRSK TKTPIAIKAVSRQKLSGKLLDSLESEINILKVIHHRNIVALTDCFKNDTHIYLVMELC TGSDLSFYIRHRGKLPTLDFVPKPGSGMELLPRNEEGKVYWPHPESGGLDERVTRCFL GQLAQALRALRDRDLIHRDIKPQNLLMQPATDEEVAEGHPYGIPVLKVADFGFARVLE ETAMAETLCGSPLYMAPEILRHKQYNRSADMWSVGAVLYEMSVGRPPFRAQSHVELLQ RIQKGRDRIVFPDEKPPAADGRSTPTPQPVSPDIKALIRALLKYEPKQRMDIDTFFEC GVWDDHMTESTDESTTLEASSDNSELDLSEKIRQMVASTISEERAHQPKSDPDCNPQP ISRSAEATPLPVTARPAPVRRSTPKYYVGDSPSTEPIVRQPSPPSSTPRANPRPIMTT AQRRLSGREPASVEEAGPMTPPYTGPSPTVRPSRNVGEGSPLAAAPPITMRPDGKLER ANPLDGSGVGTDYVVVEKQTVEINSLADELDQASKRPIIRRSSRGSVVSRPVSSFKPT SPITGRNESAVAPISYSPPYQMSSTPPFAMQAQRHSPSNASFPRNASIPKNLNYFPPA ALSGSPGYGQDAIARFGVSPQTGALARALTNTAIRLIGTGANSAATAIAKATAKHRPT IVRVSDIDAAEEELLRVIEDLARKAFVLFELADERLLAQTQLAQAARASTPTNIGLTG TTPPFSMQAAAQGPNRRKSSSGSFSNEVWLLRQQEAAANEAVVLYMKSLAFIVKAMER VKRYWKGRTESYEGYVASQELNEMGQWLRARFNDVYENAEWAKLHAGDNLLFPDWLIH DKARDTSRQAAVAELQGELVVAEQGYETSLWLLQVLLDESIYDGGDIPEDDRISYENL MIPVKTRLDALRKKLAESGTNAR L198_02449 MSSPESEPLSAFVSFPSPYTQSLLVQALVSTLPSLTLSLVQPPE DQPPALQWADYDLMSFDIPHKNPSKYLISSYIYRKALIRKHQLHNTITAYLAKCSHRQ IPSILSPLPETYEGETPLGGGAPKGWIVDIQFADELDELLMDDLYELDEGMRANEEKA EGEKRWWILKPGFADRAQGIRMFSSEEELRFIFAEFEPPSSDEEDDEDEDGDDESEDG EEEDGDGDEGTGVMTSQLRHFVIQEYLPRPLLFDIAQIPGEPPAPLEGNKFHLRAYVL VTGAYKVYLANTMLALFSGEPYGPPKTTEDGGLDLRPHLTNTCLQTDAYGAPAPPADL VKLFWELEGLTALSSSTSPSPSGSYAYDSHGSVTKEWLKSTFAKVGEVVSETVKAGAE CGSFGLQFMPNAFEIFGVDLILSFPPVSPANALPIPVVTLLEFNASPDFHQSGDRLRP QLLDMFKGVIKHSIVPFFGVETTDEEEVSKENPMKVGEEKWGWRLVGEGEVRGSEW L198_02450 MAPIAVSESTTVVPSPIKSAPVSSQFHHAQPQPIAASASGIYFT LSSGQTVLDACSGGAAVACLGNGNMEVVGAMTKQAEKMAYAYHQSLGTEEGEKLSKWL CDRSEGALVAAAFLNSVGSEAMEAAIKTARQYWVEAGQPERKYIIARFPSYHGNTLGV LAVGNVPGRRDIYTPLFSSSAFHHVSSPIYKRYTEKGETEEAYSARLADELETKIFEL GPENVIGFVAEPVVGAALGVMPPPKGYFPAIDKVIKKHDLLLVMDEVMCGSGRVGQLF AHQAVGEGVKPDIMGMAKGLGGGYVSISAVLVNERVASKVREGGQWKNSHTYQNHPVN CAVAGKVMEIVEREGLLENVRERGQQIVEELEVAAKNIPAIIDVRGKGLFIGVELDGP MTLKPRLASRVKDQAFKNGLIVMGLSGTIDGSEGESIVLGPAYTITKEQASEIVRLLV KSIKEVVEQL L198_02451 MSSSGVVSKKLKFKGDKSKKKKRSHNHSSGTQSGRDELEALAAA DPTGWMFPSGPNEINGPAYILLPTEPLTCLAWDPHRQRVHAVPVEIPQAPEGANDLSE AEILQTIEPTDVNHVWVVSRLSGSEDVISLRTSTGTFLTAEPSGSFTATTPSRGPLEA FIPLSSPTSSDLFPAFSFQTQHGSKFFSAPSSGAKVELRADADESGANEGLRIKCQRE FVWKARAGDDKLRGERKGIEVGRDTDELRRQERLSRHDVKGRLSAKDRDDVQRNVKEG RYAEAMLDRRAAQKSDRYAK L198_02452 MSSSSNAESIAVAARRAFEASQLVDPSERNVALYAIREVLEVHK DEVVAANKKDMEDTGKLSASLVSRLDLSRPGKFEAMLQGISDVGSLPVPTGIVNFAKE LGPELELHRVTCPVGVLLVIFEARPEVVVNIAALAIKSGNAAILKGGKESIHTATLLS SLISQALSKTSIPATFVQSVSTRSEISSLLAQDRYIDLVMPRGGNELVKSIQNNTRIP VMGHADGICAVYLDESAVEEKAVRIVVESKIDYPAACNAAETLLVHSSLLNTIWPKVA SALFSNDVRLRCDAASLGALHENAITQAAQFATASSPEDYREEFLGPTLAVKVVENVQ EAIQHVNSHSSHHTDSIITEDEQAMSAWCRGLDSANCYVNASTRFADGTRYGLGTEVG ISTGKTHARGPVGLDGLVIYKYMMRSRKKDGSVVGDHEKGGAGYTHRDLEKGDAPF L198_02453 MIDSRRDDRDRSRSRDRYRRDDDHYRAEQDLTEDGDGGMVVDEQ RRRSYSRSRSRSRTRSPHRHHRHHRAPSHDREREPEREGRHREGGERRRDDFRGGRGR GGGKFGAPRAEWEKSVGGPMNAPASEAEAHAKVSKRENRLYVGNLAYDCNYKDLAAFM ERGGGKVIFSEVLTTPAGQSKGCGIVEYATSEEAQRGKAELSDKPFFGRSVFIREDRE ENARFGAPSIPGKIGIAMGEARNFLGAQQGFGGPGFGGGFNNPNIPNRNLFVGNLPLQ ASWQDLKDLMRQAGEVIRADIKFGPDGSPKGIGTVVFVNADDAKAAIEMFNGFDWFGN VLEVREDRFVQNGGFRGGRGGAFGFRGGFMPRGGFGFRGGFRGGFRGGFVGGGMGGGH MGGMGMGHMNAAQNAAQGGAGAAAGGRAFNDNIYADYNGPEAGNIPVDAAPSGLEPIP AEPNQQIFVRNLPWSTSNEDLVDLFETIGTVTDAEILFSNGQSKGEGIVQFAETTDAA SASEKFMGWPYGGRQLDVQFNPQWHEFSASAIKVPAAA L198_02454 MASLEELGKASIDANPVRALMPLFFAMGVDSLLMGGIAQQLFTY CMSSRGQDKPHIQGIVYFSSFSAAVVTFYTWAWMMDMFTYKYGSYAQFIDQRWWAWYP IICSCCKIPVQVFYGERAWRINNCNAFILISIGICLLLSTVGSFVWSVMTHTLQTQDY TSLSLMFFHLWPTACVVADFITTSSLLYGLHKSRNGWQGTDRLIFRLMRIAMEAQVPA TIAALVVLTAWSDNTARIAVVFVQVLPPLSLFPFFTSSRE L198_02455 MPAPAPVTSLDGRLKMHDGYTIPQFGFGVYEIDDDETYQCVKWA LEAGYRHIDTAEWYENEAPCGKAVNDFVKSSGVPRSDIFLTTKLKNNSTYDRAYADLK KSLKTAQVEYFDLYLMHSAIGGPEVRKNVWRAIVDAQKEGLVKSIGVSNFGAQHIQEF IDQNVPLPVVNQVDLHPFMRHPSIVKICEENNIILEAWGPLARAMRFDHPVLVSIAEE KGKDVAQVMLRWGLQKGYVLIPKSVSQKRIISNSKLFDWELSEKEMGEASRAVERDFG D L198_02456 MPQELVSSEEFLTRLGQCFSDPSSSSSVGLTHKRLTHTDADVEM KSEEESGDGPEYEVLIRCTQGDNKFSARIPASSLPTFHAAYGTLLKTSMAPLMRKRDK KKEKARAEVLANKRKELYVDVDIGAEGKRGKGRRQRQRKIMAQRKKVEERERVEAREA ERKAEL L198_02457 MDGECITCEHVLCQVHFNKSVHLCEALKFGNNLLLLKSLNLEQL ASSLRPGHSCQAILPLDQDSMMRGQNNVVCPIHFGDAIQWMAKVGQGSRNSLQLDNAF VESELATIEVLAGLQGNVPHALLFLDMMSGPRLDLRIYHPDRHPSLPAPAQTFIHGLA DLLISLTSQSFDKIGSFPPNLIRPAKEMVAQMTMVFPGYFNSNSLDAFELYLVDVLSA RGRHDLADCVKGARLYHNLLLVENNDLDLPRMELLREIMGRPRMEREEWMEWALERFK EDVGLDKVRELAAETVV L198_02458 MRLITLLSLGSLGLKQALALGDPQVVYFPTTDQLSAREYSYLPS HHSQQAAFDYPSSDKAEDGFILAAAHHRYTAPLLLSSKEDQAIHRAARTFVDDVFKVT GHRPDIYNDTLPSNVERAIIVGSLKSPIIVRELRAQEGVRAELDGKWESYDIRCLLSP LQGLEESLVLTGSDKRGTIYALYALSAQFGISPFHFFSDVPYPQHSIIAFDKSQVLSH GEPTVKYRGLFINDEHPALWGWAMEHWSKERWEPALVVEFYEKWFEMMLRLNANYFWP AMWASQFYSDGLPPNSSASTPGPNLLLAASFGLVPGTSHHEPMGRNKPEWDASHHGAW DWTENKQEMEEFWRAGAERGAEAGADIVYTMGMRGDGDEPLQGASNALVQNITSVQQE ILKDVYKTDDLESKGVGQVWCMYKEVAGYYQSGLEVPDDVTALFADDNYGNIVSVLPP EREGHKAGAGIYYHLDSWDQMNIARAFNTTQIWIVNIGTLKPLEQPTEWFLDLAWDSE RWPRGSWREWREQWAKREFGVGEEVGREVGDILGRYELLASRRKAELVDAETWSIINY NEAEIVLAEWTSLTERATKVYDHLPEERRAAFFELVLMLCAAQANLNKLHIAVARSKL YAYQARTAANVFAREAIDAFHQDANITETFHALLDRKWDHMWDQSHINYYEALEPVRD SLPPIHFVNPNQPVFPGIPLRPQDFPGQVAHMRVTVENSFGAWPGNTFLNCPQMLHCA DPTLKTLDPWGEEKRWVDIGAGGPRDVEWTAEGSDEWVIVHPKRGKTKRDGSADERVW ISIDWDNVPRNLEGKSVRLESRVEIKGNDYTNVTVTIPIFIPSDIPSSFSGHVEGDGY VVIEAPHFSRNSSSSGYAFEVLEGYGRTLGGMEVFPATTHNFSLDKGPKLEYDFWTHE GGVKDGEDVEITVQLGPTLNFLQGRELAFGLQLDDFPPREIHPIPTKHLGESDQSKVG SVPADWMESVSQEIRNVTMKVERDEGWESGEHTMTIWGMTAGIVVERIWVDLGGIRDR GYSYLGPPESKRVV L198_02459 MSMMLDPLMIAHPPSGISFDPAPEDEPPFDTYCIVCDKRIPPLS SGKNGEKKKKKVKAGGTIRVKNADGTTTTRNAKGKVTRPPLRNSNSSSQSTTITNTTT ALPPLARPKTTDGTIPTSANVSSPEAALPSTSLASTLASTPGSVHSASSHPASGQVPF HSPIYCSRECQEHEAGRSDETYKELARTMSFDFSHAFHSPEHELELVPLSTREREREK GVRSPFAPPSPVGTSDTESSNSAGLQGSSGSGEEGELPRPSTSTSTRGAARGVYGEEE AASAPKIMDYFRFSKGGPDQAWNEVSLSRQRRSSMQPSAYGAYGHITPGGESSSEMDF ARSMSGGGRLRGMTPVKERRVSAAGPGAERAVPIPVRPMARSNLSQTSLGGASVSSQH SVLPPEFGSAPTHTLGLLQSYASAFPVRSSCNTPNSFSQRGSTPQRGFIFPETTVPLS TSTRSPSPPDYEVLRRDSASSISRSQGGTIKAKKSEPTWDSFGRKEVQAQSARQNRRS GGGADSTPVAVPRRGSRAREQSVGRENTPRQKMEKDGQGWRYVPSSSPPASSGMGVGR SGTVRRKSAEEQSRAVSIGHGRPLQLSTSATSHSGSHTPSSRYLPTAAGEPDMAGLTL GEAGEKLGMGQSVPRRIGFNWDETMGVKTYELPGKMNKNQKGLFYFQ L198_02460 MPSTKAKRPPEPVSVQPPSPTIRAPDSPTPPAPASPSLTPPASS SSATFPRPNRLRSRTSTMTNIYVPPLPPVMSRENSAEDVHEHHPYSTAPSMLQVPVPS AGQGIGRRASKSVSGAQPEIVLTPSKPQYWTPQTHQTPAFTPTSPETDMRNIVKRRTS TPSLHNQFSRGEEQEDDNGLRAQFLSQDKPVQQREVASRARSTSTSVVVPQTQDLTEN SVIAGKPIRPLLQSSATQQPPPPPVWSPAPYPIAGPSRYDEAPSPGYLASAYNYTSHL VNYVRPTTFAHHSYHHPESSEADDSEKGQIGSEDETLEIETRMSEESARRGRYWVYGS EDSNSNYFDLPPTPPESSDFQTFPAALPNSDYLPTPALSTRSLARDDSKRNKLRKAFR RARQSSGSSEGGENGWWSTVLSKVGGGSEGRGKMGEVLKELGWTVGALVGIFVVSLGI VVWLVKSIPITTMRHLPSSTTDVQLLSAEIRTYMASSAYGWWHTVGVLTFVGCWKHSW SVPGAVVLNILVGSLLEPIPALILLTIITASGSLGAYMLSRPLAPLISVLFPKPLALV RAALTPESIPSPVSYPGEKITPIAVSSDPSEKPIGDAADSEPTAIWRKLLVMRAMGFV PWSGMNVACGVVGVDWKVFWLTTAAGSISWSYVTASVGNILSRLQVHAAAVGQVGEAM EGEMAGESLTSLLRDPVLITKLVLLSGLTLIPVILKKRSPQASASASPSPSPRPNSFD LSPIPTPSTAHPISSPRPINPKINTLRLSSSSSSLENAPMSPLSTSLAKFTPTPRIFD LLSFGRLVVRQGGRGAVSGVRAVGSGVKAVGRSLGLS L198_02461 MSTQAITTTPPTLPSPTNASDGAFRILEEVEPTIFQHLAALAPT TAMLICKAYHNSTKNEVYREFKMGTAMLEGFHKANPGLDDSAPRRLALALQNTRVIHV DDKDGAEAYENLLRVGMTFRESSPQLAFLPMLKKVEVASEVTASKAFNTKSFDFPRVQ QPVSVPTSHDNACDISSGGDALEYVAHLRCDKQGHVWSPTLLPTNSQPSHVTEIYHLT ANDAKSGSLPIFRYGDDTSCPFLSRQLILSIEPPKDGETCSPVLPAIRNWLWRTMVTS QEDARASERLLALRRKQKAQQPMVTVHVPCFSSMEKSFYEGCDKVQVKQLDASTCEAY GLSAEYAW L198_02462 MSKSHVAFNSITALGHSVSNLSIGTIETHVEPIAKFPVPTSAAA LSRWLGMVGYYRKFIKGSASDQTYHFTSTADEARDVPKAGPKRQMYQAGRDPDSWRGT VNAVNAIEGLGKTGMIIDILAAEVPNDQDLAAMSPDYLTNPPYHPIHIGSTSKSAKAH NRLDQVGAQNPASRLQQKRKNFNQAMLDSFIVRRCSPAVSPNASITPVASWDLAGEVA GPFCDVRRVVGLLPTFTKSEMVAKMAAAAYLWVENVPTSDINLRINGGNITGETDVMW IWGQKTGHGFNTAMSGSLWNPDTGSTVGGTPGLGYHTLTFLMRVLQILGCPEVPPTQS DDSALGPDFYRSDGRLVYQWSIALADVFWDSKICCWAYKFLYVNDPTRAAYRKSENNL FPAERALASDFWQTPPPLWSFAATEALAALRHTTCGPSSNGAHQNHLDQPYIVFGPGR PQGARHIRGGRPHPNSRRN L198_02463 MLHLLTLGSSEKVLVILRDGRKLTGVFRSYDQFANFLLESCVER LHYKLEYADRDIGILLIRGENVVALGEIDLIQEDLVPLREVPLEEIEQKISAESKRRE RDQLVKDKVLSKMGFVSEGKEGDAY L198_02464 MSLLSNAIDDMKRSMKNSATAQRVVGFQYRNMKRSILHYKTVLK EKEERIGVIEREQEALLSENYELHERLAKAQSEIHTLQRSQSQHPSQVTPQSNPQHYP VSDQSQIQPEMHRYSPQGAFHGPHSMAPLPTVQEEDFGVSWNAGEDGYHKKRRVDSLD QQPRRFVPPTPTSGSSDIHLNSHFVPHLQPHHTASARPPSRAFVPPAPMRPTPMLTAA NRPQSTMDMRPTQGNLGGDTRQKLDAYRYDPTNPSPPRRMNSPERPSTALPSLSSASS RLDMRQPTSFVERLKQSNSSFLQRPASTRPTPLSRQNLNLDGMDAGDKPSIARMRSEV PQARPMSAMMGRQAGQTRRLGNRF L198_02465 MSFPPTPATPTPHSDLNSKESKTLDHVPTVQEEVREEHEQRALD PVASSDRRASSTTTLNQETVVTHGMEHRGVTKTSKGDKSIVEFEPGEGPKQWKNPYRW YCTFTATSLALVVSLGSSMPTGDLPGTAETLHVSNEVINLTVSLYVAGFGIGPVSFEG LSEVYGRRPVYIVSMFIFSIFTLPCALAPNIATILAGRMIAGLAASAPFCNVGGTVAD LWATHERGIPMAAYSSTLFLGPCFGPLFGGWIGQKTGHWRWIYWVLFCFCFAAFILAF FTPETLEIVLLRNKAHRLNKQHKTDMYIAKADLNRVPSRETMKIALLRPFILMSESII VLFSFYLSFIYALLYATFFAFPIAFEEKRGWSAGMTGVAFLSICIGIFLANLVNWWQE TLYARHAVKHGPVPEGRLYPMMIGAITLPIALLILAFTSYPGITWVGPAAAGVVFGFS MVTIYVAGNSYIVDSYSHVAASAISSKNLIRCLIAASVPLWINQLLHNLKFQFGCVLL AGIAVVIAPMPFYFFLRGNRVRTRAKRALKVETKEVKVNGEGEV L198_02466 MRLLHILLLAYPAYSIASPAPPPTAAEYPLQEPSHLATSPGSQL DAPQNAPEVFEGNSEYKAVFYAQQPAAFANGIKDGEGWLSRWLAMGGEGEVVVHLQDA ENLTLPHRPAAFPTHVDRPLLPISGTLVPFSSFPSPRGQPNTPLACLPPLSPVDRPKP SHPPGEEPPKEEERYIALIERGGCDFATKVRVAQDRGAIAVIVGDSVLRLSETDEEGR KREGLITMFSPEDTAGIHIPSVFVSRASYLDLRDMLWKHVDSGIGKGLWIDIGEGTDE GGTLSNLLSFALLMPTLFLLATIAVHRIRLARQREKDRAPALVVLSLPERIWAPDIVW EKEDESSDGSLTQECSSTPGVGCGKRLNLDRRESGMGEDIEEEDEGADGDEESEDPVA EHPPPPIHPSSIDTPPIPHTLNDPSHPPIPLPPHSSSPPESPSDHPGPSQHHHHKKTH KPKRQYFSKDECAICMDAFEKGDVVRILPCGHVFHKEECDEWLMKWRKLCPTCRADVT LPQGKIRGSTVTPVTAAGQPPVSASNLTPSDLENGIGEDVTLEGVYMRIGERVENGWT ALAGWAGRTRRALFGRGGERGREEERVRLVDDDHV L198_02467 MSSLTPETLARLHPVHHMILNFLAQSSPYTILQLNKYYRRRVLP DLYHTLPVTQKSIWAFDVPGDGALRPHSVKYAKVLQVVDVHSAILFENYRLKVNGRLT FPIIRLLERPIIPLVKRVEFFWAVVRDEYFFKFQHRMGNVNPEERTLYNEVQRGGQLR EALVHVDCSDERARCTDDALVEIGFLFSQNPVNVATTVVLHTDKCGLDYSPWCNHLPL QPERILRFVLWLAPEANEETGSTASCIPAASPYVLEDFAEFIIYVMDHVMDQRREHGN RPEEEEDAAKEAGFVYPPEQLEVVCVDASLVEDLARANFSPPKPLYSTSPPVELEGFE WPIKFIELDEKIALEYDLWNTKL L198_02468 MSSLTPERFARLHPVHHMIFDYLSQSSPYLVLRLNKYHRRRIIP NLYHTFTITTKSLGAFDCGLTGEDPLLPLAINQVKVLRVVNTESAILFEHLRLRDDER WVFPLHLRDDERGVFPLRLRDDERGVFPSELKVVRVEFSWAVIRDGYFLRIQGRLGDV GKYTILEEVARSDHLAEALVHVDCGDEWASHDSNELGCIPWMFHDRSVDINTTIVLHA PTHGRIYFPLGERLPLPSPSTLRFVLWSTPEKKEQIHPGDPRPIPIPPPGVLEDFARF IALSTAALEQDWVEASLYPGEVLEEGFVYPLAIEVVCPSPIYVEELARTFYRPDQRKA KGFDWAPRFRKLDRELVDNYDLWSTKM L198_02469 MTFDCLSQSSPHLIAQLNKSYRREALPRLYRIVPVNRKSIVVFD NHSTSNPSLLPYPATYTEVLRVVNLESALLFASYRLQYAGEDIDAYSSPIIPRVKRVE FSWAVFEERFFKRFSGRMGDGGSQGRTLQHELKPESQLQECLLHIDCSNKQAEISSYE LKGIPRYLSGALKHAKTLTLVLHVPETGQMSKAVTKEEGAAESKSTNGVVPVPSRNVI DAFAALIGDLGLQILLDALEGDIEAMNEVDVMRELADNASTYEVCCPNAGHVEQLARS HFKSRHTRAANLEWPVQFLELDEGTVDEYDLWKRAP L198_02470 MSPNLKPMIPSLLPLLLSTTPRIIALAAQPGGPLEREEYTMGIA RGQIEDAMGLIRGELGKKEWKRVVKRVVQEEISEQEDGGVGSDQDGQKEEQVPMAPTP SPSPSPSPSPLPSPSPSPPPPKHKPTTNKPKPPASSPPDISPISPKRPPASNSKRQPP SPIGRKQEPTVIEILSSQPSHPFSPPPPPRYGKKHVVIVDDEDDGSEVEVIEVEEEEE EEEEEEEEEEEEEEEEEEEEEEEEGRGGGGGEAEQDAPSQMSSVYDTTSQARRAAKSK AGVTPSPSPSPVKKKKKKKRANRGDGDEKKSMGSDLEERKEKKEGKGRKRKRTVEEDE TPKKKPAPRSRAKKDPHEGLSAQEIKVVELKKIIVACGLRKRWSKELANYPTPSSQIT HLQSMLRDDLGMRGTPTLGKARSIRVRRELAQELDDVKAYEKERGRSADQRGNKSRST SDDESTATEKASLHDKLTKEGVCADAIFGYRVLWRP L198_02471 MSFAPLEAHQQFQHILRLLNTNVKGGGKIMYALTEIKGVGRRYA NLVCKKADVDLNKRAGELNSDELERIVTIMQNPAQFKIPAWFLNRQRDINDGKNHHVL SNVIDQRLREDLERLKKIRTHRGLRHHWGLKVRGQHTKTTGRRVGRALAGKK L198_02472 MQEGRKEKADGGIVDCKGKACKKHTPHKVTQYKKGKDSLSAQGK RRYDRKQSGYGGQTKPVFHKKAKTTKKVVLRLECTSCKTKHQLSLKRCKHFELGGDKK QRGAAISF L198_02473 MPLNIHLPKVDPTATGKRTPKTPQDEAIAFFSGEQDGEPVQVWQ LALEDDGGPGPGKVYIRLPPPTRPYILRFSLEPGTDVTRNGVLRTDFPIDGGDFKRDD FKERKLPSNLSKPIEIDLPIAAPGAFCYFLEYDSPSGQGRIQGRRGYFNVDPIINLPA RTPFFPDDSVTTTNPLKDESSGAILPKNATLKLDSLIIISVLAKWMGKTDEWEPFFAE ASRRGYNVLHWAPLQQRGISGSPYSIKDQLTFDPEILKNPDAKDGGLEEIEKVLAFAK EQYGLGGVTDVVLNHMAYDSPWLEQHPEAAYSPYNTPHLAPAVELENALLDLSARLPS LSAPSTLHSESDLQALIPHIKAAIDSAKIWEFYVFDVQAEVRAVAEALLNDKSNKWDG AEVQGKGYEELAEVVKSSNIIDNYRAYSGRFITSVKPATAAGFIQAAYPEASPENQAS KWGKVLDVMNVDLYNESNEDVEAAIEGVVGRLRFTRLEEGGPKLGEITQDKPLLERYF THLPDNKTTSKHPESARYIANNGWMWAADPLKNFAEYPSKAYLRRQVIVWDDCVKLRY GTQPSDNPWLWEHMIKYAELLAGIFDGFRLDNCHSTPIEVGKAVIDAGRRVNPNLYVM AELFTGSQEMDLKFVRELGINSLVREAYNGDSVKNFADLLWRFGLGKPVGSMDVACLT SSGEINPSLPSSSSTTPRAALVTPLQGSAPHAVFYDLTHDNQSPADKRTAADALSTGA LVTFCASALGSNKGFDDLYPKLLDLVTDNRKYEVVSKEQERESGIGGVKRVLNALHVE MMEGGFTEGHVHEEGQYLMIHRVHPITHKGYMLVAHTAYKGFEGRGWVKPIRLGRTSI SYLFGAGIETKIDQWSDDPSTHRGIPSKLVDIAEPEIKHGEENGEAYQEVVVPENFAP GSILVFGTRMSEISADLDAFCKEGAEEAMKGLDLVDLNVVLHRADGEEKDATGGDGVY TIPDYGALTFCGLEGWMHPLRTITKTNDLGHPLCQHLREGTWAFDYVVNRLQNQTDDL PHLSAPAAWLASRFDQIKATAPSFMRPKYFSLVILEAYKAARKAVIEQSSEFVASGHS LTHDLAMVSVQMYGLVKSASIDPGRAVPSLAAGLPHFTAGWARCWGRDVFISLRGLFL TTGNFEAAKAHIKSFGSTLKHGLIPNLLDSTRNPRYNCRDGPWWFIQNIQDYTDLAPN GLSILEEKVKRRFPADDTWVAWDSPRAYEWESTVAELVQEILQRHAEGIEFREYNAGP NLDMDMDDRGFDQKIWVDWSNGFIFGGNRYNCGTWMDKNGSSAKAGNKGLPATPRDGS PIEITGLLKSTLTWVGKLSEEGKWPAQGVNTTVEGEKRLVTYKEWADLVQANFEKHYY VPSDASEDDKYVINKSLVNRRGIYKDVYGTPGDREWSDYQFRSNFTLPMVVAPELFTP SKALDALRVADAVLRGPLGMKTLDPADQQYRGDYDNSNDSDDKAIAKGWNYHQGPEWG FPLGWFLMAYLAFDQRVGEGKEDSTKTLHYISSILRKLSHHIENDPWRGLPELTNQDG QYCYDSCNTQAWSASTILDVLQLMHKVGKKD L198_02474 MSKAASVTTLDASEPIERIFEVMARDGAVIIANFLPKELLDEYL TGIEPYFEGRDIYVSDSAAEELGADFFPNMSKRVYGLLGKVPKAISGTMKLPLWQAIM DKFLSDEFSSYTGHNLLPQKSSYMLGSTAAMRLVPGANAQPLHRDQMPYLVRQDPSNP FFTPLLGCLIAGSKCTAKNGATMCIAGSHLWPADRAPNVEEAAPAEMEPGSALFTFGS TYHGGGKNQCEQSDPDALRTVFACFGQRDYFRQDQEEILSTPLEVVKTFDEKTLRLAG FFKSKGGVGYVENHEDPIQYLGTDHLLGQYTTLAGSTMA L198_02475 MPRLYSPAPLRDMTTLDPLPTDITDIIFDHLIELIPLTLAVLSK ACYNKLVPHIYKTVIIRKANASRVLYGMCLEQTAELPYPYGGLLFGKRKAKAFEYTKK VVFKDIWAAEALVLAAREFPTHEFGFMATENSYPLLFPHTTHLLLGKALLRSLPDCAR DVSIAEQKRWETNHVLRFQDGATQKDKWVEHFGNWFVTAGEFEDLLFRMVDPEVICFD MQYVECDGNSMSGSRVTDAIGLHRPKGRVVIHWFFYSAEEQRPLFGEIAMSTPITIIF HTSPPPNEEITDPEIALAHSIFTSFTPLQAARELALAVDRMIEEILNFTDIISDDRLP GEEECESCEIELVLPQAEEVRRIWKWEGREDRTEEVVEFTETWERIVKFRDVQEVGAC EACGRELEMWMDDRGRVQGYAGSQG L198_02476 MSPFSILSKLTNPHAPSLPSSFGSSKQTTYYLSTSLPSPSIQAL QARPPPHQLPPSSLIFCLKHPRLDTSPATAFFLQCLPDPIMFLHYAALFIRPRLALGP GGDGDGQAEGGWRHQLITLELEEKEGLAATSGGKIGVSLDWVERSRQEVMGGKKHVEQ ALREFKGVLLHEMVHTIQHDGGGSTPTWLIESIADLLRLFAHLEPAHWRKYGQGKPDK GWEASYDTGARFLGWLVGYDEAEREQVERGEGKLVWEPEVVAGTVPGPTPTGPEGAQA TRYAEPPSVAEQKPLGRPNPRKERSGPYPDFVRLLDSRLVYERWDEGWWQEMTGRTLP QLWAEYLAYYQ L198_02477 MAEAPILTSWGDEGSTIPSLELISSRGSRWAHRVLLALEEAQLP YKLTEVDLNNKPDWLFKANPRGLVPILGVGTPAVYLTESANIVSYITDHTPSIQPSTD LERARAARIAEVAVDLLAGEISPDLIPVIIAPLSEDERESYWNRSLGVVDAVQGLLDS EGPFALGQRFTETDILIAPLVGRLWAYGNHALIPAGNLLTRQIEEDAKYARFVKYVKA ISERESWKKTWDEEFSISRLEKKIAQYKASQDKS L198_02479 MSTITPSQFATLHPVHHLILDELIASAPLSVLTLCSSVHRRTLP VLYADICASPAVFSGLRLKEGYERTVEALKSTKTIRVRDFTSIEALYSLAGAEREQAS VTSPSSPANNSHPYPRRYTNLFLSLDSLELELPALETSKGPFVPATYHGYLEQREILA RPPEESMEPLSHFSVRRLSEQLPNGVQKIVFHLDEGGSDYWHEVRQHLLYQRPREAVI IVKGTISADGALLRRLFWKMGLYLPTESLNAERLTVFVDTDKPLDQNDRDQVQRYVIP VSEALAEYAQRLAWRIRQENRALADGYVEMRLVDHVEIHMPLFGRVLEEMSEEGRKQL YQLVSDGRLVVRDREESLADGV L198_02480 MPELQELASLPAHADPAWTVAFNPTRPLLASCSTDRTIRLFSYI LPSSSPSEGLPGKDDPQPVFSLMKVIETEHKRTVRSIAWSPDGRTLASGSFDSTVGVW EEVVPTSDDEDDGDEGVYKPEGSDDDDVGGNKEKEWECVTTLEGHESECKSVGFSSDG ALLASCSRDKSVWVWEVQPDADFECIAVMMEHSQDVKGIAWHPHEEILASASYDSNIH LAYDDPDSDWYIFQKLHPSLPLSPPTLPALFKTSYPSSTPSSSSAPQHLIEALLPTQE EQEADAALQVPELEEDETVWCVAWSADGGWMVSGGDTGGLRVWRRTGSQSDSPFKEVL HLPAHTRSIFSLAWSPPLTTSPSDLGLIASGGGDGKIIIWQVTKLAGEEGKEVGVDVK PIAAKKNAHGVADINSVSWCVREDKKGAGLGMLSSAADDGSVRVWRVVRDD L198_02481 MSSFHDAMMLAAFRPNTQPPPAPPKTSEPLLPDFHLTTLEPLCS VHDIILAFLEESSPALLLRVSPEFHAKILPMLYTRVVLNADNAAAFFYGVTDGKDGRK AKSLEMVRTIVFSDPEAMEGVMRQVYQPPKSRSSDPFWRPLPEVTYPILPNATHLQIG WPVLRFFLTPPHDIVSFPDDPRESPVPTQGMSAMMIYAMSFSRMLEDQVPKLERLCVD VESGDEYDGIAVEQVFRTVAYRGRSAWLKTQGRRSGSRVFDFTLLIRVHLQPQQAPVY LPNKTPAPFIIRFCPHADPARHIAVDPKITAFAIYNLFRIHASRDSVPAVFEVIDEVR VRRELEQLIEDLGMRRSTATWDYFWRSFELKEKGEEEEEWEAPEYD L198_02482 MPNSSRPPASSAYPPLSHDAPQIPPFPQLLYIKNESHSTPEPLT AMTDSHDHMVMSPDEDDDGHDSRGGSPPPLEKKRKTTNTRRRVVQSCSECRRRKIRCD KKFPCGPCILRNEQSRCHEVGLADKTPTLPPNGGSWASSADIGHVNDRLDALEASLLK SGALRREDLNYFLTTISETDALTGRKKTKIEDLIDDTEGAALTLEHLAFGRSRADGSH AIPHFAARLSSVSKPAPNNDYHLAKSNIVHPSPTSGYDPASISSGQKTGMQTFNTPDS GRKELSAEERKQKLDKIMDIMGPLEVFDMFFQKTDLAMAALSKLLPPRHRGELLVKVY LEKVDWLHRCVHVPTFLRQCNDLHNLPPEKVTQEIALPFLGLYFTVCTLGLQFMSKAE ISLHFTEEEAHTLPDTWFHAARSALWASDFFTNHTMESLQTILLLGVFMNNRDRADAA WALLGAAIKMAQGLGLSRLGAEQQIIDGKPLPMWTGRWESVIQREVGRRIWWNLVFLD WSLAPSYNYSCSIQPDQIKTALPANIEDEDIIDGMPLRPQPLSVRTGMSFQLARLRFA EISQRQIWQANNNNHPPYSFVLSVDGELRKAMMELPTFFQPDPTNKSPPPKEPKALVQ YYEKIILNLAIHSRMLRLHRPWLSRGYEDDRFAYSKEQCIRAARASLRMMSDSEGTAA FLEKWWLPLFYVSVSGLVVIIDLLRTSRKDMFTSEFQAKVDEVKGALGQMREIADVSH PSRAAVKVMALLLAEIEERRKPPGSALGKRRSSPDGDDEESGLQRAVKKLILQAQLEA ESPSASMGGLTPQEINIGQVSPATRAMNEQREREGERPVFDAYPMPFVPVPPTINNAT DPAPQQQPHPNQYIGVSNASPFTFPVDTTNATTFPAFDTATTRGYPNNQLDPTVQSML ANYFPPQANTSNSIGSATAPQAPDDFLSRVFGFGWDGVGTTGPPATLGEAGLDVLGGP PRMSGQGQGQGQNGQAGQQGQQRRQPGELHPPTPLNAQPPQQSQQLQGANNPYAFGNW ANQGWMA L198_02483 MSSITPADFAALHLVHHLILDQLIASAPHSALRLCSAVYTRAIP VLYREIYSSPAVFRGLQLQAGYERTVKALAHTKTIIVGGFDSIDTLYALAGPRPEKPP DPSLSPCSSSCSSSSSSPPSSPPSSPPSSPVAACPCYPRRYFGLFPNLDRLEFTSFAV ESGYIAYLNRLDGPEGHDHEPLLWQRLCFQLPNDVREMIFVLDNDSRNHWFEVEQHIM FQRPHKAVVFIRETVKTAAQVAESGESFARLGEQLPSLWSNAKRLTVFVDTNEALDWS DHEQVRRYAIPMGKALSKFAQRFAMIVSSWRWEWALGDEGDLDRKLVDHIQIHIPLVG KVLEEMSEEGRKQVDQLVMNGRLVLGEYEGWMVDDLHVMERRQDGISSL L198_02484 MPTITPSQFASLHPVHHLILDQLIASAPHSALRLCSSVHKRALP IIYADIYSSPAVFKGLQSNEGYERTVEALKSTKTIRVRDFTSIDTLYSLSGPEREQPP APSPSSPGNNTHPYPRRYVNLFPSLERLELGFPALQTTYHEYLEVLESSNPAAEEDET RPLNFLARRLSKQLPNGVKEIAFHLDNDRVDYWYEIDQHLLFQRPREAVILLKGTVKT ADEVAQSNATFGRLGEELPTEWPNAERLTVFVDTDEPLDRSDSEQVQCYVVPMSKALA KYAQGIAWRINLGQEKRMLGDEDDVEMRLVDHVQIYMPLVGRVLEQMTEDGRNQVDGL VRDGRLVVGEYEESMVDGLDLMK L198_02485 MSSITPSNFAPLLPVHNLIFTELLASAPSSVIALCSSAYERAAP VLYTDIHSSPGVFRGLQEEKAYGRTIEALRHTKTLSVGGSESFETLYELAGPEPRGHC CPMCAPFRIVPTNPSNMSSPYSRVYNNLFPNLERLELGFGSLKASAYHYDCFDSVPSE EDPLFAGGSRDSIVRQVARLMPYNLKEIVFHLDEDEADYWYEVDSHLCNQRPREAIIF LKGTVKGQERDDNRPLCPESEAKRTTFGRLGERLPLEWDNGVRLRVFVETREPWESLN ARELRGYIISMGMALVRYVLLDSHQRGRWYWDGSGVQVGHPVGSYDLDWSSDSDSDTE SDDSDDSDVAGDSDDFEEPAHLSHSSHSEDSEDSEDSDGSDGSDESSDSGNSDDSEDE KGQVGLHFAQAIQSQDVQEHGDANAGGANDRAGNDHGRENGAGDERDGAVNEVDEEEQ VFQIEIFLPLLHQVLAQMPANHRILVDAMVGEGRLVLREYDVKEVEKLGDLRLRW L198_02486 MFSITPSNFAALHPVHHLILDELIASAPHLALLLCRSVYKRVIP ILYAHVHSTPALFKGLQRKTEYERTEYALCNTKSLSVDGSTSLHAISALSSGPDPFSI PEKPQNTRPLYPRPYYIMLPYLERLELSFSALQPPVVRTGPRGQGAATRIADQMPNDL REIVFHLDEGTVGAWKELNGHLMSIGPEEAVVFVKGEARKGQLVKTPDGGQKRMTFGR IGEKLPTLWTNGQLLRVFVGTQKPWDSLNGEEREDYAVSMSLALVEYAQGLFGAHIEG EEWFEAEQEESPLVAEVEFHLPSLKQVLAQMDGEGKTDVDALVRQGRLVLKEYDFKEV EALGELKWRW L198_02487 MSEQEQQTQQQTQQEQGGGELQRSAMGPPPSPKRDPKTSKAPTQ RDAALGPLRSHRTPLPNEMAELTPEEQPGGGSKGDEHSLSINISLDLLVEVHLTARVK GDVTIGLL L198_02488 MPRSPDRSEDYDVRPSKIRLKTTKSERAEKIFAREKRRFEKDQH RISRANGYAVSPPPSSSSHYRADSISPPRNKPRPQAKHYEEEEGQEGEWLGAMGRKVK EERWKREKAEWEGKMRWMAGEEGGGGGLEDWEDLEVPRWGHAGRAAESLPSRWRSAPS RPFLSPSLFPPPPSPFEPGSRPTRPKMHHFPSQPPPMGAMSENDYAEYIRTGMYAQKH ASALLEADRIKTERMEKEAKRERERERREREEERWRRKQEKEEWERYRRRAREREEAE EAYRPVPPPRESATPPYRSSKTGQAKKDDPAKWTARWDALQAGGEIESSNLSFNDIPW PIFLSNLPSTHTPDDVLSALSLENVKKYMVTVASYKENTGGEPGKVRRVVREAVRSFH PDRFTVRVLGRVREGDRGMVKEGADVVSRVLNDLARQYK L198_02489 MSSITPATFAALHPVHHLIFEELVASAPHSVLRLCSSTYERIIP LLYVDISPSPAVFEGLQSDAGEEWTLEALQNTRTLKICDFTSLDTLYGLTGVEPHSHT IPSPSVPSDAIEAIPRYRHIYRNIFPNLERLEFGFPVLRERVDAYINPHFPEEGRGPV DREKMLFRRIERLLPSNLREVVFHLDEEGEEYWNKARHFLHTLAPRDAVIMIRGKILN RAKTLAAGLGDLLPLSWDRAERLRVFVEPQGDWDSLDYTDKAKIHFSPMGISVYQYAS NLYDELAERGWTSGSGQELPKSVPRVEIHLPERARVLQWVVDDDVHRPLDTLLEEGIL VLKELDMEVVEKLGVMEWAGVA L198_02490 MLSYFEPPEEEHCYICFRLFLTQTASPPSPAAPLPTQPKSGNSP SQYRLSQTGLGLKRMKRTMSAEWDFSFLMRIRIKTTKSMERLATEGSFRSTSTFPSSI KFSRRRDNTAERAGPGGKTGAGGVWSKGGGDFRGFKVGLVKKGNSRTILRLLLSPFSR PHLLDTFILISLSPSDDVRNALQPLPTAFNGRHV L198_02491 MSTITPSSFAALTPVHHIILDELVVSDPLSVLTLSRLCYKRVIP VLYRTIHSSPEVFRGLELEQGYERTRDALASTKALSIGGSTSIDTLFELGGREYTRVC HSCAKNPSACCSSSGYISTIYPRRYFHLFRFLERVEIGFSALQASRTYYLKRDRPDLE IDLVGRIGFQTPADLKGTIFHLDEYGVDYWHQVDTHLLYLNSREAVILLKGEVPNSEE LVVSSDGTRVGRASFGRIGEQLHTAWPNGERLRVFVGARQPWETLNDYELHEYTITMA LALVGYAENLTSRIESRRGWELENDHCKDEDSEDDEEDNDGSGDKKDSGGDDDGKSDG NSEGGDESERSADESEGFDHYEIEESDEDDEGSEDDESDEDDAHEESKPLDRVEIHFP LFDQVFIHMPEEGRQVVDALVRDGRMVLEEFDVKAVKELGERRWSW L198_02492 MSSRDNVSSQSYADESTPSANVRSDSSLTHDASMRSVASSLAQI ASERITTINHDHPIATDREKAEMLSAFMKTLIRTSGWGESMLLAVSVGIEAVEGGGGV AVHEG L198_02493 MYHPFPTPPTPGTARDHYSFPPAHIPHLHHPVHPIHHPPPPPVR QSSSNSQSASSSRRGSHAQGQYSDSESDGEDDKVERDKLEIRREKNRVKQRNLRLRRA NHIADLERDVSTLKSESSSLSNALSLAHAREASLQGWIHDLESVLFRNGLAADVEGMR RVWAERGAGSAGAVPGVGPGAGSRRGSLYPPAVGTGVNGDPLSTLARAASQQTPTYPH PHRHPHPHSHSQHSQHSHHAHHPHGGHPTMQMPDRPTLPRPGSFSKPYDNPYPTPDVV WPPSREPDMSLGAGSSLSAGSANAPAPGEGGKRKRGNDWEPYGLAGPGAMPPSASSSA SAQNPNQPRSASFSHHSSQGQPGQQAMAGSGMSRRSDPSLNTLPPIHALPDANAPGGS PTSTGSAAAAAGSFFPPGASASHSTGAPSDERGTQGQGEGKVSPRLIRISDLVSPSHA GETFWGHGEIKRESIGLSPKQIPTDPLRLPPFRLQGSTSSTASTASSAPGGHGGHELP TPLSEMRA L198_02494 MSPQDNVNDQRSEFTSSATGSTAASTSAATPPSQQAASLAQIIS REAATIELTHPTASHQEKSNILRDMMGDIFKEAGIEGSFMMVTRVKTGTESMGDRGAR RTEGGEEAASAWAQSDTWTGGGAVRARVSAFNPSTRPVVVEEAEDGESEEGKKNEGS L198_02495 MSIKEDSFSNASDRSDIHEEPTPSAGSPTVASNPSLRYVDAPLD SMATEKAATINQRYPTASAEEKTSILNDVMAQVVYERGWDAGSIMMFESTGAGSVVGE KAEGEWRPARTQGWTGDAGLNARVAVLDGYSKPDDENAGDPGADSDDEESFIVY L198_02496 MAEPRTQDEIDAQEAEFTQYMNDSMTTLANTFAQKATSIDADLA VIYRRQTDDWRESKLDSMLRADLASNHADVDLTCDFDWQRGAPYTADENNGIWTGWSY GEGGYNIATKFSARQ L198_02497 MAAPEKPIVFTEHLQLTALGVQPPSISFQTLTLESDAWICVREQ QGESPQVVIVNLNDVGDVVRRPITADSAIMNPSPSEKILALKAGRQLQVFNLGAKAKL GTHLMNEDVTFWRWINATTLGIVTDREVWHWKVIDGEAAPKKVFDRHANLNANQIINY KISTDSKWLVLVGISSNPNAGQPGENGFKIKGAMQLFSLERGVSQPIEGHAATFAEIK LEGAANPTKLFAFAVRSAAGAKLHIVEIGHQAPNPPFQKKAVDVFFPPEATNDFPVGL QVSQKHGIIYLTTKFGFIHLYEIETGQCIYMNRISGETIFTTTEYETLNGVLGVNRKG QVLSVSVDEDTLVPYVQQVLNNPELAIKLATRAGLPGADHMIQQQYQLYIQQGQYGEA AKVAANSPRGLLRTPQTIEALKALPAVPGTLTPILQYFGILLEKGDLNKHESLELARP VIQQGKKQLLEKWLKENKLECSEELGDLCRAGDMNLALSVYLRANVPNKVVACFAELG QFDKIVLYSKKVGYNPDYAQLLQHLVRINPDKGAEFATQLVNDENGSLVDLDRIIDIF MSQNMLQQATSILLDALKDNKPEQGPLQTRLLEMNLVSAPQVADAILGNEMFTHYDRP RVANLAERAGLMQRALEHYEDIADIKRVVVHTNLFKPEWLVEYFGKLTVEQSFACMQE MLRSNLRQNLAIVVQIATKYSDLLGPVKLIEMFEQFKSFDVGLYYYLGSIVNLSQDKE VNFKYIQAATRTGQIREVERIARESNYYDPEKVKNFLKEARLDDQLPLIIVCDRFDFV HDLVLYLYQNGLTNFIEIYVQRVNAARTPQVIGGLLDVDCDEQVVKNLLGSVTGEFPI DELVDEVEKRNRLKLILGWLNNKVEQGSQDHAVYNAIAKISIDSNNNPEKFLKDNNLY DPAIVGKYCEKRDPYLAYIAYAKGLCDDELINITNENQMYKHQARYLVKRRDIDLWTQ VLNPESIHRRALIDQVIATAIPDCTDPDDVSVTVKAFMHMELHGPLLELLEKIIIDPS PFSDNRSLQSLMFLTAIKNDKGKVMGYINKLEGYDVDTIAKVATESGLYEEAFTIYQK HQNHAEAMSVLVEHMASIDRGFAYANKINEPAVWSRLGKAQLDGLRVKEAIDSYVKAD DPSNFEEVIEISNRAGKHDDLVRFLQMARETAREPKIDTELAYAYAKTSRLHDMEEFL AMTNVADILQVGEKCFNDELYQASKLLFGSISNWARLATTLIYLGENQAAVDAARKAG NTQVWKQVNAACVDKKEFRLAQICGLNLVVHAEELPALLSLYERNGYFDEIISLMEAG LGLERAHMGMFTELSVLYAKYRPEKLMEHLKLFWQRVNIPKVIKATKEAHLWAELVFL YIVYDEPDNASLTMMERISDWDHDQFKKVIVKVANMEIAYAAIDHYIAEQPTLLPDLL AALTPRLDHARAIRTVSKQDNLPLAKPYLIATQKLNLSVINEAYNDLLIEEEDHVTLR SSLETHDQYDAIKLAKRLEKHELLEFRRIAALLYRLNGLWDDSLSLSKADRLWRDALE TAAASKDIAVAEDLAGYFVSIGNKDAFAALLYVCFEFVRPDFVEEMSWRFGLGDYAMP YKLQQQRDQSTKLAALEKELKELKTKSIEKEAKDEEPSSLMGSGLGGRLLIGGPTGGP PQPYMNGGLMAQPTGFY L198_02498 MPYGNVSTTKMAEPFEPSPQSRPYRPLSGSIVHEELFAGTRNHP LADSSSSSSPEQSQKPEAHDPQRKKIDDINKEIDATKNVLNKNIEMITERGERLEHLD QRTQALGISSQSFKTQAAVTRRKMWWKNMKVRSQSY L198_02499 MTTTLSPSRSPPPKRLKTQQTKDLLDVTEAPVNALFVPPTEIEI DAAPTPTPAKGKKPKHNRPPRPPKAQTKKERRRRPLPESFSPADVLWHDIKDFLGEDY VQEVIGRGEHEEWEAPEELGPWEIVEVRAGGWTRGGESLSLYTSPSTSKKWAIITPFA HPGDLIRVKIHKHDRLHCLADLVEILEYSSEFRGGEGDRRVNPEGGCKYFGECGGCQL QPLPYSLQLEHKLQTVSLAYTRFSTLPPHLVPTILPTIGSPKQWGYRTKITPHFDAPP RWARNRLDKAKDGGEDEFAMVEQEVAQEIECNVGFERKGKPGVLDIEECPIATPVLNA QMALSRPVIKSTITSYKKGATILLRDALAPPDPLPTAEHPWDAEKHVEAESDHVAVTD HKQQVYERVGPWLFSFTAGSFFQNNNSILIPLTTYVQEAIFPPPSSPYYSPSTPLPTH LVDTYCGSGLFGITLSPKFERVAGVEISPMSIEAAKVNADMNGLREKTKWLCGKAEDI FGGLAEQGFAGGHSCVVVDPPRKGCDAPFLQQLLTFRPLTIVYVSCNVHTQARDVGYL VHESNKLGAVKEGEEGEGWKYVLESLRGFDLFPQTAHVESVAVLRLTKQ L198_02500 MTELKPFPASSSTSYHPAPPPPYTDLPNPSLTPHREPSHLALVA RTRPRHRMGKSYQNEPKDVLFDGYSDDPKDALMDFEPVLAHPLSAPIDIQAYCTLVYR CIHFKSRGPYSDFYSSPRSESEIREGARAVLWEKKMDRGFFLDEFPCPPGKPSTTTLW TWSEPRKSFMPRFAKSPSDLLEGVPKQFGSYHSILKTNIRAFYPPFLLPMSFADLLKV TEGLYESWTSVIISAKRPQPWRDATIHRQHYWQFKDLGVVDLEAVPRSAMDEDDDDSA SDLSDDDPAWDTWDDYKSKASRGTGTGTGMGRWKDWFARR L198_02501 MGKCNQEKTKDVLFDGFYNDGEEAPMGCEPIITHPLAPHCSATS SSVADTSQSIPVTETRPRERMGQSFKKEANNVLFDGFSNDPTDALMDFESVIEHPLSS PIDIQAYCTLVYRCVHFKSRGPCSDFYSSPRSESEIREGARAALREKESNRGFFLDEF PCPPGKPSTTTLWTWSEPRKSFMPRFSKSPLDLLEGVTKVFGSYHFILKTNIRAFYPP FLLPMSFADLLKVTEGLYKSGGSVIIRAMRPQPWRDATIHRQHYWRFKRFGVVDLEAV PRSAMDEDDDDSASDLSDDDPAWETWDDYRSKASRGTGTRTGMEMGMGRLKDWFARR L198_02502 MVHSAPAPSVASWNTPLGTSGLSGGPIAGIILGVVIGCVIAGLL IAWRIKRRTRGRRVVRPVVPKISAHTSIFTKAPVVLLELTGHALIELSRVGNERKRQM LRDALGQHWGEDGKAPFRLAVRRSTLLLLEAMKQSRLSRKSRGRPMDGGVAGVTKMFG LYAHIIEDTSTMSNLSRFSLGATNLREDDLEASWPATRIYQDLYTQDLASSLAQSPMS DAYRIDVLTWKLDDHPEFKAALEGLVSTHSPKIRLIDIELLLVQAGAWKAYLSDLNSV ATSHPQADLQDTWVPPPEQPLGNNPLPFDECHIDTNVVMAPNQRGVLDNGVAQVTIDF ASTLAPMSVVSYAATLELSPIVLPSLQAKIASLQQQAQYQELDWCFQVDKPSYLFLAG DPAQQELHITIYNETGKMYTPVVKDDGIELAAVVARRRGWQVAMLTRDEILGLRMKSV GIWTFSPI L198_02503 MSLYDMTELKPHPSSSTSHHPAPPPPYTLHPTPSSAPCREPNHL ALVARTRPPHRMGKSYKNEPKDVLFDGYSDDPKDALMDFESVLAHPLSAPIDIQAYCT LVYRCVHFKSRGPYSDFYSSPRSENEIREGARAVLWEKKMNRGFFLDEFPCPPGKPST TTLWTWSQPRKSFMPRFANSPLHLLEGVPKVFGSYHSILKGDAGEMHGPSSSLQEVIA SSASRRPLLQIRRPLIDFTPPVLNGPSSSAPCQLPTACYVAISPIALLRFD L198_02504 MSAAASSSTRPPVVRPADMPEDKYTAINAYRQKVKEHSRMSDNL KTVRMNIRTLGKDFDRTEDDIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVSYR PTLPAAKLKPTTRVALDMTTLTIMRILPREVDPMVYNMSLEDPGSATFAGIGGLGEQV RELREVIELPLMNPELFERVGINPPKGVLLYGPPGTGKTLLARAVAATLNTNFLKVVS SAIVDKYIGESARLIREMFAYAKEHEPCVIFMDEIDAIGGRRFSQGTSADREIQRTLM ELLNQMDGFDSLGRTKVIMATNRPDTLDPALMRPGRLDRKIEIPLPNEQGRLEILKIH AKGVNKGGDIDYEAVVKLSDGFNGADLRNVCTEAGMFAIRDDRDAVVQEDFMKAVRKL NEAKKHETGL L198_02505 MSPPLHPTFAELAPVHPRILFHLALLAPSLVLSLSSTTYDEYIS VVYTHARLKGSNVKGYFAGWLDAVGWERVGRKWGPASNGRGGSKRKRLPSFPHLPTPL TLPPLSSSSAPPSPFLPDSSISLTRSPRDTRKYSALSHTSHLTLLDAPSLSTLCAAHV QILSHSPIIQTSSGSSHRSSAEEQWPLGSVRVLEAGWDLVWYLADSHAPVPRFESVPI CCIPLDVSTLIVNLGEFPNAELHGHPSLSSTASLMASTPCSTFPEGGSGAIKWMEEAI SELASEFRLSLLVLRVPHAPPSTLPSISIPVFRYPPFPAPVLRITFLPAPSASASPSP SSPSSPSAIIDERKRDEVVEDLAQRVVSYIRQVGNFPGPRVEFLLPPSPSPSPSHPSS PSSSSFSSDSAPGSTTATAERVRQRVGEMVGEGEKVAWRECRFLDA L198_02506 MPETPPSSGNATRVTRSTTTSTVKKTITVDLSLETLDQATSRVI NTDPNFIDNQTVSKKKSDRSKWGTITYHANKA L198_02507 MSESNTQFTSSVVSQGSSTVQKSVSVNLNEESIPDAIKRIADED RDFILGEDVPVTSSTQPGSRTSPFFSAQHSSFPTPASTEYAPPSDDPPPAYYSAENIF NGLRNNHQPQAGQSMSSDPMACYDGDEACSEETAVVANAKYNRSMVQVLA L198_02508 MPGGMGAGMPGQYPGGMGGYGGGGGGGMGGGLNPMMTGGGMMPG VQGMGGMAQGGMGNYCQALHNLGYDYNPPSLGYTAKADWSPWDLANAQYNGAHLDRGF FDNIVGLCREHQALKNQASLQDPLLHPHPHSFERPHTPPSPLRRTDTPRRTRFALALD SSDDPPAERNILPSTTIQKISTLTNFFTSRHLSEESARDAHRRVYYANEGADAGNKTL GGAAAYQAYLIWDRDHYSAYHANPSQENRERLVGLAVAELFSLWDRVMPRSTRASIED ASQYAAATAKHLFDRHYDIDRNYNNYTRSNAGGGSHYYGYRDDSGASDVEERNHRRRS MYGRTQPPGVMGMNGGLGQQMGAGQMGAGMGAGMGGMGMGMQPGMGGAMGGMGMSGMP GGMGGMGGMGAYGPGAGMGGMGGMGGMGPGMGGGMGGGYGGGGGMGGMGGGMGGMGLA QGMPPHGSFGEGQAAPGMHPYHYGTQSGVAWGNQPIDSGGNNTFLQGGGQRGFYGYGQ PQSRYF L198_02509 MAPKDRTSEFHSTLNSIKSRSAVPLSRANNSKGKQADREARQPL LGNGASGAGTGAGAKGAGGAGGGGGAGGTKSEFGRMAGAIAKDINTTTMKLQKLAQLA KRKTLFDDRPIEISELTYIIRQDIASLNTQIASLQSYTRSQKPASSKNANAGKQVEEH NSNVVMLLQSRLATMGMGFKDVLELRTQNMKASKDRTEQFMHTAASSSVPAPAENSLL FSQSGKGKSRAATPNPPNGGHSNTAQEGADFLALDIDGDRGESGVMRGDYQQMQLVEQ QDSYIQSRSTAIESIESTIAELGGIFSQLANMVAEQRDTVERIDADTTDIAASVSGAQ RELLKYYASVTSNRWLMLKIFGVLIIFFLVFILVS L198_02510 MDRRLFLHLQGGRQISGVLRGYDMFLNLVVDSAFEELGAGQRKP AGMVYLSFSVFLFDGFVLSAEGNRAERDE L198_02511 MPDRAQGSRGSRPPTMRENSNSLYSAYSPNWDTPRASVLFYNPP QPSQPNTPLYDDAPPVPPLPPPLPTPPALPPKDATVRDSIPLSGYTGSPDRTDFLAHL PEEIRGGGVYQGDLDAKVLAELLSPRKSSSIKSKSSRTRTKSRTRQTDTPPPLPPKPA PPTPSTSVYASSIAYSDTSVTTETPVQPRTAIRGRRETVSQAHAHLLNPSLASLVHLS QTHDAAGGDGAGVGGLGAALANLPWAEPPPRLPTPLQSPTTPITPSPLLPSPSPGLTP SYAFLASDPLPSSSLPHSHSRKPSTSSSFRSRPDSRLGPSDVPMSPSLGHASASASFA AHGFRDGYGEGNRLSNGSGYSGMADTWEGGGVTPYVDIPAEYLTSDWVDHPDPDADSH SGAATLGSSSHHPPSKTRFSIDPSSSGHSHTPRSFSLSRTKSSRSTRSTRSTKSTKST RSYYNTRRASTSTLGHVFAKRWEVRRYDDLERERGLRSAPLDGGRVGPGGRSGRQGEG EGEWDGHGGEGGEGGGEEEWMDLGSLVGRAVVLEKMLRGGKRLSNQSKKGSYRLSRFT SSSTPSAHRPSISLSSQAQAQSTCHHLDLPPPLPSKSVSRSPMGMNGSNTPRSSFTAS IPASIPASGTGRRSKHSSTTPSTTSASALAAKRRTLRDRLSRRLKRSKSREDTFTELG SSGDEGAGGEQKDEDEEGEGEEEDPGMFPDQLDAGDGDRPPRPVSKGAWRMSRRFSRL ATVTAGGATPREAREKEAKDGHVQNVCKEKEAKGKDRIGGPGVLVFPEELSPTPTPPP APAKDLHLISISTSNLPNASKKNKQGTGKHGKALRIPHCLTPTSPTPLLSDMEKGLGG EQDGPSANSNSSRGLVQGDDPASAPASAAADAAQVEPEKYHQSPHLGHRSPTWRHRQS ILSTLSTGPAWTPVLSWREWWTAQGGSKGKRKRRFLMLGAVGAVVLVGVVGGVAGGVA GRGDGD L198_02512 MAGSKYESRSSYHKGGTSASSTYNHDGSVSIAPSETPSQLDQTT TAGASRMTRSEYIAQHRRLKQARREQEELDKDPTFKPDRSALSSGIPMATLKQAPRLY AKAKTEDAEAVREKLERGGWTIMTTDNEAEDGYTTFSYVDTPFLID L198_02513 MPGSKYDSLRTYHKGGINPSTRQAEDGTICTSPSTELPITTTNR DRDPYGPSHVSRSQYMSSRRSKTETSQQAASSRSATAPELEQSTGLPMSFLETLPRST EKVKSSDASATRQKLLDSEYIIINEVKAGNGYTTFSYVDTPEFI L198_02514 MLSKYLLLSVLLLFSATNFAQPTRRTIYKRLSGVKIQSGHSGKC LAPDGGRNATAGGTISTVDCTDYGVGHWDMDYGSGQVVLTSTVGGDDILVMDAGTGKD NGESLTIEKYSNRTFAQTWYLTTDNRIAITDGNECMEMDDDDKVVTNSCEGGNTNQVW WLLAGDNASTLAPPDSTSVEAASSTTSGNADVSTTTGGSVAKNSGAATSASSSGASTS PSNGALVATAGGSAESSSATSSTISKVTSDVSSSGGEESPTLTSSSVSSSKASTASTT TGDAASSEDNVTGAASSDKEDSSATAKSDSESSSSAAATATTSSEVDKIETFHCLGRR RCAA L198_02515 MTGTPTADNGALSTSHSQTLDIKVSRRGAFGGEDHLVYTEEHGE EEFKPLRVRELVRPPVVRQWMEDGKLYREPSHHETPRIELFFDLLFVAIVHQLADAAI EEPGGKSVARFVLTFWPSFQTKAVPMMHLLHRVWVLIGMMTLIGYSANASAIEIHPEG EEEELDHSAVRAAVAFWLVIKLTRVVVLFYYALKLPKFRSAHIWKAVAVLVPMFVLLP LIWVTSRPAQIVLATLLIIIDIMRIDLIFLLIRGRIHAYRQRRQEGIKGLQAWGFKGW HRMPDVKGGGAVPVVNIEHATERLGAFIVIVLGEMVMNVVYTAANGEMGVSHEFGKAA LGLMVAWALNYLYMLPSEPSAEYEHAFRRSWLTGVCFNFFHWPLSASLVLASAACGKM VANDEVETGVHWYWGCGVGFAMLFIALLDLTHFDLAPGEARIPRSIRAILCLAAALSL ILFSFGTEHMPSTGILAITVGITWFTLAVSIYGQLPKPGGVTTQEREELERRR L198_08024 MPTRSTILFVGALTAVSLFLLTAHLHPGTEFTLPLFPQPDAQDG YFQAAWPALTEAENRHLTQQQCRDTYPDLYLEADRAHDWYKAKGGITRSMVDQAEKEA NARLVIHQNQLFVKVYKGGINTRTQAVIAGVYAGILTSPEPLPDVEFVVQTSDAGAGK SPIFALCRTASQKALWLMPDFGFFSWPEPGVGSYSEVRAKTLAYEQSLGLEVDSGMEV IKGDWENKTDKLFWRGSPMVEVRHDLLRAAQNQPWSDVKELNWGAINGDEKERLKNNG DIKSPAEHCQYRFLAHVEGWAYSGRLKYLQQCRSVIVGHKLQYIQHYHHLLNAQDNHP EQNYIEVPLPFEKNLGGVMEGLMGEGVREKVERIAENGWKGMRQGYISPAANDCYFRY LLHRYAEVQAFRPSIEGAAPYESFVLMGKTHWDPHRR L198_08025 MAPQQVETLVIGAGPTGLGAATRLHQLDRSFLIVDSADKAGGLA ATDIDDQGFYWDVGGHVVFSHYAYFDDTINRALPRDEDWQTHQRISYVRSAGKWVPYP YQNNVSQLPLELRVKAIDGLIAAQEHRAATPNEKPTTFDQWILRNMGEGIADLFMRPY NFKVWGVDTTKMQCKWLGERVAAPNVRAAVKNALTMQTAPNWGPNATFRFPTHNGTGG IWTSVAAQLPPASFRLGSQSGTVSKIDALSKTATFADGSQVKYEHLVSTMAVDHLLEG LVAEGKEKEVEGMKVAAKEGLVYSSTIVLGIGIRGERPERIGDKCWLYFPEDNAPFYR ATIFSNYSPYHTPTPSTALPTLQKADASLPFDAAPKDGPYWSLMLEVCQSADKPVDVD TLIAETVKGAVATELLRPEDEVVSLYQRRFDHGYPTPTLGRDAAVSSILPTLQNEFGI LSRGRFGSWKYEVGNQDHSFMLGVEAVDAALFGTPEMTLNEPDWVNGRRNTERRLK L198_08026 MSSQLLQDAIPAAMVKLEEGKNWAKQVPRRKVVIMLVAAVSVML LLHGLVAFRPTPDKVSIIQPIKSFRDSGKTLRRDSWISVSDYDIVLAHYDEDANLMRE SIDAVLQRLPEGKTHRTIIYHKGDRNKAGLRELLELADEVTQIPNVGREGETYLNHIA RVYNEPKTGLAGHTLFMQPHLAWHWVFIPRLEKLLRTNTGFLSFGPYINQTCGLDENA NSFPRLADIYSMFRNDFCPPVPQLATWAGQFIVSRKRILDNPLRTYENLRSKFHAAPE HWIWKEGWWNNKPSNPTLVARVLG L198_08027 MSPVTITAVQAEHHPDGFAISHPAPRLSWRFASSTFKGWKQASY RLTITHQGAKEQVYQVNSAENVLVPWPSRKLLSRGRARVQVQAFGQDGEGTNTIDLSF EAGLLLRSDWSAEFISGPPQDPELPKIPFRLVKTFSLPSLDPSSPARLYATALGLYSC TINGTPVGDHVLAPGWTEYNDHLRHQTYDITSLLHPGENTIEAYIGEGWYAGRLGRPG KRNNWGERLGWMGQIEVGGQVVLRSEKEGWKCLDGPVKRGEIYNGETFDSSYDYSHPV SSPIEILPFPTASLLSPDAPPVRRVQEINPIEIITTPSGKHVLDFGQNLVGWLRIERD FEGEGQVVLKHAEVLEDGELGMRPLRTAECEDRIILGGKTKGWEPKFTFHGFRYVQVE GINPTLKDFTAIVIFSDMRRTGYFKSDHKAINQLHENVVWGMKGNFVSVPTDCFLSDW LLDLYASQKTHSGVPPTVIPYVPPNKFNSQLPKPQAAWADVVALLPWDLYESTGNVEV LEQGWEGMRAWLDEGVPRGEDGMWSGDAELYGDWLDPNAPPQYPAHGRTDTHLVANAY LIHVTRLLAHISTLLSKPPSIISKYTSDAKRLHALFLHAYVSPKGRLVSDTQTAYALA LKFDLLPDEAQKKTARERLAFLVRWNSFKVSTGFAGTPILLSVLADGGMEDLAYRMLQ EKDCPGWLYAVGMGATTIWERWDSMLPSGKINPGQMTSFNHYALGAVAHFMHKYIGGL SPLHPGWKRALVKPLVGGTVRSAETSFDSAVGRYAVRWDVLPGEGGEGKMHVEVQVPP NGEARVVLEGVDEVVGGGRYEWEVEWKEDGRWPPRGIRGPQSVAMPDEYVP L198_08028 MSTVSSSNMGSAHNVFTSFDKSMSIKHPSHGSTENIDVQHLVNS EAKSISTENVPTGYILSYVTHGNRLLGSYFSDTHTHLYVASNAASSTPASTDTIDILS RILKGGFCFACHSESPRRVSSKLEVDIARLKEHDDKYKGFVHIKCGGMLPFMRTKRLV KKAAGI L198_08029 MSQQDSQEEAHYVYPDPSTLDEGNPLGQHPYYRTLQVQEEDDEE AYEGEYRYDDLEEYQYDNEAEQFQDHTGIQNSEGYSTSQQDQLTTTTSSHTNRSKPGT ACPCPVEHTSFLSRVEGKKTWIPSQGPQCPRTIHPESPYSLCYTCRERSSHTQAHVEK LTEAGEDTDDRLCQIFKAYNESDKTVRWLKYLNNESRENDGEN L198_08030 MPRSSPLLHQLRTFATSLRPIPSKRLHYVLPRPAAVSEMGLRFG GKRGISSTPPRYHGDLVRPEPGTGIKLIFRDSNGADIKTVEGNEGDDILSLAHEYDVD LEGACEGSVACSTCHVVIDPASFDLLPEADDEENDMLDLAFGLEDT L198_08031 MAQQYAEMDPDEIEQDAYEIDTASSSQTSTLTWINWYTSLTGHD YFCEAHEEFIEDDFNLTGLQSMVPFWREALDMVLDVEPEEDSSKIPDVSIVESSAELL YGLVHQRFILTKAGLSAMVEKYDLAHFGACPRVFCNATPVLPCGRSDMPGIDTVKLYC PNCGDMYTPPSSKYQNVDGAFFGTSFAPLFFQTYPELHSAPFVSPPPPPSSSSSSAPT PPTPHPHPQAHAHAHATPHPNANANPNPHGGQKPALGKVYTPKIYGFRVSEQARSGPR MGWLRERPANVDQLSQVDYRGRWKVGGGVAAGEGEGEKDGEEKDGGGGGGGGRGKKEK EKGRLFDEDEDEDDDEEEEEEEEDAGVGGKGGVGGKVVPESSVAGSGRW L198_08032 MDEEYDVIVLGTGLTECILSGLLSVDGQKVLHIDRNDYYGGDSA SLNLTQLYQKFRNSPPPESLQLGRDRDYAVDLIPKFILSSGALTQMLVHTDVTRYLEF KVIAGSYVYRDGKISKVPSTEMEAVKSPLMGLFEKRRARNFFQFIQNWKEDDPATHQG LDINTVPMKDVYYKFGLEAGTQDFVGHAMALWLDEEYITKPARETIDRIILYTASMAR YGKSPYIYPLYGLGELPQAFARLSAIYGGTYMLQKPISDIKLSSDGKFEGVTSEGETV RAKKVIGDPSYFGAGKEDGEGGRMRVVETGKVVRAICILKHPIPGTDASDSCQIIIPQ KQVGRRNDIYIASMSGAHNVAPKDVYIAIVSTIVETSVPEREILPGLQLLGNVLDKFI SITPLYAPTSSGQDDNIFITKSYDATSHFETVVEDVYDVWRRYKGGEELVLKKRDVEI EA L198_08033 MFKSATVLATLALIVSPVLAADYRVLVNKPDCQTVDQFKFNYET LCPVFDVGSNNTFKGVLVEAGDFSGDNNDTQARVYCTWTNVTDGSTYTLSNDVAISLG GSKA L198_08034 MAFPRPKPTTMETLAVERADPPFNVRKLAIKMHGSERALLLKEK FMAEIARHPAFKLSDIHDLSKEELRERTMEKFSSMVYFVTTESLEVFGLRMQLISMAD PSFWTRFGVAYGLFLGALRGGATPNQFSYWIDKGVLGLNGVIGCFAMTELAHGSNVAG LETTATFDRETDEFIIHTPHLGATKWWIGGAASTATHAAVFAQMIIDGKKHGVKTFVT QLRDTKTFQLLPGITIGDIGKKMGRDGIDNGYIQFTYVRVPRAHMLMKHTQVSREGAV TEPLLAQLTYGALLAGRTTMVTDSSTTAKKALTIAIRYAAVRRQFSTGKNEVETQLLD YPIHQRRLIPLVAQAVAIGFTGLRLTKMYEDMTQALDTMDPSDPNLGATLDKLKETHS TSAGLKAFCTWACLDTIDKCRQSCGGHGYSAYSNFPGMYADFAVQCTWEGDNTILSLQ AGRSLVSAWGDAMKGKKLVSGVAFLNDRSVLTATSDSSLSLTDIQRAWHCVAANVIKK AAEEYLSLMKDGKTKEEAMEACSQSRFVAAKVHVIGYIFGMFREAVEESGKGPETEVL ESVCKLYGLWQIEEQQGYFLKYGYYTPEQIDKVQIAVSALCADVRTVAVPLVDSFALS DHILNSPLGKYDGSVYESYFAQVQAANPTPKVHPYFERLIRPLLERQNEEMQDPGSEM GLDEELGAFAAERKEAAKGKLGKVRREEE L198_08035 MLTTRLLKIAIPKAESSKQAQQRVAHMARCISSIKGKERETCRM STFYCGDIPDEDIAPPSSFPPIISRLLSARLYRLATFHVVQTPSLAKDLALLESLSTH LEYNGAWRLAEGLRGISWKGGKARLGVTRRKGEEVGRIVERANQLGENRHQPPSSFWL GLENEAGLDDAASSGEQHALSRRISKRRSIPLSLASLPSEISKYLPPSFLYSSSCANE PEDHLLRLSHTLLAIISHQADFPSQGLDQRTKEVVVGLWIAYARGYLRGRRRNGEYTS EIEDGKEPGEGASGGVWGEKKGEGWDGREGSRAEGWDLPPTAETVMLLFRHISLLLAP PTIPSSHSSSSNLLPSLPITQSTQSTSPNIPSLPASTLLEPGQGTEKKKLLEEEGLEV DEEERRQQKEYEWDHLCRPLCRYTLRLLRLARSYHSRYPPVYQSPSEVGSMLAKPASS SASPSLLSAVSPPPPFSETALPLSAQHSPPPPPPSPFPSSPFSSSSPPTAPCLPQEIY LRRKAAWERQLAHQVGEYGKEVRGWMGARKGVLLGGSFGV L198_08036 MGATRANKELYFEKLRTLIEKYPSIFIVNIDNVSSQQCHMIRQA LRGKGVVLMGKNTMVRRALRTILPEFPQFEKLMPFVKGNIGFVFTSGDLKEIRETIIS NVVAAPARAGAYAPNDVYVPAGNTGMEPGKTSFFQALGIPTKIARGTIEIVSDVQVVA AGGRVGPSEATLLNMLNISPFTYGMTVVQVYDNGAVFPSEILDIEEKTLIDQFIGGIK TVAAISLATGIPTIASVVHSLVNSYKNVLNVSLATDYEFEGSQKIKEYLANPEAFAVA AAPAAAEGAAAGGDAPAAKEEAKDEESEDDDMGFGLFD L198_08037 MSSPQSNNTRSTIFATTILLDGCLPTLGFSRGKVEKEVEPPRME VRRSSLLSFRDDGDGGEEVQVPPPVEVAEAAQEYMSTVSLTLEQEQDALSRLTLPQWG DATTKYRRYTSEALTARQNQLSAIMSDVYSSRDAWPFNYTLHPKLEEFLHDGGQLVGP DDIAMDHKEYLKRSQRAVKSARGFDELCEASPDTVKIAFTTELNRPLQLVRAPSLLSP DGESGGRSLIGWSLSHPEVSIGCRVTDDSPQWVMRNEGAQKAYGDSVKADIEASEHDI KSLTLSPETTADDFAEYTQRYENSVFVKAAYLTAKRLTYVDDGECTDEGMLREQMETD GEDTADVRLVDGHLVKAAWLNPCMYAVVTGQLPRERGFYTSRHEEYKNSIKEGLAVMQ SELDQQERRAETADVGSSAATTWGTWSM L198_08038 MSSNPETGDVKSEIIITTILLEGSYPTLVSTDGASGRDLQRQVE HPLIERRLTLRSSSTNENGEEVLASPAHETVVAMGQFMARVFRVCDERQYESPLPILP QWRDPSGRFRQYTNESFARHQNHLSEAMQNEYASRDSWPFTYRVHSDVEDYLKDGGQL TGPGDMPRRPREYADRSRRAVKSAKSFDELCAVAPDTVKIAFTTDVLEPFKLMRASSS RSGEEDDGRSLDDWAISDVKPSVECRITDDSPEWVKSDKRVQDAYINSIFESIDKSFT PEPAPLSRDRTADDFVQDTQRYEHILFEEAAFDVAHQLSFTSDQERTNEEEVLRGGET GDASSEVGQPVDGHLVNVAWLEPSMFELFCGLPPKERRFYSKRLVEYETSIKEGLAAM KNERDHQVTGTGDAVSSGKKTWGTWPQRQEDLSEAVGAPDVAFARIELSQ L198_08039 MFRWEECLEGHILIGLIFSTFAFVCMIIVTFSTPFIKTIYFLFI TNTNGDATHYGAFGYCEEESDSCSSSKVGYEPPGPQNVDMPEWLLKTSILFGIAALLF FIAWITLILSLLRFKKFAWNPVYFRTSCVLAVLSAILAEIFALVLWVRAKHDFQGDGW VGWEAGYGAGLWIGLVGCIFGGLAAMIGGPAYQGRFMYRAHPGAAYNV L198_08040 MPKPIPQPVAPFVNPDVEEERNVHEVYEAIAPHFSQTRFKPWPL ISAFLSSLPKNALGLDTGGGNGKYLPVAHSHGLEMIETDRSSGLLKCARGMGWGSSPD STPVNGTDGQKQDTGSDGEDKEGGQKKENLAECVRGDLCGNIWRPGTFDFAISIAAIH HLSTDERRRHAVKTIMKPLKLNKKGPYSRFMIYVWAYEQGEASKRKMGSAAGPSLPLD SASNSEPTEAVEGAKERVQDVLVPWVLAPKKGETGKKVKQPRVRAGREGKQGKKGALA GQEVATSQLPSESTTSPSETTDKPSQTSTIPETPTAPEPQPQPLPADEPAPQVFHRYY HLFVQGELQALVESAAREEGYKIVPSVPYSTTEGMEAAQVGLEGLAIDGDAGEGEERE EGKKWMKVRGVGWEMDNWWLEGDVGVW L198_08041 MPSSSSAPIVVSDDSDNDTRPLVAKGKQKAAPSKAVKGETRVES SGTLDLDARDALKTALAKLDSEIEEVVDQLKPLQALHTSLTAERKALEQQLSGSSKTA PSNTVVSSTGSIDYQSSSFPFTNAIQQTLKNVFSLSSFRLCQQGVINAAVDDRDIVCV MPTGGGKSLTYQLPAVMGRGLTVVVSPLLALIWDQVRGLKEIGIECAMLTGGTSTEEQ NDIYARMKDGPQKGEKEIRVSQDHPEKIAKSKRFVAILDKMNQSGRLKRFLIDEAHCC SQLGHDFRPDYKQLSMLKTLFPRVPIQAVTATLSSKTLPDLLKILQLPPITDGRSAKS SGTIFFSAPLFRPNLHYKVIPKPSNAKGGIAAIGAWIQEHHPGESGIVYCLSKKDAEN VSDDLKQWSNGDIKTGVYHAGIDDHQKERIHLDWRRGKINCICATIAFGLGIDKGDVR YVIHHSLSKSLEGFYQETGRAGRDGKDSDCALFYRGQDWTRLAGMVAKDVDGLSKLHE MVKFAQDLKTCRKIAFAKYFSASSHLSVSAWDTADTLSSSSSGPSGGNGATTSCGICD NCLRPPDSVSTKDVTLESWKILSIMDEVQRQHGRVTLQNLGSLARGLGGGAFPLVAGS ERRRGRGKEKNTGEKGEVDVKDYGGKVDLNADDIEILLTHLMLLGCLKESFHATSFSV NVYVNASSTAIRLSRLSHDAVESGNSPVKIECTFPTAAPKEKKKAVRKKASAGTGKRK KKGDGADDEEEDEDEDEGESPPPKKKSAPRASTSKTKAAKSSKRVSEPEPADDDEEGE DPDEYEAYLQDLVAQQEDQDGGEDDWDEMMGEGWKDDGGWKVLGAGAGPGPRSGAAST KSKGGGRRAVVISDSE L198_08042 MHHHYLNTSVTREATLVWVVKNNECASDVLSYELAGYRDLEGLN VYIQIYTAASSGVTTPLFEQAQIQAIPFSTNDNSPISTPSDEKTL L198_08043 MSSPQSNNTRSELLATTILVEGCFPTLIATGASDDGHHKHVSYP VIDARAFVKSFQSDGHGREVSVPVPIEVEEAAKEYMDMVGRVCERLQSEPPLPQWDGT ITAFRQYTNRAHTRRQNQLSEVVPHVYASRDTWPFTCRVHANVQELLEDGGQLLGPED QPMEPSHYADRLTRTVKSAKNLDLLSHVSPGTIKIVFTTHLTEPLRLVKKDADSSNNE GEDIRPLHDWGLLYPQESVECQVTDDSPEWIKRNRAAQEAYRQSIIEAIGDSTDPGTS VELTVETTADDFVQDTKRYEQLLFREAAYITANQVSFREGETHRVGAPSIDTDDLEME DDEGELVDGHLVKAVWLDPSMFAVLTGQQPKGREFYSKKFSEYKTSIEEGLATMRREV EQGRSEVDDFVPSGAREWGTWTQLSDV L198_08044 MTIAVNSIPATKYEAHYDPKKVLTHPDFKILEEGAPELDSREEN IACTYNPQHEVKMVRKGKVEPGEGEVTVHVKATGICGSDVHFWRHGAIGPTMIVSDTC GAGHESAGIITKIGPGVTQWSVGDRVAIEAGVPCGLADCGPCLTGRYNACPSVVFFST PPYHGTLTRFHNHPAAWLHSLPDNLSYEEGSLCEPLAVALAGLERAGVRLGDPIVICG AGPIGLVTLLSAHAAGCTPILITDLLPSRLAFAQSLVPSVIPVLIDRQDTPEQVASRI KEKAGVELGLAVDCTGFESSIRAGIYSVKFGGKVFVIGVGPSEQSYPFGYCSANEIDL QFQYRYANQYPKAIRLVSSGLINLKPLVTHRFELKDACKAFGVAGDPKARAVKVQVHD L198_08045 MPLTIDFSNKLVLITGGGRGIGLAISTALAQAGADLAITYTSTD ASPVADKLSNEYGVTVKAFKCEVTESEEVDRVVGEVGKVFGKEVDIGVANAGVSLWKD AHENTDGNIFAVNTYHPYYLSRALVRSWLHLPIPVSSSSSSSFSSPSKEDLDIPSIKG LNLKKQILFVSSISALVAMNPQRQTAYNASKGAVTMMAKSLAGEWSHLGLRINRHDRL SPDATAASWVSEWEKRTPVGRFASPAEIGEFIAVLLSEKMGGGGFMVGSDVVVDGGYT VF L198_08046 MFWRTKNPYAPAGKHCYVTGGTQGLGKALAISLVKQGAHVTIVA RDADRGEAAAKELQALGKSTQIIQFISADLSSADESDRALRDALVPHQGLAPEYTFLC AGFAQPKYFVEYTKEEIQSTMSGNYLTSAYTAHSLLSLLITQHRHGRLTFVSSFAGLT SYAGYSTYSPSKFAVRGLADALRSEMQLHDGIRVHLFVPCGILSEGYEREIKTTPGIT RKIEEADTPLVPEKCAAALELGLKKGHYQITDNVLTDIVRLRTNGGVPSNNFIFDLFF LFLSTFAVPIWRMMCDSTVRAARKTIHQEYAQRGFFQGSGTGSAV L198_08047 MGSTIPSITSLLAQYPTSLALLVLLSSILFTMPLWKSKKPAYKP EGKHCYITGGSQGLGKALAESLVKQGAHVTIVARDAKRGEGVVEELKSLAKPAQIIQF ISADLSSPTDSDQALLAACIPHKGLAPDYIFLCAGFSQPKYFIENTKAEIKWGMDGVY LVSAYTAHSAISLMVSQNRTGSLTFVSSFLGYTSFAGYSTYSPAKYALRGLADALRSE MLLHDIAVHIFHPCGILSPGYEKEMMTKPDVTRKIEDGDKPITPEESVAALESGLRKG YYQITDNLVADFVRLRANGGVPSNNFVLDCFYLFISSASPLPHLAC L198_08048 MAFTTEVLNPLRLVRSPLSYEDDEERSLEDWGLLDAEASVVCKV TDDSPEWIKRNRGAQDSYGRSILKAIGASFNPRSTVELELETTVDDFVQDTRIYEHLL FRHAAYTTASQVSFMKDGVHTDESLLHAMEMNGVEADEDDTIVDGHLVKAVWLDPSMF DALTGKPPRGREYYSKRFLEYRDSIKEGLATMRREFEQRSEEVDGFVTTEAREWGTWT Q L198_08049 MSYRRDNETRSELLVTNILLDGSFPALSSTCAEGQGSNKDEPLL LRHVDPPLIETRFSIRSFRENARGRKVPVPVPTETEEAMMKYLDTVEASIKSLDKYAE PSKIQWGDSAAAFREETHRVYTRQQNLLRNTMDAVYIEENKRPFTYRVHSTVDEFVDD GGELLGRNDQSMDWTEYEERLERAVTSAQGVDAICAASSPDVLDMVFTTEVIQPTTLL AKTGEGETMEWRVLDPKATVACLLIKDDFPETFGNAPEAYESYCQSIFEAMENVYLRP GTNLELGPATTAEDFVQDTRRYEQSLVRQAAFASADLMSATGRSQQTDVVLPREKGKT NDDDAAQDSQAVNGRRVKVAWVDSSMFDVLTGQPSKEPHYYFNRISKYESSIEEGLDR MRKALGDKGEEENDVMVLPERTVWGTWK L198_08050 MGFLTNFITKKEATVAVTSTAEPGTVGNVNVLTDKDEIPLEDTA SADDKKALGLEEGNGEPDFEGSVVNEDKIDEDAQPGVKTAQATTLSWSRTSLVILYVS MFLLYFVNAFQSSITGNLTAYVTSGFSAHSLIPVISIVSNVMSAATYMPLAKALNLWD RVWGFIIMAGLATIGLILSATCNNIAVYCASQVFYGIGFVGMIFSIDVITADTSRLRD RGLAYALTSSPYIITAFAGSAASEHFYDSNWRWAFGCFAIVLPVVATPLIWVLWHNKR KAKAAGMIQRVSSGRTPWQSAKYYFIEFDVIGVFLIAAGLVLFLLPFSIASSEADEWR SASVIVLLVFGVLCLAGFVVSQRWLSPKPFVPWRLLTNRSVIGACMLDFFYQIAYYCW YSYFTSYLQVVFNTSISVAGYVSSIFDVVSGVWLIIVGLLIRKTGYHRWLLMISVPLF ILGEGLMIHFRKPGGHFGYIIMCQIFIAFGGGTITICEQLAVLAAASHNDAAAVLALL GLFGYTGGAVGNSISGAIWTHTFPEALQKYLPTDALADWEEIYEDLEVQLGYEWGSET RTAIIDAYADAQTRMLIAGTVSMAIAWIFVFVIKNQKVSALKQTKGLLF L198_08051 MVALTAFLPILSLCALSASAVNLQINVKHPAADCGAGCKDILTS FSFGDMDTTSTSYYGMYCSSDLWRQSLALCMETYCTGAEARAGWAQMAGYCVEYGDGN DFGEEQTYYNAIADISAVPTVNGLDADALLVTYNETILLREGAWLAGYKTELVWDLTY IYHNAFGWSIYILVGLALVAGILRRVSDAYRTHLITKTGRAVDPSEMALAPQGFFGKL ETRYEKFLGTPALIRKKHVQSFAWFSLPTRLEGACIAFYVLLNFLYCFVGYNLFHENQ YWDTYSAQLTRYVADRTAILSFFNLPIIWMLAGRNDVILWLTGWSFSSMNVFHRWVAR VCTAQAIIHSAAWTYQERDALATSFQEMYWATGIFATVTMSLLLPFSVKPFRKKYYEA FLIIHIVLAVATLTLLFFHLTVYDGEYDPFMWACVGVWAFDRLMRYVRIFYLTFKAAK GNNATMVATGGEHGLIRLSVTTSLKHTPTPGMHYYLYTPLSITPWENHPFTLASWHEE NGATVLDFLIGTQKGATGKMRRMVRKAENGVANLRVLVEGPYGHTASVRRFDHALFVV GGSGVTAALPYLHDLKQRTSSNGRCVTREATLVWIIKNNEYAADVLANELAAVRDVEG LDVNVQIYITASSGVTTPLVEQAQIQAIPYSTDDSSPVTSPTAEKTLASAEKSLNSSS SSTSLAPKLSGKEGVRAGRPQMRDVLSTSLSKLVGSETLCVLACGPGGMMDDLRAAVV DAYGTEEGKVGPEQLSYFEESFSW L198_08052 MYKFYPNDPTRAAYRQSENDLLPAEKELVSDFWHPPPLGRSPPP KPSSPSGRQPLRAYFDIEIKWTKPQEAALVAANLAASTRTTWTLHPTSTARLQQGPKW NGRMLKGDLRGWWGLMGLVREGAQGEPQEQGQDSRPLASSPPANPMRAMTVRLDLVEA AGQGAGVCAIDLTGINSDKEDG L198_08053 MSKNDAPLPFTSARPLPTRQQTTGTLKRGKTLTRPERHIAPAPL IAPPEAYATTAPASSTTLDWWRLWSYATTFWAPPVVLGWFGIKEQQSRQAWREKVTLC WIAVVMGGIVGFVTMGLQRALCPEDQQNNSSFLRLGETDLTVSISGWAFNISSSLTQD DVDFYSLSTQMPGQDVTNLFIRNLTDFPSCTSDLRYSTTPLCASSPVTGITENGTLQA CPLAKLSDTVLSDLNVQNTSLQEGYSWDQVSALDDYMVLDGFVLNMSPYLEANPTAIE GDVVDAIIRQMTSNQSTSGKDTTRLFYNTPTAREAISCLQERYSAGKIDKITPGCFVA SLFLYVSLGVILSVVFVRFAMACVFNWFLSARLVLPPKNLSRTAISPAVMPEGANVSV NNRSGTAPWAGGAAVPTKNKLNKLGKPSPSRGPSPSPSPTTTRQNTNNPLISLSRVGA ELFAVCLITCYSEGEDSIRGTMESIAATNYSDARKLIYVVCDGMITGAGEKRSTPDIC VGMLDADPRFGNPQPMGYIAVGSGAKRENRAMVYAGHYVTRNGHRTPTLIIVKCGMPS EAHEKKPGNRGKRDSQLVLMNFFSRVTYNDRMTPLDFDMFRKVQTMMGVTPDYFEVVL MVDADTRVYPDSLKHLVNCMHHDNMIMGVCGETRIANKRQSWVTAIQVFEYFISHHLV KAFESVFGGVTCLPGCFSMYRIKARREEDNDWVPILVKPEIVNEYSQCEVETLHEKNL LLLGEDRFLSTIMLRTFPRRKNMFLPQARCRTVAPDTFSVLLSQRRRWINSTVHNLME LVRVRNLCGTFCFSMQFVIFMDLVGTVVLPVAICLTIALIVSSIITPPTSFEEAIPLL LLAVVLGLPAILILITTRKVIYVAWMLVYLLALPIWNFVLPVYSFWHFDDFSWGETRK VEGETVGKGHDDSTAVFMGTTIPLRRWEDWEKSRLRKIRREEKRRRDMERQFGAGFHG GPKSPNGNAPNGGGGGGGGGGLGANLGVGGPKAWTRSEYDSDSGSVFSSEEDMWGGEV GGYNENNPSFPPPPIALPPNSPAAGPGETIGMDEMAAMLDSGFDDTAAPPPRTLRPLK NPTSPLHRHQHDFNTPPAAQMRFNLSDTQPGSYVSIDRQGEEGEGQMPVSGGSVSSSV ESRPQGGGHAKKRSGGAGKGYGPLGPLDERSYPGGRGR L198_08054 MAKGAIFLPTTTPASAQPRPKAAKWPLLLTFTILASLLLTSRPF SSTAILKTLGVHKSEPARREDLCKQAEPTVPKGYNTSAILEDREKIIDRLIGAVQIGT ETFDDLGKYGEDTRWDGFPKLHRYLEEQFPLVHSKLLVTKPDPGLALIYEWKGSDDDL KPVLITAHQDTVPVLPASLDQWLHPPFSGHFDGEFIWGRGATDTKSSLIAILSTLEHL LQVGFKPERTIIVGFGNDEEVGGPYGAVLISKYIGEKYGKDSLAILIDEGNGLISSYG QNFATPAVTEKGSTNIQLTVKTLGGHSSVPPPHTAIGLLSLAIAEIEAHPHGLSLPTY SAIYGFLECAAGHAGDLPEGFRKSVLRAAGGDEKALKRLPEAFVEVGFDGVPAGPGQG NVLRALLGTTQATDIINGGVKVNALPEVVSAKINHRINIDSNSSAVTSRLLHLLAPLA QTYNLSLSLNSSSSSISPLKPVVKGGAGEIILDDGGRIGEAAPISPFDINNGVWDVFA GTARGVWASRKEVSEDGSVVELGAGDDLVVTPFLPLGNTDTRHYWDYTDNIYRFIYRP SAGALGAHTINERVNAKDLVELVRFYTTLLLNFDAAKDVSRK L198_08055 MLRGLPISKLIISLVVLGLARACWYYVYLESPYDYYYRYCNTGC AGLDQSEDDFKSCCMPFTSGQATPAVCTSLSSSCAAAGTTCSWGSVPTTDAPDTSTQV TDSAYTPPPYTPSTTAAASATSSCASSSDASSCAATSAQPGVVTETSWITSTTTTPCA SSTSDAATPTTSEEACDCGDDSGETSDAGAATSSNWEGNTPPADFSADWRRRRGIMRR GACDCASSTFAAPSTTSAPPTTTTSAPATTSEEACDCGETGETSEAGAETSSNWEGNT APAGFSADSRKRKRGVMRRGACDCPTSTSEAVPSTTEAPTTTDTPTTSEAAMPTTSET ACDCGGETSDAGAATSSNWEGNTAPAGFSADSRRRRGIMRRGDCDCSSATDTPTTSEA PTTSDTPTTSEAAAPTTSDTACDCGGETSDAGAPTSSNWEGNTAPAGFSADGRRRRGI MRRDGCDCGSASETPTTSDTPTTSDASTPTSSDTSCDCGGENGDAGAETSSVWEGNTA PAGFSADSRRRRSKMGVMRRGDCDCSGQTSDAATSTDGGVASSSYAWEGNTAPAGFSG DNRKRFEPRNTAPAGFESDNRKRQYEGIVRGANVGGSENNKRNFNMVQGADTTTKDKR NVNMVQGDRSSAGGKRNFNMVHDKASSSNDEKRNFNMVAGGTRTVAERDLPTGFSRYY KRETATTTTMTSTTWITADGCGSATDSSASATDSASTSEATSDVAAGSTSSEMDSSSL PAETSATSSDDSAASPTTSEAASSSVWEGE L198_08056 MSHREFSDSLQTVLAHISNRDASLHSLPTLPTPADITATLRSLP ASLPDNGLGTSSTITHLLENIFSGILQAQNGPRYFGFVIGGVTPAAQLAEILATSYDE NVQVNLGEETASVAIEQRVLELVLDLLQIERDTFMGRTITTGATASNMLGLSCARNHL YSTSSTLPPNYSFSQDGPPPSPFPIKILALHPHFSISKAASFIGLGGGPSVIQSMPAQ EGDEVAFDLEKLEERLKVESVPGEKGVIVVYGVGEVNTGAFGGDLSGVAKLCREYGAW LHVDAAFGGFAGLMPELRRFTQAMDQADSLTLDAHKWLQAPYDAGLFYTRHTSSLTSV FQPPSSSAPAYLSGQASSSNQEGDPSIAGTVTGGDIPSPLFVGIENSRRFRALPLLAS LLSLGRDGYEEVITRNILFARKVAEYINASPHYTLINTTPSSPSASSQPIIPSNIVLF THNSLPTPTLTSKINGTRKMYVSKTVWRGKGAARLAVSNWRTGLEGEGDGDWEVVRGV LESIEAGEGV L198_08057 MPVVSSDHVHPSEGAADSPIKKSRRGNRFQPIVSCLECRRMKWK CDRNFPCNNCKKRGLESICPNGQLSGAKSDRQQVVEMQTRIDELERELHLARQALPTS STNRPHSHPPDIPIPLLPTSAVPSTSTATRISPEGLGDGAKSAGKRADHGQLTLGETP GISRFFGGAGTQYLMHSEGHSSPASATVPSPSLTMLSSFLPGASKQLSLAQIQSYLPD AITTAHLSGIFFEHGCVNYDIIRNDSFEKIYLAISNTSSSSSPISSARTSRGDENNQH LALVLLVLAVGAQMDTSLPPYNEVGEGLYHLGCIALAHDVSSSITLVQAIIIMSRYES NSGRTVAYEAFWPILGLGIRCAQQIGLHRDGKNWALSDDEVELRRRVYWEMYQEDTLQ SMSQGRPRGTKESTVDVVFPMLDPDSMTSIFSRFKYRVSLIFARINSLFSDVKSVSFD QVLALDKATRSLESELPPELVFGSNLPAHQATRRIMFVHRSYFARVLRESQAEPLMSP YSFSYVAELESSRIMIDILKSAMAVDPDIAGRYWIFWFHAFMAIHNFSVSVVRSPHSS LAQAALAQIKEGVNLYESVGKGYKAYSDLPIVRQLLTRASDAIASPGTTHRLDAPFPA DLLGVGTTLRRAKDQPLPSLSTSTSNTRSLLPDLETFPTTIADAIAYQNSLLPTPADP TLASSLSRPLEASGVAEGSSLGHEENSDWPSWGDGSVVGENEGSLEYDFDAFLASIIG VDPISLAGLVYDE L198_08058 MSTVDRHPTTTALEDEKDLSTTHEKDIVVAEENPEYIEFLALSD LYQGDALNKLTKKIDWHVLPQLVFIYLLSYIDRGNVGNAKLFGAMQDMKMDSKMWNLG LSLFFITYAFAGPPCSILLKKLGPKLVLSGVLLGVSLILICSGCSGSIASWFPLRLLL GLFEAGMYPGCAWVLTTWYTPDQIHSRHTIFYCGACLAGAFSGLLAYGIGQLDYTWGY RGWRFIYIIEGVFSTCVAVGAYFVLQETPAKQGGWLDDQERRFLVLRNKFAYGKDKGG SADTLNKKDVLAALTSIHVWILSFGYFCVGCWVYGLSFTIPTIMNNMGFTAADSQALS APPYVFATFCVVASGWFSDKYKTRALATVLPALMGFIGLLICVLSVTHKHLVALTYVG VCLAQGGSVCLSPAFSVWTSLNAAGSGKRAVAISVAVVWVQLGGLVGSNIYLANESPA YHTGFGVSLAFLGLGNIVTPILYWFLIGHINGKRDKMSEEEIYEKYTAEELQEMGDLS PLYRYER L198_08059 MTITTTTPARKKITILGSGVTGLTIAMELCKDYEVEILARDMPN DPDSLGWSSPWAGAIWFGLDGSNAWEQAMQRVSYRKFIALAEKEPESSIVKTGFYDYQ DKYDKPDETHLWYTDLPGFRYVTGDEDRTKKGITMFYQSIVLNPHKYLPYLRQKLESQ GLTFTRHHSSSLSSTHAAHPSDLLINATGNGSKFLIDVNDQNCEMVRGQVMLVKCDAK DVHIRHGDQYTYVLPRGDGTAVLGGIKETSLEPAPQDSLRRDIHKRCHELLPEHIPAS FSDLEIIRDQVGFRPQRNGSVRLEAEQKKGFKVVHAYGVSGGGFVYSWGVAAEVAKVV ETTLTE L198_08060 MADLVEKITWIEGENRKDCVSDEIKERYLAFDGSWKYEFPHVGP QFWLYKDHLGKIIQREEAEAARELDKRREVYLKDFEEYGYSRYRKTWHDVLCRAQGVE SLRLIQQGKLSHLLEESEFACHHGKKSADQVFTVFHIFDANWAYFIDFHHEKMEVWSE GMLVREVTFDMLRGNPDCMRDFNWFKMRDTDWYEMPDTHWYHKDDDRDEDVF L198_08061 MAGFRDLKNARQSRHKTSYGISSSTPKAPISPPSQQSEETTQDP IDASTLTPSRLKPTSESTSASTKTEIPKMAEGKKSEISSLYADELKNASLEVWDIPGR GRGLVAGKKFKPGSRILKTPTATSVLSTPHLTTTCHGCFLTPSEKKIILASSGKGIDS AGANVKLNRCSGCKTLHYCSRECQLTDWPSHKSECKALSRLRTMYHLTYPSRQSDASD VRWAGADAIRALGRICWTRRSKLVERDGGDWWTRLEGMESHVKQMQPEEAMKLGNQTQ HLAHYLSASDPLQPDSDPSLLEPADMQTYGFNSVAEVIHLCSAMKVNAFTLTSPSLTP IGISISPLLALANHSCAPNAVAVFPRGGGEIDLVAISDIAPGSETSQRRTTSANPNCS TATVSPVTVLYASSPNAGKEEEEGMKNGWTLGGVSGTLGAGRKVLGGYLVAITSTGKV GTKCNSCGDKFDVDNDALKALIQQGYELLEKEENGTLDPSPALQTLSTLLPQLLSLSP PSSHPLLALLHLSALLLSPPKSPSSLSLATQHLALASSASFLSLPPNHPSTAVTLAKW GKLLSLDQVRLPSEGPEGAEMLVKRLEMATVVLRKALTAAELGFGAEGGVVGLEMDGL VKGCEGELGVYRAKKRGLV L198_08062 MADHTVIVQFKKASSPEDRKKAISELTSKGAKIVSDENADSTSM SPSHIKSNRLGGKGKNES L198_08063 MSPIAPRRRLSAQSTRTPTHIQDAFIGVGLRLGPQPPYDPATQQ DPGRDLEHSAVIHDGTGVIDSETFHTVFYTEGKDEAGLAEETKRTMREMLGVLRAVQT QRKINIRMIALAEPVPSELRSQKGVEFYPTLWLHLDAIPLLSNPSTSIFTKLPAPSTV ASATAAISAGATHSATTAGVDPTDHHVQVDADGQIKLCSIVQYQQSSSEPLWKRFLSL SSHLTTHNTSIAFFSATPQGGGVALMRHALIRLWRMVGLDVKWFVPEGHPTVFDITKT KFHNVLQGVSPEGVEINGEDKKWFELWTEQNYESFWSSGALDASVIVIDDPQLTALIP IIRKYRPDAKIIFRSHIQIQSDLTDDPSTVQARTWDYIYSFVKDVDLFLAHPVRFFVP KNVLSNLPVLYMAPSTDPLDGLNKPFGRASVRYYRQYFNSLSEAQCGVKIDWDRGYIC QIARFDPSKGIDILLQAYLEFRQKLDRSPNPPLDGGPQLIIMGHGSIDDPDGTWIYEK LHDTLNTPAYELVHGDVAIVRAPPSDALLGCILQGAWVATQLSTREGFEVKVTEAINK GVPIIASDAGGIPLQVKPNKNGWIVPSGSSAPVADTLFKIYTGELRVHRDISASSPSP SSNENGKGGNRGQDGKSNPNSIAQAWVGNFDQAARKVHDDDGATSEDFWTVGNAVRWM LLFDRLLGLPLPKPKPEEGEAEGREVLEKMGVGKGLVKKGEEGGNVWKMVMGDDMVEG EGELI L198_08064 MPPMSWRRLKNPEYAEYLALSEVYQGDNLKKLTIGIGAYFFLQK SPDKQGGWLGEEERRFLVLRNRYTYGSDKSGNSDKLQKKDVIAALKSIHVWAMSIAYL AVGVAVYGLSFTLPTIMNNMGFTAATSQAMSTPPYVFASLCVLASGWYSDKYKQRTLS TVIPSVVAFAGLLICVLTVTHKHLVALAYIGVCLAAGGAYCLTPAYSAWAGLNSAGTG KRAVAISISVLWAQIGGVAGSNIFMAKESPAYHTGFGTCLAFVGFGNILVPSLYYFYV GYVNKQREKLSEEEVYAKWRV L198_08065 MTGLGRSSWSREEYPVEKQLPPVYTTGQGQSDKREEHIVGKENP EYVEYLALAETYQGEKLKNLTKRVDWHVLPQLAFIYLLTHIDRNNVGNARLFGALEDM NLSSEMWNLSLSLFFITYAIGNPPFTMLLKRYGPRPVMSGILVTVAIVLVCSGCAGSI ASWMPLRLLLGLFEAGVYPCSVFILTTWYTPSELHSRNTIFYCGASLSGAFSGLLAYG IGQLDYTWGYRGWRWIYVIEGVFSFVVGVGAYFVLQESPAKQGGWLRDEDRRFLVLRN RYAYGHDASGNSDKMKKKDIIAALKSIHVWAISTAYLAASLSVYGLTFTLPTIMSNMG FSRGKSQAVSAPPYVFATICIIISGWVSDRYRMRTLVAVVPAIMAWIGLLICVLTVTH KNLTALAYVGTCLAAGGAYCLNPAYASWVGLNSAGAGKRAIAISLAVLWAQIGGVAGS NIFMGKEAPGYHTGFGVCLAAVGFGNILVPCAYYLYIGHTNAKRGKMSEKEIYAKWTQ KELREMGDLSPFYRYER L198_08066 MRASPHSSTTPAQNSYTSEEGHGMYGRERSRAYSEHPTPRGGKG GGSRRQREREWDGDAAYYPDDDRRSRRRGDRDRGREREWQRDAWDQEREREGGRGGAG FDPDGPMSGVNAIRPRGSTGGGGNLSVGPLLRGVGLYLTTDLMDLRENRAVLLGTVKS MAGTAIADALHTRVQVVVVNIDPDWPTNLCLLVQADDTDTFDVCKEYNNWTTSNAIRH LAQVVGDLREGEVKWRKRVVRKEWLSACRDSGMYLGEKEGFGGWEVKATWDPHLASRP NEPYAGEDGEHQNDEYHPGERENVQGVDDLHADNNGNASPSRLPLDIPDRLLSMAQPR PPLDPSPTKPFDPRIKINGTEPYPSPQDSAYLPRATLPPAGSPINIRRPAPQLSEALS GLMAAPLPVLNGGLGNGHGPPSRAGTATGSAVGSVGSGTVGTRKEGLFVIGGMVPLTF FMVEPSRAVEIMIKTLGAGIITLPHVAQIHLFPIPRLTAPQNPDHVSALEALRGDEGK VAVSQEWVLDCCERMEVLPMEAYLVR L198_08067 MPGVNQISTPSTLHSPTRSPRKSSHSRYYPTPLSMSPNANHNSL HYPPSFDPHHTSSRYPSNQGHAHSHSRSYSHSSSHSHRTAAPQGTYSVYSPYRPGEYG RSERGVMMGDRTGTGTGVERKLFVEGDEVQEEEGGWRGHRASSPLAPAFEPKMVLRNG ASIDLISWLRTNFIHSAPPHNAITPPMPLNGIRHLLLERFPRAPEVSEIHKAVLAAFP HSQWDYAENNSEPPSMRGLKWAGRDVEEDYELEEGYEARYREGANGAVNGAARQSQPR GRISNGNGTKQSRSPTQSTLVSPISSSKRPLPDTPARSVLEEFAEIATLTGKTPGSRT KSLPGETLAASPDSADLAVEDGLLLKGKKRVATDSPERSGGHQRRASSSDKLHGLLAA AEAVEGSPLTTILPPNATASANAHKRRRTIGGSPPAREMMAFPRRALSARGTMSPPPV NGMGHGQRGSGSGNGLGQVEENVDYVVPLNDTAFAAPASASSSSSALPGPLTATANGK PTSSSAAATPSRTSRKLNELPTDGDRPGFDCKPPYPYHEMIRHAIEQAPDRRLQLNQI YASIAERFPFFKTLDEKKTAGWQNSIRHNLSLKKMFVRVNKIDGIPDDTAGKGGWWTV IPGVPDEGRPGRKAKARKAKELAARQLLIDQQAAEEAKGLDEARARDRGGEHDGPEPS PAELARMDRERMMDMERQREREREHELRQREKREREAREAMAWVEQQDRAEMAERERR RKEGKENEPLLAGAGGRVLMGEAPREYVAGDKRPEGWMGGMNGWA L198_08068 MRFFALFTAAVPLLGYALAAPFGGITIPLDTREAPIPYSPDCGL YFHSSRSSGTTSQTSVPSLPGKFSKADANALLDTLKGLFESSMSELAPSSAKWFEKLV A L198_08069 MSTFPQPFTSTVSHWQATNRGKDSLFGHNKDKALPGDVVDYCVV GAGMAGATTAYRLTRPGVEEGKRVVILEAKDVASGASGRNGGHCAPYSFAALHNYLTP QAQGGVGLDMEEALEALDLERRVLIELREIVEREGWGEKVDFWSGEKVEVRVTPEGAE KMDKLYALWSSARAASRFKDIPLEWSWTHDPATAQATTRMKNAQGFSKGPAGSLHPHK LTTAFLKSALSSPTSNAELYSWAPVQKISKEDGLWVVDCEERGTVKAKNVILCTNAHT PNLFKGSDIDKFLTPFQGQAANVTPPPSYSGSKALDNTYTTDNGTYLINTTHAGIVHG LYQKTSLGKGLMERKDVWGNVDDSVVHPAARKWLAEYCANNFEGWEETAPGEGGMRFW SGIMCATQDTLPLVGQVPKEQVPGGDSQGLYIAAGFHGHGMGRIVLVTKYLVDYIISS KEGEGQWDGGFPKSYVISQERLERGNAVEGYLDEGSNRLD L198_08070 MSSPTPPEVAPSRHGRNLSTASAASITSFVTPKSEAAQRMSKTS TIQSTSINPKSYLESVLDHSQLAMAGVVSSLMLCGIMYSLLFDTSLNTSEIHHTHLPL RAAYFARKSNIFNVLFVKRSWAWTSGVYLLHLFSSPRLFSPESPGGSWPRRLGAWVIA TSFWAVFAKWFFGAGLGHRIIALTGGNCALPIPDGISPELARTTFSKLFTSGLTPAGS ERLYLQVPSEYCHGKPITSSHLPELFGRLYQTSNRFADAPGNILGEASNKHESLVPLS RPRWHLGFDISGHSFLLTLCIMLLARELVPVWRSWSSNSKRSSSSSSNPGALGVYHGI VGLLGTALVGIWMWMILMTAVYFHNPPEKLVGLCVGLLASGIINILLPPSPPPSPFNP HTTPTKPLPLHFNVLRPTPSRSSFNSLSLSRSNSSKSATLSRSNSQSQPGSLPDLSEK GQVDLAGLDEKDFVPKGYNENSARRGSVVDGGIIYEMGDDSFEEDEPKGRGSPVGSRK GQ L198_08071 MPVQDYEKIVKLACKPKNAPPKAKVRCSALIAATYGDDRTLEDI IQALAFRLRDNNGVVVFKALLTLHQMIRTGASEGLLEILARHDVLKLRGLGGRGYQGY IPPASLGAYADYLDARIRSYRDVKHDLIRVQTESNRRSDGLGAASKARRLRHLPVEKG LLREVKQVQKLLDALVRCKFYDDDLRDENTVLALRLLVKDLLVLFQAGNEGVCNILEH YFEMSKIDATDAFQTYKSFISQTDRVVDYLSIARKLNHILNVPVPNLKHAPTGLVKAL EEYLEDPGFEQNRLEYKRSLGVVEGRGEGSNSGAAAGAAPAARGSSPSKPKEAAKPAE SLVKPAAAPPGSSQKIQDFFESIQADSQPTMFGGPPQQMNYANMTVNQQQFNPFRQSM MYPQQTGFMQPQQTGFLPQQQQPFHQQPFQQQQQGGFMQPQQTGAMAFGNRQSIFPGQ MGAGGEFGAMQPQQTGFIQPQPQAQPQQHQQQLQPQQTGFLQPQSTGSNPFRQSMMFG SQPASPFGQGQGNAQIQRPGSTPAFGSGSPFAPQPTGAGSSPFKPTTGNAQPGLSASP KPLQSQATGSKNPFAPAPGSAPAAPSASMQQQQQERKPTMNELLMGYGQGQGQGPLFG EGRQQQGPGQGQQGQGQGDGMSSIASQFAVGEKSNGASTANGNNDIFSQFSSLSGNPT GSTSPSSQPASTSFGGLSSNPTGATSLSSQPTGAGAAGFLQPQQTGYGGSNIKPFKPS SSFGNTLMESLPPIPEPGSGAGTPGQGVTSPSAGGLSAQATGFPGSGQGGFLQPSTTG LPQSQSQPQQTQHQPQSQFGQTLSPQMTGANPFRQSTMFGAAPGAAAFGSGGGSLGGT GAFGGGAGGGLNPQLTGAGAFGIGSPLGGQGQFGLFGQQQQQQQAQQTGQAFGGQQQQ QGGSLI L198_08073 MSFLRSVSLARKNQDSEASPIPQRAPSISGDSSPRAHNSSEASS IADDSSITPSKNNHGSKRKSMFGGKGRRRLSSLFSSSSTLSSYANDREGTSSPQPEPG PSNSITSSSLTFVRSNVTGSARSATAGAPAEGGIEFSQRGLSNLRIGTVTGEVTESPE ASPTVELGSAIGARDAATKRTRRDSMWSQWELEGLGEDDSSSDEDDGFLTPSEGLSEV EEEDEDEVEPNALIQSAHLAAIAAATTIVLTASPSDFKVEPINVEKGALVTDTKNISG TGASTIHRSLPTMTETRVRRHVSHRPDAATVDQSAVLAKDIETCRGAIKLLLTSRMNE AEDFCQEKAGEGHHLYLQSTMGIIEALKGMMTFDSVNLHNSLDICKSTSITASTLRRA NNNVMHRLGSLVTSGGGLARIKAMTPLERHAELVYAEQSLLKAMLAIVAGGDWIGLRR EAMNVHAAHGIYRSLQQYLEDAEKHGYDDDIDMDFRSGVLLGTGTSSLMLSLLPGKVL KIAEVFGYAGDRTVALNTLMAVGGWTSGVAEPSLDETNEGLRRPICDMILLAYHLVIL VLIPISGIDVPLARNVLAYNMKRYPDSVFFLYFQARLHTTQCQPAEANQSLQKALDLQ LEYVQLQHMCLWDYACNHMMMGNFKGALDCFSILKDESNWSRAVYTYAAAACIVEMVE DGHKGASLEEADKLMRQISKLTKKIAGKSLRIEKFSSRKARKFESQGGRLFLPALELT YVFRSLCNTPRRSHLDVHIPRLNAMLGKLEVGEYEYGSKNGKEYWDDYVLGHFLRGMC HFIARWQPKDAIIPEKNVSPEDPSDEELDQAAEKDFKAVISHGPDVQLDHWVLFHCHY ELGRLYAQRGNDELAKHQFEIVMSGKLPDHNPYMAKAAGKYSFEGALLLKTHAALSAV KEREKERSGK L198_08074 MAERVLAGEDESGFTHLASLDASNAINRLLHTYLSRVAGAVIHL EPPTQTWQRRNDLRVRGGGGALPNIIYDLKVYGLDDKNMYVVQGGKPRDTEWLEWVQG RCVAWLGKRDEEVVSHQPRIYAGGGAVPPPRSFCGRFDGRGDGNGREELEEVQGEGGL ARIAV L198_08075 MSATDSSASAIPPSTESVTTSPPAPKVHDKWGDTSCDTILCSSD GVQFYVQSYMLMTHSVALRDMLQTVPKPVPDDGAPPQRKIPFSDPEIEDSSAIALFLS ATELEPLLPRVSSFSHSLIPTLEWTLRFARKWDCPIALRVIEDWLCALACTTNTIISL KFYNPLDLFVLSSLNQMPIPASMVIQHFKPHNSQHPSSPQDCAQYSIVKRLRRWGRLG CADWLSPRNFERRAWESIPGDFLWALHHCRRGTAEGMHRAKEFLRLIGDERWSYKVAG TIGDVRPSLPSTPDPDRAPSIHTQWNDIHCDTVLISSDNVKFFIDSYAFCGQSSRINA LYKEAMASSHSPQSPTEIALIGPHGESSGAIAVLLSALNLKPITDVLKAHKATFISAF SGALRFARQYECRMILRVMEDWLCSMALNSDEGRQFFQPLDCFVLAAQNDMTLAASML LEHYRPHPHPTDDKASASAAAVNCRPAVVSTDENNTQTPRWGRLACQCKLNIDHLQKG AWEEIPGKYLWALMKTEDVEQGQARSKAFSDLLGGGKWHFDTSFNAIVQFSPYASVRT YST L198_08076 MGGAVFLGCWLMGGSWLVGVLGVVSHLSHWWFLEFVESPHMQKL YGNRLRKDGGLTKTLKSNLPNSTAVRQAVSKHPRVSRVVSEVNRGIEKVEERVRGGVE DFLDHARPMLDGVVDDARGLLQQSRERMIITRVAKPKDLTSFDPSSYSLQIPTSSSSP TPYPAFHVGQPIPISWNAPSNHSSKDWIGIYRLGSCKSEIVTKISSVGKWVGVYEEEW EGNEHVTPESEGKKADAGMVVLKGKQLPWQPGQYEIRYHHDGKHNVMSRLAPIELYVD KPRASRSSRVSSPRRSSASLSSSPSKSVKTAEIKEEVGVKEVRETMMKLVCLALEGEE ELVPKAAKGKAVMRLASVPTAASQVSPGKALNKPTLSYTPELDEGDEGEDGVEADAEV EDQGSLLGSGVAGGEKRAGKAGKVDKKGKGKKGGVGIEDEVTRTKDTFSPSNLASADN PHALDHLASLADRSAVPQRSILGLADNDSTRDPGIDRTVDPDSDSEPEADDFTIMTET QAKRIASLAKHAFGVELDADVVVSEANVGALARRVVGAWSLAGV L198_08077 MHFSSHQLLRLFLKPKFFLRVRRAGGGTQAPVNENGEIDENFWA NAAAERAEGDVDNDEFGSAPAPFESQFFQDDDDGYDGGADMPAIMDYDDEPLITYDEN GLPIPVAGGDGGEEDLLAGTQGMELKRARPETVHFAKKAKRVDVKRLKDDIWSGLKTL IPDGPPPTDEDGDTAAVDAELDKDKAEPVQTFNNIITSLRTTYPAQKMSDISTSFCFI CLLHLANEEGLKIESARNDGKDSEDVGCMGVLGEDGMPSLEDLTRAGRTEEGERNDRV IGELEALKVYKVRTCYVFSER L198_08078 MDLSNLQSTLPPDLADAQRDIGDKFRAAAASIAALYKSSLSVTK QGYNAGYSQALIDLLSTVQSSIGEGQDSAQALSRLMDWADARQAAISAFAADEAEDTS APSSSQPRPQFPHRQSHLIPTRPASAPALDRLGAGRPPAGRPVFGGEGDVTVNGRVGT SRLRGEGDATPSRNGALSTYQPTPAPLLSSPLDSPSSHLLAPTTKPSRGLPIRFTSSS SSSSSLSHQPQHHAESSSQNRLDTPPNTLPSSTFNPSLPPLPAATSAEWPNMEGAQDG APGQDYPTGAKRPIVESMDVEEPAVAAVPVGISLAPGSAGGGTRTQGRAAKRRSMGTV KDDDEKVERGKRGRGRHGHGGGGAGTGL L198_08079 MTGIGDNNGQRQPNFLQKLYAFLALSPHPCPDVIYWASDSRQLV IAHPDRLAKEVLPKLFKHDKIASFGRQLNIYGFSRLFPGRQFKDASGNVSNASVWAHP TLHRLSTPEDLSTVKRRAPPKLVRTRRLANGDIVRTRAGPAILEKAKEVREVMRESRR KRVDAWVKNNSNDTPASNIHEQSEQPATPIAPITAMHRLSAPSQPDMPQSRLPDIPEM PEGQVHRIAQVPPLPQAQPPTMMFHSQNQSPAELAVSNHSLSLMSPPLTSRLYHSAPA SIQTPGVLPSTIDEWRAFLAPSLSLAAPQKEEQRGISMPRHGSLQIDAALANASIGGS CTGDQSIAAPAADPPTPFSSSIDRPVFTSPYVNSQSLANSIIPTPLPTPRFFGSMDST SSAYVANSPFTTSTAQLSTTSLSGVNDDMAGVGMRRDSEMSNVPPPLPHQHLRWSQWI RE L198_08080 MTLLSLFTTSHRSPGSPDQSLAPPFVRPPVAPSPHREFSPPSSN RHRTISHSEGDAENGGIHEDDVPLRYLRNSNRTSKRSEKDRPSPLLLRSGVGYPPDAP KRFPSPTDLSENTASTERSDFSPFPLSANPLLPHSAEETGEDDSQERIIHATDEALGD TEENTSLLPKENGDVGMSLMAKGSNGGARWCKKCDGWKPDRCHHCRQCDRCVLKMDHH CPWVGSCVGYHNYKSFLLFITYGTLLSIYSVFKTSYETYRFFSDPDAAVSHRRPSSSN STSQEYSLIKALQNDIGLSPAVFMMLTILGVFIGLSVGALACFHWWLAAHNQTTLENI THSYPSALLDLPPPHSPSSPSPSSPQPRDRNTSSSRQPYQEPRLWKPDHMLTRRERER LRHDAKEINVYDLGWRKNLRRVFFGEGEVGAWGILAALWPRAGRSRDARAGHYFEFDA KAFEKLKGVTMELRYGVVKDELEDSDTEESEGEDEDGWEGGGDGVVASEDRDGGDRVR TV L198_08081 MVLTTPLLPSSIPLLPLPPPQVLYPFLRTTFHLSQHQLDTVLDA LLGPEDQGGDEEVAVVRGRIVAAVPVGDGDSRVGRWACAARVKAVERDGDAYRMVVEG IARIYLPRSLPPTLSILPGSPITYSDYSIPLPSPTPITASLLPYAKRLLPSQVHQRLS AIPPPLMADLLVTVLQVEWKTRVELLGVPDGDARVEKVRDLMKDMLLERGMAIPVGEG QSREDVKRIPVVTSRPPPAQRASSSTAEDDELAPLSRLFQSRQSELSHLASSTLAREL KRLKGIMPMSPEYGVVKTYVEWLLALPWERVDEVDVMSLEAAREKLDEDHEGLRDVKR RVVEYLAVYRLKRQLFLEQKQAAIKESLEIKENVASPVNVSDERKVELLPSSEQSSAS STPTPTHTHASSLAVKDNEDGPPNDTYRDKGPILLLVGPPGVGKTSIARSIAASLGRK FHRISLGGVRDEAEIRGHRRTYVGALPGALVQAMKKVGVANPVILLDEVDKMGTSNYN GDPSAALLEALDPAQNWAFHDHYLGDVPIDLSRVLFIATANDLKPISHPLLDRCEIIP CPGYLPSEKISIALKHLLPRQIEEAGLPEGGVVMGEEVVGRLVEGWTWESGVRGLERG LGGVCRGKAVEWSKHREGGGERYERRVGEEDLERYLGPSENEVEEVEEMKPGIVNGLT YDSSGNGGVLVLETVAVPGGKGELEVTGQLGEVFRESVGLCLTWVKAHAVGLGIPTSK FKSTDIHYHAPAGAIKKDGPSAGVGTVLALVSLLTDRPMPRGTAVTGEMSLRGRVLRV GGIREKVTGAFRMGMTRVILPRSNQPDVERDVPEDVKKKIKFDYVGSMEEALETVWGK GIWAGEDGGERGRRMVGEGLEARL L198_08082 MSSSQQSYQDTSSQHTDGAADSSASKPRRGAPRKQTREKVNRHN AGKAARTQDLETQITELESKTDATNTENAELRSVIASLRSEIWSIQEEMDGEMVEDDE GDWRV L198_08083 MSSSQQSYQDTSSQHTDGAADSSASKPSRGAPSKQNRECARRFR EGQATKARDLETKITELESKTHETHAENAELRSVIASLRSEIWSIQEEMEGEMVEDDE DDWRA L198_08084 MAHYQQLATSPSHPDAEEQYFPPPKPTPFRHRLRLLLPLVPIAA LSLIALALLPSSTDLLSPQTLAPHPSENEHFFTGDIWAHNDLVAAKLERCASLGLLRN TSRPLHQRLSDEEELELQREGCGTNETTVVILSSLWFAESFQGHSPTGETVYAQSIIS SLNALGYSYFFSSLGWWNSDMRKTTELWHKYGGNVRMVISDPGQLDLCWNDEGQKCLK TEENPEGIPAWRMLAFWYWDTPGNPLGPKFTLSPSPLNDNFFLSYSIEPTCLRLPFIP HSSRPKPPQAYLLAKQIDYLSPFSHNDRDPYAQFAWTLPFLSGLQEEFNISVVGGMRD DNETMRGLVEESGIRNLGELGVVEFYEQLAESFVLVGVGQPRISPSPWDALCMGVPFI NPILAWDEQDPENRTKWHTQQWHMTHMDPPYVYPTQAHNLSALSLSIQQALENPLEER YIPEHMTFGWVKGRMGEVVEGDWEGRGREVLDEWIGGGSGEGKVFVM L198_08085 MPPSNWISLWMAAASIVVAWDVAYCLCRPRSFAGGDLAWIWKPD NNYGEIDYIYGWKAYNEGDGFTAAQATLNLLEVTLAVIYLYLRHSSPRHSSAPYHSSA LLVGFASALMTWSKTVLYILQEYLCGWCNVGHNDRWTFWCMWVIPNCSWIVFPGLITL ALGSHILSALQRDSIAQHPSAPSPSLLVPTPAGLTKAGLTKKGKPALTQRQDPNPTHT LPLTFHPHNLPTLIIGSNKLAASRATTFLSAGALVHITSPLPLVDCAKEVQVLVEGGK VEYERKELGTEREWREYLEEKDVALACVTSTLISPSTPSPSSPSPVSQIHNACLSLHI PLNTSDSPNLSTYTFPSTHRFPSHHPSTPSALTISVSSGGLGCRMSGRIRREVVSSLG QEVGRAVDNVGRLREKAKAFSKRDLLPLDLPSLENQEGPLNSPVPQIPTRSLSPSSSI HSSSSAPFPKALLSESEQQLRRMRWVYQMSEYYSFEHLARMSEDEMDKALEIWGEKDY GGELPHHLPSDRLSQSQEGEEEKPVKKGRILLVGSGPGHPSLLTLSAHHALTTSTLIL SDKLVPSQILALIPPSVKVHVAKKFPGNAEGAQNEMMQLALEGALRGETVVRLKQGDP FVYGRGGEEVLFFRKHGFESTVIPGISSALAAPLMMNIPVTQRGVAESLILCTGVGRQ GRAVQLPGYVRSRTLVLLMGVARIQQIVDTLLSPDSPGRDGAAFPPHLPIAIIERASS PDQRLTLSTLSRIAPSLAELDERPPGMIVVGWAALALEGKGRVDILDMPEEGEEAMVR EWLAEEGREEEGWKSGEGWKVREGLNEDWKGILSGVV L198_08086 MVHDTSEPPPPPDICDSRPYSNQISAFTAARSTTSVIHSDPYSA DGKDGDHSTFTLLSTSNIQQYGRQQSSQPKRMARGAFAPLALVFLLALAFLPIASATG SEVDPYTSYPPMKATPLLSSLAWVYNHAVSALGIDPAVIAAHAGNTKRSLDKTGIVEA CMIPVLVLLSGMFAGLTLGYFSVDPTQLQVLSISGTAKQQEYARLIMPVRKDSHLLLT TLILGNMIVNEALPVVADGVLGGGIVAVVISTALVVIFAEIIPQSICSRYGLLIGARM AKIVRVMIWIAFPIAWPIAKLLEYILGAHHGIIYRRSELQELIKMHAASGEGGGDLDF DTVQITQGALNLAKKSVKEAMTPIDEVFMLPIEAKLDYETLGQVVRSGHSRIPVYQTV EVPDINFAAPSVGPAKTKMVKKVMGSLLVKSCVLLDPEDATPLASIPINTIPTIPFDE PLTNMLNVFQEGRSHMAIVSRRAKRVEPEIEDAQSVMTAAAGGLREKFMRKMGMGGSD SESSDDEVDVEKGEKKKKRRMSKSKKRRGSGSSTDETAVGPTPETAPATEASEIKRKA QDEELKKQKSREGKEKKGSLVQAAKLTQLEQTVPADAQMSNQAVEKFFDGLEGAPLGI ITLEDVLEELIGEEIYDEYDQHGAPLSAASAFVPREAMLAARKATLARQQLAVAQSTP LPPTNDADIETAAPAPKGRVMGKLPALSKFSLKKPLSQPGRARTLDVTTTPSGTPPPS AAGVVATPAEEKASFARGTVASPAEIEDKPARRSSAPFDTAETATAVPARLLPGSTTA TTPAPQPKLLNEALLIERERRRLGTASQPTAHRSVSAGPGGSRQATPPMAGEARLAPP VSGAGASTSGQGVVSPQPHYAGKKVPKFKSVPTPIGTPPIAEKKRSDKE L198_08087 MTLVSSTRVRLSPPPQSFHGDDSDTSIAVDVYFTEAASKKYVPR SIQVDLEPGVVDLVRSGPLKELFRPDTFVHGESGAGNNWAKGYYTEGAELVDPVLDVL RQQAENSDCLQGFQVLHSLGGGTGSGLGALLLGKIREEYPDRMLATFSVFPSPKVSET VVEPYNAVLSTHNLVENSDITCCIDNEALYNICTADLKIPMPQYPDLNGLIAKVMAGF TSTFPGVLNSDLRKLAVNMVPFPRLHFFTAGYAPLVAGANKSYTSSNVHELTAAIFQK RALLAAVDPAFGKYLTVSVAYRGKLSMRDIENAVWDFHNKNSEHFVPWIPNSSLTTLC EVPPLGQKAAATLVANTTSISEVFKRSHAQFRSLFRRRAFTHWYTGEGMDEQEFTEAE ANLADLCIEYDQYANADLEEEEYEVEGEYAQEGEYEQEGVEGEQVYEE L198_08088 MPDDTPIMHGRSTDAALQRRLQALPPELLLVIIHWLCLSPTIDT LCPKLKADNVEAFYGELSDRRRDRQYEDHHRKIEFYFHRQVVPTYEVELNDYIHLTPF LSKLFLLKHVKTLAIGDDSTLDETFRVASHYPDYLRYVRRCIFKTPEIKKRLEAALFQ EVEDVEIEIEDITEMKLQWASTLSSKYIFPKLKNLILHLYSDFPVGTLDYRVLVKTLR VCHLQTLAIHGLPPDSCARFSADMGEEGAKVIEFHPC L198_08089 MSKLSKFSQEEKQRGLNTMVDYASSAIQNRARALIDVQRRKRHI DETTDALSQLASIKSADGTPVADPETDYKVLTLDDAESLLLMAGIRKPGEGRGDERIP HYHNWDANTASQWSKRIHQLSDNLEARRSMYVMGNDQSGNTIKGAISAFADVLHEVSV VNDHEHDFKFKEALGFGRARHMADGLRSHLVMETTLPKIANQIHSYLSQAHSANPETL PSARSKKQKLWDQSLSEAESSIAYMGSTGWQVDPDDKAQLEQAVERSHTLLPKKSEKA VQKIEAAFLLDDYSGYYDNTTFNETRIGDLEEHLEHKLAEVAHQKFVLDDGWKKITGF PVDYVQIEQEMNEEAEAEAVQGSQQSVATLSGYGIPQDILFQPRALEFTEQKLQRLQD TFEYSSDISAYRPSAAQSHVKNIITLKSDAVSQLDAFLKAKADQVDSKDVPRSQLLDL YESKKRSLTGDRESRLKSARMTTALSRYTDIERKWENLTKSAADRILQKVADARDRLE QISQETQDIQDQSRYCRAQSDFATINALINESFQPAFDSKASKKSWLNEIQKDRTHAK SSLEKSWRLPRAASEPSLATVKEGVQTLMIDESLEIIEPSLSLGKEAEQETATARPWK SRFNWADEVEGDADTTFDEELKEWLAAEAQRNGRETAAVASTPASELHEAEPATSNVS APVVTTNRWMRSDGKSAAQYLYEMSRASRR L198_08090 MSTSNQILTRFASNYDILSDIISFLPISDLPAVLCTCSLFFSVA APALYRSIPISHTCNPFIVADEEEDKEEDEELWHETTSIHPLSHVEQVTIQPHPNTFV DTFD L198_08091 MTSPSSTIAIIGAGVFGLTTALHLSQRGYKHVTVYDYQPYHLNA YNPAEGCDAASADVNKVYRCSYGKETEYQDLAFSGRPIWLAWNAQLASTPAHLLPPGL SPSDTLFVPSGFLRISDGQGLSAYDADCLEALREAGLREHQHVSTEEEDMKRLEESDK QDPSSNWIRKTQALSPLTSPLPPTPSPTPTPSSTSPTTTPETHPAIPSEPRPYPLATT LDTSAGFTYADKSCAWARFLAEQAGVKFVLGPEEGKFDELLFDEPGPGAGGAGGAGGG GGGEKKVRGLKTVDGKEHLADVVIVACGGWTPSVVPEVEGLLETTAGSVVTVQLPEDR QDLWDKYAPENFPVWAYGLTGHESPEYGGFYGFPRTQEGKIKIGYRGRKWTNYQVNPK TGKELSTPRTAYTPTPATNLPLRALALIKHIIALALPDLADPSIGIAATRMCWYTDSV DNSFVVDYVPGYGEGLFVASGGSGHGFKFLPVLGKHVVNALEKKPDEFTEMFHWRTAA PDDKYANGLKEDKETSGRDLGSMKMGEKVDWLF L198_08092 MLVPALLALSLALPLVSAEHLSDSLQSRVYDVMNQISTHSWENG TKAQAILEATYPAYSVFSSSAPLPLPADFSDDDIPELVDIARITMQQRPETNHSASAR GGSTLLSDDAAGDPASLGITILLANASTLDAQVNGVGYSEAAEAELNYLLYDVPRTSS GAISHRADQPQLWADSAYMVPPFLAYYASLHSNLTLLRTAYDQISLYRDALQQDNMLW NHIEGGSGTTDAMDWATGNAWAMAGMLRVWATFYWSGFRDQLESQMSDLEDWIDEIFV ATTPYITSNGILRNYITDNSSFEDTSASALYAAVGLRLSTLNISSTHLNTSLTLLSSA SSYVNSSGFLTQTVNPLDFSHQATDSGSPEGQAFVVMAYAAHKDWDALGREGRGGDDG LGETSGALGLMRFGLGGGSVGWGMVGLAVAVGCIL L198_08093 MSTGNTGTDITIDEVDYIDEKGTCFRIVGESPVSQYERIPLPDL KMWEGGGWGKGRRKIKMSERSQLDQERVRAITSGEPSSSQQAGTMTNEQMMQFYNSMT PEQLQYYGGYDTQYGGGSSMTLSSQQEMEIMGQVYGETMSNQPSSMASYNPELDEGSA LAQQFGELWWQSSEMGKKKRSKK L198_08094 MYSPIPPPLQQQSGYPRRSTSSAASHDYTAFDPYGRSNALSPAP SIANNRNSMASTYTTGSSMGPSAGGQGMGSGMGVSDGLLRGPLMRQAGGNPQAPCDDE DDPDDYLHAFTPSELARHNVDTPFMLFSWRGWANMFTLFVMGAGLVMIFAGWPILSWW QDTYGGTTNYSATAGYNIGGINASGQYPEIAGLPKMIDDDTPSWAYTREGHDGGEWQL VFSDEFEKEGRTFFEGDDPFFTAVDIHYWATGDFEWLDPSAVTTKDGHLVMTMTQEPI HDLNFKSGMVQSWNKLCFNKNAFIEVSASFPGVSDIGGFWPGIWTMGNLGRPGYGAST QGLWPYSYDSCDVGILENQTYTNGTGPTAALNTGSNSGQLSVLPGMRTPSCTCEGEDH PGPNVRVGRAAPEIDIIEAQIVIVDGVGQVSQSLQLAPFDDYYQYDNTSVEQYNTSIT SFNSYLGGTYQQAASSLTTVPSSIYYNQDDVEGYEGESGQFAMFGLEYQAFPDERDRG YITWYSDNKTSWTVYADAIKENTKTEVGRRIIPEEPMALIFNLHMSNNFQNVDFSNLK WPNYHRIDYVRVYQKADRISVTCDPEDYPTADYIANHLNVYSNPNLTTWAEGGYENPK NALKDGC L198_08095 MSNNNSKGWKDVNQDSFRFYDPSTNAFISSAPESVPAFLSASSL AYDTDMDNDLLWPEEQEGQQLGPEKVDAARQRVAPQATSWAEEVARVREAVGIDAQNP IIERQLSYLRSRPSRGVDWMRDASEWNRKVVSDYRDSRPSVNLTNVDVTQLRFWNDRA RLAAWAISCGNLGISVPDTVMARMNESNADFNDSLSELNIEGFPNLRPNDLTEVMPIR AMIVSVDLNQGTLRDIQEGALEVVTEQQLEETRVANATIRRLYYQEGWADLNPEFQTL VGSWSESLEALRSAGITGLPPAL L198_08096 MSVHDENSSVVEEEVEEEVVEDAKDGDNSSTVDEEDVDDAEDSE EYIDGESSDYLYSDSYLFIPAEEQGSTMGRQTVARHKTVSRIDRWLANVTQASHDTLP AHPDPGIEGQLSYLRMRPSRLRQWRSDMAI L198_08097 MNSPTHSQSGHEPLLAYASHPDQHNSHRYSDYSYTSNDFGGMSH RNSGVISPSPSLPRVDSEMSHTSAYSANPYSGGGFGPTANVAGGVSTANVRGPLLRQA GAPANNQPMPSNEDDDLDDDLHTFTPGELRASKISTPFTFTSLRGWLNALTLFVLAGG GIMLFAGYPILTYYYGDDSSSGSATAGYNIGGVNASGQYPEIPGLPSMIDEDTPEWAH SRTGADGGEWTLVFSDEFEKEGRTFFEGDDPFFTAMDIHYWGTGDLEWLDPSAVTTRD GHLVMTMTQEPIHDLNFKSGMVQSWNKLCFNKNAFIEVSASFPGNTSLGGFWPGIWTM GNLGRPGYGATTDGTWPYSYDTCDIGTLPNQTWVNGTGPAATLNTGSNSGPLSFLPGQ RISACTCEGEDHPGPSSNVGRSAPEIDIIEAQIILDEARGEVSQSLQIAPFDDHYQWN NASDNFRMYDTDLSYWNTYLGGTTQQALSSLTRVPRDIYYNQEGESGKFGVFGVEYQA FPDHREDGYITWYSDNKTSWTLYGGTLAPNNKTEIGQRMVPEEPLALIFNLHMSYNFQ AVDLDHLVWPNYHRIDYIRVYQKPDRISVTCNPDDYPTAEYIENHLNAYSNPNLTTWE DAGYTYPKNRLIDDC L198_08098 MDLLDDRRVKCVRPLIPPQILHEELPLSLRGAQTVLDGRRQVEA VIKGDDDRLLVIVGPCSVHNPEQAVTYAKKLKEYADAAEDDLVIIMRVYFEKPRTTVG WKGLINDPDMNNSYQINRGLKIARKLLLDITEIGLPTAGEFLDVISPQYLADLFAWGA IGARTTESQVHRELASALSMSVGFKNGTDGSIGIAIDAIKAAGAGHTFLSVTKQGLSA IVETEGNASTHVILRGSSKGPNYEEDEVAACGEKLTKAGLPSKIMVDCSHGNSSKQHQ NQIKVASSISTQLSSTSSTTSSSLIGVMIESNIYEGRQNVPPEGPVGLKYGVSVTDAC VSWEQTVGILDELRAGVQGRREAVRVKREAEERA L198_08099 MSQSSWPPELKAWVQTCLTRATAANKEAVSNELKQVLFTAHAQG SINTTDWTKMELQALKAQTNRTTYMPQPVFPPTTTIPPVASTSTLKEVPSKKKKKKND GPGFPSPYHFATTEEEEAKARRAARFQQPAEPAPQPQLVGVAGFFDRGDNMTMKKKRL ALGQMGIDEDMSNDPNVVDWDQYTIRGTSTKLEKSYLRLTSEPSPSTIRPLPILQQTL DLLVSKWKQEHNYAYAVDQFKSMRQDLTVQRIKNDFTVKVYEIHARIALEAKDLGEYN QCQSQLRQLYELGLNGHPNEFLSYRIIYMLHTRNQSDMASLMAQLTDAEKLDPVIKHA LDVHASLATSNYYRFFCLFLEAPNMSGYIMDHFVERERMSALSIMSKAYLTLPLQIIY RTLAFDTEEETHQFLNSHSAAVYTNAHQHDQHGFRTATRDLVWDCRKAHAACQKGIEK YRVVELKGQVD L198_08100 MYSTTPPLSRAASYSIPATPTGASQTPSTGTTVDLTQRQSQYDA SQVSGQVDPPKVAKYCVWSDADDSTMVGVLTDAKIDGQTSDNGFKASVWTKVINAVNP PTSGKPKTVRSAKDRHGHLSRDWAVMRHLRFQNTSGWGWNDENCMVTAPDEVWARYLA VGRLR L198_08101 MTHMSPASLLFASMCTTCVMCYFLWHSWSFDKWKSMRPTRRRAF KCGITWAYLLANGCFLTWSVFLGYIKYNVGYQTIPTTGETVPTPFVLWPQHYQDVTPA LYYILVGGFSMIVVIYVEEMCFWTHLSSSIRGTTTKTWLASFHFKVWVVLTLGVVGSM VAVVVPVNYDPNQMEARAFLVGTTYHTVFTIGSLYIILTFPKLIETVRQQGGSQMVLK RLAYHRKLTFYRIAARLVFSVPFITVAVDGMTPHHDIASNQFLTDFFVMIGLAGFMVS VVISVYLFFPPENSSTNRPLLPALQPSSATDPISVTLSPTSPTAPRPHTSGGSSTLTD GTRTSYLASPSYAGDDEDVEKHQVLSFTAAGGRFERRSKVEDEKDRLDLDDDESKGVG MGMLDIHRVAPFATPPSSPNDPSLFPPTAPAYRPKTDSTTSNHATFAPSPSSRPDTSG STTTTNGGRPGTDQSVSTSRTDARAHMARNGRLHKHLSDPSILLASSSSSSPRRPGDA STPATSAEVRAKVSAAVKEQGSVYAWSILADHLRLPDSVMPSGAPGFGAEMVEMGERE RGMDDTVVVVKKHRHHPRRPRPAPAPPSNSTSHTNTSTTLPSSHFTPSPNPPSTSSRV PSLQPPSSILIGGRLRTPDDMVDHKPPKPFGASSMGSGIKRIDTHVLNTSYPPSSSAH SPTSAESHGSGAPRGGIDVYEHYLSSAAAAIAKEREKEAAVAAAAQSRSPSPTKGAFE GFGSLGSQGGLGEVENPFMESSEDVTMPRSLPPPRRGFRNNSNPPIPNLGRSNSTAAA NVGRSAAADQPFAVPPPDKSTTPPTAYQRQLDPSPMPFSRTLNLEPEYKAPAEVKVGS EGDKSLSSSTASLRGTPQ L198_08103 MSSAPVLTALHTLYHDPDTASKKRANDWLQEFQHSSDAWQTCHE LLTAPASPLEGRLFSAQTLRAKITYDLAQLPREQLPPLRDSLISILSPLSQPGAPSGS KAVLLQLCLALSDMALQMPEWDNVVGSMIDRFGSDPATVTVLLMFLKTLPEETTNPRI PLGHDEARTILSRLVSGSAGKVLEVLAMYIDAEGVTIPIQTAVFEALGSWLQAGEVTA GQVASTSLFSAAFKSLASDQLFDPAVDLICDFIHETQEVQENMAEVYQVLPRVIALRP KIEKYKEDPDRVRGYCRILCEAGECYTNLIVQHPADLLPLVQGIAECAAYPDLEIVPI TFFFWYNLAEALERQGQEFRQNPALAPILDIFASLQTIIIGHLHFPADDEEQTAQEKD EFRTFRHRMGDTLKDCCSVLGAPTCLKKSYDLIVSAMSQPSPSWQEIEAPLFSMRSMG ASVDPNDDEVLPHIMALLPKLPEHPKIRYAAILVISRYSQWLNRHPDNLSFQLGYVSA GFELADEQVSAAAAHAMKFICQDCTGHLVPFLGQLHGFVTSVGDRLDQSDMLDVCEAI GYVIEGMPADDGAQALQQFCEPLIQFIQPFASSDTPASEQELEKVADALEQMDAYLSV VKTLHPVPESCYPTCAAVYGILDGLLARYSKVYHISEKVGSLLRRGLTFFPLPALEPV VPLLLERLATCFAETGYASYLWITGKVADKFGEAASGPGGGQLAGLLMRSFESVTRGL GELLQRKVAVEIPDVMDDYVHLLYAYLSRLPTLIIPNPALPLAFSHTLQALTCPSTII TLVSLDVLSLLTNLLSPSSSPDPTVTAPVLEPLFAQYGRVLTGLVVKGLVGEFPEDAV EQVEDVLVGLGVGLPGAGAGGVVVGWIGEGVGEVGGHLVPAVDKQAFVAQISAAIEER SPQKIKYAVQALVRAARRTRERGRQSRKSLGAKV L198_08104 MPAPPNESLPTEPQPGVDQVLDKFQQLGREAEEDASDNEDGEEG AGDNEDECATGEAGDGEKKKKKKSKKKKSKAKEAVQGLRTIATGNAPQEIVEAVRGNM DAGEGQAATDEEIRRALKAADLMKILEGKMALGNKSGTKNLGEHKFWKTQPVPQLSGD GGSIAPLEEGPIDDPKTPAEVKQEPGSLPSGFVWSTVDVKDEEQCKEVYVLLSENYVE DDDAMFRFNYSKEFLLWALTAPGYVPDWHIGVRVQKTGKLVAFISGIKVDIRVRSKTF PSAEINFLCVHKKLRSKRLAPVLIKEVTRRVNLTDIWQAIYTAGVVLPTPIGTSRYFH RNLNPPKLVDIGFSPLPRGTTIARLVQQYSVPAHPRLPGFREMTKADVPQVGELLRKY LDRFAIAQVFSSDEEVEHWFCSGQGTDIDGKREGQVVWAYVVEDPTTHLITDFVSFYS LPSSIMKNPKHNLLNAAYMFYYASDAVFSAGGSSDDAAAHDRKAKRNLEERLNALVND IMIMAKQAGFDVLNALTLLDNNLFLTEQKFGPGDGFLNFYLYNWNCAPTDGGQSGYSR KESSQVGVVML L198_08105 MAEPQPELLQSNPQQPQQPDQPQSDIVSLPEDIRNQVEKEQALA DAQRRVKELEGEAGKLRERISSLEGERDGAVSVTNQLQTQLSSLQSSHHKTTSELSVI QTRIDSIEREKRELYEETERLQQRGNRNTQELYALRSAKTDAAQKIAHLDVEVSELRM TTETAKFNEKRSTQALESARAEIITLTKAVSEVEERFGRYRAEAQSEQSKYRSENETL LTRLNTLEQSYSSLQRTYNDQSSRLAESHANIATLTSSAAANKAAVAVDMLQLDESNK ILEKRGEEARATILEREAELERMAEVSEERETYWEARVKKEERLRKEADKRAQELKNV ADRLDMAEGRAGYVSASAAVASELRKDGKSYTQLYTDFTIQESRLQSAEAEVERLTNL LDEISQELSEKKPLLDEQAAEHDRAIERANALASELSSVISSRDTLQAEIKSAKAASS HHSSEVSSFRSTIDDLARQVKSLLRQLAIKDDPSLANVPVDGDAEVGPTGDIVTDHLV EFKSIRSLQEQNQRLLSITRSLMAKLDEKEIGRAAGDEEEDEAGSTLDQATDMIKRLH KDLTDAQKKITDLTRERDFFSKLLAKGEGLRWSTSQVTSGGPLGDDSPHQQTIDSLQT EIDLLKAKAQGEVDDVRKEIKKRTEEAAKADVEKARAEAKVGLLEEQTRLLNEQNSLA KNQYIGLESQVRQLQGAVTQAHNEQRSALEQVAVRQAEADRLRNEAAMLRAEKEQWKS VESRLHSDFSQVQSERVKLQQLIDNLKNVASEGDKSRAEERQALEKRIEELQREATAL RQQIEQARAATRDAELKVSDFEFRLAAATTSVRTEKEAADSLAATRATEIATIKADLE KAQTESESRLRIGLNWKRRADNLTEQIAQNTKSQGEAAQENEKKAQEAEKRVKELEGE VDGFKKKVEEVERAASLKEGTIQRLQSELTSKADQGKADQGESEVKAQLTTLQKEKKE LAEKLSQAEKDLEAAKAAASTAPPTSEGAPTDAEKAELLAKVEELTKHKQDHDEKYES NVTRVNRVNAQMKGRIDTLLSEKAAALTDVETLTTKISELESKVKEVEASVSTAAAPA PEAPAQSASEVESKPSQEQIDEAVKAAVAAREAELTAKFTRDIEEATTSAATAAASAA AIVQPPPAPESSTATALDAEALAKKTAELESAFDTRVAEAVQKEKSTLEEEVKSLRGQ VEELKTKIKALERQVKTAEISRKTLERQKAEAEKKLAEALEKKGEAPAAASAPATTPA PAATAVVDANPVKAEGTPTGTPATRGGIARGKPRAVRGGAPGRPNSVLSAVNATLSGA TPAFTPESSGTKRPAPEEGESPTGSAAPPSAPSERGGRVLKRPRGGAAPRGGAGGRGG RGGGAAGGGTGGAGTN L198_08106 MRHTLASIISVTILSTACQAFRIISPAYEMGWASRGRHLIQWED YSSSQTIDMSLTYPDSTTQNIFSRVPADQGVAVYTSPNRLPSGNYSLFFSVFSDGEVN TLSSEFSVWNDTEPVLDDNVMWIIYRVRGKKSCGWHWPWESSAVSSLF L198_08107 MPETTSTTPSSLDTKESHQTTSFPPDSLTVDPSTGQSKHKCYCA MHLIPSIGGKSSWEGGLPMTNFRGATARVRFSDRESTLLEEGCAGSAIKLSFRSDEPE DYEEVIGEVTKSDLEVMGLWPAYRDSFKTVTGVETGVLVDGEFVNAFQGDPILEIDRT RMVDRDTYHAAHYEFWMTNVWKEELLEQKESGELELWW L198_08108 MASPDFSAELEKVRRLAHSQLKHQAKPATLLQAIEHALTATLGT PQPHTPTAYFASLLQCLQKASNDEVGEDEDMAESENMGQGALIPATLYLLAIVVPETP NQVVLSSLSPVLECILPLYDGALEHPPALRSLLQITTSLILISPVQLLMSLPLLKKAW NYLLELNLDPRPKVRHQAQEGVRKVLVTPIPPRQTPGNHPYLPRAREWVMGVLEEEVK SGGGKGKKARFADAQEGDGKRGIQLVQGLRNWVAVWGEDHLSSLCAALLALPPLPHLT SQVYSLLAFLLTPPPSSSSASAPAPAPAVLSNLPTILDSLLASPPASSELPTYLSAIT SALIKTSLQEPALLPTYLPKAHQLFFKDILLAPNAPPAVLAAAAASLGAEGMLRYCIT DEMILATLTYMRQGSHVPGARKKSKTPFLFKFYESLVEAMNTNALRLPYFLTILTALI SRLRLRVVPGSIPAIADPSGTGPAAAQELVMDLLKEVGDLRTERGFENKDKVDEVVGV AMDVIGVEAVLKVLPLNIEPDASGVPPQPGRAHLLPLIRHHTTNDSLSFFSTFFRPLS ERLFNRKVAASDARREGEAKVWEVVVSQIWDCFPGFCEMPRDMGEGLDTQFLGLVTGL LYTQPTLLPALLKGLTNLVTSTERLIGSTTAPEELRKEFGLDQTIAQSNLTLLKSLAK DMISVLLNVFSKMPRESRGQVGDVISTWVGIMTPEDVVETYQTVTTHLSNNLDDSSVP AEGASPVAHTMLDLLIIFTPILPLAQSLALFTAVSQPTMLQHRDATVQKKSYRLLKRL LEGGKLSLSLTREKLEAFVGKLGESGSSVGPGAQRDRLQLLSALVTALPKDALHIIPE ILSEAVLGTKEVNEKARDAGFELLVLMGHKMAEGGVLERGQQQVGDDEDMGVAGTVDA NAEEYLTMVAAGLTGTTPHTISASINALSRLLFEFRSSISAETTSELLSTLCVFLASK NREIVKSALGFAKVSIVSLPVDALRPHLGQMVPALLGWVHDHKNHFKSKTIHIFERLL RRFGFDEVYAAAGATRVEEKKVLMGIKKRKDRAKRKRAGKDEGEEEEGEKPKATSGNA FDDILYNSDSDIDSEDDEEKDAGRPQKGKKGKKADGDLYIRNDEDEPMDLLSRSIAGA SNPAKESRRRKPGEDASKFQTDKSGKLIIPADGPDDDERPSASASASADATAYLPNNT ADGTHRDTRGNLKFNRNTKRAREEEKQMFEVFDEEDRIKAKVKERKKMRKTLGDEFKA KRAGGDIKRNDGPDPYSYVSLGQASGKKGGKASVNLTNKKKGSRR L198_08109 MNTHSPYSPQPSSISQLSHLNPHAHPHSHAHSHSPRTVSITMED YLTDDGGEEHQLNMDMVHDFGGEGMGVDMREFTRHDDLDETISHHELTGSTPHPPASA TSASANDGSNYGHTQTQARATPRTGREERSASGRVGGEGRPGSGSGSGASQGSAGLDS MFQTETGLDEDDDDDEDSSSTKRRKGDKGSAFETEKDTQRRKIKIEYISDKSRRHITF SKRKAGIMKKAYELSILTGTQVLLLVACLNAPEGFGPDGEPADAGPVPATKAKNGGLA IRPHKLTPQATAAMAASAHAASGIPNDMPSSSHSHPHLPTQNQGQSQAQAQAHAQAHA HAQQQLDAVSALGVGQGSPSSRPKKRMPSNRRTASSVGGGGESKPELSLPLPSEMPPV PPIPDIHRAPPPSASQQSTPQPRMIPNPSAVSAVQHQQSPLTTSQFHLPVEYHHPHPG QPTGGAGAGHYYQPAPEGTYYYASSPAAAPTPSSASVSVSVSVSGQGQGQGQGDGAGQ DGGPGGGGGGGQGGQGGGGGGGGQGPPGYLLQQHAHMFHNPSPQQHQHQQQHQQQQQH QQQQQRERERLMGIGI L198_08110 MSRPATGSSADLKTILIPCAPISTPHVPSGTTEIPLGDNSLTAY LTVSSVLAFDGALDESKLVKAISLLSGAWPTLAGRFKSVGEGADTKFSIELTSSPIPF ETQTIERDQAFPDKLVIQPTIKPYMPPLNPNVRFPNTDNHLFSVRLTTLLPSNKSVLG VQVSHLGMDGTMARHLVKLLDALYTHGEAALQSTDSEIVIPTFFPGGVGPLHAYDAST DNLAFAVSWKAFPEAIRCYIADFKTSSRVALQFTKPELQALRDQYQLDSELKLSTQDA ISAWWATLLNKVGVDVKSIVYFLDYRKWCIGHPSFPPNLPTLAAGVSQVSPIDISAAS TPGQVAETIRKHISTLRASEKDEALHWLSNASRYMHESTVNDQAVVFGGDEQFQAVVF GGDEQFMADHKSVIVNSNIRIDWSFSFGFKKHQVSYHSEFTCSHFLRVYQANLDEGEE KGDKIELYFHVPKGQAEKAKEIIQSDREQWAVVSGASV L198_08111 MSFLSPESEASIKARIKTTLVPCSSINTPHVPSGTTEIPVSDTS LLAYLTVPAVLVFDGLIDETRLVRAIELLTGVWPTLAGRYKSVGEGDKTKFSIELTSS PIPFEAQTLERDRAFSDN L198_08112 MDPNVRYPNTDNYLFAVRLTTLVPAKKSVLGIQVCHLGMDAIVA RKLLQLFDALYTHGETALDSSDPDVIIPTFFPVSGVEPLPASKQDLTDDEVFGFPSKP FPKSIQSYVADAMTSSRVALQFTKSELQALRNVERLNRFHIVYVLKPNIDVVLYDSVC ADGKHVGVLVRSI L198_08113 MVLKSFRLTSTTTRASLEVMDPCDSEPRPDILFCASMWTPEEQT EIQRIAKNTIPCIKTYAIPTGMHVKAGPEGIIKYLTERIDSIVNGE L198_08114 MLHCLRRTLPLLSSARTTIRTMSSTAPSSPINLVSVNTHPDRAQ RVIGSVIQSVKDKYTIVHAGNSTTIEGVKPLLESTECHVAVATRPRNISKRLESSLPL CWPL L198_08115 MSTFSRLIRFFPKSSPFKPLIGQPVDPTLDVGLATYNRTPVEVD VFSGASVLSPGTSTGRREVVDRILSPLAQHEVGTIRCIGLNYTNHAIECGFAIPETPT LFMKPSTALADPFPATTILPKAFLKDDTADYEAELVIVIGRACKNVGEEDALDYVLGY TAANDVSSRAAQFAQSQWCYSKSFDTACPIGPAIISKGSINSVGDLSIEGSLNGKVVQ KSGLDDLIFSVPKIVSFLSQGTTLPVGTIILTGTPAGIGHASQPRVTLKEGDEFRVHV SHGVGTLINKIEEEK L198_08116 MSKSSLSSIPFANLVKSPLSPPATPSLNIVMVFDIITATALCPA IVATKLAIEGGTKGNRNGGNKSLPLELYVKFPGGHPYRRKFEGARVVLSNGKLYVEHA DSHFDHNTYQPVVCRYQPCPEYALPWARVGYKGDCFTTTTSPTSDTPSRIYVNRNTNE LRYGSVEESESHSPGPWDCTELDNRVLFEGWEGFVVVQEDEEKDLWALYFDRSDDGLT GEGMVGDVETTGVQMRMMYVHLGRRESPRTLAKHREEKEQQRKKKEEAPDNASGL L198_08117 MPSKLPADGQIIAAILEIIDQINPSPHPSFAAPAISISHLASTL HTSPTSLAPSPSHIADLIRPLSETLFDAPREPVVRSVRKGLSKEVWIVFERTSRPGDD NRRRAVDEPRTPRAGHAPLASLPRPPSRPRARDEPLPTADVKVEAKPLHLIPTFPGGS SYTPPSPTLHKRNRRGGTLFRPFGKSSTKEEVPSLPDFPPHLSSETSGGLAVEKTAIR QMARGDGGSAIFDPMRDVAPPTPVSYGSVPFPSPKPHHLSNESTFTAARRHVDTDRQR QVENMPGDDDGDGASFDIIDAYADPEPEQPHRTLNDNVLTQPPSTSQNLRVDVSERSR ESTLTPVQEERAEEGDGSDREGELSEAFEHDRIVDALGDDVSDEDDDVGRASVQSDDE AEARKPTVKSKDDIAGRGTGEDAASKIQRKRDEGASPGDEMSLDEEDKRDASSDGGGA AQVSEDSHEVPEPPEKRRHKHEKRHKSKDRYERKKHSDKMDKTWSQKKHKHAYESVLE EPETPWLVQTFEGLPFEPAIPTVS L198_08118 MDVSHVLDAYKEQNSTNVLARTNPVATDPALLAAFDFSPVDPAS YTDLEPHLLELTLTSTQSLVSSLFTLPTHPSALGSITKFPLPTTLLPREKPLPKPKPE TKWERFAKAKGISHKNREKDVFDEERQEWVGRWGRNGKNREKEEQWLHEVKAGADADQ DPAKTARDERKARVNKNLKQQQANIAAAAKKSAPIVNLSAPTPGTDANSAPLLSQAQK KSAREVRKSELIRSMLISKTSTASMGKFDDKIEGEPKARGMKRKFEPTVGKSFEGEKT SAMDVLKRVERGEGKKARKGGEGVEGGLNARKAMRFSGEGGKMKPKTTGKKGKRK L198_08119 MGSDDSLLDNIPPFPPSSDTKRTSSMSLSAIMKGCKDGELAVRH FDSNTISGLHPHLGFSSISVQSTRGKDAGRKASLHNSRQQRLDGGAITTLVPSMYRHV LGGWRGWWKADPHPSDWISVSTDPLYALFMAANRLGQGRQSRVFLSIISSMPGKDEKV LVVDPREEEEKFRSHTVGFDFFSLENIRARNFMRKFSEKLFYKSIPKSYIVETVEITH DSIPWDDIPLGWFQGGATNEPFSSIRWNWLDYLSFNPYDKLVKYGDAQGIIWAERKEI EEMLIRQAREIEEAEDEDLIEEEEETKEALDWLLLGVEEPVHYLYEEDMKEAKIEEGT ELEKALHAMSI L198_08120 MGTRGLIGYILRNKQRKAMYNHWDSYPEGQGHDIAQFISRLSPE QSKEMAERVEKIEANENAIIPEDIKKRYLAYDGIWKYDFPYGGPEHWFHPRQHEGLSE DAKRKKIEERKKQAAKSLAMRASLGDDDGPFAMYGSMDTWSDVLVGAQGARALPFIQE GKLNHLIDSISFMGESIFCEWEYFIDFHNEKLEVWAGKLLREVSFDTLRADPNYMLKG GWSDESDEE L198_08121 MALSQKLKYAVLGVGRMGQRHALNLAHRTPRAELVAIADPKPAS LHWATDSLSTSVGLYTDFEQCLAESGADAVLIASETKLHAPMTIAALHAGKHVLVEKP ISIDLQTSRDVVEETKKFPDLKVMVGFSRRFDESYREAYKMINTGKLGKPHLIKSATN DQYDPSGFFAASTLTAAYDIDCARWLLSTSSIPNPLKQVTRVFASGHNIRHPELVKDN DVDNAVGFVEFENGGILMLHLSRTAMHGHDCFAEVFGTDGKVIINGNPQLNRVEIRDV HGVRSESTPTYYERFKDAFVLEVNEFTDAVLDNKPLPVNSLDALEAAKIATALTHSFR SGQPVYFSDEGEPLLV L198_08122 MPKLPPSSGGTLPTDDYELQPASNVDDPLLPSYERRTFPSPHQQ LQLQKQSLRARSVFRCLCISLAILLPSLAFVTCYFGQTTLDRVRTWESLPKEVQEWLD GVAPLKSVADHSNFPTKAALIATAPVFPTHTDVHPLLRPTENVSKGFSVIQHWGNLSP YYSVDSHGLPDSNSLIPETCELKSLHWLQRHGARYPTSNPYGPAALASRLKTATDWTA KGDLAFLNNWSYKLGAEILTPFGRSQLFNLGVAARVKYGFLLDKFNGRLPVFRTESQD RMLRSAQNFATGFFGYPSEEQYNLEVTIESPGFNNTLAPYSTCRPNYDFVKKLAEWDA VSLPETRDRLQENMEGLALTLTDVNEMMEMCAYETVALGQSAFCNLFTQQEWKNFQYR NDLFWWYGSSFGYKPARAMAVGWVQELVSRLTKSELTEFNSTTNSSLHDDVHFPLDDP LFVDFTHDTQFALLLPMMNLTTFAESGNLPTDRIPKHRSFVSSKIMPFATNLQIQVLS CSSSSSSSSSSSSSSSTSSSSSSKNIRIILNDAPVPLTGVSGCPQDDDGLCPLDTFVA AMKTLIGEVDFATDCAYKGGSKEELEKEFREGELVVEEGEKTKGGKSGDGKKVEDGEE DGDDSDSDSDSDDD L198_08123 MTSFIPSLPSPLALINATAAIIAPSPISKPQSPLTPTRGAPLSG DANLVPERYLGEKPLDTSIPSAQNTSFNPHPTRLVHSQPSPDPPPVFVRSPPSTLLDA PARAAMSLPNQQTAQAQARAVRGSDLNTPEYEVDEDTAGRKGESAPLLAPQPVYPGGA SPSLSRASSTGSNRGILRRIFIDRSNTPSHHLTAPTFPPPSLSTYSPISPAPLTIGAK LNLIFIQSVSIVLSTFFLAAVVAWAMTAEVCKALPKWVWPDRPMKFPWDDEEYWKKEK TVSKDPAFYARQVGMDIEHQTVETEDGYFLKMHRVIDPDAQTHSDGRGGFPVLVLHGL FQSSGSFVTSEDRSLAFWLAREGKYQVYLGNTRGVFDMGHRNFSRNDPRFWDADWTIR ELAMYDLPALVEHVCRETGYDKIGFIGHSQGNGLAFISLSLGMCPSLGNKLSVFIALA PAVYAGSLTTGFPFTVLNKVEWPKWKRFFGVLDFIPLMRWAYDYAPAKLFATLGYIMF AFLFRWTDTNWLHRRKTKMFRFTPTPVSSASIFWWCGKGGFADRKCTLDDSLPRWFDD RFPPLSIYHGGRDFLVFADPLLERLEEKEKDVKVIKVTKLDKSEHCDFYWAAEAVEWA YLSFLDDIESTRPRYPDEEDLPAGNNGTETSMQ L198_08124 MTAPGSYPTRSKQPSLTPALDDAWVSENESELSVPVSTPSRPRT PRRRAVKSTPTRQQPIQTRSPVKKGSMSSNVVRPRNKLDDVQRPRSPTIPSPSSPPIQ TPPAPDLLSSLPSLESTLRYVFAPIRILMVPINMAASPFIAHFVNALILAALAWTAWV LVVPLLPGLLWRLLRGVMGGVMGLGSDGELGISGELVRLPLATLATPTCALTGLFCRH SLFTISSPHSSSSTDSQSSRQLSTQQARPFWLWFSSTTPKDEVDVGEAARVLTKEVQR ARDIFDSVRLVGEESVAPMEYVNRVWELGSALMVRGRVDAQSHKLGTMVIELGDDCRD LVDEISYIDSKSVNDFGWIQWEFERLVHLLSSPTVSPSPTVLGAKVHSLLLSLSSTLD DLHSLTSKAAQHANIASTKGRKIGTEMKTIEGALRVEEGREPGWKRYKDKGQKFFLGG EPTRGEILARDISLTTQTIVTTENLVRNLEATRSVIRSFRDQIGMFD L198_08125 MNINLRLASGSASNGPQSNEGMAGLLSTESPNSPMRLALTPMKR PSIVHKAAVGELDRHAESKAAMRLLNDVDERRRQVKITQQFANNQLKEVSKENDEREQ EARAMLHKVPWPEDLQFVASAPAIASSSKHNVG L198_08126 MSATTQEIPAELYSSLEKHDAAFTQLLSLIPAQYYIALAPEEAD NKWMINKKRKTGEELKESKKKSKHAKLDPANNRTTVEILAQGSGAAPALTPLPPSASI SELRAKLQMRLDHFRRNRAADAGDSSSFSTGAAAQGEDAASRDALEQEQRRKRGEMRD RRRNERKEERRKEKAEKDVKKEKKAAQKGPADVSAKPAKTQLIVPQLPAHQEDSLSFP SVSLPSSSSGPKSQYKRIANPSQALAHLENQKAKLAALPEEKRKEAEERERWAKAEER ASGGKVADQEKVLKNAVKRKEKGKAKSGKEWSERKRELQKSSEISIKKRNDNLAKRLE DKRNKRAGGSADKKKGGSGGKKGGSGGGGKGGASGGAKKGGRPGFEGGKGKK L198_08127 MKRTTNKSPAKGKGKQVDRPVKRATVADSNSDDGSFDDESDGED DGEARKAAQLAALEAHSRALLGLPPLSPPSKPNNPPDDSLDQSSGEEAEDDEGEGEDF ESDDGWGAEDGFVSDSEDELAPQLPTTKLKPTAPPTETSNVPVVVFDQPSASSSSTLS KAERRAFLTGNSTKMMGITSTPDYPPSRKRSRQTAEEDDEDTTAASLDKSLHSMLLNS LLPEHAASLASRPVDKRNAMSARLLELANYELPGEGSKVMKTQHLSKHSAKIRTGMVH KQEARDKAKRQEAIESGNNVRGMSSLGEGLKKSGSGSGSGGKGSQRAMMGMETGKKKG MESRKKGDEDRARGLGMGVGRFAGGMLKLTKGEIDGVNGDFERRRESSRGGRGGRGGR GGRGGGGRGGGSRGGKR L198_08128 MNTIESLYGDVLGTVEKDLTQAMSFAAAHIAAEDDDEVPAYTEN DVKQITAKLARTHVISILKSQLVRDNWIKAFTPPELGISPDQDADIANEATHVYLKRM SDLSRIHMDRTILGSKATVVWDDYGARMIVLTGGLWQPNRSRHDQDHIDHDVADAPPE LVLEDTARQSWAAMNNHGTVVLEDANGALFNFDFDWRHDIFLLSFPSESLPSSSSGPK SQYKRIANPSQVLAHLQNQKKAKLAALPEDKRKEAEERKRWAKSEERASGGKDADREK ALKNAVKRKEKGKAKSGKEWSERKRELQKSSEIAIKKRNDNLSKRLEDKRNKRADGSA DKKKGGSGGKKGGSGGGKGGEWWWRREGEGGETWV L198_08129 MSFSLDDNGNPDELNFDNDRDVKLQKAAGRTIDLVAYAEKTGFS AAETAGMVPAGSVFGDLVANWLGEVKELGQNKFQANNLIHYVQRQYQKKVDGAYDAQL AMDIPNFREAVQKAKHHLHRGLQVQVLALIHIIKTSLKKSLTHIFKRHERVGEVRENI DVLFSKWDTAMNINLRLATGAGNKGSRSNGGMAGQLSTESPNSPMDLALTPMNQPSIV YKAAVGELDPRTEFKEGMKLLNDVHEHRRQVQEGYDTNQAVNKRLTLVGEADDEREQE AMAMLHKAPWKEDFQLAGATAVVKKKPAASSSSKHDVG L198_08130 MPSSSPHPKSLAVYWCSSDVYQTSLVDAQKFCVAKKIDFDDQFK KVPLDLFLTESEGSIQALKLWAHRVQDVCEKYAYRRNILPIALLFLAESYLDVSIVLC QEILSYHGEHGKLSPELYTLLDSVSSEGLVILDHLSRLEVARLKPRGNFDILDKKVNL AADAFRRMEERLVSLILQHRVS L198_08131 MVAQGPTSLGSIFALDVHHDILLKVYDNLPPADALAVAQASKFL YYTYSTSPHLQLANRLGRYSCPASAPFLHPTHPTSSAEKIALLEDREHRLNCFRPRSL DTLEKDDGQLVDFEGGLMLFECKRSGTSKRKKMFDPNYVSGESDDSDFERGSEGEEEG EAGELRIDGPPEEESIRDDESDWDDYTSDSSYESHHHYCTDEACPRKLFAGVPGQALQ EALAFSTDAPHLTEMTDGWEVYRVKGAASDADAESRGAVKDQGLWRWKTDLGTDYHYI AMCAEDNVVAVVHKGAYFPHGPNPETCALSMRVFFYTLVPPIGTAPPENGDSIPAIPH PEAKLPFIEVLIPMPMGGVGTGFALGAGGHMSLLLSNQEQDKTVFAGFWDWKKGVSLG ALPGALDPTSVITSVGFLGPFVIASTSSKIPAFLRADLYASALEKLEIPGPHPASEGY FLQLSFTTYTLLPASLGFPPHTPDPPDQNPYAAPYPAMPCSWHMAAIPHVFPAGIFDL PFHDLVPFTLDKVYQPGNFSIAECGYSEDVHNGQLEGVLSWRLSGRTSVVNLNTILDV STAFTLARMTGKLPRKRIFRNSFPAVPDIRIAQSLPIADQVRLAARFMAHFEYGDWIG ADGKWVKRDVGRKAVAPAVNRKLMGSRRGRGKAAAVRRALFQPGDSPLGAAWLEYRST HGYDTDNFGNTFAYPDSVPRHSFQTHPNTSHLRMECAPAFSSVYGAREARLVTTLLGD ELDETGKVALGERYERISFVLSLNDYNGRTVVFPVPHIEARREKVEREARERVQKLSA KARAKDQQTIISHTHLTTSSDSDREISVRLHRDPRSKELAVYAKESYMYERTSYPNEQ APAVLEIDMDLRMMPRVKFDGNTVLLSGFTSGAIHVLTF L198_08132 MAGCHLDPTPCPSHPLASLTRHHSIPSPPPLPPVSTLAETAFAD PVTSREAVGEAYREGGAAVLYVTITGIYSSTLIVGIALLLRDARLGDLQRSLPEEERE GDKGVETGRGGEYGGEKE L198_08133 MVRSKFKDEHPFDKRKAEAERIRQKYQDRIPVICEKAEKSDIPT IDKKKYLVPADLTVGQFVYVIRKRIKLAPEKAIFIFVDDILPPTAALMSSIYDEHKDE DGFLYVLYASENTFGELEQYAVEDFE L198_08134 MTDTPKEHHVHRDGGWMSSDKRHHHKFLNDTVQHVDQNPKPLHP VLQGFKATVEKSTRLTMLFNLMFQQIPAGKEYLKDPSGENQVRDFDHLLQLLNHVIST APRWTEAAHKVGIVGVPVNALLDWPMGTSAGFCVFQDPEVNEHLKKILNVWGAFLSSP SSAYVLGTGPHDWLGPIGKPSLMSVANAPLSTSYSFSEFYHSDPSLPHHGYASWDAFF TRRFKDTVRPVASPSDDGVVANACESTFYKVARDIKARDQFWVKGQPYSVLDILAFDP LSSQYIGGTIYQAFLSALSYHRWHAPVSGTVVKAYIIQGTYFSEPLFVDFDQNAKAGG GGKGKEADVHGETTSQEYLSATATRAVIFIEAGDNRLGTVAFLGIGMTEVSTCEITVK EGDRVKKGDQTGQVVPSSMFHFGGSTHCVLFEKGVELEGFPDYTDRNVPVRSKLCHVV L198_08135 MSPLQPLFSQSAYAEVLIGIFDCLTLREVVSLIRVNKYIHHVFT NSSSLQLAHTQRLLSVPPSASDQTAAPADRLSALKERQRRMDELDPSCIKSIRKELNA DVVGFGNGMLLFHVEGENSKKRKRTLEQIQEEHRRRVKIWVADEDDDEGDHLDSPEPH ERCQADKSSDSGYDLEDDPHNQFAGDSCAGESSDGWDVYRAPGAASDRDSVDRGGVKD QGLWHWKTRTTTEIQNLQLCGEDNLVALLQKGAYNSCLSVRITFCSALPPLGTPAPEK GFLDPIPHPDAALPFIELLFPVHLGALETDVLFGPGGQMSILINERDSFKTIFGGVWD WKKGVSLGSIPIAENQNISIVSPCGPFAFTASARRIPPSTSPDTYAKAMAALQLPPAA IPDELEGFLQYSFDAHILFPSSLGFPATPDPPDANPHAQAYPNKSCSWYTPDIPPAFL VAQFNLPLEKLIPYTLAAAYQPGAFSIHDLDYSPAVHNSESLGIFTWGLWGKTHVADL SALLGVATDLSFRLAMAEMVHRRGDEMVRLRELLPNSARDQPTDIAGKASMALVLMRY ERRVAEDGRWREVHAEDSEAGDGGSESGAEDDSGDKASDDSDSDKASDDSDSDKDDSN TNFIDSVLGKDFMASFKSACSRRLPQPTPNATTSTSHPTPDPHSTDSPSSPASPPTKP LPNYLQGDVTSFLSLATVPVLPYNEWSQSSHMRMGSESTLASAYGSREARLVPVHLWD EEDEEEDEQDRNSTFVLSLSDYNESTLAEGVRAKRRVAGRRKREVESFKALVRDVPDV DDVIAVASRKTKVDKKLSDIRKKLGKIDARVNIEREEMERMLHEIREKGEEGEDVEDP RARAAMESVEAQAQRLMGVAEEREEVAWLFEELKGLAVVYGVAEEGEGEKVEEAPASP GFDDAPASPSNARHQPNQPPPPYLTLTLNHPRKPTSSDSYGVPSTTRTLIPTTSPNMP EVLKDYYEDIKDQDQCEGTFFMKRSKEMVVEMEMEMVRPPLVMLDGRSLVLGGFTPYE YHVINF L198_08136 MVFILITGTSQGIGEGIAKHYLKQGWTVVAAIRSPEKGPNLEGS VITVKADQSSLTDFHNIIEELKTKHNITHFDIVVANSATAGSRALLTKASPTEFDYVY QVNTRGPLVLYQATRPLLKDNGTFVVISSLAGSLQRTFFWEDIGLYGASKAAVNYLVR TIHYEEPTLKAFTIHPGSVNTKMSRETWDGDFSKLPIQPVTVDDVIPGIVKLIDTSTK EETSGWMWNNDGSKADF L198_08137 MTLARGSKVLFLDSIKLAKTQLAAFQKVATVVPNTSTTREQFIS DLGTKYKDVSGIYRHFKASESIKITGRFDQELVSKLPRSLKFIAHNGAGYDQIDIPAC TTRNVQVSNVPTAVDGATADTAIFLILSVLRHFPLALAHAQAGTFNSALPLSNDPKGK VLGIVGMGGIGSALAVRAKALGMQVVYHNRKRVDAGKEKELDVRYVGSLEELLKTSDV ISLNLPLTPSTHHLISTPQFDLLKPTAVLINTARGPIIDETALIQALKDNKLAGVGLD VYENEPKIPKELLDDPRAVCLPHVGTVTVETQEEMEAVCLRNLETGLRTGKMGFVVAE QKQLLEE L198_08138 MTLSPDPNILFLDSIKLAKSQLAALSKIATIIPNTSKNRDNFIQ DLGTKYKDVTGIYRHFKASESIKITAHNGAGYDQIDIPSCTSRKIQVSNVPTAVDGAT ADTAIFLILSVLRQFPLALAHAQAGTFNSALPLSNDPKGKVLGIVGMGGIGSALAVRA KALGMQVVYHNRKRVDAGKEKELDVRYVGSLEELLKTSDVISLNLPLTPSTHHLISTP QFDLLKPTAVLINTARGPIIDETALIQALKDNKLAGVGLDVYENEPKIPKELLDDPRA VCLPHVGTVTVETQEEMEAVCLRNLETGVRTGKMGFVVAEQKHMLEE L198_08139 MPGGVRTRRTRRPAGKRAGEKSKVAKPQYVPIGSGEKQHPPTNN KPPQNGQVLPKKTRNKPLPPIPPEAKTAFQKGELWHAFKWELDRHDPYLKYIIEQMHK APDRTSKDYLARVDGVRQLRRDVFELGESGRHALVGSRAIMRLAERTLMVIRNMYKRT LSMTPNADGTPISPPVLKFIRDLSNECKRTSLPIKVSNTMTLRRNMEERYLDSIEKRI LEMNTRLSHIVYRLQLRDKMIYQPDQWPVEAVITWRAEIQEARMGQEGPEAQEKTREQ RCIIQ L198_08140 MSALLPLFTQDAYSEVLIGVFDCLALKDVLSLLRVNKFVHTVFK TSSRTQLAHTRRLFSAPATGNYVVDNASGPADQLAALRDRERRLDNLDYSSMKNIRKD AGERLIAFEQGLLIFRARGDGVRVPKRDFDELDSGEGEEEDDVTTAKVARNDPSKSEN LDHLSEHPFSEHPHETVADADAEDVKRKWRATHKNLAYSQNFSNGSSIKDGWDVYRVP EAASDEDSQARGGTIDQGLWCWRTRVRDDLQTVEMCGHDDLMAVIQRGAYFIDRDDPS KLNLSIRISFFSALPPRGTPTPVTGYFAPIPHPEAALPFIDINFNFAEKDVKTDVTDD VKLEVMFGYYGQMSVLVRYGPKTLFAGVWDWKNGVCLGSIPIEDNQTVASLNASGPFA ITGSARHVPGSKHQDVYDLAIRMIPLPQAAIPDWREGFVQLSFDTYLLFPPSLGYPPV KPKPDSNPFAPPYPEQACSWFISDIPKVIPVCIFELPIPDLLPWTLAVWYQTHIFGIL NFAYSPEVHNAETIGIFTWMLWERKHMSDLSYMLRQATNLALKSLVGRVIHGNDSRRI NKYGPLIPASHQASTDIAEGVSWVSTIMVHANRVSEDGTWRNTEGVIIPSQTPSKKPA YIRASSHFADTDWLFTRGLALPSVDITVPTVSYLDWDGANQMRVDFYETVSAAYGARE VRLVPLIEEDGVEDRVLCLSMSDYNPSTLPAAKLSLRQVLGNRRRNIEAQPWYSLDST IGVEYLPVTFERYRLVRECCVDLQLEYFHIESSLAGLESSLSRIYEGMREEEKALQYE STAKLQRLKERLDKVVAEYQLARNDLDKLRPMEKSDWEEEIEDDLASSSGSSTAADEK GPIDGSEREISKGKKGRSTDIAGSEAAPHAAVPPPPYLTLALAPPTAPTSSSSALDSL PESPSQQATSHSSARAFLPSYSSGLPSHLQEYYQQSWRSEGGLFCRKGKEMLLVAPSC DDAFPDVYFDGRTMLLSGFTPYDYHILTF L198_08141 MIPFYKPVPAAPGVFQGQPLPEAKANIVSRILYHWATPVMRAGY SRPLEPDDLWSLTGDLECKNIADQLRANLLKRTPPSKRVSPSHPSPSSASYPRPRHQR TTSRNSHGRYSTSSSRAYFKQARESMFTIDGAEGYAVSHDSAHLLTMVDESEEDTRLL SKDKEESEGDIVKRYGKKKAKRIEDGELAVEDGKEYDMSLIKAMYATVWWAWWKAIIM KGCAAALQVTSPLLTKILIEQLTTAHQYYTGQSSDQPKSIGYGIGLSFALFAMVQAAS LFSYQALQRGSVIGFMMRAALIDLISRKSMKLSSSAKVEMTSGKLTTMVSADASFLDF SAPMTLDLVVQPVQILVGLGLLIWTLGYSALVGLAVLALAGPLQAFMFTLMVRTRITQ LTHVDARVRLLSETITSIRAVKLFAYVAYFSNKVTELRKKELIYLRKNGFNRASMNAT MAVIPTLAAVLTFVTYGLTGHALTPSIIFSGLQYFNVLKTPIAFLPMCFTAVSDALVG IGRIGKLLRSEELPEGVNVRAESKWAVDVRGDYQFEKLLVEKKEEAGSQDEKKEEETP FMLKGVDMRIPRGALVCVVGRVGSGKSALLAGLINEMKQVQGHTIFGGSVSYVPQHPW IHSGTVRDNITFSSRPEEIDWARYNEVVDACALRSDIHAMANGDLTNVGEKGLLLSGG QRQRISLARAAYHPSSIILLDDPLSAVDAHVAHQILSDCIFSGPLAKRTRIMVTHQLD LLPKSDWIVVMENNGHGVGRVGQMGSWEGLKGRGMLGEMTKEMQAKREDFRTPTPSPS AARHPISPVKPSTNEKTDDTMEEDRQTGTIPWSVYTIYLRAMGTPLWGIVFGLFLILT QVASVGNSLLLGFWSSNSWGLSQGEYMAIYGALGIAIGLFTFGASYTMFLAGLRSSYT LFAKAWKHVMRAPMRWHDQTPSGRIINRLSKDIEMLDDRMAFAWETLLVNGLAAVGTF ALILYVYPWLGLAFIPLIVFYYIAGGYYRQTSREVKRIDSNTRSHIYSSFGEQLEGSS VIRAFGKQNIFEKRMQSAINVQGAFPQFAYKSLLTTCRWLGVRLDFSSNLLILLIAIV GTVLRDTVDPSSFGVVFSYALAAAAMFSNLVSLYAQVELEMNNAERIIHYTSLPTEPL AISPLDSRDWPSRGAVDFHNLSLRYSPIGPWILKQLSFSVRAGEKVGVIGRTGAGKSS LVGALMRVVGKEGTEGKVKVDGLDIDDVGLDTLRNGVGLIPQEAFLFEGTVRENLDPL DQHNDSYLNSLLHLIHTDPIMPSTQSMKEKFRLDASVADGGSNFSGGEKQLLALIRAL ARGTKILLLDEATSSVDGETDALIQRIIQNHLKGVTLISIAHRLHTLAYYDRIMVLDQ GRLVEYDSPLVLFDIRDSIFRQLCDRVNIRRQDLLRLRHDAVYAAQAARDHQSLYNDW TLAELWAQSGGFRMSQWSR L198_08142 MSDPISPLPSTWDTCDSWVTSLKDTVSSVPLLTGASNYLPWAES LQIALAGVRGCLCLLDETPAAYLPSASTPSPSTTSLPAAIWKHLDTALALVLVRSLHP DLVPLFQSLVLANPSCAARTLWLKLEADYGGRSSYDLWQSVQALNSEPQGSTPVTEFM TARKNKFEAIKAAGYTLDRRFLDNLVVGLGPHLGPTVRGLDFSSLTYDSLYAAVRGVD DSHRLHAALPSSSMALAAVVTPAPSPVPSLASPVPSADFPCDICRSPTHWSPACPERH APDARARQEASYRARHARRAKIPGQIVKVIEYSRLPALGSIVPPPDKWT L198_08143 MSPQPPLFTQDAYSDVLIGIFDCLTLKEVVSFIRVNKHVHNVFR TSSRLQLSHTQRLFSVPPSTFRQGATPADSLAALKERQQRMDDFDPSSIISIQQDRYH ELVALENGLLVFHSEVGRETAGETCFDESSEGWDVYRVDRGASDIDSLNRGAVRDQGL WHWKTRTSAEFYHIEMCGEDNLVALIFRPMKSQSYSTNLSLRVEFYCALPPLGTPAPI DGFLDPIPHPDAPIPFIELIFPVEYAKAAADLTFGPGGQLAVLMNDRDSEKTIFGGVW DWKKGVCLGSIPIAEHQIISTVSPCGPFAFTASTRPVPASVEPGLYDKALCDFGPPPP DDFPEELKGFVQCSFDAHILLPPSLGFPPVKPDPANDYEYAQTYPTMGCSWYMSDIPS AIPVVRFDLPIEDLLPHTLAMAYEPESFNILQLRYSPSIHESDTLGIFTWGLDDMSNV ADLSSLLDRASEFAFRMMVAEMPRMKKEDVLRLVDLASFETGARTAIVAKAAKALVLM RYHGRLTEGGVWSPSGSGRGVMPQEGSEEMDEADDSSETFVDTTAGKDFVRFLESRYY GRQPRTNSKLDIRSPDTVSPLQPISTFDSPSHDPDQAPLLPQDLHQVADGSPSLAAIP SLPYKEWMSANHLRLGIDFTLSAMYGTREVRIVPAPRENMLDVFDGEEGEGGEEGEMR GKLKYVLSLSDYNESSLPDGVWAKRRIAGARRRELGAFKALLGSITDTDDPVAIDACR DRIRHKLSGFIHLLAQVDSCLEVEREKMLSKIVELDDTLGDDEDLVDMDVVRMFEAQK RNVILLGGRRAEVEERFQSFKNLLVEFEATGVRVDVEECDAQASRMEAGDSTTLESEF ELSMEDQSG L198_08144 MSTCQNKRDTWLTVRQAVYGDEGGDARLMQFRVFSACCNLSFLA VVLHLGRRIHLYSHPNLAPRPSPLAPLRLALSAPPPRLSLPLSPPPASPPCFRLSACP SWPALPGPPSRLSSLLLPIGGGYGRSHRFQQLVLEGLFGWDFWMGWEAPSRAKRAYPE RHSRLENSLQSELLALYPTDAEFGQLTASRVKRVT L198_08145 MRPSQVFRASHYKPMIKFLGSRKNLQHRTSPPFSSPPSSDELTP RQAPHNPSPHPCAPSEIRESFPSFLSRLNASSSAPASKQDTRFKPSGKPVDFENFWEA PGYLWRTKEVGELEMDAVMVNFIRSLLAHCPGLGAWWATRS L198_08146 MEAPPEVEATLSRLSQYRNVRGVMVLARAHIVGDTPHPHPHPSR SGDAGIVQTTGTVFEGEGGAKYAGAVERIVLSTGAAIAECEEGDELRLMRIRTKRHEL IITPDDKYVLVVLQDPGQ L198_08147 MSVSDSDSSHEALRSELSSIITGVESPVASPSTTPTTAPEDDYT PFPSPVLSATRLAGLSLGEDGELEDEVDADKVITEEDKTKALELKAQANKAFAAKEFN KSIDLYSRAIALNPKDSTFWNNRAMSKAKMEEHGAAISDATKAVSINPSYAKAYYRRG LSYLAILRPTDSVPDLKKALSIEPNNRVIREQLNTVVKLIRRIEFEKAISVGDTETAS QRCLALIESGACTLDPASAASDMPLPTIPSDPLARYTPTKEFVEGMIASFKKGGKIPK RVAWEIILGCKEQVEKEKSLVEIDIPEGVTCDIIGDTHGQFFDVCNLLSMTSPPSENH YLVFNGDLVDRGSWSVEVALTAFAYKWLYPNYVFINRGNHETNDMNKVYGFEGECKAK LGEMTFKLFADVFTMLPLAVLLSASLPPTSPKSEGSGPKPAILNQGKRRFFICHGGPP VSKDGVTLEEVSKLERFGRQPGQEGVICEMLWTDPQEPIGRGPSKRGVGLGFGPDVTR RWCELNNVTAVIRSHEVRADGYAIEHDGLCITVFSCPNYCDSTGNKAAYIRMQANGAL SYHQFDAVPHPDVKPMAYSSGFNQMGF L198_08148 MAPAPTPSSSTAKPRLPPKSAAGTTPATGRVTRLRAAKEASTTP STPAVPRQPGLLAMGKEGLRKVSGKNGQKEKPTPALRTKPDGAASQAPAETLKAFLRI RPPPAAASDARPYLEIQSTTDVLMRAPIENSRHHVPKPPHLFSFDQVFPPDTPQSDFF TTTTLPLVDKLLAGENGLMFTYGVSNSGKSYTIQGGSTMEAEQRGVLPRAIDVVFNSI EGLESSAKLQTQGLADVVFRDNDEYLNIVDPLATQEPKIPDTIKVDRNFSYAIFVSYS EVYNEKIFDLLDSVLPTSPATPTQPRPRATYDKAPRGSRMQSFSAVNSSFHMAAMANG GGGVLKRQALSLKNDPEGSGKYIAGLKDVRVRTREEALAVFRSGQNARQVFGTLANRE SSRSHGIFTIKVVRIHNGAPLDPDSAQVSRLAIVDLAGSERNKNTHTTGDRLKEAGNI NKSLMVLGQCLEVLRANQQKLAGPGPAAKKRIAVVPFRHSKLTEIFQNFFVGDGRAVI VINVNPFDTGFDENSHVMRFSASAREVQTTAHNKVTVPVIPKDEGSRSAGDGGKGKAA KEGDGWGKMTADRESQGFVMVEEELEVEEQDPESEDEDEKDALVEYLFEQLQEMKTRL YESEMRSAAIEVEVREEVAREMQESMQRMHEGFTQRFNEHALASELKADRKIDIVMRS MTPAVSRTYRNIPEHSPADVTMEEEDRSFESAIDESLMASGDDSMVTSNSDPFLAKAP TIPIPTVTISRDSVPAHAREAGATDKERNGDLVGSEEQYDEEEGEESGDEGDDVDEDE ESEEEEEDEGLEDEEDDSDGSAFTISANEASDAESDQELSPPRQSSASPRKSKQTPAK KRAPSTYPLVARESSSTPQTITPGPLAERVSQLELSDDDDDEVPVKSTKKKRTLGKKK VVTEDEMERHDLRISGAEVKRMMKASK L198_08149 MSTHPNSNIKLFDDLDLSDLLNRVTLVGFDNTPKPPSDVDRFRF APDAIAMGSLQGRLFTQVFGGGCAKGSDGRRNTSFIAFDFRREQLVFKVTTMLDSRSV PRVLHPIDAELSFNDIAQRGIHITKRAHPSAMGNPNLLEVTVTVGCRRPPRFCVPLDQ SRRRATVMDFMISGAHEREAGSISTIPEGHCAYPTFCDTYRWIFRMDRQQYAKITACV QKIRALALDDPEMDLMSPSGENARWSVRILGDYEFQELYTPPDLSRLPFSTRTLLEGL IGYGVLRPVDTPNMIETLRKASPATPFQNRILEALFSQDRIRDVKALIPKLAAFLRRR PPSNQTHLVLIRTVLVTPMRVLVGPPQYESSNSVTRKYSDKLDGIIRVQFSDEDDRLY VYGSLKNADNENPAVGLMARVRRALQHGLNVGGETFYPVASSSSQQRDHSMWFINTRM IDGLSLRNWMGTVHETVVAKHAARMGLPFSTSRIVNMKINVGGQLADVKRNGRIFTDG VGLAGRNVLHESALALGEKKGIDSYPSVIQFRLGGAKGVLADWPKLVSKDDILLRPSN IKFTSDLADLNVIRVAKYQVAFLNRQFINIMCANGVPQELIISIFKDAVADINGFRDR VRDGKMTKADENLGRMCDNFPFMRLVRSGFNTNPLILDIAAILECKALQDLKWRARVK LPGGVFLIGIADETGTLREGEVFCQYQENDGTPPKIVTDEVLICRAPALHPGDVRRVS AVDAPELRHLKNVIVFSIQGERDLPEFLSAPSIDRLLIMFCRLGGGDLDGDDYTLIWD QRFVRSLSMYAPMEYEAPDPIREREVTQRHLNENFVQYILNDVLGQVDNCHLALSDRY TPFDGRCLELSEIHSLIFCSVDFAKTGQAATLHLHLRPDEWPDFMDKGGSVVSYTSHN ILGKIFRLVQADPHFRPSDLERMSYPSDPRITRYPLNDGLLERLKVLKACYERDVQYD MRRYRVFEPEIPAGIAIRNKKRRRVRDENVNEPLREAFSFGVENVRDAAANILRDFTF EKTTLTPMQTIARHCYALTFEERFVRQWEQQHRQGHWGVEVLDDDDEEMLRPKPLMSF AFCFCQELIQIVSESDSEV L198_08150 MLAPIRLSLAARRAILPSPLRLPPALHVQSFSSTSYLFAQKEDN KSDKPQAAHFAKDKPRPVSSGKAVSEHAVEKAPPGLPEDTAAQGGGRGLGLTIAQSLL ESGANVVCLDLLATPSEPQWSHELQTASSSSLSLKYISLDVTDQSSVTRTFQQIFDEA PADAPVRGLFVAAGIQLMLPAVDYPVEKFRKVVDINLTGSFLCAQAFARERFARFPSA PWEGGGSSIVFTASMSAHVANKGLDCVPYNASKAAVLQMAKNLAYEWGQKGVRVNTLS PGYIKTALTAALLDEKPELNDTWLQGSLLGRLSTPDEFRGPVIYLLSDASSFMTGADL LIDGGHCAT L198_08151 MSSLDSTTSSCPSDVKLELSSCDRYLEDIIAGIPESERCSCGKK YKKEAILSDHDRRLSERSAASASTSEEQEVEGEVMIHKRQP L198_08152 MGVVLGPRDWARFGPLFFSLALRPTLHALSQSLGPNTTPLAGRA VAHLLLQQCLQQNIRHLQRSLKTDDVGDEWRKMDERSWQEVQRLQMRQIGNAPEEEEK GRLLSSLPARFGGRGLLSFTNIAPLAYKSEQESADSFLAKIDLIHLIDPPPTPTPTPS ALGKPYFEPLRLSNHEIAAGLHYRLLTPASSPTCSACANESDLGHDQVCRLRETWSIR RHDSINRVFQSYLSRVAGAVVLLEPSTQSGRRRNDLRVLGGGGEGRNVDYTI L198_08153 MPAAKHTPSPAQFEDGRLWRRVEEDGREAVGRGSEEEGNSNAFL WRGQAGVAASCKVEALAGVPDAARCTCEHVPADIPGMYEVKKDGQTD L198_08154 MGNRRLTKKAIKGKEGLHPGSRKAHQLTRVNLRLDKLQSQGKIR KDLNTSKMTRPLFFLHSLSSPNPLTLESFRALVSEVYLKRNDPRIDELLAERRAGRPK QKELLELEEFRRRERAEYDSGMELPDMTHGPTTHLMHQWIMADASINSSHLDLMRHVR LSPKGEPVMTKKGSTEEMGLDKLEGEVGAGDDWTTLADGEKGEGEAMEEEL L198_08155 MRIPYSPLRNAPFRAASVPRMTRRAISSTLPPPASEPLSIPLDK AARAIPSLSSTLTFTAHPRPIRHTTPSSEKTSLSKLLALCSSKDASQGSFKKADEAWV LYNELSIVYRRSLPNEQLHLIARNVLPRESVIKTFAKAPDTREGGVLRAYRRRMEKVA ISLEQRVRVLASDILIRRQSPGAAPDPRLYKFLTSNLSKLAFIGEKSGCRAILQELKL RALSPSPLPPKYWNMAYNLALQSINKWLAIHCYRLSAQGEIQEAIGNLKTLIEEMKER GVESDEATGLILLNSARYIVEARKDETIVDGFETLSALVLEGGFGIRKTPLGWVGLRQ NLPVQVKLAIIEHVGRSGEIWDLVGVYEALYPSREREVVRKAEEARVLVDVEEEEEGP TLSQMVAKEKEEQSHRDWLGRPLEEPFPSPSSSPSSSQIPIRRPFDAYLPPIPTPSSI VPTSTNLSISSLSPIAQPIQSDIPYSYIFKTMLHYPWVHREAPGARDVALLMLRVALR EAHVQQALWLSSLSESDTPSSFSAPPALRADFRWFQAAWKVGRDTVNGKNDRIGFWGV LNGMIDEAESRLKEELEIMRTVVERAAEKENGSESEGESTLASAETTAYLGEIDQTLQ ELTAVRGEILHHTGVVVQKRNRAHLARRERTRTLEEQRIKEEARWPLEGGQQEDSGRI SKVVFSAESGGGVAGLA L198_08156 MTSPAPLSTLTDPFSLARLQILVIPVHRPNAPISTSLFDAYLSI IQKHQTLRGDELARPLVSARSRVGSPAVGGQFGGDSNPRMRFFPQLSGGSISASRAAI SHQVHLGYTRSPPAKHTYPLSLLRMAGFPLIVLGVSVDAEEEEGYSLGDGDMGHSTPT APTFQERTIPSFPPVTPEQAFEDTLASLLPSTSPFPIVKRLLVVPDQIPKTPSSPRKQ ASPSESSSGGTTGKDHGEVKYAPLEGVENWMTRLLGEVVGDLLGELGVITLSSTLLPN LTASNPLTGLNGFSGPGDYPSRSSTSTPTLVPPKSSMDGAPGGLGISLTRAVTPGGRP TSIQAPSLPPIPTNLAPAAPQPVSASSNPFRRSSAVPSPFSRTSSAASSGSLPSSTPT PINGASVLKYTSADLTGIAGGRLLKLLGDFYLLSGMYGDAIQCYDDGAERCRAVGDVL WEGFTREGRAVAGIGEAWEGRDGSNLTQPFPTSPIPVEIQSHFMSALACIARAPIPYP PTIASPSPQAVSGSFSIPSSTPTDPSEVGTGEGLLSLLYAGLALKISHFVLLIWASGG WGSIALSYLVTHTLPRSFPPPLTQQPTITSTSRRKHARDLLLLSSQSQISRQSIFAHA ETALGPYHKAMTMLERLAVNEEAVWLARWLGLERKEAGVTREVVKLLAGVVVENRQEM NSRALAPSPRTSMVSGAQESASLGLGLGMPVKSQAVAVRRKESTEGNAGIVALFERAA GIMGINLLPSFPSSALPHRSILGIQDTDDEEHFKRRFGWPELQVEMIKEGIAVMESLP SHPAIVKLCLTALNDLSDSLNSQSQAILAKMYPAALAIVRRRGLETGVVPWWVPGKIV MSLEIAGLSHDKTPMQHSRDEIQVESGKKDPFFYNPRTRASNSGKITLIANEAVDVFV TLRNPFAFNLDINELSIITSGAPFNTSSIPISIPALSVHTIRLSGIAPSAGLLRIQGV SVRLTDGSSTQVFLPVIDDKDKERRQKRHSRLKRESQKIKRTGMGARLSIGHRASFKE KEGEGQEKYLECTVVEELPLAWVKSTSLIHGMMMLYDGERSEIQIVLENSSSVPIDFV KLSMDDSTAREAQEIIAEGELTPEQAYELDWDQQMRPVFVWSNTQAPGEVFIPARGRA TLTVKCLGKVGCSDGLINIDYGYVNTRDKTVSPNNDADSAPVSNSFYTRRISFPVMFT IYHAIECHSLDLTYLGGSEISSQSSKDASLYEVVSAENDTDHCLLGLSFSNVYGVPFE VTLARKGEKAEAKCTRLIPPGATERLILSLPRRLLDQEALSQPIPSLSNRQYIVEKEK KTSGRIAIERERFWYREALLGMLEATWTEPGSLRHGSLSLRHHSLSPSLLQILRSDTV DVRVRVRGTKDKLSAMDFVELEVEVVNGTGKSLSRSPFHPYIHLEPLPSSPLDYSWTS PASSNRAPPPGQLSHPHKNVLFDGLSSSTLPKLENGANGTFVVGATFLAGGSYSFRAA VEMIDLGKTGEEGVEGGFESGKKIWLSPLLHLNVV L198_08157 MVNKFESYDQYKLLITGSDVVLIDFSATWCGPCKTISPIFESLE SKHPHVKFYNVDVDEQPEIASDVGIRVMPTFIAFKDGKKLDNLVGANPQGLVELLATV SRA L198_08158 MGGPKPRLVYLLNEELNVPRPTTITIEDPSGKIQEAATSFTKRI DKHLVQDFAAQETTTAVAEGGKTKKGVSFWDFVDLKLKGVY L198_08159 MIPTTSRNSPGSPLHEQTSYGVKRKYHDSPPPQPKRSRKTPDTH LPTPPNSSPSRPLSPQPDKPLLPLPLEILNSIIGLADSGTLRTIAGVSKTFRSESRRV RKRQLSAVTGGVKATNTSNSRADLRCPMDRQFGADEHIEFSVYDAECRISANPSEVMT TGKSEFGVMSSVLGEGRSKAPFKVEGQLGIYKLSWNGKSYWATRALNQEEDDAFGSQL LQLGFLGRLGALFKLFREEVKSEIVITVSYCFVIREDLPQALSPSGKAKVESDPLVRA AKVKEEEARRVALSKYATVKEALSVATGSANNDDEPREGARIMYTTFSEQTANDNPSL LAPPSWPLHPGPFILAPSSWPSPSWPFPPGRKLDRFLSGHLDGAVGALDGTHIVVNVD ERDRPRYRNRLGRLTMNVLAACTFDMLFTHVFVGYEGYEGSANDQVVLSASLEKGFAI PEGRYYLADAGMGLTPGFSFPFTACEGGDKDSPFDQSKVPFVTAEILPTGNQRTFLAL GRVAMLRGLANTFTPTLAPSLSPLPIPT L198_08160 MSSSWSLPPKTPGRQVYPDYCTAQAQLSTQIGSTSDPGRMGIYV CLLDDRPHLYPSLAALHKHRHVSHSIPLPLPLPLPLPLPPPLSQTGVQAGDAVDTVSR AAGGDISMSEGTEGTEGSGSHTPTPTAEDRDKDGPSATSTLPENVKALPLLSLSGLQD QKDQKDQKEEWFHWHYTSQQEARRKSFLPLT L198_08161 MAATAPQSAGTSNETTPRQPLWLRCEKKPFEHRSALTPTTAKKL IENNFDIFVERDPQRIFDDQEFEDVGCKLVAHNTWPSAPVDVPIIGLKELPESTDPLP HTHIQFAHCYKHQAGWNDVLRRFAQGSGTLYDLEFLEDPVTHRRVAAFGFHAGFAGAA AGALAFAAQQKEGGEGVLRGLEPYKNEGDMVREVGEALEGTREGKKGVRVLVIGALGR CGSGAVDLFRKAGVAEENIVKWDMAETAKGGPFQEILDVDIFVNCIYLSQPIPKFITS EFIAQAGEGRRLGVVVDVSCDTTNPHNPIPIYSINTTFPQPTVEVDTKGVGKRATVIS IDHLPTLLPREASEQFAGDLLPSLLKLPQRKTAEVWTGAERLFREKMEEARAFDEEQG IKA L198_08162 MSAPATDDAQIPPAGTTQTAATPAGAAAAAPAAEGTDAPAGPSK SELKKRAKEAEKAKKAEAVRLRAEEEKKAREAKEAEDHARQNYGKLPLHQSQSRDGQT RLKFQELTDELVNQRVLFRARLHNSRSQSAKLAFVTLRQQTHTLQGLVAVSKDDDPNQ VSRQMVKYATLIPSESLVLVEGIVAKADVKSCTVNNYEVKIHKIFTSVEVGDLPFSID DASRPESDFARMETEDVQFSRVALPTRLDNRTLDLRTPTNQAIFRIQSAVGHFFRSYL TSQNFIEIHTPKLQGAATESGASVFKVQYFNGGAFLAQSPQLAKQMAIAGDLERVFEI GPVFRAEDSNTHRHMTEFMGLDLEMAIEEHYHEAVDVLDNMLKHIFKGLQTEYKHEIE VVKKQHPHEDFMFLDETLRLPFKEGMKLLKEAGATNSDGTPIGEMDDMSTENEKLLGS IIREKYHTDYFILDKFPLSIRPFYTMPDPADPTLSNSYDFFMRGEEILSGAQRVHDAE VLAERMKEVGIDPATMGSYLDAFRLGAPPHAGGGIGLERVVMLFLKLGNIRRASLFPR DPKRLAP L198_08163 MATLSNYQLGDLLGRGASGNVYRALNFLTGETVAIKSISLLSLT PSLLPDIMSEIDLLKNLNHPNIVKYKGFARDKENLFIVLEYCENGSLQTILKKFGKFP ESLVAVYISQVLQGLIYLHEQGVIHRDIKGANILTNKDGSVKLADFGVSSRATAPLAD SGDAEVVGSPYWMAPEVIEQSGASTASDIWSVGCVVVELMEGKPPYGDLAPMQALWRI VQDEGMRVPEGASPIVKDFLYHCFQKDPNLRVSAKKLLRHPWMLSVKKSAESSPSSPL SLKNEPELKEKAKPNEEETGKNSKDDVLKVPEREKNSGSGTVRAKKPMTVYDQAVQRV QEWNEALNAVPKAGSTRLPLPVPRKQSISSRPQRQRGESNPPLALFTLPSIPQTSPAS AHPSSHPSGYVHGLAHGITSPAHGPGQGGGPGLLTKNFMVSDVLNRAKEEEGGEKWDD DFAEDITLSKLPSESNIYFWKSLADRRVVGRKDEPQTADEINQKTVRPLRESLPLKEA PKALEPLLEKPLTSEPSSGKLVSSDDDYSDMAFGDNEKALETKFAQLKLKAKTRRGIM HPDDIHKIPLSPISKKTPTKPPVRSVSNPTPPSTLPVPPSPRPGIPGRSSPSSRQNSL RGKAKVGEEVEEQMKKYTENEEEEWEDVFVGNDSRLSHHSKTLPRSLHLTAPTSSTLS LSTTTPSTPGFPQSGAYEEEDSEQDPFAEIDDDFENVGEDDMEANVVRDKRATLMAAV GRLIDGLDPHKSAFELKGVCDELLDLMENTTPEMGLESHFVAHHGMMAVLEVLESRLS REVAVRLLRLVNLIVGSDVEMLESFCLIGGIPVIIPYTSKKHSLEIRLEASTFIQHLT ASPLTLQMFISCRGLRILVELLDEDYVANSSLVGSALEGIKEVFELQSPTPRNDFVRM FVREGVIDPLSTALLAILKDLKGSYVEDEKEKEKDAEGMAVYELANRAVGVLLLFCQV AQADGRVQEAFAARTIMIRLLKACGLLPRKLLITAIKAIKHLSTSPQLIEVLQNSNAM DILVELLGKSIKGSHSNEICSHLFQTIYSMTRLSKSRQEEAASSGIIPLLKRVIQNKS PLKQFALPILCDLANAGKGSRRLLWQNDGLRLYLDLLQDPYWRVSALDSILSWMQDET ARVEDVLLEQDSCDSLAMCFVQASGVSFEGILDPFLKILRLSTSLTSSLSHPPFFSRL SDSLERSSKAVTKLNLLRLTKAICESHPDRQTLVERFSLADIVERLSRQDGAVLVREL AKEVLPGLLFGSDVPDLGRAVVREELDARDGSFRSSREKSDGRRETSSQLRRALSENV VSDILHPHPRPERPVPALPSTISRATGSGSTRHGASSSISTFSSSSSGSSRGAHRLSL RPVSHTNNASLSSSPSTSLSSRGRISPLPPLTSARPYRQPSSSFPQPMLVPLADKDAE KGAAEKPKHKRRISRSQLKDMQWQTDENGNVRKDMGRVGSRLSGFTIE L198_08164 MTPLRNWTLTSSDSSPLAYLLKAQQQATSDRFSPDQVNYTKEED QDTGRWAQVLVGEEEDGWSFEHLCTSATFQQESEACRFLCHHYSRPPFCTAGLSMFLL PFDPSIPVPPSRSALSLRPSLPRRFELGSDLSTPHSALHLGPRQVVSRTRNLRDWALQ CSIDFSCRAESAKSEVGDTLKIVYYDARFDQSPFTGGSKPNTRTGPDTEAFSRPGLRR AEEVRAFWALVKQRQEKERIEKERILQE L198_08165 KEVLEHILGIAEHVKLREQSVRAGVVRDKVKEKLEGHESGRQPL RDLQETWDNAVHKANGKVKVMQDRQKHTFANLRETKQALDQLGTRREDIADQINKLKK KIERERLEKETCKTNIGKCEAILAEPREDHEVELRKAKEERRYREKERDEQELGRQYE RESHELKDIDQAINRLHHEKSTFESVERRKEDSARQASPSISFLLDYLKDNPDSFEGK VHKPLMISVNVPNRQYAWQVEACTNWPQRQTFICEKKADYDHLIALNGRPLPQKYIKN TGRWNPHRNSGPPKVQLYLALQEVTTDTVNPSRPCTVERLNEFGFDGFAIDFVDADPG VIAYLQSVCKMHITAVTQKPSERVRTDELPSLGFKQWGTAKDWTRSNQSTYGRKAYSQ IITAKTESRSFNIVIDTEAVAKKVDEIAKLRRKKEEAEQPHGALRDKISVVIGQKKEI HAEGHAIEEKIKALMYSSKRYTKAAHDIQLFKEKLVRLEGLPSYEAERTKLNDMRIKN ARDRLRPLAASTNYCDTVMDNCADLIAATFRQVQATTNKKMIDDKVKSGSARGMVLRD RYETASKAFNRAKALTNNKWNEIKARLKPTDRTVREEVTKRAKDPTTLPSVDQVLNDL NTVKNQLEMSVNIPTAVIKQWERRTKELEAAQKIVDEEEEELAELKEDITDTLAKFNP ALNTLVTAVSNKFSEAFERVKCRGEVGVDRSAGSDYGKWGIKIMVAYRDSDDLAVLSG SHQSGGERSLATVTYLMSLSEMSRTPFSLVDEINQGMDQRAERAVHNQLVDVTCGSES GQYFLITPKLLNGLEYHPKMKVLIINNGIHLPDPYDLTQRYGALKASLNKYRSTHGIT A L198_08166 MFGLHDHVIEDASPMPNPSRFSFGATDLHEDDLEANWPATQMYH DLYTQDLASSLAQSPMSDAYRIDVLAWDPKVRLIELELLLEQPGVWEAYLSDLNSMAT SHPNVDLEDT L198_08167 MSLHFPSIFIIHLGGAFNFPHPPSASQAPRPHLLAVTMVHSVPA PSVASSTTALGISDPSGSTSAFQAFHTIVLVSAGSSVVHLASLQQTSTMGLSSGAIAG IVLGVVTGCFMIAGVLIAWHAQEEEDQRGKGGATCCARDLRSHFHLCQSSRYPT L198_08168 MLINQDSRLLGSYSWEIISGLWSDPQDHEFDMNEEREGRAPDVE FWKEIDKGWWFDGDFVVRTAHQQQHKLSAHRRSARHIKEGRDETSGAVMYRYKEHRST RAAALIKQSSSSSSSVSSLRTVIGDSSIASTKTLNYRKATAKALK L198_08169 MSQPQTPPQSQEQLQLPTYPPPPHESSHHPSSSPSHSPSSPTHS PSSPSPSPSSSSPSESPQQEQQLEQQEQPQAHAGWQRTDLGLQLAVSFLFALHAIFLG AGLVMTWKGRTVPGGRVYNNAGPGFGIIGALLSFPPLIAACRKVQGTESTALGWLAVA TAALVLGIVSTVGNMVFSSLGHG L198_08170 MSAQEEHQEQDQQINDEDIVEVVEDDGDDIGMDSDSDGDNDKYD GEIIIGGPGPGEEDEMMMEEEEGEGEQQREDNSVGVNSVHAEGQSVFTIALHPSFPNP PLAISGGEDDVGFIFCPIPADPSGILPFTSETFTPIKLDGHSDSVVNAAFNFDGEMVA TGGMDGKVVVWQRTKPEAASEQATVEEWSNWQKIQELETGTEISWLQWHPKGNVVATG CEDATVWLWNLPSGNTLNVLSAHTMTSTVGLFTPPGKQLLTASIDSSLILWDPRDPSP IWKSSIFTPPNWPDLDPSEHGITALAVSPNGQIAAVGSAGGQVKLVGVAKGDQLATKL VGHAEGESVEALVFVDLLNGATGGNKGVVLVSAATDGKAFVWDVATGRVRAELSHSEP ITTVTAHPFPQLHLVTTASADSTLKTWDIRTGALVGTHTGHMGVVNGVAVAPAPGGEG KALVSAGDEGVSLIWKI L198_08171 MPANARNSYWPYDNDPKRTTLQGRITPTSSSAASRPSSSNITQA PAGGKAKRVATASLEAQGYWPYDNDPKRTTLQGRITPTSSSAASRPSSSNITQAPAGG KAKRVATASLEAKGYWPYDNDPKRTTLQGRITPTSSSAASRPSSSNITQAPAGGKAKR VATASLEAEGYWPYDNDPKRTTLQGRITPTSSSAASRPSSSNITQAPAGGKAKRVATA SLEAEGFTAKKSRVGGEQFMVNPPASKTAPRRPIASTRNELASDDIDDGISPGKDDDD DDDGSGNLKDAMRVTGDDFWIKYLLKPLEQHASRLGLNPAPLPETTYKRLSEVLDFVL ADKSVYFVWTVNSMSKNVRKRLLRLLGFFMPTNFQELLAGRNPPSAAQIHSLIDANGV YCNEHGVRVDKLEGRSEVHSRCVGAYWKVALPKGSVHHHPPLRILIYTGQTAQVSPSD NSMGFRVRWEHHLSEVYRHDKTNKKDSLFTKAFIESQEEYRMAFVPIVTVPVPVGTKL DIPFRNAVKFFARLSEAICHEACSTIYRVDPGCAHTPKSFWTDSPREYTGMNSRDPLR ESFGFWELGKPQRPSPKCSTCRKPFETKTSRDRHEVEVHGPKAFVCGHDGCKMRCTSE NRLETHRKDVHGEKEHDCDRCESKFKTLGRLNEHRRDVHGDKVHACDECEKKFTTNSL LKVHRKRAHGEEEFACKIEGCKRKYRTEGLLNTHKKNVHGEEEHACDECEKKYRSKTL LKAHIKNVHQEKTVSCREIGCRTMFRYQSQARTHPSDPIGDILCPLVGWVLRSDRCEP ENEHSPEPSTCPEGHEWNPHTCTCGGGSPSQHDPTPSEPPKGVTYQDDCCVPTSPDCP EPSCPDWNAHTNTCGGASPSERALAARHTGILYGANSFKARQLAK L198_08172 MLFGNALSLLALAGYVSANGLGLGLGVKVNVGVDLGLRLGAPSS VRDQCSNKGDFLSELLGKVFCCSDDNRTDPEDGLTCPKGWSMHKEEKCCIPPTETAPC DCGEGYTYNEKTKKCDKNQGSCPDNQWWHQRTNSCIDNSKPSDPIGDILCPLVGWVLR SDRCEPENEHSPEPSTCPEGHEWNPHSCTCGGGSPSQRALAARHTGILYGANSFKARQ LAK L198_08173 MPSRSRSLGAIFSEPGFAEVLEAIFHRLILRDTLALIRVNRNTR NVFKSSSHLQYTHLCRKHSSLPPSAAASRHPPSARLIKELAERERRLEEITPVGSSTY RLSSRVIAFEQGLIVAECDTKAPPLGFEDPPPEYMDPTGWEIIRVPNAELDKDSEMRG GIKRQDHWTWRTDYGGYCEAVACVEDNVAMVIKQWFHGETLSRVEFFFYQLLPPLGTP PPEDGNFDPIIHPDARIPCIQVVLPAAWPQSAELSHYKLCPEGRLAVQFEAGRDLYQK HPSGRGRNDLMNKEIFAVIWDWKAGVCLGQVPLFPDISTDFSGIYPVGPFCVTATHRK VPSLKHPELYESVVRPYTSFSTEADEDGYLTQFSLDFHTLLPLPLAFPPEHHSQDSTG TEPHTWRAGYIPYCLPLVSFDLPFEASAEFGTDVYSIWKDFYVVGARYKKELHNGDLR GLLLFTCHSVDAPTNVVDLNFVLRHLTKRLVEQAQACLSGAGLHLPLLSVFAMPTSTP DVSRLTRVEQTEWASSVLTMSTYANNLNMRGVWSPSSVIHSDMPELVPYLPEMSHIKL EDYPGTAEIRPASMEGCNPFSGEFGAREVKVIELGLEMEATGAIFVFTNYGGDAARVK PYAGPRPDDPQDPSTTLFHQSFGPKDNPNSITLNQSYDEEMETLSAEELGMVLPQGTP CLPQKSKTAMMWLEREGRWAYDPADWEHPAFVRFDGSSVIYDQTSVSVGRGRYDADRL AAFTVAYPQVLERDAPEDGDAQVRQF L198_08174 MPEEDLSLSYELMTTDQDDFFNSMTAEELQFLGYGTGPYQPSQY DDGSMMVDSYPPDDGAASVPYNEELDPDSALAQQFGEVFYQSFQQPPPQ L198_08175 MLFGNVLSLLAVAGSVSATGLLGLDLGAKVNVGVGLDLRLGAPS SVRDQCSNKGDFLSEVLGKVFCCSDDNRTDPEDGLTCPKGWSMHKEEKCCIPPTETAP CDCGEGYSFNDKTKKCDKVQGSCPEGTWYHQRSSTCIDNSKPSDPIGDILCPLVGWVL RSDRCEPENEHSPEPSTCPQGHEWNPHSCTCGGGSPSQRALAARHTGILYGANSFKAR QLAY L198_08176 MDTTSTTPSTIPTTQQVYTTLRPLLFAPRSTLSAVFQLLTPFPS PSSSQPPTPSQTPSQSQSQSQSRSQPQSLPNPLFPSSPSYNARIQSTWLTHTNNTTNN NNTPSTYPSMAQWRGLRTKRLSQAGTSTSPEGKPLKEAKLQIDRLERTGKLGVLDSAL FGSFAEDKEEKGERRERDGEEDYYPQTFGDLLMDSLLTGTAQDMSIAEEVLRDVRERG ANDLKERLELFLNAANATRDRRRVDSSLETSPQGSGSA L198_08177 MPLTMPPLGPLQPPGDTPRVPVRQIMAEFVPSALDFSSIDEEVS RDSTVSSVDVLAETEGDGVRIIKDTGMNDRFLAALDNQLPAHILASKRLLTIIFRKQA LDERYGEAGNQHIYSERDLPVMMEETFWRYVNLVMESKALDKNLRGEWRSCGSRNGGV RGWICVRKVDGKVQALSETIMPSMLRDTSDHAGRVQRLLEEASKPGGMRVIVTGEKSV GVVEEDGAEVPGMEYWANCIAQFWEKYRLFDTANIMLLSSSEIYLPLERDRSAPNILR VGLSIARDAGMTGAGDGALTCLELAIASTLSLPAPSHVLPFLPLPKLKIENASSEGQG GTLAGVFTSMCRWAGIRGSKEAGKQRKAKRRRVSKQGQDLSENRFESVRRSSGSAHPF VWARLHFHGCSLSDSGRSVAQHFFGPIGLSDSSIYGWIDKDLAHPHLDDCTNIFSSPP LLPIADPPLTPRANPRKEAPITAAITLSSSPTFSVSQPIDASSASLTSRVPANHPDDL PPLVVKTMRPSTFDDAKGSAGYGTGAGAVDAWEAEVELYGGVLSCLQGHIVPRCFGAP RGLMYVSREWESREINFLILERVGSAVCAKDEDLPLLPEQTKLAICSLYEALHSVGVI HGDIKRRHIRQRADGSLCIIDFEGARRVSDGAWEDEMLADEMEEVKAMLGFGND L198_08178 MWLPSKDNALADALSRYQWTEVCRLDRPAFWAALRWRAQRSSLC IYIPFIRCTPSHLDHRLAMSTPTPVTILGSTGLTGSETLQVLLTSSNPFSITTATRRP IPSPFPKCKPTNAQTVLDERI L198_08179 MSSPFPQLLRRANIATYDPLITRIYTTTPSSQTRHADWGLKFPV HRTKGPRYIKFSSLDAGPGYDLDWRSAEREARFMEAWGSGRVRWDAKEKAPREFRRSA AGAGYGYGGAEDWETASAMVEKVVEKEEWVRDVESLSEEAFEKYLDTVRGERKRFLEE RLEGMAETTKETLVLPEDKTLVHLSTGGKVRLHSISSLESSIHNANRASTTSQKILSV PHPLRGMTYANLPPNPNDFNPTTSFPGHALNPVSASNANKGSRVDMGGSTNRPLVVSA AGVTGLTTRSSYHPDFATNQEQTLVDFSRQTPEHGRGRFKAVDARATGSPTVLALAES GAGARWTRRRGGAGGAAPSPLDSAHFDINFAILRPSSSSANIGTPDWLLAEEQKQAAA STGPILGASRSERRLGQAGDVLRTQEQQQEGRAAMRKQRTDALSAIRGILAKHRKEE L198_08180 MPPLPPTSPKQSRVANFLDADDQDDGDLHEEHSAPSTPVRKLKA KRKHGHSPKVNGDGEGPRSAKKKKRVEVNGVKGKDGGAEGRMKEAARLLETRKNLPFY QGRKMILEEIMSNDTTIARCGKSTQLPQLLRSHPLSLSTYTRPLKNPGPSIAITQPRR LPAISLAARVAQEAGSVLGGEVGYSVRFEDVTSRETKVRYLTEGVLMRELSGDLSGGD NEEEVEGESYKGLNLLLHYDIIILDEAHERTLNTDFLCGTLKKVQRIRKELTRRQKES EEKGERITGKRMKELKLVVMSATLDPSKGRDALLVKGRMYKVTTQHVMEPVEDYIEAA ARQVMSIHCTPGAEGDVLVFMPGSEEIENCVELLKRASSQLPDTHASLQALPLYAALP PAAQSKIFTPPPPSTRRVIVSTNIAETSMTIPGVAYVVDTGYKKEKEYVFRNSGALEH LRKKGISRAAAWQRTGRAGRERAGHSYRLYTEKFFSDMPEFDAPEIQRCNLSSAVLQL IAMGQNPFEFEYIDSPGRESILAAYQELSGLSAISSATSLTDIGRQMLLFPLDPPHAR ILLASFALGCPAEIIDILSLANAGGNLWVDKVSERDQAAEARSKFINREGDHLTGMNV LRAYLELKEAKQDPSSAARGLDTSQTLQGWCKTNHVNAKTLTQAIKIRAQLRELCERH GKDWKTTCGAEWGVVGRALLSGLFMNSAVVQADGTYRQTAGNLTVKIHPSSVLMSKKV PAILYDELAITTSFYARNVSAFEQQWLTEIPWFKQAGTGVAKAVEKRSV L198_08181 MLLSILLHLSGHYRVPLELGSLASTAHPLSVSTSRAYGPILSTS ASPTGASTTPSLPASFGITSTPAQQKIPLLVTSVDSFTQRVLSYLQILGFEHVSVRLA ISNEAMLESAESWQPDIVLCPFLTNKVSPSIYNTWITLVVHPGLPGNAGSSSLDWDLL GDNGAVPFSTDLLPALLSTLPSPVAQRPHWGTICFQATEDLDDGVNLHSPAVMTAVIT ALIRVYGKTAGMDKAEGMNVAPEKGWQELSVSLGERFLGGKTHERPLLQFAKRKPDWE KHTAEDVLRILNASDSQPSAMLHPLTSASKASLFAYGAHLHKKQDIDNEKKTLKAEDA GVEEIEEMEVKWYLRKMDVCRMRIMCLHGWS L198_08182 MPLTMPPLGPLQPPGDTPRVPVRQIMAEFVPSALDFGSIDEEVS RDSTVSSVDVLAETEGDGVRIIKDTGMNDRFLAALDNQLPAHILASKRLLTIIFRKQA LDERYGEAGNQHIYSERDLPVMMEETFWRYVNLVMESKALDKNLRGEWRSCGSRNGGV RGWICVRKVDGKVQALSETIMPSMLRETSDHAGRVQRLLEEASKPGGMRVIVTGEKSV GVVEEDGAEVPGMEYWANCIAQFWEKYRLFDTANIMLLSSSEIYLPLERDRSAPNILR VGLPIVRDAGMTGAGDGALTCLELAIASTLSLPAPSHVLPFPPLPNLKIESASSEGQG GTLAGVFTSMCRWAGIRGSKEAGKQRKAKRRRVSKQGQDLSENRFESVRRSSGSAHPF VWARLHFHGCSLSDSGRSLAQHFFGPIGLSDSSIYGWIDKDLAHPHLDDCTNIFSSPP LLPIADPPLTPRANPRKEAPITAAITLSSSPTFSVSQPIDASSAVDLEFSIGSLIDRG RLSDVYSLTSRVPANHPDDLPPLVVKTMRPSTFDDAKGSAGYGTGAGAVDAWEAEVEL YGGVLSCLQGHIVPRCFGAPRGLMYVSREWESREINFLILERVGSAVCAKDEDLPLLP EQTKLAICSLYEALHSVGVIHGDIKRRHIRRRADGSLCIIDFEGARRVSDGAWEDEML ADEMEEVKAMLGFGND L198_08183 MPESHRLPPILSDSPVITTRQMLANFVPENMDYGHISATSTGAP TAHTRKVFRETVDNGVRFVLDPGLNSRLKEALDDAVPLHQLAPDDLAQTFSERQDSLA HKRHEEDEEDEDEETGDEHEGREEGERDEGGQYTIFNEPQLSARMGSVFWEFINFVTL SKIIPIRSCGFWRPSSVPESGAPDWVYVRKREKTVASFAELKLHTVLPAAKVQQLMTE AGKPGGMRIIMSEEEHWANAGSQLWEEFYMYKRASVVILSSYELFIPVQRDPFAPNVV RVGLPIGKTGPVTGYGVGKLTCAELAVACALPCIDPMPSTPFPPLPKLKPETGSTGAS RKRLVSSSNIRTADIARQDLALYRKHQLQPPLQTQQQQQQIQQLQPPQLHIQPNQPQP PQPQPPQPQPPQPQQHQDRPLWERVDLFLQMAVVFFLSLSAICLAVGLWRDYKHPTVA GRALSSYAGSGLWIVGGLLSFPGVIAACIRGPGAGLAARRWLAAMMATLLLGMIDAVG NFVVSSRRHD L198_08184 MSSRTRTRPPTARTPTKRSRPSPVSTAPAAQPVDRKCSQVPRVA VTGYMRKSSKTVEQRIVKSQTESVESVQEDVASFGSARYDRPLVGGGGWADRLQPVAG PSRPTRRVSPMPSIPIGTFESQKTAISLASLPDPEQLTIRIALPQGTCLDETEAGNWY VAGEEVLVEAMRKEKEGVMERRGWNGKGKGKGKPLEENVMWLSAPLVHPCICSVEAYA NRYTLAHPPPQHRTLTPLPPHPKPSHIPKVGANLAGWTQGLDKDLDMTLDEMEEFEEC WTAVPAQVVPLPVVEETDVQDDWERLLPARDLSAPSRMTSPPPRAGATAAAQVGGELQ GSEASVSMVLGREDVAKRRSAWWREQYASDPVYGRVYKIIKPRSREPPIFQKYPRTTP VPTYTPPAQLSSWPYHPYHPDIHHYIQKRPWARVCWVIPIHGPVHIPGINDPLEPFVP PVSAAGGSPKKAPMIPRQLIPSSGVLALDSASLGAEKSASTIYWTPQRLKYFIQQWLI PSWQDDTKGLFGPLSWAVQSGNLDWWNLRDVGEGRREGEGLHVEVPDGIAGKKSVKAE MGDCLRIWCDARRALSLRMAICRTWVPEKDYSQLDPTWPVPEPPPDPATLSEEEDDYE LGTWEEKGEWMEVRERRKKMEARRMKMKEARREARKDREARKAVRKEKRDEHTAEIEK TRVMFLEKARLTLLDDKGQVLVVA L198_08185 MLQSTAAKRRLIRDFKRLTSDAPIGISGSPNPDNIMVWNAVIFG PPDTPFEDGSFRLTLTFSDSYPNKPPTVRFISKMFHPNIYANGELCLDILQNRWSPTY DVAAILTSVQSLLNDPNPASPANVDAAQLFKENIKEYERRVKKTVELSWLDNTDDLEE ATGGDEDAS L198_08186 MLIKQYGCSDESTIRQAQSRLRRQSFRYETHERHDDQGDLLSLK KDDLQCIKDKLVARWGEEELQAQADLQREAHSKAAREEREDEREEAYRLFMSITTKRG NLTNQHRIAQEALQRGDITTLSFTVPSGSRLQAEVVQLEEGMAEAAKNLVEESSVDTV YRLCMLHEAHRQLREAVRSHKFMLEPIRNVRMGMIAPLGYRATKDLLRKIRQGKLAQA MNKYNAALNAYCETDHPDHPPSRAESVKELLDMPFDADFWKDTSVLFENNRAPWDWDP ACRRGIKALHLRNRSREELVAFVLKLRKWSGGLQPTIRCLSPRRRSGRRDRSNGIVT L198_08187 MPQVTPSSKASIFPRTAFTVSTAPTEVTAKSVGHTLYSPSVSFS ADDASRSRMREKAELLGTEVLNSVDTFLSSNLINKEHYTRPTEKESCQAMEDLVRTYA KKAETEKVGTVDWSIISLDLPESRITRVQGSGATDGPERG L198_08188 MAQDPSLWVTGTSLGLQALVPIVIGSYKSLKTPEDTKRRLRNAQ KGKIPLESDEDEDDGLEEPLTWWDSLLFPVIGSVVLLGFYGIVKYYGTEWLNRFMNAY FSIAGVFAIQSTFSTILSFLLSALGVTSTIYHVRVSSGFRQIFHLPVTLSSLFLIPVA ISIPAAYIYFGKPYILSNILALSLSTETLGLLKLDSFATGFMLLGALLVYDVFWVFAT PVMVTVAKTIDAPIKILSPKTSPFASPTKFSMLGLGDIVVPGLIIALCLRYDLYRYAQ AHKGQNVTPKSKFGRGYFNVAVLSYVLGLGVTMAAMGWSGRAQPALLYLSPACTLGPL LYAAVRGELSQLWAYSESSKTPEDQKLLDSTIEAASEAAIKARAEAKAAEAEATVAAN GLDEKAEQKAEDDSWMNDGAGVGTSEAKPKKKKGGKKK L198_08189 MVQETNKAIKTGGDDLDDGLDYDQDLLADSDAEDVASEAGSEIG DEQEDVRDGGAPQYVIEGEETEISMSPVIEGKKRKLEEDETVDAPAAADDEQAKAADK KRRKKEKEKERKQKKRQNADKVSGASSAPTHLAKEDLSAILLRSIRESYPSGTAMEIE DIIIPQDNILPPPSYPAPIANPSNPFKPLQQKLDTLIENKKAPKSNGTPKVIILALSG LRCADVVRAVRDVKGEGEVVKLFAKHFKVADQVNYLARTKVSIAVGTPSRVGKLLAEG ALKITKHTTLLLDISHQDSKTRTILTLPEVRDELWKSVFGGEGRKKLLENGAKVGAF L198_08190 MSNSEQDYSVHIPDDSCVARTHFVISAKPYEELGYDDEPVTLWQ SQLSHYKYDASAPFADISRRFGSRVKNTLANFKRRPESWSVLNSESRQESLNNIEELV NACAAQAVYKEGIVVWDVERDEGSGEQESDDSSSSPADDDREEEGSDATD L198_08191 MFNAINHLRARVATLFAATPASFARESAVTEPVSHASHAGVSSE RSVQVVPAGSVSAPAFVHSDDGIDVAVNHVFHAHDALTTSTHWVEDDYLMTVRKTTLL DEPARTCANRQSALSSASSSTSTALGQGRGAGIDNINITSATGTHADRQAQSRPSSGP APRRRQLDFMNATHAPYPRGSTRVQVIARHREQIKVTSGAIRIPTANGSTRAVINGPR VSVAALCNALSGATPATATASSSRSVFAPDVIRRAESPVGSDRAIAIRPKPSFSSAPR VAPPARLSLRGPPPVRTILKRRINEVPNDDTESELARIPKRTRVTPPLQLVVSAPRQV SSSSSTSSSPASDIILTPPHQDVALPVDPPSPRCTKRTGDQVDEDADAQNESSSELPR VTKTIRFDTVPASAGDANYSRADTRTAFVSPPRAFLLGAPPFSPLPSHTVVPETVTAQ GTRATFAPPPRAFMVGAPPSTPLPCTSGRESFLARGAAAAAPPSYSRGALSRSESDLP LVSLLELVTGRSSSTSRVNSYEA L198_08192 MPPTRGRRMPCPACDELMPEFDINPHKERDCPGLFPGQRNIDHL FPQPTPKATPATKPASTPKASPREVFDLTDSPPPKASTSNPSTTGQTKIGTTQKKPER KSSASGPGPEPTGKQVKVAPIFGMPQAAAKRKAESGRGPAEEPSTDKRQKQQPAAGPS VPKVNPLTAAQPLAERSRPSEISQYIGQTDIVGTGSLLRAQIESGKLVGSCVLWGPPG CGKTTLARLIAKCSGADFKELSATSSGAADVRQVFEKAKNGLTMTGRRTVLMIDEIHR FNRAQQDLLLPYVEKGWIQLIGATTENPSFKVNGALLSRCQVFTLQAHTSESLQKILH NALATLAQSESLPYLPSDLVPFLADVSDGDARQALNGLELAFRTCQTLDAAALAERAA SSKGKERDAFDMDIEDAEDEADKKKRDGQIMDAVRKGLQKGYNRTGEERYDMISALHK CLRGSDGSAAMYWLARMITGGEDPLYIARRLVVVASEDVGLADAQALPLAIATYQACQ IIGLPECRINLAHCVAYLAEAEKSTRSYVAYNAAEQLTQEPPLPGVPLQIRNAPTKLM KQLGYGKEYSYNPDYAHPVHNEYLPESLLQHSSSSHDSSKHILKTPEEYEASKRTDEK RLREWKLKENMGRSAADQ L198_08193 MSSYTLRWGIISTGSISTSFVEDLLTDPTDRKVNDVAHAVTAVG SRSLESAQKFIDKISSTSKGKSWAAGVNDGRFKDVKPYGDYQGVFDDANVDAVYIGTP HIVHHRNAKDALLAGKHVLCEKPFTLDRDELDELIAIAKEKKLFLMEAVWTRFQPIAY AIEEVLKSGKLGKPKRFWADFSMDWGLESTPHDAWMMKPEFGGGSLLDSSAYPSVWAM LCVHRHPLNTIKEPKVVNTHQTLYKPTGVDKNSRWLVEWDGFCLAALTTDLDSSGLRD ATAVLQCEEGDLVIPYPPYKPTQFTINPKPDGYLGSITEKTTYDCPLAPGVKGWAYEA DEVARCVKAGKLESERMPWEESQIVQGWVDEVRKNGPSKSAGLKGTAGQ L198_08194 MSAPSSPKLPVAILGCGRMGQRHAHNFHHLTPRAAIVAIGHPSA LAAQWVQDHLPGVLCYADPEQVSSLPNVDAVVISTITSTHTPFTMKAIEHGLHVLLEK PISVDVEDTRPVVDAASSKPEVKVMIGFVRRFDQALNGLAGELVGSKLGKSYLLKSTS MDPYDPVLESLYLMPRPLAASLWTAGGIHDIDMSRWLLSLPSGTPVKPTRVIASGLIT AHPELADQGYCDNALAIIEYDNGSFCTLHLSRTGMAGYESRVEAYRKGGKQVITMEKE AAGAPFKSVEAGTADMPSYMDRYGVALIHEAKAFIDCVLDDTPSPTSAYDGLQAALIA KALTHSFQTGKAVEFDRAGEPVLL L198_08195 MKFTIVSFASLVLLASSASALQPGDIPSNIAVRSSGDGEIHHYY EKDAIEYFKKRSEAAEAELAKRESEIIELRKRQDLESDLYPVSSSGGTSGLSTFAGAG DAGGASQNAQ L198_08196 MGKAQTKKKTQGWRHNPVRVPDAHLGAGKGEGKANPAKAEQMLP VLKKLGSTEYADRIWACAAISNLIQNDAATRRLFQGNNVVGALIERLTDDVDEVVVEA SGALRNLAIDGGRELCGEMANKGIISHLAVLIGKITTTVDQIMTSTVPDTDESYQARK HLLSLSENVISLLWCLAEAGPKSLASVNGLSCEILLVKILEGREKLGLGVSAAAAQTL FALSQDNFPFRKSLIVHPTALPILISDSAAQGAEDDLPDGRALLRRVHVCGVLRNIIR AGSRADEKVGINELTGSTILPLVNGLLDVKLGDVCERVSQLVQQIPPPTETLSSNSPQ TDHKTSTDVALERIERNLSTVVAALEVLTNICAGLEDAEEVAAEIAEEGGAAEVVEDD EDADMDDDEVDDEGLISRAREPGAEVEMEAAGVVINPGATLSHLLKALNLPERLTALS QPLALSFPPATSEPSLHPPTTAVLSVLHLHALEALNNLLLTAVASIDNADGPKAVASI REKVPAQGLWDSMFGIVCAVGGDQGILNKKGQEMRMEIMQMALGGLWGAVKVNSEVVN VQQDQVQALMDIIPLLRDESSKSRAIEILSSLASRSSTSPSENTLISSHLISLLPSAS SPELLISLLNAIIDIYSDETRSYDAVFEQQAYAQVLAGLVGKVRAEVKKVDKRKDAEL RVRGEEVYENLVAFIKWRRSLK L198_08197 MSEEQPRALAIRSIRESNPYVGRQLSQRASQFPLRSQLVTSMTD RLNNNAPSVASKITSRVSDWGTLEVNGTLGTVMATPDGLQAKVSYSWRTWRPDHTGVV DTNIEARMTADMWQPIAPIRRASTGPSSSNPWDPMTVTDIVNKQHARIVKRAGDKAKR ELRSKITELESSAYDEMAKSTEEVLDNLYNKNDFAGKLDTAHHALQSKLSESYLPFVV ATKATVPGGIDGNGDPSSFYYYTSGTLTSDGPDQLTFKGTYEGTAFRGAGDDEDDEEV LELLPCDFDVSVSPDEVKEYKSYLASFHGAMDLDE L198_08198 MAHPYHPLASPWAHAAVCCDQDHGGSSSQSHHHPRPPITPRGPN FSTHHHHLNEPSGCTSDCHFDTFCCSGDYCCDQHVHLEGGDGKNEEGVKHEESLGKPS LASGDRRMSEIQTAQTLEEWAGTPEGCDAIQQLIECCNQPDCNMPVCPTENSAVHPPP LDPLAAILSVDNQVQPIASASSTADGSIPPSHICHWGNCHLVFGSMPDLLAHVAADHL SAWNSAQRPDQLLLQAQQAQQQVQSSHLPTVPLPSPSPHHQHQQQLAQTAKLQQDYQR QLESLRATPAIAQDPLLSCMWDDCFPVTDIPASLTSVFHNPTHPPHPPPQAGPEHVHP NGEPFSPGTILRHVLEEHLGIPPDIVGWPTEHDMQNQQPPKHHHHHHIDPREAQLHHS NNCSHVHPHPHHASDTRHGLPTPPSTVDTDPSASPPSPNLNLPGKPLICLWPECLHPH SFPDTSSLMDHLSEEHIPKGRDAYTCHWDGCGGEEGRVFKSRQKVLRHLQSHIGHKPF VCGVCQQSFSEAAPLTAHMRRHAQEKPFKCEHPGCGKSFAISSSLTIHMRTHNGEKPF VCPHCDKGFVEASNLTKHIRTHTGERPFACAHPGCGKKFSRPDQLKRHMIVHNRAPGE KRKGSGVPAKG L198_08199 MNVDYIPQPKTFVYDPMHDTKLDAYLPTKYGVHGKVPALVHFHG GGIVTGSRNDGFFIPFLREELPAKGILVISADYRLLLPSTAEDILQDVHTIFHYVGSH HTKLAHYLSSANLSVDPARIIVSGASGGNYPAKAAATLTSVVPRPLGWLDFYGEGGDW LGDFWVNPYDVTPHMGYYKYDEAKAEELERTKGGGKVISDSPVIFGTDLVARDLDNRF GLFAHWLKNATTLDNLLSSPGLGAKLAQTPRSERLSLIPTDKQHLLLPITPQTCPAYL VHGTVDGMVPVEDSKAIERDMKELGLKVGTDYVDGAAHGLRDPKTRAPVGSMPVIVQG ALKWIDELVEVRQ L198_08200 MFNAINHLRARVATLFAATPASFARESAVTEPVSHASHAGVSSE RSVQVVPAGSVSAPAFVHSDDGIDVAVNHVFHAHDALTTSTHWVEDDYLMTVRKTTLL DEPARTCANRQSALSSASSSTSTALGQGRGAGIDNINITSATGTHADRQAQSRPSSGP APRRRQLDFMNATHAPYPRGSTRVQVIARHREQIKVTSGAIRIPTANGSTRAVINGPR VSVAALCNALSGATPATATASSSRSVFAPDVIRRAESPVGSDRAIAIRPKPSFSSAPR VAPPARLSLRGPPPVRTILKRRINEVPNDDTESELARIPKRTRVTPPLQLVVSAPRQV SSSSSTSSSPASDIILTPPHQDVALPVDPPSPRCTKRTGDQVDEDADAQNESSSELPR VTKTIRFDTVPASAGDANYSRADTRTAFVSPPRAFLLGAPPFSPLPSHTVVPETVTAQ GTRATFAPPPRAFMVGAPPSTPLPCTSGRESFLARGAAAAAPPSYSRGALSRSESDLP LVSLLELVTGRSSSTSRVNSYEA L198_08201 MSNSEQDYSVHIPDDSCVARTHFVISAKPYEELGYDDEPVTLWQ SQLSHYKYDASAPFADISRRFGSRVKNTLANFKRRPESWSVLNSESRQESLNNIEELV NACAAQAVYKEGIVVWDVERDEGSGEQESDDSSSSPADDDREEEGSDATD L198_08202 MVQETNKAIKTGGDDLDDGLDYDQDLLADSDAEDVASEAGSEIG DEQEDVRDGGAPQYVIEGEETEISMSPVIEGKKRKLEEDETVDAPAAADDEQAKAADK KRRKKEKEKERKQKKRQNADKVSGASSAPTHLAKEDLSAILLRSIRESYPSGTAMEIE DIIIPQDNILPPPSYPAPIANPSNPFKPLQQKLDTLIENKKAPKSNGTPKVIILALSG LRCADVVRAVRDVKGEGEVVKLFAKHFKVADQVNYLARTKVSIAVGTPSRVGKLLAEG ALKITKHTTLLLDISHQDSKTRTILTLPEVRDELWKSVFGGEGRKKLLENGAKVGAF L198_08203 MAQDPSLWVTGTSLGLQALVPIVIGSYKSLKTPEDTKRRLRNAQ KGKIPLESDEDEDDGLEEPLTWWDSLLFPVIGSVVLLGFYGIVKYYGTEWLNRFMNAY FSIAGVFAIQSTFSTILSFLLSALGVTSTIYHVRVSSGFRQIFHLPVTLSSLFLIPVA ISIPAAYIYFGKPYILSNILALSLSTETLGLLKLDSFATGFMLLGALLVYDVFWVFAT PVMVTVAKTIDAPIKILSPKTSPFASPTKFSMLGLGDIVVPGLIIALCLRYDLYRYAQ AHKGQNVTPKSKFGRGYFNVAVLSYVLGLGVTMAAMGWSGRAQPALLYLSPACTLGPL LYAAVRGELSQLWAYSESSKTPEDQKLLDSTIEAASEAAIKARAEAKAAEAEATVAAN GLDEKAEQKAEDDSWMNDGAGVGTSEAKPKKKKGGKKK L198_08204 MPQVTPSSKASIFPRTAFTVSTAPTEVTAKSVGHTLYSPSVSFS ADDASRSRMREKAELLGTEVLNSVDTFLSSNLINKEHYTRPTEKESCQAMEDLVRTYA KKAETEKVGTVDWSIISLDLPESRITRVQGSGATDGPERG L198_08205 MTEADPSSPQRLPEDVMYVLLGHIGATASPSALTTFLRVSRSFH RRLIPFLYISPTLTMRNVSGFFLGLASTDKIDDDEREQWWQGDLADKKSAVARRLAML GHVQCVVLEDYFTAMMCNSAVSGFLAQELDSPPMEWYRYTSSSEDHFSPARRLFYGRA PILIHLSHNFLELLVLPQFDHEDERVQTFQSILGPFGVLSIQQPKDLWGRRCFYVPDY LKPICQRVKPLVLVINDYDDMHLAGILSPAQRGIAMRLRGSVDTRSIAQTDEVVKFLL LTLEPLFYIIYNFSMDEASYDHSNGKRVSNLILNRAFAAASQSPQKRIVRLEIIMQGP QSDAATVERMRYDIFELMKKG L198_08206 MTPRLRLPRPAPLLAASALALCLYAAHSQLKPIHLESLPVVDKP AGRKSKSAPTVFPLYTPVGWGSNRNLILSPDSAQNLVKKPTPLPHLGATPLRDLAIAE EYAAAVDANGDCWLWGKGYDESGKVGKGLRGKSLRTLAPAQSKIFALSKHGNLYLFSP SKAIHSKRRDKPTQSWSSYLFGADPTVDFVELKAEGGMAWGERWKGIDVGKDHLLAVT SKGRTFSLPLTENGNSHRQLGTKAELSVFDNTATRTLPPSKDIRYASLLSPIPSLANI PIAQVAAGERTSFVRTLSNHVLAFGANEAGQIGLGSSYTVEVVPVPVEVVLSKCYPTG TTVECQDIKAGGNTTFYTVQRSFPGRRGTFIDVLSCGSGLQGALGTGAYTSATGLPVK VKTISGLQEYSEKARTFLPIGIYDLAVSKSPAGTHAFAVLDTVREGGGREYGRDLVGW GANIDYQIGISKRSSTPSPIHLPRLGTKLPESIIDPTDLEFVATPQIPLPQDRLQLQQ SRTKAYDLEGKLLKRGVRCEQRVAVGWGNTILYERIVE L198_08207 MSLRAALPSLRTALRAEQRPFTTTTSRLSESLFVHRDTDYNNPS LPFKFSKENLERANEIIARYPPQYKKAAVMPILDLGQRQNKGWTSISVMNEVARLLEM PKMRVYEVATFYTMYNREPVAPNFLQLCTTTPCQLGGCGSSAILKTIENHLGIHPGQT TPDGKFTFIEVECLGACSNAPMMQVGDDFYEDLTPESTISILNALGKGEKPQVGPQSS RQTSENSAGLTTLLSKPYGPGEFCSPEFA L198_08208 MTLPPSALPLSARSPSPPAPSESFLSDLDGTAPSLIETSPGTHD AIWAQPKDASGLNLVSWKYGVDAEAGDGVEKRGFNAISGVLAHPTKRANPLRSSRQPL PPLQPPPPLPKPPPPASYDAYLSSITPLYESFVASQAVSKAAGAGGELDARSPAPLHD LPPLDSVPDIFFDPSFSLSDPSIWSQLSASPSTSSAPDSGVQAALSSHLDTLERHLIH EITLRTPSFFSALTNLQDLHSESTSCLTHITSLQTSLKSVGSTQSSRGLQIINAQEEL RGLKEVRGGVEALGEVEEMYGMADKLVEEGDWEGGLGCVEDVVRWWTRYDPLSGESLP LSTLPALASLPTTITNLITKTSHHLSSSLASYLTSLLSRPLDQPPREQELRGSLAPML EGLLKCGKAGGVEGIWREVVTAAVREESRKWLPGSEEDGVKNPDARGASLAQSLQGLE HEQFMELCDKMYGALLARLTSVKTIGGVMEEIISSSNSITPISISSFPPDSRPLTPLT LTLSETLASSSELAHTRISKILSVRSDPHALLPLPSFLHLYTTTTSFITSTESLSGKS ATPLRGVLNAQARGFVQRYHQERLTSSARAVEEEVWTQVDVARGVQRVVELIVQAGME DPAECFIPPKTTIAAEDAEDKTTTKQLAVEDQKYCLVKATAESLLLLGDYLKIVVNLE SVVTDVMSRIIEFLKSFNSRTCQVVLGAGAMRSAGLKNITAKHLALASQSLSVIVSLI PYIREFVRRHLGERQAIMLVEFDKLKRDYQEHQNEIHAKLVAIMSDRLAVHCASLREI KWESTPTKEGPNSYAEMLVKESATLHKVLSKYVSSSTVEHVMGDVIEAIARRLSEEFG KVELKSEEARKRMLHDVAIISIRLKPLSDRGQEIVQLENAVRSKTTPMKTAPVEVEKK VEEKTTEIGGSEKGSDEEKKDNGEGGAEEVVEKKEETSTALSTETPSSPSPPTPPKPN SPSPATPSKEDPPSEAPPAPPKSASPPPPSPPSRPAASLPTDDAEVTNGSAAEKSEET TVSGEAASVVLEEVKGDAGGKKEDGKEQEAEVTEKVEEEEDKTEDEKAKEE L198_08209 MPSPSRPPHHTHDSTSSQTAILDMDDSDVLDSQSVKGGIMSSGS LRDSADALRAIQGADGEEAVKEKRPWYHPFAVLDFLIGNWFLIGIGVSIVLAWRFPHV AASGGYIRSEYSIHYGAISLIFLITGLTLSTSALFHHLANWRLHLFTQIFCFLFFSAI VFVIVNCVRASGNESIDKYVLAGMVIMSVMPTTVASNITMTRSAGGSTEAATMEVCVG NLLGTFITPLLCEMFFSSSAWSYGQPIAKGGYEGSAGLKEIYRHLAKQLGLTLFIPLL VGQIILHFFPRQVKWLATTFRLPKVSTFLLLLLIWTTFSTQFEEGAFQSISTASIIFL VFVNLALYFLFTGICVFCTRLPFLPESFNLGIKPHPALEEGGEGEGEGGERVYDETKK PYWRRFVSGMRFDKQEATAICFCAAAKGMVVGAPTLTILYGGQQVAVAQILVYFFKKW NEKPDRLAGIRNKAEKTPLVESNGGERERRGDGAAVGEARGRGSSEGLAMETPPAGYG SV L198_08210 MLIYYHPVANSQQLSQPVTSILPASVVAGVVDSTHEANDAICDH FRSYYRITKDINIAHTEMEASLLELDAFVTKVGRLSAYAQLRARSIRLSVDFEDLLFS SATHSAQLSNFCFASASLVRWLREEEVGKLTEEQSEEEVRAAYAQLRARSIRLSVDFE NLLFPSATHSAQLSNFYFASANLVVQALADRSQVANRMVVMEEGHKEVLEESRQKYEK DIGNYNRETQKLKSQIVTLEKVE L198_08211 MTEADPSSPQRLPEDVMYVLLGHVGATASPPALATFLRVSRYFH KRLIPFLYISPTLTQANVSGFFDPLFDTDTIDDDEREHWWQGDPVDKKSAVARRLAML GHVQCVIFEDYATEIMCNSAVSGFLAQGLDSPPMSWYGYTSSSRDDSSPARRLLFYGR APTLVHLSHKYLGNLAFEALDDEDRRVQTFQSMLGPFGVLSIQQPADLRGLHRFYVPN YLAPICRRVEPFVLVINDYDNTVLSEILSPSQRGIAMRLRGSMDSRSIAQTDEVVEFL SEHMKLTREPLFYIIYNFSMDEAIYDHCNGKRVRNLILKRAFAAASQSPQKRIVRLEV IMQGPQSNAATVERMRYDIFELMKKG L198_08212 MSNSQQTSEAGPSSTPAAAAAGPSTDILPTDPGAMLLKIVQKTE DGPEEANYRFKEVFRMYKEIMKEIDESRLKEKEISEMGDIASEEELRAAYAKLRKRTF ESQSAYTSLLSVASTQLIEVSKCCVDAVTKAAACEDEMVEKLRVLNQKMVSMEEGQAR LLKETRSRYVSENRVLKMEAQKLRSQVINLEKELRKAKKT L198_08213 MDSEKLLAAWGRARLLGVLLFTLLFASAAVIFTGLVLGTDIPTE KLLSPSVAKTLWNPSGSPPDPTVVHTKHLGGTPGYQVLEHVWVGNGTIYMYAPDRSKL PSKSRAVSGETGWEVFTHPTGERIEHAKNALKLGGTTIFVNDGADTSQWHYLSSYYHL IGEIFLGSVAAIASLPSKVGIPQVDARDWGERVPATPERFIIPWKAAEGWRDEEGLGE MVLRGIFGDKFLEPHDWAALSDPDNEHNGWVYLERVVITDRWASHRHNPLSEALNKMA ASVFALPHSPFFFTPSRLTLLPHLGINLSPHRLAPSKLSTGEGVPKVVYVDRQGTDRK LDDESHMGIAVVLAELDALGLARVGHKKMERLTHVEQVEAVADADIIIGVHGDMLTHQ LWMTEGGVVIELFPPDSYLPENQIVADVLHHEYIPVWHDVALTRKEWEGLPRQHGHGA LYDGTEVTVDKAYLRLLLEEVLQRMTDERGS L198_08215 MSATVQDLSPEAIPVVNTVPPRAESVKRKNKPTILQSFRSFIWD SDTHLKSPQERRLLFKLDCCILPCLCLGFFCKYLDQTNLNNAYVSGLQETLGWYGNQY TYATSLYTVGYAIMQVPSTLIVQRVRPSLWLAFCEIVWAVLTFCQCAVKNTSSVYALR FLVALFESAFFPVGLYLLGSWYTPTELAKRAAIFHFTSAAGSAFSGYMQAAVYATLDG RYGLQGWQWLYIVCGIITAPCGFLVLFLLPDYPSGGQKRWYLTDAEFELAQERMRKLH RSPPGNLDRGVIKRILQRWHIYIIPLTYTMYGLGCASGSYTSIWLKSTGDYSVAQINT IPTISNVIAAVTVLLWGFLSDYFGSRYYLIALPTLLALFPNIVLTIWPSSNPLKLAAF LITGAQYVTAIYYAWFQEICSSDPLERAIVISLSNGLQYAFSAWVSILIFPQVDRPNF RKGFPTTLAFVIMGLVLATIVNLLHRRDIRRGVYDHTVERKEEESIGGNVSTGQGEQG AVAGEDYKEDVKSEAVLQGGQTTTNVLSR L198_08216 MTDTDPSSPQRPSEDVMYVLLGHVGATASPSALTTFLLVSRYFH KRLIPFLYASPTLTQRNNFGFFYPLYYDDHKIDDVDDDEREQWWQGDIADKKSAVARR LAMLGHVQCVIFEDYATARACNSAVSAFLAQGLDSPPMKWYGYTSSSQDNSSPARRHL FYGRAHTLIHLPDRFLFGLSLDDEDQRVQTFQSMLGPFGVLSIQQPVDLPGLQWMCVP FYLEPICRRAEPLVLVIDDYDYIGLADILSPSQRGIAMRLRGSTDSRPIAQTDKVVEF LSQHMRITREPLFYIIYGFTMDEASYDHCNGERFSNLILNRAFAATSQSPEKRIVRLE VIMQGPQSNAATVERMRYDIFELMKKG L198_08217 MTSSPSNDTSCIIQPALPTGSWPADASIDTSLPAASLSPSPDPD TTESASARRGRNARIGVGVTLGVVLLGLGVWWGIKRRRRSRMMKEEEEKAEQPESQYL HPSLSKHISKSKRSIHSSAGSTVVPFLSSSSPAPSPPTPSHALRNRPYSLDLASLVDI PDSGEGGRDRRGGGEGVNAATSRISSTRGLAEDLVGFGAASTVSEAGGNSAPSNGPSS RPAPLTSNDHSRSSSPTIISFISSPPSPVTNTAQPSSLHARSDSRVIGEDEERADGEL AERAREAEREWEEKHPHPGGSGMRLTNPDNNHLLLALAPSPSPSPSPLPNPTSRSSTP HHPARRRPPSRALGQGPERTYRRHADAGRVCPVPHGGEGRVEEGEVVDLPPLYSEVPR DGDYLGAARNP L198_08218 SFNEELSRAEWCVNHAFAREIGGNILKVTYEEVEDGGIILSAVY HALSLAPDHQALSEVWQYAASVKKFAILASSVESFCKEKVGEIVLRGATAKGQKEKES VDGELMVWDLLALRRQINYLIDLLFPHSIATLGDKGKAPLLDSDGDVLMSPTFTPGIG DKLAKEHHFELYESVRTGFKAGLAKREAVPAEYVAKYLDRVMRRGSVKPPSSSTVPSD DVPSFQSHLSEIVHLSGLLLDKDVFKAFYGRSLAKRLLLSKSASDESEKGLVRMLQKE MGEEFTAGDIMMKDLQVSETLVKAYQTANPTSNLNFTTNVLTESAWPASSSSSSFTST TTTTTPVISPFRLPQVLQNDIASFETWYKDRYKNRVLAWRWGLGSVTMTARFGQGTEG GEKRYEIGVSLYQAVVLIMFNDNDHLTVKDIRERSGIPVNEMAPTLQSLALGKKGTRV LLKKPPGKEVKDSDVFGWNKFFSGDKFKFRINGIQQDISAEESKSTQNQISLDRTSIL EATLVRLMKARKRLSLQLLIDAVVGEVSKRFPPDVKEIKKRVESLIEREFLERDEDDR GVLKYVA L198_08219 MAPKKPRPPALPAFSITTLAPLFPVHYLIFRELLHLAPTKYMLL SKAHNSHAKDQCLASLLPLYYARSIRFDDLRTWVGFSLDFGWVYIQHRRRRIPGDYFA SQRHPIKLFRHVRQIEISLLPFATIASITEELAREKKYPRKDLGNTLAMDQEIGSDFE ELVIHVDRPFTKNENLRLYSFGQLVSNEVFTRQKRTTICVHLPAKDGPSLADLRSRLP LILNRNMNYPCHRVVIHPTNPAEPFLFDEATEIVAKYLAGRARLRAAGAGTEPRFPRP GVEIHVPQADALKDAAFGWLEKELPGDKHDVDQKEMEKVFNFVKLDPAMTAGGGHCI L198_08220 MGDQTINAAAPQHPFVDPKRAPGHEDIEEGDGNDNLNQAKNVLR VAMKKRLDHWKVTSENALSLKSICHSSTSPFPSHPSLTGAASLTFTRSSSRRLLKTRS PRLNNFPPLVLKVMSLLTFDEEADERKETGYEKRRAGD L198_08221 MHTPADAAAAAPHGTPPAVTSVVGTPPKHLPPPKSSNFVSSPSP FFNTSNDLKRCCPAKSDHAVAGLGAGTVATLVMHPLDLVKVRFQLANSASQVASSSSG STGGLQRHVSKPRFGTGVFMALRDAVQVDGWKGLYRGLIPNLVGGAGSWGLYFLFYNM IKKEMQGGDPAYKTSSGQHLLAAAEASAITAMMTNPIWVVKTRVFGTAKNDPAAYRGL WDGLTSIYAKEGLRGLYKGSLLALVGVSNGSIQFAAYEEIKRRRTEVKKRLFELEGKT WGAGDEKLSNIEYILASGSSKLVAIALTYPYQVVRARVQNFSPTPTLTKPPPLPHLIS SIFRQEGFLAFYKGLGTNALRILPGTCTTFVVYENLVWGFRRVAERNGGEEELK L198_08222 MDISSALSTAASSPQATRPTAYLSLLASILSDHPHDSASYIAFA EHFAGGNNITSALGRRVPGAFLVAVTAGTTLELKGTATIPLDEEGEGDKAKWEELGKQ AFQGEKGEEARREVVEGILQGGNLGGSDEQVAVLRHLNSHLLTLEEDYEGAARALMAI QVDSGSRMVSENDKLQLWMSIVRLFLECGEWGMALTYYNRATNLPPPQDKETRLAMRF AAAKLSDFSNEFDKAAQAYHTLSLEPSIDPTDRLQILSASVTTAILAPSGPRRSRILA SLNRDDRVHQELPGRLGQMLKKMLEGWIVRAEEVREFESGLEGHQRATVEGGGTVLER AIREHNVGACARVYDNISFDSLGSILSLPPSIAERTARLMIQQSRLAGWIDQPNNLLF FHPPPSHQALDAEQGGTAGGLNVVEAEKEVEKVGWGERWDERVGEVCRRVEGLAEEVV GKGLVVV L198_08223 MAVQKSPVTSTYNLRRAPRAQTPPASAPASASALAPASPAASPP PTPSKSKTTKSSPKPKPKAKTNDPELQSKVIEARRTAYRPRGKGSDLSPFPETTVDGK NPPRIIITPPPDEIVFNSLDLMWHAILYVEGKERLEQTSALGLLADVAGWHRQVMRGS CAV L198_08225 MSSLTKIEQQEAVSEEKEEERQHEVNCRTRRTLLLEYRASLKER ALMYGVRSTSYRQLVGNYPIVPSPLRQSFIPEESPIVDDEPESDGEEVAVVQKAEATL ANTGFKFEDGPGELPFLRSEEDDFPTSWADDEVEDTCFLPSFLTRTTCSLP L198_08226 MPAVKKQTSSSASSSTVVQRTKASRSKNGCLVCRSRRVKCDLGK PECEKCKKYGTECAYPEKKPYDKQEIADKLWRRHHQAPPPSAKADPFEDAPQQPIPPP PPPSLARKVSRGRDLSPAAPSPLSTSRPTSSIRASKHNNSPNGMFVVQSRKLDPFELL MALCRDTRMGQFFSDPVDPPDFLREAFPDADELRCFHHCFTYTLSTFVTHEEVNPWID YVVPLLMFPSGSAPMSTQALKLGILATGAVHLTSLEEKGSAPDSAGHTKALAHHYREE GMKILRIAQRIPIEMASDDFLAAGSMLSWADFLGANPSWGEVLRICHASIRYRGGCEV ILFGVNGTAAPTPLAQTLIEFFVLVDVCSSLGMGKPCVVLTEASDWWWRLRPQDPDAP DSFELGFGWSRDLVMLIVRLINLLAEAAHLSSYITPPPIHALPLGRPDYPQRVLDICS ALDTWRRDIYPTVKTNRARGGSLAMWYGLQIMLLRELLGKGREDAVVQAHADEIFSIC ETIGAKLEGMNWPLTIACSVAVDLQKRERARRVISSFIYQLSYELHALETVVEECWRR IDAGQDDEACSWRVIPVELGCAVPLG L198_08227 MVAPDWQNIPNASDHLKWYHNKGTIKLNFFLSVIFVGMFLNGYD GSLISGLQSSDYWNEDLNYPEGARLGLLNAIGYIAGFLVGPVITYIDEQWGRRWGIRF YGVTILVGAVIGCIAGASGASGNAGYGLFLAGRFIIGLGLTSFLMTSLAVVQEITHPR TREVIAASWNSYYILGNVVAAWVVFGCSYITSSWNWRIPYMIQVPIALYLLIAVQFVP ETPRFLMDKGRVDECFDFLVEYHGNGNRQDPLVLFEFEEMKEAIALEKEAKAQKWSTI FKDRSNRHRLGLTLLMTFLTNLSGSSIIYFYYTIVFDSVGITDATTQTGINAGLAFFT WFCQLGAVWTGKYIGRRKIILWVWAFLLFSLVGLCASSGVYAKTDNGNTSAGIATVAL VWIYLGFFNFACPILYSYPAEIQTYSMRSKGLLVWSTVQQFQGAYVVFVDAIALDAIG YKYYAVYMPLVIIQVALAYFYMVETRGYTLEEIATAFHSKESLTLVNVLPAAEEEGFV DEETYFKDRRSTEAANK L198_08228 MSRKFMRHALWAFDFLVTYHGNGDRQDALVLFEYAEMKEAIRKE KEAKAERWGVILKSPANRHRLGLAMLMTFLTARTLTDIYADVWLIHHHLLLEADLVVD PTEMQTFSMRSKGLLVWNTVQQFEGAYTTFVEAVALDSIG L198_08229 MAPLARSTNAFPSTGTSVPDGGSKPGDRQRYHELDAFNAYNRSS PEEGTNNPGGDVHRVHNAGEEGNEAASKARVSLGIISGAAHQVGEDDDVGGESDNEAP QNEIHSWARRSAMSFRAPEFFPPSSPPDNQPPSPNDSDLLNDLDDASAMGTGWYEEFG VPPSSFNLNPVLESTMDAILERIFSGQDPLPFMESEGLSRMKEGSGDTRG L198_08230 MSEKLSSEQFPPKPHGSVAAKLPGLLFCGGQIGQGEIKEATLKA LTNLKAVLELGGSTLEDVAKVNIFLKNMDDFEAMNSVYTTFMPTPKPARTCIQAGRLP GGETTAIEIECIARA L198_08231 MSNPQDLLNNNPGLDTKHDSAGAKHPDLNTAGGNPKTNTEFEYA PEGAHSRLDGKDQRTHGSALADAKRVEELEQKVQAQHEEALKHPTSVATQHGNEPSRG AKKDEQLVEDEEEELKKKDEAKKQSQEAHKPKHH L198_08232 MSDAARQSFTDKAGAALKPDSEKSYVEQAGDTIKGKADSAASTG QPQSQKSYGQEIGDAVSGNKNDNQSSIADKAKDLFNQ L198_08233 MVNFKTPPGPTVPTAVKAREELKAGEGVEHATFASGCFWGTEHL FTKHFGNIPNFSAISGYTGGQSDSPSYRQVCTGATGHAEAVNLTYPTGSIPYAELVEF FYRTHDPTTVDRQGPDTGSQYRSAIFYNNKEQEEVARKVTAEVQEKYLKGRPIVTQIA PTGKWFKAEDYHQHYLDNNPGGYECPTHRFYW L198_08234 MPQHLTDLPSVIQYTDDLIKVRDLQMTLDRNADACNGFYKDVLG ALNKDLRETFDGMKDLEVPAEAAWASVVRGSLLLQRESHQFYNNLALRSKLPTTLSSQ DDEESILSLAGHWHKMRELAHGVSVWKGKVLDSINAGLSDIDPHTSWQTFVLNGNRLN SLQPQIVKELPEGSMDAETGLPVGPKAYWQVEMFSIADVSGEEGTRHIEPHVTFTTHP NSFALPEYPTFSHAKWFNNGPIPNGATSCQEDTGKPGSLYIYTTGRDISLAMQYSDVL ADLAWDWDGRAFEPTQLDVLRDLADEEGILEEAKGPIYNVIKNLWSRVEDAHREDRHE GTERIRSSLQDMSEISQDLAQRWLHTAQLVNTLDIMQSRRAILDRQMFMAESEGASLE ISSQLNNVDEEITKRRPAVKESKKSLRQAFAEYGLLPIPNDVESLISALRSQDGKDSI EIVDQMGEERDDQPPVEEDDIHAILEASRLYRPSGQYMGRSVFDTPIWSDFKIIDENT FQSTRADGYIDHTTFVIAPPAELELAREIKSGEECLSGYSSADT L198_02516 MPYRSPLPNLRDRPASPPTRRRRYQSPPRRPEFQALDAPRVNDV DPGRCQAREQVLLEKEINRKLGKPNDDGTVATRFNADDAAKADSAKPLGSRSGGAYIL PARLRAMQAEAAKDKKSAEYQRMSWDALKKSINGLINKVNVANIKHVVPELFGENLIR GKGLFARSIMRAQASSLPFTPVFAALVSIINTKLPQVGELVLIRLISQFRRAYKRNDK LVCHATSTFIAHLCNQYVAHEVVALQILLLCLDRPTDDSIEIAVGFMREVGLFLSEVS PKANNTVFERFRAVLHEGEISKRCQYMIEVLFQVRKDKFMDNPSVPEGLDLVEEDEQI THKITLDDELKVLESLNLFKADPNFLQNEERYAEINQEDDEDDVAPEKQGIQDMTETN LINLRRTIYLTIMNSLNYEEAVHKLMKINIPEGREIELGSMIVECCSQERAYSNFYGL IGERFCKLNRVWTDNFQEAFEKYYDTIHRYETNKLRNIARFFGHLLASDAISWAVLQV VRMNEDETTSSSRIFDRIMLQEMVEELGVNKVAERFRIPDFRPAFAGMFPMDNPKNTQ FSINYFTSIGMGMVTEDMREYLQNAPKLLAPQHAAMAAEESSDSSSSSDSSDSESNSA SDSSSSRA L198_02517 MLTTEIGIAPIPIHIAYADPERVLILRRSVPLPVERSQGSVSAL VARFQTAANRDQEAATRDTSRRTSLGPTATAGLTSPILSAAGGAGGVSGMTGGGLGGL GGFGRKGEEGGQVAGSPGGEQGEREESPGGASVAPAPSPAKVPVPSPAKPAEKGTTIP SPSPSTNEKPTRPRNSTPSSSPAPAHAQSTSSNKPSARGALGRSQSVKQPSTSTKRLT PSHTGATSRTVSSPASHLLSPPQKPRDKSTSPAPLNPQLTGTPSKPTASFLAKARSPP TRAASAMGAGVEGRKSSVGSREGAKSPRSSLGRSSGAAVRPRTSASDRPAGGAVKSPE GQEEREKKSSVGSSSGSRLMQGTAASRARAAANAVNAAGASPPSKVKSPPAKARPRVS NGNPHPPSGPSPKDGTSAAKSSATRPSPSTSASASASASASASDSASDSKTARGESAD KPKAPQAPGRMVAKPRLGLAAARERKTSDENGDRDGRKPGKKEEEGRAPASNSSDRQE DKRPVSPSPTPSSPAMNSSTSAPTPPTSSPEADDVSPTKIVGDGHEELGEKDGEVDVG VEDGEQDGARVVGGFDEDRKEESKLDEEKDGGGDGEEELDEIPDI L198_02518 MLAFIPALAFLLPVLAQGTSNGTASDVDIEGLEANFQQAQIVPG LLETFTPEGILSVEFAGQAITTGQNLTADDVSSSPTLAVSPASNATLDSSALYTVVMV DADIVGTDESSTQQTRHWLVNSAGIESGSSGSQAVNWTGSTSITDYAGPGPDAGSGAH RYVIIIYEQPSDFTAPDDLSTPGTALGQFSLSDYVSSSNLGSIVTANYFQVENGVATV SPSSTTAVESSTLAGYGSTTVSSSASGTVANSGAASDASATGSSTDSSSSSSSSAASS AAGVNTQVGWGMVVGAVGVVGAVVGAGMV L198_02519 MVTRTFAALIGDDFVTKEVITDRDDKVSVYVAVNIETKEEVALK LSSSVDQIELEAAFYEDSLKDLEGFPRKKRFWKEMHGTIGALALDRLGPSLDVLIKEV EESKFSLKTTLQIMDQVITRIQALHGRHIVHRGIKPDNFCIGLKGSKTENKVYMIDFD LSKKYIKDGVHIRDYGDNVGNTFWISLAIHKGYEPVRRDDMESAGFLAIYLLKGKLPW LCEYSSSAVRGGKAITALQELCKDLPEEIITYITYCRSLQFAQEPDYGYCRGLFRQVF EREGFNDDGLCDWSTPQLVAESEGMEIAHGSEDDLPSSNHSEEDGSAIPFDNDTVDGQ TLVTDHTKTTKA L198_02520 MPGTKRPRSISPLSSEASHSISLPSPPSSPSTPPPKIYHREADP SSFAFSCSLPPTCHLAPTYYATSTELERHEQVFHRWVCRASVRDRDREAYSASTAGAL GSGSSSAREESEVPESFVRQVGSKRWKECLKAFPEERLLQLHGTETHDPIVKERQKNG EKVFECFLPPEQCGKTFSTPKTRRLHMISKHKYPVQYFWSITNHGINEIARQDGLAIS LIRPRADIHPSLSPTSKLTSHHRQPRLPPQSPPQPQPPRSSASSPASASGNFNLGSGF GSPETCGQSSKAGGGGSGETGGGREGGLDLVMDDLTAAMGGLESSLSFVPRNVRKGRG RRGVKLGGMDIG L198_02521 MSTVAELCPVYAPFFGAMGCTSAIVFTCIGAAYGTAKSGVGISA MAVLRPDLMMKCAIPVVMAGIIGIYGLVVSVLISGNLTSPMPLYTGFIQLGAGLSVGL AGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALILNTNSTVD YTCSIAA L198_02522 MSGNSELDYLKSLVSQLQGKIESLEKSTSNSLSSTLDSAKSALS GAGAAPNPPRMVLIGPPGAGKGTQAPNISSKYCICHLATGDMLREQVAKQTDLGKAAK KIMDQGGLVSDEIMVGMIKQELTNNTECKNGFILDGFPRTVPQASKLDAMLAESKQAI DHAVELKIPDALLISRITGRLIHPASGRSYHREFNPPKKSMTDDQTGEPLIQRSDDNV ETLKKRLSTYHQQTGPVVEYYKGTGVWTPVDAAQSPKLVWASVSKILETPVKK L198_02523 MTSATPVFRLYIVRHGRTSYNAQSLLAGKLDIPLSAYGIAQSSH SSALFSTIPIHGTITSTLLRAKQTADIILESHIGVGVVSEARVEDGRLDERGLGEWEG KRVEEVGWVEEPEGAETFDEVSTRVSSFLTSLLSSFNPLASSRGTIEPNNILLVTHKD IITSFARLFSFAAKTPSGPEWRIPTSTVPGWRVELDDDVELLDGCSNLGVGSLEGFRV GDGDGDQWVLKIRAWAKEAPVV L198_02524 MASTIISRIPRGNSKFARKVPSRFPHPTTKFIQPKDRIKKWNIR PGDRVRMTTGKAKDVFRNGENSSEGWKVYTVKTVDLARNRVFLEGITNKKAQPVPPLP DNYDTLEGQEKTNVDNAPNFIDIMRPVHYSNVQLCVDESGEVDQHVFASRLKSQETHF NKYTQRLEWRRVAPKLTGTVAAETHLEETDMPWPKPEKIYEFPDPDRNDTHNDEVRKR TLHLIDVPLIASTSGYDALFPTHLLAPPLQNQAVSDGYLTSVRDPEHFDDATRRIADT LMPLHLSEELSPRWARGRQRKAWGVRREREEEERRVVGKDAVREWEAGGKDRGLKDIL DLEQISLEGVNLRPRTRAEVRDAAQTSYMVENAEKQAEVYQNRRAGMTFDLGSGEWVE GEKAVEIERKRRRRERKERRKAEKMVYLRLEQGENMVVPEAVRA L198_02525 MLPSLTRRPSTLPPLPFAPTPSPHQPLFRPTEHVTVHQPCRVKT ALHPFRHADLLLVVSQDTARDHPAEEAAVFLISHQNPLHILYIIPVSQHFTHRIEQTP PSPSASFFHQSARPHTTLILSLHEIQLELRIPATQSRDVQRLVTELRRFVEHSKKVGC PPSLSHAWTGLYPVQTPQEEAREDVEDDPVVDEEQVAVEAETVGEAKKEEQKGTAESD AVKEDTPEPQGNVALAVPARYSFQSETPASSIPLETPADLPTTLTINHNLPSSPLNPT SPNFNRPSYLRSHVLSQSSSYTSTTPLNIRCATYNVNNKVPPKGTTELAGLVGRGEED VIVVGLQEADLRVGAMFVGQGNTRADSWEEALLNGLGPKKSEFEKASIPYPSLISN L198_02526 MTQYVGVVTIILVRKSLRPHISRIETAERGIGLLGFGGNKAGVA VRMKVYDTVFCFVNSHLAAFSTALDRRRLDFSTLRSTLTFPRPPITNVDAAKPAPTIT RTNSSVTTRSASIKDGTLAKDGTGEDGQDDEGEGEKVEADVVNPVFEEFWPDDGKALG VDDSHVLIWLGDLNYRIDLPDSEVCEKVKAQEWQSLLEKDQLRMDITAKKSFAGFEEG TIDFPPTFKYVHGSSTFDFKRAPAYTDRILYSLPPSPLSSPSSSNATPPTNQHALNIT SYTSHPILWSDHRPVSCSFAISASQVDHEARKAVWRRALGELDRLDDDGAGAGGGGAG DLDFGALTWRTPKTGLIRLTNPGKVPSSFSFKHPSASHNAPCKSFIHPFPASWTVHPG EGVVLRVRVQVDEWCAGRMTLGMEEVADVLVLQVDGGCDTFITLQGTFTPSTLSLPLQ TLSSLRSPIRSLPLPERKTLARPILLSRTNSGVFDQDQTPPQPNTAFRPVNQIWRLLE VLMTSPPPFTAWQLPPFSFLPTIHKIIDALDDDSALPEGLTNEQVIGGLIHYLVCVPG GLLDSKVRTVVEKEEVGDRDGAFGILESVGQVETNVLIGLMSVVKLCLARPPPSPTAT AEEITEVEAASKATNDTAAAPDRDLPADKDETAPAAADSTATSEQPESQDKEVLEKEE GGGVFELGDDEDDEDDSPKSLQPAADIASPTPTADPKSPINFSFTALKPRLRSKSSAA RLAKSISLAEESFKDVSLSDKDREELVGEERSTSTPPAVAVEEGQDARNTRWSGRIGL LRGKEQKVAEKEPVDVVVDVLLPAVFGRAQTGDLGKERRRRFLRLLLEG L198_02527 MNARKDIGEVIGGRYEIKEVITHRDRKVSVYVATDVQTQEEVAL KLNTAEHRVRLETEFYDDSIRDLEGFPSKRWSWEHGFYCLGAIALDRLGPSLDTLIKE VEESKFSLKTTLQIMDQVITRIQALHERHILHRGVKPDNFCIGLKGSKTENKVYMIDF DHCKKYIVDGVHIRDYGGAMGNQDWTSLAVDEGCEPVRRDDMESAGFLSIYLLKGSLP WLCEYSSSAVRRGKAITALQELCKDLPEEIITYIAYCRSLQFAQEPDYGYCRKLFRQV FEREGFKDDGLCDWSTLELVTESEGIENVHGSDNDFPSSNHSEEEDGSATPFDDDTVD GQTLVADHTKTTEACPQYLGMICGPARSSELYVW L198_02528 MARITRPLISLSPLDPDIRLFLFETYVDSLSVPSSAFCDVLLLS RDIHDKYLPRLYSSIFLGAERSQKFCIASATALKKPFVLYNEIYPSPSHYGDQRVLYP FDPRPTRRKPFTLVRKLIIEDTVGLEKLEYLQCIVKDHVMANKKLPMLGASIRLFPGL RWLVFPQGASDIPPGRPGDLRKLLQYELFSSSLAPSHICLTTPNADESDWIISALRVY MRQSSHVYNTLCLHGYRGYRMMTMGVNRMENLRIWMDEPWDESDRRRMAEEHAEEIWW LVACMAESEQRSDWVLPLPLKTIEIYGSFASQSTVEDRIRASFSPEPAWLGGLEVHGY GEVDAVCEGCGMGARSRWDE L198_02530 MDQNITRRRGVGTRAVDDSVALDGYTVIAPLTSKNVYIVDNAGQ VVHKWDLPYRVGRYARILPNGNLIVGMKDPEAAAPFPFFNKYGGGVYMELDPAGNVVN ELRDPLGHHDCFYEGDGHFFYAGLEALTPEQQAALPGGVEGTEAPDGNTYADTIREVK NGKLVWEWRVSEHLDPKIFPLQSHYPREHWPLINSVFPLKDGNILASLRSVSAVIIIE KETGKIIWHLDSTVVAQQHNANELPNGNILIFDNGAFRHRESFQYSRAIEVDRTTKSI VWQWHAPTKETFYTPFMGSAQRLPNGNTVLCESAFGRVVEVTSEGKQVWEYVCPFFAV YPEKEAAGFYPTESNALFRAYKYSREQIPWLKQ L198_02531 MSEAQRQHEPRETDYTPAEQARAVRKVDFLVLPMIVFCFLMLQF DRTNLGNAQTDRTFLPSLGITTANINIGQTLFTLGFVIFELPSNMVSKAIGPQRWVPF IVFTWGLITLCQAFLTNRGGFYGTRFLLASGEAGFIPGMAWYITRFYKNSELSLRLAI FWAANSLAGMVSGPLALGILRGLEEKRGWHGWQWLFIIEGTMTMFVATIAFLYLPSVP TDGGRSLLFPILSSRDAEILSARLLSDDSTKALGRGEKVTFGDIKDTCLDWRLWGHCA AAFLSSIILTPINTYGPRVIQSLGYSGFIANGMSAPASAIGLVFSVSLAYSSDRFAER GIHIFVAMALSCAGCLWLALAPDDVGKRVLYGGYLMAAGTMGCGQAINASWLSHKFDE RRRPIALAFYVAFIQMAGFAGSNVFKPGDAPRYKNGLIICGVCALAGGVVMLVWKALY VWDEKRNVARNGSEEEDDGAHVESYHLSRDGQSEHKDVDEK L198_02532 MSTTAHPTPLPSPPASHDGYCCPACRREEEPGEPEVDDIWNDES AEVVLVSSDNVAFWVSGYHIMSQSTALRDALQMNTTLPSPSSFPAPNKEPIRITLTDE DCETSSALRFFLHLSTHGSPSSLLPKYPKEIVKMMLEGMLFMRKYDCAPAQSLGEMWM RTMARGQGEWERDGEGKGWGKSLSVLDLFLISAKTGMDGVMVDCVKYYRPRGRWVQPR FADASSPSNQAAPPPLPEPIWEKDEYIPMLNERFGWGAYTGLTPGEFPILAWEACPPL VLWALTKACEREEGWEKRGECLEGILGEVGFLR L198_02533 MPGSKRRALKKLLSPQSPQPTEPHSAPHTLSPPPDIPPSIDSTA LAEASAAGITPAQVNEDAVLEQMQQREHVIGHTSAPEGGLSASAPAAVQGGALESAAP GGGLYGDGGGMYANGLAQGGGRGGKKKSSRQKFEERQARKQQEMLDSAPPDNPDWTAQ LDRERQEEIKVIGDACLALGRIAPDGHCMYAAIGDQLALLALLPPSDGADPKVIRAHA AKYMLDHPDDFMPFLPSITGEDSTGATDDGVLTPEGYRKYCSMVANTGEWGGEPELQA LSRAFSVPIHVIQRGPPTVVSHGGAEDTFGGASTAEQSNAAGERVVRISYHKRMYGLG EHYNSLRLTGG L198_02534 MAESVRSGAEIGGQKDVGARKRKRAFPFFSGGRGGDGMVTDELL ENLPTHAECDILVRPLKSNGIDAVADTIASPAQSLSRKSRMGKPPTSTPHILTHHHLF QIHHPLHLPAFLAQYNKFWSMSPSQRRVKVHSRWTALLFIVLCLGEHFGDEEMGKDRD LEERLLVVSLILLRTEQRGCADLGWCVGKATEDSLSHSDFLDQPSTETIQTIICLNLY LNNKNKVNAARSLLGTAIKMAFSIGMSRIPDEGPGVDPQGIVDRELGRRLWWSLVTQD AYTASTSGFTYLINLSHTSTSLPSNLNDDDILPPPHFHAKPLMSERGERGEVTTATYH ITKVDFALVVRGFVDLVNKDFPNADYEGIMALDLQFRQVYASLPPPLRPDLPQPFSLS QTSSQRYLLPQRLFSGITLHNRIMRLHRAYMVRGYAEVKYEYSTRACLESAYALLGLV RQERGILCRWWVVLVQVWTGGLIIGVDLLRGRGRVGQGGEEGWGEKQREALGVAVSLL EPISKSSPVAARGVKVLRALIASSFNPPPPPPPPPPPPPLAVPLPPLAQRQRSQRADD IGTGHLGESRVAEWGTSSHLQSNGGVSSSDRLESVGRDGEEDGRRMRGSRDMESQRMG GGQLSDGGNQFELGHTSLNDLDLLLQNAPSFVPSGLEGEADDPAVAEFWESLFGMGQW L198_02535 MPLTDALQPPLTPAEKAVVKDYGGWTNFNHAMGLKPYDSGDAQE AVAIARAFAPDFAQHQASQSQGQGQGKQGGGGGGKKK L198_02536 MPGSDSLQPILNSGEKAAVKESGGWTQFTQSYGMNPWDAGTSQE GKAIASAMASYDAQQGGQNTGGKK L198_02537 MSNPPPPPAASRSRSPAPVYRRTPLRSACVQYDVKLGKVKENVA KVEEMTKWLTPGSLDLLVLPEMCLSGYIFPTPASIMPYLEPPRIGPTSLLARSLSTRL KCHVIAGYPERLEDVQESGVVSGEAGAQSEMSKAAGVGYNSALISSPSGEIVGNYRKT FLFDTDKNWARSGDGFKHFDLGGALGRVVVGICMGEAFLDMNPKDFVAPWDAFELANF VRDNAVDTLVVPHVPPHIPSPPTS L198_02538 MNWLDPPAEPPNEDPPQNPLQPSISNLNYWAARLTPLHDPAPGY SPPSHSEGGEGKEGKEVVFVTCNRVGKEAGTKFIGTSSVLSMSSDPSRIELIDCCNIS EERVLLAEV L198_02540 MIAHAYSPVNLLCGYSDNSCTCSPSCPCKSFSPCTTSCCYRIPP GLSDSYYEIMTASSQTASGSSGGSAASGGSAGSGGRLVSTPGYQYTVSYRVEHGPADG GCCLMM L198_02541 MSPLFSIQPIPGKGRGLIANQHIPRGTLLISDEPLLTFRPATKD GRARAIELYQQLPYDKKIAVEAFREGHGRMSLRQVLLVVGLPIEQQGGRAGVFENASL LNHSCIPNCYHCWDGVKGQEIVHALIDIPAGAELTIDYTSDDSLLFYQRQGELQRRFH FTCSCPLCSLPRRERKKSANRRHRYTQLVDDFLTALTDSSPKVAMGLPKTLFKLIEKE SLWSHLGARYADGFQLCAMHSDVFRAHAWARRAKQAYTIARGTDSKDAKGMALLEKVP ERFGLFGTLCKIKMYPPGALFVPSSAKQAFANGVPSSSITSPIASLAPPAVSHQTIPP AAKLSKGQRKRARARAAKEATTATILTTFTSNPFTPLFLSSSPSTTGESSNESDDEEE DVIIELDAATAVHHQEDDGQEEEESRFTETEMAEMEDLCRMIMEVSIAESVWEVKDEM MGLGGLLGEWDW L198_02542 MSSRTPDQPIVGIIGMGDMGRMYAKRFEEGGIKTIYVCDKPDSY ASLQADYANSTITPLKDGHAVSRLSTFIIYSVEAAALPAVVREYGPSTRVGAVVAGQT SVKAPEKDSFEKWLPEDVGITSVHSLHGPSVTTEGQPLIIIHHRGPQGNVKMVEDVFR SFKSRYVYLSYEEHDEVTANTQAVTHAAFLSMGTAWQKSADYPWETTRYVSGIEVIKV NITLRIYSAKWHVYAGLALLNPSAKTQIHRYAQSATELFKLMVEGRGEELEDRVWKAR EDVFGWKRGEEAGEGDKTRAPILLGEDVLDQFSLGKAPEQGRERASQNSHLSLLAMVD CWAKLGIRPYEHLDVAGTPVFMLWIGVAEYLFRSPTILSSALSAALSDRIHRPDDIEF VVAARGWSECVDVGNFEWYRRRFEETSEFFAPRFEEATQLGGKMIKAVQEGRRDRS L198_02543 MQQEKASDEGECPIQTSITDVQAILQRGKDQAEFNDSLVSKDKY FRFKTSIEPALPICPADSTCTNLGKELGITKNKRSFEIFVERGLHRTMIDDLYGHGYM TCQSHSDWTPAVGEESLSYQKAVKSPPARQKRMVINDDA L198_02544 MSLFPRQIDSSTIPSACSDQCQSAMSIYSSCSSSPVDTSGCLKV CEQSTFNDFVACMDCTVDEGGVTGTYVLQLMDAVDQLKEACRQTGQPVTGGIAGSTNT GSGTTRTQISGPGTYSGVYNSSAAGANTAKAAAGSSGAGSAIVSGATAAASDGSAAAT SSAAAAATDESSSSSAAPLVQLGTGLFSGILALGAGVGAMSVL L198_02545 MYSAGKATWYGGDDKTQTIWEESTQIVERFMETIDASVQASGHA IPTFPKSHDSVVTTLAHWVKSATDHEIEQGEHNKEGWTEDDALTNVELTAEKIEVSEA TGEVLVHNAKVHGDEWTVIVEDELIELPVE L198_02546 MRFSVTLLALGAGVSSVAATAIHPRSSHLFPRQFDYSEIPDSCS DICYTAKDVFTACQSGTATEGCLQACRQEDTDNGGAQTNYDNMVSCMTCTARSSNVTD DEILNMEQAMDQLKEVCEETQSVSITGYFSATRQISSTRSSSFAFPTGSTSVSSSSAS TSASGSTSDASSSATSSSSNALPVLSAFSLGSIGLVAALGAIGASAGAFFVI L198_02547 MSILRLRSAFSPLNNARRYATASAVQVTSSTSNASASILGNIEA TWKNLPADEQYEIYQQLEQIQKKDWKELTIDEKKAAYFVSFGPHGPRAPVNGPNHSVK VATGLALALGSAVALFGFVRSNAPPPPATMNSEYQQQMTEYMKSQNMNPISGISSENY KGKGMVQSP L198_02548 MAALPTEVQKVANEGTVKLFGKWDAEGVEVKDISLTDYINVNHA VYVPHTAGRYAKKQFAKGRMPIVERLVNALMMNGRNNGKKIMAVRIVQHAFEIINLVT EQNPIQVLVDAIVNTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQAVSLLTTGTRESA FHNSKSVSECLADELVNAAKGSSNSYAIKKKDELERVAKSNR L198_02549 MANNSLFDVSGIYFRHLDEPPARSSSHRDSPKAGDEGEDIFQWD LVRSWTSIIPPCAVVTGASNSTGPARRSSAPSDLERRGGGGASGLAAGGLTRTRRIPK GEMEVRMSRAVSAPELDAPPPLTTTSVSHSADVVSVPHSLGRKEVVLDKAEVVGPQVS LQDSSTIMDRHQLGSEKKIEEKSQNEESSVQEKESDPHPEAIPQDSISSTMTPKQDPS AKPTTPPRQQPTLPETPSHPSTFSPKSSPDFRPSRPYLSPIYEHQSKPFSPFTDPGHE EPQKMGDPHTLVRQEQRQRPVTPESESSASAAARAAAYQLGLQENVEAMAGAVSKLDL SDNKKPNNPRSPVPESTNTTLPDLSANPVINQPPSQPPTTSPNNPSPQTKLSKGQKKR QKKKKRQSEIADGMTGYWWRIDFVSATSDDETLFAARHIPRGRVFMWEFPVAITEPNF NRKKAEAIYQQRKDEPENKEVLDALPNMYPELGIYGAFLTNSLPLGSKGGQVVVAQVS AMGRSCVPNAKWMFDEATNWTYVIALRDIALGEPLTIRHPEGYNTSTYRKSSFAKRGI TCTCPLCLLPPGQTKLSDNNRNTYSTLNRTFLSSFRSAPLPTLLSMIQKILVLLAKEG FWEMLYARFLDGFVSCVAFSDEESAREWGRAARDAGCLVECYGGEESRDNEFWFEDPK SHPVWGKRGSSRGWGPGEEVLEVTLGDFDYEEWNHDIEDKPLGKYAYPPEEED L198_02550 MDGDEHGMKLSRRASGSHSPSLMGQSREALVCEMGVVSLNDKST ARQIRSPSTATTSSSTFSTPDLKSPSTPPPDPHLTSSSNTTPNDTNTSDNPDPDPSLS KRQKKRQKEKNSRAKKNAAIAAGKTGYWWKSNSSSNLSNSSNPLDRDTLYARRDIPRG QIIIWEESVGPIPLEMSRDNIEEINTWGEEDGVVRGLFEGVRNEYPEMGFYGKLLTCK VDLNNEKGEWAALAQISKLRKVCDANVLFLRDESKSWGYVIALKNIPKDTPLARCHMK SFATSFVRYNYLSEQGITCTCTLCRRSPDECQQSDQNRLHLSHLSLPFDAPSHFLTTS LSDLLKDVQSMLLLLAKEQTWSLLFRWYSWGVKACVMFGDEVSAREWARGARDAACLV CLPWGEERVDAEGWMDDPRGHGNWGKRGKEGEVGWGPGQDVIKKTLGRFDYDTWERDR TRKPLGQYAYPPDQVE L198_02551 MGDNPTIRAPSPRKGSIYSITQADFDPPTAPTPTPTATTNVLSA HPHLGHYIFSILNKAYFPPQRSAVGDGKPEKEREKHPLSHSSGGSDDGSDDGANASAD IDNEDGDVSQSESEAASQTSTATKSSEEGGSKKATSAEKEELVKRIVDLLDNEQEDEL KVVLKPYMGELGKDDILMEQVCLDCMHKRKDDMENLPYAPHLTPTRNRGGPTISRPFT PSRAPSFHGRKPLSRAHSPVLPAGSLKGLSPAPSPLASPRLLNAKASQFNPSARSVSG PGAMNVEAKGFIPSDAWKDAPDTPPRVGSPFGAIGGSGMTRTASNLAIAAPLFGGKGS PFHSPVGTPQLTPVKMPDLFGTASPALSLRSRGVVPDDDDDDEFSPFGSGLPKLHHQE NALKPDSKPFNPYFPPSSYLSSDYTPGYPSSAYSDTSFNSAEFGEENGEDVSGAGMTP LDVLCSVFTSVPRNELEDALHRAGYDFEAAMSMLVSQYTAPRSGQSTPQRVSSPRPMG MGRGGRDGFYPNLPSRTLRSMSPMGPRSPAAGGGGGKMCRYFLAGECRRSDCRFSHDL DRAMCRFWLRGHCAKGPNCEFLHQFPNNLDVSALQTSLQRVEMNDYARPDSPGYGQGH LHHQQAPDEFPDLNSSRMTRAPRFDPSRNRFANALKRAAPVMPRDHATAPAPPAPSSV YSDDSAGVAIVPKASSRVALRKPVLLPTLPTGAVANETYLSSRAGSIRLGHARNACLA RAADAFRRGDGGAAKRFSREGKALNQRMLNEQAEAAQELIKVRTALAREAIMARPLSW STDPADARERGKPCGGGLGVVLGVASVASLAGNARGSKGGGGKGGLEEGERVECVLDL HTLHGQEGVEICGQFLAELERERFRGLAYIVIGSEKHVGTQDPNRGASKTRLGTSVMQ ALVQWGYAWSEDVGIICCDPCRN L198_02552 MPFLQSLTSFFSQAPQPSSTNNSRRSVIDSTLDAFSLPTHLSTG SPVGGYANGYGEGPHLDSASSSPGPSRRGSNAYGAYGANDTFSPSQATEPTPILTTSR HAQEKKKTKLTSVLPSLSPSNSASPNYYPPLSHTLHRLRKTLALSFPELLETFNGPVE PMLLSTFESELGCPLPRAVRDSLTIIDGQDFSATSHVSGNGGLFYGLHWLPLEEVMRE WAFWRAAEHDPNVSENKAVLATMASVPPLSIKTRYACKGWIPLLSDRAGNYVGVDLDP GTNGSWGQVILFGRDFDRKCVLWGGDGEGGWGKWLSIFVKEIETGEGWEAEQVSSSDE EEEAGYTSYNGGGSYGDVGSGLKLAGEYRGWNVLEAWWDKSVRKWEALGMGLDIEAVE RGLAEARRLTGYVEKGKGKRRADGLNVSTSAANSPVDVTSPRLAAQPTTPVPRDSDVL LPSSSPEQQIPKIRHPTPSPVRVITPLTSTIEHPLKAGGSGSGYLSPPTNSPPRSRRR NQPPPAPAPAALDLPTRADIQAMSAIAQAETARLRGGWVMNLDTSAGNAQRRSTLSNL PLPYPIRSSHSSHSSSGRPSLDTAEMVDIDLEGGKAERFGSPKMTPEEAEKQAEEERL AHAGLEHRRMSPVGLPGAVSMSRATSPLANEFGGPQHSERTPKASPRAPLSPSYPPTQ MPYTQSLSPQPGSGSIPNSPLSPLVPLSPSFEGQTPTSAMGSSLIRPPPMAANPLLSP RSFSGTSFGTEEEKDRPVIRAAESYSRGRATGYGSGSGSGSLASPNKSMNGTSFAPGG SIRMERKNSVISTNSNDELLDGGGRERSVSPSPYAGGGGKAVLDVGVVESPTTATAGM GKGLEEEFQDVKL L198_02553 MGLLIYTLVVMCGGNPLHDAFGFRYWKDPGPLAGNTPTTRLQAF VNAVNVVGFSMGVPEYLSMIAGEAKDPRKVVPRAFKTLMWRLMIFFVGGGICVGILLP SNNTETSDGENYAGKSPYVISMQNLNIPILPSIVTGALIIVIISAGNAFTFNASRSLH ALALDGKAPKVSRRLNKNGVPWVVAVCTVGGFDLFVVRSYLALGSNSKVVLNWILNFC TTAAMFNWCVMAFTWIRFDKAMKAQGVDCLALLPAPSKLQPYAGYWVFFRAFLFLWIQ WIQGYSWDVATFIFNYGVIALAGGMKIFARTPFQKPLNVDLETDLDLFDALTDYYQQQ KDEGPPATLKDRILEKLF L198_02554 MCESFSLFHPEPASSYISTADSISALLNTLNPTLVVVDHMFDAA RDAVLKGRWRSVRLSPNTVKDVAIDCQGWGIFKWPCFASGYPFPLPWYLFLPNTIGLI LPIICLNFSRTFASFNIARNKAGYPGVIPLYSSGGPFPTKVLCMSTPEADLPAIIPEK IICCGPILQAVSPLPDQDPELDLWLAQRPTILISLGSHLKLSHSYATNLLRAISHLLS ARSDVQVLWKLVPDGSYDYEAFSEEEGELGREKEKWGERLKVLRWLKAEPFAIMNTGR VICFVNHGGSNSYHEALATGVPQILLPAWLDCYDFASRVGYLRNGVWGNKNSAPSCST PELIRAFDEIIGLSPPTSSSSPPLLYRQRALELQAIVTRNGTRRGRDVAAEVVWEEME EGMMWGNKYEEDEGGMDEGGDSVMVDGEDEKIMV L198_02555 MPRLPFIGSYDLSLFYNPHEDTSTLPAPSPDINQDLISSWPTLG PADGAGASTGTEGNGTSKRRSSSPKSFLRRRVDLFPPDGVNDTTTRRNASPISQLPSI PFSFIPDVAEQPEKMYTDARGESSKSGSLKKTHQDNHGASTSLDSQPVSVNLPSHILS TRKQTTVQPLPSPSAAANDGDTLPDHPSLEPLSIAETGQTQARGAGEEEAESIMGLEK GELGVVKEPGCDTTEDEYPPESSSRPAIAARSKASNTRTINLAGGHDTRPETIITSSA DVDPMAATTVTEKPDGKSSDLTPAQPKLSLNQKKRQKKKTAEARKKAGMERNETGFWW RYGSSSGSSETTLLSRRAISRGHVFLWDHPITFLGPDFNVNAANAMYDSIADKPEQKA RVDGLRRSEGREREGDSVYGKLMDNSFALQYPAGWRVVLEHIPFLKVSCDPNTNYVWD AQRRRGQLVSLKDIPDNTPLMVRAQEGFKTSHHRQKRYTEMRMTCHCAFCQRTPEQHK HSDQNRYNMALLNHAMQTALSSPDSSPDSSLPALLDNIEQTLLLMSTEGFVEMYHDAF QYGLAVCAACGDEESAKEWAKGVRDTACLGQSQVAGGWGEAERMVEDPKRHEQWGQRG VEEKGWGPRREVILKTIGEFDFHEWKKEMKEKHLGEYAYPPDLEDEKAE L198_02556 MTSFNPAPQQLQAAQDRHFSGGVPTPTYMGMVETGAQWDYVGGR GDSRSREASASPHRSATEPPVRQASLPHSSPLVPPQATPVAMASSAPQEPTINEEAAA VVDRSMRAQQTNGTSSNGNGTASPAATKTRSRTRMVGDWQLQKTLGAGSMGKVKLATN VLTREKCAVKIIPRYTEATRREEPKTPEEAEKQRLKDESKEIRTIREAHISLLLHHPY ICGMREFIMHTNHHYMVFEFIDGGQMLDYIISHGRLRERAARKFARQIGSALDYCHQN SIVHRDLKIENILISKNGNIKLIDFGLSNLYAPNRHLSTFCGSLYFAAPELLNAKAYT GPEVDVWSFGIVIYVLVCGKVPFDDQSMPALHAKIKRGYVEYPSWLSAEVKSLLSRML VTNPLERATLTEVLNHPFMTKGFDGPPDSFLIRREPLRADELDPNVINAMEGFTFGTA QTIYADLYQVLTSEEYLNCVSTFEASREKRRGAGGSNSSFNSPEPMDSPKKKRFSGFD FKKRLFKEDKKVDEVVFKERAVDPTRGYDPLISIYFLAREKMEREKVYGPGHFASSQL SLEAFNPSQGYGAQVPHLQAPASTHVMGGHEALPRPRSDYIPTSPTPLMAHPSADGPQ SQIEDIPSGAHRRQPSLSQPPLPSGNVVEGGGGGGGMQREEMGLTKKFSMLGKGSRPP SAGSILPGRSISGKREGMSPSPSMPITEHRRATTVHEKKHERRVSAGSFSNNAGRAGG SIVRRPSQREGTAPPATPAGAGPAWLDAPTPAEADEFRPTQLFPSPSPAPPHPPSDHR APSETYTNDEPHDVARPSYLKGIFSVSTTSTKSAPVLMRDIKAVVDRLGIKHRPIKGG FECVHVPSIDLASVVNGDEASTSLSNVPSEAGGGGGGGGGARRKPSLRKKASKGQLNG GASSTANSRGNSPAPHRVVTGNSSGTVSATLSGGEGTHLSAPTGGGRRHTVNSGGGDE DEVDAWALAQGGGAGSSLIVRFEIFVVKVPVLPLHGIQFRRVGGDGWQYQMLAKTILR EMRL L198_02557 MPPRYPHSNPPPPPYSLLPTSQSPSPPYSPSSLIPLTPLPSQTS PTHQRILHRAFQDFHRSANPSHWGLYRHGLRIHIIEKEEGWGMWVLRVFGEAMAPGFD GPVGTGVGYGRAGVGGGMGVPFGMYS L198_02558 MSDAQRLKLRDETASLFKHGYEGYMKYAYPADELRPLSCAPLRR SPNAADYGINDLHANISLTLLDVLSTIPLLHPTALPDALEKVATQVNFDQDVKVQVFE MTIRALGGLLSMYQYLDNLPDNEVDVKRYKGRMLELAEDLGKRMLPAFKTGAGLPYAR VNLRHGVESREGAETCTAGAGSLILEFALLSRLTGDDRYEKNAYRAYTSLWNRRSDHN LLGNGITATLGQWLQPGLSGVGAGMDSYYEYGVKAGIMLDDDAYSDIFYDSYAAIQTH VRTSDGFIYRPLHLTLLQPPTVSTIDSLSAFLPAVQVLAGDLSSAIRAHLVWWMIWRK HGALPESWDWVGRRVEWAGWPGRPEFVESTYYLYQATRDPMYLKVGQRVLQDLTRKTK TTCGFATIKNILTGEMEDRMESFMLSETLKYLYLLFSDTPFENKNRVYTTEGHPLYMP PDLLQPRSQSRKASHRGEQLFCPVYHPPIAFTNSHSPSSPPGSSGGGEDKPTPSVGTD PKQLGLRVGIEDSSEYEYARGLVFGWGEEGLNWEVKEGRTGWYEGGVCRVEEVPRFAF DLSLTNNTDAPDASPSSSKVVQNTTTGDYVIRDVQGLKLGVRWRFDGKGYDVSNIDHH RVRPGQQITITDPSMIPQLLADIEPDPLPADAGQVSHGITDVILRFRKYKPDSSNHGG GEVFLHALAATAIFGKDFSLQESGQEGEVGKGWAFGAAPLTLVVLPDGVSGCDLSPAG KIRDLPEDGFVMAISRGSCPFAEKAKYAKAHGAQGLIITGLPLPPIDPTNPEQGVEWK EEYEGIYHNPQSDPGLIRPSAEGFDVEEVGEGVGVVYVEWVVGRAFRDVAGLKEGGGG GGAGGVRVGVEIMSMEDLQNGNGDTSPAPPRRHKRKQKPFESPHDEGHGDGEDRGNRE ERKKGEGRLGVEGWEICNLVVDPTVV L198_02559 MVSVSALFAALSLAGSAFSQSSTASDYTIQTSPASESASDSNTS GGADSTALASMTSALINNTSSSASSTGSGSSAASSASAVAGFPDSCGSQCNNISTSLS TCGVGTSLNTTCLCTDSVEAAYRDCLECALGLSPAETTRATYQEILDTFVSQCALAST SPVTLPSATITYPASVNTSTLAPLTTSNGSSATANSSSTSSSSSGSSSSSAVSTTSGG PAATSSAATSAASSGSSSGARRLFPEEGILGLGLGVVGLLGGLLLV L198_02560 MPSPPMSSPPSPPYNLDPPFRGYIESTLDALLVFEAARRGMIPR VTRRLIERERGMVQSGAVFVFDEHESGIKRWTDGLVWSPSRIMGNFLVYRETDKRSSS KASNSPTQTSPPSNSNTLARPTSSSATPHKFEAQAVLPHINTSIAEANGEPSPLGQGA LARPKSSSEGSGNMDRQKERQLVGSLTNSYKFKKGGLVKKTMSVSVNGFAQHVVSYYA IDDVINGKLRSPSSIPELASLEISAEYLQKQNFRFPPQVEVGQDGIPRYRGEPEEPTS PHTPATNYSFQAYQQQPPPAGADYYDPVNAYLHQHQQLHRMSSPRSRPVNVPMLVPLP SPAHSMQGSSYMGPSSAGSGTFYSESPVNGMGYAPPLVRQNSGSSVTSTGSAIRPGSG ASRRYTPYGPGNRNSNGSSVGYQVHARRTSDGSQNSPYSPDASYDIKPTPSPYYHTPD TAPSTFSSFYPPPEGHHMEQMASPTYPGYAPWQIHPSQQGGQGQTSRLIPTRQEYAAP PPLGPPPPGHGRHLNPPSSSGSGDSGSSDAPPALPPLHHHPHHAPPHYHPHGSSGGGQ ELQREWSHQSQGNVPHAPGWEGMGVGAGTPSPEYGVPIHQQHEEWRQGAGTMA L198_02561 MEPHISSPATDNLRPTLHKLAHSYTAPALPPDGPLQDNRIMSLV ARGGKEAWRRSIGSFWPPSPTTNTASPPKKLRTQPLDTSNILYPTLTTHPEPAPYNIL VVSLAHIASAPPSLSNDELFQVILRRLEPWVGEEGEGGYVLVIMSAEGAAATASASSA SASAAAEPSHAGDESRKLPGVAWWVWKWKRIPRKYRKNLKRFYIVHPSLFTRSLLPFI LPLLSPKSYSKLHPLPSLLALYYTHDVPLTGIDLSLAVLESEGRALRDHPELLECGPE LADVQRVSSHDSSKSKYPKEGSDSYFSSISSTFSSAASYIGLSRLPSPKHSAGSRVGE AVVDGYWKRDLRGLVDECGGKVPPMVVRVSRAILEECTATEGIFRRSSNSSYMAPLQS LLALPLEAQPNLPWSALAQKDKLLLPKVLCKFLGELAGPVIGWEVYGDVRRVALPSDI PPFLNKLPPSHTLLLTAVVSLLHALAKHEPTTKMSALNLAIVLAPTLIGGPDKMVDMG MCLERGKKLPLGMRDCVEGRGRKGEGDGEGREGGGGEEGEEGDGTVVGLLEIWITNYP SISGGTPIEKMGCGVSSDFVPPPTSARASQAAASSSSPFPTSSLTFTSSSSPPSSSTE PASSSSSSSQTKSQRRASLSPSPPKSSPPRASSLARSASASIRRASLSLGRRGGDKVR DKDRVDLEKEKEKEKEKEKEKEKGGREGKEGRGKRRRSGVRHSLLTSGIVEVPSSSS L198_02562 MSFIPKSVNHLHRLASTAATGSHKVVVIGAGAAGLSAANQIYNA FKAQGRTLADGDVAIVDANKTHDYQPGWTIVGSGLANKQDYRKPVDSLIPKHLAHIPQ NAAGFEPGSNQVVLADGSKVTYDFLVVAAGLQINWDNIKGLSSALTDPLKNKVSSIYS YETVDKTWDLIRAHKGKEDGESIFTQPFGVIKCAGAPQKIAYMSDSYWKSNGISPRST FISGMPTMFAQPEYSVALNAIREKKGIDAIFNTNLVEVRPETKSAVFEVVAGEDKGKK VEKEYGILHAVPPMGPLNFIKTSPLADAAGWVDVSKDTLQHNKFPNVFSLGDSSSLPT SKTAAAITGQTPVLTHNLVTLMETGKVGDAVYDGYTSCPLFTGRGELLLAEFKYGAQR KETFGQFVDQRIPNRAFYHLTKDVMPRAYFSKMLKGEWYGPRMFFPPTYLPQSS L198_02563 MSAPPPSLPQSTQNSVAPPSVPSHTPTPPTALNGLPRPVPPAAA GPVGAGANASIPGAAQGMQAVRPLSAGTVTGAGVGAGAGAGAGGVRPVQAPQPGQTPA QGQARAPLPAGQFPRTFPPSTPSTQGVPSASGSATGARPPVPSSAPSQPQAQGQAQGQ AQVGGSGNANPGPSTSSAEDNFWAARREEEVRRRDRSLGELLVMLDGYTPLVPEEVTE YFLQKSGFDCSDPRLKRLLSLVSQKFISDLSKDAFYYSKLRVNGAPGARGRPTTGTDK NRVVLTMDDLSLALGEHGVNVKAPDYYI L198_02564 MLLYLVRHGQTALNQTNTIQGQSLDPHLSSKGLAQAHTLASHLT LVPFTSAYTSPLHRALETAEVILDAQGKGRDDKRIKVKIDDRLITRGMGIAEGREWEE VEHELGSGEFGVEGDEAVRRRLSGWLGSLLKLHTPVASSAATPAGARTPGTPSFGFTR AKNGEAVNPFELMKALPRPGVSRTPTTAAGGGLGSGVVLCVTHQECLTALITLLMPSS SPPASPHSSTGKVNIKRPLIDVQIPESVKLRENVGNTGVAILRVWWEEGEDAELQPRG RLEAWGMDEHLEIED L198_02565 MSATQQVRRKPVPTPLAQPVNASGFVIPDSDFGSGNPQLGLGLP SNHPFANPSPIMSRSVSYNPSEGSGNDIECLSNHTPSMKSGSHGRFAPVPPHRVSSRS SGPAPSVTPKLDATSSATSPVEFPSTPESFTYAAPDSYGPSVPPSLPSSTAQSPGLEH RASVKSFWGEDKAGLEGLDDRLNELRINNAPYPVDHAAMIRAVKPERPLPAVPPPASD GHRRSVSSTSASGASSEHIVTPITTLSHASYGSSEYARRAMYQAAKSSSDSSLAKKEK KERKEKERLAKKYNKDKRRNPLLMEGETEADVTFSVDRLVTRARVEEAAKCFVRDEEG NAVPVSSLLPSAPGQKTVIFFIRSFWCGQCQDYTLASISILSKEALEKAGVRVVIIGH GNWKVLKAYKNLFNCPFDIYVDGPRRLYRLMGLTKGFNYLNPWGHFWKNRSEYHQRSV PTQVLLGLSNAVTKMPKEAALQPGSLSQLGGEFIFSYLNTNDMAHRMTNASNHMEAPE VLRIAGVAHPTVKELADIELGKSQRDELERLRMEMERWKEEQALELERINMRKIARRQ KRSSSPSSPTSPTSPDSANTFRATDFDGSDSEDDSDYDNAEEGSSFSLTLNDVLNAEP QEISELQPKSNPSLYVATPEPEQGRPHTSRFGSASTQGTKPAVGLDQEQGEGGWNEEV MRMEVQRAKERSAVGKLVGQKFAPKK L198_02566 MPASFLGRIGLAGGPSHSDIIQRQMEKRGMPLSPPRTTPADPLP LTTACDLSNGDLYLYPNSSSSIDATQPLTFKWNTGCTVATDTIDLYLYYAGGLIKGWT GRPFSDGEYQVTLQPKWWNDTSTAELQMSIIDHDAESWDTSSPAGPYFTVNYAASAMY STTTSNGQAVTSTLAAAATQSRDTVFQDVSNTNSGDKSSISKGAIAAAVVVPLVVIAI IIGVAVKFWRLREAEKRKRWSQALSTHSNLEWEKGALPGEKGRPPMGGRPSMGGRPSM GGRTSMGTRPSMSSYGNRPADSLYGLENNMAGAGASGGAFFRPEMAGLRSASADNLST SRSSVVMPDGQVRQSRISFAEHARPDRRSRASLGGDIRPSSMFRLPGASKSANDLAGM NTKLAYATGSALDDEEEHISVSPSQKEGPLGFGDNDMRRAASGQRTGRRSFLSIGGSN DKRQSFASALSGDDFKSAASTRGSVDELRDMENMVLHRKSVLSQTTSSPGLSPAKGEG AVPAMPSPSPQHAGADPDDMLALYAAQRAGALSPPLPSSPSSPSTRPTMAKQPSSIKI PFFSKKHAPNSPSSSQDMGNVMEGERGAPVEMKSYVHLNRGEVSADAVNALPKPGPRG GE L198_02567 MPSQLEALKASGTTVVSDTGDFKSIDEFKPQDATTNPSLILAAT KDPKYAALIEPAVEFAKSKGGSIEVQTENAMDRLLVEFGTEILKIVPGRVSTEVDASF SFNTQDTINKAHQIIDLYKEQGIDKERVLIKIASTYEGILAAKQLESEGIHCNLTLLF GFGQAVACAEAGVTLISPFVGRILDWHKKNSPDADFSGAKDPGVVSVTKIFNYYKQHG YKTIVMGASFRNTGEIAALAGCDFLTIAPKLLSELAASQDALPKVLDASKVTEKIEKV SYLDDEAKFRWELFADVMAFDKLHEGIRGFAKDGQTLKDILKAKLQ L198_02568 MPTSSQPPPSVNHRNQQPPQLSHGSGTQSPPSPTSTRPFAPDHA PPISSTDPSLSPTLRSSEFVFPSRPVYQGRMSDFQGSSNSGYSHKTSDVEESDIGLDT ITQMLQKDKEADQLKPRPRPGAATYHGKHDREQRMSTSSAENAPAPHPQHGASTMVGK LSTPYSTDEGDERQPSLGFDQAFQGLDLSDKEGQRGEAQGEESKGVDPGDRGLVEQLE KLGPINQDSTPRDSSNPTPTHSSIATPQPYPAQSPDPQLDRKHRSHDSPITVDADESG EPSLVSDLSGIVRLGNLGWDDAGPNPRAKHAARQVSVKDFVNDQAQTTNMQDPNALGL ADEEGVKRGEAAGQEKDTQVHDQFEEPQDEPALTARFQHVMTEEGHHVINGREGVLKK CEDEPITTPGAVQGFGVLMVLEEDEESGKLAIRQVSENSSKILGLSPKYLFQLDCFTR LLTNEQHNVLRDMLEYLPDPSHYLSEEAKKDATKRLVDQGPLVFLLSGFGEPGSSHAG QKGTASSSSAHEGRRQWTSWVAAHRPQDNTLLKVDEQQKPVPPSNIIILEFELEKDSY NPPFPTPEDTPSVPRARSYTTDSESARGTGATAGSNSSATSSDQTAPVHPAPPTPKGA ATAQTPTPAEPSESDEQDEDIPAEKVQESTTNRAVPLQALERMRGQLPDKSGSARPRT RPIRSRPPGGSGTMDTFAVMSEINEQLGAAPDLETFLDVTVGLVQDVSRFHRVMLYQF DEAMHGVVVSELADRSKMKDLYRGLRFPAADIPPQARELYKINKVRMLYDRHIPTARM VLKYREDLDYPLDMTHSYLRAMSPIHLQYLANMGARSSMSISIMAFGQLWGLIACHSS GKSGMRVSFPFRQMLRLLSESISKNIERLSYAQRLKTRKIISTVPSINHPTGYIVSNA DDLLQIFQADAGLLVIGDGCKLLGRQKQGPSMMAIAEYLRAKQLIAVKASSSLVKDFP DLTLPKTPDTAAGLLYIPLSYQAGQDFIVFLRRGQVREVQWAGKPTKDEASEGANLEP RLSFDLWVEQVMGTTRVWSDDQLESAGVLALIYGKFIQVWREKQTAMASSQLTAILLT NTSHAVRTPLSQIINTLELALSGTIDKETRDMLQSSHDASRSLLFHVHDLLDLTRIET GNETTFRDPFDLRQSITEAVRLYETEISRRGVEFRVKTGDDLPKFVVGDSKKVRTVIS NLVANSVKFTSKGFIEVYCGVRSQTATSDDNEPSDRTVNIEIVISDSGCGIANDKLQA MFLTLEGSEESSQDSGVGLGLAVVARIVEQLQGQLRAESEEGIGTRILFSLPMKVHDP TRPNPARSRDSRSTSKKTSTRKRRSSTKSKAALRFDRSHVPDIDSFVQDLQSNHLCAA HEDDERLEAAGVRMSQPGSFPVADSSFPVMPAKLSTEAEALARSPSPERHNVRQGSLR FRRESSDQSSDQSSQPFPQSYSQTSTVPSPASSPKNTVTPSDSKPSASPKQSEQDAED KSQLRVMVVEDDVINSQILQKRLKMDKHLVVAVTNGQECVDFLRNDRDIDAILMDIQM PIMDGRTAAREIRKLERSESKPPSDITPLLVDGRIPLFAVSASLYESDRTNLSENFDG WLLKPLDSSRMRAILAGLQDPSKRSEEVYKQGNWEAGGYFRGPESASSSPSSSHASSD L L198_02569 MSKLPPSTPDADRSPSPSHDDSQSPTTIADTFYSFPHRGDRPGH IVAPAYSPMGSPTGPLSPAHSASSFVFPIRSVYQGMVTSGSQRSDGSDPPRKSSGNST QSYQSGKSALSPGWERDRLEDPDAGIKSIAQLLQQSQQGQQSQQGQQGQESQQGQQIQ QDGSDTHQLLPRQRQAGAATYHSKHDRERNTSSGGGDGMSALSQYGDSAVVGDLSTPY STEEDGRRPSLPFVEALAGLELGGDGNGKEEDAKKEETEKPDSDGGERPTLGHSGSGE TVKQAFDGGDAIHNPVSTPDASAGPSSASHNYRHFPNKDAPSQATPDADAHTSPRRFS SIKQKRGSPARTTPHAPTQQPGVELQHPIPQRPSSPSSKANKAAEKSRKARGTSSHGS KVSIDVDESGVRSLISDLSGIVRLGDVGSFNGSKTGTGTKGTSGTDGSVEHSGFNSAN ADHVHGRAELHGSGPRTKRAKFTARQNSVRDFVQDQAQTTNLQDPNAPTPSPTPHVIE EASEQEEGDGKTPSQLGEELSLPATPSELHQPLGSERTAGESQPTAAGVSGDPDAPDE PAVTMRFEHVATEEGHHIVAGREGNLKKCEDEPITTPGAVQGFGVLLVLEEDEETGDM PIRQVSENSTEILGLSPKYLFKLDCFTRLLTADQEDNLRDILDYLPALDRSSGETAIE DDGPSVFLLTGFGEPGSEEDGEEGGSIGSSAGGKRREWRCWVAAHRPGHKNWTKKDAD GKDVPPPNLIILEFELERDVYNPLFDSGEASTAPTSAASPARPSTPDSGNTASNNSGS ARTGGDRSLDSLAGNGAGPRAGGSKGSSSTVSEFTTLARPHSSSQTSFTSMPPLSDDL MGLEGLDMDMSPERIMESTTNHAKPLRALERMRGKTSEVIEGRNKRKGRPPRALTGTS TGTMDIFAVLGQINDQLGSAPDLETFLKVTVGLVQDVCRFHRVLIYQFDEQMNGLVVS ELVEWGKTTDLYRGLRFPAADIPPQARELYKINKVRMLYDRSLTTARMVLRHKEDLDY PLDMTHCYLRAMSPIHIKYLSNMGVRSSMSVSIMAFGHLWGLIACHSYGQHGMRVSFP VRQMLRILSDSISRNIERLSYAQRLHTRKLISTVPSPAHPTGYIVSNADDLLQIFDAD AGLLVIGDGCKLLGQNDQGHAMLAIAEYLRIMKFGNIKSTSSIVREYPDLLLPRAPDT IAGLLYVPLTAKAGQDFIVFLRKGQVRDVQWAGKPFKDEQTGKAASLEPRKSFKTWTE RVTGNSRVWTDDQLESAGVLALIYGKFIQVWREKQSAMVSNQLTAILLSNTSHAVRTP LSQIINTLELALAGDIDADVRKMLENSHQASRALLFHVHDLLDLTRIETGNETAFNDP FDLRQSISEAVRLYETELIRRGIDFRVKIGDGLPQFVIGDARKIRTVISNLVANSVKF TPEGFIEVYCGLRSHDNGSCTGLSFDNSVHIEIVISDSGCGITNEKLEEIFVTLEGAE EGMQKSSGVGLGLAVVARIVEQLDGQLRAESEVGVGTRFFFHLPMAIHDPSNPKSRSS RAGSSQRASRADSSSSKSLVSLRSDGSGVPEIDSFVQDLQGSHMEHAPEDDERLEAAR KRMSEPGVFPVTDSSWPIRPSKMSTEAQSVSPTSMSPDNSVPKRKSPRQIQARDSRAA KRLTSGDPKTDTHVPQSSVDGASAPPPHRSKVGSTGKTLMRIMVVEDDAINSTILQKR LRMDKHSVIAVTNGQECVDFLKRDRDIDAILMDIQMPIMDGRTAASEIRKLESEGKAQ IEFGSFTVDGRIPIFAVSASLYESDRSNLAKNFDGWMLKPLDFARVRDILQGLESSKK RKENVYQQGCWERGGYFRSAFYVRVVDGR L198_02570 MRAFTLLVSLGLISSVLAAPAPQPGLSPLFSKDPLSLHRRSVAP DAEADSAILAYDPDAASSDDTLSSPSSSNSESDIPIVATEPEMYEVEEAPAEDACADV CGVTRVEGARSEKEALCSGPGLRATYTCAQCIDQTWADNVWEDSAMAEYEKIASACDN SLQQ L198_02571 MVGFGSRKLRQQAAETSIVPTATAAARPWHAHSRSYLLAAVGFL GILLFGYDTGLGGGVIALESFATSFKVEGSDDYVADLKGNIVAILQGGAFFGAIIAAW LNDWLGRKRSLMVGCWIFIIGAIFQTAASTQLSWVYGGRFTSGFGVGLMSSVCPAYAS EIAPKEIRGRITGLFQITVVVGVAISYWVNYGVTYMDQSRGNIVWRIPIGFQFVPVGV MVILLPILKESPRWLATKHRDADAIANLAWIRKLPVSDPTVQLEYAEIAAAIKEEEGA TKGASWREIFPKGNPIRFVIAFVIFTLQQWSGQNSISYYAPIIFQSIGLKGSKSGLLA SGVYGIVKIVATTLFIFFGVERFGRKKPLLLGVGLMSLFLWIIGAVFNTHQPAADAEG VPPASIGMAVLIYLYVIPYCFSVGPLPWVICSEIFNNRTRHYGLMTAAATQWLWNFAV TKATPLMVIHLPHGGIFFFFAAVNMISFVLALFLPETGGVSLESMDVIFGTITKEERE AEIVRQTQGLEGRGQGLDDEEKAGSGSGIERQGSIEKAGVEHVESVPH L198_02572 MPTSQADEPTFLLIDGIKVYELRAFDGWGYHRWARAMKLYLLTK GLLSILDGDEIFVDPVTSADWVKRDREVYAHLRNAVIFWLEGEFSFDVIEYTEPSAKD PKSRAKEYWDHLKKEVDERFQFEQWKSRRQRYQ L198_02573 MFNRPWSPPHSTGLPSSPPYDSDPQLSAANWKSPYYPTMNPAGE LPFFFIDGIKIYELRSLDAYTFQRWARSIKTLLLMKGLLPILQQDEIFISPTTSTEWV KRDREVFAYLRNAVAFEVEKALSSDTVDYSEPSMEHAHSRAKRFWDLIEEEVYKRNRA GGVGGRPFY L198_02574 MTIFVMLFAGVNQFFGLRYPSLTIGYVVAQLLVFPIGRAWEKLP QWQIPLGRFSFYLNPGKFSIKEHALIVICVNLTASTAYGMGALVAIVSPEYWDRESDW GAGFSFFYLLTSQSLGFGLAGLSRRWLVYPAALIWPTTLASTVLFRALHEPQDHSPAN GWTITRYRFFSYLTIGAFVWYWFPDYIWTSLSTFAFATWIAPNNQKVNTIFGMNSGLG LLPISLDWTQITYAGQPLTTPFYITCNAFATIVIFYLFLSPILYYKDVWYSGHLPLLS SSTFDNTGGTYNITRVIDSNLDFVLSQYKEYSPMYISMSYSLSYALSFAAVTSIVIYT ILYNGKEIWAKFKNAKHGGEDIHKRLMNEYKEVPDWWYAILTVVVLGLGIFTIRYWDT QLPVWGFIVVCFGMCVFLLVPEGILEGTTNQRIFLNIITELIAGYAWPGKPIANMMVK TYGYNSVKHGMDFAMDLKLDQYMKIPPRTLFAAQLYSTVLASMTQTGVLRWMIGNIQD LCKSTNKDRFTCAGAKVVYNASIIWGTIGPQRMFQHGQVYSSLMYFFAIGPVVTVAVY LLYRRYPNSWLRLVNVPIFFNAAGNIPPANTTQYSLWFIFGFIFNYLIRTRAFAWWKR YNYLTQAAMDTGTALATIIIFFTLSYNGITFNWWGNTVGSDTYDANSVPAFQAATGSH FGKGVLDVV L198_02575 MPAAYVGTEHLINDTSVDGGPIDDIDTKSVEKDTYGSDLEKQLD SDEEINVRYLSTDHPEGKELTPNEAFTWAVYGDQSPFPEVAACVPNTDDPNMACNTVR ALSGGYLP L198_02576 MDSSIDSAAESRLPSLSWNAAYEMLRNDYSECTFHKLNERFINS VGQALADDPSRQEEHRLFQEEDEEGLRSGESLNFFSEILAWSLISNGKTLAGIPEHGK QWLEDAFVVPEDVKAALESCRASARSHIAQGRVTVPSELIIDYKSITDDDALRATEEK TQAAVAHALRSGLQRAATVNGTSAADDKSQGSNDDAK L198_02577 MPDINIVDPSSAEGSTGTATRLPLDWNAVEDMLTNNHSEQMFNA LNDRFMDAFEQGLASDPTRQEEYKSWKEEEQEVEAERGGSLTFFSEALAWSFISNGKT AKDLVEPQGEWLEEVFDTPEEFTKGLQSCRTLMKSYIDQGKVSVPPELIIDYRSITDD EALHATRDKTQAAVEHALKSRLQWARPTAADDDGGEPASQRSVVTVADTTQAAVDEVE GGVASLSVGAVDESRDGDNRE L198_02578 MSLGLPVGAVMNCADNSGAKNLYVISVIGFGARLNRLPAAAAGD MVMASVKKGKPELRKKVMPAVILRQRKPWRRRDGIFLYFEDNAGVIVNAKGEMKGSAI QGPVAKECADLWPRIAANAGTVV L198_02579 MSTLTPSLANTTAASSPMPASPRPSNEGDQAQIASKDAQDAQDD QEEADEDKQVVEKMIEEAQDDPQGVWFVWFKIAYCDAPLSCLLL L198_02580 MTVSSYTPIDPTAPDDQQASARASRLSFLLDKSTIYAKIIGDRM ARQQIEKRKAEQRAEVRKANKEKKAEVEEPVAKGRAKGTRASAREKKVEPVEAEVEEV KGKRKRQSVGGRGEKKAKVEEEADEAEPLESPTKPTGDADNEAEAEENIQYSFKQPEL VTGAKLRDYQLAGVQWMISLYENGLNGILADEMGLGKTLQTISFLAHLRAKGTWGPFL IVCPLSVLNNWIMEFEKFTPDIPVIMYHGAPDYRAELRATRLQPPTATPMGSTSAKTK GRKNTKTGGNTTASFPIVVTTYDICMKDKQFLSGIMWKFIVVDEGHRLKNLDCKLIRE LKSYTSANRMILTGTPLHNNLAELWSLLNFILPDIFDDLDSFQQWFNFDEMNNNTTTD SLLDKTNVVSSLHAILKPFLLRRLKVDVEKDLPPKKEYLLYAPLTQMQKDVYQAIATG KIREYLIDKVTNGDSGANTPVEEPEPEVARGKGQRKKNKVNYEIEENDNKYIDDLESG KTRSTGITFTEKSATDVGKEWALKQASTSSQSFHGMADGGVAKRVNNMHLQNIVMQLR KISSHPYLFEWPSDPTTGQLVVDDNLVNASGKMLLLNRLLDSLFERGHRVLLFSQFTT MLDVIEDWATSYKGWEVCRIDGSTSQESRREQMDSYNAGRDDPDACKLFLLSTRAGGL GINLVSADTVIFFDQDWNPQMDLQAQDRAHRIGQTKPVLIFRLVSAHTIESKILQRAG NKRKLEELVISQGKFGAGAGGAGGGGGGKETVAEMAKALLALEGEEINVASKDDQIIS DADLDILLDRSSAAFAREKGWSAGLGKTGADGGAEQLKKGEKTLFEVFESAKEGEGGL SGMFGGTEEVQ L198_02581 MFHHDFHDNLPLHLYSLPNPVPQPQETSYTRHDPTQDTGLGPNT SQRTWDTTFWPPIPWGLSTLPTATAQAQVDGARLDPYFAGADTRQSPPRPILQQPLSQ GNAHHDPSLGAFDLENLGDLHRPRPSPSPAPAPTTNYLDQYLPEHLQLPWVIASPPVK FVAPAPVRTEYGDRYHQLLQTKLSDSPVTPSTSFLGPGPGGEPCAFGQVATNAPVTDL NVGERFDELLKMKLRSCISDPSASTSGASGQSERARERYKIHANLRRNILEDGCGMMS SGAVPTVVRRGSFARLGQDIGLGPDVGAGSRKGKERAWTYDDNTISPPLSRPVSHSPA PDFANPQAWSDRLPARSAPTTGMDPGYPETLFSDYLPRPLTQQETHQAYPQSLSVPPS TSYEQSQSLDVPLEKSIGFSICGNHGSQSSSHWDMAAAADDNTERRASFSISPPLPAH PSVRFSCTPTHHSPPPAPSRPVSSLDTATKEASTSWQTPDPDTPPVLKIKVHKRNVLP PSAHELEDADIHPVIRMIPSSFPLLHGGGDGCFRPSFGDGEGDDGEGDKEGEGMETGM RREPIVKKRERSSKGERKASSLFGDIESQNESEEGEGFIRKGKNGRKIYAKTSIACNY CRVKKLKCDGVRPICCHCAKRESTDCVFSATLRRRGPAKTARRGGAQKTAFAREEMSF PDDDEEAGRGGRMGANVSMLRDDPPLGGRGKIAGSRGSKKRGRAGGEQEREDARDAGE YLPQRRRSSRIDEDEQEGDQEEGEDGDGEEEEDEVEDAGRAKLPMSQSVSIGVTLPTS GTRGGSRILTRRAWD L198_02582 MFSDARPWWEEDAEEETSQATAPVQAVTDRKTRTPSPTAGEGLS STIKNNDESTSHDAPTSNDETASHVEPAWPTFDDQPTFDDQPTFDDQPTFDEPTFDDQ PTFDDQPTFDDQPTFDEQPNISPIEQHAPSGPSYVLTHYVPYPVFHYEDTVNKSHPVY YPVVVHPPTSSPPFHTAHDQWMYSQWKYAQPRPELQVDATTGLHPDRQKSAPSLHSSS TWYTPSPHLVDDSAFSQRYMSPPPPPQPDPSSYAGHNAQSQYGYYAGQDMYAMYRAQP SLNQQAASTYPNDIHHQIAKQRSEPAFHRSSIDVALGRDPASGGYNNPYATTSAQYWN PKRQNNSKADHNLTQKPGSMGHPQSQQRQYPRGSQYHDHTYPQTQVHEAPPLSQPTPS RRRSFLDTRPIASMTSFVSRHISRSASPSKRPGSLAGSETSRQSEGGGRSRVGAGLKR QLSRLLGDKADPEPSYPKLSSNGLLKPPSPSRPSRSPTPPHTPKKESSTPPAPWSARA ESLQVVASDTPGILRLENKEESEGWDVDSQPAQWDGTWLTAEAEYNTRTPRRFDPRSP KPKSPKIPIPLSLSTPSYSLKPPRAKPDEDGWQTVRHSKSATSIMNLGKGKGKKKSGK DLKASKSSETLQNERKKVLETEIGVKDMLPGAGDGKAESAGDGWRRSGADGQASHQSR NLEQDLDVSGFNSQYVSEAHQDSTTPKIASPRISTPALDDLASQKARKEEEEDAGAEV LDEDDQDCDPSESRTEDPIFTTPKRKHPKKKTPKKNKKSQDLKPPPPFEDDDFLIPTP PRSPEHSTTLETLDADTPAKKTQGQKKREKERLKKLQKTWDEEIMKEKEGFYEDLRNR ALTAYTYDHTNDSCWWYTDSKDLPPSQPPAYYARRYIPRGTIFMWERPFMCVYNDPAM NEDATLFTAGLQPGEGRSKYDKLVSRFPKAGEEGKLWSNSMILTNDPKSKCHWWGLYE RLALMRRSCQPSSMYIWDERRTVGYLIARHDIPRNTEITLPWTAGMEFQDYATRKAFL HSLYSIDSCGCHRCTQSLTARRYSDRARARLQNFFNDQSLPISTVQSPVPYYNAINRC LDDSLGECSVISMALALETGFWLCCHWSDAESAKVWAGYVREVDLWQWGERHSQWKKW TEYVRCIEQEDWDGLQGQWGLQGVMTVGEPSSMLINVGYLDTVVFCRSTFKHFEEIYA KRRENALDGPPLPNIVTPSKEDWATAREKRFMDMERFYDSNKVSEGQLKKPDTAGDEE TLAKDEEETVGK L198_02583 MDPTLYSTTLLSPITSHALSFLFTSSYVGSLYITHLFAALPSPR TTPTATPPEAANGQTPLPPISADKDALDAQDFETGPKVGSRDHPLTVRARMKAVSCST LISILGVYATYKHASGSSFSESIIPSLKLMGLPTGLTGSGVGLGLPRILPYVLAPTMM LGPLYAMYLCDDIPIISWRRNSESWSAMLKREFGLLEVRNYVVGPLTEELVFRSTVLA ASILGGLSFKSLIFGTPLWFGLGETILISVSAHVHHGLESYRKNGRTSQAAFHALFAC LFQLTYTTLFGWFASYLFLRTGSVIPPLVAHMFCNVMGIYFPGNAIRREPGKKALIYG AYLAGIVGFFFGVKTL L198_02584 MVKSEVGAWAFISNGKTADDLEIDMTGVTRMMKSFITNGKVSVP EELIIDYKAIKTEEEFEATAETTRKA L198_02585 MEEEGSGTMEEIEGMESNVEMKEGRGSTKNQERRHTDEILQNNI VNAERRGKRQVLIHPSSKVVVKVLSVMQKHGYIGEFEVIDDHRGGKIVIQLNGRLNKC GVISPRFNVSVDSIENWVSQLLPARSFGKIILTTSAGIMDHTEARNKHVGGKILAYVY L198_02586 MAPTTPKGKGKAPVAVHDESKSIRAQSKKDKPKQDSADKSALSK DVQKAVLANPLTVPWPNMPKYLQDNVLHLLNELVPSHVADYHVLRARCQQSDKRRRRA QEHKAKNPPKDEDATMSEPESEPAETGQKRKRPTASAKTATTSGPPEKPEILSHLVMG INEVIKGLETQIDNLKLQLLVMGDTLNGNQPGKPGKPDLLPTAPRSPSPSSEGDVEDL SDPAPATKEKSPPITFIIVPLLSINPQSLVSPIPSYCATYNALAYQHAQLSKILQTRL KKEKWAEVMGEEREEVRVVPLGAVEKEMAEIVGLRRLACLGIRESHPDVSRLQELLPK AKLPPPRHSVTLPFPTSSLTVHTGRQSSSNSPNSAISNPQNLPPVTYAALHIKGIQTK QPADGNSRKAKRLQEVRGKREEAKVRKVEAKKAGKGKGSKGEKNGKGKKWTAAQVEKT A L198_02587 MAATKHANKDAAPVCTDSPAVYSCDVGGTFHAGYYTVKWVKKGT VATSASTPFITAGIVAWAAFMFMGVTSVPFIRTRIYGFFWMCHWIGYTVAVIALAYHE SSTAMLAIVSLIIYGKDIILRLVLSTRVTNARLVPLPGSQSIQVLTSFQSGWRAGQHV FLRVPALRQVGGMSFWENHPFSVASAEGDEMVLVMKRAGDWTRALYELAIQGEANGEK GMEKGYANVGKECRVIVEGPYGGPGPLVMASYSSVLLVSGGSGVTFALSVLDDLIKKA FMGHCRAKTVHFVWVCKNYDDAEPLIPRLVDLMSRSSTANLTPKISIYLTRSQSNSSY SEGGIEIVHSRPELANIVDGVVSRTRVEVLSGNVGTCGLVVGVCGPMRLVDETKRAVR AVPWQERRDIGGVTVHTEAFGW L198_02588 MIAEIKKQVTIRDVGDVGGAGEDVEEERVAVHAPSVRQQNGDNT PSDHGSSSRTTPIISPVDKSPTNSDISFRLLVTSPTLSDGDSLTSQGGFQKGAEKQDD EHGPGAFGVTHDGTSKEEGEQQVEPESIQQSMKKLGFQVEPREPKDVNEASTGNAVES QFSSSHSLVATNYTYDTPQEAWLYTKTDPTLSTFRERFLAKRYIFKGRVIMWERPLIL YDCQNAANRFLHFHSQLSQGSKDKIEALPNNYPKLGEYGRWFGNSVLCDKPIKGRMFG VFERVMRVPRSCEPNAHFVWDEERCAGYIIAAEDIPRDGEIFIRWDPLYVYDQSPTRR VALKDVGHICKCPKCSMTPTDLTKDDKLLDRYYHLTRASQTNHPTKEAAFTNFTRLHD AHLLAISQSLPTEQEKLIGNMILCCVKCADLESAQGWLALQRDVVLLSKGEALGKHWD LDRAVKGGMLKKDPQWGTLGEMKLSGPRDEARQASAELLFQDDS L198_02590 MASTTNESSKKQKTRGDATSADLTPAASPVDPPLDERWSTNGDT LLVSSNGTGFLVERYMLKAHSSVFRDMLSSNDFLPSYSSSHSGRVRLDLPEDTENALT VSTVLWILDQTPALTAVVSKLRRKSIVVLDHVLHFSKKFDMPFLSNYLENLLGKALRQ EDNANPDTDRRLSYGDIFILAARADMYDLTKTAIERIPHYFDQSLTAEYGSYLPEFTH WWHPGGDHNTVFPTYPATAFAATPSKYMWALMQVGGGRFRDKVRGEQFAQAGNSLRSS SLVTSLGARNLDVEWDS L198_02591 MASPTEETRKKRKTTGDTTSAGPSPTPSKSEPLLHHHSSADGDI LLVSSNGTGFLIESYMLKAHSSVFRDMLSSNDLLPSHSSSPSERARLDLSGDTENAAT VKTVLSILDDGLTLRALVDDLKSQSIPVLHNMLHFAQEWDMPFLSNHVQSILSKALQH PDDLIRQVAEERLSPGDIFILAARSEMPHLARLAVARMSYWFDESDRPEKGASPPIYT HWWHPGIKVGAASYPCIKPSAFAMIPNKYMWSLLESGHPTIDKSERGDEFLQSIDSHD YCFHGL L198_02592 MSQSTAPSRRAISHGGSSRLSLSVDKATNAVPAPVFGRHPLRQD WSLSYVHRPPNAKVDYEKEIRRVATFGSIESFLHLYSHLTPVNELPPVTDMLVFVSRI ARPGIWEEMRDGGRFTIRLVHPITPVLYESLLFSLIGDQFDESDHVVGCVLSVRQAEG ILSVWVEDESDAVRSGALKAKILSLLNLPLTTVCDYRANRALLENANKSHTTTSSSST TNPSSNINSNGTLPNNPIQNGTGTTPSGMHAHAHAGEGGNVEYQPRSYGHHHHERGHR ERGERGERGDRGDRGDRRERGEAGGGSGSGTWSSAFRDQRRTGGPGPGAGAGAGAGGL GLGSGAAW L198_02593 MSSYPPTNTFNNVQPALQENPYVQKQPMTQPSMDAQQVPAGSNQ EDEEVMRLRGGCPGHFCGLPILPCRINICIFPIPCC L198_02594 MSWPTPTISSIFETASYLASRLTPTPTLTSSSSSRHAQSHDKPT IDLSTPQSERKTNRLYMLEELTDREPWTEYALPTTNHNSAAKSKGEEAGRQETTWREY TLNPLLVSYIPPLTNDYISISSIPALLREAECECGCGEGMVRDDSEAGEYMAGRRGYE RGGGMFRRVGHSRGQTETRAGRKREEEGWEEQKVVGGSDGWEGKRTKSAGTGSSTSMS AGRNVLSLEFGQATRQSSAQGQQPQASAAGFDIDTTGTSRLPETDLALSDHAEDFLQG LPAGTTATKRAALNWLASQSSSNFSDKSRPLVTPTDNTSKRVHFASTSPPHAIHPPND PDPDPESDDYAWGDMSAEAQRFLTTGRFRGER L198_02595 MILLYLLLLLPLAQSLQQAQLRDRSIYQLLTDRFARSDNTSPYC DVTKKEYCGGTWKGIEERLDYIQGMGFDTVWISPVVANVELEGRGHEAYHGYWASDIH QLNKHFGTPEDLRDLSEALHKRGMYLMVDVVANHVGAQNLESFSPGPHYGPFTSADDF HKYCIPEWDKNNQTEIENCWLAPEMPDLNTESPRVISLLNTWISNLVQTFNIDLIRID TVKHVRKDFWPGFVEAAGVGAMGEVLHGDPSYLAPYQRESVGSLLDFATFFHLQRAFE NTLGSIGELRKMITEVHQLFPDPSSLGSFLDNHDFPRFAGKTRDPVLIKNAMVYPFVK DGFPIVYSGQEHNLEGGNDPFNREAIWSFGYSTDTEKYTLLQTLNKARSRASTSPSFP ALCKPYQHINHTLAISKPPLLSVLNNYGSYQVVNLHYIPPEQTGYRGELAVVDVVSGQ VFGTDPKGGLSVPIVNGEPRVFLPLGVWKGKVEDGEWQRKEVEEKSELGLGLGMGQGL GARSSSRSPPGSPRLATSLGAMVSWLGNKNGGGSGKGRDL L198_02596 MADVGVPLFPSLRHPQPHAEPLPRQPAPHVTPEPTTRTGLGLYR PSSFYNPHHPAPDPSNDDDEAASDTGSCRSRASAPSLLPTSRWTGSQYFRLRKDQGED VERPRSAPGVMAVTQELFGFGETRVGSPGEEDVLMAEGLWGVGSLPDVQEQQDKYTGN ISRKRSDSVPPLAGEELEWKDGTGSSSEAGLTVPLQYDSSLSSSATLLNTSMGPSPTP TFVDLINTNPNSTQDNPDSHPASDSNDKPSIKTTPNVKASKKHGRRLGAKERKKMSMR NMRERGKKLEINEEEARGGEQGRGNMVDELPSLSSDLSFPTPGSAYDSRYSSTSSDAQ VVSANDTSKTFQNQTTISTAPTTIHDQATPDPEQEVDGASRQTTLEDTQVKDNSAEVD KTGQQPKTSVKKSSPAKEKKDLKREMRLAPTNTLERARINYTYNELSNTHWYYTTEQP HLKTFKTRFFARRFIPQGKIFMWERMFTCLPGDLKGLEERHAYQAIPKDLRPQFERLP DNTKGIGFWRGRLLSNSFVSEGKYGYSGRRARCVFEKMGRVPRSCQWNARVLMDPREQ AGYLLALHDIPPDTEIFIRWDEYFNSEIPLDFKPYHFTCSCSICASPNKPANKHIREQ RLVFIKLFNDVMVGQLQLQLGAAADPLPQLCRIEYAIEIAVKQGYRESLEMVYAAGWS LAAQCCAEDCILAWAAGVRNLSLLFMGETLGERRDIKITLERYTEGNVDEWGLLGQFK IRGPNREIVKPIFDKFSWEDYEEIRRSRSPDDPIRPVIVAVNPSTGLLPDLTLDELAA FIDGDAEKKEKEMESKAKAKVGKKKSRKMRG L198_02597 MSTIGPAQRIALITGCSSANGIASNIATALHSRGYYVFCSVRHQ GDLDHLEGKSECQEVIMDVTDLESIKKAAAGVGEKTGGRLDVLVNNAGVDMFSPLLDT SIDSLRQVFEINTIAPISVTQAFSPYLIETARKTERKSVVLNVGSMAKFGVPWKGAYC SSKAALECLSDTLRMEMAGLNVKVMNLHVGSVKTDMFDNGKLFPTLSSTPSGFYPAWP EIKSRIEEDSKSQEPLTSSPKKVGEHVASQIDKVNPPGYVRTANGSFAIDLAMWALSM VGLKDWFWGRMWYT L198_02598 MSEFALQENLLALSDPSLYQIQSELDVPSLSANSIDSSLSTAIE IIAGDPDALYHSSPQTFNIFLSILKYADQPQINATVLTKLLDVIVSGLTHHSNAVMTI VNSQSFGSEADMDAPMIHKQPLEMWAFLLQWLVNAAERGAGRTNDEPRATTGRGKKKT KNAPPSTSFQFGDHLPLVLGAMHKTLRIPSSRLWRTSSEREAFISCFVKPAYQLAETE AYLKVQEIRLGIFKTICLAVKFHQHAFGAQTSIMQNLTYFEHLSEPMAELLAILEKEF DFSQLGEEVLRDVAGKSFAHNDAKGPRSFSKFLVRLAELSPRMVQKQMPLLLAHLDSD AHPMRMAIVEIIGILIRDISSSDEGDEEQKTKQVNKFFELLMERYLDLNSWVRCKVLT TLIKLCDLPAKFPKQRRQITELTIRTLEDKTSSARRYAIQLLCKLLETHPFGALHGGT LNIDEWQERYTKINEELKKVDAVELEKAKREVGVPDEHEEDEEDEGEKTEVDEYEESQ ESTVKTEEAGEAGEDGEAAPAKEKKKPRQSHLDLSGIQSEQTQLDPRLVNKLRLTKKY YADALRFVTQLENAIPTLCQLLVSTTKTEVLEAMRFFRVAYEYDLAGAENGIKTMLHL IWTKDNNATAGEENEGKGVRGSVIECYRSLYFDVVPDLSPKQQVNRIAKNMIERTYNA TLAELTSLEELMRTMMNEGGVHSDVINKLWQVYSTDQEIPKPQRQGAIIILGMLALAK REVVTERVESLLKIGLGSLGMHDLVLARYSCIALQRLGGSVKKVKGSLTDKTMRLPMD NPIFIKLRDIIEYSPKSQSPHWFAMAEQAINTIYLLGEQPDGLCGQIVKNLTKRVYEG QKKEAAVAAEKEAEPVAEEQGQGQEEGVEGEEAAPDGEAAVEASEAPEAPETPTTPVV DAQEDKPGTTSSFRLAQLVFSVGHVAIKHIVYLELVEREFKRRKDEKAKEKAAGKAGE KDQNDLDAVAGNAEDDIGDLISGVREKELLYGEQSLLAVYGPMIAHICASPKRYRNPT LRQAATLAMTKLMCVSAEFCEAHLLLVFRILETSKDPVVRSNIVIALGDIAVCFGNLI DDNSERLYQGLADSDLVVKKNTLMVLTHLILNGMIKVKGQLGEMAKCLEDPDQRISDL AKLFFTELSTKDNALYNNLQDVISHLSIGAHAVDEETFERTMRFIFTFIEKDKQAEAI VEKLCQRFRLATEERQWRDISYCLSLLPFKSERSLKRLIEGLPFYQDKLHEETVFKRF VEILAKARANKAANKPETEMQEFERILNEHQAKGLEDQALEADVLRKTKAAKRRAAKR PAQTNTRTSRRKAATREEDEEEEDAGLEEEAVEAEEQEEVEEEEVRPKRGKKAPAAKK APARRGRKKVVESEDEEEEEEDDE L198_02599 MSEPQFFETITKSFTDVTITEAGVNTAEFLEAAEGLVKIFNLFG NPAFTVVQNDLTGNIAKIRAYLAQNPTSASTLESLIATEKANVPKPKDRVATDALMWL LRGLKFTSLGLKINLENKDEELSASFTKAYEQSLKKYHGMMVRPVFYLAMKACPYRAT FYPKLGEPQAEVTVKLEAWLKALYDIVEKETTVFKAGSYGEI L198_02600 MPDTLEPDSSSSATTVQDAPQKQSSQTTPTSPSPFVKETHQDWT DDTLDALMVSSNGVGFYLPAYMLQAHSAVFRDIISGGLTGQTRAQSDPSTKHHTLELT DNSFETSSVVAWVLQLMHGTFDTNTFVEDQKAETILSLTKVKSFANKWDCRSVLDGLE RAIITISCDSHTEPFNKLAQFDILTVASNINRPYAAYAVLLHWDAPDSDEKREYRYYE TTITKKRPFDVTVLTRFGYSLFSIDYIYALHKSFRQHPMDQKL L198_02601 MFNSAKQQCNKRKGPSYIDLTSDGLDTDSYADQKKPPPRKLAKS ASMWTDDSHDVKLVSSDEEAFYVPSYMLKAQSAVFRDMLSSELLDPFLSRSDGNAKLP PQRHHHVLELIDDELENAENLTIVLTLLDSLDYTLTDFVTTHKSKTPTIMWSILLFAK KWDMPFLCSRLSDWICQLAVESHKPPYNKFSQFDFFKLAACSGLPHAASVVLSTWWCP KDKPEWTVWAFTGCRPLNPEFLTRREWVALPPEYKRALQRSLTLHPRDRLARAREFQA MVTTDM L198_02602 MSESIAGLQDAPEKQSPQTTSASPSPASKIHEEWKDDSLDALLV SSDGVGFYVPAYKLQAHSTAFCDIITGHAKGQSLSSLSSSNSKGASGNDHHTLELTDK TFETSRVVAWALQLMDGTFDVKAFVEANEAKTSPILSNLKSFANKWDCRLVLDGLERA VVTISSRSSSEPFSKLEQYDILKVASNIDRPFAAYAVLLLWDMPEETVASGTKPLIHT SRLE L198_02603 MPSLFRPIFAILLYPLFLLLHLTFTLSSLSLRIFQSLTTPVPHT IDIEHVSPPRHVALVLVPSALGLNVGLGGGKGGRSGRAERRRKEREGLVESVKRVVQW AGERGVAELSIWDGQGLTQAALPTLLRTLSTTSIPPSPPTSPSPSSRPTQDASPFSRP SSPSPSPPKRTETLGGGVTSITVWPSFGKGDSKKAAGGSRKELVVHFVPPSSGAVGVV NLTKQYVKGKVEVEEVTVKKVDEDIKKQLHFREYPDLLVVHHLTPPPFYATLLPRRAP ELWGYPFWALRITEIYQPPTPMPFLHYLSLLIKTLRNSSLPSIRKVGNAIGEPGKPAG SALSRDEWDGAMRAWVKVEQRLGK L198_02604 MSVSNHPALWKQPAPTHSTFNDYNTFPLAQTNRAHQQPVSHTSQ PIVTGTSVLGVKFDGGVMIAADNLGSYGSLARFKDIQRLHPLGKNTLIGVAGDMADYQ WLKKELDALLREEDTFNHTDSHPSLSPSNLYTLLSNLFYARRSKVDPIWNAVLLGGVD PKSAEPFLAYVDLLGTTYTAPTLATGFGAHLAQPLLREAYEKKAGTDGKGPKLTEAEA EKLVEDCMKVLFYRDARSINKYQIATITAEGIRISDSRSAATEWGFAEGLRGYGAQLQ L198_02605 MDSTAPTPKRAKTAEEQDQQMPSPATLKRSHDRQLHDGLSDGSL DTLLSLGTCSRTPSQSETPFSASSSGVPRSRLLELTDTTFENSPAISTVLSILKDEQY TLSRLIASAGAKTTLILWHVLLFAKKWEMRYLKFRLQEWICEVAIESYKASMTFFELA AMLAEPMEDAYSLWGVKHEHALDAMKWTKKAWVEWTPEWLYALQHASARWPGAGDKQN RAAGFIRLLQQQSS L198_02606 MSTPLTPIPFSTPKRASEDDDEKPQKVSPVQRKTSDGRVLYKGW SDDSLDTLIVSSDDKGFYIEGCMLEGHSTVFRDIFSVQAATSPPQTPVSPSSSGSPHP RLLELTDTTFEDSQTISSVLFILEDAQYTLSRLIAFAGVRTVPILHHVLLFAQKWDIR FITSRLHEWICEVAIESHRAPYNKFSQYDLLKLAMLADLPYAGYTVIGSWSPPVALTV TYGFWRERSEDALDIMKWTKKAWAEWTPEWLYAFSTLQSTRRGMNRSVLLLSSNTSHR NRY L198_02608 MRPSLRLLTRTPNYPGHIPLSYSQNALLAVGSGVMGVVDVSRGD LIASLSESTAGIFLPALHDKMKVTPEGRQIMKDRPQITSQTIEGLKNLKRGTLGREYV EWMGDGGLEPESRVPVNYIDSPTLAYTMLRYRQTHDLYHTLFSLPPTLPHELSLKVLE FSNMKLPVALLSSVFGPLRLKRKETWSRDWVPWALRTGREGRSLVTVYWEKRWEQGVG ELRRELGVSRNDANGVEARWGGYRKLREEERKLRRKGEWVDEPEEW L198_02609 MSLHENSSIARSRTSVNNDNAGDTEYELRTSTDPFFSRDGTVLH VNPVVILRNTTSARSNFISPETLKAGIESWLSSPDSTSPDARQRRESVRGAIDDVVHK QGPEQSSGGLIDPLNDFVKRNVIVPLSDISDASQEGVPSTMVLRGYAQSGLADLAWTF GGSEDPNVSQSIIRSCPSLKFAPIFPDVDGNEIHVESRLTAEGYYLRPATILGHFSMT GNSIQPRHRTHRDTPEYQSAFVKRFTDKVNQTIAESFIKDGQQMCDNDVYRHYDGTIY DSVGVNLQSEVADVEGIPNRLTFANYPSQWTGNLAGRAHRQLSAESVVPNDRPVGRLG LPEELSDNWWA L198_02610 MSAPEENQHQSQDDGSIDDAIAQVERSEYNLGTVSDSFISTEGD IVHLDPVMVYTKGNLDGRAGFLSPDMIAKSIDTWNNNPLYASSENDPNQRVATLEEVL DKIVFENAPPAPGEESFMDLNSIKTVRRNVTVPLQTNSSEGTDEKKKPSWIVLRQYPG SELDSLAKSFRGDEDPSVSESINKTCPSLDYKPHFLAWRPGTPLGVNTDITHEGSMYF VNPACLIAGLRATGASIAESRRTHRDAPDYQNTFTTRLLEGINHSIAESFIRDGQVMK DNDTYTSYVGDAAKSEALELRVNADSADDRGVPEHIFIPRYSHKCVEDVTVRSSTELG ARPSHV L198_02611 MGSLPPSLCSSSIYTLLDADISPQGPFTAITKRTLYIHNPHSYP VAFKVKTTAPKQYSVRPNSGRIEPGDSVDVNIMLQPMAEEPPAHAKCKDKFLVQSAFI HADDEVRSLSQMWTLLEQTNKAGIEEKKLKVVFLAPEGQADGRGIPEEMEDSRIEESF NDPHDGLTRWTTKSDKEYDPVLFPNKGLSVWEDPYNKPIYVPKAPVLHKPVTAIFSHA QTSPTPLDRSVGPSDPSRSFAAGPSAPAVNEPALPPLSAADIQPTNAALEESLSATTS DSEKLAVALKEIERLKAEVAGNTGPQVTGLRKRGVQGGADTIVEKPVQAAAVAAQQGV PLEVVVGLVAGVFVLTYLFF L198_02612 MFARQQIRLIHCSSRAAIGQHRSLANVPRAAAVLKMTPIPHPQS PPLPPPPPPPKKEPKKTSSPYYIGGALLAGGVTSALGLYLHSRPASSPCPQASACGTP SEEEEEDDNRSNLLFVIPKVESLPHNSLLEWQMARAFGVEYDERDRIDWDRLNDVVLL NAGTLSGSISECPGLEQISSQDAYDYLVRRQNDLDPETISVRDKNQIDMLLILFADKS RSPDDEDAPLSYATQYWSHTVKGLAS L198_02613 MHSRPSVLPGAYYYFFWLIEPLLTVAGGVSAIYDPVAFAKDSLP LNIERASALVGKSVRGQLVTTQLGSCFLLLAMISFSLFWVIKKNFKDQPAVQEKLVKA LLIPLAIADLVHIGLTLLALPVSHLQDPSAWTYVLKGNVWITSVLFLVRSAWLLGIAR PTASSIKDFALSPHQQARLPLPKTVSEYAVEQVVEIDSKPSEREAIPKAVETPTPAKR RTPRSKKIVQDD L198_02614 MSDDLESLPPTLQNIIDQQSLKWIFCGGKGGVGKTTTSCSLAVQ LAQHRESVLLISTDPAHNLSDAFSQKFGKDATKVNGFDNLYAMEIDPNGSLQEMIENS DQSGGGMGGMMQDLAFAIPGVDEAMGFAEIMKHVKSMEFSTIVFDTAPTGHTLRFLSF PSVLEKALGKLSSLSGKFGPMINQMQSMFGGGAAGGTDDMFAKLEGMREIITEVNNQF KDPEKTTFVCICISEFLSLYETERLIQELTSYQIDTHNIVVNQLLYPKPGDNCEQCSV RQKMQKKYLGEAYELYEGEFHIVELPLLTEEVRGVEKIKKFSKMLLEPYTPPQ L198_02615 MSRTTLVASLHPPDLTLPHSPFASPDDDQVTTPLDPTPSPSLPP SVKHSPPPFATDDQSPSQRAAAYLKFLSDCNPFRVVDDGHESDSEPEGTEDATGADAY EGRGGADAEGEAWGEVAGGAPNAACAEVHIQEAVANLSAVSSQPTLYDPTPAHGMAGS STIPPSPLSSSNLLCSTPSLSTSLLSSSLSRPPSRRQTSTYYQAAPSLAAPRRFADSK QDVALTALLAEKDPGTRRWTRAVAKKTKFKIEASVDESMLERDLKGEVSMERRVSWPL YEVSGVVMDRQQVADQRQQQNPVKMQRNPVHTPKRRAHFATRSVDQLDNPPQRVPSPP TPDIDPQRISGSQDTIDDGQVHDPAKTLQQLQQQSSQSAFTDPCQPCQTSACPQPQLQ QRAPAPNSFAPSLLPPTFIEPQHILKVAGSPHPSAAAPKRRVRYAHRSSSRLGVHLPA VPQTPSPTPSVFFAACARADAKMAAKAGKGEEEKREEQDEQEKIVEEAEWKSRRIMAG LAEWFTGWTSSSPSGTSDGEGEDDNEVTGGMNAREMESLCPIAGVQVQFY L198_02616 MSSHPPQTLLKALLKTTTDDIIPLTAPAVAAGCKVFGAAVLRRD DLSLVIAGTNQETESPLLHGEISCIQNFYALPKAKRPDAKDCVFFATHEPCSLCLSGI TWSGFDNIHFLFTYEDTRDAFSIPHDIKILEEVFRVPSLSPHEDSSQLAQRPLYNKTN HFFSAFSIADLIADVPQGERAEWEAKVKAVRDQYNALSSVYQEGKGGDGIPLA L198_02617 MTSKAFMIGTSLLIGALTTAIGFYLYSYAQEPISPPLVLDIDFE GDLPPTGTSLRVGPGSVLPQIITAPTPELDPEVPPTPEATPPPAPTSPSSPPVPKNIW PPGSKLERRLARTFGAKYEEGDVFDWKRFHTVVFLSESKLTNSVARTPEPANAQDAYD YLTGKDSPFLNKRADGKVVDMLLVLLNDRDRDMGDEFPLMETTKFYLRWGKLHY L198_02618 MSASASIPSGSTSSDTSVNVYIPPSSPLLTYSPGRNGVSGSSWL QVDGGSSECQGQSTFAVEVDALYFSDIAFFYSTSSSYTVKAGLDGSLSATTSDQGVAT LSTQSFGNHSARLECEGGDGGATGGFQLTRVMLQTEVVESGTPNNATLDDASSQITYA GFQSTSPSGSSIEAINEGSFYKNTISWTNAADATAAFSFTGSALYIFGMTGPDFGCFS ITIDSSSYGTFNASTTISTSSTLLFFTTYLDAGTEHQVEVKNVNDGMVLALDYFVAVA PQGSGSGSASGSGGVGTATATGSRSGSSATAVFGDGQANGNSGPGGDSTAGIIGGILG GLAGLALIWLWWAYRRWKKAGGEGSFLAALCGKAKGKPAPPPPEKKKDWPLWPMMWSR PKYAVPKD L198_02619 MLMYTAAGPIMSSAPFYNPVYGSMYTGWGRYGVGPNYGTGWGGS WYGQNPYSYMSGTYW L198_02620 MSRHAHFTPSTYSSDSGHNGNANHHCDSHNRPAYHTNPTPVFYD NPEGGFLKRDSHRQPHYPSAHDPHSTQVYYDNPQGGFITPHSTLPPPNNAHMYTTNYI PLLGHAHPRPHPYTPSQPLQDLGFGPTPLFGWGFPQDNHSGQYPPEYYQQHQSHPPQS PYAQTKQQYPEQAHQAPYYAQQQSPPPPPLPPHPPQGQGYYPPLENLPPSSPPPNHVP PADPQGLYHYPQSNDPAASKNGHQNVDVQGHAPAVYSQPMPPDVHHPSVPPPPHHPGH VPPQHYSDDGMRLQYHYHHHPRDPYDPWQGAPPPPPPPAPVPPSSPPQQQQQKGRANE REERQYKQVKRYKEPKEKELPQTIQIITQGGQDASSSSSSSSNKMADQMQMMMMNQMM MQQMQAGQQQAAQMAAMTHQSMQNIQNSAANQGNNNNNNNNNNRGDDYGYGEEREGRR MREKRPKISVHSVQHKTKRSRPKTKIIHASPPPADDPKIVIVPQAAPPPQTVLLSTVY AENGFIDPKEGNGTMLTYTLWTTPANLGEPLNIIISNRSSPRVLAETMFEGGLYNYLE AAGFGGECMGLHMGDAQQVNLGDGDKNPKRLLARLVLELTEHNKKRNEVYSGINTSMT TF L198_02621 MEAFPIYEDIPPAYPQQAPPDYIDHASPPLPNYDYPPQVHPDYG FVEDYSRPPEPIYDLPEYVSSEEDPFDDIEIHDEWIDPSDYRQEEDYYDDEIFEESWI PYSSPILPNDSLPAAGSSSQNGNQQVVIAPPSFYPPPSDLRQHYCDLHPGDCLRDKLP IETNATERANATLDEDLSNGTNFKHVDLPGAGLALALLLIIIIALNASWSSDEKDGKK SKSINKTSAGGATPDSSAENGSDEAAKDKKEKEEKTAAAKQKREREKKEKEARRAAEA AANGSDEPAGSTTGADGQSKKEREAAKAAREKKDREKKEREEARAAAKAEKEAKKTAE EAANGGVPASTAGSDGKSKKEKEAAAKAAAQAAKAEKDAKEKREKEKKEREEARAAAK AEKDAKKAAEAAANGGGQPPASNSGSEAKSKQEQDAAAKSAKAEKERKEREKAEKEAK DKRDKEKKERDAGRAAAKAEKEAKAAAEAAAKGGADPGGRSTKETDAAAKAAKAEKEA KEKKEKEKKEREDARAAQKAERDARKAAEAAANGGSQPPASNAGSDSKTKKERDAAIK AEKAEKEKHAIEKAEKEGKEKREKEKKEKEEARAAAKAAQDAKNAKANTGSGGNPANP PAGGSAPSNGSGETPEQRKARKAREAASKQAGGGTDSTQGAAPSGAPENETPEQKAKR EKKEKRERERQERANETPEQREARKQQEKANETPEQREERKKREAERRANETPEERNA RKKREAEKANETPEQRAARKKREAEAKAKADAATTTNGGGGGGSTTGAPAENETPEGK AGRERREKREKEKQERANETPEQRAARKKREAEAKAKADGATTTNGGGGGGSTTGAPA ENETPEEKARRERREKREKEKQERANETPEQRAERKKQERANETPEQREARKKREAEK ANETPEEREERKKREAERANETPEQRAERKKRERANETPEQRKERKRREAASTGDGGT GDSSSGKHSKKHGGERSKSWFSPPLLLGLLLLIPLIAMFGKPSMPMLSTPTLRRPSVL GSGGLASMLKPPVMASTRARAAFASAKARRSRAAETRWVVNDTPPEPKTQININNNVN SPGGSGGGDAPPIEVVVEETSSSSAAEAPPVIVEETVWEPIHFRFTGPANPDRLYKMF ITTVIVALPLLYDWVMDYPKKRYSQPDYLPPSTQAVLAMTVFLLCAYLADWSFAWVGW IGWTSTAIVPIAEVSISGAQSGLTPLIVILLETIESLIWALEDVIFGDGRTLLGMGLA ALGVVMLGQREPSLDVPKTEYASGAVQGVYFLGALLIAMFVWNA L198_02622 MSSEKRPSLLFLTSPEHGQANCQFAVITCLREHYGDDIDIHLCS YPELENRTPPSVTFHSVKGEGIVRYFEKITGSPKAGLQEAFKTISSPTGFFPACMAYP RLLPLLHPESPEVYVASANDVAKILADVNPDFIVCDDLFDQARDAIINSGRKFILISP NTIKEVAGKNQGLVRLWKWPALVSGYAYLVPWHLIPLNIVASIFPLFYFRRYERFLTL IRTRHAAGYPDLLPKWQAHQTKYSKIMCMSTPEAEIPAVYPPGVICCGPILQHSKPLQ EVDEKMYEWVMAKPTVLIVLGSHFVTSEEYANKVMAALNVLLDARPDIQVLWKVRKDC EFEIAGWKEKEDRVKVVQWLEADPIAILETGNIACFVNHGGSNSYHEALQTGTPQIIL AAWVDCYDFAARLEYLGNGAWGNKGSAPGCDTKTLTKALLKVVGATPDAAEATKIWER AAELKEIVTDGGRRIGREVAAGYVWEGLQEALRTYHD L198_02623 MAAIPAPADSPVVTEPSPLSLAPPPPPTPATGGSGRGRNKDGKE KESFACTFPGCGQSYSRMEYLKRHQRKHQDDRPFQCKDCSKAFARSDVLLRHRRRCHP TPPPVDRDTSPPPSNRIYNNMPVSSSRTDAREQSPPRARGRKHARQSDAADDQRDRSR PRIDPALQHEHEPEYAAEHGANGEHDERYEEERGYDGNVYGQYYGQTNQDDHPNYSSH LMPMFAQNQAYHSLNDPNHLEDASVLLSMAYPSGVPGGEGQQEQEQRDLPDWASNPTI NLIMETAVASANGEHDALPKDTNGDGQEGADASAEKENGTTQAEPTAAQVISNLAEAA AAPQPIPNGTSNDNPAVNGDSTEGGISSEVIDPTLQDGTPGSEGFLNAMSWLSGLDNQ GVLNLNKSSTLGTSTLKMGFTPEENSFLMTSLFSPSALNASLGGNSNDGSTEQASTPH ILYILEQLAKYEVPQTIANPNPERPLLRLDHAAMALRAGEAFDQDSRFYLPAERFAGC YQIPHWALPPLKTLSVMACRTFHTVLNHFSFVHLPTFKLVDTAACLAFAICTVGGIKT GNASLSDRFLWRSPEGNGRPSSVGAGALDGPVVPDQSWESLYEDNWYRCTEPARAAEV KNVANWANGPVVRSEKTNMLVKSFSLAKGVLMTEYNVALLQALILYHAPNFLSENERE RVPANMFLGTIVNITRQIGFFTTENDHVTPSISLPSEPFTPHELDRAWHDWIQLETRR RTAFLVYQLDTISSFESNIPCILSGCEVAYLPLPAPDSLWKAPNAQSWLKGVKNYRPM TLDEAMRRTFFLPTYGAFDALHEKADTQFYNLLNQSDYGPFARMAMVITLLRGIIDIG EGKRDRGDWRDLTDLWVGCSWLKPGKQIIAQDGTDLGIITRESLKERFRLGLQKWREG WDFDSLCSSPTRAATRHYKRPGAASAGMSPESDSTSPREDEQSIPKETLNYCEDALPL YWLASGLLGAIISNTTHKPGQNMFSGFNYGDMLKSARTFTRTGEGVPVKLRNMSASHA RNERHPSAGPPVYGDASRNPNMPTPTSASSSASSGPPSNPPASLDPSTHSSHSTIPTS VSPPDQAGNDIMNQELSSLAEGMSDGTFAGIMQALTAGSGDLSMQMGLINEQGEGEGV REGAGAGAEGGNEGNGGGEESLQGLSDQDIAKQLGFMI L198_02624 MLNLLKRIPLPLARPSPAGQRCCSSCPPPSRALTPSLRPTSPSL VASLSPLRSNALSPLSQQQGLGQVRGMKVRSSVKKFCDGCLVVRRKGRIYVICSKNPK HKQRQG L198_02625 MLESLSSRLSSKLGKSSKHIPTYRHISATTDDITLEFPAEIEYR VDTLPTGTLLPKPTSRTANLPQTAMSKQKSGWMAESGQNWYLGNFSVRAGSIGACGTV SALGTQSELANYPIPSGDLREGHFSGPFTTKQAFGGQVTTKASDPNSFHRTERDFFKH LEEESGNVYRLVNVEMGDYCEDIDPGDQGTLVNTRDVSEIGDRKTATVTKRYSKARWN FRAQLRVPTEGNLPDKKAEE L198_02626 MLTLALLSIAGTALASIEGNKAYMTRPLIAFLSWPVTRWEYHDG QLNFPYGVASGDPYPNSVILWTHPVPSTDDFRPVCLEYQVSNSNSSWSELVTSDQVWT TQDVDYSYKVERSWEPRAETDVLLSLRQLRRQRQRLADSLSFAVYSCSNYPFGFFNAY GNAARRDDVDYALHVGDYIYEYQGDGCEDGNTCYRDGRDIDRVPLPNHEILTFDDYRQ RYKTYRSDPDLQLLHQKHAWQLIWDHHEVADNTWMSGSADSNDTITGTYYNVSFTQRK ANAVKVYFEWLPIRTVETDDSLRIWRSFQFGTLADFYMLNTRQYNRDLTDLYYNSK L198_02627 MASLDAEKASIEHIESGDGKDQKISHRDMKHGDKALQFLGDERV EVTEEDDKRIRKLTDKYILSVLAWVYLLQILDKTLLGYANTFGLSVDANLGGNQYSMV GSISAIMQLCWQPFSSWLLVKVPPRYLMPTMVFGWGAAQACMAAARNYSSLMACRALL GLFEAGCLPLFSLMTSQWYRRSEQPLRVAIWYSTNGIATILASILAFGLSHIDNPHIK GYEVLFLLCGLVTVLTAPVIYLLIDNDISTARFLSDEDKAKGIERLRANQTGTGSTEY KWAHVFEIFYDPKSLLFFAITFFVNTGASTVNVFGPTLISGFGFDANITSLLNMPLGA LQFLTIIGGCFCASKFKLKSAVLAAFVIPVIIGLALLYVENTRKGGNGLRQGPALVGY YLLAFLFGTNPITVSWIVANTGGQTKKALLMSIYNGASCLGNVIGPLLFNAKDRPHYL PGIRANLGLFCALFGTVGLCAFYLFDLNKQRQRQRVAVGKPKYIKDTSMSSKYETYGA DDATLGQNALLDLTDFKNDEFVYVY L198_02628 MSDSVSKLTALRGLMKDHNIDAYIVPSEDAHSSEYLAPCDARRA FLTGFTGSAGCAIVTHDKALLWTDGRYWIQAEKQLGPGWSLMKSSAPDVPTWNDWLAT TLDPTSKIGIDPTLLPFSETTTLQTSLASSSSPSSPGTKTSRLIPTPNLIDPLFTPTR PPKPSEPIFLLPTTYTGESLASKLARVRVYLDLAGSPGVVLSGLDQVAWILNLRGNDI PYNPVFFGYAVITPGEARVFLNLLVFRGNKKPQLGGPSTVTGANGEKMEKTDKMLIGT KTSWAIARALGEENVEVRRSIVDDYKAQKNATEIEGFRQSHIRDGFALVRYLAWLEEV LENGEEWTEYDAATVLENYRKENKWFMGLSFETISSTGANAAVIHYAPPEEGSAIIRK DQMYLCDSGAQYLDGTTDVTRTLHFGTPTEDQKIAFTRVLQGHISLDTVVFPEGTTGY ILDILARRPLWSSHLDYRHGTSHGIGHFLNVHEGPQGIGQRIAYNDVPLKEGMVISNE PGCYKEGEWGVRIEGVDVVERRRAGGGPGEKGWLGLERITMCPIQTKLVIPALISPDE KDWLNAYHSEVYAKISPLCKEVGDERALVWLEKECRFI L198_02629 MFRRQVCARKGCGTGVKTFSTSAMRSARQKDHYETLSVQRNATK QQVKAKFYELSKKYHPDASEGDVARFHEINDAYSILGDESKRRQYDQSSSTVSSHRPF QSQSYANGHGHSSFRPHDPHLHRAAQGPHRSWNSSSYAHHPFSKPRTETYSPFGRRTP EGYAYAHQYNYSFNPNLRTTERTNQGWGRRKPTHAQSKEEHVHSSGGGIWRFVITVGM ILTVISLGGGLTATESKVDWNKVWSEREGKKMGRERKRLKEKLA L198_02630 MQGLLGGAECGTSSNPLKQVAQREGIDNSLFKDRFAQSPSSTPN AFRPAPGPSQQQPTSIPTHGPNLHANATPHPFNLSHLSAALSQSRSGSSSPQSHAQAQ AQAQAQAQAQVGFAQGWERYQMNGARSPLAQQPQYHPNGNGGGWANGFQEFQSSGKGK QRAEPLPQPQAYAPVEAQQGYQPHQPYQGYQSGLSMGGGMGMGMGMGMHMGHQPFTPM YQNHLSHPPSQLPTNGHGSAGAEQLDQKQMEDLFSQAESDWKASSAPAQSQETNLDAQ AEEAHEGDEEGKEKERGEGVEEEKEAKGDFEKVWESLKPEAERLNELAQWENDFSQQF TNDEDDLFDILNESLNRPDVGQMGLDQQWEGLEQSGAEALGRGFEVRSDGLPAQTQTP EALKSMSASYIWAEANKLLASGSLSASANHIEAFIQQSSAAERQQMEVSLTEAWALLG RVHAMDEKEEKALGAFQKGREVLGQEGAAGKEGIAGEMLTNLTISYVNESLDLAALTT LHTFLTLLHPSHAGPAPSTSSPLLDPSSASSASPWALHSQVSDSFLSLARDQYSHGGV VDPNVQVGLGTLYYMMGEYDQARDCWVSALKERPDDYLLWNRLGATLSNGGSSEEAVD AYRRALELKPGFTRAVSNLGVACLNIGVHREAAEHFLAALSLHPSQQEGMNPQQISND SAALWGTLRKALVAMDLPDLARKARPGTDLEEFRRAGFEF L198_02631 MLFTRRAALGLKSRHSVRTLTNSPSPPPTRPNGHLEEGKETLFR NMRYLPAIGAVSLTGVVIGVTAYCLTPLEQSEEDKEVPFKDTTIFSGTPARIRSIDGQ QVEWRFEDKHYYHPLLSDRDAERMLTPGHKVTEVNRRGNPLKTFDFKILFSADTGEDR CNFEVVTRSDLAGVAQKSGKGEFWWKSWWDARNRVYPKGRNRKPKVGDGSEDVIICSV WDGHSGHEMAELLKRGLHGCLAWNIGKELNRSKGWTETIKTSLGFESSSGESFRDVHF EPESFSNLISNTFLAVDQDVVTAPSRILYSGPSNTLSPHLPPHLPSSLAYGAFAGGPG ACCATAIVDVADDRFYVANVGDTRCIARWWNEADQKWRCDVITEDCMGDNPKESARIL SEHPEDEQDTAMYNGGNGNGNRVLGQLQLTRVFGDSDYKIDHDDVNRALDQCEYGIRW PRFDEVPCKTPPYVAAKPEVKWRDMHPDNGDELKFLMLGTDGLFDRLTSEEVSHLLAG HHSNPKQDDVDKVVLPMLHPHYESLSENEHPFPKEEMQTEGGWVFEDKNAAIHLIRNS LGGADRELRRQFLSLRKPGVRQARDDITACVLWFDEKGPREERGDMTSV L198_02632 MDSRPAVRRPRATKSPQRRVESKLAIWERISRRQDETNSVPASS SWSSSEVYRAAEVTTVSGSDSSSASDFTTSYDPSATSYTAYNATNALSPYSANSTESL TKNATITSTSTCSSSSSSARTSSRSSCTKSTRTTTFTSLWSTSKSKTATGTASAATST KSAYVPGVMLNMTMSGDSDTQAVYGVEVEMGHDDDDGKRKRKRGIGWGMGRRASYAKD WKNQMVNLQVDLGSSDMWVAVKECSTSDCNSAPTLFNEDLSLDSDTTANITYQTGAVD GTIYWEQLNVGDFSIGYQAFIAATAVTNENLKGGNFSGVLGLSLPAASSILAEIGGTT GSNPDGATFLDNLFGAGSSAPSERLFALSLSRREDVRTLSTFGIGATSPLFCPSPCSP HFVPIVAQPSLGSTGYTHWRVQIQSLSVTTWSDEKSGTGATTSKVALGNSKVYSTKGS PLAVLDSGGVQILVGSRNYAEQIYGAIGVSMSSDGLYRLPCSKQIALAFNIGGVDIPV HPLDMSYVDPSDLGQSTCIGMVQYSSNLGDSGDFILGSTFMKNVYSIFKYPDTQKHVT WQPTVGLIPLTNASIASQDFYSVRVLHESLSTVSTTQATNAGGSGVYNPGSGTGTGSG SAESGKKASSTAIIAASSVGGFFVLVVVAFCAWWFFLRRKFGATGSVPTPDLKRRPST SGHKSDHSMSTLRSKKHDYTNRQKSIIDGYKDWDGDEWVSGTEGGDSIRLGYIPEALE EGDDEGRQTRAVARSSRSLTVRSSSHRSRSIAEEPGMEEAALVDHVDPTKSPTTPFAD PLPESPQSDSFPLHPSNGPYPSPIPIHQSASSHSKSPSMSMSGPFPSSPGPTGMARYS TMRLDSSPMYDIRTSDYFTIAPAPSTAQRGRRGSSGVLGGEGAGHRRASPSKASGKVF FEESVKEESEGGS L198_02633 MSKPTGRARRALSSVADTLFPGSRYPDPRVTHPSAKTGADELVI KMHSLISLSNFQETEYWNTDFKGTKEELMTKSYYTEPYIDPDIEILEEAPTSVNEKTQ SQQAGTSASGGATDILPISTDPSNATGPPRSRFEGEPLVPTQYHNPHLDYHDPWPRFP LTLAARSVGADRHHMFPRSALSFDVFDMANSRIPTDPEVEEDWVLKVKSNVIIPGTLR SVFDVKEASYFEGLQDAGERWKLDRVKWVGHGSSMGRDNVVGCWYSPSESDWVFKRME L198_02634 MSSSEIPTGTSPNGNEKWLLKVKDNVIIPGTLRSVFDAKEASYF EGLQDAGEKWKLDRIEWTGPGSRVGKVTNMGWADDKLDSDWVFRRME L198_02635 MSETISQGKTQDNPSSITDSFPDPRVTHPWAKTEEDELVIKMHA LLQMADRQKTEYWSRNFKGTKEELKSRSTYREPYIDPGIDIIDEGASTSINEEDPQSD PAGHSRLKFEGEPLIPTRCP L198_02636 MSDQAVQPTRRNLRSQGDAPSQGSAPADHSSSPLTPLLPSDPHV PLVDGAAPHSLQSEVGNRTSQSDPPLSVHHTPQTAPQDLVHGTHSAAPTVDFLMQQNR FLMSSMANMRDEIRSLRLSQSREATPVSPPPRHTHAPKLKHEMFPKFRGHDDEDVDTW VTSVTAIFEFSGAADTDLLLLLPALLQAVYFDDKRSLQRHLYPAGTPDVDRIDDIING LPESMQKLVRIDARLYPNLDDFRRALIDGEPSMRPELSRRRQDNAPPRNRHQQRDDQT PSSTQSPPKTPCRFCQGPHWNRDCPQQAAPPARPAQSMAPPAQPPSSAPAYGNFRLAS RPENNGPSSFRPASASANGTPLGNSRPPGAFTVFVRPSAATHQHPSDAHEAVYSPPSS DNQTHRVSHPQVPRASPHQAPRVSSPLALHVSTPSTVSAPSSLVVEPSETAPDTVIPD DESATSELVDEPLFLGPDIVSTDDDRDIDIQPRRAETSDPLASFPRLSISREPTVSHD KSPAIAKAHFATDIEGSPLRDIVIDSGAAITLMNEAYANEHLPTLTRHRLEKFQLTGL GAADCASFLTTDLHFPTAEGGEAVIPANNEGRKRYTGRPGG L198_02637 MDGSGGEGIAVAPRVWRWWMPCGGHATKAEESENDKAGEDVAAD EDVDVVSVADARSVVEDDDASSDDLPYHPGYVYEPVVPAPPPSPPTVPHLLRSGKNCP PALLAPVLAAGVDPLPFSGSDDPIPDLDLLLGPRGDPLPFPYPDALADYLGRPDDPSF HPSDERLPVDGHIGMPIRALLAMAEQEVVDEPRTWRAAMKSPPR L198_02638 MEFAKNMRVVFKIHEDTSTRTYSIVPLVEEESENAIIKHIEWRT VDTAGRSQQKVQYLLSGADQMWTRMRVRFKHGVHNFPQVARDFLDKKECELVELTTHS FPFRKAGTDTVV L198_02639 MYRRPNLKEARLKEALESVWPDYAITGRKPEPFPLPTFPIISLD ALYPVHPEILDILFFSSPKTYMLLSKKHYARVIPLFWRLVVLTEALINAIKRKDSRVL NHLQHMVSVRIGSLESINALESMSSRLSNGDVFRKVTQVEFTATTFKRCWTSGPLLQI DVGSIEDCLGDNLEELIIRISRVPSKKFTLYQAAFTQLLGSLPPLVTMILSLPNPHAP SWVDFLERFPELLRHWTLPQCLRIVFKIPEQMTSLVKVGVENAIVKHIRTRAIDTARE PTGGAGGKRVEYHFSGAEEMESRISAKFAGNSILNQQILKDFEQKKYRLVELPLHAFS YKKDGTDMAERE L198_02640 MPPSPAPAASELPWAFIDCPTDTLVTLLAHMLDLLIRHNDQVTL TPDALTRFHSRAPPGISVQDYLARIVKYTNCEKIPLLSLLSYIDITCLNLPTFTLSSL TVHRFLIASICAGSKAQCDVFCTNAHYAKVGGIKTGELNALERELVRVTEWDLCCHAE TLQRYYTSLIRSHGGYTQAPEPATSPFVQFPDPYPGPTNKNREEGDTAGEEGEGDGCV DCAPGQGHAGKEVGLAGAGTKDEDQEMPLQSSPARPPLNGSLSEDPAGPQDEKMVVDP SPTLSARGRPRRRRTSHMDVDPSRGHLSKKGKGSERGGEGEGEEEGGSPYSAASSSVP SSSRSRGGSQSSRRNRTGGSPRDMFVNSPGVTEVGAGVNGEGVPAGAGIGTIIRPTPD VGHPSQPSLSQEPTPQALPKPPLSTNTSTSSQKDLHPTHSHPQGHGHPHHPHAPKFLK NLGNMFRKKSSPGAEDRPAEGDVVAGGGAGSGGVGVPAPQSGIGAGGGAGGGGGAAPE SRQHAIISSKPLRSLTRANPSQSQSTRTPIPPFTNPPQSPRGRPPAPAAESPEGGDKK IKLTPRIRTRGETSLDSPRERVWLGSGEAPTAGLGGSAGVGMGTGVGAGEGDGDEGVD GPWTEEEPGKRAKA L198_02641 MPSKKAKILFLTAQHGQANVHLAVIAALQSGLPLPLAPDLSNIE LDLHLASFPHLAKRVPTGVTWWEIEGKGFAQRFEEEFGKAEGDRRAFDLLSYSTGFLS SLYAYTQILPVVHPETPSQYLTSCLSLENILTTLSPDLVVVDQLFAVARDVLGKGDWK WIRLSPNTIKEVAVVEQGLGIFRWPALCTGLPYPVPYSLLPLNIISTLFPLFHNPLNP LLRAFNTARHSAGYKGQFPLLHPGEPTGRHSRVICMSTPGSEIDAVYPGKDKVVCAGP ILLPVRPLAEADPELLEWLGPSQLVLINLGTHFLQTSSFANAILHSILTLLTLRPDLK VLWKLCKYGEYDIDLSLLGDVLGKRVRVVEWLDAEPGSILHTGKVACFVNHGGSNSYH DGLAAGVPQIILSAWFDCHDFSTRVEHLGNGVWGNKHAA L198_02642 MRDNMQMINGRGHAFDSLQDRTGTFFSPSLLWKKIPSLMIVPEL IAELEAAAQHVKPVRKDHLVKQYYCKNCDAPWAKSPEAPHDHETWCIRCNAVLVDKGH KIDLSVAAP L198_02644 MTTDLKDYKDALGQSPTAPSLRRLESGGSDLRGVAGDIVTEREH RDLLRGLAQRHISMIALAAGSIGTGLFLSLGSAIQNAGPLGSLLGYGFIGLIVCAVQF ALGEVTALLPVSGSFVRHAEFLTDPALGFALGWNIVYGNWLSVPSEISAICVLFQYWT DLSSSVFIVIFIVLTAFVGLINIRWYGEIEFFFAIIKILLIIGLVLLGLIIDLGGVSG VERIGFRYWRDPGPLVEYMGTGSWARFLGFWSVMIGAVFSFAGVESVAMAAAETKNPR QAIPKACKRVFARVSFFYVLAVLIVGMLVPSNDPDLGDDSGTAATSPFVLAASAAGIK AIPSIVNAVLITSAFSSSNQALLAGTRVLYGLALKEQAPRFFLRTNRWGTPYVCVGIY IIFSFLAFMSLSENALTVFYWLLDLVGCGVLISWSAVLVNHLRLLAALKKQDIPRSVL PWSNWWTPYSSAFALFFCLVVLLTNGWEVFTHGGWSPSGFITAYLDIPLVLAAYLIWK FYKKTKYVPLSEIPIRRVLESIDTEPEEKEERQTGWVRWVSWLWD L198_02645 MSTASPSSLIAEVLRQPDDLLKLAAYRKKLLKEKAALDTKLSEG VKSQLDATRDALVKLQNSKAAVSVIREEMLAVEKLMGGGEGGENFEKIIRVSAVHRNF AQTAKMVQNLRSMSDKVDHLASLLDSDKNHPDGSAGPSYNLLPIHFQLQQLEAFRNET LHQAKKSAPQEREILIKWFEKLDRVGQDFESWMWEIGGRIVELARKGNGSTVVRLLKI IEFEGKEDEKAVAMRLVRRVATSDAASKFKSMQANGRVIKNFRHKFLDVLKASIAQSF ERHYLDNQYDFLAFIEDLSWVYKDIIRIKDDIGPLFPDDYEITSFLVKNYHKSLNDTL RKVVDSAPEAQVLLELHAWIKEYRVSMKELEVPAAWLQPPLLDGKSQDLIEDYVKLIV AKLEEWTVNLMKEETGTFTWRTREPEQGDDGQFGMEGVVDFFQLVNQQCDLALDSNQG AVLARVITESAKVMRRVQDEWLKLLAEEMKGHMEKKPEEVLPGLVEYVIALANDQLKS ADYVEALLTRLEPLVSEKYKAVISARLNEAIDGYLDVAKRCTTALVQFVFHDLRVATK SLITPAWYQESLMDQIIETMKDYMGDYQEHLNPSIFEILVEDFLDTFLICYLSALRRA SSNSLRIPTATQKIKSDISTAFSFFGAYKPAQQELEQNFEVLDMVVSMLDASPQMVFI DYWNFAKVHGPQLAFVEALMKARDDLDRDGVKEIMETLRRKVKEEEIGDPEEPTIMVK IQATSGGLFSNLTNLAGTYTSNFSAPKFSASGLRGL L198_02646 MHLVTVATQLRQWALDFEGNCERILKSIAIAKERGATLRVGPEL EIPGYGCLDHFLEGDTNLHSWEVLATILQSEEAQGIVCDIGMPIEHKNNNYNCRVIIH NGKIVMIRPKMWMANDGNYRELRHFTPWHKNRQLDKHPLPSIIKKITGQTSVPFGDGV VATEDTVIGVELCEELFTPASPHILMGLDGVEIFTNSSGSHHELRKLNRRVELIKEAT MKLGGIYLYANQQGCDGDRSYYDGASLIALNGRILAQGSQFSLSDVEVISATVDLEAV RAHRTTSSRRMQSAQAESYDRVELECRLDGGKGIRVGDRETKGTMEVQYHTPEEEIAL GPACWLWDYLRRSRTQGYFLPLSGGIDSCATAVIIHSMCRLVAEHAKKGDQQVIEDAR RITGEPEGSEYLPIDPREFAGRIFHTCYMGTENSSNETRQRAKDLANAIGAYHVDLNM DTAVTAVKGIFSFVTGQTPQFKVHGGSAAENLAMQNIQARLRMVVGYMFAQLLPWTRG KVGSLLVLGSANVDESLRGYYTKYDCSSADVNPIGGISKTDLKSFIAWAQVKFSMPIL YDFIHAIPSAELIPMGPDNAVQSDEVEMGMTYDELSVYGRLRKVEKCGPYSMYGKLVQ EWGSFLSPVQIAEKVKHFFFMYAINRHKMTTLTPSVHMESYSPDDNRFDLRPFLYPSR FAHQFKKIDALAESLPNMVEHSEKDVD L198_02647 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKI QDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT ITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLV LRLRGGQ L198_02648 MSISLPNGTLLAAPSSHQVQLSAAVTRILHLARFSPELKTRDLQ LMFKDWESDRGGYRIKWLDDTNALIVFADANVAKRAYLSFLLRPPSAFSGLIRPYDRP DAAAIIQSLAARSLGHRSGMSSVAHTSGQPPISGPPAPFPFPANNDPAAPQVHSRAMS VTNAHHHSKSGSISGLPGGAGAPAGAPGGVAGAPRRAGHGRSGSASSSWGRNALPGAL SGLTSGLGSLGGLGGSAGLAGGALSFPNASSKPTLPTHDESSGGPPSRSASTSSGEAV LLVDPSARTGMRTASATGSVEGANRPRRDSVSADKALREVQKALASTS L198_02649 MSRNISYPAPHPAPYIGQPRASSSAGDGYYTSSSSKDRHARQTS ASRSAYPNALDSSITRLLVTTKQLLQGLEQWSQGIISETDVSDIYVRLGNGFETCVQA FNRVSIETSELSSIPQDLRNCLEQCLSGEQSRETLELYLPEIRQIIYNLLQGLKQKQS QYKRMMQDKGRSYEPSSSLTNLSQTSQAPAAPAISQPIAQPAPNPPHRNASREYPDDP RLSGRSGTSTASASPSMIQQQLPQGYPSVSPIPTQRTPSNPALAERERRPMPSRPPPP DAFRPARPPGPRRPSSPNPPHQDGIHHVMARGGSSDSQSQSSYDIPVISISPGSRSSS GTALAGAPSAPPLPQSVAPQPKPNLSINTNKPTPPRPDRFPRDSFGRPVSRFSMDSEA SVTSPVNEARASVTAGKMDAVPEEEEKRGSVDLRRRPARTSFDRQSRASGSSERHSQQ PSSETPALPSLELPGQINLQSSTPPADQHNFSSLHPNLPSPESLPIPEVPPGTRATLA ALGRSDVLERRASKRFSSFTMANFDPSSPRASPQRPTRRAAQQTMREREQQQMQQTSA VTPLPEGVGLGVGVPDRAGGSRGGSPNLEQVQEVGDEDVTHSTIEPSSPTGSVRIVKT PPPSSPSATPRPPSYPAVPPRDPNKLSVFLQIGRKTKKQTLDLPITLEQLKLVFMERF EYDPGKEDFPDVYIRDKDGMEYELEGIEDLREGCTLCLNIEPLDQVKLHIDSTFATLM TEMKDLRSALAKEKETTKRLSVLAPPALKEDFALSPSASPNQVAASTASLPAPTPLVV GPTEDHIRQLQEQHDELEILRRELAISRQAHSENLEESTTTITALRDELAAMKKITAV NPNSNRALVDKSKAELDTQFTDTIKAVEDITDIIDAARIDAYKRYVTPSKRQLDDIRA ELAKSTQMVDDFAKAVKLADPTWRGTWQSELHRVMEEQKLLNHQIKLVGDLKKDVEDA KSMWGFVENFVEQRATGNRPVKVRVGADAGDETSGGGDISTLLLEIRNKEADPDSRLR AIEAQQRMREKERLNKVDDFEAELRGFVGGRKLKKTGGAEEADRLRLRKDEVMLRAHL TGGSGTATPSTGGKMVPQTTGQSVQSGRGPLSPQGTGTSVPLVDPASVSLPNTPAKSD DLGSAGGTPIKNESGQSELPVDSEVPV L198_02650 MRFQPQTPSVRVTNIPALLDHIVCTLPPKDLFQCLLTSPAFFHA AAKTLYRCVSVDDRLMPGVLLGLPKATCRCGAGNHENDDCTHSPSVFSKHLLVRYIRQ TIVVRSHDTCICPSLLYHIALPRLERIYLVDSRDGLAMYDMALEEMCSKPYCPFLKNA CSKATQLVLTECHNASFAEATPASILPNLHNLIWVMGCHDFMILGENIGAHAVKVGTT KEVDMLCWWDLLDNMARSVMLEGSLASVSTSLMEAWKSHTDLAFGPFNGAELAVRIMT SLGRYTSVDKIRLYDFEAFAQVQLGAVNPLVVPDIKDSAVAAFYKGRGWKLHREALVK EPLTADEVYAELGDDEYPPADPIAEGLSVTFLTNKDFFRRYDDIPPEVIEVPEEYQLW NRNVVSPLPSLVSLRQRCAELLDLPEWQLWPFTAGQLRYMVSNFRKEFGVGLAGA L198_02651 MSVPRLLVVGGNGFLAGSAICKAAVGKGWEVSSMSSSGKPFTTP AGHTPAWVPRVTWHAADAFSPSSYSSLVSSSTAVVHTLGILLEDQGYKKAVREGDILG LAGSMVKALEGGANPLKSAEEKKRGYEGANRDSALTVLNTMISTPPHPSPANEIPSER PFVYISAADAFRPAVPKRYIETKRQAELEISRRCSETEGIKPVFIRPGLMYHPHTRPL TTLPAFLIDLSAKFHAFSRLPNLFSSGSFLHGASESLRTYPLHVDHVASAVLRGIEDE KLRGVVEVPEMRGWAGFGEGKKGEVEWQG L198_02652 MPSPILSVRDLTVTRDSGGPILHNLSLDIAPGEVVVVRGPSGSG KSTLLKCIAELNLYQQGTITLDDKTSKEWGVPKWRIKVAYVPQRPSILPGTPLQLLET IRGFAARKESAREMKKFEIEKMDPLDLAEKWGIAKTMWTRDWETLSGGEAQRIALAVS VGIGGADVLLLDEPTSALDEESSRKVEDSLIGMLPPPHSGQPSEGVHRYDTGLKALVW ITHSAEQSERVATRTFDVSPFRQ L198_02653 MSLRAQILAKALSAIPSQSFTRPALVGSLVALKPEIPDPEAVID TVFGSGNVVPAKALVEAWEEEGLKSMRGTGEGQGRNGLEALLARRLEYSAGVGEHLVE AYANMATPDKTHTFPLPLNAVRTILSSLQTSMPPLYSPGPKQTSPKPQPINPSFPTLE DDSPLSRLSSITPLPIPLLNPIPPLMHSLRIASEAIYHTTDAHATTLAKKGVVKRGYW NEPVGPGPEWYGERLGLGIVYLVAESHLLQPLPSLTTSGNQVNPHLPAALASLRTNLV RYQKLAKAMENTEENVGDVMGFVDYVARSWQGLIKSRYW L198_02654 MASRVSRALPALRSISRSAIQKSAPRTLVSGAGVARLRGQVLGR RGNATEALAPREIKPFVEDGTVNAFHGPRPKITHDISKLSTSLENQKVVIAGWLSSQR RASEKLHFYTLRSPSSSSAVQLISKSKSGEGLMDWPLESVVLIEGTVKARKSKPKDAT SPVDDIELEIGKAILLNPADKVLPFYPNKGPLVNEDLRAQHRYLDLRRHELASNLRLR SQVSHIVRTYLHSQNFTEIETPILLNSSPEGAREFLVPTRSPNADGQPTFYALPQSPQ QPKQLLISSGAVDRYYQIARCFRDEDGRKDRQPEFTQIDLEMGFVSGAAPEPTPGQDG QEMRSTWAIGGSEVREVVEGMVKKIWKEVKGIELEGWFRVMPYQVAMDVYGSDKPDTR FKSYCLPIGYYPHLSDPSIDKVLMDESPYQVEWMVTPAEQAKGIDIKTIAGDNAFIDY VKITPENTHSWLGESVLTAPLGLQLDKNSPYPGGVEPGDIIWLSRRKKIAEGGWTNLG RLRVQIMDELVSKGLAQLPDEPHFLWITQFPLFTLADEDKAQLSRGRYAATHHPFTAP AFEDLPALKAGDVSNVKGQHYDLVLNGQEIGGGSVRIHDVKLQEYVMREILQLDDEEV GRFDHLLRALKCGAPPHGGIALGFDRLVAILAGAKSIRDVIAFPKSTTGQDPVFRSPS VSGDEVLKEYGLCSLKKEAKHEEKEAQV L198_02655 MAPATTFTLNDGRKIPSIGLGTWQSEPGQVAQAVESALKSGYRH IDAAWAYGNEKEVGQGIKASGVPREDIWVTSKLFELHHHPEHVELAVRDTLKNLGLEY LDLYLMHWNIGLQVDAPEGTLPQQEHVPKGKDDKPKLDVAISDDPSSTWKALENLVEK GLVKSIGVSNFNINRLEKLLKTAKIQPVADQVELSIQCPQPELVAYLTSKNILPQGYS PLGGTGKSNLRENKAVEKLANKYGVQTANILLSWLVERGVNPVPKSVTPERIANNIKL VDLSKEDFEELEQLAQTTPSSRVCDQSDSFDPTYDIFQEKDSEFSDKAQFAKLGLKL L198_02656 MSHYPPTAADTPAEPSVIAQPANTPDPLKPATDRDIQTNGHSTA TPDAPQPSSEQPPLPPDGPVPPSPSAGDEPPPSLPPSAIPSAVPTPDVITLPGEAQLS ATSPATAEGQAQGSAAPVSTSAQTQGSEAMDLDTPVSTQSIKRPGEDLEQEREEKRAR EEEATLEQQQAPAGQPPIDINVPLVGPDGQPLPPPAWLSYVPPPPRPTGPTTPLTLTQ HKYILNAVRSLKKRLPDAYNFLVPVDTVRFNIPHYHQVIETPMDLSTVETKLVVSDPR GPPKDKSKMSKWDTSKGRYGSVAEVAADVRRIWENSRKFNGANHPVSLSATKLEEAFE RSLYNLPPEPTFVPPPPPVATPGSSAHARRPSISQPPTIRRSSDDTRPKREIHPPPSK DLAWEESPGGARKPKRRSDPQLQWANRVIKSLEATTKYYAIVSHFLYPVEQLVAQLPD YATVIKRPIDLNHIKQKLAEGLYDDVQQVDEDVRLMASNAMRFNPVGHEVHTSATQLL QVWDEKWRSLPPKAETRESSEDVDYDDYSSDEDTVQLRALEAQAASINTQISSLRSRI NKRRTSRGNKRANKPKGHSHKPSVSKHSPSLNGGSSQNRKPSKKSGAAHGTYMDDLES EDEDEEDEEEEVGEGMLSHEQKQELAEKIGQAGAQTLQDVVEIIQQTTNLGSENQEIE LDIDSLPAATISRLYNLVCRGQRGGHAKRGRGGGKKATGTGRKAMGGVSRRSVNEREE AERIRKMEAQLQAFSGQGAGMQLGQQAGAQGGANGFEEDSSSEEESSEEE L198_02657 MGKQGKSKATSKKEQRPTPYPKKAKVDVTYDDQKKSSKAGDKKG PAAKPAPKPKPKQVTVQAPKKKKEEKEVEIVEEKPKTNKGKQPAAGPSTFIICAGSYE KILYGIEGSYPESEEVAVPELEPAFIFPAHLACVKAVAASPGGKWLATGSVDEYVKVW DLRRRKEVGSLSQHTESITSLHFPTPSHLLTTSADSTLSLFRTSDWTLLKTLKGHSGR VNQVDVHPTGRVALSVGKDKTLKMWDLMRGRGAASLPLGEEAELVKFSDAGTHFAVLF PHRIQIYSLTLKLLKTISTKSRFNTLLFATLPAPSPEEDDTELLCIGTEKGIIEVHRV EIGGDEESDEEDEEEDEKKDEGGKGAELDRVATLVGHTNRQDISSLPFTVPTPSGPRP TVLLSSVSSDGFINLYDLAQTLDKEEDGEENKIEPSAKYDTKGTRLTCVFLADGQEAA KIKKVKEEIVSEDEDESEDEAADFYGSEQGSDEESEDEEEGSMEVEFEDEEEAEEEGE YE L198_02658 MAEQQVQLTDLNPLQLQEVKKQLDQELEHLTQSYSSLKSAQVKF NSCIESVKELKPDSKGKEVLIPLTSSLYVPGKLTDVETVVVDVGTGYYVKKNKSEAST HYADKAKFVQSNLETLQQTIERKQDNAQSVQHVLQAKMQQVQQQQAAEAAKK L198_02659 MSSQSLLPVVLTADNFPNYALPPAPPPAPYVPFHLTLADFEHSV YPLGLLRLDVLLEMRKYNASLVEAGKDAIWGFVDDGKGDESGEWTRDTKGVYFEEGVA KSGKEAVSRVMKECVEKWKADGLFPADGQAGWRNELYAIYASPQSSAFASTSDKPLDN VAFHLERAACALFGLATFGVHLTAYEIVNGEMKVWVPRRSKTKATWPGRLDNSVAGGI TAGMTPFDSIIKECDEEASLPEDLVRKYIKNVGIATYFYFTETGFLQPEVEYLYDLHL PPSDSAEYIRPAPHDDEVESFALLTIPELISALYGNDMKPNCGLVYVDFLIRHGYVTP ENEPNFVEIGSRLRRKLGVGMPGLA L198_02660 MSTTPVPSQSLLPLVIQADHLPAYHAPYPSRHPITKQRIVPLHI SFQDFQNGLPPVGLITKPVLKALEKEQALKFFSLAKEIKSCDIKKDGRRVECGACSEC LQKQKQDKSRAAYKLSVLCVFFAEEINEKGKEARTEAMADILNRWKAEGRFPEATKLW MDELFPIYAYPQSRAFKATNSTARQPFGNVAFEMERAGVPLFGCQAFGVHMTAYEGEG EDMKIWIPRRSADRHRSPLKYDSSVAGGLPAKHTPLEGLLKECEEEAGWPEELIRKYV KSAGMVSYYEIMEDGAILPSTYTYDLPLPPRSSPTYIHPSPNDDEVDSFELLPVQQVI LSLHKDKFKPSSAMVTIDFLVRHGFVTPDNETNYQEIVRRMHRRIDVTGPGI L198_02661 MDTAYHSAFTRRPLAHPSPATAMPSDSRAAHHPHHPHPYAHPAH PSYQSPVPSYHAHHPPARHVSNGHPSQVVVPGPPPPSSVPTNGPPPAEPPVTAVVTPS TASARVARSLPPMSAEARAARERMDSILAQLANGAVAEGMNDQDRAESAFHNALRHNP SSILGLNAVASVARSRDEFDKAIEYFQRILNANPENGEIWGSMGHCLLMKDDLPKAYT AYQQALYHLSNPKASIPSEPKLWYGIGILYDRYGSFEHAEEAFSSVLKADPRFDKANE IYFRLGIIYKHQRKFKDSLDCFRYILNNPPRPLTSWDIWFQLGHVYEQDRDFESAKDA YMRVLRHQPDHAKVLQQLGWIYHQPGASFTDQDQAVSFLTKSLETDPNDAQSWYLLGR AYMAAARYNKAYEAYQQAVYRDGRNPTYWCSIGVLYYQIQQYRDALDAYSRAIRLNAY MAEVWYNLGSLYESCNNQMNDALDAYSRAYELDPANTPVKERIRLLQNPNAGPLPPPP TPVDVHPSQYPSMGSEGPGSPNGSPPRFMHLEGMSSRDGGRDLPPPPPGAEARAHSPG PFRNGAAPPPLQHVDESRGSMAGIAPLARMEVDPRAEAREERPNGSAGGRYDPLGRQP ESPISPRAASSRRDVPPPFPPARGFNYPPGYDRSREEWEQRADSRGPPGPSPRMADRA PDGRERMHQEYAGYQQGYYDARGYPQAPPSAGGRYDPRREADELQAREDELQQPPARA NGRRTSIAKEDLTRGTSPVPSATGGAKGRKRGEGKKTKEKEDKPASTKGRKGKAANLK AGEEANGQSPRTTVHTSSPTISVGTTPGSNAPKAMPPVQAPPQPLLSSMARTVDEDYD EGAADALISLAGGSTTNLPFPTRHISPSPSFAARHQPFPQHPPSLSASNKRAFDSQPF SPDQSNKRAKGDKVQSHSPPASATGSIGSRSGATSVPPAKKMVIEVLNAPSVASPLPR AASAVDEKESARTAPPSRPSSGGAQQERGKEEVQGTEDKMDVDAPAPVAEVTPAPSAP QSASPPAPTSAPSAQGPSPAARASPTPPAAQPSPKPPTPAASEPEKEKSWPSTPPVPQ PNGAPVEEVKEKTATPPSAAPEKGVQKEDVVMGESEAYKGGDGEVKE L198_02662 MSLDINWDLLSPTDHLQDSLITLLNAHLANAARPSFIGPVTVTA FDFGTAAPDLEIRDIRDVWRVFDQGDEEGDLIEEEKQKEKERKERERLKSEALKSSLD GEKYEMVDYYSPTSEGGESYDEQFEYDLEQQLEAEERARQRGRRPNSYAPTSYPDRPA VHRSMSARSFIPYPFDHPVPTPYLPSTPGLNPSLFSHPLSVRRNPLSASQSVAPIPSR RRLPIAPSSTPPSPPAHPAGLPPSKPASSVPSLQLHLHLSHTSDLHLTLLTSLQVNYP SQLFMALPLKISITGFHIDAGVVLAFNGEKNRVHLTVVDGESGQGPMDGMGGEDKNLS IGQRLLPNLQIESEIGHADAHVLRNVGKVERFILDVVRKTMVDELVFPNFHTVAL L198_02663 MDNPPLASPLPASPSRASPPPPTSSRTSLVMGGFSPVPSHQALP AVPESISTSPRAPQLPLNTQAANLIRMNSFAPQVSTPVDQVYSFTDESPRLLQHSPRA NAANLGSDYLPRSRRNSAAIVSISLSSRSRSRTPQGSVNVPPGTHTAHGTPSKKYSEE PVEMSDSWAPGVEEMDDWQPTGGMLLDHGEDEVARVESQVDDDKVGRTWSGDSDASRI VEDVLTHGMVIGEGLKFQGEVIVPAVSRMALADGSDVGLPLRRGGSEATKVLRTDGRT EKKRYEVVRRLGTGSYAVVYLVKERGGKREFALKCLSKHDLADEQLETQLFEAHIHLS LPIHQNIVTLHQTLQTRKWLFLMLELCPGEDLFYWLEKSRDASPKPNHAPLPEDQFSS SKLSSSSIPFSSSQMFSNLNSIASPASLIFAHHNGGSYGSHFMPSQTPPTPSLLSAFS ANTLLSQRRLRLIASMFSQMCEAVAVCHDAGVSHRDIKPENFICCDSPLVDFGPQAKR KVVVKLTDFGLATTERESGDVECGSKPYMSYECRNNLGPTYFPAPADVWSLGIVLINM LFHRNPWKDPAPGDPNFDSFLNDPIAFILTKFTGIGREVASYLADHVLCIDVDERVDA REFARWVKGLPEMIGGRKAMQAMKMLRLETQKTAGGQGPLDKGVFMKSPIDQVDRPRK YSTSALTSTAPGISSSPRLSDLPPPSSLLEQPEEEREPSTPSPEASPPTPPLEPDMAS ATTSATRDEQTTPADASNFPSPSDYAPSSAALGADEDDRDCSRDRADADSRSLSTHKR RKRGVRKGKAAQAAAAAAADPLTQAARDELLKELASASQSLAREMSKVHTPSVDVNRI EDFPPLGVTAAQVAAEKKSKWKDMIKLSSQGNPELAALAKRMKDRDADGSMNLSAPAK LQGGKNGAQGGASRRHAYTQATMSSGASSGISSFGQASSATSVGEEDKEFAQRGRDKK SHHYVHGQDEEARAKKAEAAAAAIAGAMGPMGSFGRPSHMAPRHAHTTGSTGPTSGLV HSSKPITFTSPPPAHSQPLSAEKPQPIPAQTYKGYSSASPTSIKPSLSSVDSSTTIRT MTGTAGRSTAATESVNSMSSGATTVMGESPGHATSPNKPKLKGQISSLAKMLSGLKTK GKE L198_02664 MADHLPPAPAAAPVKKEKIVPPSPPLKIRDRDRGCEYLRVGFLG EGGFARVYEVQDHRGSRRAVKVVSKASIKTKKNKTKLWAEIKLHQMLAHPNVVRFDDC FEDEENVYMVLELCHYGSLMDLLRRRKRYSEPEARYYLVQLIAACQYMHQMNVIHRDL KLGNLFLDENMDIKVGDFGLAALIEKPGDRKKTICGTPNYIAPEVLFDTANGHSFEVD VWSVGVILYTLLIGKPPFQTKDVKAIYKRIRENRYEFPTDREISSSAQDLIMSILNTN PDKRPTLDAILSHRWFLDGAFPAYIPTSANDFAPDFRHVSSSQSRRNFAALCHKSKIG IAQSINVEPPRPRTALGPSIMQQERDFKNAVQPDSPISALLNSARQPLVQAPAGIKEP SLLRKLSAASAASTLSPARRGTAGKENHDLGRRPAAMERLGEESEEERAEEEQKPRPG RENELAAQKARIVSQMAAERQQYVEALEKASEGGAAQRQMYEGAKARVAAAPRAAVPL SATLAQPTAASSRPEGSTKPSYGQSTVAESSKPEKSRDYKTTLFETFGQNLTAGLTMS QTDEGFQTPQIESKPEAPSVFVVSWLDYCTKYGMGFAMTDGTVSVHFNDSTSLVLAPG KKHFDDIRPTGSDDLSQNIRRNHLIERYPSDLKNKVYLLKHFENYMLDKLFGDQPYTF EDKELSTGMVFVVKYLRMKHVILFRLSNDVLQFNFYDHTKLILSRDGLVVTVIDRHSV IRTWSLEELLRPVDERLSPKDKKRIEGVVHKVQYARDVLAKIKSHVSTSTKTASVQPA PAKSTAAHDQRAYTARPVGEREIGKPIR L198_02665 MNSYSNWHSQASLTNSQPPQSEQPSGHHARPVRSTTLDSHTVFD REDTPTPRPLLQHELPEFYTLAGPPPPPTLPSIPMHETSHPCLNEGALKDTVSSIVER FRYDEPNVAKDESTQALISDIATAVSNQCESLFDAMLAPPRPPVIFPAGDAAFRPLPP LPGFPPNTQPQSSTTGRDSRRGGVAPSEVGVFFSMDDDGASLYSSMSDLDHREDQITV IYNDDSGRPMTKIFETRVQPDGHSRQNQSPAHSSHHIQQQSRDGATFGREGREQSIVQ PERRKDVRPNRSGQDWSAKSFNSSDTSPANTRVRFSDPFILTPTTSTIWHQSRSSPVS RETENRKAVSDIVLLRESLSQTDDQVASIRSQISALIESVKALDSKLTDRSTRERAVD NWTAQRTTDGLGPLQHSRGDRASDAYTLMPEDYF L198_02666 MPADYGAASPPRASSASSTIAPVDPPKDGHASVTSSVTNLSNTI LGAGALAFPSALAAMGIVPGMLSCLSSGMSAMFGLYLLSRCATVVGTRPGDEGRKASF NEIARLTFGKGWATKVFDLAIASKCFGVSVSYLIICKTLLPQVCQTVAQIVHHPLAED SILLASHFWLFVWLVIITPLSFMRTLDSLRFTSQIALLTVVYLVLVVVGWFIFKGPSP QRGEVVFFKLSSNSLSSFPVQIFAYTCSQNLFPIYNELKDKTQKRMNTVIGTSIGSAI VVYQIIGLFGYLTFGSIVSGNVISMYPATTLLVAVGRLGIVLLVGLSYPLQVLPCRSS LRHLTHSFFKHHEAAGGVDESSFSRRVRDEEESEEGEEGEEDALVPKGHGQVKHEMPP AQFIALTLGILFFGFLIAYNVHELEVVLAFVGSTGSTIISFILPGFFYFRLFRDEPGS TKWWALFLGIYGIGVMVFCLTFNVLNLVRG L198_02667 MPLGPQLPILGTLSLLARNTGDVLQTFPIDVERITIGRDADCDL RLYYQDVSKIHAELVFDLTTGQASIHAKGGNGFKFHPHNGDTSTYKPPSVVQLNDNDT IILRNKRFLFSYGNAFAQEEVPMSPAVRAATPARPGTPASPAKITSITFSPARKRSSY RMSLVPAGTNFVPFALSPAKNRRHSTLGLGGLGEGAGGSKSKLAQEMHAEAEEETEDA LLAVTEGDGGDKIYIEAAEDEEDKEEIVFSAIQTNPFMTPQPKGKAPMRNTSAVPRTR TFTDQAAGNKPLDISTPPPSSPHKSPRKLPVTPVPVPAHVALSTPKGPATLRKALLLR SARKVWHETHATGIDGAMQDGHIEIRRKSTSPRDGSRRKSSSPQDAPPPPDSSSDEDL DMAESEEAAEDFEPEGDGQLRIVFEDGQADISFDSDSSGLESADISLDIPGQGVIRLD DLENELEEEFINESDTDAEESVAQTEGDELHGQESFGEYDGPIRKEMEAAEDQDDEHN ELPEEDFDEEDDLATSLPGTPQARSIATNKFFTPQIKHSSGFSQMRRSLGPPMRVPVT PDSHRPFNGGRTPGSLGKPSRRLDLGVPKQEVEEVEQEQEVKPQPAITPVKSTPRKSA AAIVEAKRLHEALATPRTLPAMPASGFKNPVREIKLTHLTPSHPALSHHTPEPESDLE EEQEAPVHSQPQQKRDVPGTPLIDIKKRLDSMRRQSVQRSGAKPANRRATVGFALPST PSQKASGSGQLGYLSVARNRTMDQAPRTPIFPLLKRELVVEQVTSPDDMQVDEKDESP TPATQSQIVTSPTPNQSVSQQAGPSTPSFAGLRQMMKTPQAAKTPHLSGIRHLYPPLP QRTASPSLTGVKSLLAEPKEVQTPDFTGVKVMLGESKLPQTPSLVGVKRMFGEMKVSE TPNMEGLNEMYEEEVEEALGEANSSMEETGIDVEMADKVKQEENGVKVAGKAATKLPR LADSTSARTRHAALAVEESSSRPSRATTRKATTSTAAPQPKFKPSRATRKADPEAAAV TETEPEPTSRSTRSRRGASHKPTESGMSRATRAQSTAEPEDHPVAEDMPPVPALPAAK GRSVSARSTRKASAEVEEIIEEKPSKATPAKMVLSQIEEQPVVEERKSAPTRAKRAAV TAPSATTTGRRTVSAPTRSKASDSAEAPAEKLSAPVRRTKAKITEKENDETLAEEKDE KPVVKRRAATAGASGLPVPSGRVTRSRK L198_02668 MSTPSLPPYLTSGASSRTHHALLARLNQAASPHEEDAIISEHLS HAKTLFRSQDQNASRVAETLITVLHCTALRHDCSDDLEFVLIPALKLAEGGKSVQEKR IGYLYLTENLPRDHELHLLLINTIRKDLSSTKEAHVLLALQAIVKLSSRDLGPAVTPI LISRALIRHHLPSIRQRVYESLRSLYLLSPNAAHEPEPFPLTMSKLVKALGQETDSGV YTAILRLLGGLVQRNIQTVTTDAEQQYIIQLVLDRIAERQVLLEGQMSLQALSLFLTL FKKRPLVSENEVTDELSTWLSNIIQPISNGWQGGTSPLLTHCWLDTYQYTAAFLIESC RLASHLPKIAPACVHSATQLLYQPSPSSHGHLTPQTPSPNDHILALRCLMLLPRESWD GKLGEGEMGVIMEGVNSVDTSVRRSTIRLLESLSPDLPRSIFQRYLESLKSNSDLSLP MNIPADHPLASKVDLARRETARRALEVLEVTSAEDGEVYGENVANLLLIFEGGQNEGT IWEELLRGVMEFIVSKDDGKLIHPFSFSFLSKLSAAKPENSGPTTVTIASTLACSHLP SNARPTAFSYLLRVLPQVPPSIQELVLGALTTYMMGEHDKAEDQEVVSAVREVEETAP RYLQKVSYLLLHARSQEY L198_02669 MAPITKGDLILVTGASGYISSHTAKEFLKQGYKVRGTVRSQEKG EYLRNLFEGLGQFEYAIVEDIAKDGVFDEAVKSVDAVAHLASPFYTANVKDPQELIGP AVKGTTGILKSIQKNNPGIKRVVITSSVASVMSARSRKTPVIYTEEDWNVDSIKHVEE NGVNSDGGDSYRASKTLAEKALWKFIEDEKPTWDAAAINPPLVIGEVIHQCDDPEKLN TSVHFFWEWLTGKKSESDLPAPGANWVDVKDVALAHVRALTVSEAGGNRFIVSAGPFA GQDYVDVLHKRFPDLPDIPVGKPGTHDEIVKDSNVFNGAKATKVLGVAYKTFEDTVVE MAESLQKRFADKF L198_02670 MVHSSPPFRAEHLGSLLRPATLLEARANQEAGKITAAELSQVED EAVKATVDMQKELGFSPVTDGEYRRGVFWGSFFQELEGMTEVQNPPLDIFRTYVPDIA GFLEKGDRPGQSCLCTGKIKHTGKSTLIDEFSFTKSQVPAERAGDVKLTMISPVWYHL RYREGKAYPADVYANDEEYFADIAKAYRAELDILYEAGVRNVQIDDPNFAYFCSEKMI EGWNADKTNKRTLDELLDVYIKCYNDAIGQHKDKIHFGLHICRGNFRGSRHFSEGGYD LIATKLFKELDVSTYYLEYDTPRAGSFAPLAHLPADKNVILGVITSKFPELEDKEEMK NRVFEAADVMAKGVGQTREQALERVGVSPQCGFASHEEGNAIKWQDMKNKLQLVREVA DSIWPGQA L198_02671 MVITSFADKSGSFNRQVSSFRDVIEPGGKFGPEKGRYHLYVSLA CPWAHRTLIVRKLKGLEDYFEADVSVVHPHMLEKGWQFVPKDLADAKPAPASEHNNET FPNATVDHLYGSSHIRDLYFKADPEYSARFTVPIIWDKREKTIVSNESSEIIRFLNTA FNAALPKDKAEIDIYPEELKKEIDELNEWVYNDINNGVYKSGFATTQEAYEKAVKPLA SSLDRVEKILSDGREFLIGGKLTEADIRLFTTIIRYDPVYYVHFKCNFGSIRHDYPYL HKWLQKLYWNSPAFKDTTDFDHIKEHYYYSHININPNRIVPFGPNVDIEPLK L198_02672 MTTLIEIPAVAAFHLPSPASSPLPLSAGDLSLILLPAAPPTTPA ETLTLNVGSSSFPLTKRYPVQKVQSKDEHPTYAFGVQAQEAVASVGQVRITMKHSTNP GEWEATEAFCTKLEAILKEHGLWKAEILFVDDEYETGGSPAVKKGWGESLAGSLVSGG QSLATKIHGYADKHVKTSEPGTAVEPTRGEVESAKSFSHTTSSIAASASDGATSVGNA VHSAGSSVASALPSQAKIDRDLPEAEKWQIRKAAESGWEQVTFAAKGVAEAATTVGGA ISSGGHQAIQHSSGKEADDVAQDIGQSGSNLASTVGSGLKTTSIAAQGGNATSGFASG TYATKPAAGALP L198_02673 MSFASFPIETLAIPPNLRDAALRADLTTLKILTYTLPRLADALK CKPPFAQDLVKAVARAVAPKSETASSIYKTENPTPASKLGPSGRNGRGKWLSTGDAGF DECLGGGLRRGSLYEITGESASGKSHLILSLAIAAQLSSCSSSPGSSVVLTSERDLST DRLVELSRSLIATHEPCAHPNTLAADKAMNKRVKEITDNVLSNRVGDVDALEHTLSYV IPPLLESRIKASSRPSASGKSAIPVRLLVLDSLTALLRGGSTAPSDKPTAPSSLSLTE RSKHLCIVTDLLKALAVKYDLAVVVINQVSDVFIRNPSNTPSSGYHGTPVTGEPPSSG WNQTQPFGPGMTASFSSDSEPPMTYARQSRWFSGQTEVLQKEASLGLVWANGVNVRVM LSRTGRRRMLYQSDLRPAKRRRGGDDEDDEDGQAGAGVEVDKAEPTLIRRLHVVFSPF SQSATIDYAITPSGVHSLPGSYQKIDPVTNMRKKWGYGDEPASQGTPGSVEVLGGGTA ESEADAVWDGDEVYDDFGELPAEFWDGVLAGENQASGQGQLG L198_02674 MVQSAVLGYPRVGVNRSVKKAIEAYWAGKISAEELQQTAKNVRK ERWDAIKKAGVDTIPSGEFTLYDHLLDHSFNFGVIPERYVQQKLDPLDTYFAMGRGRQ DRAKGIDVVACEMGKFFDSNYHIVKVDHSPSTEFKLNNNQALAEYTEAKEQGIVTRPV LFGPITYLSLVRKARDAPADFEPIQLLDKLIPIYKELLSQLKEAGVEQVQLDEPILVL DKSEASGDLFKKVYEALAPVSPKITITSAYGRVGKSIEYLKDLPVHALHLDVDREPKQ LEEVLAAIKSTKLSLELGVVSGRNIWKNDLKASKALAEKAIAELGADRVSVSTSSSLL HTPISVKVENKLTAEQLSWLSFAEEKCEEVALLAQALNGTEGAAFEQNTKDIAARREF ERTSDSAVRDRVAAVDEEQLKRKSPFPARREAQKKHLNLPKFPTTTIGSFPQTKEIRV ARAKFGKGELTKEEYEKAMESEIKSVVDFQEKVGLDLLVHGEPERNDMVQYFGEQLAG FIFTQLGWVQSYGSRYVRPPIVVSDVSRPAPMTVRWSAYAQSQTEKPMKGMLTGPVTI LNWSFPRADVTKEVQSKQLALALRDEVVDLAKAGIKAIQVDEPAIREGLPLRKADWDN YLTWAVDSFRLSTSGVEDDIQVHSHFCYSDFGDIFPSIQRLDADVISIEASKADLKLL DVFKAHGYSNEIGPGVYDIHSPRVPSEQEIKDRIADMLKVLPADLMVVNPDCGLKTRA WKETEESLANVVAAAKWARENYA L198_02675 MSGKISFSFGAKPGASKTSTQSKAPVSKAPSAALFADEDEGEDE EGGSFLASRSAKASSSSTKKPAVKAPAIQQSSQISRAERKAQATAQSIDQSIFDYDAH YDQMKEAERAVEEEKKKESDERKPKYIESFLASAQTRKLDKLRAEEKMLEREREQEGH EFADKDKFVTEAYKKQMAEVRKAEEEEKAREEALRKGQKGPGLTAFYKNMLDSEESKH AAAVAASEKPTMGPSLAIRPPPAPSKPLYDEEEEYDPFLAREAKDASSSTSAAPPVNG QGGLRQARSTRIDDATGKEVEINDDGEVIDKRSLLKAGLNIMKKPKTEVPNSLTSSQR SATVDGPYQSKAVGSAASYRDRMERERRRLDEQYREQEEKKKREEQDRLRQEEEEARQ RREGDNGEAERKRAEAKERYLARKRARDEEEKSGVKKAREED L198_02677 MSPPRRRIQSSTTLQSISIRSHAPPLTPRQLDANTHVPITRSRS RFISAAPSRNENIKPAAASVPGFSKSLRSRGPIKDKENNSRENLKMGVYEDKKMAGKR KAGEVDEGDRKGKVMKLGEQPIRRMGPPLKAVPSNGNIEVRQTLLAPLSSPRRPQPKV QVSAPPTPAREILRQGLLKSKASQESLAEEDKSASLVIVRPPTPPRKHQPLQSESRSG DEDSSMEEVSSFVARPPTPPRMRERPTSVLLSPCPDGQALYASPNRMNGSTTPLTSPG QLTSHTPLRSPRGPQALISKPMSPRPFSAFHAPATPPKASPHMAVSTTPATRPNVKSP LTARRIAAAARMAKETASLDVEMAKPSKKAETVLANTLVPTSSELAEEPVTLEEQKPT VVTEETTPIDMPQELALHVESKRLSELPPARSTSGPSQLSKSVSSRSLQGAGAPSRIP IGRAMPPIRETLAPSAGAKKPATNLGIVGPERSALASGASAMSPLTEVKRKPSYPSSL GSGPLACPTARVVSNPMLPPRDIPQSSQSSLRSITSPSSRSVSNPGPRPRLSLSSSRR EGLSDETSKSLAGLSSALEKLKAKKQLSNSSLSESTSEPARRTAPSVTVSAPTRPHIF QDRPSNLSASTSTSATSAVAKPRTSVLPSDICVSAGAGDTSLGDQSIAGMLAEGGARC FKGVVAFVDVRTSDGSDSTQVFANILRGAGAKVLTRPGSTCTHIIYRSGREATLNWYR RQDEEDRPKLVGIKWVMDSKKAGKRVEEDKYLVDLSDETVFDKRRKSMEPKSLAESKG LLSTATKKRTLLSAAAADAKQRSKASYAPKRSSPLKKAFLSLPSSSNADTK L198_02678 MSGRTPNPVILFKTPNSSLADDPYHAALSRPCLSSQYQPHWVPV LQETYSIDELVPFLEDGPIQWEGIIVTSRRGMEGWVRAVQAHLAQQSGHKGKGRAVDS QENWSDIPLFSVGQASTEHLAAADIPPEFKPTCVPEMLGTPPKSAGSLSDLILNTPPR GKWKETKNGNGDRKGHRPYLFLCGDKSLEEMPATLRAAGRTVKDILVYTTSPRLGIAE TLSSVSQSSPELGSKGWLGFFSPSSAAIVVPLLKGEESKRWQGWRVFAIGDTTRRSLI EDMGVEVHAVADRPNAEGTLQAILSADEESK L198_02679 MATNALKPGEQYISFKRSPSPLPPHAESSSAAKRKASDTPIPGE KAKKKKAKNNKSKSKKGPKQAEPEKSMKDQKELANKQKKNKEAKERHQAAAKGNAGIG IERGPRNKKEEQKAAERHAPWTELVDVDRCQDPTDLLTEEINAFYKYVSPTKEEFEVR LFIIELITRAIARLWPEAEVTPFGSWQTQLYLPQGDIDLVVTHKEMSDANKARLLAEL GKAMRQTGITDTVAIIAKARVPIIKFVTLDGKINVDISLNQANGVTAGKIINQYLDAL PGSRQLILVAKSFLSQRSMNEVYTGGLGSYSVICLVISFLQVHPKLRRGELNPELNLG TLLIEFFELYGRNFNYNDVGLSIRRGGFYFSKSDRGWMRNQSFLLSIEDPQDKDNDIS GGSFGIRQVRNTLAGAYELLCMRLFQRAEDMAQVRRVHGGKGRVRAPWQDMDGDDWSI LGGVMGITKETLKQRAEMLRIHSQSILHRKLNIPPAADPSLYVKHYRPPPVISVPSVY ARKASPPRVSVGGASSKGKGKSKDVGAIMVEDDEVSEGSDSGSSGSDDSDDSGSDNSD SDAPIAISPPRPSNQRRHLPGAEEGEIIEIPQIRRTLGSDEPSEDDFEVIIDPPEESR YALSKTKDKSNGSRGKKIDAFSHPLPAQRGEGEVDEEEEDSDAEALRKIMEGNDSDID FGSEDEVAGGKDLMGAIEVSSGDEVSKKPEGKKRKKPRHKKVRQQEQLQQQQKARMDK QAFWAAKGRMGAGSRGATAEEWSD L198_02680 MIALPQRAKRPRSPSPTFEPDIASPLDVLLKRRRRDTHQQQPFG FPETPHSTQSPVHGYEPDYFQQGGNGVPHTPGSGESPHGVYGQQHAFNNAHAESSSAA QLRAAGLNQAGVGIGVERRRTKQWNRINAPMPLQQHQATQQPVNALTTPTTHAGVYQT QPTSAFPFPTPPPPPRVVSTRAAPLMSSSPIRNQPPSSSPFRDRPSNDDLVAPEEMCE PMDEEEMVRNWGEAYAEQNSILHSLHMTRMKFPPHASPQSHPSYSSHSSSNPWRTPMA SSSTLFSPGPSRFAQEAAGQVSPHPYRTPYQSSPFTPARPSGNHVSYMYPTSSNQPPS DIPSSSERHRFRGEDDDMGEMEAEAEIVVESDAEREVANRYEEANKLLGELAMVRRQR WGQEE L198_02681 MAPLRDSQSTYEPTTAPPTSPPPHYRQSDISDDLHERPFQYSTA GPPTVYSELETAWSQPTRVQLSPGQSLPSAQRTPIAYHDSPFGGIEEEGEDDELYHRS DWEDHDNPKTTATPWSRSSWAGPTTGGTGISRWGGGTTRGRVQEGQEWIPPVPSRASA PALPGKRSQEQVVYAYEQNGIMESPGMMTPHDVGRYSGSSPHYRSSPQRVSQFIEQDR RAIERQRDSTLPSIVDLQDLARPKVSSNSSQSIVSPGSAGSDPPIQQMQQAQKPALTC RGPYTHETTPDASNTWKTNRVSGESPKLMSPEAQQRLGRMSMATARYTLPPQRHGGSE FVPHSIRKASTSVPFMARSKIGVVYLSYRPFIAPLLALTCALMLTITNSTSTSSISHF LKIGLGVFKGSQGGGTGAGNGINLGAWGWCQSGVSDPQCESYSRGDFKNESGSFTIPG DTSLDNLSSLLTSLTALTWLLAAYQIITAFLHFYLFFSLSIPFSHLVTIPKDEVAKAE VDLRVKLDRQNDPWGEELREMGESGNERGGWVWWAWWAHRRSPLGCFFGFLVGALSMS TLALTFLFKKAVRNGTNSDDVHYGAGTYVPLITLMVTLDTFILSMWYLISIRSNLSTF FEPPDPSPTALLLPPSEARRMHHVRDHNGASFFAPRSTHAVADTANIGDGNGTKSMFY DPNAPPLPVTAQTPMTEELDPETVRWLAAYPSDEELVPLISDLRMGKLNDDFLLSEVG LLYLRPLVEGEEGQALLVPPKGAIRQELVEDSHLDPSPFGEENDAVGGVAHNGAEVMA ATLQQTFWWTSLPSDCYAHISKCPFCRQRQEEAEAMERRERMEEKAGMTAVPWTGITG WTARETEAGGGVGESAMAVEMAYAMRKAEEEANTL L198_02682 MTIQTSKLFEPITLQRTGVPSELSIKYYKQRSSDGGLLISEAVD VAKEAGCYPSVPGIYSPEQVEVWKKITAAVHDIGGKIVCQLFASGRATMPGVTPVDYA PSDLPLQGDHPDLVVTTEEDIERFLKHYEQAARNAMGAGFDGEEIHRANGYLIDQFTQ PISNKRTDAYATSTFLFPLRFTQVIVDAIGADKVGYRISPFSRFQEVREADPLGTFIP LVEKLLEAHPDLAFIHAVEPRTEGAGSVELAKATDSLDPIRDIVKQKGKGTNFIVAGG IEHGWPLTPYERDTFYTQGPVGYSDYPYYSLLHQEESYTFGVPQVV L198_02683 MSANYKQEESTARQPHAKENEEQTANKKKLSCAECRRLKLKCDR EVPCSNCVRRGCRELCPDGTKETRRGGLDAKSSEALQKRLTTLEGLLSEHGFEVGEDS SIQRRPSRRDSDVASVHRSSILGEDWKPNRGTSESPYYSTSNRRSRSPSRGRNEMQAS RYPISNSPGTNPFSYPSPNGGPSSSQPSSIVAPHPQLPPISSFNTRGSAPVGSPVEHS HGTLVIGKSGRSRYLGPTAGTEWLKNQEMGGMETPGHSRSPVAGTSALANPQIPSKSY SDPLYSFPFNEPVAAADVETLFSRLPARGDAEVLVDSYYRYFAWNHDPAPRKTFQPIF EKVYDASQRPQPEASVHLQQLALVYIILAMGTVHNIELPPHDESAEEYLALAKGSLTK GNFLNHGTIAGVQTLVTMAHYYLETESGRNGDAAWPLWGLAMSLVVAMGLHRDGAKWD LPEDVVHERRHVFWECHTIEVFQANCFSRPNSLANKFIDTAFPSPNPSEIAMGGKGWP TLKFELCQISSRILEVGLTVNFQAYDTIQKLYAELCDFELNVPYDIRCRCALLALPSV YPDANMARRNSPEVSRHNLHRTLQTYTLALNIAEAILFLQRPYFVMAMHDAPNDPTRS LYGHSYLAVVERCNVIIQVVSDLYRLHPTIISRQWFFWYHLFTAAVCLGTLILKNPQS ALAAFALSQIEQAIQVYSTLIKQNNSPSMVQNHDWLVRLHQRSAKKIAQTSATAGNGT GPHTWKDDEQGQEEEDRELLGWKTRLIERAASGAHKAINIPSKPIIDQRTPSPMVNNA AMTPAMHLLQQHFVPPLDRPPVSGMLGTSAHTLGMDNSTDLLLHQFWDPMMMPDGGNM TSANWWSWDLGMPENGAPPPSNPQSASQHTPQSVQNTTSQTPAMSGTVPEYRPPPSMM L198_02684 MATEEIHSLYDTILILDFGSQYSHLITRRCRELNVYCEMLPCTQ KISELSWKPKGVILSGSPYSVYADDAPHVDPAVFELGVPILGICYGLQEIARVHGGSV GAHSHREYGHARISINKTGNKQHDALFEGIQEDAEGGLQVWMSHGDQLTDLPPNFITI ASTPTSPWTSIAHESKPIYGVQFHPEVSHSPKGKEVIGAFVKNVCGVRDGWSMESFIP KEIARIRQICGDKGQVIGAVSGGVDSTVAAKLMHEAIGDRRFHAIMVDNGVLRMDEAK KVHKMLTVDLGVNLTVVDASDLFLARLAGVEDPEKKRKIIGNTFIEVFEEEAAKLEAA AEKEVIEKGGEAKGKIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLEDMKLKL IEPLRELFKDEVRALGRLLNIPEHLVGRHPFPGPGLAIRILGEVTRDQIAILQHADDI YIEEIRAAGLYDQISQAFVALLPVKAVGVAGDMRTYDQVVAVRAVSTEDFMTADWFVF PPQVLKKISSRITNEVKGVNRVVYDITSKPPGT L198_02685 MSSRILNVAVLGCGEVAQIAHIPNLVVASDKYKVTALCDVSQQS IELCSSRFSIENTFTSLTEMLASSVPIDLVFVLTADQYHAEHLIQCANAGKHVMIEKP MAQTLAEYDAVEEARVRNNVVIFVGYMRRYAPALERLKKEIEGKQIKYVRVRDIIGNN SYFTKQSGMHQHYFKDFPPSASSDLASRRSANLLENLGADAEKDPRNAASWGLLHSLG SHDLSAMRDVIGMPERCVVATRSDDEGGNAWWWTALFQYKGFRAYYEMAIDEVAIFDA HIEVYTNDSRVKIQYDTPYVKGLPIKLTIQKQLPNGDFSEQVIRPTYEDPYTLELGLI YEAVVHGVDYKTTPLDAKNETVLVKMIMDALVD L198_02686 MLPLAALSLSLLPLALSLQLPHIPATPQEALSFADSYVHNSLDN AEANLGYADDMMLQSVGDEFVVLHHAKFPKHQVKIKSTDGWCDPNVRSYSGYLDVGYG KELFFTFFESRSKPSEGPVVMWINGGPGCSGSLGMLMELGPCSIAKDPKSANDTIYNP HAWNQKANVFFLDEPIGVGFSHAENGQTVGTTEEAAIDVQAFVTIFFETFKEFEGRAF HMAGESYGGRYLPLFASAVVDGNKQLVKDGKKPINLESVLIGNGVTDWFTTTESYYPF QCTLHGDLTEPVQSIGACVAMAEAVPKCHKLAKRGCIESHDYTTCAMAVNYCDEVLGE TFLSAGVNPYDVSMPCTGEELSDSLCYPVTKRIGDYLNLPDVRRVLGVEEKKGKWESC DGPVFTAFNTNLDNTGQTWLYVSALLERGVRVLNYVGMLDFICNHIANELWMERLEWT GKEGYNAADFGDWTVEGKKAGEFKTYGNLTMLKIRGAGHMVPYDKPVEALTMVNDWLS AAAL L198_02687 MSHRKYEEPRSGSLAYLPRKRAARHRGRCKAFPKDDPKKPVHLT ATMGYKAGMTHIVRDLDRPGSKMHKREVVEAVSVIETPPLVVVGAVGYVETPRGLRSL TTVWAEHLSDELKRRFYKNWYRSKKKAFTRYASKYTENNGASVARELERIKKYCTVVR VLAHTQISKTGLQQKKAHLMEIQVNGGSVADKVDFAKSHFEKTVDVGSIFEQDECIDI IGVTKGHGYEGVTARWGVTKLPRKTHRGLRKVACIGAWHPAMVMFSVARAGQRGYFSR TSINHKIYRIANGAAGNSGSTDFDLTKKDITPMGGFVRYGVVKNDFVMVKGSVVGPVK RIVTLRKALRTHTSRAHTEKVSLKFIDTSSNFGHGRFQDAAEKNAFLGQLKIKSDSA L198_02688 MPHTRRRTPALRASPYDRQEEKPPKQPRKTRKDVCKICKTTKTV LWRSNPDDDLKGPKTHLCNACGLWRAERGRHKTDAELARSKAKKKNTQTPAVATASPD PSFSSDEKYPVRVVATPKAPVRDGVKETSLAASSSPVLQPIQKPESSPIPYTLEINSR VVSSTSPALSAASDLSVEGPDTGGDDEDGPVEPDVIQGALSLLNLGRRMNPKDLLMIV NSTLGSRSQLASTTRSIFLHILKSDPNPQLPQLPIRSQSSSIFALRANDSSMAYPDPF FKPQYSPAASFPPSVSAPRKDRDSRDSRLSVFGRVRGQDRVPRSLSHMPFHRSAASAR PGSSDMGIAPVSSASWGPVLGRGKRLMW L198_02689 MAFSFFGDESKLPAAPRSVSISVKKTPSGRQLNGASNTSPGPSR ASSDRERSTVTPRTSSNSLPRFTSGQKSLNGAGGAKKVASSSLLKGSSTSRPTSGSGT PKTKQEERVRSTATPRTPSHSSSPSRLKRKTPQATQIVESESSSGSESESSDDALDPK PRNPKKRSRTFKNGSGSSTPLLGEKKVGRRVFCWDKVDMRGEWGRGWVGFVGSEEVVG GQVEGWAGGGGGEATSKTVKYTPFFPQTGFDTEDGVWPSVEILYPAKGCKETFQLIHP VSNSEFAPMAELKRTLSFILERKSSAPSYYIPPSHRHIFGTLKDSLDLSVRLSAVPSR VSSPLLPSLVTPPPDVPSPSGIALTPESLAPPLAERIENIGDLLRISLAPNRLDGPLF RLAISRYNAAMQAIQSDGTLQQWLSSGLRGGRELKLDDWKELVAHVHDTAYQRVVGPY THELAHPGRHPEDVAKALREKEDSYGELKSAFMSRILEQTKLGPDSVFVDLGSGVGNC VLQASLQAGCRSYGFELLPTPAHCARLQLKEVQRRWAMWALEGNLGVEVGEGDFTDMG EVGKCLRDADVVLVNNEVFPSSLNQTLCDMFLDLKDGAIIVSLKPFVEKGFRINSSNC DRFAACLRSTQHEYWGDWVSWKGDGGYYYIAVKDPSERAKFVESMSKGRRG L198_02690 MSVRSFTGPLRSLSRSALPRPAPASGARLFPAQLRAYSDAAPAE PTPESARLTELETANKELEESVKEMKRDMQYLRADLQTANRRTAEEKAKASEFAITSF ARALLDTADVLTTALKHVPQDQLSVNKDLASLHQGVQLTHKALLQTFEQHGVKQLGEL RGEQFDPNQHEALFTVPQAVAPKKANGDSHGPNEIFDVSKEGWTIGQRVLRPAQVGVV APE L198_02691 MAAPAPATATHQQHPPQPAADADLHALSRLSSSAPNAATSQPPS ASRTPPVSATAPADTPRTSTQPTPQAPIPPLPTDLSHSHSHTPQPPRHYPSYHEPHTL GIHDSIAQAPDPKPGDFMPPRLGEDDADEVFDLDALKGQVDDDIPGSPTAKLMRVIAP QQAVQQVPVWPQPPPNASVNLFIGRALLSHGNDNWPLKPNDIVNWIRKHYPSEWDGDE GRCSAHRVRTYLARKGADMYYEKLTQGSIAGWRIRQNHLWRFENGGFQGRGMKQEEAI ANAQKESEMVAAAGRKAAAAEALAAGHPGVKVSMGSPGMVADSSSNGAGVPQPKRPRL KAPVIKKRQFGKRDEIPGFAHLGMEALQGDFSFQPAPASQQSQHQQQPQEEELDQQSL YSTLEQAAGPSSQVHHADGNGQYNPLHEEHNTLNFDESGIPSSSHHNIPSAGGDEDPN SVDINMVQQAMQAAAGQMDDLEMGMQLPIEMQMHSAEHDDEQRDYEYSANGYGYNG L198_02692 MSGPREEDVFIRPSSTEIRSQWNKIFSDPLMNLGKLRSRSLDKA GLGPEGANGGVTLRSLYWRFYHNLLPPPTSLDLFPPVVETTRETYNIHRRRYLIAPDG RWASDCSGFQESLDSPNQPLSPNPSSPNPTSPLQGNEGWDPLSLSTSSPWKTWFAHTE LRATIRQDVDRTFPDMPYFQLERVQRCMTTALFIFSVLNPDVGYRQGMHELFACCLLA VDRDSLTVGQGSTVKEEAMLRTLDRRYIEHDAFELFAAVMKNGKAFYEWRAEEGPPIR SKSPTAPQAPIIIRCSNLHSSILRKIDPQLWEKLESEGVEAQIWAIRWLRLIFTRELP FNIAMRLWDGIFAEDPGLQLLDFVCVAMLLLIRNELIEGDYPAILTNLLHYPAPSPTY PFEPFMILAQALYLRDELSPAAGVEIVLQNKDLLNIKAAPFRGDIDGPATSQAEFRSN ARGGSFNAGRSSMQKSGGMGGIAQGLFERAQAAGLDKAFLSTVSDIRKNIPDSAYSYL PNLPFSPAQSPGPQSPSNAFSSIPSSSRPARSFFHSPSQSHTNTPPRPPLRSRPSMDS QTSEVSVKTVKDAEREMAELRLAMLGMGKAMLEWLDVVKNKDQSSAEGSDGEREAAWS GLERVRDTLIDAAGKDVDDIVKEWGWHEGLEAPSSRSTTPAPDNEPQAPAIAPAVATA SQVPEPDRDPLTVKSGPGHMEFGDATPTPASVSVMPTTPMFPGRPSGARASLTPPSRT GSSCTAGASNTARQSPSERANGQSRLSVISGLPRVPQSAPLSSNGAFARHQQVEERRP STAGLGISSSSSGVKSTRDPLADSSVAASSDPLAGLGVTSREDKRLSASTVKAKGRNS GGVDPLLGINML L198_02693 MPLRLRQAVPGRPNVCCPQSTGAITAYASSSNVVLLTPESNLAE TLEFWLALPHRASSSKKGQVDGVVCVSHDGYIIAWSDSNVVVWKHQPRSLGRSKWSIH STIVASSPISCLDFHSGSLTLGTHAGIEYWRINAGSDVVVWDRLWERHVPEPTSIKIS PCSNHICWFKKDQKCAYIMTIDRTGKPAGVPQEVRHPREIAWIGWRKSGPTGDPYLYT ITTNSVFRIYSPVLDDPVWFQLLHTLDHRAFSRLSTPASTAKGKMPEGNGFEAMWVWD AEVVKSAIRAQLEQAKVDKPKGVEAGMKILESMETEESDVVAWAGLDGGISLRSIINM DRKPPTLLKSLPLAWASLPSLAPASQWSPQTLLLHIPGSSNLTAVLAPSSSCPHTSSF RIPLLSLLSSIPDSIYPTSSSSPEGSTPVQLDHSITSLIRTPNGRGLLALSEQGGVST WYKQQLGFAPSSWDSTQKPLVGKGQWKVEHAPRQGAMFSKGRAIVFYTKPADGPATVT LQHLNPGSATPLDPLVLPHFNPSDDDEIGMLLAVSDIDDGFDRPGRRTNRAIITAATH SGEAWVWRASSRLNPNGDAPHGEQPTVSLLSHYRLPLDRAHGQNMVLPVDPMGWHQNV IDWATNTPLQDMILSISADGDLEFWTPKLGQHLVGEKANEEEQGGMTHPDRACEVNGG HSHSKGEGRGEDEPWVRTGVVKTGRKNVKMARCSSRKKTVLVCELEDGRQEMTIWDSK VSEFSTGWELTRIYEASDKIQDLDWTTTSDLQSVLAVGFRHRIDLICEQRMSYAETTP GWAPFLSIDMSAYTGVPINDSIWLAGGSLAVGAGNQVYMFSRFLEKKTGEKKEEAVDE EEDIFQLIAHQNGPLVDYHPVMLAQCLLWDKSTLVKSILERLVKALKECQEEGKTRLR FERLDPIEFYSSKVASKPVKACGNSDQSIVTDPYNSEEEDDFSPKTVSDLVDRLHGPV DIPLDDATKSFLATVAQVTLEVKRQRRSLDICGMRYLTSIRMSVNWDRIHKTSGNVTP PSGTGLGQNLGASGKGASHLSFRNIVWAMHSESQDILMEAATQCCSNGKMVWKDAKKF GVFLWLKSAETAKSQLEVIARNRFMADEDRDPTSCSLLFFALGKKKVVHGLWRQAPDH KEQQLMLKFLANDFTLDRWKTAAAKNAYALLSKQRYEYAAAFFMLAGQAKDAINVCLR QLGDWQLGLALARVVEGGTEGLIYRNIVSETVLPMAFEGGHRWLATWAFWVLGRRDLS VRVLISPMGDVASSYEPEKALKVGNPDNDDPALLLMFQYLEAKSLQTAKGTSEVSAKM EFDFVLHNARVFFRMGCHNLALDLLRSWSFERPFFPVNRRRRMSSISQRASTPQSSTF TSTNSPSDTLVSPSRRRRPSFLLSGTNGRPRESLFMDLDVLAESGGSTRDTSPANVED EQPKPQILNGQPKAEEPASVVKEEPKAEEPPPKKVGNLMKELKQDVQQGAMEFDMDSF F L198_02694 MSTMSLPATFPIVGLPLFATFALNASPSYRHAAYQSMSVMSART AAGVKYPTLYASEADAAADAKKMKFNCAQRAHANTLESAPYVLALFGFLSIFHPKIAS IAQLLWVVGRVGYTRGYATGEPAKRINGLTKISYLGMAILLFGTLGVSAQKTYALFF L198_02695 MQMYQILSASGLRKVAGVKYPAVYAPDAEAAVDPKKMKFNCAQR AHANTLEFTPFVLALFGYLSVFHPIVASVGQTLWVVGRIGYTRGYHTGTPGNRINLVN RLSWTGIAGGPQRFLPPIRTKG L198_02696 MPDEKKPWLGKDTFPTIWIWICFIFNVFGILAFIMLIVAEFVVL VNDFSNYHAQYGYHYDPRGYTGEEGCGYVDYTNAPKHLGSAVWMLLYQLSIIILCTLV LASDLAWRASSYNAWGGRWISVFYAGGVTNGPRNDAGGLYFYVAANAWFKAFIVVLYM NQSFYGMRGNTSHESPYSGSSLSDSGSSSGGYYSASASASASSNSGFGSSGSSASSSS STPAYSWKRSSDPNKIHGVEAWVYLSGWIVLCSIVPSMVAFLFLAPWGKKKEQNGAGG EAA L198_02697 MDHGDHSGHTMPSCAMNMLWNNQVADTCVVFRSWHISGTWTMIL SCLIIIAISLFYSYLLHYTKQYDRQVAYNVYSSQQSGSAARRDSNAGASSSGAGLIPP IPVGYGGAETGAVGKIGVTRLPLKIKITRAAFYAISVAISFWLMLVAMTYNTYLFSSI VIGAFLGHIVYEGDLDVG L198_02698 MTRTAHHAHPTPSYPVYCLDWATDDSLLLGGGGGATRSGIHNKL KLCNVSKDGKKLKYLNELSLSNDEDAPMTMAVDRASKQLVTGINASQESIQSGVNDHV RVYSYGDEKIELVKGQKTIDAAWSDDFPYQKLSVISPSGKLLAVGSTDNKVVILQFPT LEPVTALSTDAELTDLDWGGPDGNWLAVTTLSALALYHLSPSLALTLQQTIFPPTLDI VPVAFRSARFSRSFSTPLHLHSVLNASKAAKRGGPRKAFVCTFGVVAEASKAPLTEEE KATAEKSGAGEEEDVGKWDVLAKREVAGKPVTAFDVSSDGKILSYGCSDLSIGILDAR TLAPLLKILHAHSFPPTALKFNPSASLLVSASADNTVRVVVVPADFGGLSAPMIFLIL TILILILALALKK L198_02699 MRPSLPSLVNILVPVKRSIDYAVKIRVASDGKSVDTNVKHSMNP FDEIAVEEAIRLKTNSGEPVSSITALSIGPAKSIDTIRTALAMGADTGIHIVTPENTI VEPLSVAHAIKAIVERSQGTDKKFDLLLMGKQAIDDDSGATGGMVAGMLGWGQGSFAS KVVIEKGGKIQLTREIDGGLEKIESELPMVITTDLRLNEPRYASLPNIMKAKKKKVEA LKPEDLNLDFTPRLKTISVTEPPKRTGGAKVENVQELVSKMKEAGIL L198_02700 MTLHSNITRRPPVRIPFAHSRPSAPRCCHRPFSSSPSARQSIGD ALINQDPYKRIWFIQPTSFMSFARDGGRTLHLSQIWPDSLYHEPYLIPFWAVTIFNDQ MVEAIHRPTGYTNFNAPAQRLRSEIGATIAAVPADHWASGMYFGDHARQRTVIHHKIL EDPFSRLAQYIPTNNPGSLVRPTNDAFDPFFKTDLYSPLYTPKFALGPPKMEQRDMDK VVESQKFVANAGWEDVFTRVQDSKVFAVPVYRLIYKVHLRDGSIAMCDGEIPGESHNF RVNWPQGRSQASDSTPKVIGRLGRLIWDEMDLHPPTWRLTTKGSTDVVSTIFDTMRRR GPMTQGMWEDGNIVPLIGPRGTASGEELIHYGDDFIKNRGISVPEPSYPVKVRNEPRR SSSLFSSPAATAEPSKPSSPRPSSSTTKPAPGTSSTTPSWSSSSLKDKRDTRFSAYAQ KQAERIKGSIGAVAKGRTARRLTPHDQRGYYAELGLEVSADFLDASKAADVDKLVKDK FNGLSFVRHPDHGGTDEGFHNLVLSRDHVESCTSNFLLLLNKALTKHIDQKRLFYNKQ VLSCPELSSSQSKTPPPPPPPPPPPPTPPRKPPSWSPSPPYNASGSPSDKHGHYATLG LSKQLCREFLDVGAEARVNEFILYRYDTLREAVPPGDAKKLRKLSEAFDSVKNCMSLK RLGFIIIELTV L198_02701 MATPQTPKTPTRSLSPITELTTPNSYRTLIFPSIDGDDDARSFQ SKDSGDTVKLSQSEHLQPLGKSIQSFATKAPLPQKPSPTAALSPITTSQSPAKRERRR SGIPIKVGSPQQPASSTVPFPTRTPPGPPGPGGLLPPPRSVSNGKPVATRIPISPSSP SSPSSPSSPYGSVSDEARRRYIERYYPNSKEAIARREQNAKIKAAEEAAALEKEKAAQ EKKAKDEQIGKELKERRRSIKFDDDTKIEVKPEPKPKPEPESEPAAGQDVVTEPVSDE AAKNMAGVGASDFSVRQRRLSRPNSMYATPPTSRAPSPLKGSYSDVSLADLHRRPAFE SSVNRRPSFTAVENGHPTLHNIPVFQEDVYLEMGARRRGWSPKASQQSLPLSTARPRP PTAGPVKKRPHSFGIFRPSTSMQRSRSYSGTPSEPVTPAIETPPATAAATPIASPVNK HQRQKSFSTDNIPAPPSPLRAHQEPAPAAPTASVPAPAPGTVSTTASTRSSVDKGDKN PAGLTRASSILSSIFGSKPSATSLEPKASRKEPPRKRLSKKVPKRGDSGASPTQSPNP RSPLPLLGDLTPEDRSGATTPLASRTSGIFGIRDDGSDVFRLPQDADNKTPSPAFDPR SIRSLQERAGSPHTQSDRVKRVLYVENHVPSPNDPQEGTQDEQLPLPEITTSTTVEEE FHTPISPGSPLWTREPESFGNTLVDLPQEAVAQSPQQTVHQSLDTPSFPPAVTMIDGQ PIPQSGSSGHSSSDHGHGQAGSFSPQRALSTPSPDSQKYSESYTTPVMVDEATQTSVQ PSPVSPPRPSRPLPRPPSRQTSISPPSYLSPPRPTFIPPPPPSSSPTADLPLLIASHL LSTHAATLLRHSGEIIGTGDVMRKMARESLEWGGILMGMAEKATRHQQEAQRSEGMPR PRSRTPLAFDTLIRSGSHSSQGHASLTRSQSHSVDPARSSYASLTSIPSPTPAVRRVS FKDKEGRSRTESTPRESLDEAQKLGQQGLVNVHAAEEAWTIAMKHLAHAVEDSMSGAG VQEERKEASEQTAGTNEWSENATAASGAPELQNPTRSSVLSYPLGSDGGVSQPASPYS QPGASYPGIDQQTSFLNMASSPESDIFTQAERDASVKRRRMTIQGTSYSSNSHAYANP ANRPVGSSQRCVSAAAAPSAGPGYTDEQLQLDSTLPGPPSSLRRANTLAVHSPGARRV MQVSDETQKAFASAGSQGTNSTLTKGSGRKLVKKEKENRVQSEEPGLTDRNSMIGKKK HWWSRRGSIVVR L198_02702 MPSTAPQHDLDQSSYLPSSLNGTFSIAKEVIMGPFGSEHRRSES TRILSDLAPSLMQSRFLNPSSSTQRPLSNRPPSKPYPLLRLPTNIPFPNQRQTSSSLA IIENVDNLASLSLDDPALLHPGQGPPSLIRGFKATIPSSEMAKQRRRTVRGGIVDEDL GGELGLKRLGNRARGLLTEHGEADGEGELGVGRKAAKKRRKKRESRRLTEGRHDGKLR LEDLAKQADEISQDKDNLHVRQSLIQSEITEIGNKISALEEIRTRLERSLLHLQEEDL ELNDELEGVQELMASPAYKAAAGTKAVPSSSTVSGPSKSSRRRKGPAFLPSEHDDLPS GVAFMTLAGHTAPITALDFDEPYGMLVTSGQDDVVKVWDLCDGEEIGQLRGHKAGSVK AVQVEDTLCLTGGADGNVRLWDLRMVEDYEERLQSQLKQLAQQDPLDRIAEQREQEGE DELHSGGWQEPGDWSPCVRTLEGHSKSVTSLYYEDGCLVTGSSDKTIRQWDVATGQCL LTMDILWAISNPPPPPPSSNIPTRPSRLSHRSSTSFNSTTYEDILPSPGASLLGMPGS SLLTAATSQNFAVPTPPYSDGTWEMYQDFVGGVQFWGYALASGSGDGGVRMWDMRTGQ AHRTLVGHTAPVTCLQFDESYIVTGGLDRTVRIWDLRMGALSEMHKYEYPVTALQFDS RKIVACTGENGVEVYNRTTEEHRRLVVGGHTKPVEKMRFIDKYLVSGGRDGCAKVWAM L198_02703 MALVTITGFPCSGKSTRAHQLKEYFQKRLKAEDYKGPSLVVEVI DDEGSHVPRSTYDSSAQEKPGRASLFSNVTRSLGEDTITIVDSANYIKGFRYQMYCAA REAHTRVATVHVAAPPNQCREWHEKRGESSYKPATFDNLIMRYEEPSSMVRWDSPLFT IPWDEDPPYEDLWNAIIKGDKKPPTSAVLQRSKPPPNTLQTLTATTSLINSSLFTHIN SLPGSTTFPIPSPPAPKGSSLVLHLPDKKITLSEMSRLKRQYESVQVKAQSSGGLAAT ATWSEEEVSTGYVRFLEQVWETGS L198_02704 MSASAPSPPDSPPYSQQPPFGLPAPLDNDGDAESEYDETLMSCF GTISISSLATLERSTAGAQKDYPGPHFSSRATTGPDAGPLIDRFSCLFKNQYDADTNP HGIVSLGVAENFLMQQECFEIFTSALKNMTPLDLSYGDSLWGSRRINKALSGFLNDYF HPVMEIKPDHLITGVGCSAVLDQLFYTLLDEGDAILVAAPYYTGFDRDLISRGKVKLI PIYIPIEKTFTPEGLELFEAKYEELKKEGTRVRAVIVCNPQNPMGRPYPRETLLAYAR FCEEKDLHLVSDEIYGMSVYENAKYPGAVPFTSFLSLDLDKELPGITFEKARLHVIYG MSKDFCANGFGIGALITPANPTLIRTMANTSMLMKLSSPADIIWSSLLNDKKTLSNFL ETNKGRLGEAQAFVRDWFEERGVVVADSNAGNFVWVNIGEKLGGIDVATEKNIFQRLL DSGVYIAPGTAYHYDVPGWYRITFTVARKNLVTGLERIERVLGFQPLKK L198_02705 MSQPPSAQLLTLLQSLRTTPTRLIQSPVTQPRRASVAIILRLKP ADELVFEGHETEGYDGNVIARDDWGEGLEFEDYMKLPWVNHPNTVPEVLFIRRASPPP TPSTAGNTHHRWASHIAFPGGRQEPDDQSAYYTALRETWEEIGVDLAEKEYLSVGRLD EREVTTSLGKRLLMILSPFVFLQTSPFSPTPELQASEISSVHWVPLTLLVPPFADDRW AKIDIDISSRLSPRNKLVRWCLRNLIGKMKFGCLLLPDDPAAIAKDFDRAEFDESVEG SGSWTNSHDGSQVLRLWGLTLGMTLDLISHHPSAPSTLLTEGSTLTSSSPTIPISEYT PLNEPRTPVTVQSSFEDEWEAARKVLKDETDKNTKAAAAENGKERKRRRGAGPYMTAV FPTFTYPDVNFWIWVFSRRYRQVIRSWESSTIGRSRAADRRINWSGQALATFYTAVRQ ALLVAIIIRGLCLSAGIVGFSYFVLKSLARSDGREL L198_02706 MPHNQLLFHRSLPKPRPPIHNGASLAHHHLKVTRQARAPIINIP PINLILITPDRHLLLPALGRQLAPYVTPNRRFFAPPPAQEQWLGPDGRRLTSQDPRPA FPPLQRPPPEHILAASSSRSTLSIPPPVQPTSYSHPYPAPAPSSAEESAQRPVLPGGH ALTPMHFSMLSTHDHNPLHPSSSTVVRAKGHMEVPGKESFPLITGWQEPSSSRNKLPM RSRSRSSSSADSGSTSRGGSQRSFRDEEAQRTSRTSSTSSQVEEYRQTSGNTSQEGSV RAASVGVEHRRGKKKRTRALMTHAQQAGLMNLWKQASPTKFPTSGDREVLGQQIGLTA RQVQVWFQNQRQKGRKTLLVNGGVPDGEDPADYEDLQKSPRSRRLSVEKDERIFAWAG NSAGGSVWPVADGHATEAATPSYGAGYSYLPPATGEHQFRHVPPNTKPFPVPPHTHPS APLPPTSALSWSPSSQYPSVLEPPRSSSSLPNGDMAYAPAPLPSRSGFVDPNSASSSR GGYHSYEQYSRGYNVHPPVQGGHSPAYIHEASRQRSISEDHHPSPSTLPPPPSSFSLA PFGSGLGPPPPPILSAPITTPSLSQSNRQRADTRSSVQSVPSSSSATSTPASTSHLPP SLARIAIAGPVAGGDDLPSLLPGLVGGRKDEEVGSPRKRNASWTLPERRVKGRAQGEE EGSSSGVRKLLE L198_02707 MSDAKQDLVDASLLSSSHLTPIDHKSHPLSSWPSIKPNPIGRLS LLNPSITVAAARECIQVGKSFPIDWPVYQSGTCLFERPCGQHEIKRRGYGDDEKQQTD ENGTPWVVCYDEILTINTQASSQWDYFLHFSYPGSGVFYGGIKDQDILNRKTGDFCVA ALAKAGGIQTRGILIDIPLYLEQNNLPSNPPLLNPASNPVDLPLLLRAVEHFHLQPRP GDVLLVRTGFEDVYREDSEKAQVDRHRESAIQGRWYGVEGKEEVVKWIWETGFVAVGS DNPTFESWPVWENAVWMHPTLLSGMGIPIGEILRLNEVASECHRQNRWSFYFSSVPLQ IENGIASPPNAVAIF L198_02708 MSSFSVHKAALEGQIGLARSLLNDDPKLINVRDEDGRTPLQWAA TISSLSMLQLLLNYNPDLEARDTMGWTALMIASASGHIEIVKELLDAGAKVDSSNEKG QTSLHYAASKGNVPVGRLLIRNGADISARDRANQHPLHRAAATGNNAFLQLLLNPPEG RAKTRLNTADRAGNTPLHLALESGHGDAAVVLIEAGADRERSNSEGQVPEEIEGVGGQ EQKKVLQYLVSKVGARKE L198_02709 MPNSTTQELMTDWTPRLGSFGGSLRRDKDNMDIGGSPYRTSPHG SPTPQGQTLFINTPHSTSHLAYPSISGSPFPHVPHHRHLASPLSQSQSYYPSPHGGFE PDPVQWQAHGRSPTYAEGSGQLQYLGYYTGEQGLLSTSALERPYSAHGEAISTMSQRK RGKQPMRGSGGMGRATPKRGRSEETLGSAKRLRVGGPEQERYMSPAAYPGPSGHSGAQ DMTPINEIPEDPANGGVPRPQPEGAATGEPEHRPLVITRHETEGRKLGLLGIARGELE DSKTPEDPPLSPRMTTIDVLETDESGRAVLATREVLETELTWLDPNNQMTPPSSPKRM SFQTPVAPRKQAPQEEEQTDEENSEPALEREANQLSKRLQAFTEAMTHDEQPLVSTRI EMFGRVAVRKSTAFSFLQLSSSEGSAAIEEFKADGKEDWEVESDESRVFRPFVRPMWP DNEAPWALAGGKMKDRIRREEGAKAHTLRRYLETSSDESEEEDEHPRFFRAVRQTGHW GRGKGKSISRLLPMEMRERERRMDRTSARDALLSSLRSRPVPVLPAGVVACVCGATAP NELGSMISCAACRTWHHLVCNEFEDVAKVGPNWWCQSCTANASGLRTPVSHTPTRSYS SIGDPRSSAVKSDINHIALAPSPMFVNSGNISAARTPVTRNPGSSPQRPKHSRVLSFG GDMWAFQEDAAPSTPVPVVHDRYSTPRISDTPFDVTSTPSRHLDFNFGQPSLFSLTPL GGRSRMPSTVLIDGTPVMRATPRNTSGHGGALEPMSVPSRADFFRELNKGNGPHSAGP SLGHHHSNAAVHHMVGSASVGTGDRELPASPRWPHSLLGAQLSPSPFGGGHRRSLSGN KLSSMRSSSRSGLGTGYGVAEEKDE L198_02710 METNPFLPPTADPLSHPVQEDGGQSTQWPLTLSTDTSLLTLKEA ARNSSRRRNDTRLPSAGTSPHAPLSQRISKPRLPLADRIGKNKPVYIDREEGEISDEE DGQESVERHEGKNLAQRLGQFSAASSLSASGGTQPHASAAPSRSHAGVSLLERLERLS SPRKRTSQQQMSPLASPPPPSPPLPHIPSTPETEISRPASPEFPPTPPMPATAEPATP PFPPISDWLPSTPPRPPTPMLDAGMEVDTPPLPSPPRVPDSRPPSPSDPPPVEEERPC TPPIPQRNEPLEMDDPVEVYRERLSRSAGAVTAGELMTHPLTPPIPPASPDIDLDIAP VDMPEQSQTMAEAVQPDEPLQISNEEEARVDAGKEESPDEEANSSAEQPPSTAAASDA IPEDTTDYMGLIRGLILDGVSPQQLIERGASAHSVMVVCQEIVERTKQSAGSVEQGSA EEAAFLAVEEEAPHAEGSESRPIYVASSSAASSPTLAHLVHPQPSPLGASQLKEALDL TLPAKPVARLVPQESFRPKQPSPAPAEILPPALSVPDVPPASSSKLDTSIPDASSNLP PRAGSPPPNVPSPNVPVSPASNQTSKKKKKDKKTKREKAAENAANKRKEAPVTRRATG AVVSMTPEDIALHVQNRHEHNLRFHAAMMAGQLPPRPGAYTAYSIHDYPVPSFNPDMP PHLHPYRPAALGPDIRPVEPPGAPPPPPDHPPPDASAAALHARKRMALESMRRKKPAS AQSEVEVDTVRPTVDSSQSQSQSREAEAYEQAAALEKEFFNAYISGQGPAGAPPDVPG NVHEKKNGSESMDMELEEPEEGEIAAPPPVLPVIAMSESMTPAEHIPGAPTAARRGTK RPHAEDLMDVRPHSAPPARRPTAPRRLFGLPLNPTRLLLHVDDDSDSDSDDEMEELQK REEERQREADEKQKRLNENILRLKAEIAMKKRRKLAGDTSGAASPTTGDATPTLGAGV VQNLTETAEASGKRVGIDKLASAGTAALSVPEDTRARSSTPADIKQLTVELAQVEAQK EEQAKEIMSANALKSAEEPIIADDDIQVDEEVVVGQAKDAIQPSQPSTQPATSHKSKN FQAYYPILTHYPQLPSAISLHTSPHTPAPDPFAQINRVLLDSIILTNRSQAGSVTLCR AEAGGGKYDDVVEYVYEAYIIPQGKASGREKQGVADIVAAARRQVVSGQTIPTHTIRT DDDTLKQLFEKVGQLLQS L198_02711 MQDQNQDAVVSQPPQPPKPGAISLDDERTLEMFENMDVTRLNNI RKRATELQTAGQTRESSSELGKLLTILDMYARAKQIKHDRALAANKPTEDGTSAPATP VSAPNSAHISMNPSQIAQLRTQAAAYQSLSKGQPIPDFLLSAAQGNPPPGVAGQPPVP QEQEGVAAKVVDKVVETVVEDNLEAKEKDGRLEEQPYLMEYDESSIIYPYNAYVDPSI YAKRRFDDEVTNPLHKRQRLITPAIMPRGLDPYLLMEERNRYIETRMAWRMKELEDLD STAGLGTAGAQDVPNIAEAEKSNSNLGIQARIELMSLRLVGKQRLLREDAVRAMHGAT QVPADRSQFRRFRTHTLRDARATETAERRQRTEREQRGKQRHMSYIHSICDHGQNLIG AGVGSLRGQGSDKMKRLGRSMLKLHADTEREEQKRIERIAKERLKALRNDDEEAYLAL LGEAKDSRISHLMDQTDQYLETLAAAVIEQQNDDVHRDAIISEPFEVEDGPANEEMFG ARRQDGEEAGAERRAGKVDYYAVAHKTQEKVTKQADLLTGGTLKDYQVKGLQWMISLY NNRLNGILADEMGLGKTIQTISLITYLIEKKRQPGPFLVIVPLSTLTNWTLEFERWAP AVRTLILKGSPAVRREAYPRLRAMDFQVCLTTYEYIIKEKPLLSRIKWVHMIIDEGHR MKNVKSKLSQTLNEHYSSRYRLILTGTPLQNNLPELWALLNFVLPKIFNSVKSFDEWF NTPFANTGGEKMEMNEEEALLVIKRLHKVLRPFLLRRLKKDVESELPDKVEKVLYTKM SSLQWKLYESVQKYKTLPTDMTAAKPQKRQNLQNALMQLRKICNHPYVFREVDEDFSV GQSTDEQIIRVAGKFELLDRILPKLFGTGHKVLIFFQMTEIMTIVSDFFEFRGWKYCR LDGSTKAEDRQALLSTFNDPNSPYQVFILSTRAGGLGLNLQSADTVIIYDTDWNPHAD LQAQDRAHRIGQKKEVRVLRLISSGTVEELVLARAQRKLEIDGKVIQAGKFDDVTTGA EYEALLQKAFEASNEEDQDETNELDDDELNELLARGDHELEIFAQMDKERNERKLAAW RASGHTEDLPPVLMAESELPPFYRRDIGQEMAAQVANEDEQGRGRRTKTDVRYNDGLT DDQFLAALEDSDDDVEDASDRKKKRSDKKAERKRVNDMLARAEADGRPLDASALRGEV AEPPLAASSGGKKKRARPSTSVTPSVTGDDVPSKKRKTDKIHSAEVQLMTRLLSDVNN LKSELGEDLNQFFLVPVNRKDYPDYYKIIAQPIAMSQIKAKVGKPSYNLQSLYNDLHL LWNNARTYNQEGSWVYNAAEDMQEGFDRMWEEQVPPFLASQGGENGGSSYGDTSGAPS GSSTPMFKSVGEKATAPKIRISMAKKQVEAAMDEDSDEEDDDDEDDY L198_02712 MSLRVVSGPQVDSILETLSPQLALASQAKVFLGFTRQANEAPTQ GPASIQTPHRVTVNTPDFTLLFMPARAPVRNSEQETTATACKIVSVPSRGSPDGLPAS TIVMDEVTGKARALINARKLTALRNACGSALFLSLYPTPKSDHLLLFGAGAQCLAHAQ LFLRLRPFSQVTFVVRSINSRAESVASAVRSSFPSVTVSLAGHTSPSEKLSALVSTAD VIVTATPSTTPLFTSSPSCPKPGARVVMIGSYKPEMHEVDSELMKRAGAVVVDSRLAC LREAGELIDVGEGVAGGKGLIELGEILEEKDWQAVSEPSEEEKGNVIIFKSVGLGIQD VAITSLVADESERRNLGTVVEDYD L198_02713 MSHPNATASSSKHKKDKSHKKDKHRDSKDKKDKKHKHKEVKKEG KHDKSPFEHRISRMRISVPPKFAGDVMMGVREQLDGMVMRYVPQMGGVLLAHWEHSFE DETAKIVGECPFGVVEVEFHSVLWAPKIGQKLFGAHSLSSPSHLSLLFSKTFNVSIPL QHIPAELYEFEHTDETAPEEDSDSEDEVEYLGGMGNLASAVEEVGRWREKANGKALGG DIKFTVIGMQVTNQMLSLTGSLLSDPFNPPALPEPVAAPAHSPSPSLSPEPQARPQKK ARVINNAPEPKEEEVDTTGMTPRELKAHRKEQEKKKRDARKARKGDEQGEEDEQTAGT KRKADEDNAEGRKKKKGGE L198_02714 MAFLPRPKFPFSSTTPSWFAGHMARSLRELPQLLDDIHLVIEAR DARLPLTSINTAFDGVLSKWISRGKSKGVERERLVVYTKRDLAEKRFESPLTKAFQEH SKQKIMFADTRSNPDVKEVLYHAVGKSNQYIPIYNILVLGMPNVGKSSLLNALRRVGV KKGKAFRTGAMAGVTRKLTGTVKIFEAPDVYVFDTPGVMMPYLGKGEEGQEKGLKLGL TAGIKEDLFELDGMTDYLLWKMNRRLVSQPRLPSYLTQLPLPPSTQPTDDLRSLLAVL SDRLAMKSKGGEPDFESVMTWIIKSWREGRFGEWTLDELLPYDAAPYLLEGKQPQPSV ELLESQRSPEISLDAQVSQTVSSYLASVAPRSSVEPMAAVSSSQQRKMDKSRRLHEKD AKLRAKGINVKKREEWLPGGMVGGKKRGVGVKSMMGTAGRAFRRRG L198_02715 MTAENYPLPSTLPSATPGLSFSTLSGQDLPLCVRQAQILALTGL DGIIIDCEHGHFSDDQMHNSVSAIAALGRCPIIRVRGPQPDLLKRALDTGAHALMVPM INTAEEAAEVVKFSKFPPQGLRGQGLTLPEYMKSANETILTIVQIETSEGVKNVDAIA AVPGVDYVFIGPNDLAMSLLGYTPAKGDEPVFVDAIEKVVAAARKHGQWTGRLVNDGP QAAEALKKYDK L198_02716 MARPMLSTSRIMCARSFTSTRTLSQATQLRHNSLSLQPGVREAD ERRHEPTVGSEGKGVEGPHPKGKCFQSHQTSANALSDATGPWTLFNPIYTDKIRQPLH LCTSLMPHQELNTVQVIERTPITAGDKVAKGIVKSLRRVFDVITRYTPYEVPESVLKQ NPIPIAQLRADGKLLSDHKWLFRIILLESIAGVPGMVGGTLRHLRSMRLLKRDGGWIH TLLEEAENERMHLLTFMTIAQPNWFTRAIVLAAQGVFYNAFFLIYLFSPKVAHRFVAA LEEEAVRTYTHCVKDMESGLIPEWQDKPAPRIAIDYWRLPGDAKLLDVIKAVRADEAT HRFVNHSLANLDQKRDFNPFALAEASPEDRGLKWGYTREESVLFAQRQQQKLIEESTQ KTH L198_02717 MAPPATITYSLHPTPTTPSPASHILRSAIEGIRAHLPLRNLHWK SSSRTSLRTIQEVDINLIDLGEASSIRDNNGSVLDAPLVNLCLVVCEDADVYKNQTRN FIRDWLSLLAARRTPHAPVIVLVNPPNVAEKTGKNVWGKDKGVLGKLKADFNISKRDR CVQLNLPPPGTSDPAAWPELINKLKESFVSAFDSAILEREDEVKRGEAQRVVVGWNFC TWFLLKESLAQSFESVNLNEDSLIIYEELEAAFIQIVKEQNLSWFGRLGATGSKDDSL PILDTQVKPYRDLLRSSSISIFDFRVYLFAKQGILLGKLGRITEVAKRGQWFVASLAK RLRENEAELVNHFIESWTYTACMDVVEKCDHWSCIDRPNGDYSGLTAYESARSELLDI ARIQVERLGVSVGHLPNEYPFQQPAPPQQSRSGDVLFEDPSETSQPPSPVKSNRPELS NPILLPAIASQADFIPLYRDLTIQAIEAYEKCNKRASAIRPKTDLVAVSLLNQDFEET YDLSRALAKECVDLRVWDPVLRYALRSALKSHDHLGKARDRSWGDLAVAYMRVCSGEK EGTEELREVVDGLQKSQASWDVEGHKAFSLRVEGDETTLDGDEITLDADVTNSLDMSI EITEIAVDLVNAAGESISFSVESYVLEPGVNHIRLTSSTSTQGIYILQSATITLGQVN FVYNKAVEGSTFKVRRDETGCTVKLDSDSMVVLEITSGKSDLQEVLLSLRSLNGEIRY MLGAATREGKRLDLNDQGEIVVGAIAIEKVVEIIIPYSGVPQGDSAQAYIALEYEANG KLREWVDTQKVNMSLPLTVNVQDFFRPQFLLSHFTISSEETDSLRVQSVELKASEESE YAVEACRKEWNETIVVNPRQPLSCLFKIRRKESGDPSVVLRMVIKYRNIEQEIRHSVA AAIRTLPPVSRAPVERKIRPLLSVSSNWKAYLVGEPLGQLLRPALENDIPNVESFCRA LDAVEQPVWRSLEIPVDVPQRRLLTSIRITPRSTSGRGLIYRGQPLAMVISLSTSPLW MSLPTPLSDEEKNQELVFDVQVNADDWLVLGKKKGCYTADPGKDEEQEIILLPLRSGT LFLPTVSVQPLDTLTPPDASDKSQARSQVLCESFVENAAEVVRVLSAQKEVTALVPAA LPAGIPARDWEDDRL L198_02718 MVHSSVVDPEPEPDIEGHNPQPTTTQPDSTAAAPNLDTNDVQQQ EPDNVSAPTKQPYGLRTILKPRSRPGHQRKPSIIINEPEPIEPRDATGPMRSNSAPTI AGLRPQDAPGPGLTRNRLAPLRNISIPLPPKMGPPQGEQEKVKRKFIEPTWKECFVNT IKYQPLLVAVPIILPISWALHFSHQNPIAIFVTSLLTIVPLAGGLSFATEELAHRVGE AWGGLLNASFGNAVELIIAILALVKGQIDIVQASMIGSILSNVLLVLGMSYFVGGMRF HEQMYAIIGAQMHISLLGISLMAIVLPAAYHYAYPSTSSVVSDARSGTQPEGEELENL LTMSRGLSFILLAVYAMFLVFQLYTHAYLFRVPVEKVQHPLPGPVPHHERVFPRPHWV DSIVDSSSSSSSSSSGSSIRSGRSHRRFKKFRRFSVGKKENRETSGGEGDGHEADSEH DASANATAIGEMQEKATVSPVSPITPVRTPSNHSGSLHPPPNPNIDIERQSMSSNSSI FVDDDGTVHVQPKIKFWYAMGMLLFMTALAGVTAEWLVDSIDGLTETGNVSREFVGLI LLPVIGNSVEHFAAVIVSWRDKLNLALSIAVGSSIQVSLCLLPILVLLGWAIDQPMLL FFDPFETITLVISIILVNFAISDGRTNYLEGFVMMMAYISIALVCW L198_02719 MPPKASAAHHAPSPSPPPHINHGQDLLVIQDIADTLDQIPPELT RVHSDLNELGAVLYSTLVSLEKKLHTLIGWIQDPTVTSEKRFELLQEIAEEAARYKLG GDDKIRVAAGACDGIMNHQKHISTLLKSATLLNPSPPSPYSQALTLPFPAPAPTGRRV ARAVNSPFGGKGYSGSNAGPSETRVGDTPTKQKKRSRVQQLGARDDDESSSAGGGPKK VVKRRKQNRAASPTESVVSTSGFGGKAVEPRTARQLAAAANRARREAEDVNSDTESRT GNDDGPSTTKKSGPPMQPTFSTDSKGLGLDVGSREGSRSANATPTLAYASTLPSQTDV KRPSRRGGKRPNAAANENDDEEEEDVYEDRRDAQVADMFEDGGGAGDEVDSNVYCTCK QVSYGEMIGCDDDDCEIEWYHIACLGLDKTPEGNWICPTCAERRRKQPKVKKATRGRA RK L198_02720 MPVRIRFARHGHRKNPIFHLVAINSRRPRNGKPLELLGVYDPIP RIRDTLPPPPAANVFAKGTEEMIKKEKKAELNVERIKWWLGVGAEPTRSVVKLLERGG VLTTPHKWQHMWSPPPPGAGQSLPSATSSAETAS L198_02721 MSTAGPSRSPHPSSRYHPYTLQPSSPQDNPRSQPQVKARRNHDP APTNGEPPSPPRSRRDPSETPSVSLSMAFGGSAGGKWWDEELRLSSMIHTRLTILQAR LSLHQAALAMNAAGAPPMPAEHYPRPPSEADLRARSPERTPSLSTSRDSASASSDRLA SPTGGNEYMLPPPVRHGYEVYEKSMDKAADEARPRYWQLPAMSQAPARSSRQLSPLRD VAKERLVSPKETAGRDRSGSGIEMLLDAGMRGLESERTR L198_02722 MAPRSKRPRTATPEDEEGEEVEERQQGASHLVSFLTGFADHHVD DELPPLLDNNGDAIDDFSASDDDEEPEEEFEEAEVDPDDDGVHTPSRKTGLVGVATPS RSTRGTPKKRKAPGSATPRKTPKQPANGEETADEGIIRKSTADAYFTLMKASRTSGNS YSLLADPLSTRAYEKYIAESSAVRGRISPPEKHRGRFRTWSKELEAGFNLLFYGFGSK RPTLNLFAQEELAKKGHVVVINGTFPGLGVRDIMSEIEDRLGVPQAISVPPSCSTPLE RAAHRIYAHFLPPSAISDPSEWPAANAPLYLVIHNIDAPALRSPKSLAILSLLASCPR IHLIASYDHVHTPLIFSSSLSNTPPHDYTPGSWSGTPLSSRGFYWVNHSLTTYAPYDL ELCYLRMSAQTLTPSTSGPAGGVSEEGTLQILKSVPHLSARLLKLIFTLQLSRLPANS KWHVAYPASSSAPPFAVDGNLLKKQAKDKWIAGEGERFEAFLGEYRDHGLVVEAAQAR GGEDGEEEGREGRWLWVPLGKAAVERVLESMVGVD L198_02723 MSSKQRPSESPKKKPSPKKDEAAGKDIRGFFNKGGSLKSTTQTS KSGSGKMPITIDDSDDEPAPTPKASSSKPAPKSSGVSKATSSRPIVLSESEDDEPARK PVAKPAPAPTAKPKPAMSRPSLSRPAVKSAPKRKTLMSDSESEEDVKPAPKRQSLGKK KVEEDYKPMDVDDDEEEEGEEEEVKPAPKKAPAKKPAASPAKKPTPAKKLAAKAAPKP SAKETEGEKKANNWKAVAAARAAGPSAPGSKDIPEGEGDCLSGLTFVFTGELESLGRE EAQELVRRFSGKCTTSPSGKTSFVVVGANAGPSKLAKIKEKNIPMLTEDEFIELVRQR SNGVGVEVDPATLEKAQKAREKEAKKIEDQAKEMEAREKKEEQERKRKEKALGQQGMA VKKIAPPSSQLWTTKYAPAALKEICGNKAPVERLGKWLEDWDASYKVNFKKPGKDGMG TYRAVLISGPPGIGKTTSAHLMAKNAGYTPLELNASDTRSKKLIENETNVDNRSLDGF FKGQGIGEANVAGMKITSKTCLIMDEVDGMSAGDRGGVGALNTLIKKTKIPMILICND RSLQKMKPLHVTTFNMTFKRPTPPEIRSRIMSILYKEKLKIPSNVVDELIKGVGSDIR QVLNMLSTFKLSKSEMDFDESKELIKVNEKNTIMTPFTLTDKLCNPYAFSKTNKDTLS DKMELYFQDFSFMPLFIQEHYPKTNPSKLQNLNGREKDLKSLELLSKAADSVSDGDLV DRMIHGSEQQWSLLPFHAVVSTVLPASLIYGAMRPSGGGYGSWGPAFPQWLGQNSKQT KLGRQLTDIQIRMRLRASGSRSEIREQYMPMLANKIVMPIVENGADALDETIDYMDGY FLGKDDWDAFVELGVDTMKEDLILKKIPGATKSAFTKQYNKQDHPIAFHKSDMFAVSK KKIADQGPAPDNEDVFEGDQPVPDDPDDDKDDDDADVTKDKLVKAVKPKGKGKAAAAK PKAKAPAKSKAKK L198_02724 MSIPIKSARSPSVSHLSKSPTTLNEYTSTAPQPTLPTQHKDEGV PSHLYEGLPDHFMTENAHGERIPDYLRMILMSKVYASPLNLKETPLTLAVNLSARLGN EIWLKREDLQPVFSFKIRGAYNMMASLPEAEKKKGVVTCSAGNHAQGVALSGHALNIP AIVVMPVSTPSIKWRNVQRLGATVVLHGKDFDEAKAECLRLEKEKGLTFVPPYDNPYV VAGQGTVAMEICRQVKDADEIDGIFSAIGGGGLSAGIAAYMKRVAKPTVGIYGVETVD GDAMDRSLKAGKRVTLDEVGPFADGTAVRLVGEEPFRVCKEFLDDIVLVNNDEICAAI KDVFEETRSIPEPSGALSLAGLKAHIIRNNLLNAGKRFVAVVSGGNMNFGRLRFVAER ADVGERREVLISVKCPEKPGAFLKFHSLLGGRAVTEFSYRYSNAHKGHIICSFLLSAS SSSPSSAGPTPQARDEEIQEVTKVLREAGMEVLDLSDNEFAKSHVRHLVGGQSEVENE RVFRFGKPGALGNFLKGLKVDWNISMFHYRNHGADVGKVLVGLQVPEADYQALDDFLQ DLGYPFVEETQNPAFKMFLRS L198_02725 MSSPKAAHYNAALNTALTAGNWPASAPATAPRGAQLEWGELVRK WGKHTGGNVQLVHHLRDISLAYLSTSGHSISSSGFLALPNNDASQSIESSPSATTSAD SGSQTTSSFVHIPHPHLHRRHRNQGSVDESVAESGNTVKPQAEGTPRAAYLSEGDLDG DDYDDQRAWAIDRVWWMGVASHNAEEVQEGVRALESSFDSGKLSPADGQSAKLTLAYH QHALGNHNAALRVYETVDWSTESRFGVVEGDAAVVERIRGRCLQGISYELSSPSNASL AIQSYLSTIPLLQSLQSFTQPIPSYLGKSPAKVASFEAHREVFRFISTALARAAVLSS HESGQEARQQGLQILRTFHALSSNWPSGFRPVQRQKLLILYLRALHSSPFTSGPLLYA SPAPTVPPAQLWHSEVVHALTQGKNLLNHTTSFPRAGAVNHPVLLFATLSTHLYSLSP HSSLSETTLDLLWWAMTLTFQSQSILRNLLRVMVDRKDWVDAKRIFGLYVDLVLKARQ TMSPEVNLQLQKRPSDEDPHLEPTRHETASGAVPSPRPAADSPASPHGAEADDDYDFV RTLLMGARLLSEHTNEPEEAWRYVTLAGDVVSVSQTLGQKSKARKVLEGRVEEAKGVV RIVMSRTTDPLQRATFQSQALNHLLSSTILLPTSPSAFYHLAHAYAAARQVPEATEAV RRALELEGDEEAELGEHDEKERPVGVEGWLLLGILLTAQGEWNAARKALEAGINIWED ADALPLSVETVPSIAPLLQPSGLPTTLPTLPLCPPPPDTKLSQVIRLRTTLNGVVEKM RGCEEAMVNQQELFAFFSGRCRLVSERKRSSTVGAGASVELTGFREGGLDGSYISVRD DLPQIHTPSQQSSAGSIQGPTLAQPIPRTSSPPAGDALDVPQRRSVSLRGRDRAGSLR RGLGKHLNVSRASAVAGGEAEGSDADVSTRLRSPSTAHSIAPTAIHSTYRSSRTPAPP PPSQPSSAPDLHTKEEKRILSDLWLSSAATFRRWGKTEQCLVAVMEAETLDPGNPDVW VQLGLYHIATSPKEGEPAYEGKKRQQEVVWKKAEAAFVKALLMKPDYPQAIIGLSKLY LASPFTPPPRPTPPLPSPAQSQNGKATAPELVPSLQGPDLAESLLNQLTQAHGWDDPM AWFLLGKVAERQGRGDRARECWEFALGLEKGRGITGWDVAKEWL L198_02726 MTMQPPDFPDKVVIGVLALQGAFIEHIHYLQRLRPAGHTLEAIP VRTADELSRCHALVIPGGESTVISHLASLTPNLLPSLLAFAQDPAKAVWGTCAGMILM SEEDGVGGGKKKGVKGWAGFKGLKVWRNLYGTQLESFEAPLPIPALSSPAKPFNAIFI RAPAIHSLTPPPALDATTEVLAALPDEYLPSPPPSDSPLGEPRIEDLGKVMVRQGKKM ITSFHPELSGDVRVHEYWVEKCVLGRQ L198_02727 MSRGSFRGRGSGSNTRFTGKRRGGGRGGRGGGVAYGIDRPAAQL TREDGTAAAERFEEVKAYDEIDEKLGFWRFESVRSEGEEKVGWLVNMHMTLMQSDVSP NGLAAVDYYFIQDDGGSFKVTIPFEPYFYVTCRGGTETLVEEWLLKQYEGTIVRIERE KKWDLDLPNHLLSAPPVFLKLFFHNTADLYTVRRDLNPLAKSNSEKFTAVDAYADVVS AEAAANGHDDEEKAWGAEDDSRKRKDKEPSECIIDVREHDIAFHLRVAIDLDIRVGLW YSVVSKTGITTLLRIPERVKRADPVIMAYDIETSKQPLKFPDQQTDQIMMISYMIDGM GYLITNREIVAEDIDDFEYTPKDEYPGEFTVFNEPDEAAVIRRWFEHIRDSKPNVIAT YNGDSFDFPFVDARAKIHGISMYEEIGFKPDNEEEYKCRATMHMDCFRWVKRDSYLPQ GSQGLKAVTTAKLGYNPIELDPELMTPYAIEQPQILAQYSVSDAVATYYLYMKYVHPF IFSLCNIIPLNPDEVLRKGTGTLCETLLMVEAFDAHIIMPNRHEDPHGVTYEGHLLAS ETYVGGHVEALEAGVFRSDIPTHFKVVPGAIQGLIDDLDAALQFSIVEEGNHRLEDVE NYDEVKGQIQQALELMRDDPSRFDKPLIYHLDVAAMYPNIMLSNRLQPDSVKKESDCA VCDYNRPDKQCDRRLEWAWRGEYFPAKRDEVNMVRYALEQEMFPGKRSFDPKRRFVDL SPAEQSALLHKRLGDYSRKVYKKTHETKIVTQTAIICQRENSFYIDTVRAFRDRRYEY KGLHKTWKKNLDKAISEGGAVAEVDEAKQMIVLYDSLQLAHKCILNSFYGYVMRKGAR WYSMEMAGITCLTGATIIQMARQLVEQIGRPLELDTDGIWCMLPGVFPEDFNFKIKGG KKFGVSYPCTMLNHLVHAQFTNDQYHELVDKESGQYMVKKENSIFFELDGPYKAMILP SSKEEDKLLKKRYAVFNPDGSLAELKGFEVKRRGELQMIKIFQSQIFDKFLLGTTTEE CYAQVATVADQWLDILQSKASSLHDDELVDLIAENRSMSKTLAEYAGQKSTSISTAKR LAEFLGEQMVKDKGLACRFIISAKPQGAPVTERAVPVAIFTAEESVKRHYLRKWLKDN SLTDFDLRTILDWEYYTERLGSVIQKLITIPAALQKVQNPVPRIRHPDWLYKRIAMKE DKFQQHKLTDMFAKMKSKDMEDFGEGGKQSGRKLAVVKRRKQREQEKSPEIEELPPKP EDDYSGYIRVMKKKWRQQRQERARARKSGPRQDGTVSSMLRAQTTSLSSKQWDVVQIA VTNRPGEFKLWLSIDGTFQSVKLRVPREFYLNFKETPQQDVLFADRYEVSEVVRTLPR GQATRHLFKLLVDEILFMEGESHFSSLINSPKVEGAFELQVPLVVRALLSFGTSCTLR SGITGGLNRGLDKGFELSDLERPMMTSRHKYLNEGREIQYHFLFHANVDTRHVIGLFS PGAAPRVYVVDRSRTRQNIPSMASFYAERLERLGRTEKGVFPYPEEIEIETTQHTTET SAFKALAKDLGALKRGLNVVALYSPFSHDYYQAKSSVFSDFPFITYRLGKDEEPGIMW QLNTVKRMVGLYLRLSGWLKEQIEIAAHFDVPIGNLAADTAVFLADIEFARRLKQQDM LIWWSSNSRPDLGGNEEDANMSEDLVSPHISNRGCYSSTVLELDITELAINAVLQSAL VNEMEGSGTGSMAFDTASHNLDEYAKGAVNSSVMLGDAVLSTQTFGVLKSMLRAWFVD KARATVKGDHSSPAELVVDQFWRWVSSSTSNMFEPALHRFLHGLMRKTFLQLLAEFKR LGTQVVHADFNRIFLLTSKPDAGSAYAFSKYLVAAANSQELFRHLGIEVTQFWNYLAW MDVANYGGVKVSVEAAASRDLPSYKFEMSMDWNIQSFLPSALQPLFERHVAKFIYELY TAKRKAHDSREPLRVIHSLNIDAPGEIASTVNPAKDKERASGAKAISQTLTRNLLTDI AGAKRRQAALHLDPDLDDNLDFPQLPGARTDRTNPTLELIKAITEVFSLASEHSIEVQ VLKRNLLDLVGVKEFSGDAAWKAPCESIEVPMVICKRCNAIRDVDLCRDPDRLPVVDS ATGEVREPPRKSWTCHKCDSDYDRFQIEQPLIETINKMATAYQTQDVACVKCSQMRSD NLAATCRCGGSFKPTVNKAEARNKLKMIKSGEHNSIGEVHKKMTSMTVCDYHKLPLAG SFVEETLSRW L198_02728 MTVFHPSTGFNPKSFSSATLIIPAVSLGNVPQLTADLLISTLGL KRVGFLGNGDTVAPFAGVGENGEVATGGLEVYGQEGSELYVVQQRSPTLKTKKDEHVS VISEFAQGNEFGFVLVLTSLDSAHQDDVQLLTPYQHILPPAIVSPVPPIIQRIKNLPP LSLAISQPSYGPQPTSRYPPFLPAAGLTRRLLSAFSEDQKKISHGAVTAWCVEGDNRG DARGLAGIVLGVLGISDDVDIRGPASWEGLFGTTDGWSGGFGADAELYG L198_02729 MESATSTASVRASASTSLASSHTSSSTEFSSLVSSTGSLTSTAT TSDASSTASSTDTSLLSPSSLSSLAKLLPTYLAIGGSILGLVIVVCLVSWLFNKKRRQ SRFKSRFGDDEDEELEKNWKERRLMWGEVKKGERIWDPETGMGIVVDDEDLEATRRKK HRITLDDARITGEEGHEPQGSVYEAYPPSPPVTSRPTMRLLSTSSSPSSEIDISEARG HFPTSIEVQSIHSYVYNTPEPSPSASPVLGPVTDREEEEGLIKHRR L198_02730 MSTQPKILILGASGYIGGTIVVDLLKTHNPANITVLVRDTTKEA LLKPLTVNIVVGSVDDVALIQSLAEANDVIINCAVPFGGGDASIQALVDGLEARANKG AVKPVLLQISGTGTIMYGANGEKGTDVWKDTDYKRWKALPQTAFFHSGDTIVARAVER GVISAYIVMAPTVYGQGTGPGNKLSLQIPAYVRYAKRTGQAAYIGKGDNVWGNVHVQD LSDLFILLLTHALSNPAATAALPGSHGWSNLIYAGVDTHAWRPIIELIGDLLHARGDI PNPGAISIGEGEGDMYMFGGNSFMSVSEKAAALGWKKRQPGLVDSVKLALSVGK L198_02731 MSLYKILVGGYRSVYEIFSFEPSTSKVKLAGSSPALIKPTWIEL PGPPINKGNSEERYLYTVSDVDGGSAVSLKLNGDDIEITGQRQCYGGAVHIHVMKDGS GIILSNFSGGSAIFFPIDSNGALSKTSESPLLKLPFVYEGQTAPNSKRQEACHAHNVV EGLDGKLYLSDLGTDRIWIIKREGESGLSIDGWLQAPPGSGPRHSLISEDGKFLYNLT EVSNDILIFPLDSTAEHPEPLPSKVSVIPPSVPSDPAAQAHMNAAQLFFNPAHPGLLY ASNRLELTLPSEFATGEQGDAVAIVKLNAAGDELGEVKWVRTGCNNLRGMRVSPDGKY VVVAGRVGGGLEIWSTGQDGTDWKLAGKDETIDLVTDMTWL L198_02732 MSGLAKLSETLSNADFKSIPIIDLTDARSADGEKRKVVAMNIRE ACLNAGFFYIKNHGVPEDIVNTTFSQSKMFFDAPEKIKKSVDITKSGNFRGYMGLLVS NNDPSVSTKGDMHEAFNLGLDPSLDPSFFDQTVKEGELKHSENLWPSTEVWDGAGEFK SASLAYYQAILQLGQSLFPLFAFALGLPEDFFTDKAGASIDREA L198_02733 MRLLFYPALGEREVDELMPGIGSHTDFECFTILRQSFCDVPSAL QVQNRQGEWIDAPYIPETFVVNIGDQFARWTNDIFVSTRHRVLPALAKDRYSIPFFFG CDHDVPLLPPDTCVTVERPARYPVVTAGAYVFDRLSKAYKVERSSV L198_02734 MFKKFNPKEDVSSSTSLKSSVQRNIRSQALSQIPFLSLPVYKDP STAAAAEASAEGEAAPLEEEKKEETSGGGKGKSGKKGGKGKGGKGKGKDKEEKEEKEE EEAGAETVLEEFWPKKDALGLTKCHDRISIYTIQSVPIFFQHFDGPLVPTLKLLHRYP EMLPRVQIDRGAIKFLLAGANMMAPGLLSAGGQLPDGLEKDTIVAIFAEGKQHACGIG KLVASSDEIKKVGKNVAVETICWIGDDLWKVDTIGL L198_02735 MPILNKFNEQGTRLGRRRLGWQVSSLKSDLSPNISLADELPPTT ETVDADGITTIISWKLNEDDKKVKVTRRVRRRLQVSTVSETVAQRKQLPKFGLEKGKP SGPDRKTTIIGENLHFKIAPIGKIQAQAEPEPETTKAPTGKVVVCRLCSGQHYTARCP FREELAAIDSLNAQDGEEAEPEPSGALAARGAGLTGSKYVPPSQRAGATGTGETMFRS RDDLPTLRITSLSQEASEDDVRALFQRFGTLGRVNIVRDRITKESKGLAFVSFESKKD AETAMAKMHGFGYDSLILEVAWSQPRGERP L198_02736 MSTDCLPSATPSATFPTLTTPPSLQSDLLSVIRRSSGIEPDHLD PLMAEGSHPSLPLPSSHNFLPYLPSSTLDQYHFPAKRPLSPRTSRRAVSPLKQSLPAL SVSPEIGRPRKKSKIMDMNMARSGSLAALPGIQTPLATYAAQMVVWLWYGQFQTQPPS PISPSTPSSIPIDPFDPNIQPQRISQLMVQPSPEFHKFAARLLQVTMVSHSVTLVSLL YVYRLKTRNTFFSTPGSEHRPFVAALMLANKYLDDNTYTNATWSELAGITLPEINQME TEFLAGLGYELGVELDEYERWKMLLDEFMKSRGPGGSMSLSRRQASPLGAHTISTPSF SSRARSASPIRLPQPYEPSGRKRSAADAFQVDTVPPPSSGHRMLRVPSNNSQAPVVSR SRPVLSRESSSSSLARSASWNRQLARLPSHYARRGSAGHVYPTPSEPMQYQQPSCYGQ PVPASMQHDWDGGRALLAPYDENLPQPQLVPQEHLMFYSLAAEARPGVDGQPRKAILR YQAPTPQHHYGYPQSYHGYQAPPPPSDVSMAGSVSPMYPFPAHTQSYTPNPYATSHWS SPMDMPPQPEPAQFANAGPPGYAYNPYAPSPDAWNGGGGGMAAGWARASDGMVYYHQG QPAGYPPMTSRSQWSSPIPRYQ L198_02737 MSSVPYSRPRTGADIARESMSHPANASLGYNNLSFPTPANSSLA YMSAHKPHDVYDFQGQGGMGMNPMMGMNPMMSMYNPMMMGGMGGMGGMGYGMGGMMNP MV L198_02738 MPPEDIYSGSPYRCHSSASSSSSSSRCSAGSSSNTDEHMPHINA IRPTYDYGCGAVSDEADDDQGVTEVYCNLVITEPMRPPPREKTAEKKKQVESVKEVEV ARRFPEEEEEEILKESNSRFVLFPIRYNEIWHAYKSAQASFWTAEELDLGHDLHDWHG KMTENERFFILRILAFFAASDGIVSENIISQFAMDVQIAEARAFYAFQAMIEQVHSET YSLLIETYVRDAEEKDFLFRGMENIPCIKKKADWALRYITEELPFRTRLVAFACVEGI FFSGSFAAIFWLKKRGLMPGLTFSNELISRDEGMHTDFACLLYNHLTHRCGQDEVHRI VQEAVAIEKEFLTDSLPCALIGINSGLMCQYMEFVADRLVVDLGYPKIYNATNPFDWM ELISLQGKANFFESRVSAYQKANVSRSATPSGQKGKGGESVSRRVFNTEADF L198_02739 MSNTFHSIRFALKATTISSLLILGHVLLTTAALAPYGHHHHEHH GHHDRHSHDHIHLHHAHHSSRETHSTQLAYHRALCILSALIFLTLLWFTFALLEGCDL NTTRSPPPPLLPQAIKAREDETPMVFMLTAVEVICGAVTGGAWLGNVHDRSGHSHFLP HFSLFQGSLQVAITLREIRPDDEILDIIPITYNIAFNAILLLNFHTFWSICAHARNVG VREVCRRSFWLGRIGWWEERVELD L198_02740 MPSPTPSPRPSPSSNLPAPPPADRAPPPKGRTHQFTLLRKGRDR QKRLPTDLEDDWTIEGMSPHPVQHNELLDDPQPAPEPEPIVPEIPVREEAKKPPSKGR QLVKKTSRLFSRDKDREGDSSLAPSSSSSLVASRQTSASSADSQPTGSASRTRPSAFI QRHSSMQSKSRSPRTSFGQPTHSRRASQDSQSSWQAPRSIRSFASTDTEPSAPRGLPI PQRQGASIPSLSRQSLPQPINVNSRSPETIPGRMSSWFSHLLPTAENQPASLGSSPGA EATSPIRKQPSVAASLFNVARQKAVDGVRHLLDSEAQPDKCMDTIWMRGVGHPGYRPK TPDTTSSPLPSVEGVQEEGRRSSLSMNRPSPTGLRPSSWRRNASISQGIPTQANQSTP PNKGFTNLFNSSSLSLAMPIGSSPSKEKEGPDSPNKKKPGKEVLQWPEQFYDDFRGTV WCTYRNQYSPILALSPNLLIPSPEAYYASFSSPLDVASPPPASPSPQQTPTQNSSFQA QQAPGGWWGKEERGLTSDAGWGCMLRTGQSLLVNAIVHIHLGRDWRAIRPPTPPTSAA EAEALEQYAKYIQIISWFLDDPSPLCPFSVHRMALIGKELGKEVGEWFGPSTAAGALK TLANSFAPCGVSVATATDSIIYKSDVYAASNLSSDAWDQLIPAFSIGRPNQTDRGNKS GSGQKWGHKAVLVLVGVRLGLDGVNPIYHDSIKTLFTFPQSVGIAGGRPSSSYYFIGT QANSLFYLDPHLTRPAVPLQVPPLPTLPTEEEVVGIPSPQRQPYTPSRSRSRPQESPT KASPLRNSLDINPEVAKYKLDVVNVDDVSESDSGGEGERVRSPKKEAIRRGVMSPTGT PSKNGVKGMKDDVGSPHKRTPSASPTKGSINYSYPLSANSSQPASRSSTPAREVPEMT ARPKLDPHAEWYIEAYPEHLMRTFQCEKVKKLPISGLDPSMLLGFVVRDEKDFEDFVD RVNKLPKKIFTIQNEQPQWEEEDDVGLESVSEPELESEDDFDAPGTAKPRFEAGSPID SDMMSGPSVLAASELDMDKIPDIPSATATPQVAPEDYVGMSGSTSASGSGTGPTASSS TSAPTTLDEDDGDGSLLDGSMETTVGAVDIAKHLQRVDIANNDGEEEDGELVGGTPSS GGVMVEPPSVHSTPNRVRPVADRQDTARPVSSSPEDIPRHVRQGAESGQEEEEVDSKA TRGTELPTMRNRMESWVEPIRGGQEAPNGDNLL L198_02741 MFAIFRDDKGKKLSWHWNWTKQWKGDLVPETSEDLEIDAEFLPM IWSPDNIDDGIEPQEGWRLLLGFNEPDHNNGDVADPRSPQEAADAWVRLAELRTDPDN QHLVSPAVAGSVDWLHEFFSLIPEETKPEYLAVHVYTTSMDDLISKLQWYYQEFNLPI ILTEFCMTASGYSSFDENVPNPEDQQQVHDFMGQATKWLDECDFIVRYCWFGAVRDAN NLHGVHPFNRLMDEDGEVTPLGWQYINGGHED L198_02742 MRHHLALQALLPLLSSLALTKAAPACSKSQSTATSPAASGAVAV QEDPSGNVYLATGNVQSTAGQASSVNAEAAAASVPVSSLGLPAWSSSALPATYTLQSG TEAMGASSAAGPATAASSKTFVVSKSAASSAAATTPLSSAATSAKSSAAKTSSTSVKT SAAASASASSSTGNATTPSSKMGVSWPMQEIQAAPVANFFTDSSTVSWWFDWNKNWDQ GILTVDGTSISGQFIPMLFDTTFLDDSSTLQDGFTELMGYNEPDLKSDTGVSNYIDAA VAAGLWKTQVATLRAKYPDIKIHSPVMASSQDWLSTFFSTICPFASASNAWEGCDAKP DYVSMHLYTTDVDDFKTQVKEFHETYGLPLVLSEFACYSFGGDSTCSASEASTFMAET TKWLDQQDWVIKYAWFGAVRDAEYLYGVSESNRLMDTSGALTALGKQYTNGGQTA L198_02743 MFPLKMVLTFHAVLLFVKKWDCMLASNMMDMWLMELARFKHSHR EIKPLDVFIIAAKADKVHAAEHVVLRYKRGPVTRPIGWHWSILPEDKDFEPLNLEVSA WEDIPVPYLEGLQAPSSEYAERSIKGVQGWSRWG L198_02744 MASPRALSRLASRALARRPALHQTTRLSAPTIAPTYTPVRLGST LPPRSAAFTKPTEDHIKAIRALLSSDSSLISTIDGTATAEDLQSYNDDWMNKYHGKGP IVVKPKSTEEVSKVMKYCYESNLAIVPQGGNTGLVGGSNPVHDEIILNLSNLSQIRSF DPVSGILVADAGVILEAADNHLAEQGFIFPLDLGAKGSCHIGGNVATNAGGLRLLRYG SLHGTVLGLEAVLPDGTIWNGLSKLRKDNTGFDIKQLFIGSEGTIGIITAVSILCPRR PSAMNVAVFSLPSYEAVQTVFGEAKAYLGEILSAFEFFDKQSYALVKKHQEENGGHRS VFEQEGDFYCLIETGGSNAEHDEAKLTELLEHLLSNDLVMDGVLAQDTTQFHSIWALR ELVPESAGKAGSVYKYDVSVPVGKMYGLVEKMRTRLRENGVLDGDGNPEGPIRAVAGY GHMGDGNLHINIVANKYTDEVEKIIEPYIYEIVSEFDGSISAEHGLGVMKAPYVHYSK NETSIAIMKQIKQLFDPKGLLNPYKYIV L198_02745 MTLSQTPQVVTIDASEPVEKIHEIIARDGGVIVSNLFSPELLKE TEDALKPWFDKREGSSRIYGLLGKVPEPTIKALRLPIWQSVMAMLLNDEYFSYVGDKH LPQKS L198_02746 MVAATAALKLVPGVQRQPLHKDHIPYQTRPDPNNPLFTPMVGCL VAGFKCTITNGATTVTPGRPRLKSVPMPRAGSALFILGSTYHGAGENKCTQDDPEAIR TLYAFAGQRDYLGKIRRRLTGYYKSVGGVGYVEDHQDAAEYMFKK L198_02747 MLMMIAASDLSQYLACELRTGGVDHMGKTLPAPLIRDIESWVFR QRVSGKVFLSGSSDGWGSSTSKPPPFLPLLQDIARRLRRQSFSRPPQVHPSNPRSPTL FEEDELFEDEDEGVFGVKRMVIDYDARSSASETSGDESDHHDAPRLVAQHTGESVIER WRKWEETGGEMRPPEHEEYIQGYGRGDGGRKRNVSNVSAPSAGSVNVTPARRGSETKH SSPTMEELLKARGDDTLEVGDDGMGGATIKAVPPTASATSAPLTTGSVITPSSPYTTP MADTSSAPADTKSVTPTPDRPPGLSSLVEADGENDDTPEATPSKSQTSQPRQTSDGSS RYASLGRSDRRHPREHSQDDAADSDDPEEGEAETGRWESARRVTLRPTRAMTKSISAL PVIPSVEAVESEANEEVRDAEKEVAVKGEVAIQVGKLVEKIKELEARLEVMSTSTPAP PASVDPLPVTIPAPVEPQTFLTRLGLGGGDGTLGYVFLIGLGAGVGVGAIAMRILRGR L198_02748 MSKVQLYVYDLSKGLAKSMSMMLTGKQIDGIWHTSVVAFGREVY YGQGILQSTPGTTHHGPPQEVIDLGETHIDEETYFEYIASLGEMYQPDKYHLIDFNCN HFSADVVGFLTGGEIPAWISSLPSEFLSTSFGQMMKPQIDAMFRGPASERPIPDRPSD QGAAPAAPSAANATAGVSGQGQSGQAGNLASALLQSVAAQAAQNGGSRSAPSPPNPET SPLTLVSSTANFNSILSQHSAVIVNFTNTPSCPPCRVIKPIYESIAGLHAPTYGAKGA RFVEVELGIGQGREIAGQYGVQATPTFMFFRDGKKADELKGAAKKELEAKVESFLEEC YPTHLHRKLYLPAVEGLPKSAILASNQPNYTALLNKLDSLLAGKAAGSLKVFKDEFVP WLEGKKQLSGTEAASALSRWHTATEEMFTILKPEETFPVIDVWRVAILRQPLDSLLSL GLSTASTRPEPITLILSLAHKTFTSAPSTTPKPFILTVLRFLTNILSSSQLANLLLSS GGNAAAGEQVISLLVESLLYPDVGVRTAAAGAAVNVGVWRQKSGKEETANVEWEVEMV SGLVEAISNEEDEDVAHRLLAALGLVIYLSPGFKDNVQPMLQVLEASDKIEKKCRVWK KKEVKKLGEEIARKLC L198_02749 MSSTTAASSAASSASATPSSATSSVASSASGSTTASETASTSTV TDSIVNQTSQTSSSGISGGAIAGIVIGIIGGFVIIGLLFAWQRNRKIRGEKAALPAPR DVSYVPPDMRQRQTSSMSGLASLGGHHRTTSTYSSSMLAPPRASSTYHHSAPHSRQPS YHSPMMTPNSMPVHDGSPPMGPSGSSQSSNSPSMTPQTPGSASGHITEGDRPPLAPIN THVGGENAVAGPSRSGSQFNTPGGSPSNSPRTSLNGFPSPNRGFARSHSQRPMSMNSL SSRSYLHVGPSGGAPHQRPVVLEMPKRLGARPDGSGDFFSSVGRMPESSSGLGLDEMG RVKRNSRPMDDYPTSPLRSTHPGPPSARRPLATPSSEVGPSGSRPPVPRTTTVRTEPP EDPQVVLGRSEPPV L198_02750 MGIEVEENPDYAPGEQPKVRKFRRAAPPTFTDVQQEKIYRKGRL AMACRVVAGQGWGIGCGMQLTSRDPVDTDTIWVVPAGKALAAVKSSDLIQVSITTGEA IYNDADFGYDLNAVSIHRAIYAARPDVGAIIHGSTPHARAFSMQDKPLEMIVQDSCTF YKRFNRLPFSAGQRALSEPQGVSNALKDGKGFVMENRGILLVGATIECPISYYIRMES LCQIQLLAEAALRGRGGDFVRVGQQEVQFTFDNSGSEHHAWLMAMPYFARQDRLTGGA MVV L198_02751 MSTQTSTQTATATSPILRLRGGPPAARSKPYRPPVFATKEEERK HLKERLAASFRIFSKLGYDEGVAGHITVRDPINPESFWVNPYGVAFDLLTVSDLLLID HNGNILEGTGKPGDGQIYNAAGFAIHGAVHKLRPDIHAAAHSHTTYGRAFSVLGRNLD IVSQDAAAFADTVRLYDSFGGIVLDDEEGRNICAALGPNGKGVILQNHGILSAAQTVD AAVAFFVRLEQLCESQLKSDSIGAPKAVEQEDIAAVFAAHGGEEEAFFQAQEMFEWIE HETGGDYKV L198_02752 MPSELDYTDEKNVESQDPKVVAVQVDYTLQDADIDDPNLDDAGR FLAAMALRPDSAELFADYTPEEERAVVRKADWIILPILLVAIMMGAVDKVALGTAAVL GLRADLGLVGQQYSWSSSLIFFGSLVSVFPALFFMQRYKSGKLMGANVFIWGILSLCH VACRNFAGLAVCRFILGLFEALTLPGATLVITSWYSREEAVFRTAIVFSTLSSVTNGL LSYASSFLESSKLKQWQLLYILIGVITLAIGVVMIVFLPDAPYSAWWLTPRQRVITVR RLQNNKIGIMNKTFKIAQAKEAVLDPNSWFYFFINICLNVPSFYSIIVASLGYSTRNT TLLAIPTGLISYAAALIFSYIATKTKQRCFTAIASCFVPLLATILLHVIPRSNIGGSL ASLMLIYTYWAPYVVMNTLVAGNTGGFTKKTVVYGFAYLGYLVGNIIGPQTFKAEQAP TYTGGVIGMLVCYCIGILLILAYYLYIRRLQSRVDKDARNNTDDPAEVAEGAEVWMDE TDKENKSFAFIQ L198_02753 MRASSRRGITIFFDVKVTTWPCSVHLTWAKPVPRPVDARWGFDD WLLFGIILTSFVASLYLSKSRDMAGISAIRDERPQADDGFGPEDRRSHTSDRLKRPEG GSLMQFGPTSLWSYAQDSNQRPTNLTPYQHPSVDLKPGDWIDWARALPQDLPISKHNH DRAISLFETYYASWCMVVDMPAFLRDMELCSLVTRIPQESTPPTRTASYSPLLHNCVL YIGLHLGQDEWPDLVQAMDGVFDKNCAALIMGEAEDPSTSTLRALNLFATCLNVRKDN SARNSGYIYFGMAFAAGQACDHLVRSGQITYDEWELRNNTYWTVYQQDLLRAIASGRP PMLAGQPEIAFPTVNTSTDSTIWHKKKPQDQPGLADGLPSMASTIFHWSARLSCILRK VMDTHLYSGKSEPQGGDSRILAIAHELDNWHIDQPFQQPLSHPVPHLLVSHMMYHLTS IYLFRPYYRSQLDIVPSPAARCDNAAKAITELLRAYDYEHGLRNAVATLIPIVFATAT IHLLALVSNHADITSNVEALDEAAWFMTQLKPSWIEASRGLEVINALRAEWLPEQTAS IDVSQLPHNQAIPHFESEELPKELHEWLLGTNFYDILHGDREMSFGSSSLL L198_02754 MPIKTDIEPVEKVQICVDRGGTFCDVIARSASKGDHLVKLLSVD PANYPDAPREGVRRVLEWFTGETVPRDQPLDTSRIEYLRMGTTVATNALLERKGEKCA LVITKGFKDALEIGTQTRPHLFQLAIKKPDVLYSKVVEISERVAPVWEDFGEGESTPE TYLQAGSVVTGVNGAKLQLLEALDEDKAVTDLQSLYDEGYRSIAIALVHSYIYPEHEL KIENIARSIGFEQISVSSSLQAMVNLVSRGGSATADAYLTPEVRRYADGFSKGFVGDL DGSNGCRVSFMQSDGALCDHRAFTGLRAILSGPAGGVVGFAKTTYDPLDGSPIVGFDM GGTSTDVSRFGGSYEHVFETTTAGVTIQTPQLDINTVAAGGGSILSYSNGLLAAGPES AGAHPGPACYRKGGPLTVTDANVFLGRIHIDSFPKIFGPTEDMPLDYNIVKQKFEELA ATINKENNSQLTAAQVASGFINVANNSMARPIRALTDQRGFGASTHILASFGGAGGQS ATALARVLGIPRVIVHKYSSLLSAYGMALADVAVDNSEPLNAVYGPEIRDTVEQRFAA LKQTGLEQLLAQGIPESSINFEKYLNLRYQGSDTRIMIQEPKDRDFASAFKVEHKREF AFNLDSPLLIENVRVRAVGKNEQEEQSSPFVKDLETLPEVAVAQGAHFATNEIYFETE DKFVTSPLYQLGSLSLGTVIKGPAVLLDKTQTILLHPGNTARILTNCVYVDVGLGARK ELDPTTVDPVQLSIFAHRFMGIAEQMGRALQKTAISLMIKERLDFSCAIFGPDGQLVA NAPNVPVHLGSMQYAVQYQAEVRKGQLKPGDILISNNPRAGGTHLPDITVIQPVFEKG STTDIVFWVAARGHHTDIGGLQGQSMHPESVESWQEGASIMSTFLVRDGEFNIAEITK IFEAAGEYPNCLSARQLHINISDLKAQCSACAVGSAQIIGLFDEFGKDVVIQYMGAIR TTSELAVRAFFKEHGRKTISAEDYLGRSFHDGSIIRLKIDIFDDGTAHFDYTGTTEET YSNLNAPPAVCRSAIIYSLRCLIGTDMPLNAGVLAPIKITIPDLSVLSPSHDAAVCNG NTETGQRVVDVIFKAFEACAASQGTMNVSGFDYKQYGYGETICGGAGAGHSWKGQSAV HINMTNTRIGDVEVIEKGFPVLVKEFSIRRGTGGKGRNNGGDGVQRIYQARVDMRASL GGERRLTQPYGMHGGQPGTRGANYWLRQTATPGAPRRRIKLKPAAAFDIKAGDSVVIN TPGGGGYGAPETDTSKVWKPHVESTNVIHTRANGSLAEYQNLQNEA L198_02755 MSDVSSDTSSITSASETWELITRSPSLLHDDFASFQSNTSSFDW SPLHSMGLKSSSSINTFGKEKKGPGKKSSFKILQRLSHEKEDSSKSESSFVQPPSKKG FLKSIKKINRKKGKDNKQSYDDDSMPASTPASSSPPRPHIQPRRVSEDSFSGFELCSI PRTSFDVMSRFDDAEDDSSFLDTIPKLYLSSPSTASQPSPVQHTTPNSPGDEEPQQRP EIVPMINCVRLETIMEVSEDTSESDDAPVPPDPQTPDTSSAYSLDAATYTDGADGVQS EPIFFGSRHAPLPAGHLASLAFTHILPQGLIPLYELQITWVPNNVRLPASRLNVTRGY GSLSKLADALFKEYHEGSTPYLKSLLADFPKAYDGPTLPQKTEYRAERMQHYFDLLFG IRTRGERYVLDNVYIVPEFFAILHGDVAERITRDEAWGEWEYTLERRIDIERWERENN GQDTVQRAPASLSNSVPRESAQTLRTSSSCESSRYPNNSAPGEDVQSPTRSKLVESGY WENEPLTDTPPSREILNSGEFTDLIESFPLPKQHKPVGVRVIATSVHAPSSETPIRCS SSATALSIDPSASLDVSSDFHSPSCSSDKSGLYETPNSSVTDLGEFAGTGKTDEHVRH VVVASKALATFMSSQPTPEKLVLGEKGVISIDLTTRFFFNTVPVLISTKESWRESLVR VYSALRLPFNSMSLKGDVEVLGAIHLGVPHDEEVELKSKADLERWLEIATEKRIWVED W L198_02756 MVQLEYQPDAAGQESYILCADCGTVISSANGAGLCVGCLRNTVD ITEGIPKESSLNFCRGCERFLSPPNSWVTVQPESRELLAICLKKIARPLMKVRLIDAS FIWTEPHSRRIKIKITIQKEVLAHTVLQQTFELTLVVQTGQCPACTRLAAKNTWKASV QVRQKVTHKRTFLWLEQLILKHNAHKDTVNIAEKRDGLDFFYTERNNAIRMCDFLASV VPIRIKASEQLISSDTHSNTSNYKFTYSVEIVSVCKDDLVCIPRNLARSWGNISPLTL CSRIGNTIHLLDPMTLQQTDVTAPVYWRQPFDSLCTVTDLVEFIVLDVEPSGPVRGKY VLADAQVTRSSYKGAGDDANDDGIYHTRTHLGAIIQPGDTVLGFHLTHTNFNSESFES LEQGRIPDVILVKKTYPNRRKKSKARNWKLRSIAKEAEDVAEGTVGRGALGRKGGVDA RNVERDYELFLRDLEEDREMRSAINLYKAEAQEQAQAQEGEQGEQDEDEAMAGDKKSG SGMRGGKRRQGLAKQAAESAMDMDGDEQMGGEGEEDEEEEEDFPEIDMDELLEQFEEM GVAENEEPQFGWD L198_02757 MGKSVFLTAVTGYIGGTVFQSILDSPNPPSKVTALIRDPAKVNT LTSLNIAKQKGVTVVPLIGSLEEHDKIRDAAADADVVIQSADADDHDATKAILEGLKI RRDKTGHRPLLIHTSGTGVLSDDARGEYPTDKIYTDLNPSPATRLGPELHSIAKIPET AIHRNVDLEIVKADAAGIIKSYIVLPSTVWGYGTGEVFDKGIAHPTSIQLPQLIEIAI KRGRVGVVGKGANIWNHVNIQDLGRLYGLIWEKATVERPTIGHGTSGYYFGVAGDYTL FGAASAIGQSLRSHKALPENAETTPSSFTEEEIQQYFKGSYYSGTNSRGAADRSKSIG WTPKYVDQAQFYQDIDEEVQRLGKVVKASA L198_02758 MSAPHINLRPLNRWSTFGQQTEAMARFSSNLSDLLKPRPASPSI PKQRLPIFDLPPLPPIHDPLVLKTVFTDRSALPRVGDVFDVERKEMRRGFEDLEHIGA SVLVMFLAGWLCDAKPRLDFKLATKLKENIISNVTLSHLSSIYGLPERLDADPTLLPS LQSRCDIRSALFEAYIAGVYLSASSTDGTGSKGPALVCEWLKGLFGVVADWYEESIKS GEKVYVDMAEK L198_02759 MSDIRDISVISTKQLDSPKPHTVYIIQITTPTRSWTVTRRYNDF VDLHTELKSSTGSEPPAGLPPKTWGLAFGKSNEEKVRQRKPLLELYLRTILNTKNPLW RTAYTFSDFLSVPSHSTTASAPGQSGGLKFTPQSWMLEHGAIQTLLRTSRSSLLKRDA LASMSNASGSRSAAVEAKRHLKEVDAKLDVLEKGLKSLQGLGEGEARRREEMVEGLKV ERQTLGRMAEAGVRTAAPPGGASLGGARDGSSNPWAPAGQTIPGALPSGRVFGSKAPP QETEQTRPLDDRQLLQYQNSNMEQQDEQLRGLSRLLQTQRRMGEEIHVEIESQNELLE GIEQGVDRTGKKVGKAKREMNRLG L198_02760 MSKSAAFFDAVATRRSFYNLTKKTTLSPAQLKDIVEKAVKHAPT SFNGQQSRAVLVAGKKHDELWDLVKKGFLNTLGGDKEQEKTWDQKFDNVYKAGYGTVI FFEDQEVINGFAAKLPAYAQAFPVWSDNSAGIVQHIVWTALVAEGHGANLQHFAQVSP EIQADITKFVDVPPTWKVSALLPFGVSTGPAAEKTFAPIEDRTKFFFDEE L198_02761 MGSHSDHRVPEEISDPDIYGDGPQEVEQATPEVKEHSPYIGFAA GICSGWTKLIVGHPFDTCKIRLQCAPPGTYKGVWDVLSSTVTKEGPRALYKGASIPAI SWGITDSILMGSLHNYRAFLLTHGFGERNPGSEEQRLSILGHTTAGLFAGWTNAIPAH ATELIKGKLQLQMIQPENTPKEFSGPIDVVRKTVAEQGITGMWRGLGSSFLYRSCFLA MFGSFEAFNRLFKSWDGTSWEMSSGMANFMAGGMGSNVYWLAALPMDNIKNRIMTDDI KRPRYKGVFDAYKQVWRETFDPSKSFGWNSAARVRNFYKGFTPVVLRAFPTNAAALAV WEGVMRWQTA L198_02762 MSKPRDIQQFLDSYPHSSSNPDLTSNIKFYRNEIPCRPGTKRYE EWVQAHGRDWRHLEWDHGYIQWFFPIREHGVNPRAQPLELHEIEVMKDDPEVLERLLR SYKMILAFYGIDFNGGHLKLAENHKERLSNLRSANHNLLRLTRILKHLSEFPALQPHA APLVLFFTALHSEGLLDFSNSTMRGGSLEGWWSNCFRDVEERKEVRKVVLNRGEYEDG VWGWKAFEAWYEERVKGGKVGFLGKDATQPVDSTD L198_02763 MVSPIYIPDSPLAPLSPRSPHFFPSKSPLPSPFVFKTPVKSPKP SPRSPVPISIGTLWCLLPFLVTALHVLLVPHTKVEETHGLHAVHDLLKYGVTQIDKYD HVTYPGPVAKSMIPSIILLAATYPLSLLTGIDEGPHLQILIRLVQSAMFSAAVVHLSR SIGMYYKSPLTSRLFLLFSMTQFHVPFYAGRTLPNFMALPFVLWGCSYVIRPQQSARG VMQGICLATLTATISRLELAPLAIALAGISVLQGRVSFTRALLSGAAGGFLGLALTNS VDTYFFAPISHLYPYYQWPELSAAVFNIVQGKSADWGVMPGWYYLAALVKLGMGAGPF WGCGVYMGLRGERVERRMVQLVLGCTIAFVGCLSLVGHKEWRFIIYSIPLLNILAARA AAFLWHAYIPSRYLKLKSQKIQAHLCKTIIILTILANVFLTIIFTSISRLNYPGATVG KILVELAHQNHSPTEVHKVYLSASALHSGATLLTLPSSSVSTSLGLQLDHPESAYERC SSPWGNGPQELWDGGYMWVVGDEWKEFERSGGWEVVGEIEGFAGVGRGGIVSGRRLAV LQRTEV L198_02764 MSFDPFRAPTPTPLDIFRNKPGPSLRSLIGQPLTITLTDGRILI GYFTCVDKQCNIILSNAEEFRPAPASLQAKELAAAEGLPMVGELAEETKEEKVWRNRE RYWPKSEPFGGYGTGWGGRGLAMVCLKKEDVVKVEIEKEAWKWMGGQ L198_02765 MATPTATTPLLSSRASSQSLHRLLNRRRRARQNPILHFTSIIFP VLLFAVVAFLTWDVSSFGNCYFQPLCRLLGDGRGVEEGWWRNQGPYAPYKRMGKGGAV VGLPRGCEVDQVTLLHRHTARYPTTSAGKCMLSGLGKIKNREVRVPRHHPELSFLNKA DLDLENWHFDGLMDQGRKAAWDSGYQIAGAYLKFLEQAEGVFTRSAGGERVVETSGYW LEGFRRHRFSRKELSKLPEVDLIIPEGSSYNNTLSVHSCPAFESLSPSPGEEAQAGLY PLLQPTLDRLNSLLRPFPRLEMEELVCLADMCGYDSQVRGLSWGGWSKWCAVFDEDEW EVMGYMKDVKRFYEVGQGSDLGATMGAGYVNEVIARLTDSVPVDRTITNRTLNEDEVT FPRGGQRFFDFGHDNEMLETLAALGVLKQHRSLTTSEVPLKRTFILSHIVPFGARLAF ERVSCDTGNWGIDPPDEEPETGKEGTKSFVRILVNDKIQPVIHSACEQSVLAEHGLCE LDAFIESQYFAREGVDWGVCYADDRK L198_02766 MLLRRCRAIFRAGSRSFATSPIRLAPKTPRKASKSATPSFDLSD IQSTISGFKSEPLASWSDTSTVSPSRRAKKVAHADPLEDGQQIAKSTWQVTEQDEIHN AIQSGIIPDTALAHEIFLNWKRFPDCILLTRSYFEPARKLASILSLTLAEKSYGSTSK STKRSFPFAGFPVVGLDKYLKILVQDLGHTVVLVEEFDSEGAVAHTGKKLTAGAGPKE RRVYRVVTPGTMVDEGWLDSNESRYLLAVAIGDNANEGGCEISLAYTDASTGEFFTKD TTLSQMEDELTRIAPREVVLDASLKPRWQELTSSVQSDKVTVSDELLTLLQLLGVHVS FADPHNPPPLWTSASPEAFPISSTEDFSIALLRHHLQYALRDTMPALSAPDKQVNSAS MQIDAATLQALEIRHALRPGGLQSTGESRPSSSPLSSRGTLLSTVSKTITPSGHRRLI RTLTAPSTSLPAINSRLALVESFVDRDDLRADLRDQLKGVGDIMRIIQRFRGQRGTGR DIWDVGKWIRDVQKMTDVIKMETSIETNITREGETVSGGVQRLKDFVQSFSAIEDIAA KIESAIDEWAVMSKYANTNLEINEESEGLGDGLMEGSKVTETDAEKKERIKREREEKE RAEWWIQPQFNNELKTWHKELASLKSEMLKLQKNLAKKHGTPTLTVERGVKFRYHLQM SKDDANKVAKARSLERMGSTTGKTAFFAHGQVTALGHRLDLTTENLANAQRRAARDLQ NMVVEYADDIQRNASLVDEMDLSMGFAESAVQMGWVKPVLDEGTGLHIENGRHPSVES ALLSSSRLFTPNSTSMCPLTHLHVITGPNQGGKSTLLRQTAVIAILAQSGSFVPADKV EMGVVDKVFSRVGARDDLWRDRSTFMLEMVETAAILKHATDRSLVIMDEIGRGTTLQA GISIAYATLDYILQNIKCRTLFATHYHELGKMLGYRRDEKEQKESLGQEGIAFWCTDV DELDGAFSYSYKLRPGINYDSHAIKAASLAGMPESFLQVAEDTLKSIEKSSSK L198_02767 MPTKPSVLVVGAGELGAATAVSLLRAGKFGEVTVIDRAAELPAL DAASCDINKVVRFDYVDADYSALAKKAIDEWNKPEWKGIYHQAGVVVRGLHLQDHHGE GMRKTLKNVQSQEPRVRVLNSPEDFVQLLGPDPGIKVNPPSPEVRGYHNPSGGWANAS AAVLKLYSFLSELGGKLVPSADLVELLYDENKKDVRGVRCADGRQFFADKVVIALGSW SGSHPALTGMMPEGLITATGQTIATVQLSPEQAKRYANIPVSMHHDGSGYYSFPPNED GILKFALHRAGYTSETGIPRTAADPKAVAYHKDNEVGWIPQESFDSLKNQLAIVYPEI ASLPIAYTRMCWYSDVVDGDWVIDYSPDYPSLIFATGGAGHAFKFLPIIGDLIRNRIE GTLDPHLAHKWRVTRAPKLEDPARVGMLRKPLDLSSLIKKDELLGSTSVAKP L198_02768 MVSTASGVRVIVKGGVWRNTEDEILKAAISKYGKNQWARISSLL VRKTPKQCKARWYEWLDPSIKKVEWSKTEDEKLLHLAKLMPTQWRTIAPIVGRTATQC LERYQKLLDDAEAKDSEEFGMGAGEDEASKPAADARGLKAGEIDTDPETRPARPDPID MDDDEKEMLSEARARLANTQGKKAKRKARERQLEEARRLAFLQKKRELKAAGINLRAK PKKKGMDYNADIPFEKQPAPGFYDVTEENAKVYSAPVGSSLRALEGKRKQELDEIDER KKKQKKDDGKGNQTQQFVAAREAQIKKLKEQEQVIRRRKLNLPVPQVGERELEDIVKI GQVGEMTRELVGDGNAATEGLLGEYEALGAAKTARTPRTAPQRDNIMDEARHLRQLTS AQTPLLGEDSTPFQGGRAGTGFDGATPRHSAEATPNPLVTPAHGNVLGPSRTVTGSTP MRTPRDNLSINEDASMYGETPISEKQRLAASRRALKAGFASLPKPENNFELAEDEEEE EGEEEVELLTEEDAAERDAKMRAAREEEERRELERRSSVVKLGLPRPININLQNVLRE LNSVEEAIDAELTDAFQLVNLEVALLMQHDSIAHPLPGTSIPGGTPSDYDMPEDEFVA EAKKAVHAELAGTMGLPGASEEQLRLAIGSSAEEDDAAFADAWAKQREELIYSPSTRK WVEKASLSPEQLSAAYTHAISASRDRVIAEATKAAKAEKKLGKQLGGYQAINGKAKRG ISDVLEEIQQTKRELETFLMLKGIEESAAPARLEKAREEVGVMERRERDLQARYAELN DRRRAALASIEQLEEDKIVLAAQAELEAQGGEVEGDVAMDGGEA L198_02769 MKSLRRSLNHEKSNGSPQPSPPLPTSQSATPHVLGRPSEKVAPP QKVIKALASHQSTNPSELSYNKGDFWYVTGERGSWYEALNPLTGSRGAVPKQDFEEFV KGGKHPAGAKSIDVGSSRPNTPSHLQNSLQNNPRSPGPGMVSPPMSADSARSSKSPVY AIVQYDFQAERPDELEAKKGEPIVIMAQSNHEWFVAKPIGRLGGPGLIPVAFVEVRDP KTGQPVSIEPNSIPMVEEWKKKTADYKAAAIPLGKFDIGPGVTNSPYAPNPGSANESQ TSLSRTGSTSATLVNPVTGSASTSTTPAPTERSSRRASYVPVKNEMLPPGNLTSMSVL SFHQENGVYWFRLNVNFVPDDPTASAYSFGLYRSYEDFYDFQIALLDTFPYEAGRPGS GNGRETPERILPYMPGPVDYEIDDELTEYRREELDVYVKELLDLKSKGAGPVVRHELF RTFFATRHGDHYEKISREDAVEELQEQLADVQLDPRLSGGYSPSAIRSQSAASRHSQT YNQYRHSPQAYQGHAASRSVSSRGASPLPQVETHERSDSYGRPTSGRQSGAAHYVSGG PSTGGTASSWGINNGPLSASTVPTAPGTAPQQAGPPPYIKIKIYDRATDDLIAIRVHS AVTYADLFEKVRARLGPGVSVLRYRVSMNGQEGYRELRDDAELSGWLRTEGQKLVLYA EQ L198_02770 MTTLNQQTSYKPSFDEDDKIAASVEIQAVDEDAAPSVPEDVRGL TADELKAIEKRIVRKADLIIMPVMGLLYILNYVDRQNLSAAKLQGIMTDLNMDTQQFA TAVSILFVGYLPFQIPSNLLISRLSRPGMYICCSCAIWGVLSACTAAVQTYGQLLAVR AILGVVEAVFFPGAIYLMSAWYTKNELGKRIGGLYIGQQIGNAFGGLIAAGCLKLDGT RNIAGWRWLFIVEGAATVGIALISALILPEYPHNARILKPLEREVAVWRLEKEAGAAE GNETTGTWAGFLRSFEDPKLYMLIFCNLMSQMQGSIANFFPTIVNTLGYSSNITLLLT APPYIFAAFYYMGLTWWSDKTNLIYPFIVGNIFLATCTYIVPMATLNIGGRYAAMMLM PCTSVGPQLLLYKTINHHMPRPVAKRAAAIALMNSIGGTANIGASYLWFSGPRYFAAF GAFIGAACLFFLTITGYKFYVKHENKMLDGTPEQVQKAMKRGVTREQVAMEWRYESF L198_02771 MIAITYPTAHTITVQNPRLQLLNNLRNKVPALMTFIAIPSVRHA QIMALTGLDGVIIDCEHGHIGDDQMHNSVSAISALGVSPIIPVRGSQPDLLKRALDTG VHGFMVPMINTAEEAASVVKYSKLPPQGLRGQGSALPAIVQIETREGVENVDAIAAVP GVDYLFVGPNDLAQSLLGYTPAKGDEPVFVEAIAKIVAAARKHGKWVGRLVNDGPLAV QALETFDSVAITGDTKAITN L198_02772 MSRELISNEEFPTKPHNCPAVKVPGLVFCAGQTATGEIKQATLK VLTNLKKLLELSGSSLDQVVKYNVYLKDMKDFAAMNEVYIDFLPKAMPSRTCIQAGDL PGEGTIIEIECIAQV L198_02773 MPMTPKFNPPPTDLPGKPPVKTWVPPPITQETKGFAALTSIDLS LLDSDDPVVVDNLVQEVKRAIREDGFLFLENYGISLEQLHRQFSLAQYLYDNITDDDK KRLLFYPETGLWAGYKHPYGFKRHQGPKDGIEQFNWYTNEWNDYSRYLTGSVNRRLLT LFSRVLELDDDYLWDNVQSQGSPTGEGYFRHALFRPVEKTTEEASKGLRMHGHTDFGL TTLLFSLPVSCLQIWGKDEQWYYVPYKPGALVINIGETLEIVSGGHFKATRHRVYKPP ADQLKTERLSLVQFNSSVGDLRMTPAAKSPLIQREGCVEEQGVYKEFKRVMDLGVSVP TNQQWREIQIAEATDPTDTERNRVGSDQVVVNGKLMQTREYYGVKVLLPV L198_02774 MSLPSPPAPNQADLEKAQPFQCAVCQRRFTRMENLKRHAKLHDK TTERPVFPCSECKSTFSRADLRRRHVTSKHGDESSRGKSTSPKDSSYKAVSIIPEQLP DALATALYQQERSIIDRFPFADLGGPASVQYQDTNPAPIDFRRQSLPSTSSSTTSLLQ RLRLSQPPSSAIPISVEDDTLHPMSIGPAVQSFFAYAPHMFPFLHQPTFVSESCNPSL LFAIMCLGLHTAADSLTDHEKAMSCYRAGLSGLDGTLEKAGNLKPSEVLPIIQAHILL EIYAILALCGEHTSRGLNLHHQAIQIARKAGLMEPYPTQPSSTQDLDMLWRQFIKGES HKRTLYALYINDSTWYHFLSRPRSLSHLEIKHELPCRSSLWDAVSATDWAHRSLVAST DTACPRFLDTIRRAFSSNLDASLQVDSYGASLMTHFVLSSVREMTGWSTMTGRSCFER FESLHTSMVKLEPLVSVPNHAQKSPTTAAAEATWRMSMIELLLWSQSHTGGLVEDSID GAMAAIAMLGSNPMLDINPEIIQSVEHHVNWFLLYLHRTGPDTRYETPFLSFYLFKAA VIAWQIVRSGGSGPLETVGVSDIDSLLGWIRAMFERRVRWGIGKIVSKTLAELQSQSE L198_02775 MPPKKEAKEKQVKGDEAEEMVLNYMRDINRPFASADVVANLKNR VPKTLAVKILATLAEKGQLTVKTYGKQLLYLYNQSLLSVLDKSELTSLDENIKAVQKD LEEVRKILKQQQSELSTKASLPKTSELGKDIERVQSEVQSANQALEPFLNTSGGKPAI TPMSAEEIKKIDQDFAKWRKEWVDRRKVYKNFLDFLAENGQVSNVPVFEEELGIVDDD ETAKKLEQSEMCRPAVVSKSLPRKSGPSKGKTELKRSGSDMPAGEGKKKIRKDKA L198_02776 MARAQLTRSRSTALDSYVAELGNDISYDKSLSSSRFLKTILARH KYGPIVLKIFIKPDSAMSLRVIQRRLKTERDALTSLPNVNTYQTFVETEKAGYLIRQW IGSNLYDRVSQQPYLAGIEKKWIAFQLLTALRDARNRKASALRPSVAHGDVKSENILL TTSLTVLLTDFSASFKPTFLPLDDPSDFSFFFDTSGRRTCYIAPERFYTSTSRVADKK RASEADAKGDVFGKRDGRVTEEMDVFSAGCVLAEMWTDGRTVFNLSELYAYKDGSLGL EGILDHIEDGPVRDMISQMLARDPPKRPSFDLILSTFREIIFPEYFYTFLEDYFNTLN EVAEASSVGAHADVGFPQRSAGQSGTKVDKIWDEWESISTYLGAGPSDNGPALLILNM ITSSVRHCLFPSSRLHALKLFVNLLPFLLDEDKVDRVIPYIVELLSDDIAVVRAEACQ TLVEVVDNVETITPQNATFIPEYLLPQMRHLATDNDTFVRASYATGLVKLANAAVNML EMSQAAKGSVSAHGTEASVIPDYDSMLLEIQSVVEEQATTLLVDPSPYVKRAMLSSIS DLCLFFGRQKSNETVLSHIMTYLNDKDWMLRLAFFDGIVGVGAFIGLRAVEEYVLPLM FQALADPEEAVVARVLYSMASLASLGLLARMRLWDVFFAVKGFLFHPNTWIRQGAVDF IATASRNLPISDVWCVLYPNVRPMLHSDISDMTEASIVSALLPPLPRGTLTAAKAAAL QNTPAGFWQVTPVTLSTKVDLMKSAQKPSQKQGKLQDRGISATDEVKIEAMKEFIVKQ AYAARAREGADRPTVPEVDLASAKSVSLTDLGVTPQTVFISPRTVGVDSRDDLRRLPS QTGIAGSRRTSFASKSNRGPVENPLEEIRKKLAALEPISRPETPQPPGEPPHSYVDSN ASPSESGLSSTLDIAGMTRNSKRKVDSKAAPAIGASRTNAVGMTTIHDDPMSGRTTPN AAAMPQLGTGGASTPKATAPYASSYEGHDPGVRAFLEQIDLDNYREPLLDFGPKVTAS HKKRSARVKSSSSSPQGVTMIAHLTHHEQAITSIVTSPDSVFFATSSEDCQILIWDTA RLERSVTTKPRQVYRMDAPISTMCRIENTHCLAAASEDGQLHVLRVHVSGGSGGANAR YEKVERIRSWRAQESQGHVKHVSYLSGELHLLFDSIITDATTDSSLLLITSTFLIAIL DIRSMSISKSYQHPLDLGIITAVCPATHWLVLGTSTGVLSLWDLRFGLLIKSWAAGGA VTACKIHPARGRDRWIMVSVAPSTSFMPSPSADADRPDEAVSRPLVEVYDLETSRLVE VYEVRSRRPGKNFDPAPDPQEVTPDRASLIAELAKSPPSLPSPKTVDDFDASTPLPAS PRTISDLIVGQSWASLPKAEDNMLMSVPEIGAAGKERDLSGRQGWMISAGEDRAVRYW DLVKVQGGFVVCGSQKEKDVTFRQTADAARPTMFYTLPNVHRQGQPIPRDKEKQVLRP HYDAIAKLGTLETPFSSCVISGDRSGVVKVWRMEGAPTGLR L198_02777 MAPTRISALRLLAHGARRAVPRTSPSADRYLAIHHFFTQNAIRP TPQPTLQSISRRWQSSKPGSSSSRCDDCTRAPPPNPDNPSPSQPGHAQDYAPFIQRLI QQSKAITPNSPHRPSKEQLLDAANGWWQRMRIRLKWFTIRGWRRFNTDDMSAFASWFL VGNTVWILVGTTTFVSVIFALANSLSLAEYLARWLSDYMTANTGVTVIFESAIVPKWG SSLITFRNVYVSQRSSQSEPASPSTKKKIKAATAKPPAPIPFLSSALAPEAYLAAPPA PPEDNYTMYDINIDQVEVSLSLMRWLDGKGLVKDVNIKGLRGVVDRRSVWWDLSKPLD PVDFRHATQPGDFEFESFTMEDALVTIYQPGGQRPFNVSIFNAVLGSLRKRWFFYDMM SAEGITGQFDNCLFSLHMPQKLGKVAEKEGSKVKRMARFRIDGLPIEHAQYASGYVPP MSWLTSGKLDAVLDIKFPHHPDDQVDLHALFAEIGRNVATISHGVHPDDPDDEKLKKA IEAAESSLLVGVIPGQHRLARPALRAPARALARAKKEEEERRLVVDIDLRFRDLKAAV PLYTTDLSVTNNALFRPIVAFINANKTLVPIHCQVGADLNDFDGSWTLFETGLMTSIS DQVYDALAHHVSSEAANSKRLRQVSVWGIQRGAEALVDILRGVVDPLHAQMASI L198_02778 MKNKNKSAKVQNYVNTVNKQQENAGKNKADRQKEKEREKAAEAK AAVAARKKMEAALAGPAQVQKIPFGTDPKTILCAYFKAGHCEKGTKCKFSHDRNVERK VEKINLYADTRGEKDKADTMDTWDEAKLRDVVHQGEGRQKNATDIVCKYFIQAIEDKK YGWFWDCPNGGDKCMYRHALPPGFVLKADKKKQDEANKKETISLSDFIEVERHKLKLP LTPVTPESFAKWKTNRVEKKKAEGEAMEKAKVAQRAAGKMTGMTGKDMFDFGGELYAD FEEADEEEDDWDISRMLARYREDENAYAFDPTKGQFVNERTGEVVDDETVKEATRLLE EAKIQQADNEANAALEAADA L198_02779 MSISRQVAKTVFAHEVSEGAGARVRRSIGTKELRNLTPFLMLDH FTIHPGAGFPDHPHRGMQTLTWLFRGIFNHEDFLGNKGELRAGDVQWMTAGEGIVHSE IPWFDPDPKKREPVEGLQLWIDLPSKLKYIKPEYYDRKSADIPVATPVEGVKATVLSG ESHGTKGTVTPAGGAWYIDFKLERPGVSVFQPLPEGYQAFIYLVKGKLQIGEDKEQYD KFNLLVLSTEDGQSGVRLTRPADAGDEDVHAVLVAGKPLDQPIVQYGPFVVNTQQEAR QAIFDFQTGSNGFERAPGWKSDIAKEFDRQYR L198_02780 MATPSTPGESSLATSIYSAKSPADQPQHNWHFSTLCANVDSKDQ YGASSTPIYQTATFKGMDGSYDYTRSGNPTRSALENHLARLYGATQAFALSTGMTCLD TILRLVKPGETVIAGDDIYGGTNRLLTYLGTHGGVDVRHVDTTKVQKLLPHLGAGNKV KMVLLESPTNPLLRIADLREIADAVHAASPGALVVVDNTMMSPYLQRPLELGADIVYD SGTKYLSGHHDLMAGIVAASRTDVCKDIAFLINSVGSGLAPFDSFLLLRGVKTMSLRM DRQMATAQLVALYLDSFGFEVNYPGLKSHPMRDVHYKQATGAGAVLSFKTGDKELSER IVGGTRIWGISVSFGAVNSLISMPCLMSHASISPAVRAERGLPENLIRLCVGIEDPRD LITDLEHSLLQSGAIVPNASYIPVTHSKAAELYAKDTEAWILERAKGFKRPSDATVVD KLVTGVKQSLGLGEPSKEYQSIKDDIVLSAPGKVILFGEHAVVHGVTAIATSVDLRCF AVLSPRSDGKVALDVPNIGVELEWDIHSLPWGLLPVHPRSDKRVADKDLDAALLQAVE GAVNQHVEVGKTGIGAAVAFLYLYMVISGGESNAIAATFTASSNLPISAGLGSSAAYS TCIASSLLIARQYISATVRSPEETDLVDSWAFLAEKVLHGNPSGIDNAVAVRGGAVAF TRSVGGRQGGLDGLHQFSSVRLLLTNTLVPRDTKSLVAGVTNKRLAEPHVVDPILDSI QSISDEARALLGGAQPVPRQELVKRLEGLIKENHGHLVQLGVSHPALEMIVASTGQEP FGLATKLTGAGGGGCALTLIPDNYPEETLTQLIQTLEGHGFQPHLTTLGGPGFGVLVS SESSDAVKTPGSGEGMVVPKRAALRETSKEGLAAWAENLGAWVHA L198_02781 MPFYTTFEPSFDYAFPAQPRYTYSPFYAEPTHHYPFHTRTPTPT RQPVYESDSGLDDEEQAVLAQLAAIRQKREQLKATQAREAARAAKAKAQREAMIKAEL AQALVREQEKKRKQEEDRKREEEKERRAIFSRAMELANVQREKEGLVQAEGRKRQQNG AIKSDSPSHADINNILSALFGINLAPSDEEEEGESEHEDAEVSVPILSPQCTSAACCK SEKPTNTDNEAVVKSQLAQAIARKEEIEQEQERKRKQDEEKQEEERKQQDDVRRRASS QAMEKKAQREKERLARAQAKNRQPAHNEDLQNLLKAFFGVNPPTSDDSEQSDVEFEAS EAPRPQRRAAPVKSTNAPAPKAPAAPQPEATASTAAPEPSETLSPAFTILRDMDTQLS QLKSSFTFPSHLSFAHSTPNGQTPPLLFNRTNAPYHAQTNALLQLLLHADTVISEGQP EVRKARKEMVKKVEAEIRKMEEKRDEIWEEVRIRRESGEEAEPDEDEERSWSDCSSVV KKQ L198_02782 MSSIAQSRLPSQAPESFHPRQPSLRRMPSARDAVSNFKSPLARL EQESRSAKSHAGSSRNASISSAESEAIAPPVPALQFNLFRHNSIMSIDSLASDYTITQ SDEGGEPEADEEEEEAPATPKASTSGQQGYFEGGRATPTNSNATSASKTPSSWSNNTI ASTATTVPTKPPLAPKRSFTVPSRPSSPPIEPTQKAAPFVLGPVPPRRTQSQVRADAL AKDAPAPHRPEETEVGEDWASIHGEQGSDWGDDESQFEWLDTDGAPQATNGIDGRGGV RGLSPSKRLSKLKTAMSKSEYSESGKSGKPKKSKPLVLPKRAPPPPPPTAPAPLIREP LSARLPPSPTKGGWKMTKQNSQPSMPGRQVEGLPKRSDTLRGEARPGVNARWAERPGT SGSPQTSNFSAQQHPMRPLMPPLKVPLKDDGPSTSLGTSSGRQSHLSYQSGYSFYDLD GGETESSPSTPKAGCDSDLVFPKGKYVKVPTSALEVRERTVSKPATRTPTTPTASITG RSADELVHMGIEARGKGELPKSAYYFMKAAEAGSPTGRMYWGLALRHGWGVSIDDRRA FVEIRQACDQSLAEGGLAFHNSPGQMGLTAQQKKHMARDLAMGMFETGNCFLGGVGVK KAPDVALQYLRFAANLGDIAAQEQLGYLLSKGSNGVKKNMKEAAKWYRMAVAQGSKST VGLSWIWKDKYMV L198_02783 MSSAQDATASTSRQATVQRKRTNGSTNRDEKQFIGQWRIGRTIG KGSSGRVKIAKHAVTGKYAAIKIVPKGLILNSRMSMSEAGAKADKVLLGIEREIVIMK LIDHPNVLNLYDVWETSSELYLIMEYVPGGELFDYLVKRGRLPVSEALHYFQQIIHAV DYCHRFNVCHRDLKPENLLLDKDKNIKVADFGMAAWEAGDKMLETSCGSPHYASPEIV AGKAYHGSASDIWSCGIILFALLTGRLPFDDDNIRALLQKVKAGVFEMPDEIKDPARD LLRRMLEKDPERRITMSEILTHPFFVSRPPRPIPGRARVTPPTFDEVERPVNSPEEID PDIMGNLKTLWAGAPDDQIVDALMCKEKTWEKVIYHLLIKYRNKHLENYNMEEEAYLE ARERREARRQSGPSPARRKGTANQAQQPRLAPLGENESVANTPVKRPQAPTPNKASRA KSPMESPTPTKGVQQTRGPAGPRPPTNRGNSANSTASDAPTIIFQGATPTKEIPTAKV TSSRPTSEIIAPASPIINAPQVEDVNLQTFLNQIADQMNRFSVRSSVASQSSETSDAI LGSDYQACLAFAAGITPNSAPPARAVAPDTQTEKIEEHGQFEDATDDQTDGDGVSIHS TFTASIAPPSPLAGLGLGAPSNRPSLHPVSGLNQQRWSYASSQGSSYRGSSAGSYQPM ESPQFSYSPMELQTPAFQSDRSAPAPPPRATRPAPTPASRPLPLSPVAYEPVESLLHR DNSYVIIDNAELPSDASMSSWGSRSSGGFKARGGLDGFGMLKKNKKLTVDPTGYSSDN LGSPSATSSVNSPKRSWFNNLFNFKPATCSLLSHDNVATTRERVRRVLSSLGTRVAVV ELDGHKALKCKLDEVREDGGNVTARGVRFRVEFSRASQTTGGQTNTLVTLTQEKGAES SFRVCFAELKHFMDSQPSAPASLSLGSRPAGQTRSYVPPTSPLLSAPPIRHPASAPTT PVVESHPRFGTPYLSLSPQPPTQLPSF L198_02784 MDMVELGMDTAWCLACSKQLDNPKSTYCSDVCRHQDSLPLDNAL DVAEITWPSSMASHPTEANGDRPTVQPYGPLEEVLTGNQFRGRRAYSFPAHQTQEAPL LPGSRRQTQTQETLQFVRKSHRVSATLSHNVSPHLGPVVASRASKGFGKLSKTTGNNT PLVPDSVFCSNSESSDNECVGQSPRNVARRMSSKSTRGDYLSETIRLPRAPVPRRFSV NLMMEPAPPRARPALEPAHTLPIAHKPSSPVARMAATSAGSRSREDIVSWLNEVKCLP NQADGDTDLSFNRHDTITPTQERVEWVGENGLSTTPQGRFGSALAGLSTIRGFSAVRA FASAAGSNPPTTTVPAAIAAAKNPEMPSTIQATPSQAEVSRVAVTAVSTEHDDSGYVM SHVGATPTLSTISLSEVVDPLTDGGENVDFFSDEQSANGDYSYVQRRLSAAITGPNAP NAPKSGDESAKLADPPKASSAIRPLTNTARAIWSFSNYLRSFAPLSISSVAPSPRKAE SPISPTSSAPAKPPSPRAYTSPASILHDQPKDISIAPMPTAHQPPPPEPESPVKEMVR SLPMNIVHPAGMQNVALERRVQREQEAEMGMDAHWSPSKSRSRARGSAKGSRVRAASP SRSGSRGRSRGRRSRAREMSKVRGSHSRHGRKVSYDADASGEDEPEDDDDARGRSRRP RGLRMESMEDDRRGRDRTVKA L198_02785 MPFYTTFEPSFDYAFPAQPRYTYSPFYAEPTHHYPFHTRTPTPT RQPVYESDSGLDDEEQAVLAQLAAIRQKREQLKATQAREAAGAAKAKAQREAMIKAEL AQALVREQEKKRKQEEERKREEERERRAVFARAMEQANVQREKKRLAQTDAHNRQDHR AIKPVSPSHTDLNNILSALFGINLAPSDEEEEEESEHEEAEVSVPIFSPQCTSAACCQ SDKPTPTDNEALVMSQLAQAIARRQAIEQEEENRRNQEEQERKQQKLEKRRAFVQAMA EKNQQREKQRLAQAQAKNRQPKPISHDNQDLQNLLNAFFGVHPPTSEDSEQSDVESEA SEDPRPQRRAAPVKSEVKATAPATANAPVAPQPETTSEAAETLSPAFTILHNMDTQLA QLKSSFTFPSHLSFAHSTPNGQTPPLLFNRTNAPYHAQTNALLQLLLQADTVISEGQP EVREARKEMVKKVEAEIRSMEEKRDEVWEEVRKRRESGEEKEPDEEEERSWSDCSSVA KNQ L198_02786 MVHLASLLFLPLALAAPAQDIPELSLNQWKGIQNGLTNRISSLG SWSWNKAEEALSDLGGQDKTELTIWQNLKEDPHSFSKLVNIIEFEGHASKYLDDKDAQ ITFFAPNNDALTPPHHEDDDDDFEQLLHNPSLASLSAALDRDPSLTSSSKGHDDDGDE EKKRKKEIFRKIAGKVLAYHGLPKAYTVQELGQNSTFATALKADDGSYAGLHRRVRIE KNLVPPSIRLNFYAKIVASDRKARNGYFHALDHPLLPPGSILEELFLFPDTFSTLTSS VQKVHEAHALDYGYDREHSEPGKPKFYGNPLATLFAPSNAAFHDLPDKLKFYLFSPFG EKSLIKLLAYHYIPHTLLLSEAFHQEKHEHKGEVLTVGNDPSFHKQFKIHTGLPNATV EVEIEKVKVLPIEGATKTTIKVNGEQVEVIDVPARNGAVHVLSKLLVPPHEHHGHHGH HDHDQDLSAEDSWENWEEWFPQWVEAQDE L198_02787 MSLIPSFRQASSTATRGFSRSIRPSRVAYVPLSSSPLAFTRHAS TAPKTKSKLTEDLEKKVEAQKAQMARAEKANPAMSFGTQTLPVFEPFLDPPTKLPEFL HRVWNKVNPWIKVDPEAEEFRRERYSYTVQTIGELMAKRALEEYRTSFLVHTPSPARH MWLWMRGPGAASKTVKAFAQKYYEYMQCQASGTIGQALALSKDEAYKTAQHIIRNRKD KLTWQLVKENKPPVLLSARMTMIDPRDMKIGAQIVVAFDTQQALITHKSNGTATRRTR RVEEMMIFERLIPHRDGWKIKGKLVPKENIVTQVPSSPAPSYHGIEK L198_02788 MSAPNGGPSGPLYMQEAHVDAQPLPVFDYHSLGGQDPSICIDNG THSWRAGFNTTPGPYIDTLNQVSKYKDRKSGQNVLLFGSDAEADASSRSNTRSMYDGD IFTQPDVLEGALDYTFCKLGLDTPQVQHPIVMTERLTNPLFSRAMTSELLFELYNAPS VTYGVDSLFAFSRQKKRDGLAINLGHQATTVIPIFDGKALIGQSKRLPWGGAQASELL LKLAQLKYPLFPTKVTPQQATFMYRQTCYFSSDYESELRTLEDPAKLSAMTRLVQFPY TVPETVEKTEEELAAAAERRKESGKRLQEMGAKKRAEKLAATIAELEEYKLLISDKSS LKKADYINRISEETPFDTEAQLESWVKKTEADVRKKQRKDLGLTEEPEEEPTFPLLGR PDGELNEEEIKEKKRQRLMKGAWYARQKIKEEKRIERERLEEEKRKEVEERETNLTGW AADLKEEHEDVINRIRDREKKKAQLGDRKSAASQNRMKSLASLAQEEKAPKKRKKGED DDGFGMDDSDWAVYREIDGGDEDSDAEEDDQTLLESIESRLLQYDPTFSEEHTMFGRA EAKNRLLNAFVRGGSSEKYDPEDLAQAHQLHLNIERIRVPEVWFQPSIVGLDNAGLGE AAGWILNGFSEENKKRLMQGIFLTGGGVHTPNIIPKMRNILTPILPFRAPLKVVSSLN GGDPRLEAWKGMAEWSVTEEAKTSRVTRQEYEEYGAEWLKEHSWGNVAI L198_02789 MLAVKSLLSPKRHPPSSYDGDLESNWENIEFYPEDHVYYNAYND ASRTLNHPNETLNIKQASRWGYCASRESTPRKRTKMSHVPYISPSHKKLSSTFVDDDD NHDSYESTSSLKSLLAQKQVTPNLDEKMDSLQPGFILPPPRKSSICHQMGAKKTLPEG LLVSIAITNTGIFNNQVIFRVLYTYCPLYESPPFRVSTYRTFYELYDYHLRLYRDQKG EVDEEARRRMLDFPRPIDGLDGWEKTDYRFKGLNLYFTNLLRVPVTIGTSHLLDHLVT RQFLAVRPGDRVEIVGKAQMGLDWESTLERKKMAKKGLENESRVDAVLREDSAITPAP QNASLAVKPSTPAERHSVQPILAFPIPFAPLSPRSSAPASLQSPMLEDIQGQDSAPHT PTHRSIVYSVTSLSPQTPTTPTAAQSVAIIETAIHNPNHDKELLPVSYTTQDDRRVDD GLGFAHILLVERNTWKTWQVLVDVDLPWETIFGRLYEVISAPLGSKMLKGLVHGGSKS GEIHAREILLSNGKVFAEWVKEAQKFLLLTIWVEESR L198_02790 MSASFPSLSHSHSAFPLPQVPEDTLHYTIHLPTSYSDPSATALL INQYVHTLLRHPWLWNKDSWELKVADFDSERSSEGPKLEGRMRVGDAVDDEWLVVWLL REVSRKWPELIISIRDTDGEFLLIEAANELPSWVSPDNADNRLWLQGAHLHLIPLSVR SAAAPHQLSDDMDSDPAIHLSESDALRAVRTGKYPASKAVEQAVWQRIAGYPDAMRTH LHRTNIYLPLAVAKALRQQPDLVQKAVEAFYVRDPAQLRAASRMTHFPPSPAVLTDIT LTRAAYAQLQGQVFHAPRVFGPEWHVGDGADQGSESSPEKLDDQRRWRDLGVKVATGF EIMYREGGKSSRTGAQASNEVTQGPEYQTFLADLKRSGWFGEELEGSQKWKEREEEAR KGYAEVKSADVASQRPSFAYLVDNAVSSSTLSPEDLTASADTPEDDDKWLEVSPDELD GLMLRASGQDGTKGAPGNKERMEVGEEHGQMLGDLAKKVQDFVGGQGDMQGARFLDEL SDEDMMDSGSDSEEDEELQAHKKQLESEKQSRLSNLVPNLAAEDWGHKTSKPPAPKPN TEPAAAAQPTQTEKKANPLDFIPSTMRPPRFAKQEFDGVVSDSDDDDEDDGQLPAEGT WGRKIAQMKWGDFPPVDGEDDDGVAKIEEIEEDEDEQGRKEKLVLEDEALEEQMKRRV WGEDEAEEDEDDEMPEDIEDVEMEGEGDETQKFLDFSREALGISDEIWEGILQERKDR GSFVPELKKKEKIAAKEENRNFEDKPIKAGQGKKVQFSEEKVKVAPEPGQPNASLDSF DSVMRAMDEALAQSRPSAPAPAPIPGSKAPKKSKSKVSSANPLPPAPPGEDIDFDNFD EDDLLAMDRELRSVLVGAGVDPDALSDDDDDPEEVGMLDGEGRNEYEMMKNFLESWKS QGGESGAVGNLFGRLAQDKK L198_02791 MPMVVMTPDTGPERQTGRKAQTANIVAAKTVADVIRTCLGPKSM LKMILDPMGGILLTNDGHAILREIDVAHPAAKSMIELSRTQDEEVGDGTTSVIILAGE ILAYSLPLLERHIHPVVIIRAFKSALSDALDTIQRVSVPVDITSEQQMLALIKTSIGT KFSSRWSDLMCQLALEAVRTVAGGDQVNIKTVDLKRYARVEKVPGGEIEESRVLSGVM INKDVTHPKMRRRIQNPRVVLLDCPLEYKKGESQTNIELSKEEDWNRVLQIEEEQIKA MCDKIVEFKPDLVFTEKGVSADLAQHYLLKHDITALRRVRKSDNNRIARAVGATIVNR VEDLRETDVGTQCGLFHIEKLGDEYFAFLDECSNPKACTILLRGPSKDILNEIDRNLA DAMSVARNVVFNPILSPGGGATEMAISVDLGEKAKVLPGPQGAAYKAIADALEVIPRT LVQNCGGNAIRTLTELRAKHAEGHNMFGVDGDTGKVVDMQTYGLLESASVKIQTLKTA IESATLLLRVDDIVSARRPGEEGGGVQTMGEAAPEGMEM L198_02792 MHPTGIALRRSTWKGPFFTAFPSLSHHLKSSTPIFTKSRACTIL PNFVGIKFMVHNGKDYLPVTIAEEMVGHKLGEFSPTRRPWSYRWVAKRITVFREFES L198_02793 MKYQNQETQVPIRPILNDTTEGLKRPLAAKDSPRAPTSGKPKKR RILRPGRCANCGCNEEETSLWRTNVDAGKDESEKIVCNACGLWRSEHGFPRPKRMSNM SPRLTNTKFERDEAGLKNHQRSASKASSDDSSSDETEVDDVIDAANILMDLATKWRVG RSHA L198_02794 MPPLTTDPPLPQKQIQSAHASGFNAARSAGGGGVTQPSSAPHHV SPSHTSDSHNTILIYTPPLHIDGIYAGKLMKFALTVEQEPLFGRRKTEKDRRPLGPAP IIRLRAVECRDEPDSPSHEGIAEAE L198_02795 MSSSARSTSQDDIRAHINSSLFARRGPDGTPDETLIGFLKIYEI EADGGSKTRYLILAVSKSGRVVIHKAKRNSNLSFSKGKTWNMEDIRVLEVISPSEFAL TMTSRRYRWSTERPKDQTNFLSTIARVYRSYTNGRLPELINFSPPPQQGPPQSQAQQL SPAVASPSSEPPPSAFPVPRMGPGDLAPPQFLARTERSGSFSSLNSANTQNSNYPPTA GRPSIDDERSPPSSAGAGALNRMPDLVRRPSGDSIPSPRVTPGQPLGMGPPPAQRKMS DDRADKLGPSNLRNVSAVSEYEQGGNEGVRMRKTESDLGVVPEAEGYQIPPASTRREK KPPAPAPPAIREPSPPPEAAPAPKQKALAPPKIMTTDLSAPSQSSSALSPSSATSATR SRRASFNPPPLDTTISRDVLLQGRTGLLPGAASMTIDDTEGGDDAVLKNVEEMLEGFD WGFGAGAMGGSLERRKKGADAIEGRLLDELLALESANIHAFLESDDRTAQVLGHIDEA LMDLEDIDIQITGYRMQLNAVSEDISYIESQGKGIQVQTSNQQALLNELRQLLQIVEV PPSDLQILAQESPSTDRGVKSLESAAASLYKALQAGMDSANAEVAATIARMQEYRTQS NAFSKRISDYLDVTFRYQSDSTLAEFRKQSTKGALAPHQTMGEYLMGYTGLVLYVKEM DEARYQKLCSNYMSTISQLHQAEMKDLLMGFMTTLNATAGDAGSDAAFSATGYSTTRP SALQKSKTVIGRGLHGDRSAAKQERRGDSSGTKRASQLYNQALTEIINQVVIEEDFIN AFLHLTDTDSTFADYMELDSYFRRQAARHASKGMSQGMMQLVRSMMDLIFGFVELELK QWVEAAVERGPVAVVGIIGVTERIAREAADENTSMFFTSLFEKQLVKQRMILDAFTND QIRAIVASKQTLTVKKRKGVTFFIKHFPVFVERVESQMEGFDDLPIRERVNNMYDSIV ETIFNALQQLAKMDRADGQATEDKGQLNYHVIMIENMYHFVEDVSQLYCPPLVVSLQR AQNLYQENLTTYIRMLMRRSFARFMDFFDGVERLLKSTPANEVSLHQSYNRSALKKVL KEHGAKDMRKAVETMSRRVDKHFSDEDDPVASSAANAVLIGAVWKEVTKELAKDVLKA QGIVARSYAESGLGLEFSSADVEATCKKMKG L198_02796 MSASTVLLSSGQLIKQGAEAAIYLAPSLLPEPTIYWPPSSSSKS ESPKELQEGVILKHRFAKTYRHPTLNASLTSTRLTFEARALNRAAKAGVVVPKVLWVD DKGGVIGMEKVEGWSVREVLGGGAEGEVEVVEDEPEEEVEGGPGQAKEGEELDDEEEQ NEGMVALGKLGVSQEHLMRSIGTALARLHKTTIIHGDLTTSNMMVRLTPNSTGPYEIV IIDFGLSSTAQFAESYAVDLYVLERAFASTHPKSGKLHAKVLEAYEEELGEKKWKPIG LKLKDVRLRGRKRDMTG L198_02797 MALISLVSTPNRQRFTAISLVLLIALFLSYNFAPSPRRQLGLPP EADLSLDDQEYNLKEGAANATPKRVAIVGAGASGSSAAFFMRRAARVAERRANLPEGS LLGDIIVYEQGGYIGGRTTTVYPHGDQRARPQELGASIFVEANQNLMKAAKVFNLTLV DPDFGESGVGIWDGQQFLFQSSSSDWLTSARAIWRYGPMAPLRTRRAVDKLLLKFKQL YNPAWLRERGPVGSVDEFAERVGLGAELTSRSGESWAKEVLGVGEKWIGEVWEGSTRV NYAMNIDKIQALAAGVSMATNGASQIEGGNWQIFRSMVDDANVDLHLANTVNDIVPFN KDGKRKLVVKSNATQDEEVDSVFWAAPWKSDSIMGVLTPYVRLHVTYITTTKPHPAPS FFGLPEGTVIPSTILTSAHPPARGSLKSSPLPPPRFQSITWHGPIFPGSPEYAVKIFS LTRLTDRFLTEMLGEEPGWLLRKEWDSYPKMVPVSGYPAVELTEGVQYLGGMERWVST METQTISAREAVARVVYQWWGLGLGECENGDSWDWTC L198_02798 MASPLSRALISPVKQDKKARITSLSLSPRKSIKSLFSLSRSSSS PRPSPRSQETSSDHTVITTSAPNEDRAEPTIKLVLKQTPSPVKRKLVVVNRTPPGARS SPKNLDRPHTPASPTPQKARHPAAHLHMSAAPVANIARPSVGRRVTSHTPSQTIKDRP KDRSIRPKSKASKSDRVIPTRQRLLHAIPSRAAEVDVFKFQEEVGEDEEVMDMVMDAL EKIQEQGNANCEGMIAQEIERIDTTTLSALLDPSDATTYLQLCMDMALEKLEDLDEEL SGYRVRLMSVEEDKSVIISDTRTLFKKRSMQRKLAKESRRMHEKTEARHLSMTRI L198_02799 MSSQSHDLQPPITRSSSAEEIKVTETTSNTVYTPVKPESAAKEG EAAAVVVDDTEAAHDPIQEPTGPVKDVVETTCTPDEPSLARSTPTSPTPAAEPSHQLA PPAEPIRPPSQNSSQNSSEKRLRLNLSKSMQNLKKRKGSEVKTRKRGNSQNEKESIPP VPTLPGRPVVATAEKPKSFGFAAFFRKLTGQSSEKERCDKKSKAEKKKTLFASASKAV QTEDKVAPQEIKEAQKETKEIVPEEKVVEESKIPISAVQPAAQGPVDPCQIPLPPSPL VAPIILEPVIAPAADQKDTTINPQAQAMLSLEGFSINTNAPPARSSSSDAQVSPRQIT QRAPEDRMPSPIRIPSVPNLRVKTPLSASSVGSTVDEDRLITPVESRSSAHGSSLERA SVFAGRAGETKLQPRRSHSPPKTTDLSEMGMGGGPGSSGLASTKSKSWRRSMINLSSP LDRRESHKRPPTSHDACIAQQQRIKLNRVSCQPVIYRTGAEAVRGKMATMGMREEDEE VAETFFMS L198_02800 MPMPLASLLPLLLIDSAFALPADSSSETVQASASTGASSASSES STSTDCDYDGNVGDYLSCAKSKISTTALIGAGIGVTLGVFVLAFGCIWITRKKRKKVT DDRDQDADEGEESGIDSEKVSKRRRARQRNREESEAEDDWRPIKLREDEPPTYQEARR NHGKKGGSHCDNNTDSSSSELDPLPRKGGPRKGSRDTIYSQRAVRPAPSVAQPSLQAP FGNSGSPGQEAIMSGRQLYPSNPSPDPRHLQPRQHQQPPTNAARDSVFSTYSTRPPAR GPQPALPSMTTARASVSSSFTSRQPSRGPQPPFPSAMRSSVHSRAPLRGAAGSRPTST VSFSKEQPSTLDVPPMPALRGQTSAPRRDRPPTRLLRSEPHTPAPLQAPLVQAPALPS SLLTSNPANLTAPLNIRKSVVPSNYIPSYYQSSSNRPESAETSSLPFLSDKSDESPPD PSMKPVPVEMLSPSSDKSTLNSARLENNMNARERLSSGSEESRMGPISTVSPFKGKTA PARLSGSTGARDGGQKPMSRRRSETGGVI L198_02801 MSGFVLGTGSGVLAAAAVYYTLSTHLTATTASLRSDLHNSSALL NHSFDPVTPPAQAALIGPSSSSPAPPPFSQVLRQRWNDTLTSFVGSARQTDWQVIGQE VVEAGRGVVEKFSESGTAEKAEKKVDSIVDAVREKVGDALSPAPNVQEKALALEKPLE GIDLHKDKVGTVGGVQEELKKKVAEAKGRMV L198_02802 MSGQYDTPSPNGVNGGGVMPDNIATWRLQQQPRPAQPPQHVLPQ QLNVQTMYQSPYALYQQPSPHQAQGLASNPVLGQYVAGSFPPQSVNNAPSPSEKTPPT ICHSQGLGPELPDVTSSPEQLPAPLSQLHRNPRPHPSHALPHNIGASSALPSPREQLP QPIPPPNSAPLGQKRSSHVSLSPRSSTGTKRQRLVVDITESSRESSVSTPPPKRLSTP PVKKDDSTLKAAPKATQATLDGYLSTVGQAQSEIASIPPPTAAPNPPLTAATNPAPNP APNPAPNPAPNPAPNPALNPAPNPVLNPVPTPVPTPVPNPISNLGPKPAPEPAPKPAS HHQPNPPSNRIANPSFSPFLQPSSKSPSNPSSTPPINPPTNLPSNAPPNTAATSNFPV GVKVQPGAKLQPSVKLQSGVKAEPGVKVQSGVKVQPGVKVQPGVKVQPGVKVQPGVKM EPGVKLEPGVKVEPGINAVPGGLIAGTETTAAEKQTVDMSLIRSTITPEALRRDIPGG LFKHLRVRHDKEDDDVVHPPAFTPNPDQLKEILSQLNKHASNLYLKKMAGNGNYVEVW EGWLKTSRKDPVRWEKVLVPLLEVLARTDMEHKVAIEFHINKFAKQLMAAVKDKKLDG TNAIAEAFGRFEAHAFEMFQKESGTTSKDKGKGEASGTKKKADAGKDGIKAKEEPVSK RPATVAASSSKLASNPAASSTVSDMSFFSASLPAPAMKPKPKARPVPPPKAAPASVAK PQSASLLANVMSSFTRQNSIPERSADVKKEAKETRKLKSGRTVGTVRWKDDAELREIR EFTPDPVERSSSVSRAISAHDMDMAEGKLLAKNRDRDDIEWSEPIPYVEGDYLPIETE ETRAQRERERGALVVPYFEGQTPAEPSEEGVRIIQPFDNQTRQMTAAPIYEAAHAAPA PAAPPPPDQNQIQALLANLNIPIPQPSVRNYYPAAQQHYPGQEAWANASAGPSAYGNE YAGDSGADWKKSSKKRKAQWKPELKQTKTCHHWRRGK L198_02803 MEYNRSEQDHIENDNLGLPPDDDSISLDASKEDDDELDLIRRVL RTTGGIQLTHWIQDSLHERTFQTKGPTNRFVSRLDLTNGAVGYLWKLLRRGLEEGEAW VVTSLVGVIIGISAALISIITAWLSDIKMGYCTTGWWLSRKFCCLEVSGEMESCAEWR NWGGVEPFRWMAYVLFAACFSFSSAYLVKNFAPYAAGSGISEIKCILGGFIIKGFLGV ETFFIKSLTLPLAIGSGLAVGKEGPSVHVACSAGNTVARLFSRYERSHLKMREIVTAS SAAGVAVAFGSPIGGVLFSIEEMNQTFSNRTMWRSFVCALVATFTLASMDPFRTGKLV LFNVSYDRDWHYFEMPAYILIGIFGGLYGAFVIKFNLQMAAFRRKHLANHGVAEAVIL ATLTAIFGYLNMFLRIDMTEMLAVLFRECEGGGDHEGLCQTSSQWRMVNSLLMATLLR TVFIILSYGCKVPAGIFVPSMAVGATFGRMVGILMKAMRTSYPSAPWFAACSPDAPCI TPGTYAFLGAAAALGGVTRITVTVVVIMFELTGALTYLLPTMIVLLVTKAVSDQFGGR GIADHMIRFNGYPFLEQEDKEDPADPSFIEPIANVMKQDLVIMEATGVPLQRVAAIVQ DTRYQGFPVVRSNEDRTIVGFVRTDELRFALDRARRIRNLSFNPVCSFQCTLAISADA HEALKRPEIVIPPPPSEGLSLDTGAGMGRRGSGVEIERIDFGQFIDETPLTVSPKMPL EIVMQLFRRMGPRVILVSNQGQLAGLVTVKDVLKHEAAEVVHRSRATPVTSSNPSYGR HSSDLNGGWEPDWEAGAEDTGNGLEFILEESLEWASTKAAWAYGAAVESWRGIRGRNT REGAYDFELTEERS L198_02804 MAYYYPAPYYYGYPAPSPPPFFAPPTAPPHHAAHQQAFPPPHYQ PSFVAPPSGYHPATAGPSLDEEEAAAFAYLQAIKRRRQELEASRAHEAGSAARAQAQK EAEVQALISKELAKQQALCARYEAEELRKRQYREAIQRQRQELKAARAAERQKAVQYQ TRSRDLAPNSAFGHDDISNVLGSLFGINPAQEPQYGDCFAPRSSDNSLEARFSSAARA YASAGQGREQRSQQPKSEHDVFSLTDLLRSIGLVEDDVSGPTCSQQCTNSQAPTASST PSATASSAKRPSQSGLPAEVNDILHRHLGLHIDPVASQNFAPSVKNAKGNGVPAGLNE LLSQFGLVFEPETETETKPGPSHFAQPDNAAHPSAVDSATQSKPTAPKTAPAPQPKPA APFTSLLGEFANVHPAVADILRNVEVAVTEEVKQRSRAGKTGCSGPCDQQCASGCQKA SGQTKGKGVAEGEKNPVAREAEPEPAANPTTSLSTLDNIQHQFESLRANFTFPEHLSF ARDSRGSHSPPLLFNRVNSAYHAQVNALLQLLLQTDSINSGGEKAVRQKRKEVVKKVE DEIERLEQLRDDLWEDVKERRERGEESEPDADQERSWSDTDSVKNEVTVGEEETIVEP EQTEASGEAEGYEVVDNQPQNATPAEAAVTTDAPAPAEDSTPAEDSVPTDTPIPAEAS ASTEDLLEQPQSPSYADAAKHALERSQEPSAQTPIPESAPESSAIEPQAEAVPAEQDA ASSPQQVDASPLPSASHHPVTVEDAEDENEDRKQKRDRKTKGQARRAGSDSEDYEML L198_02805 MAGIYCGHLLEFPTVRIDSFGAPPPGTALPLVPVAGGGAVGCCS PPNAQLFLLSHTHADHLLGLHADWTGTIITSQDSKGMLLSLVPEREREWLYRNIRHGP RRRFAGLKPRVAASPVMVDRIVSPVAKTRTELTDMLWKTLPYGVPKEYIIAYDNGSPV YVTVTLLDANHCPGSAMFLITSPTIAVLHTGDVRADSRFIEYLKRHPALQQFLAPSST YRHAKDGVGGGRRVLDRIYLDTAAMLGTGDMPDREPVLQEMVEIMARYPQDTIFFLNT WCFGWEQVIKEVARFFNDKVHVDSYKSDIYKSITTDPFLSRCTTQDHTATRFHACERF VKCPACRRFNEEGAAVYNLDKRIVHVNMVEVKMAEWDTRRGEFMRVLDRAAMGKGHWP YNIDIPIPRHSSLPELQALVKLFKPLNLTPNTVASYARGLDYYLLPDLFSECIAPGAY ERIVIERTHYLGQKYGEWYVKGLDLMRETMGLGLVPDLEAVEREDHHYARQDYIQESE DVARQIQPPRPRSRKEISIDQVSRAGGLPSLAPDDIYPAARAIMGLDPEEDGQKKKAK LVMTDPEYETDHESCGMRSSPGPAQDDLEVKEEVGEDLFWGVKEEERTPKAVKVEAEP GLEIKERTPSPRLPIKKESPATPHGRVPIVKSDPLSPPSISTPQPSNAPPAGPSIMPE LESARDTPKVVRVKEEPCERLSEPPFAPSRKRKKARRSLTAEEKEQIKRRLAEGGGEG LGRNIVKKQVE L198_02806 MSFIVSALDAALLAAILASTFISPPPSNPCACDCTCGRKYRLPA QYASDAKPAGNEQAYHSGREEVAVTGEEEAEQQVVADAAVAISPLQPSLLEADSDPVP MRSSIPQPASPEIYAQPTISPKEPAKLPTPVSSVDQTEFEDDGSNLKVGMTTPTTSPG QQSNRRANDDNMGNERYTTLAKPRNTRENPKLDKLQSVYQGRPVPPHQVRSSEDTTDP KYDYRFSLCDTLGATSLKINKSEFVCKPCNKHFKTLIALQMHHTAVHSLSAKPVQRRM SNTPGIQTRVTQTPPIVAPPATEKAVSLDDGFEPQNMCDVCQADFVNITTLRQHKQEK HSWAVLCPECLMFFNQAQEATDHYQLIHGIAPTSLLKTQRMLDTLKKPAPTPDPVHFP PLAPVPPKKREYQILASYDSHILRKEHHCSQCEMVFSDASELDEHANNPYSHGGVKLT PDNLPPLGSDRAHIEPLSNSNLNSDLNAQPKPSSTYPAYPRSAWDSSMPAFSLASRLA NSPQSVHGVVAEAKLTTEEDEEVSGESVSVAMPKQSSIGEKPLEHDVLEEAPKIHQVE AEVEQVNIQAEAVEEGEVQKEQSGEQAKAVSGPGSERDTPPFQSQEPFRHESEGFDED FGTIDSSVTGALSSVDGSSSVASRNLLPTAPIHPKPLDTPTSMSVTSSHSQEEPAVHF FSKAAKRRLLKNPQLSKAEKKALAREVYRGAPQPKKLKQPKAQKLDAFFSSDEDLNEE LPSDCEMLVGDVADYGVQVQILKEKEQQQASRQVEAATAAPEIKAPYGRGALTMSLYA EARSNLPARRYEVDSDDENDESAVQGDKSSPDAVEETFVVEPPAPSDLEPIRDEEEVI EIRRAPYKSHSPYDDWSDEEKEPAIDPDALSEPVEKVDLPPTQLVEARTESAIVIPSD IQEPATTPVHTLPEGVQLLFGDKVREPEDYPLPPSPVWEASAYDGPIKDFDAIRAPIR AGQASVSEQDASAVSLAISEGPSDNSSQTGTALEDVGGGDVDAVAAASAILEIRESPV ESHKTEEIPRDFDREGTPGLSSSLITPNTPLASNSSRNHSEDEHTFQREVGGHSSEDD RSGDRYILNFAPPELETAWAPARSTENSWADFDQDEAELARRLAELEAEQAAVAAKEF DNGLPDLDQAAMIGNIEFQVPSFDFPESDTMTASDTPPQELSANEVENKEVIVAPTEP TLSPSIPATTGRGVWDAAHEVTRIAIAAKAAAPPLELGPDRHHSNEYAGQWTNNAPRY QNQARRDGPNRHHSTRGQGSNVYYSRGSGRQPEAARGNSQGVSALAKMRSTRPEVLAL RYELEDGREAEQAKRANTTSVRSSSFYATDDSGWFSLDSLGENVYGMDSGWSLDRRES VGRGGSGISRSVNPSTGSDGRPSSPMGTNEWGALLEVQGNTWGW L198_02807 MITLTIVRHGESTDNLRPLWAGWADAPLSNHGMNQAKALGQSLK DTRFDYIVASDLKRAHWTAQQIQSNQATQPPLDTTQFLREQGFGEAEHQPFGNSDGKW YRKPGRTFAFPGGETLEDVRNRAKQAIEQYIEPILQESRGKPSSDKHVAVVAHGIFNS EFLGALMARRQGNAPLEWQYRGMTNTGWTRAEVGYADESGSSTTPKISTNPSEPVHPS SNPSAAPPAKAKDHALEPLTIKIISTDVTSHLSGVVRQKGGIGSQGYDAKQEDIRKFF GGGGQ L198_02808 MLSPANVRHPLRWAVHAQRYAAPATACPCGCAQFHPGLSTRRPL ATSAAPVAPRLPRVPWGFRRYATEAKGGWATETEQEQAKMFEAAKVKVDLYKKTMEEE ANAMEIDIKAQIERARIQKELAPLSEVWDKYLELRKAIADDQQSIIDMKVFLDDPDPS VKEMFEAEHETLCTELDDLLTSTFPSVILPPPSTSSLPVVMSLNAGVGGLESALCTED LARMYVRFAQTRGWKVEEISRVDGTGGKGGGGIREMTVKFDAPAYGGGDVEIYGALQW EKGVHRIQRVPANETQGRIHTSTVAVIVLPIYPDTAEAPLVDPKDVRTDVMRASGAGG QHVNKTESAVRMTHVPTGITVSMQDSRSQHQNRAWAWEILKARLSEKKHNEEVEMKRA SRRDQVKGADRSDKIRTYNFNQASHPYHRFGFTIIGLQNILDGEGMEEVIMKMKDDFR ERRLEALLKGEEDLDY L198_02809 MQSSDPGHLFQTSAQLAQAATRERKLKAAEKVGNPITLSSKIID LQILASHAFTAESGWQARRVDLRTGKTVKLYKGHQGPVTSIALTKVTGSDGIPWTALF TGSWDKTIRVWNADSGELIRTVQGHSDFIKSLTLIPTPSPLLLSTSSDRTSRLWDVAS LLKSEVEGKPETRQIIKDHTRPVECAAWKNELDAEGQSTGVLSVWTADSLGVIKQWIV KDEGLEFVHDVKGHETSVARLIPVEDGLWSVSMDKTAIFHPTTSSPKTTIPHPSYVKS ILPLTAFPLPNAASLVLTGSEDEDIRIWDVEANPPKLRGTIQGHCGEVAAMQSWMRET NGQAELVVVSAGLDASIRTWTVKDILNPPPLVYEAEEKQEASGMTEEEERELAELMSD EE L198_02810 MSHSSSNSLLQQARPTDLLPQLPDPAVAVSHAQAHWLESHERTQ QQLCKSRSGDCLALDVTVDLAPASYSSSPISSTFQPIASSSTGLRYLNVEPLACPSTD HATADAPSPVSPTADNKASCASLLASAQVSAIGEAMSKDKWQPDASSALCTFPLCTAN FAHSSYFFLGPRRHHCRMCGQLFCGNHSSQRALLIHTAGSARSLAQSRVCDMCVPKPG LDADEAVPELSTRKNSATDSEPFSDYSSHSNFLQTPDDDASIFMRSVHSPALSVEVEE ELAPIADWMDRSGVLSLYPLAVNPSHSRSKRSSSPAPSAPPLFAPSLKLRRAAKEKEI QRQTLRQRRSGKDDFWLPKWVPQSAEADEDEEEATMTVQTVGGVVEDGPFRYRPRVQT PLLTPVRS L198_02811 MALALGVEDGGAVTKPVFEGAEKLANAKRHAKAPISWNNSIPNR LPPPQRYVSRGKVRPLPRYFYCVPIRRSLLSPDTVILPFIPTFTDDVPFDADAYAREF PLCAWELSGRNGDTDIILRETAKRCRAKGLSARDINETRVLPKDIVDIEMLDLMRDLP AFPSPPETYLGIAEEGKGDVSPAKRKWEEPLEVKQEEYSADPLEFEELCCHNPSCTNF MCVRHSALFFDNMMRRTTGDDITFAEDKLKKLPRLQNILLNLNNNCSGTCYSLRISEL LTETEGERQSHPRKPWTPWDRTQLFQILKAWTNAGIGLCALRDVFNRPCDDIAMEAVT IIRAHPELQANDEDEDGPKPKIKMNRPNAQIQIGLAADTALVPSPVLGAFAAAPVAIL SYGRARMFLELVHLRDVLASGVTESVIESSVGHVAQRYDRDEILIAQDVRAAGGTFGQ NGVWEASVDLHESKFITCGNVALQKGMTPKMRVGISDIAGYGLFAGQDIPKDTMIGEY VGELITDMEGNARNTTENVVQRRYQFQVNGDSIIDAAFYGNLTRFFNSDTGLKVNCVA EQRSVDHETRILFTTLRMIKRNEEILFNYGQVSNGHEGK L198_02812 MAGEIIADASPPKGSRRTSLRASASISNALPSPSHPTRAGARQS TSRAEQAPRKPLTIQKRKRVETPSPAPSSDDSDKEYEVDYIVDARIVKNRRVPKLEYL VHWTDYPVDERTWEPEENVGDQNSVLVKAFYRKNPLKPRLQRAASFTSEVPVEEADSE SIVDHGESVEPDGGLIEAALHLHPKEEKIPSKQEASPISVKHSPSFADRKESLVTAEV VEEAMSSNKRPARASARKSAPIYVDDESDGSEPPKSPPKRPTRGPTKKNDAASSKQPP KKKRRVIDDDESDFVMDEGVDEDEGDDDVIFSDDQEQGQGQESADEAEDAEESEEEIK TSKTSKARASLSKATAKPRRSAALANFDTGVMPLGQNIDIKLAVKDMSEDLPPMSNVE AMFDHLVSRMPDIVQLVKQLNGRKLRVATMCSGTESPLLALDMIAQAIKSQHDLTLSF DHVFSCEIEPFKQAYIERNFAPPILFRDVTELGKKRAHTAYGSLVEVPGDVDILIAGT SCVDYSNLNNVQQDIDANGESGRTFRGMLQWVNKHKPPIVILENVCSAPWAKVVEYFA EIDYDADFTRLDTKEFYIPHTRTRVYLFATPSTKSGGLPEQWQQTVKDLRRPWSSPFE AFLLHTDDPNIHRARLDLASAKAQTEGTSRKPTDWTRCESRHHRAREEEELGLQRPLT SWQEAGVCKGLDWTWNDWLLTQTERVVDLLEISTLRMAKDGVDSGHKACIWNVSQNVD RQTGSAKTALAPCLTPNMIPWVTIRGGPVTGREALALQGIPVRELLLTSETEDQLADL AGNAMSTTVVGSAMLSALRVACHRLKAGTNPDQDATSLIQEAAVIDEQIAGRIVGEER LERHDLDLAKVAGSNLGILLDLAHRSSRHCQCEGQSGTVDNILECVECHYRACKSCGG RPEHVYSNCANLRVQPVEFEKRLKDLLPMRVEIAGLGTESLAKAKTKAQERAKTLVDE GDWRLWSDAVLEAVAGAEFRFRHLKRQGVWTAVYEAPRASLNLVLDKQMPEWRLTIES PASEPNDSRLRALLLHPVARLQIDTTGQDVLCGPWNLCIPSRSTIDVTIIGRGDKVPS WEASLGLEGTFAGKERWSELEVQVDSAGEEILDRKISGIYKLLPNCGQAMASLHKRVL SSEDKLLPQLYFFFDPTRCGESSDDSYVFSTSIDRLDYGTERPVIAQLRSSWRENDED SQASSVRASGSWVTCEEAHLAAMGGGDIAVVGATTDSDIDRDVATFAVPKSASSISVS LDKDACTHASAILSCRVPLSSSHSESMWRNGAWGEVDLSHQGNAAFANLAWITERLPP MNALEQWTVIANSHSAEDVCERCAPRAPRVHWIKKAGKVNKRGAKTKSTIVAYEDRYE AGRYEHALKSRPSPFVLQLRLDDGFGSFRIGLNVVSLAHRALSRLPHDGLKGKTNLSW RLTPGPIAENPRPPKVFVIPSNKRDPQHAQPAGFKFRLRTEQLRSVWWMLAQEEAAGK THTFVEEEIAEAILPAVGWRAEGKAERPVLVRGGVIADQVGYGKTVITIALIAETREA PAPEPAPVDLIDLKATLILVPGHLSRQWPSEIARFTGDMFEVMVIQNMRDLQGKTIAE LSKADIIVMATEIFEADLYWERFEYLAAHPEGWLNDAQGGRFFCDRLDSALATLRSQT RVLKEEGADEAIKTMAKIQEDARGEVEGGAEVHKSIKFGKRMKGRAYRDRYDGEAKKK TTLAEMENWEASDDDDDDDDDDDELADIPMPTFRQAKGSEALTSSAVKQDFNKLSCPV MHMFRFRRVVADEFTYLQKKSLPAILRLTSSYKWILSGTPPVDDFAAIRSIASFMGLH LGMEDDGEASTQYLKIRAKEQTQAEKFHAFREVHSQVWHQHRDDLAQTFLDNFVRQNI AEIEEIPTVEHIHTFMLPASEGAVYLELEHHLQALEMRTRKETKYKNVSQGDRHARLE EALSDSKTAEEALLKRCCHFTLDLNDKTRDVESAREACQHISGARALQLTACQADLAV SVNQAVAFHTWIKKKGYFTKSDPSPQPFTQWVTSSWDTRKHQGDAEAARRVTKVLEKE CNIKDAVIPSSPAGKTNAVPKGAKVDDVKWQLREQTHLLRKLSKELVGRVRSLRFFEV IRKLQQGGEEALGVLETSGCEHKPSTAASAAMAILSCCGHVACHACLVKAANSQRCIE PEKCTAPVRQTNIVKVSTLGVEGQLSSGRYGAKLAKLVDLIHTIPEDERVLVFLQWED LASKVSEALTTGDIPHHTLTGAVKARANTLERFQSSDASSSRVLLLKMNDASAAGSNL TAANHAIFLGPLFTPSLYNYRAVETQAIGRVRRYGQQKEVHVHRLLALDTIDMTISNS RRAELKEKTDWEELPQAEYTGQPSGAVPNNADIPNAVPKRQQGKRASLSEITTNGNKR EADSESEKVSKRVARGKRDAENGD L198_02813 MTNSSLLQTALQNLHVSQQIHQQSHTPNPPSSPGSTSSRLSSGA NTPPFSDYDTDEDELVAVGKGTRPVTPTGGKFGGRLPSLLGGKSRDPLRVLPTHLSVR IFLMLDIRALARCDRVCKRWHKSSTLNYVWFLQNRNLLLPRISAPEGDKGGKTRKVDD EIEFYDPYDKSPRLSSLPPPPIPSSAQPQWTKIESKKEWKKAFKHTFKRTDPTAEPEP ERGRVDIASLHSSGYSTPTGGHGYAGMGSGGASRWEPVAGEAPSSTERKLAARDAYKA LGGRKSRVKRKMGGDMGSKDKGGALDDGRFDAPW L198_02814 MAKGIFPRQRIVAIAGLSLTVLFLLHFLFSSPDRLLATTRWTTA SSSVIRSKFLREKALAPRPPIRHPIPKLMADAKDAHERKMKKQSKTLPEAVGEYKRRY GKNPPKGFDEWFKFAKDNNGIIYDEYDQLDRDLTPFWLFSGEELRRRCIQVGFLPSVD LVRVENGQTRTIDVSKGFSDSEVGARAKGFRVMLEKFQAKLPDMDFPINEKAEGRILV PWEENLYSNLTADSSLGIEHVLGGEFIPDWRGDGNVWEAYRRTCDPSSQARRLFGSLR ANLKEGQAPISRLAAAGVTSGAPSDDFVFPEGVDDKFDFCAHPWAHYNQGHFFSDWRT IHALYPMFSPAKGMGYSDILIPSHYYFSSTKRYTYGWDPVNMVIKDVDDMETSWEEKS DDIFWRGATTGGGSSPPGFLAQYQRHRFIKMTSDQSDSNKTVVFADPPGTNNYVSAPV PIGELNKDIMDVAFTKAVGCTQYPGGCDGMRKDHRFADAVPLGENWRHKYLIDVDGMG YSARLFALLKSECAVVKSTVYTEFMSEWLQPWLHYIPVSQMYQEIYNIHAFFSGPSNA MLNAANATAGMYQQAGAHTKKYDGDAELRKIAKAGRDWMFTAGRKIDMEIYVYRLCLE WARLTADDREAMTYKE L198_02815 MSKPVPLSSQLPGLLEKMKNVDPDYRRVMALVDLKKELEKNIAA NGVTSNRRTDPHFTDDYTEGQLVDMALKLLADSNQEVKSNAVTNIALIVKKARPTSLS KIISSLLEDVASGNEERRDTSTLALKSVVLEMPSSGSQVSADLERISSRVLELFNTAD LHPQIASELLQTLTDLFTRFSANVSTSTTVSNSSLSALIKILSTARPAIRKRAIPTLA SLVAASPALFNETLQKEITAGVSQSGDSGRVWLGVVASLAKGPNVGNVGKLAAEKKLA EIILQQTKNPEEVETVEAALTALEALVLRCPTEIGVYISTITQKSLALLRYDPNYVNN GDDDDVDMESDEEEYEEDDEYEGADYSDDDDDSWKIRRSAARLLLAIISTRPDLLSEI YGSSAPVLISRFSEREESVRLEVLAAFEVLLKQTTALRTSDIVTGSRSKRKRSEGMDE DYAGDDGPIPALRSLLPQLSKATLTQLSSKSVPTRQQCFVLLRQMVQALEGGLTDSVD PICTSAASALRTVDSATSSSLAIATLSFLAVFFDNHPARVFATHLKDLVPAIVRCQRD KLQRISFEAFDTASALANSARPLGSTASIAPELENAVRLIFEATTDILGDNTVDGDVR EKALSTLGNVLIHTGDLFTSVYSECLDLISNRLAVESSAPTAISVIGQVASSPLVKGP EFEKWLLETLPQVVVALRRAKKGASKNTEFACLASILERIGSSLPEDTAEGIIVELGP LIDTPTALQTAALILTQQPASRSAVDTQLYPKVLAVLKSSINPHLVESLVSFFSAYAV ALDGSSRQATKAIQQLIGNLNGEKGLPDATHGGTAAWATTAKGVGAIVKNVPAAAAES LQSFEQVVQSGAANEADAYLALLCVGEIGRGTNLSSRQDLFAKILSYFQSESEEVRSA SAFAAGNLAVGTPDVFLPIIISKVESAEKESERLLLLHAVKEVILHSSSAQLEAIADR LWKPLFATPTSVANNAETAGDDGIRNVTAACIGKLTITAPTKFLPQLQQLLQSSPANR ALVAAAVRYTFIDTSNGYDELISPIIVDFLSLMKDENLIVRRLSLASFNAAIQNKPHL IVDKLDALQPLLYGETFVRKELQREVIMGPWKVIEDDGLENRKTAFETMYTLLGTCFS KIDLPTFTDRVLASLSDVNEVKVLGLMLLLRLGQTSPEVVQPRLDEVVGEFQGMMKDV EVKDDTVKQDLERKAEMQRSTLRTVVPLYRACSVQQAPGFHQFVTQVLANDKWKEFKD YHA L198_02816 MPLKNRRPDFPVLILPSEVFDENDDSMRSVRTPAPFTPIAFEEG GLPITPDEGVYDIPTGDTTGDNERTSEGISEMGKEWTEDEDGILQGYLAHPPQPLGLK YPPTVLLPSAVLDVITSQIVAIHSRIDSSPWKHSWNATRQRLFAIARRESLQAVGGHR RLKSDSIIPQSRGVDDGITSWGSLEMNRRQNHSMDSLLGDDAPREISEALRLSHCLQA TATTGGDTTMLASDGALSSPIIGVCPPLLGGKPSSLSSNDASGLLKRPTSLLQRGRSF TLADIAREQAMASIDFDDDATSTFSRVSSRPDLHRSVTSPASVPYSSPPSSVSSKSDC DVPWVYLPPAKAESPLGFECNTPTQSITVPVGEASSFTSPTREFACLSLFSTDSVPIS ANMEASSSSPSLKRAFQVRPYPLQRSSSDGKLSSDMTGFASLSSPRENKRMRADLPST TAASVANGGFEAKTAGAGPRERAGLGETLSLGLAKDKVGLGAPLRGLEPPLFSAGPEK L198_02817 MPSKDVSSAESTPPRRASTIAFEESCVLIDAYPLSMTDLEDGVK QRMSVAGASEKTWPKKSPSPRLSLTIPPALRKALPSLKLRVLPYRWSSSTPPYSTLMP TRSTHALPQPAQLSSPSAENPIPIRARSQPTSPATGNPPVRSRNPSVAEGLHMLSERE ENTGHVSPNPLSGETLSPVSPTMPCAQSVWLCSPPRRHPTPPSSAPPSSFVAPSKPPT TASTRKNRPIVVRAMSTGRLAREFDQDGRVVCMSNHSNRVLQNTAVVITEGQENQHAQ TTPKLRRAQTEKPAARKNLPVPLLSASTDRLPRRSSTAGFDLAALQKEAGNLARPNVL AKRVSSGFRRMSSSPQRIMA L198_02818 MNDKGFKVCAYNRTTSKVDNFLANEAKGTNVIGAHSVKELCEKL QRPRRIILLVKAGQAVDDFIGQLEPYLEKGDIIIDGGNSHYPDSIRRTHELEAKGLLF VGSGVSGGEEGARNGPSLMPGGSDAAWPHIKDIFQKTSAQVAGEPCCDWVGETGSGHY VKMVHNGIEYGDMQLIAEAYDILKRGLELEEDEIADIFDKWNTGVLDSFLIEITRDIL RFKDTDGVPMVRKILDKAGQKGTGKWTAIDALDNGMPVTLIGEAVFARCLSAIKDERT RASKVISGPAKEAFKGDKQQFIDDLEQALYASKIISYAQGFMLMREAANVNDWHLNNA GIAAMWRGGCIIKSVFLGDITAAYRENPQLENLLLAPFFTKAIENAQAGWRRVIAQST LWGIPIPAHTTALSFFDGYRTETLPANLIQGQRDFFGAHTFRVVPGQGNDHLKEDEDV HVRWTATSGNVSSSTYNV L198_02819 MVANAHPRSSVANPPKGRKIIRGIQLKRGSACDACRRRRVRCDA GKPHCASCVRSLQYLERIHPGDPHTIQCHYAHDWDTEDDGHSSQGEETDPRPRGGVDI EEPAPVHNTEGSLVSQENGLQSFAAPPRNDSHQTTETHSTMDLASIQGEQWGQSIAGA DTMTNYNPALFSDFQSLFHMGNTPMDALASLQGQAVEPQTLSEQSSSGQSQNTTRPAT VAEEDILDAAIQSSFIRDLLWPGWPATLPAPILTRSSVEIFFTTIPSITRVIHRQSFL ARLSLPPTHPEFPHKSLLHAICTVASRQSAAVCTRTVQEDVEKSASDAKAAKGKGLDI DPESLTCFAEKHAEYALAAIKFNHVNARGLFDMLQAVIVLGHWGQSVARWMDCWYLVG TAARLATCLGLLDSCSIRRDKSTPYRRSILGFPKDDAEKEERKAAMWYLLIYDVTSSA SSGWSGTLPMDEITTHFLAARVDFDRGGAIRENPQSFVSPDIYFNHPVVDSFVMLSKG NMLLSRVIKFVRRSRGMQAHERSSVADQPEFQQIENDLGMLSMTFPPSLRDPVQYMQG PIKTIDADLISAHLILHVAAIHLHEPFADIQDPTSSSANRLLVEARACLNIVYLIVSG SADVSYMVAPFTYLFTATRTLALFYNRALESQDEVSARTLYTEIAVFKNMFASLATRH AVGVRHLTMIDTMIASMEEEALGRPVVNGDISGLGAQASRSHFNSDPFSSRSNNSFHT ASQSTTQEVPFLAENHPDALMINDLRSKSLTSWSSSVSGRFQDYLDQRRGYLKSSPNT SDSIPDVGSSKGQGEVPAPLDPLGWMDLKSIGKLGDPLPTSALFTP L198_02820 MSPSIDYHDILRQADDAFPSLDAHVHDTAQLAHVVDQHEQQHAH LSQHHEDVGELGANGAEYGMDIDQHPLDAGQHEQRQLEQAQAAVQQQTEQRQPSPVRQ PSQHELPVQPQAGTSTQKSKGLTAEEKKERQRLQNRRAAEKSRNKKKNEQMALEQNVA GMQEENQRLRARLQSLIAARPSDASVEPTHVDQLASPVPAPPATVIGTGIDYVYLSKL HNELANSKGSLLEKSADLSRMTAGDDGPLNDEHRPLRLELIANLTKLAALRSEMAGLE NVMTHVVQEKQNMQVERAKVERELAGRRVARERSAVAQQAVQDDPNIDPSLHQADPEG AAVAHEATGVQDEQADEGDDGQPAEGGDRALLDIRGWIDAAVKDWHQDDPDQDGSGDK E L198_02821 MGVSQDEQTVTLTHESGASAEIYLFGATLTSWKQGGKERMFVSS KSKLDGTRAIRGGIPVVFPTFGPPPSSPPEYAALPQHGFARTSQWVLEETIMDRPEGV SVRFVHPGPPATFPHEFKLSYVVTLTAHQLSTDVHVVNSGKEDFKFQTLLHNYLAVPD SSKIKITGIDKGTTYKDKVLGMKEYESDGSVLVIDKEIDRQKVPSQEIVVDDGAGSGY KVHFHGLEDCTIWNPQEATGSQMTDMEAGGWDRYICIEPGYVREFKILAPGEEFTGAQ TITAL L198_02822 MAPLHPEITWAQRSSESEPEKNVIYFTINTPDIQGEPKFDIKPT EISFSAKAGDASKGIPEKEFAFDLQLWDEIIPEETKKLITSRAVVLTLRKKENKAEYW TRLTKEKPNRNWIKTDFSKWVDEDEQDEAEEPSGLEGSQGMGGPPGMGGPPGAGGMDF ASMMQGMGGGGGGGMPDLASMMGGAGGAGGPGGMDFSKLMEQMGGAGGGAGAPDLNFG DDDDDIDDSEEPTDAKKGDASGVESLDDVE L198_02823 MPSVETPSKAAAAALDKVTLDMPTPIKATEPTLLSKLQAVAPTK PVVEEAQDVEEYRKRFVGDIECEEKDEPLLKETNARFVLFPIKYHEIWQMYKKAQASF WTSEEINLAPDLHDWENKLNDNERYFIEHVLAFFAASDGIVNENLVERFSAEVQCAEA KSFYGFQIMMENIHSETYSLLIDTYIKDTARRNYLFEAMDTIPCIRKKADWALKWITD DKSTFAERLIAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFAC LLFTHLRRRPHPDTINKIIKEAVFIEQEFLSEALPVSLIGMNAKLMCQYIEFVADRLL VALGNDKIWNSANPFDFMEMISLQGKANFFESRVSAYSKAGVNQSVGAADHNAIKKGF SLEEDF L198_02824 MAKEPPQKHTGPKQSTLASFFGAPKRGPRPSQSKSSQPQSSPAS SKPPASSAAGGSSPALPRTLNKSSVASSNRASSPVKQPEPPSEITVVEESDDELSPPP KSDASVSTKVASSKPTRKPVSKIDEDEEMDEDDAPVVTGRRGKRKVVYMEDTDSSGED VPAKSSKGRKPRKSMKEDSEDEFVIDDAEEAAMAAALDDYESKNLSPSKSASGSPSPP PSPPQKIKAKPKPKAAPKKAAASRPAPKPTQGGQSESHSFLTTAERKKLQAKEDKRDG EQCFDFLTQIKDKEGNRPEDPDYDDRSIHIPKSSWQDFTPFEKQFWEIKQNHYDTVLF FQKGKFYELYEDDAMIGHQEFDLKLTDRVKMKMVGVPEQSLEFWIAKFLAGGHKVGIV EQAETAIGMEMRMKSGTKGGGKEIVRRELKRVFTNGTIVDGEYLSSDDPNHLVSIKES VGADGLSSFGICVADASTGEFTLTAFDDDISGTRLDTMFRQVRPKELVHAKGNLSVNT TRLLRNILPSSTSWQSFKDVKEFYTAEETLRLLPSIFGEDGAIPEAVTKMKDNELAME SLGGILFYLKSLNLDRDLFSQKNFNIYDPIREGKYLILDGKTLGHMEVLVNNEGGVEG TLLELLQRCGTPSGKRLFHIWLRSPLREVTAINARLDAVEDLMNHPRFAGDFTLLCKG LPDLERLVSRIHAGSVKQNDFLKVVETFGKLQKGLEGLLDMSDSFDSTTIKGLLRQLP DLSAMVKHIRGMYHIQSDEKTIAIAPNPGADEDCDNADAEVERIEGELDELLSEAKKT LKCKDLQYWHSAQGGKEIFQIQAPASVKVPAKWTKQSGTKNFSRYYTPDSMPVIRQLQ EARETQTAARRDFFKNLLAEFSKDREVWLKAVRIVAELDCLISLAKASSDMDEPKCRP ELIESDTAFIDFKDLRHPSMCLRADFIPNNVQLGGEVARIDCITGPNMAGKSTLLRMT AAGVIMSQLGCLVPAESARLSPVDKIQTRMGAYDNMFASASTFKVELDECSRILREAT PKSLVILDELGRGTSTFDGMAIAGAVLHEIATHTLPLGFFATHYGSLTDDFAYHPNIR NMHMQTHVDDEQKLVVFLYKLIPGVAESSHGTHVARMAGVPAEVVQRADSVSSQFFDD FNQKLSTRRQSKLPIVAQADFAWLMRVASGDEHMLKNGTSLGGQLDVIRRAVGSYELS L198_02825 MAKSAAKTTTKSKSKTPVVKKDEDAPMKSASVVDSSSDGESSSE SDDSEDDLENVQPKKSKATDTRRSTAPSGSLPKYRPPPGMSPLEITTVTASSPFEWDA LASSASSNSGLELWAIRVPKELKPSRLSNLVISAPKEGKPLTGKLDHKGQKYILRTAG SSKNRAEKEEEREEAGLVDSIEMGDGRGAEDKAEEGGEEMEGLRLLVPKAKEDGRLFV APIPIKRRLLLTPDLTSTLEANSDPSLPSFLQPSENPQSSKRPQPTHLLKFRNHAYGY DTPGPEVTSKKVLGQEMEVEEDVSGQEEVEEKVEKKEKKEKKRKTDKDESPAKAKKKA KKSKD L198_02826 MPSSVVVISDDESDEEVSVVAVRPSRSTSSSSFPSVQQTASSAT IGRKDTITILSDSEDGIETDNDDAEQDVFKLIADVAKLSPVKSRANILSSRASNGVER TSSASILDTVSGNGIGVSGRAKGKGRALEGTRSMIEGMSTIDRLDSMEDPYLSSPVAP RVATKRPPAADTAGAKKKTRPSGQNSEGLSKEQLAAIKASAREAKAAQDAKNKEAKQL AKDTAKASQAEKSLGKKMKDANKLRVSKNDTVREVALYLSADMAKPSSPIAGALPEIT TKLKDNQSDLRFMSDSDSPIPGAIRFKRHLKSRWDVAVKRFIPLDQPRWVWEKTVLIL ITAEELVDKIADGDDALVQWASDVRLLMSLSQQNQVIIMIKGIEKYYSKSKSMANKDY MSAARAGLEGGAVGGAMTAGRRAVRPTRDIIEEEMVKLQVAEGCFLVHVEKTEDMEDW VFNIAADVAIRPYKLISKSHLAFSPQDGQKKALESTAVLELMLQEVQGVTTSAAAGIA AEYPTFRDLMEAFEEAEEGPRGKSGAEAMLANCKVATLVSGKASNKTVNKTVSKRVQN VLRSTNGSGLA L198_02827 MKGFTIALVSLLMACDITIARPSLHASDNYNQLEVRKIRRDDAA SLADHTVLLDRRQGGGRGGGGGGRGGCGGGGGNANAGNNAAKGNNGGNNRGNNGNNNG AAAAASASAAAAAAQASAAAAGNNAANNGNNNNNNNNGNNNAAAVAINENGQAGPAVP KLQENTGGTTLDKSVLVSTKANANATAGQAASAVSGNNFINFCKGNTITNGLQVKEGS CNPIVMGQIPSTQNMVTTTFSQPKNGATIKAGQTFTMALKSSGITMGSFTNAQANYYA APQQVDQSGQVVGHAHVVIQAMKSMDDDSLLDPNTFAFFKGLDKTDVNGLSTLDISGG LQAGAYRMCTIMSSSNHASVIMPIAQRGAENTCTYFQAA L198_02828 MSMAAPRPFANPYYPSSPGPATASSLGYSQYGSGSFAGGGPSRQ LSISSSAYGPSAGPPSRSGSGNAVIKASEKRGESREVARVHWKALKEFLASWIARESP SARASAREKLTRLTKLQFQELSTDVYDELMRRIATETGEGATAPFLPVREDFHPKRNQ ARQKLATLPSNRFKDLASDVFYELKRRFPEFEREQGQPQQYEEPPAPGPRPSMSQSNA QQSLSYSSQRGIAETPPPALIARNASSNSLSNSLHQRQGSRQMSASTHRSRPSNDRNN SREPEPEPEEEFLDDNGYDDRRPQANAATNDIVVPSKSRLREEEIEVPYARDSTMDVF RQSVASRGESGRGSGYGRDSQASYGMSPSASFTGEDFRRNAPRNAELLPEREYVSDTQ NTSKSLRNEEAERKLRDEYEYRLETLDKKVAAAERERDEARRAEVQERDMRLEWEDQV QKLKEQTNTHSSSLRALQHDLDLARDETEGARQRAEKANANADEEVAQWRERSEQLED ECRRLEEEKVSLEDELARSGQGQAVGNIRDELQSLVDELNSLSLRNEDLLTERENDAM RLSDMEAKVSEYKRKYDAVRVELRNLKATSTMFATFSKPITDDHLPASPDGNILDINV SAFQSSVDNLLSSSPSGVLPAMKAIVEAITAIGEDVKGFEANPNIDVDVSRLESLKYE STTRLNNLMQAARNHAMASGLSPVSLLDAAAGHLSTNVVEIIKLLKIKRSDKDLKRSS SRLSIRDMVNRDRERDRANETWDANYRSESRSGRRAEASDERGPGVRVTRPSVDRSQS GRAPSRSGSASGMRYEPSRAATPTEAVSTTLNSSGPPSSYRSPPSSSSYNNPTSVSPS LSNASPPPAPLRAQNDQGRPNLRINSYQSVSSNARSDSFDLERKSSILTERSPSARVE PRNAPTPMEMTREDDARERESLGSTGTASSSGGPMTTPGSTRPFGSVEVKQAESDDDG REWEDLKPYLNAQSSALVNSIQNLLAAIRTNSSPAALNEHLSEVIAIASSIVAVSTNA LPGSLRGQGDALLKELVSNTDKLSEAQEAGQQDGQAGVFEKAVRQQIASASFGVAKSL KALMKLGTNSD L198_02829 MASPLRSISRCPKWLGSRLCHSHAAPEANPVNARLRFAPSPTGH LHLGGLRTALFNHLLARKWKGKWLLRIEDTDRTRYQEGAVDSLRSALDWAGLDYDEGV GAGGSHGPYTQSERLDIYQHYSKQLVAKGEAYECFCTPTQLEAIKMSLKKQGLMHSYD GRCRHLTEEDVARRKKAGHTYVVRYKNESGKLDLPADMIYGDRQPTAVIGPDDFVLMK SDGWPTYHLASVVDDHLMEITHVLRGEEWLASVPKHHRLYTAFGWTPPRFAHLPLLCN PDGTKLSKRKGDTFVEHYIRRGYEPDALLNFLALMGWDYQSIISPSFDPQETPLDPHV RSDGHSLHELFSLTQLIESFDPSYITHRKASVDQGKLDFLNKMTLRRKAGRLGADGSM INALNKEGNSEKEKRALVQRFQTMLKEEKALRGCVRVDDLGYVEKVLEADLPRTVILK DMPLQSIFYFLPPTYTCQESQLILKTINPRLYCQYIGLFAETLQHYADKAVTVDSDLV WDVIHKVIDELHIAKKPQLLVPLRHALTERKKGPGVPELVSVLGLEESLSRLRRAEDF VRERLEQD L198_02830 MTSPSSDTQKIPPQPQALRDSFVTPSVGGLLDDGSDVQELAQMS LANRRQGFIGKRRQRKVVASSGDEDDVLVHNPSSKRQIVTARAAYSSKASRLDVTHRA SSSHSSTSFNKRRSGQFDDLLFSADERHASPVPPTANSRSRVRKAIAPSTQAALNGLK ERRARRGPRTPTRSSDFPDDRPGRLVIVSDNERSLSPPRTSRRRRSSGRAKPATQVHL DPDDESTREAETEEEEDMLEGLKLDNPEDYRVEGRLRLGVIEVSSSSEGGGEESDSAE EYFGDDLLPDGTVRDPFRTEEDEMRDFITDDGHDSASYELPAEFAGARGQSEDTRFRI VFQYFLYLAMHGPERARNLPEREKAYFEPFLSSMRTRMTDYRNNRVRSQAWRQEFVHK LLKYPYFCAQFVKPEPGCDACNLSGRISSFAMSLEGRPYDSVTFEYVTDSDDDSESSN AEEDDEWDELEKLRGTNKGKDSPQGKIAREKSQMMEHLRVDYEWLEHGEGMDNGEAGE AEEVEQRNVDSYIIGKYCKRRAQIFHRISHWEFILFHRIKQLYTDLLTRMGYKVPGSL SEDRAKKYAAEHDDYYLGRRNTRQRTMADRAAVLRGSDFPEDCEDLESVLQWMIGERY VSEQQEWIENLIERAENLDKIPSDR L198_02831 MLFSHLISAALLGASCYFASARPGTEGEYFDGLESALNEAGLSL FWNALTVANQTDSGRDFIEALYSDDAYTFYPPVNSAWESSGLSNPEASEDLVSLLSYH LVGAHLNSSTDIAPPRKHTVAFTRLHSSTILLPGEQAQVIVLETAANRSVPQPWDNTS ILIRGDTWNATSQGNQFSYENLYVQPIDRPSPLVKTLNTPNLALAAPDGALEFASLIS SLGWNQTVEECHGCTFFVPVDDAFRSARANFNYSNWSDVEKQGILRNHILNGTVAYSP LLNSGNVYVTAAGLPLTYLTAKDDASFVSVGNYRAQFIRSDMALPNGVMHFINIVMQE PNTDQGKADSAVSSASSNAEHATSTGAMGISGATSTSTASSTATSPASTQSGGSGSDS QDSAAFRSVSLPGKWDGVEGMMKMGVLVAFLGGLWL L198_02832 MAQTVTNIPRDGRLISLILASKGIEDADERVIHQLLDFSARYTA DVLSSSQTLSDHAARTGASSNRIEKDDVELAIKMRKLYEFFEAPPRDYLATLAHELNS HPLPILPETFDLIRLPPPHQRLAEVNFDIVPDVEVAYMEEEDDESDEEREWDEQNGEE AKAEEDDGDEEEMEEVGVEGPSLMEPTAPTRQVDVDEDYDM L198_02833 MSDSLPDDIWVQSFLPTAPRPLLTALQKRHNTSNVHLTALADIF KQRAHIEAQYADSLAKLAKSADSGLLTGKTGNDWAKNSGEARVWDSLIAELAETSASH STLSALIRTDFEGPLRDLHGKIIPWRRINEQEASLDKTLKDYEKVSAKLEKASSKSKS SKVDGLQSELNQITQSLSSLSPMVYTTYQRLDEERLRAEKEIAVRWATVKGDVATRDG QRAEGTVANLLVWETGEEVTAVGQKLGAIGGGTAGSIRATPGPESVVGTPQSNRRHSS IAASTQSHDFSPRPQALRNGSQSNVSTTGSSFAGGFKSMIGRTRTMGGSGNRNRSGSN ATSTRSAHRTNDFEAIGEESRNHPLPSEPNTPPVDEEGFSVAPSDRHRNPWENPNELI PSPTGGSTSASHPTSPTGNNALFSQNFTFSPNASSENLDSATGQPRLNLFLAEKPIQE SDEARQAALAKMQQTLQLPPPGGAPNRRSTIARRGRDVRNTMFGGPTDDGSQGFGFGP GTVVGASAGAATLPKLSEPEERLAESPASTRSNTFNRDTIPSPSPMGRRTSLSSVSSN NPFDSPGMVTSGMSGMTPQVATTSTDHPGLRANISEMVNVVFKSKTVSRIQITGEVHL SLRHDPAVTPTPEGPIHIRLTQFERLEKIAPNPAYLAQVPDRPGEYFLNAEVLAAATA KAPLGTGASKGTLLFKYVVHVQPGKEAAFLPLTLDPAFQCKEGETRMILHYTCSPSPS LSLQGANATIVAAFAPGPAITNVQAKPAGGVWSPATRRMQWKLDELDGEGKIIAKFTN ESGSGEAMSPQGVQASWAVEGSLISGLGIEIVPGQLEGDGWKFEEVRQNTTTGKYLAE ASGQ L198_02834 MKVFAISLLSVTPTAPAQATLLGTANDLSSFSFYQRSSVGEFMS FFTKTVAERTPPNQPSSVEENNYKAHVFVTTGRTPGSPGLAAVMITDLEYPLRPAFSL LTKILDEHTPLLAHVPNASAAPSFGSASANAFGGNPSTAAAGGLPPAQKGKLEGTLAM YLTKYQDPKQADTIMKVQKELDETKIVLHKTIESVLERGEKLDNLVERSNALSAQSKM FYKTAKKQNSCCVVM L198_02835 MDRRQHARRSAQGEEFELPLTPGSATSSTADSKPADGPGVSKSP SLAPSPTRPLAAKNGAGKGPSKIMARAAMFENSDASASPPSKKGEAPKKLKPPTPGAS PGFRSIPPGGGKGTGLTPRKLSPEKRSMGREPLVKSPAKTPSPSKTPSPSKTPLPAPV PEPPVPSPMIEAPVTGDISKDQTGETPPATAAERFSEIPLSATVPKSPAATASSSPVA TSPNDLATASPSSPSLPYLDEPEDKGTLRISPIPSKPSNLPGISTDSDVTPPAREQEK ESKGFFGSALGFGMPSHAQPWKPSEESLSTDITSPPTEPPSTSAGWRSTMTHLLTRST SGSALTPPIPARTPSPLAATSSTLPSTSHPPVPQASVITSSPTAVLLHGPAATPIRDR RLSKDIVALGVGEKSQEGFQRVRGEMDSAAREMRRERDAKRSEQSSPSSPRPPHSPIA EAPEGAGETDWTFWGSVVQTYEDIAVNQPKELSKAIQMGIPPVIRGAIWQLMSSSKSS DLEESYKALLKMESSHEKAIMKDLNRTFPSHKVFKESGGLGQEGLFMVVKAYSIYDKE VGYTQGLAFIVAALLLNMPDEEAFCVLVRLMTSYNLRSHYTAEMQGLQLRLFQFDRLV EEVLPLVHTHFVRKGVKSSMYASQWFMTLFSYRFPLSLVYRVLDIVFAEGIEAIFRFS LALLKKSEAKLVSLEFEQILNYLQTDLYEVYKNSAQDEPAKGGEDDDGVWRADEFVRD AFEIRITPLMLDAYASEWEEQCRAANRHAMEVDHLRNINRTLSGQVKQLEGSLAAMNQ EHVDLVRQLVMSKIEKEETENELVRYKMLYAEMAHAQQDALSVHSRLSSGSATTSKR L198_02836 MIAPPTSQSELHLLCVSAVVRDLITAYNSNNSSATQQIPNVNSI RAKYSKKYSLKAVPRLTDVLAAVPEEWKDRLRMWLRAKPVRTASGVAVVAVMCKPHRC PHVAMTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPYEQARGRVNQLRD LGHSVDKVEIILMGGTFMSMPEDYRHKFIAGLHNALSGHTTDDVDEAVKFSEQSKVKC VGITIETRPDYCLKPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVRAVSESFHM SKDAGYKIVAHMMPDLPNCGTERDIWQFQEFFENPAFRSDGLKLYPTLVIRGTGLYEL WRTGKYKNYPPNALVDIVARIMALVPPWTRVYRVQRDIPMPLVSSGVENGNLRELALA RMKDFGAECRDVRYREVGLHEIHNRVRPADIELLRRDYAANGGWETFLSYEDPLSDIL VGLLRLRKCSEEGTFRKELVGMEGGCSLVRELHVYGTAAPVHSRDPKKFQHQGIGTLL MEEAERIAREEHGSGRIAVISGVGTRDYYRRLGYFLDGPYMVKDLLYDE L198_02837 MSLRTIPLPLRSLPRHRALPRASSITSRHLTTFKSLRSAAPSAF STINASEISHFSKLSSQWWNETGEFALLHRMNPTRVEYIRQKVALAPTGNEEWSFETR HLDAQREAGKGTGRWLDGLRVLDVGCGGGLLSESLARLGGRVVSVDASESNIGIAKTH ASQDPFLADKLEKGELDYRHSTAESLRDAGEQFDVVCSMEVLEHVDEPGEFMKCLGEM VKPGGHLILSTISRTPLSQLLTITLAEDVLRLVTPGTHTYRKFVRPEELRRFVYSDMG GFGIWERNEDASDIRDGEVGETRGIIYDPLKGGWNLWGGVEGSWFKDLGEACNYMFYA KKRV L198_08235 MGRAPLSDAELNARGFRRLPLPDDGKVVCIVCHPVPNPIGRRNM ISHETTDKHKKALSEKAVRDAQLSYQARWKAHSANTVNGYEIPVSNGPRHSMATPSTD SQSRTYSTINAVTSDPLPLLAGDNPQEPIPYTDTQPVFDETLLPITIEEHEALVANLW QQCEDEDIERQIMEGFDPVEDSRHHDTNTAGRDSHRPGMIERANGRLGNLTWGEALKN PNALGDGEEENEDGDAEEGVTWLSPLDQSDKPNTQTSTAHVRVNPTSCHHLDITSIRP TSTAELHQHRLRALCLLSL L198_08236 MFALAVRCETPAARDPSQTHLKQHASFQRDEANQRAQEAMVRVV LPESQAGRTVDEAGTGDGLSRRPPSFCGSTSFLAGILAALSTGVLFQTVSTGVLFTTH PTSIRSRGKTDEMRASQMASSTTDEEESGSEEEAAEGPAAKRARSSPGRRIRQAGRSG RGGRTERASRESSQWNKRSVDV L198_08237 MVVYLDDGDGVHSIRVLVRHPRIPATTPTFHQIASELGISPVHL SLRQRDKVDEVLARRVKMAKEMKEWKRADVMVGDTVRVVGALEEMRWKDGTVRQVLVS DNGGSIQVVPPEEQLHHAELVEQLHRELYNRPFAIPQITSARPIARGKIARSKTSLPS KRPNEEDEEGQHPASDITICTLSGSPTKSISQNLTPPRPRLRHPSKLPPSALTRPTFR RYLIHHLSSSIEDALSTIIREGGVERCRNALGRYFPAYLKYVKRRDGPGGGGGGSRAP LTAKQAIGPLTPLFEQDILSIPILHILARRIILQEALDAERERKGRIKSGLEKKDDRA IYEARRKLRKANEKVIARAKAGNPVEGEEQVQNATWLSEPQITTATTSLLTYTLRTAA SSGELIPTRLSSLLTTSDSPYGYLSLPPQLLLPLLVPLIEKHSGWGLWGSRVGGGVTV QQLVRELQGWEEDGRWEKVSEGVVEGALEWGEEEEFVERQGGGWVLAQGYNWV L198_08238 MPILTISPHKSQPTPEFWSALTAHKLDHLKLDDSFVPIHGYLEQ GKRVVIDRNASQESDDNRVGIDGSAVLGGDAFVAGEKSLPNTMPMRGTLKLFNTIEEF SSTDLKKKVFHEVVQQMLQSFDTDTPLLNTFLLVTFADLKKYKYHYWFAFPGFVASPP WNLNGDLSPANAEEIEEIRALSSKLDNQEAYIVRGSTGQRQVAPLTSCSAFFDQGEQH QVTIAFHDPSSSPSSPGWPIRNYLFYLNVKHNVHTARIICLREGGSSLEGIVNLDKTQ NAQEAKAVGWEVNKAGKLGSRVADLGPLLDPARLAAQAVDLNLKLIKWRIMPSLNLDK ISQTKCLLLGAGTLGCYVARVLMGWGVRNITFVDSSTVSYSNPVRQPLFTFTDSLSNG KPKAQCAADALKSIFPGVNAQAHAFSIPMPGHPPGKTVGEDVERLEGLVRDSDAVFLL MDSRESRWLPTVMGAREGKVVINAALGFDGYLVMRHGAGVDAAREGAGGKRLGCYYCN DVVAPADSLKDRTLDQMCTVTRPGVAPIAAAMAVELLVSLLQHPLGINAPADSSTSST IDTPSPLGLVPHQLRGTLHDWKTHLVEGAAYDRCTGCSKTIVEKYKSEGLPFLLSVFN NANVLEQVTGLDKLQEEGERMMEEMGLGSDDEDGDDF L198_08239 MSFQGDVDVPDYANLRQHVHNPGWSDAQERIILEPYTYISTNPG KEIRSKLIAAFNLWLDVEPGDLEVITKVVRMLHNASLLMDDVEDSSELRRGLPVAHTI YGIPQTINTANYVYFQAFQHLLELGKDRLVGRGESGSGGRGRGGEKDLVEVVNEELLQ LHRGQGMDLFWRDSLTCPTEKEYVDMVLGKAGGLLRLAVKLMMAKSDSKANYVPLVNL ISIWFQIRDDYMNLSSTEYESNKGYCEDLTEGKFSFPIVHGIRADPSNRQILNVLQKK TTLPSLKHHVVTYLQHTTHSFTYTRSVLKSLEGQILEEIRELGGNGMLEGVVAALSLG EEVEEGGVEEPV L198_08240 MSRSMLPLRPLRAGPLRLRLRASLHTTPRLARPTKSPAVPAESD AQEDDPKQGGEGFFGTLIYGSKEAKAEGLVAGEGDPAHHPHSALVGRNKYIHEKITHS VPPSSRDAYLSAAEKYYKALIGRGAALGGIKVMGSWENIVGDVGCFTHILEHEGYKGY DQSLRGLRHDPELSQLQSDMLAHITSRQHQLVSEFSFWPSSPPHSSGYPDGGIFEMRS YLLKPGSLLDWEYAWRQGLEARKKFVVPVGAFFSQAGLLHEVHHIWQYPDMETRKRTR DQAWTVGSWSNTVKDTVKLAYQMRSQIMVPTPFSPIR L198_08241 MSERAASEAVPETSPRPGFTRGGFAPIPHRHPDIPPAPVEAASN AALSPKIDREDWERGRGRRERELPRRELDHEREEREGGRGGRRDWDDLPPRRGSWDDD YDRPKRRRSPSPPNLPHRRPRLASPSPPPPHHRYLPDPASIETLLPFRAFAEWFRSSH PQTARQDEEETRLHLERIDRGEVKGEEGKEKVGMAKRYERYRREFTSRQLYALFLTHR DSVWFKEKYLHYPEHAALRRRVNRQGRVPLVESYITALRSGARDDVNYDPDGNGLQLD GVKVDVEEGSGMGKVLENKSDWGEEESVKAEIQPKTKQIFLKTVPPGTSRKSLEELFG RVPGFLWLALSDVSVKRSFHRVAWAQYAESTDVSEVIDKLEGSKLDNFAFHMNINVTP TVGRIRVAPPAVNSLEKLLHDGETAKRFALRLEEELVGDEEEEEKKEGDEKDGKGEEE QKGKKDVAGGKGLTERGSDVVEEVIVRVLELKGLQAKIILDHWISYLRNGLSTCFYCV CPASFPEELHRKCVGHIRSHLDLDPSPKAEENGHEEGGDVKEEGGEKIEVDVKVEVKE EVKEEKEEVKEEKEDVKEGDEDREMRDAENPSTSNPARPSDSHDRWEKDKFDKSQSRS KRHFPQKTASEKWLESHAHRLFPLISSDVHLADYGGRDVEEETKKICAPLIKQEEESK YRCKECNKLFKAPEFVMKHVTSKHPEITERRIDDVLYLNNYVLDPQHLQPNVSSLAAV NDKLPTGLPHHSSSSLPLPVYPDSIAQPSSLPNMPPHMNPNGTHQGHGQFNVMQQQMM MMMQMQMQQAMMMGMGGMVPSPGGPGGHEHGHGHGHGGQGDRGGMPGRGGGGGYGAPV NAVPLPPPPPGGEDPRAKRGRVSYQDLDEPGAGGGGGLPY L198_08242 MNEDFGFSFAQPSHAPKNPFESLPPLRQASHSSLPEASHSNAST QATSTTPLFGARSRGMFPFRPTAPYPLAQSTLPQDSPSSRGSSTTDGESASAKKGVEK DSGMGRGGIGGGGGERKFFQPTARDGHVPPIIQRKAPPEREPEPHHGFKPSPARQPSY HAAPIAPSPVRQPASHVPPASRSSHSVAQPAPKGHSRGWGDPPFKYQQPSVEDVPDRE GGQGSQDTHRPPSHTTRPNFEYEPMDTGESHQYAREGDDSGSDPSSTDTAVQDGASTA AHRVSHLSTDDYRSPQPKASSHKLDSPSSVLYLDPEAQDGTPRQQMQQPSTLAHSVRQ GQHSMQFQPSPPQARGVRQPESSPSHPMNDAQPPQKIRLQQQEAPKTVERAEPVHGHD KDVAAGRGERDQAGSSEQVLLSLLQTKNGEIDGLRGDIRNYQKLLQQKESSHDDLISQ HQRLVESYAKDEETWKTSMGSAKRWKDSVKQKEYVKSQAMQALQESYAEQSSSYQQSL GELSRELGAFKSTTLEETQQQLSGHASTIGNIREALNQVKQSLTSHDIAVDELQNVKA TKEGLEKALNEQRIELDDARAKHSNMELKLREYEETVGPDIKSVLSNLESMKAHSIHE SAALQDTLRSLHKQSDKLSQKEKDYIMLQADYRSLQSSKKSVDEQISKVESFLSNRGC AKSGLVCMIEELEKTHASDIAECAKEVEEKEKQAAELQSTLEKVQKELEAAQARTSEL QDSSELQDNINKSLTDSNAELEDQVASLQEAAKVQGEKERALKQEVEELGDAKQALTD RSTALAQKVQEAELRLQAAQTSLKDSKKSEKHLEAQVCTLSKQLEAHLKQQSDTSHVH DLLGELQTRMEQLKSEQDDAVELQRANTQILQKDHAISSIQSSLDNAHRTIDELNDEI RKLRAGFEQVSSENARIRKEVDEQAEGGIDLIERWERDQLSADEVVMVQRVTQQIKRG EQAFYRQELDEKANVTKKLEARCKKLESQISSLSHVKMSNSMAASVAPLAITEAVAVL SPYTSSSTSTVHAGKTISPHSPTKHAEDSKASFYSPLGTKSSTAFLSEPPSNSTIGRK RRLMDADVDSAEDISIMGNGPVNDEMDASVNEATFNIPPSTQAHSSTQSVTKPQKKTR FADILHSSTPPDSLPEDMDDPIEPATSQPQPQPQPQSQAKQRDGLPPPSQMPKTYKKS KTGSKSKVDSGVQGGGASSGGGDTRKLRGGRKSL L198_08243 MDPTKAQTTATFAHLKSQKSNKQCFDCHAKNPTWSSVTFGIYLC LDCSSVHRNLGVHISFVRSTNLDSWSLQQLRTMKVGGNASLAEFFAKNNGAGLLPPTN GDARTRYSSRQATLYKDELAKRIKEDATRNPQGIHIDGLELTPLASPSKSAADDDFFS SWDKKAETKPATPTTAETSPAAPPSIGKPVLAPVAPAAPAPRTVNSSSFRASSPAARP PSASRLSSSSSTGPKPSKLGASKLGASKLGAKKAGTAIDFEAAQKKALEEEERVKQVA LEEKQAEEEAKVQKAKEAEEARKAAEEARVASANASRAAAPANGAGKKTGAATGPVRL GFGQVHGVAAAAPTKTRAVEEDNVRVAREKFGAQKAISSDMYFGRGSYDPTATAEAQS RLRDFQGATAISSNAYFGRDDEESEDGLGGGGGYGGGGGGGGGGEDGDGDALAGIERG VRDMAERLMANPDVQQLGDQIRSGALKLSDYLASFEGR L198_08244 MSLTPWFSCGLWPFATMGWPNKTAAPTPSSRPVGTFFPSGSPEA TKPIFEANSDAAAKLSAQNTL L198_08245 MPYVTEDLWANVSPVAQGDACESIMISAFPEKIAEQSFPKEAAA FDLVVPSLVCDNLPTNGKTVETKINVIIQAENDDKLQFFKYVKTVVVGLTKGYGKVEF IRKDSEISPVGAGTEGKIDAASEIDKLEKKAVVVEGQKAKINKGMGMSNYETSVKEVA RAQNSDKLEKINVEIESLTLAIERFKLLLL L198_08246 MPTPTPSSTVGEERQEQIKKFCQFDVRRQLLEIHLMQHASLQRD EADQAQEAIDLVPTYVDEVMASAMLPAYKDKKLQTYIIDMIERLNPGTIPAAATTAYT VVQKAIRQRLTNRRHSVLTTIKGSINKKTNLWSLAAEILPEGNQRTSAVLGRVAMLRG LAQEFADQEETAAVAESEKAKKAVTFWDFVDPKLKGFYEEGRWTAMAK L198_08247 MPTNAASKSKGKATTRRRSSDTSQGASPAPKRARTANWNKDLGT DGNSAEYHLVQWLIMRSGTSMRSNYERLKTAPKGGKGGRINLHKGAIKYLAEKGCSSQ RKHETVKPKIASLKASYIDALQFKNSTGAGRLDGEERDSGILKKCQYFNDLNEVLFHQ AASMPSNGADTISMGDADTSSVQLSRLGSIWKFDRQGKCRQTP L198_08248 MPAPPSTPATAKRSNKKTDGDDASGWRWKHNKKNSTICVGPDGR TGEDYLIDWMSVPKNWAAYSDSNDRAKFAATVIYKWLLKKQIKNFDKAKASGVQDRIQ KMQKAFDEVLAIQKPTGEGVTEDDLARGIETWEAKIKEKCPFFFTLLPSLKDRNANIT AQSSVHQMGDREVSITPSMARRVSSRSDIEDQSVGMEDEADENDDIFSVRGTRANSLS TDITSNNHGVADEPTSGARGAGSLSNGSRAQASLNAQDTPTSGKGRRGNANMNEQLQG LFKDHSTANVKGRKEIAEQQAQAALALEKYKVAEQARRFEGEMTMKNKRLKVEDTRYE AKLQIEQEERKLKRKRDEDDAKWRNVKAQREELRAKDEMAAKKWEFERELIKEYVTEG DTREEAKRKAIEDTKRRFTYD L198_08249 MFQEPQDFFSNGEFLLADSGFTPNPNCLPNYKNNAGGRNRHAGT ARDHKVFNDHVKKVRVRIEHTIGYWKASLNYFTTGSVIDHENLEDEEIIDPDDLEEIM REEAVASDRMDQEAIQELTEAERRDNGWRREAVRRQIEDMQARGENVAYVDPELPLFA L198_08250 MPKSAPSKPLLTTKPYGALQTTVNKGGHPPKPSPTNTVALRSHH RPPSLICPLASSSFAVLLILSTRSPPHLLAHPHPMYFPPPPVFKHHKSMPLNAPFTVP SAPTSNTYTHILQLWSLLSPAPGPKPNLYTGQEGGLGCFRR L198_08252 MPVATSFLQLARARRDGPTPLRPRSIPITHVGKPEWLEKNIAGR FHCRFCDATFAKVAQAKLHMSRGSGHLADRVAWPMNTRYHYLWMADHRVTETADVMSL AWYCKITKRTIRDEAGAEFAAQWANEVAAWLKKYNEHYRPWLAGPTFRPSDPLTVAAG FAHGDYPLLSTDLWKTRTMSLLPEYPSAGSSASVLTAACMVYEELLSWAEDCPEVSYE INEVVKVAQDGFETIFDSRGRNLRGWRLLLEALNDDEVPQEVKHAVNRLTAPLGSELF AISKKAKGFSPRVAAQRERRQ L198_08253 MAAQRQLPYLPYFPTLDLAIAAADGTKRVWARDRLLGDRQGSKQ YVVARERALYQELLRRDAKHRVYYEVLLSGTATRFYLDVEFERATSDAELSIVKSNVM HYFNDENDAQLRGSLMVRSRALKDTAFSEQTASKVIHQIQDMLATGLQARFDPPENVL AGTVVMTASTPSKLSFHIVTNVSIDDQKSSGAALAYDLGMSFRLYFVMVMREIHAADP GLTSQRAEVLLILLQLHKRGKSSFAMDLAPYARRQLFRLGGCTKAHQKRFLRPLAVNV PVITGADSPVPTMASLFPSSASWAATLCQGGHADLWPNDHEISYAYNSSYPWPKPVGA DGNMDQYPHVSEFIHDHSTAERLRLAGQPGIRAAAPHVRLATVVPGHTSVLDDITVIV GEDGVSTRAFVEYREHEQVYCPRCETNAKTDAQGRTVGYEGVGPDPSAVITLSASGQN ALYCFADCKTMFFCSLTFVRQPMYVQPHQEVHLASGADRINMNGRQDFKIDPSTRLSA VSAPTGAGKSTLITSYLDGHPEAKVLAISYRQSLACYQANRWSCKQWSPLLHDLTIFG RVSALAAFPRLNHFQPRFGINSSGINFCSRLGYH L198_08254 MSPPVPQDPIPGTAPPAGGAPPNIENKPNIEKSGETEGGDQQGD KDKNGEKEGGFEGPKTDDSGSTISFDTPLGNTTRPRDRPDTPGPESQPIHPGMLALMA QLANIQQELTDHIKEQRATVPAPAPAPVPECPKVFKRPDTLVCPTLSWYTNDPFAITR HLYALEVYLTAARPTMLPEHYPLWAIEQCNFSISKVGEWFTWGLEFGRKALTFRIWSK QWKEKVLDPDWVRQARTAVKFKRMEGTTPQHFDAFANSIRDYQHLLSTSSDPISDQDV KRFLKEGLSSHMLVLDVDNALEQKQLNINTISVTDLIALMSKRVTVCAAQLAAFQSHQ AASRPPPRPAQAPRPAQARPAPAPIKAISAAPAQVAPAFAPPTAAEVQVWLDSTLRLP PGVEGSRAREYLRQRGL L198_08255 MASSFAQMSSEKLAQLQTQLPDILTDAEKLLPEEKRNEVIRDKM LARIMAQGQHKMQQQGAGMGMQSPMMGMQQGMQNGKIPVNQSQLQQQQQQHLAMQSRL QQQSAAAQQSRTGTPRPTQPMTPMPQGPINTASPQSHGGIVSPQVIRQSPSTAPGRPV GLPMQGIKTLIDNFPKLMELKRQGKLKPEQERLFDQFMSSPDGQNHLREFRAHQARLL VERGLTNPVAHPAGLQPQQTPAMNNMQLPLAAQQQLAALQQQQQQQQQNQQPFPLNPQ TQSQSLQNQLAPQFAQNLAGAGLHHNQNQLTPQQMQALQLQRLAAAQMQAAQNGGRAG MGGQGLVPNQAMINQAALARAAQQAQAQQPQNGMMGMSPHIAAQAQAQGQGQGQSPGG FGSPRPGARPPMSLQQMLINIQPSMSRVAPDKVESVKAMLMRLANMSDEERDGAFKAS PQWIPLWNRATGGGNQNQLAQQAAQQAQVQAAQQAHAAAQAQAAAQAAAAAQAQMTGS PHVGLQNGTPIMRPGSAPAVAGFNAINNVSNLHIPAGKARGLQGQGVAAGSPNMRLLQ PAGDGQQQLPPGLQADPSIVQRLLEQGIPVQQAAQVFARHQQQLAAAAAAGGNPAYPG LAAQHPSGGTPQPQRNITPAMLLAQYSHDMPSRPPPSRPEQIVPDAPAPTDQSLSILS RASWVPNYTDDVRVESMFKPQTVAETGSRTVGRGTLGWRRVDREGVDDWPEGLREAVD EEAEDEEGEEGEGKGRASGMPGQKRRKVQEVAEEVDKALKIPKDSETLLLELFDEHSD VISEASCFSSDRIRQDQTKSAARHRQPNPAFTAKLKAINEGKAAWRRERKRAKVEAAS LTVGVGVGARVVEEVA L198_08256 MGDRALSLLFSFLPCPSPVSETINTAVGDENKESASSPLFSPPP PPSPSLPPIAPFPAITAKPASEKVYLGLRLFGIRGPTVPSPVVSAQPKKPSDKVRLGL LMPGIRTPALLPNAQPEKTCDKSKPKARSSAHTTTTNIFKATRIRAWRRRGMLRLASS ARTSALASLFTSPAEGAMNDVIDLTLIDSPPSSPSPVAATYTNTTSDDSGIVCLSTPV NTSSVVGQRDSSSDRPKAKARSSAHANMSNTIKASGSQSPSGDKKTHAKGCKHKSNSC EYCKRRQIKCDRDEFKACTACFKKGIECVYNIVPGKRGALKASQEGAAMGRTLSGSVI NCGLPSTPRLSSSPSSNASVAILTPPRAASLYAQLASTSSANCNIVAAPSAPFCPRQL LLALLPLPSFPLLLLLVRSHYKFESVYGS L198_08257 MASTPSALPGPSRMPLQPTPANISHPQAGLPIAQQPNGHQNARP FTGRHDIHQIKQELHDALGEEGLPYWKSLNAYLLGQLGRGELEGMVRGWLKGDGLHLH NKLLSSLLSNASISLGDSGTVSASRKRKGLSVDHADYDTDDTIVEPKRRVEGWVMGLG KKERVRVKKALASGDAEKGPDGKDAWESMEQKRWSPYSQNSLIAPLAVPKRQLPSSHQ LSLRLSQYAKLHDVTVPPSSTDDIGEFLAVGMDAHMADILHSTVHLTARDRPGDTGIR VPKGIGSRKEGEPQDAGEVPAPDLDTFRTLFDIHPHLHSNLSPALHRLQTSHTLAELE GANPLLQPTTRVPQWVPVPNAAPVAKLVPVAEGSKAVGRSPARSVAVQNELLEKGLLK LDKGREGDGAEGGKKEKRHTLHWRYEDPALILGDILG L198_08258 MPCFKSVASASTEAEGAGYVPPTTAPSQSPDASQPSEDVMVDVS GCVKSNSSGHHVDLRKVSALAAIKGGAQLLAYPSGTTPMQEYSAPATFAALYPHLFPC GCGSFKDPLRPKKVSFVAHIAHCLRYKDRRFPTEVNFPFVCLNIIQGRQASFQALLQT EKATFPKLVPLLKRVDVDTLADARQAQAWFPFRSGIRPGEGRCDLASEAEHHVY L198_08259 MSYLLKKPCSQVGCPKHAIGTLFYCEDCAKAWCLEHYHANSPHV CRTLIMASFQPGGEKAVQIRKKIGLETSGDKHAYQRTVEAIDSHKITQQASLIRPGHT CSLVTPSMPDGDYPRASAEYKIGWVNIHLPLIFDDGVKWIVRIPRRHDGDAPANLHNL IASSEVATLRVLHQNRAKVPNAWMPVEGNPDEAANGRYFFEEFVEGVPYIDGDYMNTL HTDRDRFAASLLEFAQHYIAIADIKLEVKGIGSLYPSDNSCGYTLGPITSMGTFMRPE PPYFLGPFKTLRDRYIAHIEQVLFHIRKLSFFNIAPIRSYVWLLELQDMIKECEVLGR EEDDFYIRHADDWFRQTMRDSEGHLTGCLDWEWAYTTTKTEAFSSPLNLHIAHPWTEG DNALSPDELLMIDCFIKLGRPDLGDCIRQGRLYLRLEEALRIDWELFYMNRRGNFNGV LAAFRDQGQQVPGPFESEEELKVWLESLEKKRQENGELDEVRAAWKAYSDVKQEADRR DEETWEDVVAEQYKKLGLEEVDSAEK L198_08260 MPGTMVAKILARISLSLAWSTLLRLDVPSSSTTPDGTGILTEAD KNDLSKKIGQYFKNIQRVIHQNSTEELQMKTREMNEKKKVKSRAGKRFAQVSRGVDFD SSLLGRCQESDLLRHIFLDLFKQNNSFLPFMYDIPNEEREICAVWRDIWCGLSGQEQQ EGEDVWIVEDPEWWSPWLKKAYWTYYYEANPRVPRERRTLQSLEKAVGVEIGMGCSVL LHLAPLGVLEEGDGEMLIAMRREVPEAYNYGKEIGRRVMMADEELHEIEVAANKQPVK LARVLKVLGRTGSRGGVTQVRVEFMDESNRSIIRNVKGPVRVNDILALLESEREARRL R L198_08261 MSAYSGSTNQFFAAESTMGDGPLSPLFSPLPSPPPPTEAGEPVE AVEAGVNDLAPQVSPFSAPFAAGSVESDSAMSSLFSPPPSPSHIADETTATTIGDEND LGYDYSDDSALSPLFSAPPSPSPVSETINTAVGDENKVGDDYSEESALSPLFSPPPSP SPVEASATTSARSRSPSLPPIAPIVIPTTKPASEKVYLGLPLPGIRGPTVPAQVGSTQ LEKPSEKVHLGLRMPGIRIPALLPNAQPEKTCDKSKPKARSSAHATNTNIFKATGGQD PGMEKKRHVEACKQRQNKHDDHDFSALASLFTSPAEGVMNDVIDLTLIDSPPSSPSPV CLSTPVNTSSVVGQRDSSSDKSKAKARSSAHANMSNTIKASGSQSPSGDKKTHAKGCK RKSNSCEYCKRRQIKCDRDDYNACTACLKKGTDCVYNIVPGKRGALKASQEAVAMGRT LSGSLINGGLPSTPRLSSSPSSNASVAIHTPPRAAPATSTVVVPAYLYPQLASTSSVH FNIVAAPSAPAPSFRASHPSQLPVAPVATGPEVNLEGEFDFDFDQFYRDIGLVASAPE AAPVSSSFAPNPSFSAIPPPPPIQPFSRAAPIAPLLPAADEQRAAVSQQQWTLAQWCR DNGIDEATFLTNPVFQIPGLAEAAPVPVPVESEKEKEKREAAEIANIVAFFSTPEMRS FNDVGC L198_08262 MASSFAQMSSEKLAQLQTQLPDILTDAEKLLPEEKRNEVIRDKM LARIMAQGQHKMQQQGAGMGMQSPMMGMQQGMQNGKIPVNQSQLQQQQQQHLAMQSRL QQQSAAAQQSRTGTPRPTQPMTPMPQGPINTASPQSHGGIVSPQVIRQSPSTAPGRPV GLPMQGIKTLIDNFPKLMELKRQGKLKPEQERLFDQFMSSPDGQNHLREFRAHQARLL VERGLTNPVAHPAGLQPQQTPAMNNMQLPLAAQQQLAALQQQQQQQQQNQQPFPLNPQ TQSQSLQNQLAPQFAQNLAGAGLHHNQNQLTPQQMQALQLQRLAAAQMQAAQNGGRAG MGGQGLVPNQAMINQAALARAAQQAQAQQPQNGMMGMSPHIAAQAQAQGQGQGQSPGG FGSPRPGARPPMSLQQMLINIQPSMSRVAPDKVESVKAMLMRLANMSDEERDGAFKAS PQWIPLWNRATGGGNQNQLAQQAAQQAQVQAAQQAHAAAQAQAAAQAAAAAQAQMTGS PHVGLQNGTPIMRPGSAPAVAGFNAINNVSNLHIPAGKARGLQGQGVAAGSPNMRLLQ PAGDGQQQLPPGLQADPSIVQRLLEQGIPVQQAAQVFARHQQQLAAAAAAGGNPAYPG LAAQHPSGGTPQPQRNITPAMLLAQYSHDMPSRPPPSRPEQIVPDAPAPTDQSLSILS RASWVPNYTDDVRVESMFKPQTVAETGSRTVGRGTLGWRRVDREGVDDWPEGLREAVD EEAEDEEGEEGEGKGRASGMPGQKRRKVQEVAEEVDKALKIPKDSETLLLELFDEHSD VISEASCFSSDSIRQDQTKSAARHRQPNPAYTAKLKAINEGKAAWRRERKRAKVEAAA LTVGVGVGARVVEEVA L198_08263 MSLLHSPKSVPTETSALPPSSPLPADRSLNTQSWYSPIRRVLFT SLLLAMTFRLTQTTLIYAFRVMTCDEYYKTHDWVGERGDDKCSLPKIEAESASHVALM SMMTTMGTIGNLFYATWFIKRHGCKATVFQQTFWIALRNLADIYAINTGGSRGIRIIQ ASQLLNFLVSPGGIQIACNMYIAILAKAEDRTAKFGVLTGIVFMGSSIGFSAGGLLFF PCLGLIGPFYAAFGFLCFTTLSGSLFLPNIPPEDSQESDVKKKKRSFLSPLKIFIPTK HLVRGAAKRDYNLLWLGLGAFFSVLATGYVHIGLQLVGTSVFGFLPGQNSIML L198_08264 MSNPSEQDSNNGGWRITRENIKAALALSDDEGENSCDSDDEEEE VNENQITESGFLPSRLSIIEGYSDAMLQSLADITITTPVDQAPPGFPTQTSNLGTERG VELASLRYSKAQSNAERTKARERLLFHREAALTKEDIALVNTIDSVFDTSTRVQQLRA NIKTSWDSGVKETSQFEGTLDESQLGSGFLKEEMGKYATSKFEDYKMVQSLPERTAEQ TFEKKINLRAWGNSFEEHWALRQLPEE L198_08266 MRLIDMSTKVPSSASAVTAPTFASLTEEENETIRMAFDLSSNLL QASGTHISGLTNSMAPMLAGQIFDDDNVENEMLHGKFTREYWIDEDIEKIDPEGYQSG KEAFGELEGLREEIRRIDEKTGRALSSCWGSRVADSATKDDPDRWDSDSESSSDEVDE DERVERMPPRTDPEMLSSTSGPVYSV L198_08267 MADLETLFNSPPHSPPPPPPPRSRSTTPSTPLRPNRPEQEALFF SPSASQFGSPAASRGQRWQPAQAFSDTMPADADADVGTEVGVGGGGGMDQVRRVQGRR EERAAEEGGGGGGGIVRVRHAVNVVDSVDPLPRDTFASRPAALTSGGGGGGGGGGAFA GVGVYGAITDPLAGASGAGGDGGDGGEGEGEGGEKRRRPVAKVDADRLLSETTGLPAL MRSAKKFRTRGKGREKDDLRNLLHMYQMWAHGMFPKGSFAQTMERTEKVCRTRRMESA IGGLSDAFHGRRSPSPPFSPVASPTRSRSRSRSRSRSRSRSRSRSRAREASTQEPLFT EAGPSAASAGGADGWDGPDMDELMAMEAQEALEGQQGHEGAVEEDEFDGLYD L198_08268 MAAHDPDSGLLTPSPAITIRGNHPSPSRPKRGALSPLQTLSPLE PLKASPPVRVTDTAGAGPRRASLTRSLSRRESMVENAAAWRRGEEVGGDGRGLFSRLT LVKAPAAEKKDERRQVFSSPFRKPPLTLCRHTRSKSGSSLMPFNAFASYSDAPATSAS GPRRSFAASSFGASPSAHSVGLPMPDAVNRGSAHRVQSCSGNGRNVQKDKLFSPEQVV ELARSINSPKTASSPLHRAKSARSLRSFGSFDGSPETPQAELEPVEYMQMEEDVLLPF IDRPSEVADLIAHPSNTKLFALLRAAFPKEPARPEWKSLNPTQWRWDEFLLHLTRVPR SEVDDYDWVFKDRQAVRSHSVALWEKLGTCLGCDEALLNAGSEDGSPNTWAGLGLDDD DGEQDAPDGHVWIEGLAAEDQTERAHAERQLRDAFGGIVEDEGEVAAAGMTALLGPIG EGDGAEKGGELTPAQRAGQRDKIDPMGMGTGTGLGLGGITESPVEATFGHDESGSAAP RRSRPSFVGLQISTLPSNGHSFPRSPSLTTTSLSASAQNTSPPSPSLSTTSHLPLYTY DRDPGNPLFPSSFSNLSLAPNLGRRASSTVNGIHGGAGGMFGKGAGGAVPMRDDVVGE LRRKGFGFGGRKASQAGLSESES L198_08269 MVLDIITATTLCPAIIATKLSIEGGTRRNWRDGNKSLHLEVFVK FQGVGRYKRKFEGARVVLMGGKLYIEHADSHFDPGSIQPLLGRFQPSREHQDVWDKTG HKGDLFTSPAPTSSSSSSSPSLTTSTSPDDAPSCVYVDHNTHELKYGSPSLSSTHLPG PWSTTDVQKWLLFEGWEGWVVVQEDEERDLWALYFDRSDDGLTGEGKVGDVERGRGRG EGRRMLYVRLVRREPARTFGKQREDEEEGRRREAEMEDDRSCL L198_08270 MSASETQSNASVRDEILNEDPNIFTQDEKDDITNAFGNGPAEGS AVSEADDGTQNGGTEASQSGGQ L198_08272 MSVPAPQGFENPDGVYTTFDAQRSQPQPQQRPAPQPQPQPSSQP VAGPSNYNGQFAIPSYNHNPPQPQHPVPLHLQPSPYALSSGNMVPSYHQGVNVAYQGG GGGYGGSNYHFGGMSGVPPPMPAVPGEDKSGDGESDAATVKHRRRTTPDQLKVLEFWF EINPKPDNQLREQLAAQLGMTKRNVQVWFQNRRAKVKGIAKKEAEGNKNGSSGDLSSS HTPQDHSYVDPHYPSFLQPNPSHSLPMGRRASLANGEAAKIEMFVAKRAAAQKQEEVL SHVKSNSGSGHGLGASTGTTGSGTDMGQANAARRGSIPYPSPVTSFPPPPPPQQLPTP MSPKFSPATRAGPSALHIAAIRNNTRRASMPGAPQLISSGPFTPPRVVGAQVPGAVVG GRARELSPIRDHEVYGESESWGFLPPPAEYDAPTFLDDSPLPNPTFSFGSAPSNPPPL PPLQHHSSSQSHEEAQRQQQMFMMMQQRGRLGSMASIGTMGTENGTTDGEDSSGEWLV DALPEGFEPDARRASAPADLLHQIGIMGLTPNVAGLNGMSGPVRPSPLNTHFTPDSYH PYTPHSASSTSTYPMSHPGSDSMSNESPTLAHFGKDVHSQGHLFRHAQPHGHHQYGQQ HSFDGFEQWDASGGALGQRAGTLGGGGGARQGQMPPLPAADYHVNLLPHGQESHLGSG SGESEEGKDDLLYLTDFGGGSHDAVNVLV L198_08273 MATNSLHSSTDLYRRLTWQSTVPISIRLAPSSTSPNAPAAITDT YFIQAPRHTYLPLLIREIKQNMVELALGDEDVAKYDVKDWWFEEEEEVGGEGVRSFAG QGACRWHWPIDLIATHSYMSRPRSLPLVAQASLPPASPPILRLVLHLSKPPADKLLMP NDLATCKSQWLNQVKEADFVRWRNTTRVTNLRKVDLEAGWDGIVLDDFESYSKMASKI VPLPIPAPTNQQQPSRPPSTDPSGRATATESTYATRSVPIKLYLPDNAPAIQDVLSPM DENGKPNTLISVLHKLIPLLFPVPPSSFKDPYPLAFPIAQGIVLPAEADIAWVASCVC GADGWVRLGICLRAA L198_08274 MSKQAGKRARVSINAHDNPLHRSTSSINDDASEKAKRRKSAHFP PHLEQDAAAPAKRVVSGLALQQQGINQRRGKRLSAVESSLPLISMEAMNTNFEEWMKL ATDNKITANNTWNFALIDYFADLTLLRNGPDDQSINFQKASSTLDGCVKIWTSRVDSV ATETGKLLSGLAGGSEDVEDEDGEEGEEEGGAPKATRKTARSEATLAKSFAQLQVKKL DVEFTVDPLFKKTSADFDEGGAMGLLMNHLGVDDKMRVVFDAGDAGDEEDEEEELEMR DDVINLDKLLDFIPSVDALEDLKISNTLSSFHFSSDPDSTPDFTTLLGLKDTFQDDEP PFQFEPNPAYDGDDIPMAPMDVGGEEEHDFFGFDDYNAGGGDGEGGFDDDASMMGDAD AAERYDGAANPQPASLGLAGQGDHLGPFDPRTRQARDELVVGLREGDEDGMFDYFDQG FGGKSWAGAEHWKLRKVSRKDPTTPSNNAKTTRAAKAPFTIDFSSPATDATSTKTLFA PGTKASLNLPSSSRSKGKNAAKTRKEEYLLPDDMHFSSHQLLRLFLKPKFFLRVRRAG GGTQAPVNENGEIDENFWANAAAERAEGDVDNDEFGSAPAPFESQFFQDDDDGYDGGA DMPAIMGYDDEPLITYDENGLPIPVAGGDGGEEDLLAGTQGMELKRARPETVHFAKKA KRVDVKRLKDDIWSGLKTLIPDGPSPTDEDGDAAAVDAELDKDKAEPVQTFNNIITSL RTTYPAQKMSDISTSFCFICLLHLANEEGLKIESARNDGKDSEDVGCMGVLGEDGVPS LEDLARAGRAEGDRNDRVIGELEALKVYKDLAAGRAA L198_08276 MVSYTSALTAVLLLLPSSFGHPTLHSYHKRFTGVKLQSGRTGLC LAPLGNSTSWVAGTQISSSECTDEHVGVWDVSYGSGVVILSKTSGTDQLLVLDGGTGK NDNENLRLDISNNGSFAQTWYYTNDNRLAITSGNQCVDMGDRGNVQTYNCTSGNTNQV WWLLSDDGNETLSPPGSSSTAVSDAATSGVAASSSSGAEAGSSSSAGALAATSGVVSS TTAASGSASSGTAGSVSSSGGGTGDSDVSSTASEESSSSGSSQASSTGSGMSSEATGD ASAISAGDKASTTLESDATSDSSGDASTTPISTATSAAAKSTSGEEAGSMSEAATSSS AKTSDSTSISVVNVSEDKGSSTSSTAKEDATSTTAQDAGPTVGADNGEGFVTLTVGGQ IIVMQTEFAAAKASA L198_08277 MPASKYTNTDDAAGSSVTTGGRRSSATSPTRSWNKGGLSSQHPA WDLERSIRKEREERAAAAAAAKKEQKLQRKREDQEQSRALREGSATEADYHEAMDEYW SDYGDEEGGGTSLSRQSSTRGPEQRIPRSEDETRAPTFVDDLLEFAGIRRSRSNGSSK NT L198_08278 MKELRIEKLVINISVGESGDRLTRAAKVLEQLTGQTPVTSKARY TIRSFQIRRNEKIACHVTIRGPKAEEVLERALKVKEYELRRRNFSETGNFGFGIEEHI DLGIKYDPGIGIFGMDFFVVMGRPGMRVARRKHATAKVGSSHKVRPEQTVAWFKQRFD GIVAR L198_08279 MSEVVYNNDTRYPGPPSHPSPPEVTGLPTQAELDAYPRLFTWGE LKEIIRSGDLGQLMRNKEMQWKYDQWSVGMKAQFGSTEKYLTNNRLPFPKQSSDSIGL AAHTAHLNLSTPSVSGTSTPRSVGFASLDSRGEEEPEYLKWTGELDPEKYAVLPNDWP YCVPYGVRHFCVWSRIPIGHPHLVDYDPEAWAIIEDQGLGGFTGVAPVTFPATPPEFT SLQEPPSSTADSLPAALGRGAVDGAGDRLTSDWYNTDVLHGGKELRKWAGVKYESRGG EEVGRMVRGLWDERGWECLFFVNPPRLQSVPGFSHFHVFARRKTPEEIDAAEKVWEGA L L198_08280 MPASKFSKQAGSIDASNQPPTSPGSPSPSGKWNQGGLSGSHSAW ESQDAKQQERKAQNQARISPSGSAVDRRSNTPLSVILADNTEGPFQVVSGNDGDRTAG DSASSAPPFSTNSRAPAA L198_08281 MQGNPPALHQWHLNGPGQTHNFRGPPLGIIQQRGTVSMPSSPLL GRYQASTDMGGIGWRAPQTAIQNEFSLPASASDTQSPHPLTNRNFPFQTQTPSAPAYE QQYMALNRSSPNHLASLQPSQTHPSLILGSDNGNSTYQPGSAFSMPSSNLSGIFPSNE QMENEGVDESGETGKKKRAQVRVACTHCQKACKKCSNTRPCERCVKYGLNDCVDSARK PRKTGIKRGPYKRRASKFVTNNQQTSNSYVPQHSYPPAHRHNQGDASATINGLANVTS PPWVLPQQQSIPAYPPGPRPTSFALTDALSAAITGPRWVNGQRVSPFGQQIPPMQVSA DTEGRLPLAPGIANDPFSRAVSPIAPFSPSKLTRQRTADQIGRAEADRPDVSPVKSPS SSRVTSTRPSLSVVTSAHSRPPSAHSSHSPDPPETSSPYYVPAKIRKPSLWTLMSASS IPGSPVLVNPDTAHHPIVDHVPRTNIDKEVDSPTLFNQPMGMENLDLGSRGLDFETWI GLGSELKDGREMGDRGEAIPRRQSGQVTDGGDSARVMEGMGMAGFESLMGFN L198_08282 MFGISAYGYGLVDNDPAKWKKIQEQGLGGFTGIIPRSDRYRIED GIKDNVGLHPHNKGEWQYLDRIQGHSEMKRWYGVGHEAEGGHEIGAMVRSLWDERGWE CVWFVNPPALQSMPGLSHFHVMARRKTPEEINASEEIWA L198_08283 MIDIVYEQDKRYPGPDVASPKAGEILTQEQLDAMNPLYSWADVK EFARAGRLDKLGRTGKVQYTYEQWKAHVKQEHGTLEKYLRINNLPWGEEPDDADAAVQ KQHSVDGVDAYLRWDKMKDGKNKTWAVLRNQMPYAVPRDVRHFVVWVRVPIYSPKLVH DDKDKWEKIQNEGLGGFTGIIPPADDCSNLILPSGPPPISQDFFASLSPSTGDWHHHD QLRGGTDIQKWYGVRYEAEGGHEVGSMVRALWDERGWECVWVANPARIQSIPGLAHFH VFARRKAPDEIDASEAVWGTD L198_08284 MSHDLPPLHDPTSPPLSNSPRSSTSPFFPSPEPTATPTSNRLKR LSLVARPTSLDLDREPGSARSARSPAPSTPDGGVRRPRSAIIGRSNIAYSPAVSRSIS RVGTSQREIGGRDSLEGRVGNGGRGSEESGHRGSEEGDTVDDPQTFMDRHADLLRQIA EKERKVNELKQDLHQQETSLHQLKSRWITLVSRAARSPSSEPTPELTSTSNSLSSSST SSSLFPIEETALTTLTRTAEQSVGIEKALSGMINQAEEYLSPEVLEGGKKFLGNLWRT VGAAANGKTPEGEENMSLGEPTRVEGVEVEKKRKEGGWAPFGSSFDLSGLQSIITPWD GSSSRHPLSSAPRQRTSEPRTPNPAPSPSSPLIDF L198_08285 MTKSAACVRRGLSDNTTLSTESSNPTSPVSPAPSSLLSHQPKKG EGGMIFGYEEEEVYGLEDKHMYMVDGRGKPREMEWLDWVQGQIVAWLSKRDAEVVSKA LRIYGGAFRPLVLSAGGLMSEETAVELRSWRKGMEKEVWHGMQSRVGIELVKARARTM WM L198_08286 MPALLQLPSRSSAFSRYTPSKNAYNDFINPALAAQSTVPTVSLH LPSQPQSSIHAEIEPLAESIKAILGFKPTPVSYHHISTLTRGIALKHPELCERVLGTF EQELVQTCHAITKDLRRGVMARDKGILAKITQEWRSLKQRTDLLRSLLVYLDPPAATE PLNSRVTRVFRQQVWEDNILSSARCAELLDWLALERASSPSPSNPQQKRQTIKDNFSL SRELSLHSFEVETEKWLDATREYHLSTCTQKIAGIVSHPEAAAYISWHLQSFNEELSR AEWCVNHAFAREIGGNILKVTYEEVEDGGIILSAVYHALSLAPDHQALSEVWQYAASV KKFAILASSVESFCKEKVGEIVLRGATAKGQKEKESVDGELMVWDLLALRRQINYLID LLFPHSIATLGDKGKAPLLDSDGDVLMSPTFTPGIGDKLAKEHHFELYESVRTGFKAG LAKREAVPAEYVAKYLDRVMRRGSVKPPSSSTVPSDDVPSFQSHLSEIVHLSGLLLDK DVFKAFYGRSLAKRLLLSKSASDESEKGLVRMLQKEMGEEFTAGDIMMKDLQVSETLV KAYQTANPTSNLNFTTNVLTESAWPASSSSSSFTSTTTTTTPVISPFRLPQVLQNDIA SFEAWYKDRYKNRVLAWRWGLGSVTMTARFGQGTEGGEKRYEIGVSLYQAVVLIMFND NDHLTVKDIRERSGIPVNELTPTLQSLALGKKGTRVLLKKPPGKEVKDSDVFGWNKFF SGDKFKFRINGIQQDISAEESKSTQNQISLDRTSILEATLVRLMKARKRLSLQLLIDA VVGEVSKRFPPDVKEIKKRVESLIEREFLERDEDDRGVLKYVA L198_08287 MAPRKPQPPALPAFSITTLAPLAPVHYDIIDHLLHLAPTTYMRL SKAHHHHALKIFSQPVLIDHSFEDRFYKTTTADQAQSLAFLLPAFYAEAVRVDDVRIW AGLAVDFGRVYSQRKRRKAGSGFACEYHPDRLFRHVRQIGVSLSPFATIPSLTEKAAR EKNYQLKNLFDQSVDHEIGKHFEELAIFVDKPFRGDTDSKQYAFDHFLPTGHWARQKM TVIYIPFFAKGGPTIDDLRVLLPIVLAQNLEYHSSKIIIYPSSSSSSSGASQSCIPSD VATIIARYLAGRARLRAARHNAGNRTVFDIKYAEFHVPDAESVRGLVMPLLSDEVRGR FGSYRAEMERDMRIVELDPALVVTSGYRRV L198_08288 MAAAQQPLYSLIIAIHSPLDSEQYHHTIKDDWRLGDISVDWIDF TREKSIDKREMSRGSAKDDRRVGDAARSAQGGRAVPGSSTKTTGAKKSRSSPHQAHPS QSSETDALSLQPLLAHFPSPSPLSASYAEGISSLGTGVVHLFRHAPPSSLLASIDAHP FGSSSKSNSFSGKGSSKDSACSKENWDGESAEGGDGSLVAILAVPAWMRPADFLEFIG GWGNCLEGVRMIREAATPNRSIVLLKFRDPLQARDFMVIFTGRAFSTLDSRETCHPIR IHHLVLHKLDPPTAASTPIPAFPPSGVPAEGRFELPSCPVCLERLDSNVTGLVTLPCA HTFDCDCLRKWGDSRCPVCRVSHLLLSSSSATPAHPAHPDRERDITRLTKCNLCGSTD NNWICVVCGTVGCGRYEPGKGHARRHWEESGHVLAMELETQRVWDYKGDNYVHRLIQT KNDGKLVELPSASSLITPSVSRPLSLGPDHHQSTTPQSQSQSSPAQDALSSHAGPSSN DIDKISSIESITLEYSYLLSSQLESMRQHYEGQQSTLLSRLTALEQKSDAWDERMQKL EREKEKERKEAERWKGKVDSVRGLVGGLQTALGAEKAMSLGLSERIKVLEKERDEAVK KGADKEDEVKGLEETVRDLMFSLEAGLKMQELGGEGGEGGDLIVQPGKETKPKKRGKK L198_08289 MRIEELILDGFKSYPVRTTISGFDESFNAITGLNGSGKSNVLDA ICFVLGITNMQSVRANNLMDLIYKRGQAGVTKASVTIVFNNQDRSKSPLGFENTPQIT VTRQIAVGNISKYLLNGHKSTLQALQNMFQSVQLNINNPNFLIMQGKITKVLNMKPAE ILGMVEEAAGTRMFEERKDKATKTMTKKDKKVEEIESLLKEEIDPKLEKLRAEKRSYL EYQKATSELERLTRLVKAYEWVLAVEKAEKAADGLQKKKKDIENAKEDVTRGGKECQG MEKELAEIHKKREKEMAKGGKIQGLADAVNNLERELVKIKTQIEITEGTMKDDEKRVV SAKKNVDDLSKNLEERRAETAKETAAFTQLKDAYDAGQSELNSQEELLQSLITGLSSS KTDDENAGGYLGQLAEAKARLATAGTEAEQAKVKISMAEKELKDKEPRAKKAEKDGSG LIKELETKRVQVEKLKEMVGKAGWNEDQEREMLESQAEHQSQMADLMEKRDMLKSRLA AIDFTYSDPEPNFDRGKVKGLVANLVDLDEDNFKNSTALEICAGGKLFNVVVHDEKVG SKLLKNGNLKKRVTIIPLNKIDSTRIAAEKLAAAHKVAPGKVNLALDLVGYPKDVSSA MAYVFGRTFICADKRTAEAITFDKSIGVKSVTTEGDVYDPSGTLSGGSAPSSGGILVK VQELKAIEKEIGVHRRAIDEIRGTLQGAKKVIDQWRKDKKDLELREHEVRLLEEQVSG SNATKIIAEVEAARKSLVDFKEIINQAKEKQKQASADCKRLEKEMVDFRDNKDSKLKE IKTRIAEMKKELSKKTTQVKLGQKEVQTAELEFQQLESDIASAKAEIEEAQSAKEKSK AEYKALEASLKESQADHKAAEAKLKSERAVLVAFDTDLADLERDLKAKKQEIVDAELE LKKLEHDLGLVVKEKSSAEGHKDNLERQFTWITEENQFFGKAGTPYDFQGVNLAQARE QCKELESQHKGMGKKINTKVMNMIDSVEKKEQALKKMMATVLKDKGMIQDTIEELDRY KRDALTKTWEKVNGDFGLIFAELLPGNFAKLQPPEGQDLTQGLEVKVRLGPVWKASLT ELSGGQRSLIALSLIMSLLQFKPAPMYILDEIDAALDLQHTQHIGQLFRNRFKGSQFI VVSLKEGLFTNANVLFRARFRDGTSIVERTERRTGGAGHA L198_08290 MPSKKSRIIAGRRAAQPHKPSTRKTQLHNDITSLISDINSKVYA SDDPASHTATNTKSDVDRECVKGGGWVAWADDVDALRRPHEEWTRLLEDGRQTRQSLG ALQATLAKTKETIHTEANTVGFWSSVRRTLRV L198_08291 MAATDASILARLADLKIPHPEVISHAPVKNSTEWRAQLVIHHPS LANTLLTKTLLFKPKTAKSAVPTPVLVLASEATETPSGALGKVLDLKELRLASEDLIK EVLPSAGSKDDVSALAVPAPKAETLHLLLDAALAQSEDAFALHLTTSATTVLIKGSEI KKYLDNVAGGEGVKVVDFAELKAAAPAPVEKKAPAPKEPKAVVPSGPADDKYEMAIQY KKDEDFSGWYTDVLIKGQMLDYYDISGCYILRPWSYSIWQTIQNWFDDEIKKLGVQDC YFPMFVSNARLEKEKDHIEGFAPEVAWVTRAGKSDLEEPIAIRPTSETVMYPYYAKWI NSHRDLPLKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAFLQKAEADREVLDILGL YQKVYEEILAVPVIPGKKSENEKFAGGDYTTTVEGFIPTTGRGIQGATSHHLGQNFSK MFDITVENPDRSAGAPERLYAHQNSWGLSTRSIGVMVMVHGDDQGLVLPPRCALQQVV IVPVGLSKQEGKNEGIYDACHELERTLTGAGIRAKADLREGYTPGWKFNDWEMRGVPL RLELGPRDIAAKTTLSVRRYDNHKTSFPLADIANTVTSYLSDIQASMLKRASETFEER LKVVSQWEEVVPALDGKNVLVLPWCEGSECEDEIKERSKSQSTAGAAEDSKAPSAGAK SLCIPFDQERFGAFPEGEKQKCVQCGEKAKSWTLFGRSY L198_08292 MSARLARSLGLHPIPLRPLPPRRPIHSSAITRSPTAGGNHQLSN HTQQGQRQHSHKPNNAHANWYREIVPAMLPIFVLSTTLFLSLSLLRTYLSHAYSLAQS ETRISELEGELEELRREQRRQRWREKRERERMLPMVVERVLQRVGVVGGEEEEEERVI L198_08293 MPLQSPINFPKWIEENKHLLKPPVGNKCLYKGENFITMIVGGPN TRVDFHINTTEEWFYQYKGAMVLKVVDEGEIRDIVIGEGDMFLLPANTPHSPRRVADT IGVVMELIRPGDAIDKMRWYCPNPTHTALTQIREVTFHCSDLDTQLKPVIERWMGDEE WRRCGECGEVAPGK L198_08294 MLLLPIVAALAVGASAKPQLDAQTHQRLLHQGRPQVALWQALLR EQHDADHSAQTSFRPTSPLARSHCFPQKISHFDESINGTFCQRYWLDASHYKPGGPVY LLDGGETSGENRNPFLETGILEILGNATDGLSIVLEHRYYGDSVPVESFSTDDLRFLN NAEALEDSAYFIENFKPPSSLDLDEYSFHPNNTPWIYYGGSYAGARAAHMRVQYPDLV WGAIASSGVTHAQVVFPEYYDPIQQYAPPECISTLQSAVAFIDTMLDHPKVMKDALKG LFGLGVLGDGDFADVISSPLGYWQAKNWDDEVGSMEFYEFCDALTAGGAGSKIGLISV PASVLNYAKYIKKTVVAECPSDDVDDCFGSDDVEKFRYTDLSQTWRLWIFQVCTQWGY FMPAPPAPLPTIVSRHLTLAFTQQICPAAFPPGKFFSIPDVPDVEEVNKRGDYGIEAD RLAFIDGDRDPWRVVTPQSDLAERRKSSVNRPVHIIFDGVHHYDENGITDHSKEPARI RDVHEFEKLFVSEWLAQWKEEKLGRGKDLV L198_08295 MSSPSTSSENSQFTTPASSLASPLLSSTHITPKPKVLLIGDFCG IPKYDNLIREIADVHTMPRCGYTETAALIKQKVEEEGSFVAFGGLFVITDNFPSLWDA GLLSPLLPACRLFVGPGAGYDKVDIPYLSSHGALYANSPHAVGERTADGALGLVLAAA RGIVRYDIGVRSGGWRDVGVKSVDWRNAKIGIVGLGAIGLRLSTLLTSLSSTLQIHYH SRHLSSSHLATSYTYHSTLSNLLPVVDILVLTCPLTKATEGIIGEEAFKQMKDGVIVV NVSRGKVIVEDELVKALESGKVLRAALDVFENEPQVHAGLLSNPNVTLSPHVAPAPDS MGPALNAEVLENIIHYLQSPSGLPLTPVNVDQVEALGFTTGARREV L198_08296 MDRDDANLIGALLDELDLRDAQAPSFDSFSDLSASPLFSLPSPA QAQALPARLRDLRIQAGSKVLVYAICEDQPSCSAKRPPSADNLPSSIHPDYSLQTGND FLLVTDDDVGFYVDRDFLLCHSELFHDFENMACDISNKDAIGDCIKSSVVRRDMPGAL SKGLRVVLLNSADTDSTWPDFHDFDNESDWSPQRQTWPYTLEDLALAIKIANQYGFTS FSSQAHSKAPRKSLWFQYLLAAFESDERGANAIAKSTLYFNLETCCPLALLSILDKHN APYATLLRDIHDFVLLQRIYRSHR L198_08297 MAPIASTSAPAKKGKKPQSQPRAPVPLPASFDKSQAEKAVKALI AHHTKVAAKKEEEELLPKEEHVWVVVNTKQGTTRRSVMPVRMQVSRLKFWTRADDRYS QLPHPPLAPPPATSVCLIVKDTQREYKDLLATHNIKFVARVVGVEKLKGKFKPYEPRR ELMRDHEVFLCDDRVVPLMPKLLGKMFFEAKKQPIPVNLARKDLKAELGRAISSTYFH PSTGTSTSTRLSTPSITTPAQTLANLLESLPQIVAHIEGGWDGVLSVGVKTSGSVMVP VWTSALGGRFEKKEKAKKGEKEGAMEVEEKEATPEPVVKAAAPAAKKEEKKKVAKSAA PAEEKPKKKSSTVGSGSAGKRAKEVVVGKKAKKVKSKA L198_08298 MLRPLLISLSSLASAAPIEVITTTFILATLSYFQLLQAVKHSDF FNVPAVSTPSRPAHLVHLSHQPQVQVEDAPYILPSSHGGSWSNAASGSGGSEYAGAGD WQPVTATEFRRILEENALDGGYVFPVEAGGNTAGEKATVAIVKQIVLTREGTEGSTDD WEKWLLNDLSVTYEGSRYTYRDLCFNSSSVPSFTPHPLHPSQSTITLFFQPPSPGTPT IPFLHKLNHITPYHIPGSNSTLRLLPPSGSSWGFLPSIDGVGLFSGLGDGLNVGDEEP YAVQNAKWIAFAMSALVGRIWTLAMNADSADIFVVLLGYIVMHGVFANLFISMRKLGS SFWIPIATLCSSTAAFLVALHAAYLLDIPVDPICLSETIPFLVITVGFEKPYQLAKAV LQNPDIDPVPSSPALSPTDSSLGSSLGLDLDLGALHKELAPLERLQRLAEGKVKWVAP VAARKIVVDAVKNNGVRIVRDYALEIIVLCGGAASGIGGLREFCWLAALIMAVDCVFL FTFYASILSIMVEVHRIKLIGGNRRVKSVKRVSSSTSLADGASSSPTVKGFWSRSTSP DKEDQPENPVVRLKLLLILSFLVLHILNLCTTLTEQTSLKRHSTHSVPQITSRALLDP RSASLSPVLQVLYDNQPAETDMAIQIIPPTQIIMSSDNIVPSRMSSFDHFMSEWTSLV GDPVVSKWIVVFLGISIMLNGFLLKGIALNSMGGKGPVAAAAQALVGIFDAERGQRAL RETTTTPRGRDGALPGTALRAPSNGNVNVRDGDSTPRVDDSKTNGTIPIIREPTAPSP PCPPSAASASPDDGIITFGRRSLEECIEVYAGGVGVNNLSDEEVVLLVQKGKIAPYAL EKILKNLERAVRVRRAVISRSSFTKSLEASLLPMNDYDYKQIIGACCENVIGYLPLPV GIAGPLNIDGQLLHIPMATTEGTLVASTSRGCKALNAGGGVTTVLTHDAMTRGPAIDF PNIVQAAAARIWIDSPEGYGTLKAAFESTSRFAKLQTLECALAGRTLYVRFAVQTGDA MGMNMISKGTEKSLEVLRERFPDMHVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVV PGHVVKSVLKTTVKDLCNLNIKKNLIGSAMAGSIGGFNAHAANILTAMYLACGQDPAQ NVESSNCMTLMEPINDGQDLLISCSMPSIEVGTVGGGTILSPQRAMLDMLGVAGAHST TPGANAQRLARIIVAAVMAGELSLMAALAAGHLIQAHMKHNRSAPVTPGAVTPFGGIT PLRESVLINGPPPKKSDELRVVQ L198_08299 MADPGPSTNTGEPTPAPQPVQQTPASINALSEIFGFINHPDAAA TAARAGSTQRKGTKASARLKGKAPAVPPPSKSSVPNAYPAGLGHSANQGLGGPLALVP FDLTIHHRYPNGLTIKPYKDVDQDWMGSVWTSCYHKIPGEPGFQADHVVLYLREFCFP PKTVYKTSWRTDVDFSQDSFYLEKEYTDLEIVQSVRDHDTEVKGSKLSSLGTSKAASV LSMKLGATKPDVCLTGYHNGNLAEETCRKEPAGGGNLEDTDRPLEALYAIIELKWVPW PGESRLNLRNLDLHLNDLDYANMEAITQTIFYSLLGYDVSKCRSAMALVNGDYTRIMN LSDLVPEGEEAVLGGMTLGGGGGRRILVEADPDVVNKLRYHKVPCLSPEQFGELAAGV SSLGIQWKAPNSLIANYENWALDVEAKERLDATVYLNLALATHHPEAVQDSRISNHPL TNVIGANTSKKEAYQWLDVGVKRVT L198_08300 MVYEAIQGQGIEHDLAYDVLTGGTMMFAGKSRHMVDIDLFPPTT RLVNGDDLGDHFCAYQQSFAVVDTAPEVERAFLMTVGEKWDELQGGKDVVGAEKIGDV FAEYEPEADAQGSGDEDDDIEFIEKKKENKGKKAENVDKAEEKQRERGAGKGKKVVAF WRDFRGRR L198_08301 MPPAFFRKAGSLTSEEIRNVTSWLEFQMQEANDYNQRPVEQVQL RELQELMQHLSDLRVDLIRCETRVSIANWDGWMLRLSQLYLDLNRTRKDFKYIHGLGN ALVNGAALNDGTVALEDDLSDTSSEISEFSEDGVGEDGDDIEFEDDRPVELIFAQAAQ DEAERARRPRRGAPRKPLDMKLFQTLWEDLETYKYIGARLGVDKRTVKNRLREMGLHR RKFSVISHRELSEKIDKISKGSMGAIGVIGVTGALRSEQIFVPRWRIRLCLKEVNPAA AALRWQFVVNRRRYYVPFINSLWHIDGHHKMFRWRIVIIGIIEGKSRKFVGLRAQNNN RAASVLDVLKKATEEHGVPSRVRADYGKELLDVKNYMIERRGDGRGSFIQGASTHNQR IERLWVDLQRWNTKKYVELFHQIEDEQEVPFHDVHLWCLHYTFLDQLQMECDAFLAAW NEHPLRTEKQLSPNLIWRRQSRRMREAYGEEWWQLDDDEDDYVRRHHDLGLEFNSYGV DAHRLWSANPGARERKKEKTVVEPVKSMSPYLRDILTNAAFLEWLDGEMPPPALYQED FGRSRYDLCVARVRAFFERNDVDQVI L198_02838 MPNNEALHNFYQGLLANRGTHIARAVSSSVCRVLHEDKKAIRRI DMQKHPVDGFSAGLVDDDNILAWGIVIMAPADTLWDGAILKARLIFPPEYPLLPPQMI FDSEMWHPNEKKGEEFVSILHAPGEDEWGYEHASERWLPVHTIESVLISVISLLGIDV PDLNSPANVDAAKEVREDYSAYKKRVKRLARRSAEVAYDD L198_02839 MDHAAEHVGVKGRHGEEKTDRAQQALRTMAPLCSTLPLPSLRHA LLKSYLLHRLYTVPPPLNPPPTSPNTVSAPAIVGSTRFPFNHRADVLDRDAVMVSSGW DSWGNINVLRDGFDPALVEKGWKVSLSRYIAQSHLEEFWQAFLPSFAFPPPQSPANFA TVTEPSQNFLSSQLDLLTKNPDREPRRSFRHAPSAASSSNVPSATNPGAGGFNNAAVG PMGGAAERLSLPGVEKVMQEMEGRIGEGGRAEGQVCEARE L198_02840 MQFFYFNPLACLGSHSSAEKETSLRDLCKGLPQEFITDLTYCRS LEYTQQPDYDHCRRPFRQVFEREGFKDDGVYDWMAAPSPGASEKPIDQPSSGDIQKSE QSEESCLSAVSGDGTTVEHSLISQPETNEAGPYQRLGLKARQVLETIHFWQLNIVLRA SAPI L198_02841 MLSPPANNPVTSQPPPAAPAAPTSPGPPISTPLPFDPTSAPSQL APLHVQPRPTDPAPYSAPAAPAQHQQRRRRSTTTQRPRPRTASVVQDLREGMSIGAVT TPSTTKTQAVVGTPDVERSASAAFGSGGGRARSHTVSVTMPARQASGDDRRVLASPSS SRRSSSRRSSSRRRRGSSPVGYQVGKEGTQQELSDEMVGVLDCVDPQVATMNHLQNMT NSVMFPYLPSVWSRRPDIRLSPDSSDESLAPLQPSQDNVHPVAPATRFRSASTSSRRG SLSLGRLMTGTGRGRGRQEDEEEGVGVKSAPPGVSWEGAHPLAIPEEEPQREAKEAPP MDDSALDTATSKTTTRPPTAISKPSQSHSSLSLASQVDSLNLSQAQDAADHARIDRHI HHILSSSQKQKLRLALKGLRAFVKTPMGFFTAIYGFLVVFWGAAIVLFLLGWIDVGGG DKQSVWVEISSQVVNGLFTVTGVGLIPWRSLDTYRMGVIWTLKRRGERRRDKLGLAPI DDPNDVPDPRTIPGYIHVLNEQEYAKLAHHQEKFALSQTWYKPHATATHRAFPIRWAL WNTILMDLNSVFQCILCGCMWGMRWRERPAWTTGSLIPLSFLCGIGASLLIFLGSKRT KKEIILSQKLRQALGVPLAIAQPSTLDPDDPLILKGMPALALEKKKEERLGNVGGRAK DGGAIVGQGGGGGDPGERGRRETVTFGMKGEKGEEEREKEDLRHRGLTLPLPSPSSFH PHAGYAHLSSLPEDMKVESPMVMTPSTPSGAAGGGMGEGEKRRGSGLGMVGKGSGDDM RDLGDLGGL L198_02843 MAILHPETATRAPDPPPLVRRPTSSQINTYNPRKDLPPSLKKGG YHRHNDNYVWWRPYGLPLPMFSPNMVLPPAEKSTTWKDLRLITFEQKGSSTWYTEYPN TRRAMEAAYIR L198_02844 MASLFRKKDKPPKTAHTLSPTPSADSHPASASRKGGKHVSFDEF GASNDRTGARTLPARQVHLLTPPSSPPSSPAPLLPPKYSFCPTHIPPNTTSDNSIDSF SYSAEEIQRNGGEFARQYGFLNGIGRDISLSLGDVGRVIKVVGEELESRALATPMLFS NQALELSQTRTKMLIQSFIDTISTSGRQSHSKLESFLQDIKFAKEHELAWLFRWALSR ITRVKEGARVICHGVMEWEVYEEWRGRERVAGYPPDAFPYMKQLVPPDVYTLILSPLF HLLSRFAAHSHLSGLTPHALASLFAPLLFDIPTSIPALASHTLFVRAACATEHVLLAY IRSSGGTGTGSVLTGLPSRLKAWVHNYPSMLASDADLARGSPRKGARIVPCHRASRTV RAYTPDLVSTAEGWAAELGLPAGEKWEAWDRVVWKARRGERGRAQLSAGWKRRMAVKS LPPPPHTPSPHTPGLGRPTSNASTLSLASTLSPLSLSLSSLGKKDEEEEEARYGSLAG KEWTLFEEGGFDSGRGSGSGGGGRRRGDDFGSKLQFDLNESAKMSISERRQTMDWSEF ASASGGFTRTDPLLSSTLSFSPPLASSIAQWPREKEQLSRRLYKAEKEAVGFGWDTTP HVGVGVGVAAAQEGGGVSVDGKGRVYVEEAWVDCWCDLMVGGGWVEREERTFREANWV IIEYKARPSKGPTVPDPMSDPRTSSLYILFEERVPLEYQHALADPKQKKSFGLFSPKS KPKKRHPSTTPNATPQSRIPSSYSAPNALSSPSYGSSPSYGTYGSYGGRDDFDKMLSR GGVTKKVSLNRQQESSVWHQSTAPLPVDVGEANASALPVRSPFKMHRTRSAEKDDRTD RTDRSNFFSSKKGGGLRREKSSSELKKTSPSGSGPSDKVKVPSPSKKERERQKEQNVE FEVNSASGLSSVETSPRQEDDKWMDILVSGPGRLSAQDYPAPVLKRSASGLPASPHPP FSRDPPAPSGHTHLPTPEDDDIVTPRASQSDPYPEVAEGGAEEQEVERQRSIKRKAVP HEYADEGSDVGGGFEPVTPGAEDCSLPSPTTAAAAAAKRDGKEMEMEIHAPTPRRDRD TIHGIVAQYASSSSSPTPSHPPPLPKDDAPARDSEDSNATSNSNAARGRRDSGKFSDA TGEEETLEPVVGGDDLRPPEKGVLFDLTPGREPSPARYRHGEPLHFVGEEPELEDDTY L198_02845 MATTSTSTSGSGFHNAMAKVPVLTGKENFPKWKTSLTLYLGSLG ACAFIQKDKSQMDDEAWRARDEQIAYSILLTTSSPIQQSLFHLLEEASATSPPSWHVL PSISLPLSKTDCASAAP L198_02846 MSYTPSAGSGRNGQLTVYGDTQESRNSRRRAIFKERYDRLTLTE GRWGSEVERMMWVAGATEESVRTLEDQDHQFEEEDRIAAQEVDELVIERDVLMSNNTN EFTEDPESQDDRRAHILSRFDKGSREMHWKDESERLSWVNRETDDVVRMFREKDRQKR EG L198_02847 MSPWNAPRAKLRCVPQVQLKLSIQRLRTLQQKKHALAKASRRSI ADLLAQGRVETCRLRVEGLIQDDIGVEVLEVLELYCEKLQARFNMLDASTQYSGTEPD ASISDAVCAIVYAAPRTELKELQVLRDILMHKFGRPFALSLLPTEPPPDTVPARLTSK LALYQPTPELVDLYLWEIAKGYKVEWVPESVASETAAAAAGEEDEDEDEGGEEDEDED EGGEAEGEDGEDGDKGEGKEGGKEGGEQKESTKGKEAEGVKEKKLSPEEELAARFAQL KKV L198_02848 MPPTRLPRSPSDPIHLSHRPPSPSPGPAAGQQIYVLTADGSSLF LVDPSKPRGGEEPPPYASFPVQPLPLAGGDDAEADADVDVEAEEPGVGVRRVEFPRVH EEEEGPHRHRARTLSAISNQERYRPRTFTFTRPPASRRARSALSTPEPRTAWLVDVPD VPDETTPLLPGPGRDLESAPIIAAADEQAGWRGRGWWKGVWCGELEDGEEDTRVGWGE GWKRYWRPALLVWPWLLVGTLAGTALLITLPLGAIVWWLTLIISRSAARLETIMQLHY HTPLPPGKTAQYHPIFYRPLPVPRSSSPYYNPLPSPSSHPHPHPHPYHTTPSSPLPPT SDPSPIIWDKRFTKNAYAMFLDHYSYSALSYFLLIKPLLTLFGTIMCILVLAVLGVGT LGVGLPVGMRIVRRWGRWQGEVALDNL L198_02849 MSTYHAVILGAGVLGLSIAHELTKKGLKVAVVAKDLPEDLDSTG FASPWAGANWHSVASKDNIPEQERDRYTFHQFERLARQVPHLCERRPYRYYWNEDDDG GWGECWYKDLVFGFRTLTPSELPPNFKHGVTYESYTLNTPLYLTHLASTLRALSVPII RHRLSSLSEAYSLPALGPVELVINATGLGALSLLGVEDPKVFPARGQTILARAPWVHV CYGLNGNISPAWQRVYIIPRPGPDGHVILGGVYRPHDWSTGPEPAVAERILQETWKVC PELDGKGGKGSWEDIEIVSHNVGLRPCREGGLRLELERFTLGEGVKQGLETVKGKNSK ASLEGRGRKVGVVHAYGIGMAGYQSSLGVAKEAGEKVVEYLKGSGKAKL L198_02850 MTTAKAKFDVVVLGCGVLGLSVAKELVKKGLRTALVAKDLPEDV QSTGFASPWAGAYWISQTANKEEQECEQYTFEQLKSVAKEQPSIVQLMPFYHYWNNPD SWKEPWWKDLVSNYRHLPSSQVPPSYKYGVGYESFSIHAPLYLSYLASSLRSAPSSVP IIRQRLSSLDEAYNLPSIGPVSLVVNATGLGAKTLLGVEDDNVHAAKGQSVIVKAPGV KGSWGLKDKHELESQRAIVTSRPGPEDHVVLNGYYFANDASTDLLPARSIQMLKDAHR LHPPLDGKDGKGKWEDIEVVEQLVGIRPSREGGMRVEIEERKIGDGVKAELRAVRTKG EKEDEGREVGVLHAYGIGVGGYQASLGVAKKAGELAQVWFDKKA L198_02851 MDRTRLPSPLVEDELRSVTAVNSRNWCKPPPSLHLTTFAELPTS DRIFILLPIGATLVWISGLTALLVLWISQGRPRYDDNVASVALISDVGGANETLFLCI CILTDTLYFSSVCAIRWLRHKGRLPEHIGRWENIFGWLAVVFCMLGCSGLFILAKWNA YQHSVLHWNGTMIFIIGVGASAFCQTVEVWLLRRGHRERKHLPRNAYWKLAIVIIDVV LACVFGASYLYCGGTAHASNGHTAAQCNTTKANAGILEWTIAYGLNIYFLTLVADLWP VGNRAKWRRGGGGWVEMGAGTGIGLRTDTDMEIGEMGGMGKGYGAREWKGKGGLTEME EVEQSMLRY L198_02852 MSQYTKQTWSRNDDSSFSAHPTVQKSIYLYDPIVLSEAHLEAIG ATPIEDGTFTFLLSHLKYDPESRTISREVLTASKEDWEMTIDDHVKSLEATANLGDDL SLGLKPGDSESWLQLAFENGDTEALMIKEDEEFANLKLGVDVDVVEGIQLVHPSNASR MEELLAWALDLEESQGSRPEHTSQVGRPKMLKNTLV L198_02853 MSKSEGQVSQDEIQSVKDSRHKWYKSWILLPILATTVWFAGLTS LLGLWISQGRPRYDDNVADVAFISDIGGANERLFLAICIFTDIFYFSSVCAIRWLRHK RDLPEHIEKWENIFGWLAVVFCFIGCAGLFTLAKWNAYDYTTVHWNGTLIFIIGTGSS AFCQTVEVWLLRKGHTQRKHLPRNAWFKLFIVGADIILAAAFGATYLYCGGRATSYKD HTAGQCNSTSSKAAVLEWTIAYALNLYFLTFVIDLWPAGKIKEQPEKEEESV L198_02854 MPTDLPYAAEAETSLSPDELEVLRRQYYKEIEQGHVSVQSKFNY GWGLIKSGGNELQTEGIKLLQEIYSASPDHRRECTYYIAVGYYKLGNYAYARKFNNLL LSVEPENMQAKSLGELIEGAVKRDGLIGIGLITGAVALTGLVLGSVLHRNRR L198_02855 MASSSSSPSSSLHFQPHGVHLDPHSSSPLPHGESSVALEEEEEH DYESLPVGHGWATNMAAGALAGISEHAAIFPLDLVKTRMQVLAPLTHGLSLSSSASSS GTAAAAARSAPPPQLNTILSHLRSISTTEGLRSLWRGVASVILGAGPAHAAHFGMYEF VREVSGGRNEGWQGVGGTALAGAMATVSSDALMNPFDVIKQRMQIRNSPHRTVLSCSR TLYATEGLSAFYVSYPTTLTMSVPFTAVQFTSYEYLKTVLNPSGAYSPMTHVIAGGVA GGLAAAVTTPLDVAKTLLQTRGSSSDARIRGARGMGEALRIIWERDGARGLRRGMWPR VLTVAPSTAISWMSYEFFKVLIRQHGELPEFGQSV L198_02856 MLPGIRTNTHTNAAATLRITITALPFFVRSHYASKLHSHYASNW TLTVSTTDLPHLPHYSSTSPPFLSLLMPPPKKGSKPKATPTSTKGAPSTKGKAGTKGT DKSKTEKGKDGKPKDGQANKKKK L198_02857 MDHAIEQDLKLEELFANERRRNEEARKRAQMQASNPPSQPSTIT IPANWKLRLTAALAYLAAFPAGHSWSFDKNRDFLRGMYEEVKVLYLLRESDALKISAL VANLEIELMTFEGNPACGRRCVEAVELGIKHLKCIVNFQ L198_02858 MLLHSSEPSPALPLPQRYHPSPPIAHHPQSSDPSHRVTSQQQQQ YSSMASGSSTAGERRTHPPAQTYSAMPPPFTLPSHPRTSNSKKRKLLSSPVHGHVSSP SFHSEEDEDDDAEGEDDYAPSSSTRPARRARKTGKADHPSAAGGVSTKGKGKGMSREA LRKANHSLIERRRREKINAALDELRDMVPGLGDNGGKGGEFKLEVLEKTVIHMKDLKR QLVMLESQLGVSLPSHTTSSRSSSHQAETSAPELPSHKTSPYPSPSPDKSHAPISPDP NETEPESNLPPPLTRASSRPVQKNRSTSGDYLSSPLLNPTTKPPPQPTSRPPPPSTKS NPIFLPFPAPSPTSPFLQPYSHPPSYAHTDTSGLSTGTSATGSTGGMSGSEPSPFLAA TGLSLFGGMVNVGESPADSFRAPSIVQGKSGSPPHLSLEPPSQSQSHSSSHSTLSTNS STPIPSRPPSLSPLSSFQPPSTHAPNPNLSPPKGTSDMPAEEAANLLLTFSSPDTLRP VVDPQMPQVQGGGVGGGPLDGGRGRRNTVDDFSLDACGGGGGVMGQTVKALGAGAVKA GRQGGEVVGKSVRDILKLS L198_02859 MPPRPPNRNVSSPLRPAPNAPYHPPTDTTTYRDLLLFEERLKSN AEMLKRRRRRYMIFLWSFVAVLGCMGHKLVIHPPDNTLLLRALQASVAVVSITLVLFF ASGMYEEKIRYAHSYINHSNRSLRPLNMHLNMRRPLPFPFSLPFFPSALRPTSANSAR RPSSTPSPTSFKPSLPGKNLPSTLSPNATNKSRRPSVSPNVMATIPPSSNPRGELIFS SRVDRSFREGYERYRSAFERRREEKQRAARAERGWFWFLYGDTGLAGGGGRGVSPSPS VGSVSSGSSKRRSETPSPSGTTRTLTPIQGREHRRSLSPSSLGIELGLKAE L198_02860 MPNHGIACLPSLTTLSPLPNDVALIILDFYLSALSAKSSAFYDL IHVSRFCYKILAPRLYRHVTLDERNSERFFETYCDYLLQEGVSFVPSSTAFPDREIIY KPITAPSPASRGLAHPSVLIRKVTLVDGAAVIRCQMARKKIQSRCSLTLQPPPTERPS VAPFPNLEWLVIPSSMRGNVTLTREGHTPSIRAPKEPRLLSYDALQDIDALQPPRLCV TESLHTSSVRAINRAYFFAKYTHQLEELSVHGARGRDQSFMSQNRTRVLNVAFAIPAV TREGEAEAGESNEEGGEETQLHEPEVELGWHLEMLHYSERNLGTS L198_02861 MWNGCLSVRGGRQALSVPDLPSLIIPRLFLPHPLSSFTPLLLKF TQVAKLYTSIRKGKQVDKYCGERGYGARVSMARRSLVKLVGLSVRSIDILRHLLPFYF RFRSVTGLVGEDNDGEVTSLARHKPFLSPGGRACRASPPIIVNKGPAVALFPNLEWLI ISKSVYGPFRTKAYTALLGIRELKAPRLCVTESYSNDSPSVIGTGYHCADHAHQLKEL SVHDANGQSIGRMSMNRSILMNVAFRIPWMKDTNQEEEMDENGGEDVYDSDADDETKN DVCFERRLKDLRNSETFKEGS L198_02862 MSLTYNDKTSRISITRKHNLPHIRYERNDVGPQVDAPIRELLTL PIIQLDGVTAIGSGLQRVLDSVAAMNVAVDEISVDATFGTNHRLGILLQSLSEAVKNA VSVEAQDVFKPNPKMLWDELYTLYSQTTGHRISQLYATLWSTRVSLGADPLPYLGAQR ATMAQLRQSQKVEDQQVAYTMLRGLCSSATCQLQVLGSGELEMEGEGSRSRLGRPSPK PGHYEANGRCHFSPVRSFAASVRDHQNILHGSSDPLPDMDVKWILMAGLNSPFVTHAV KGALAQQCMKLETIALDDLIDLMSEKVETCAINLAAYPSCNLEDDFPYAKRDTISVDP LNRPVLALWALPTPTQHLPRNRGAQGHVLKSTAWRRRHIMLTKGHTRLAVIFLPLMWL TEREGAKLASEEPIVQEQVD L198_02863 MAALSVRNAMAARYSTLLSMTIRALEEETIGHTVINGDFSSSFP FTPFSSASNKGQAGESLGIIPAQDDSHPAAGLMSNHPDGIFFKDHIQLRGMGRMRDVP PGTGGLAGVFGDGRAVEEEARLEDVMDEKRGFLGGKRRRYSEAGGGSEYGRSSVSSVP GVPLSGKTNTQPLPHNHPAFSPSSVSSTTMPTAVSVTAYPVPPAVGVADLSGRPVDGG GSAGGAWSEQMGRDWPGK L198_02864 MVASEFSPSEASGFHTRHRVSTDKSNPSPSSTEASNAPRRDSDS KDQGQGSKPPRKINRTAPSLKRNAACLPCRRRRIKCDAGKPFCSSCSKTYLFLRRTHP DAERDAAGVPCVYEPDPDEDEVLLAAGTKRKAEEEKAKDDLIRDLQARVGEFSSAYRS TYANAFTANLESNRGPSNQPGPSYYPTQTPLQSSAYPTFDIQFTPSDNSSFTNSLPAQ AYPNDPPPFQGAISSDEYTDGAQNGTGGGTLGEESGKVNDPMLDMFWPGWPPTLPAQK LTMYSVETFFSSVPCIPFIFNRQDFLFRLSLPPIHPLFPQRSLLHAICAVASRYSLAV KTLPIEDYINLEDYDARTMHGRGCWIEVQDMLCFSERNARHAVATMNYHHIGGRGLLD TCQALLVFNYWCQTTCRWMEGWMNIGAACRLATCLGLFSSLSYHHPPPHPPADPASPF PRPQTPVVQQSILGPPRTEAEREERLATAWMAFCQDSEAAVSSGWVNQLGVEELTIPF PASRADRWSERPIPENVQTYHSTDINISHPVPDAFVLLIKGQILLSRVGVFIRRYRRL SMDEKETVRESSEFREIEKDIQHIRYSWPAGLKDPVQYMNGYQKQIDADLISAHLLPP TAAILLHEPFADLSDPACPSARKLLHESKGCLKVVFEMCNNSAAISYSVSAIAPWFLY TAARTLLLFYRRALATSDLKKVVEYHNEIAAVRQVLPSPLYTKLTPQ L198_02865 MIPSHVLDTSAVIDNWARFCRKCSACIAEDKSNDPSFTHAFTTV PSLSRANGMVLGDIPAILAGLTWVETVYIARATASRCCVKIKGHGSHQSKGNIVILPQ AASELSRLLPHPAAVIANEIIII L198_02866 MTTTAAFSSWKEVKTNEGSSMDLRRVLPLLSFFTTSEIEEQLSS NTFPYLNDNCELPPIKFKITGGNVSVYDDDTASDEGSTNADGNNSNSDGGQTLALFWA HGRKGKMSNTCPVGSLWPDNFESSGHVSDTAQRGYHSHDTKACTVLYRDGHGVNTSIS TRFAHRIAGAKYSLAICPSSTGLANLNKAYNSIANAFKTHIDKDAPSPYEFMSNGISS YHNKLKFRSKVFMFANRKDDYTGENFPDNSDLKEPVVTALLKGTTYRRRPWPRVVVRG RSVDPDSTDEEAIFEAGFHSLEYLPDDVVLSVAFNVEGYIMDREGKRAGLEWTPSTII VHGRVSDGDTTSSPAKRPRHALSSDVLLGKSPVKKTKGCSMSTENPGASQSSSSSAVS NSHTGPSNSSIAPSSSTVTSSDVFEETEPSRKSSRSRKGKERENDI L198_02867 MVQRIIFDTHSALQGFQRLQEALEAMPIVPEGGNPDFGLPRVNV RLFPGLQWLVITEDVAQAPHLSGADRVNLVFDPIRDLLPLNLCYTEACSSDEADWLTD QLGEALAYPNLKLKSPSLHSSNLEHEFDITIEPRRYYYRNFMESLFLDDFGWDDFGSP NPPFPSQSVKLYNCDVPREEWFPSASRIGLTEEEIERIEMYTTAETASPINLDIRLCP NPRCSRVPSQPSERTMLDVKDETGESGLQRASVEPRFRGCARSPGRRAVSLGP L198_02868 MSSNIPTFDVASILSSLPDDILHLVFDAYASSLPTQSSAFLDLL CLDLLCLDRRTYNKYLPVLYRTIELTEDNRESFFQSYSRLLERCTFAPFEVESSDTSF FVPRLGPSAMGPPQPHPDMVRKIIVAHHLVFEMLEILHSTLQYTVAEQVKGLQSEEIS VRLFPHLEWLTIGAEVSQAPPRSIVQRHRVVFRVLTQLLPPNICCTEPDDQAVWLFHQ LGQAISSKYVHLDSMSIHASRWSECFKAGLSMERCEILSCWPTWPTGVRVHKDDPIVI VKALAILDRLDNLQIRRSSFPRQRIRLYDCNYTHEELFSPTSKTRLTLDQMSKVEIYT PKESAGHVCEGCGKGI L198_02869 MASQITTQIWSGNNGGYVENVYPLNGILERDGDEYFAANDALEG LNAFIWTRNTIDHETGDIYRNSFTTSAHASTNGNAENRDYMEIAWRERIKSRDISRIP SGALNRNESSPASSLEENEMEHVAGMLIVGVDSDQVMSDASFSSAQDGDEADD L198_02870 MSPSAPTFDLQSLFPLPNDITRLILDAYASSLPTQSSAFLDFIC LNRHTYSRYLTWIYHTIERTHDNHLSFFESYGNVFQEFTFASYDEPEGSDTSFFAPSS NLSARQGDRAKKVRKVIAFHHSGKL L198_02871 MSRARLLRQLAPLAQHARVTIRPCSALPSRALTVPLSLGRFTKP YSTSPAQPTVEQQLQRLVSLLKEVSAVNSKAEKQRIISQYPDLREVLELIYEPNLRTH ITSTQFHKLLSTPEPTPSPPPLDNLPSDIPTLFHLLSSRAVTGTAAKTLILSFLEAQG VRGKEELEDMFGRLLGRNLTGGFGARTLKEVQWPGEEGKKAEGGVKEEGGVKRKQGRP RKSPPPEPLVSEANSTTPKAKPSPKSSPATSYATPVLPNFGPLEKFEVALGKSIDPPF DALFSGRTGGGVWYASRKLDGVRVLTFLDFLVPPSADGEEGGGKPELIGTHFVSRSGR AFKSLSKLAGQLSTLAEYPKLGEILSYDTETIPLSPDSSSGTGIVKRLVLDGEVCVMR PKTPSELKISEAKDDGSPASGIWQANDPYVEDFPSTVSQVRKAATIQHLSYFLFDLLS WGEVHAKGALPGYGLSQTFSQRAQALQELTSWFDKELEGQGVGKEGRMVKELRQCKVE GLGDVEGMVERAAEEGWEGLILRKDALYKGKRSPDVRKFKKWQDAEYPVLSLTTSTMR LSINGTFAERQAMANVWIEHKGTKVAVGSGWTAEERVRYAERPEEIVGKEITVEFFEE SERMGADGQKEGGKSLRFPRVKKVWEEGKRDI L198_02872 MFKLAALAALIPLAFAAPTGEFSKRDDSTHLNCVRYQYSGPFTS TGFNGQIHFYSNITSFDSGSQTTSFNESRLGLARDGSIQPCGDCRTTELFGFEVCETD SQLAFNGLQNSAHSFYGHVTYSNVSPTHQCLAAFRLPREGSPLALRECEYDYDNAAAS GQYWQITTYDDSVIAMLVDEHGSYGPTPELNNNGSLVLYDPVGANRTFTYFGFKAQEF L198_02873 MNGSLFQIPKSHGHLSESDHHTFTLKNLSLLSVSPDDKSAKQRP KEKMTAIAPSPPTIPLPQTPLLTPSSFSSPKSTPTPSLTTGQTRPKVQLPVIPSSPLR QSTLPTLPEIQLQEGPALKAHPTRGIPSTLAIPSNPLPPPMSIGASLTSGSGLGGLAG LGPGFSLTPRHGPPPASLIRLPPAPPPTLEFHPPEGSKPAFGGLGAAFGGGPSAGGGA ASGVAGGGGGEWSMPNSLRDLQSLSRSVDRSLIPPVKPTKLAPSPISPVSPGRLDGVR EKRGMMDIGESGGFERRLAEIELELKGQRSDPSSGELELKGQRSDPSSGERSLSHSLS ARSRPFLESTRDDESTIQGTLLSQNDGNELIGRVRRRNDKMLENGNGQAIDEVDVEWC FLCGEEGKREKGGMELKQAGEGVGWQWVCGECSKRS L198_02874 MPPKLPANLVSAAADAPPPANDAASTSGSARSTPALGGTPGPSA GPSAPGVPKMKFKPKVPIRRQKTEAEVKAEPAPSASTRGAPRGRGRGDSRGGRGGRGG RGGAVVSTSTAAGVFGGPRPATSTTRKFTAAASAPRLPEYPSDAEEYSDHSSEDGFTS TRPIDIDLVSTMSESAPTSMHRDRKLTGGPKAKEMKDKEKADKARVKAKKAKGKQPVP VPVGQGVKPEPISPSQEFQPLRDDDAMMSDDEDQDRDERGRRVRNFMQTGGIEEASPE EDEEVNAAQMVDLSESESEEEEEDMEGDFVATEGGDDPENKLFVFQFPHLFPKFNPPG PVDLTNPNAASMPGEDVKPDIKPTAAQLKAQARKAKEEPVPEGRIGTMVVMKSGRIKM VLGDGIVMNVAPGVPPTFTQHLVHLDPKQKAAIVIGDIHKNYVVTPDIDRLLQELYLN GGQTPGDLEAGKREEVRRSKGLVKMEDL L198_02875 MSGQDGRQRMFTTQCPTYDFDICVKRSALPPSSPTPLSIPLGES ATALQNETLALAYLKDHTSIPVPNIIASFQDRGANYLLFERLEGVIPASSAPPDMHRP ILFELERYMDELHSHSSKTCKSFVEMPLFSPRLGHCLRTLSRAEYAPDDKHSYILCHG NLSWDDVLVDCETFDIRCIKNWSFAGFYPAQVEGQYWRRPGPPHALLEAGEEDDVDRV VDVV L198_02876 MKSETSLVLDPEPEPQANGKDKGVEELRPQKTRHQKNLSALQFP THNPSSTPLTSPLLLLHTALTTRKGLVRDLEELRVDLEEDAVAWAGLVNGLTGKVNVG DDGDREADGYNNIQTLASLLPPLLQSLSAHLQSSSSSLLPLIPFLSPPSPSSPSKPKL ISVTEEQAGEGYYAKLFLEKMNLKMGKKAGHVWDGGGVEGEGWRRVREGEGEKWVGMK EFSEKVFLISHTALQNLPLLPYPPRSPLPYLPIAPSPTVAPNATSPRQIRFSPPPFSY PNSPPPSSSSSPFPTSSPPPHPLFSPPPAISTALRGLALQARLIIAKRRADNLSAGGR GYWELKLGDELEGMYVLGGVQRPLGEGDYVDFTLPSPQAEGGGGEGEGDGDGDGVEVR VVGGIRVRGSVPEPENAEAAEPLHIFPGGDMQEKERGHKRSASLFSRVLSLRGRERTV SMQGRGKTSPERVGGEGAGEVMELKRLRKKSM L198_02877 MLDKCDYLDDLIHKTCQHFPSMTASETEVRNALNQVDSLLKENP CPYFGHGVEKRTMPTIVVKDHLPAFTLALAVYFRLARSLRLVMAPISIRLEDGRSFGI AYSGNPIDLVEEEFQWCTNVIDI L198_02878 MRQLADLEKSNEALAFMGERLKKALFKQRALNSWLCRRHIMKCE FYSHRQICEKEKSDKRSKAAKSKPLLLHPIVGLVESQYIMHSCNMTVQLLNEGQRQTL GRSPTTPSPLASCHTFAPTTFAFLFIFPTPPVTTIVSSSSFTPGIRPGKVSSLEESAL DYDLNAKWAVYGLARQMYASKIQGREALAMEKELALDEKPVGVAGQGEECEAHEAEEV VKAGGTRGLDDAVGVGEEGNEKSDEPVPSLRPGNLSLSFVV L198_02879 MSSNTTAAPIPIPASTASSALTSPPPVSDAVMTSPTSGSAHRKA TSPPIDPSKLNNQPAPLPAQAESDSPNTEPRPSPRNSFGSSGGSGAFAPLRSPENPLT DSAYLDQPAEPSSHPTIAETGVPASNGEPGPSQGQLKRAEKPKGSEGIIKLGSLGGDG LKMKPPVDREE L198_02880 MRELADLLTCRALIIRLQHLISTSISHSSPQSDLLAHAALLRLI PLIKSTTWDLDIYYWAVSTLYAVLNPGKRWKTNDVAMEVDGADGAEDDGSGMGRGGLY GKIIPPGRGREEDGFPDEKWVDDAREAYHKEYTRLDVELRGYVSNLIKESIRLTQLAL AQLALKTGNINEALKYFSGAREHSVSNQHNVDLGVSILEACLAFNFPGPLTGHIAKLE SVLDRVHPHPSGPAGKPTKTNMTTTLADLREREVADARSLATRRSVISRIRVGRGLLA LSQKEWARAGREMNYIDEEAGGLGDWEGKAISTGDVALITAFTVLASSDRARIQRLLL DRQSFRASVGDNLPWVLELVQSFVGGKYDKVMSILHDAQPTLLLNPFLAPATRNLIHL IQTSAITQYITPFSTISLPNMASAFTLDYPAILEIVEKLVSGGDVKGKIDLIDGVLMV EEMDVRGEMFEKALGAGKKAAEMSEATVLKMKFAEAGIIVDPRPKVDRTQAPQEPSYD DYTSHKGLELLP L198_02881 MSTPTTCQTCSSTLPSPLPQSTHLPPCCNHPICLACTARNPRLR EYVPCLKCGTGSSTLSGGVSVEAGGRRDRARDREEVGGGGGGDLLFAMGDEDDDGPGS AGIAGDLPPGYDEIDALPSLPPSKTPDSRVPPPHITPEPTKTEEEPMEIVEVTHLVSR GDTVMSVARKYAADPHDILEFNALPSTALTSNARILQTRKSIIISRRSVPSSSLPPLS YRDKQLEAAVNKAESENRRKERQIQRFRAVTKAADPDIANAYLSVEQLEEGKDVDYGT GEALEGGGKKAPMPSEGNREARALDRFWEDEEWESSSGRAERKKVGKWKVVGSSLAK L198_02882 MDQKQRTNQSITLKGSAAIVTEFFDFSINSILYQRGVYSMEDFK IVKKYGLHLFMLSHEDLRKYISLQLEQVHEWILTSSVECLVLAIKSVDTEEPVERWQF DLRTDESVLQSPPGAPTLTAKEVAKEEKTEKEAQGEIREIMKQFTSSVKFLPMLDEDE YSFRLIIHTHEGSELLIVPAAWEDADPHLIDRGKVEQVSLPSFSTNQT L198_02883 MPKLNTTIENIFTSAGIPASDLSPASSSSGVVTQLSTGKQFFTK TQSDVVQMRGEVAGLRAMAATSHALVPEVIGFEISHNGNSSSMVSQWFDLSSARGGHT QRGLGKKIAEMHAIPPKEMWEEIGYTGKYGFGVKTHCGVSEQDNGWNEDWEGFWRDQR LGFLVQKIGDKNISSLFEQLKSKAIPLLLSPGSFSPPPKPVINHGDLWSGNAGYDEST SEPVIFDPASYWGHHESDLGVTHMFGGFTPEFYEEYHKIHPRSSPNYDERQKLYELYH HLNHTFMFGGAYRSGTERIMRDLIAWADKQ L198_02884 MAAEGGYVKGVTHVFAASTGRSLYARPPGAPLTSQFGKTIQSQQ VPGWGDYYLNYKALKKIINSYAAGRPASDASLLSLGQRPPPLTLPLSSHAPPREHAVV QDLEPLPPQTAPPENIGSGLMSRDPAAGSDKGESFKAHRDVFFFTLQRELEKINQFYL VKERDLRLRLLTLLSNRKRLLSHSTSDDSLSGSRRDAEWNSLEEGWRLFERDLGKLQG FIEVNATGFRKILKKWDKRSKSSTKELYIERQVEVQPCFNREFIAKLSDIVAANLLDI QDGSEHLNASFLQGDLPEGVTIDMPPSTGRSDYDADASRALAQDALTDLEDNLVKALG SGHDALVSWLRAARSRQHKSPGPGLMRILWRCALHAPEQDLDLIQNTIHLDYAYVDTI NKRSPLHQASISGSSGVVKLCLLHNPALLEKPDAYGRRPLHCAAMNGHAPLLSLLLAH KADPSATDMDGYTPLMHAIQHGHLPSVLLFVQSKIPLEPTPKISTDLIPLSLACEFGQ VDVARVLLREGAGVVPNSEGLYPQHFAAKAGHEAICRLLVDEGGPSGGGKDRQDKYNL WTPLHHAAIGGTAAHLACAKVLVGAGCDVNAKDEYGKSPGWYAAWFGHVECLNFLLEQ GARLEGRGSSREAGQHGGGGEGEGAGMGMENLGLAADPQMDSLSPGSDIELDPPAMEE IELIPSLSLPPPIIPLRVYGHEFLAKRCLIQISLGHRISSSNPKRPPIKLYSRSGTDA PLHLWSSLKLVMTSKQDTAAVPHSVILPIADEREVFSFHAQDLSRFTLELSLYPTFGS KVIARAIILPSTFSHIPYHTPLSAPLLDHNLKTIGEVALEASCIKPFEGAQLEIGGRV ETYWKSKVTPSNPGQDHAHLPAQSGALGAGVFSGAPAVRPPAVGGAAQTGGAGGAGGA GGAGGAGAGGESALVTASSLSGEYVHVVVQVTKDGVPVVYPHLRLPVEEFDLGVSDVT FEQYTKLASRLGLTLSPPPTTTTTLRHGEWYTLLATTLSSLSDVLAILPNNIGVNFRL QYARGVDAKHKKVGGKSREVNEWVDRVLEKVYEAGKNVDGSAGAGGSGSRKIIFSSFD PTVCTALNWKQPNYAVFFASYCGISRAHSMSATFSKHLIPAPKEEESDVRCLSVREAV NFAKSRNLLGVILESSTLAAVPSLVASVKDAGLLLATFGDQTDIGVLRQGASDGRTVD AFVIDGVMTLTI L198_02885 MVQTERLTENNSASAQRQKAKKNDQTGPAPPDWEAIDLPPDYIL AHLTDVHCHPTDLTHPPEVYDAVKLGGLAGMATVREDQDKVRNLSLEREWMRGGDGRK GKEGRGVGVVACFVGYHPWFTHRYTFSPPESLPSKEEHYTSLFFPASSSASASLSEKP TQDQHLLSTLLPYMPEPLPFQPMLAKLREDLEKSLGEERLTMVGEVGLDGSARMRWPQ EGKGEEEEEEEWRRLTPFKVPLPHQRAILLAQIDIAIELGVNVSLHSVACSGPTMDAL MEVKKNYGERFLRRKHFPNLFISPSIPITSRSPHAPALIRAIPRNRMLVESDCHDVRL VTRMVWGVVRWVGEVRGWGVEGVSGEGEGEGEEGGIEGGDWEMQEEEDEVYDEKGRLV EGPVWTVRQVERNWARFMRLIQD L198_02886 MPLPLSSPSPAFNPNPHPKPLKSSPFKTPSSTRAGGISSAGGNK AGVTPKSMRGNSIFVEEKVGELRKEVEELRKVLGDKDPNKILQQHIHLLHTYNEIKDG AQSLIGRYALMTNRTIRDVHEELDLPLTDE L198_02887 MSDYQTRIIGAANTLEHRVFIENKEGKVVSPFHDIPLFADEAKT ILNMIVEVPRWTNAKMEVSKEEAFNPIKQDIKKGKLRYVRNCFPHHGYIWNYGAFPQT WEDPNVSHAETGANGDNDPLDVCEIGEAVGHVGQVKQVKVLGIMALLDEGETDWKVLV VDVNDPLAARLNDVEDVERHLPGLIRATNEWFRIYKIPDGKPENVFAFSGEAKSKKYA VEIIHECHEAWRKLVHGETAASTDAYNLSIANTTVKGSKGVISTSDAAYTSIPADSRK PAGPIDPSIDKSFFISSASA L198_02888 MSDERKQQQSTTNTRPSSSEAMNADLRAPFAGQECAEDQTTQAP STPPSTVDLGTAGFSTTASTGADTYWRTRESLSENMNADLGPPFAGQESAEDQSSQPS KAEKSKL L198_02889 MPSSPVTHLYRSVLRELRFASQKSRTTRNPTVQSHIRTLVETSS SPKQLERSLIETREFLKSTRVHAELVKRYNPTHSMSQEERVHATARRVGLNSPKEYKK GDEDK L198_02890 MTDPPKPRRKVASQISASSTPSSTPNRQPFKPTVRAKVTPGNIA TPPSRDDRPGLQRPPSASSIRSYVTAPPSVSRARSPVTPAISPSTVSRIAARPPQRSP LTGVSRSSPAPGPSGTSTPTIARVKARSVIGSSPSPSSAPSASSSLSSRQTPEAQVRR NAADKAASAQMVGRTRTNSLRSAVSTNTTSGGERAPVARLRPTKAASTPDATSLGIPS SAPSPTRIAEPSGHTSPAPVNGLGVDGAIFASSGWPQTSSPPSHSPYAIPLPAPPMER SPTSSTSITTNRTIQIPHPTASAPTSPAPGSSHRLSTDAPLTAPRARGWSKSRLPAHA FVHQQQQQKWPPGLPLPPSSPELRPVALPVLTPGVSTPGSSPGAGRWGGHLGEASRGE TGQELGGEEWERERKGSEGSGRDESEVSAGLLSPGSGSGRQSLSRALRQMNLGPNGLP YENGHKIEGLPGGESLPNDTDHNGLEAQGGEGGEGGDRDDEVDDMLGGTAEQAKINRK IADLEISNASLMAINKMLETTKSKQRAEIVKLRRRLRETLSHHPHPNHHPSHPHSALS PLSASSFPHPQTSPTLSALTFDEDPDHDHLQDDYHDAEMADPQLDARWDSIQTLVLEM QEKAREAVKTDAGRTLGKEREGVGGGRVLGWVEVEARDREDGDGEGDVSVDSEGTPVE TIIDDLLGGEGEGEESGTDDERPPRRGSF L198_02891 MSSLPLLDWDPTTFALLQQQLARVVSQPTTSALRKLWALLEDAE PWLLNLTRLPPKNDKDKEYIEKNALELPSGTAIRVTGDLLTTTNTISDALSLSQLYSS VLALQAESHRHQYPSRSTPEISIYLLHQWSLDLLVFLEQLFRVVISQSDELDTHFEPL KNFVENLLGSRTEQGTLIDNILSQLDVLHSKLSSLLSSQSPSGPAFELLQFRVQALRA EQNKLASILGVLAEGGRLGRGQVVRILKWLKKVDRADGVVGMVGASLMAAWKPLESMD ASDGRYDVAEDWCHDIKFLKLASSLTLQEQWTIPRLREPIKLAWSLFYLSCLRHDPSV IQTGIDQHEIEGWLLDSVHNDALALLHAQVVSISGGEGAGEVDVLVQQGNASEVGNND FLVEQVRGLVDILAGKKQYLRNLRNKEEDTGARRSQVAPPAAHYQNFLRLTRAVYKSL PPDSAVELWENSTFTSTVLDSRNGLPGTAFWEMLAAISTGPECSVLCYEKLKDTRLPW TSLFKFYQHYIEIMPHIYEPIKSTRAASLAPMVLEDVEVCTGWTKVLETVVRWSPAAR AALLQSKPQPLGVLFEFLNCDNVPISLKATILQAITAFTKRTSDPSDDEIISQSLSSY EKITYLNPALDVRHLEPGRIPQPVGWLSRMEWAENEEGRYALSRAYVEYLTELVPSPG TVGKPAQAVPSGLRSKLVNTLRRGAYQVIDGVFLSLKTRRYARDSERWEVLDTLTAFL EKALLSFSMSELLSPSASASSAGRTIGPIAVELSEEPGFVILLRLLSDPAVFAVLASV LDSAAQEGLDGQGGKREEVVSRVLLRVLRVYHRVLEVQLVFAEVLLVVLADSARNPTY PFKRPFGLQPLDNHILSHLSNITTIALLVADDDPAISYIATKLVATLSASPVFNRTDI FQGEYAGAVNRLAGILDASDDSIRISQAFVRKLDVEGDAVEDQEIVEDEALHGDVKKV EEELGMVTRSVILDILVEGTAQDVSSPNIAHFLLGYDFRHRDFALQSGGSSCLHVILR QLLDGADLSGPSGDGIIDRHPLLGAKSAELIYQLFSHPMTGRATMGYAMSVTGYSARQ LASIPRRCVEWAGEEGPSGRAVYWDGSVDTTSDVLVSVLEFQRWIVSSASLETFSYDG HGASAARIAEILFYGSAHDEDELDGEQPQTPPLLIDLLSSIDVRWEEPELENRQLEFF AGFGFDEFKRVGVEWWDLKGLEQGMKAWAKGLERQGAITPASAPAVQSEIIYVLQKLG SKKKETDVAIAKGNFALSWNELLKISLAMLFKHINPDEQQILLFSLLDQLLLRLSGVD ELSPGVGDVVAESVLVAMTQLATVLGVFEGVNLPVELLGKILGRVVDGATRPGTTETA RGNLYAAITQFLTLISPQNLSYSQSLAPSSFSVTDSTPSLLRTTLTVLAGKKERLIPM LCRDAMDDRDVWKTECYALLGGIVGLCQGERERFVLGPLMKGGYLPLVVRSVKEKEGA LLECLGPEAENLHAYWVFESKLAFLLALASTRKGAEDLLDSGLFEILATCGFINVQIQ ASEEALDEVEAAEISARQHRVLGGVLGLVARVLASLHRGTRSGASQAIGFLNAHREAV LALIREPQQSLSTSSLEECRTVVSILALVVHKVSSDELHSLSSFGAFHMAVLATAAGM FDRTQWVEAVDEEPKLEGDILSLNQSLLSFLTSATSSLKSGSGNPVFITGVHRSHSSS VKYIASAPSVGTAVNLLGELVEKIQEVDGEWEVILERMEEGGDLDEADVEKLRNEYIA DSPLSDDLIKSAFISKTQTLFDMIESLLLLIWRHLLFYANDARSVLAPSAPQVRPDNL SISLSGSAFGASRSQELEGNRQGAGIVRMLERVGGSLSSVLLRVGDLEINPELRRLAT SSSSPSIQGDAFFDMLVRRLKELVGGLVGDAPAREEGEEEGDALMF L198_02892 MSDIKTDVEMLRLSYNDIHTAIQKAAVQIQAEFAPNLFIAIGGG GFIPARMLRTHCKKDVDGKKRNIPIQAVGLVLYEDMGGVEEKVGTEVVRTQWLDFSTL GQNFTQGGLLGRHILIVDEVDDTRTTLMYTVKELQIDINKQLELVENVQERERLRAQT KLGIFVVHNKLKPKAGQLPEGVVYFSAVDTPDVWLAYPWECDGDIYAHDALRVHNPNI L198_02893 MDMDDDDAFLYGDEGATDTTAAQATKNEAADAQSKLSASMAASL AAYGIDASAAVVEPPLENPEDGGVEEEDDEGDDDDDDESDEEDVKFVFSGNPRALDLR KQQQPVNVVGIGKWAHASTGAQAPAPATPHNPSLIADASTRYHTTEYTPAARPSATPQ VNPLQNPPLAPNNTAPNLQPQQEPTPSAQPQMTQTQNGPPINPNLPPSTLPVVTAPTT QGFKPNPSNPSGIIPSTGQSVYDIEAAMFEGSGQPWRMPGAVVSDYFNFGFDEVTYPR YLRYRSEMEQGVKALANLPPMAAMGGDLAQMLHLGNGINLPQMMQMGQMNGMNGGMNM PGMQGMNGGMQQMQQMQQMQQMQQMMAMGGMDMGMMQQGQGQGQAQAQAQQARPSQVL LLKLKRNPHLRKNPSKRKANMQVETSRRPAPPTRPRAGPVSGNVPLGPRAAAVPSGPA NAPTGPSSSNPTLHAPTGPKSRFRDFKDKDRVDISGAGSLDYGDEEEDRYGKDDREKE KDKTRDWDSGKERSESRGSRRRERSPRSRTREREKGGYEEYEEGGYSSSEDRERRKRR EKEREKEKSEKKERRRKEEDVSLGAEGWEEEEGEERRGASSRRKRSDSEDEDRHRRSK RR L198_02894 MSKPSEVALAPRENDRSSTDTLDGNNQPFNPRANHAKIRNDPAH MAKAIYAEKPKDNAQIAQVENARPKMKRGDTGDGRHILQEEEVPEALGFTWSSRKKWS VLTVIFIVQCSMNYNAAIYANGVPFLTEKFDITEQKARIGQMLFLVCYAFGCEAWAPW SEELGRRPVLQASLFLVNIWSILAALAPNFGSVLVARGLGGLSSAGGSVTLGMVADLY DANDQQWAVAYIVLSSVGGSIIGPLVGPFIAEHLSWGWICWTQLIFGGFVQILHFFFV PESRVTILLDREAQRRRKAGDSKVYGPSEIKTFKEKFSPREMVKTFCRPFEMFVREPI VLCLSLLSGFSDALIFTFMEAFTPVYEQWGITGEKMALAFVPIAIGYLLAYLIYIPPL MSQRRILAKDPNAAPEFRLKPLLYLAPLESIGLFGFAWTSLGPPHVHWIAPMIFSTMV GIANYAIYMSTIDYMVAAYGPYAASATGGNGLARDFLAGIAALYSTPFYTNVGGESYR YHLEWPSTILAIIAAIVTVPIFVFYYYGAWFRERSKFAMSLAGERIERQQSRLEEQKL SQDSGVYA L198_02895 MSTLFSTPASYPWPTARPALVPRQDDTGNGTSDDSAASSAAPES SAAESSEASSAAASSESSESTASSTTTSDDTPSSQSPTATSSARQTSTAQQTSTAQQT STAQSSAQTSEAATSSAQPTTSEAQSSAAESSAAQSSAAESSAAQSSTPQSSAAQSSA AQSSAAQSSAVPTTSQAQSSAQPSQSQTQSPSASPSASEAQSSASPSASPSATSAAPS QAQSSAAGQSETTVLSTTQAQSSQAAASSQAQSSAAGGIVTSSNPGTTTVTVGASSSA GASTDGGATSTQATSESGPQTSTVVVSESNTAASTTAQSAYVATTTDSAGSAVTTTPD TYTSSYVTTSDGEVYTVTQIVHNPTGSLDSGSSSSGGSSNSFFNNRGAVAGTFVVVGL VVIGLAAALALLCFRRRRRQRLDREVTAAAMAAPASTGRQPVDEDQNYTPSSGPQTNS ESYPSPASGPDMGQYDGYGASYANAGGYDPYAAAAAGYGAHTGYDNLQPDGQGYYYDP HGTPEQYSDAPTTSAEAYSDAPLNQEGQSEGLQSAEGSQGVGQAYYFDPEQAQEYHDA EQGAEPYTDDPYGGYSGNEGSVGNGTPQHEREDPLHVSLANVLLLGDH L198_02896 MTVDAQSKTNFNVPEAYQLQEVVGEGAYGLVVSATHVHTGTRVA IKRITPFDHTMFCQRTLREIKLLRHFRHENIISILDLIKPATYASFTEVYLVQELMET DLHRVIRSQDLSDDHCQYFVYQTLRGLKAMHSADVLHRDLKPSNLLLNANCDLKICDF GLARSAAKKDPGAETGNGFMTEYVATRWYRAPEVMLSFQEYTKAIDLWSVGCILAEML TGKPLFPGRDYHHQLSLILQVLGTPTMDDFNEITSQRSKDYLRALEFTRRQDFATIIP KAKPNALDLLRKTLTFSPTKRITVEEALNHPYVEAYHDMNDEPASEPLPVGFFDFEYS QDKLSRDQWKQMIYGEVTREVNEVLKS L198_02897 MPLRRSSRPSNPPPPTTNGSSTSGSINGDGLNNGKRRRPSVEVV IERKRRPTLSNGTAKSKYVESDSEPEIKPKAELDPVADQPFNIGTYSSVVKESAADLE IKPKKRAAAKGKGKGKAVKAESSAEDDDFAMDSDEEERQLKRAIKASTARATRGRGKA GVIASGSRSGRTSTAASGKVTPLSDDDDFTDPDAPPTDPDATETDEDIPLARGAGGRG AGGRGGRQSNGNAKRVKGKATTKKFQGKGRKLGADFEEESEDNFESDEVSEESLSEPE PEGLSFMEQTKFRRRKEARKSAKSAAPRKKKEKELSKKLGRKLTNGEKNLIALCMYHP ELEHVWGDLENKLAPVKPTTMEAHPSLKLTLLPFQKESLYWMKQQEEGPWKGGMLADE MGMGKTIQTIALLLSEPRRKPSLVVAPVVALMQWKNEIETHAEGFTVCLWHGQGRMKA SDLQSYDVVLVSYGTLEASFRRQQRGFKRGDKFIKEKSPMHEFEWFRVVLDEAHNIKE RSTNAAKAAFALKAQYKWCLSGTPLQNRVGELYSLVRFLGADPFSHYFCKKCPCKSLH WQFSDKRSCDECGHSPMVHVCFWNTEILTPIAKYGIEQGGPGHTAFKKLKILLDRMML RRTKLERADDLGLPPRTIVVRRDYFSPEEKELYMSLFTNAKRTFATYIDQGTVLNNYS NIFSLITRMRQMACHPDLVLRSKNSTLAEINEGTVCRLCNDTAEDAIMSQCKHVFDRE CIKQYLEVKQARGHKPECPVCHIEISIDLEAEALELDDGTKKARQGILSRLNLDNWRS SSKLEALVEELEKLRNQDSTVKSLVFSQFVSFLDLIAFRLQRAGFNICRLEGGMTPQQ RDATIQHFMKNTGVTVFLISLKAGGVALNLTEASMVFMMDSWWNPSVEYQAMDRIHRL GQKRPVKVIKLVVEDSIEDQIVQLQAKKLAMTEAALSSEADAALGKLTTEDLSFLFKL L198_02899 MPRGDYNPTSPYGYTPIEWVTIVFLVIFSVSGAVHLAQGVWGKY YIVFPTLIVGLLLEIIGWVARFWSSRNVLYDTPFLMQIITLIIAPVFFSAYCYTILGV GIQALGRQYSLLRANWYVALFVTCDVISLVLQAVGGGWAASSDEHPVPHTPTNIMVGG IIFQLVTMIVFCCLAADFMWRAASKKAYKSKLGQAEKERELEMGVLEEVNSPYSTSID DAGERRKRVRGWWFVMLGVGICSICIIVRGIYRTVELLQGWDGYLISHEVYQDVLDGI PMSIAIIAINVFHPAFWLSKRRGWIRE L198_02900 MSHQDSRARVCAEPSTSPPPLVRDSPRTYSPPQRRDGNGSEERD VKSQRAAGTDLPTAAELFVPSLPGIPNLATHPTYVPFPYFPYPFFKPLSLGGQIVRSD RRDL L198_02901 MSKARRSAGPQRLIFWFNGGPGCSSFDGSLMEVGPFRTVPASET TSGKVEVKLVEGGWEEFATVVFVDQPPGTGFSYVPTNGHLHDFDELSAHLIQFLQNFY TVFPELSGIDTYLAGESFAGQYIPFFADALLKTPLLPHFPLKGIAIGNGWIDPIEQYP GYADFAYEKGLIKEGSAEADVLEAALAKCREEMEKYKDPFTTPVNINNCGEVMDAVSD PFTQTLNGKKVCMNVYDVRLVDDWPACGMNWPPDLSDVYDFLRQDEVISALHATAKET AWVECDTKVSHELHLKNSHASSALLPGILEAGVPVMLFAGAEDLICNYKGIERIVGSL EWHGEKGFGNATSQEWYLNDTLVGSWQSSRNLTYTKVDASSHMVGFDVPQVTNDMIMR FMDVDISLLPGGIAQWESRVGSDERVGLHLGEGKEDGGMALIKGGKTDWEAWYNAASA FIVLGVLVGIVGLYFYFRKKPPHRRAGRKGSYRRERQWDRGREAEEGDAAERVPLGSE RVEMEDIERAEGYEFEEREGSTRWKGKGKGKEKEREEGEVMFSLGEDEEDEHRERVV L198_02902 MATATPPCPIVVDTDGSAYIPLPDHPGLRLAPGQTTDLDALVKL YNTPEIGKWFWRRPFPCPAEEISKTLEKVPVQQAYLATLISSLPTSPAPLIPYTDNIC PFNTLRESDTGKLLGTVFLGPSKAEGDWRIAYDLMPEVWGKGVGSAAAKAAVDYLRWF GAKRVTGVHEPGNGASGAVLRKAGFSRVKDKELEWPEEKGGGHRLVHVWEATF L198_02903 MSMASYSTTTPSQEAQLGGAITQSRLSSEAWIEKSTPTSDDHGY YRTAGGLDCMLQEQENSTTGFYQQAVQSVGPCRSRVLSAATFLPVRGIVERATVTALA PCDVYAEEDKEEMEKANKINTDVRHALQSSAPVWLAECRTRQSAEPLKEAEARIFEEF SKKEEYHGVALEHLDPTSANFSGYWHPLSELLDRSEVAPEDMTQKEWHQLMLMRTPLS KKSETAGNKDDTNTSLYDAEDAQDGDNGFWVGERPTTARSWEDQEADPLRAQPIGSLY RGTDASLEMSTVPLTALFHETFTTVSKEDDAERKTEAVQRANGMMSDINEILQETEHE WYPQVLQSRDLGAFNTQEQQAYERLSGLEQYEGVGFVKVPLDTARAVSRIKPDHIGDE EWEIYLREWDAARGSSRQQEEGGETTLSRRSRFSRKLRTFFRSHA L198_02904 MITVAHDRTWSELAPSQGRTHPSNSLTILRDNDILFKDGNLSPL PDSTVFIVSNIDFDADKKNLSRKTIVFPRYVEGATIDDYSKSAATASRMNKDIFEGLE RTEDDWLHEAFTNKDPEVLARQEKDLLSPLLDTHDDYKSVQILSVGENDFKLAESSIY DDMGP L198_02905 MEDTTSPRAKHRAEHLARDAAAASHASYYAHPALHLHTYLGGDK QPAVVDREGQLVGALNDPAQPIAQGPEGSAPPTGAPTKPGAGVHNIPGVNANTEADRK TGIEGTKEEEGGKQDAGAPSGVDKGALEIGGEAGGTKTSPGPTAEQVQQHRAQVQQQN GNHLDVPNGRPGLERHRSVQGRMNPHLQMMCGPLISYYTVKDNIWQGAAMVVIRNEGS VLNPPPALSLAFHPYTPPSDGQPTLHEPEVQLLPPATIPSQNIFTYNSSEGAMSFHRF IIEVPLQRIQTTVRYSLNGGAAMDFVVPALGQNLRWAAHSCNVGFSSGVNPDDFKGSY PSGYDPVWEDMLLKHQEKAFHCMVGGGDQIYCDAITREPELQSWINAPDRKSKLASPL TDEIRLAVDRFYFNHYCKIFRSNAFGRANSTIPMVNMLDDHDLIDGFGTYDDETQASS LMSHVGSRGYFWFLLFQLFVHDGFDGVDHTPGTHIVKSLVLGDKNGPWIPHPTHSLLV YLGPKVGMLALDCRAERKLSEIVRPETYAKNFAEARKRFVGIEQLVLLLGVPIAYPRM SFLEHFLELKWNPVNILARHNAMGLGGMVNKFNQASELLDDLNDHWCANTHKKERNWL VLECQKIAQETHARVTFLSGDVHLAAVGCLYTKGSKKGQGLMEPEKDWRYMLNVVTSA IVNTPPPGGAAKMVAMLGGHTHRTLHKHDTDEHMFPLFKTDTDGSALSKQFTLARRNY TIVEYQQGDGSLSFDIRVEKSQGAGETVGYEVKAPAPRW L198_02906 MADEKKTQNTKPAEEEKKEEEELDLPYVPIPPPSPSLPTPPCAV FVADCIGNSNVWMKISINGKPFKTSSGHDRIVYQLYDDLCPKTCANFRSLCTGRHPGP NVSATFKALCPGLTCDGSPLPSTFAYAPSTPFHRVIPGFMVQSGDFERRDGTGGVSIY GELFADEKVGLGGPLPSAVRAKEKEKEQKVEEEQKEKEGKEGEGEKEKEGEKKVEKRE RLKFDKEGILAMANNGKDANGSQFFVTTVNECEWLDGKHVIFGEVIEGYDIIKEIESR GNKEGKMPRDKILIAAAGAV L198_02907 MFARAVRPALATARNAHQQQGMATLREIEQRLKSVRNIEKITKS MKVVASTKLTRAEKAMREAKKYGAANNAELFKHAEVESEADPKILYIGITSDGGLCGG IHSSISRFIKKEITKTPGTLAVIGDKPKAQLSRAVPQAFKISFNSIGKDVPTFAEASA VADEIVKHGGEWDQVKIVSNHYVSAISYEAGITSVISAKALQAAAGFQAYEMEEDVSK DLAEFALANAIYTALVEGHAAEISARRTAMENASNNALDMMGSLQLQYNRGRQAVITN ELIDIITGASAL L198_02908 MKKKKKKVAVAEPEESPASPAPAVESTPEPTPAAAPAAPEPVAE APVAESSEKPAEDGGDLFADLKKKKKKKKDIPLDLEDAPAPTADGLDIPMKKKKSKKT TDFSKELEELETEQNEAAADDGDLGDDPFSTKAPGADPSASELLEAGKEPWVLEAREP TYAELLKRFFSLLHAHNPELAGEKRRYTIVPPQVQREGAKKTNFANVMDICRRMRRQP EHVIMFLYSELGTTGSVDGTQRLVMKGKFTQKQIENVLRKYIVEYVTCKICKSPDTIL GKENRLYFMTCESCGSRRSVSAIKAGFQAQVGKRIKTG L198_02909 MSQYTKQTWTAAPTLTTTTAPTNDADGQHTQHTQQTQQSQDSAA VDYTYHHASARLVTRPISLSKLDLQKYGCLLPPSSSSSSSSPSPFPSHLSLSQEKEKE QEAETFLLSISSYDPDSKTVKRKVLSVLSLDDDIGLMNHAKRSIRLPRGG L198_02910 MSQFTEQIWTVISNDEEENTNPSFACHPQSTRRARPISLTKHNL RSLGSISCLEDNTNTILLSASRYDPTTNTLSRTSLTAVRSDKRIPMTEFQVSVDAMSQ VDGLLSRKLEEPGGEGDAWLVSCFQGENTKALLEKEEALFSELRDGDGGVSVVGEGRV QLVRAESPDAVKQLWEQALEFEKRESCYDEDSEASEDSD L198_02911 MSVLSGFQVPKTQTAAVVPSVGSAIKIEKSHPVPQAKDLKPGEC LVKISHTGVCHSDLHAKAGDWPIPPMTPLIGGHEGVGKVVAIGDHTQNSPVKLGDRVG IKWLANSCLSCEPCRRGFEMNCDHAQLSGYTVDGTFAEYVTSYVNHVTPIPASLDSAG AASILCAGVTSYKALKVSNTHVGDWVALPGAGGGLGHLAVQYAKAMGLKVVAIDTGAA KEKLVKSLGADAWVDFKTSKDLIADVKAATGGLGPAAAVVTASHKTGYTQAIDYLKPS GTLVAVGLPNSEMGNNVFWTVFKSIRIQGSYVGTRQDAIEALALMESGQVKVIFEERP LADLKDVYEGLEEGKIAGRIVLEVAKE L198_02912 MEALPVTSYISTQSWTEHDVDKEGNRHSSNYQRSPIGTFVDAEP DENLEVWPETGRPGPEVTAYIVTVLEYDPKEKKLSRQTATVTRAQEMYGTLEDSIRAL EAANEIDEEMWKMLGESQQEGWLATCLTEGNTEALWKNYESEQDCFDAIEPAKGMDDS IRSGLGDSERDKDGWLATCFKEENAEALRSKQDELFEELKGEPQKTFSPEGLSAALDA VKEASLTSTPAPALSQDGDIIVIDSDDDAPPKEKKAKATRKSKSKGSGYDDVDTVFTR TEERDEDGRLVKISVCSVCSKPNKPRVLRGDLSSLRAHIMTSKDHLLKYKEGCENENI DMATRVAKRVEVDYLGMGLEAL L198_02913 MTQSRSQREYTEASEEQPLPPSIDQRIDTDNCFRILVATDNHIG YAEKDPVRGQDSINTFREILQIAQKEQVDFILLAGDLFHENRPSRTCMHQTIALLREY TLGDKAIPFELLSDPMDGATPGFNFPAVNYEDPNLNIAIPVFSIHGNHDDPQGTGPEG ALCALDVLSVSGVLNYFGKVSLADEDAQSADPDKGIRIKPVLLRKGDTHLALYGCGNV RDQRMNFELRSNRVKMYVPTGGEVADDEWFNILLVHQNRRVKHGPQQHVPEGMFDDSI QLVIWGHEHDCRLTPESVPGKPYYISQPGSSVATSLSAGEAIPKHVGILSVQGEQFGI EEIQLKTVRPMAMGEVVLGDEAKDGAFSLDERDEITMFLRGEVEKCIAQTKEEWDETH TSEDGEMMLPLVRLKVETTDAKDVINPVRFGQEYIGRVANPRDLLQYYRRKKVAERKP KNNADLPDSDDIEEEPQSLLADDEASSKLRMAHLVGQYLKAQELGVLVEGGLEEAVMR FVEKDDRDAIKDFVAKTTNFVSKAMLHNEEFDPDTVKEQMWEAKNEAVARHEKEKGQF ELKKNKGKGKSRDEDADSMAEEDDDDAMSVDSESDRPAPKKAPARGPGSRGGRGGARG GATRGKAPAKSTGRGKSQALFDAASDDNDISDPEEEEEEEEEEEPAPRKGRGRAAAGS STAAAKKAPAKKAPAKKAPAAKKAPAKASARGGASQTTQSQLSFSQGGAGGGKKTVIT LSDSDD L198_02914 MTPTHTVNIAHAERVIMYDNNKKTREIRGAKDIRLESGYTTAQK DKKAKDASKTAAVAAAVALANKATKHKTVTHTAPTKAPSSSKAKTATVTPAPKTKKAE SNKAEHKGQRRRLGVYFEETM L198_02915 MAPSRTQTQHRNLSEEFAHSTQSQRRAKITDAKDIFKQPDQEEQ LRLGREYRNLQTAADEMKGNLANATARDLAQALAKQSQLFASVRDTGIGTLDANLIRT NAENAMGLAKRFKIDGVTFDIDEFLIKIKGQLGLDRAEMMDQDAEESDLEDDGPTQEQ GRGRGRAQQARAGTLGDWEKLGWMAARYGRRMPGVEFMYGPLQVEYKKRMPNTQRPKQ AMVEETQATVVEQNAEASKKTTDDFTNNIKTVYKILGNHAPDGESMNFFRLVVNPTSF GQTVENCFFLSFLIKDGKAGVYVEEDGEIRVAVGEPHNTADDGDVQSNQAVMEMDKET WELAIRTFGIKESAIPTRDYQQIKIQSDGWYS L198_02916 MSVTLHTNLGDIKIEVFCESVPRTAENFLALCASGSYDNTLFHR NIKSFMVQGGDPTGTGKGGMSIWGRPFSDEIRQTLRFNARGVVAMANAGPDTNKSQFF ITYAKQSSLDGKYSIFGKVIDGLEVLDTMERTPVNPKNRPLQEIRLERVTVHANPIAD QAK L198_02917 MSRPTQQQNPATTAPAPFVFDPVPEAIDAVNRGEFVVVMDDENR ENEGDLVCAASKVTTEGMAWMIKWTSGFICCSLPPSRLAALQLPPLLPPSGVSQDPKG TAYHLTVDSAPGKNPVTTGISAHDRAYTARILANEESVEGDLTRPGHMVTLRYTVGGV RARRGHTECAVDLCYLAGLPPAGLLCELVHPTDEAGEMARRDDCWRFAKEWGLKIISV EGLAEYVEREGKDLVPEALARA L198_02918 MAAALAASLPSAVSRQSTPLPQKEAASKVPLKLEGAVDAEALRE VESVQLNSLVFLKIMKHSTDILPAPPASVLQQDRNPPPSTELSSHVDALGVLTGLDLD GVMEVEDSFALPGGETSLGPNSYSSKLLSRLSTVSTPDSPIGIYLSTHNGGFATRVSI DLLSAVEKSAGRGKAILLIHDASRSNGNDLSLKAYTLSEGAREAAKKGKWDGQTLQEY GITSATLLVPLPVKVASSALLDAFISTLSTPAPSASAPSLSSPSTPLPPSFSPLLNPL STSLTSYLQNTLDALTLHSHETNNIAFLSRQIAREKSKHEQVVKDREEENARRRKAGL SEFPEIGEEVRGGTKEPSRLEMVCLGGTVEGVAKGMAAEAGKGLVRAYL L198_02919 MSNHRTGTWSQTAGTPYDFILEGTNQDFDEVTALVDSDDLFTRT APVDKETTSYVFTAYTHDAGAGTLRREAVTAVRQPPPGEREMYDVASEASTQLDKAIT CNLYGDTTHNSALINSCFSDHSVEGLKEEERTIYSKLMAKDEGQYKGVQLLQLDAGTM RDLMVVLNDRTTER L198_02920 MLSFLLIPLLSSLAPLSHARQITVKNSCSSTIWPGMHTGAGEIP AQATGWELAAGDSTIFQVPENWQAGRIWGRTECVIQDGKFQCLTGQCGSGEGGNVTCE NSDQPPATLAEFTLQPDSEDNFDISLVDGFNIPLNIIPSIESCPQPQCQVNLNLLCPA MLRTALDMNGVNLGCLTACNAGLGQEMYGNRACCTGSYADPDLCQACGVDYYSLFKDN CNTSYAYAYDEKSKTALWTCANSPDYIVEFCPAGSDYVGAKTPSDAYANATATCSSLA STGLSTFSVGPSPTGAVSSGTLSVVATVATGVDGAAAIQVGATESVEGASSAVVDAAS GTAAAATATAGVSAETGIVASSAAAPVQSSIPEAAATSAAVESAGVVASSVGVVASSA GAVEPSSVVSQAVSPASVASASVTTGAAAAASSEEVTSAAGLRVQNLAEGTDTVTTPT STVIVTQYVTVQGEDPSSSEGIAAVQAATSGGISSAAASADSDTEVFTVVDGSTLVQV VDHSATTASGTGGDGVVAVEASQTGGVAGNSGAKWGEGSWLSAVPSGHEQQRKRRSKK HGH L198_02921 MSGTPRVLPTLSSWYDAPKGGSSIINGPDANEIEVLKARLADVE GTIHRLSEAFHSGHRHSSGSHPIIAPPTHRIDPQLHTPSQSSWEQPLDPALAPENDAI MNGESPLAAAFVDLPVPDAISRGILLMDDCQEAFDFYFDRIHPWTMILNPHEDGSAAT VRQRSPILFHTIILTVFYYRPTSTTSLVMYRAISTILYSLLGPLLLSSQPPHLFSDTL RAIHLLDLYRPVQYDNLWLSGETSPPAIQYRSKINPQGAWALRNLAGQIVQRMNLPHA GIEFERCSNAGVPTHPSIIADVRLHLALAWHDIHGALSGGSSQHIVPPSIALCRLFAE KRFEKYDVRLAASAELFTLAIKLIRSPTVSPGEVYEFNSSLDQWCGYWQLQLKSNAYY DPYTWSTINMYGAFICLLLNAYALGRSRSWSSLESVTDGQVQYITQVKAGVQRLVLAV TEQKADMGEGFVATRWGSTVSARVWEELELDKDIIDTMQWCGDSVAAVMYPHALILLI RLHTSGLLFPDLITINPHTIPTPQPSIPAGSPLANLLTLGDSILGSIAPNAEHPVGVK ANYIRRLRGPFVPEGMASNKFNGSGTRARTPRTSMGAPSLDSPGLAGEGGGKGQEVRD LKSWLEVNFGDKVIFSSGWGPSEI L198_02922 MLFSISITSDVICPFCLIGVKQLLGAIDEFKSTHPDAKFDLRLL PFELTPGLSEEPKPKRAMYEAKFGKERSDAILAMLPEKYAAVGETVDLDGQISSTHHA HRLQTLALCRAPEAQLPLAMEIFRGYHSNGKHPSDKAWLASLAVKHGIFPTEGEAREY LDSNKCDKEVRKAYDVARETGVTGVPYFVFQGKFALSGAIGQENFLQTLEEVARRETV LEKNSAPAINSAHGESCTDHCEGDSCAVPTTA L198_02923 MTHRSRNGGPPKTHKKNKSAGPNRKLHHPAPPPRPETPPPPPPS SDPLPPPEGPFNPPDTELLALIHRALHETLQADNFQESIQHIKGLLYEKKWLEVFCGS EGVLEAYAGRWVPSRAACFRELMGALVGEEVFEGKTKSLEEKLAEVDLEEDEDDEEEE EKPEEEAGEPSQEEQHSPTHNILSLGGGAGSELLAISALIRSTLLSRPVHHPTFTFTG IDIGNWHAVLSKLEHAVRVDWALDKEVLGVEYVKGDLLKSVGRSVEAEERKEDGKRDG DGERVEGVEAGPIDLEGILSNQPPKLITLFFTLAELLTQSRPSTISLLASLTAHTAPG TLFLIADSASDISEFSLGAEGRKWPAWMVVDAMLTSKGKGWEKVRGEDSRWFRFEEGV GAGWACKLENTRYWYRLYRRV L198_02924 MSSARDIAGNSKSNDTLPKVQLVATPLEDSNPTTSSKPRKLLSD EAEKYVDAMLTRPDGTKGIPPLTPTPATQLDALFPGPEPETLRELKEEINHLLTMVAH LEEECRNVQRYGKVRGWGNTRACKMINHFEDDFDYFDDFGSDGDDDDEDYEYECKELR ADLQDLRKQVKAREQDLAKLVGQKKGKKGPVDVDSVYLTDDEEEDEEEDDEDGDDDEE EAEPSGRAEKKRKL L198_02925 MVETSATPSCPIVVDQDGEAYLPIAGHPNLRLTLRKESDTEAIM EIANTPEISQWYRFRAVPFLLSDAQKENAEIPLASAYLQKITSSLPNRPEGAPDGALP IRMPFNILRDVSTGKAMGSFRFGGPGMDFGPGRENAWEASYEIGPTLWGKGVGTRVVG AALDYARWIGVKLVIGVVQKVNLGSSGVLRKNGFTQFLEFQDEWPAERGGGIKTVCAY EVAL L198_02926 MPSIFRKKKQPKPPNPFPITPAPNTAPATPRKSLSSFVSTAPST TASPRLSVQSNASSSSASVSSHPQAQAQTPIFEKFSPVKPAPPASPLVDKPSPRIKPQ SANPSSEKTRNLFSSSIPQDQTGHPKSPTPPAKEVPVTAMSTASVKSVKNARKEQEKE RVERQVAQPSGKITPVKAYLIFYNAVSTLLWGYLLVITLTFLLTPRSAPSVASPSFLS TLSTYVPFLGEPKHVQYIHKILNHLKGSYDFGNLGWLTKWIQSLAVLEIVHAALGLVR SPVGTVTSQVFSRVYTVWGVVEAVPQVTHDSPLFTTMLLAWSIAEVVRYSFYTLSLLP PASVPSPITSLLTWLRYTSFLVLYPLGAGSEAFLSFSTLPPFALLAGKAIRLLPGGAN VSGQEWGLMEGVRLVMFFVWWPSLFALYTYMLKQRKKVLGKGKTVGGVNKAR L198_02927 MSISALSPPLGPLASLPPHAAGLARQKILLLVTSVNSFTQRVLS YLQFLGFEHVSVQLAISDEAMLQAAQSWQPDIVLCPFLTKKLSPSIHNTWITLVVHPG PPGDAGPSSLDWVLLGDNGAVPSSTDLLPALLSTLPSPVAQRSHWGTICFQATEDLDG GAVWAWEQYPLPPIGSVTKAGIYQNLHSPAAMTAVITALIRVYEKTAGLDKAEWMNVA PEKSWQELSVSLGERFLGGKTHERPLLQSAKRRPDWEKHTAEDVLRILNASDSQPGAM LHPLTSDAKASLFAYGAHLHKSAVTLPSSLFTALGFTSFKQVPTGTPIATRLGAVLFK TAQTPTSSAAIWITHGRVPRGKDKPIDPKIPMAEAIKLAGHAKILENVQEWTQETWEE REGEWQEVFVRSVKQGDGIAQFVYWSFYNGAFTTQNCRTLLSALQWAADPSRGDVKVL ALMGGAYFSNGIALNTIEHASSPGQETWDNICAIDDIVSFLCSDISDEQPAFMQGQGK ALSERGIVTVACVRGNAAAGGVALATACDVVLAGRGVVLNPSYRGMGLHGSELHSFSY LHRCGPIHAAEILREMKPLNTSLALSYGLVDAEIGSGWSSVLSSEDLFISAVQTILSS SSTNAPYSSAPWARPTKPERSTDDKPLVAAMAEAKVRYFTTTRTFPPLHHFRQEELSQ MLLDSFHPIRSERYHSRRYKFVRKSKAGSTPARYAVHEKERRQDEEDKAEFDDAPGWV RGEEWAWAGLETPASLKTSEVLRIPLYPSSATFVPVKEHLAKPLHQDDIFRRPSQTST SSSSGPDEPLIRTPSPAKLLNDGVFENAPQVAMHESEEQKERPVLRERKSSGLGAKLR QAFRLKPKAGKGSKKSLKKDEINEALGRKLGKGEEESEWPCLVTGGEEWQGHAEQGNI RGPKVEEL L198_02928 MPAPPNHIDIPHASAVPNLAPDDEVITPDHGPDAELEADYVPSA AKPPPTRGILKNSRRPSEIVVDGELTEAEKIAEQQVLQWDEANIALTEIQKDSLMKID EPKTPYVRYDAVNDQVLPNDGEIPSFDLEADNPRSPTTPLSPRKSLPTSPDQTRHNTA LNAAPQDAPRRPSSTGSSSSSRSASFSLPTKDHPVRPGSSPKSPNAGMELGATAANTA ANSGEVFDDSEDEMDEETRARHKEFNKKRNNHYSNEAAIALKRAKELMQKEEAEEKAA EEGSKMQVD L198_02929 MKPQNVVGAAGTGALLMAAGAMADQQVFQPTSLTAPFIEQFIES LPESRWTVSKATKQTPVGDEIFSYVGQWEVEEPEIFPGIEGDKGLVLKTKAAHHAIST LFPQPIDPKGKPFALQYEVKLQKGLECGGAYIKLLTEHEEGEGLRAGEDYTDKTPFTI MFGPDKCGSTNKVHFIFRHKNPLTGEWEEKHLKNPPSPKISKTTNLYTLITNPDQTFE ILINDESVKKGSLLEDFDPSVNPPKEIDDPEDFKPESWVEEAEIDDLTATKPADWDED APLMVVDTDASKPAEWLEDEPEYIPDPEAEKPEEWDDEEDGDWIPPQVPNPACQSAAG CGPWVAPKIRNPDYKGKWTIPKIANPEYKGVWAPKKIANPVYFEDSHPSDFTKLAGVG IELWTMTEDILFDNLYIGHDVDQAKKFAAETFHVKKPLEKAAEGSTEDDDDEPETLVD QVRLKIYEFINLAGYDVVAAVKQLPEVAAGLAAAAFTLIGMLLALFGLIGSAPTKVKT TRATVKKTAGVVPAQPKEEEKEALVDAGVPEEVAEGKEGVKKRVIRSTKD L198_02930 MSSEDAPKLTKEEKKARKEAKQAKKAAKAAASAEATPAESTEAT PAAEEVKPKKEKKDKKDKKRKDVDAEEAPKEEASEEPPKKKKKSKSTDDATPAPAATE EPISKKQQKKLAKAAAAEEATKTAAASLPAVEVSTTFTPEHTAFLTENAITLLPHTYP PVLSIPSLPVNPKITKFLSKFTKPTPIQACSWPPLLTKRDVVGIAETGSGKTLAFGVP GVNLLSGLAPVTGSKKGRGQVPGQIQILVLAPTRELAQQSHDNLAALAAEVGIKSTCI FGGVGKDGQARELSDTKTRIVVGTPGRTLDLADSGDLDLSSVSYLVLDEADRMLDAGF ENDIRRIIAHTPGHEQGRQTVMFSATWPESVRRLAATFLNNPVRITVGSDELSANKRI EQVVEVLDDPRGKDPRLIYHIRAHLKANPNSTASPTRILVFALYKKEAQRLEQTIRRG GFQVGALHGDMTQDARFKALDNFKTGKQNILVATDVAARGLDIPDVNLVINVTFPLTT EDFVHRCGRTGRAGKSGKAVTFFTGENHEKSLAGEFMRVLRDAGAEIPKEMDRFPTTI KKKEHGSYGAFYKDTTNAPPPTKITFD L198_02931 MFKQKAPSLPQETDFTRNLRETSFYRYGHLRSLGLTGEVTALAI DPVLSLFAIGTSTGFVHCYGSAPFQFTLPVSTASSSSPAKPIRFLYFHPGHHRLIAID DGNTISTYSLQHMTDSPNPLTHPTLPLKETSYTLWGTVTSVDQPLPSHTHLFLTVKDG TTLTWDLSRRGLGNWKIGNCWQDYEERMIRSGIPGRNKTLGGPMATCIAMSPRDLNII LIGYEGGVVAWDMQQHAVANTFEMILPPGSPGGGSYQDADGSLWTERTPSVTCITWRP DGLVFAVGHSDGCIALWAYSESDKPLMVRTITHEDVNVTDAESLLDAGALPNQIRQNV ERDQHGNRVVPAISANRRVVPAISANREPIFKMTWAGFPDQPSLKALIAAQGTPQAGE PLTNATVEYAERGETLLMVLGGQSPGEKPGINILQFPAYKPPIVNPKNPHAESMPVQE RYAFRDSLSPSGSSVWLTSTPPEDFVLLPRSSPYFNLSHDPISIIISLTPDPSVPELK APHAARTIEAWTFPPPRSNVVPPPLGRRTYVQPGEGERAVAMTPAPVLSPTPGTPTGS GWKLPWYKRASSSSTSIPAVSTPDSTLSGSIARASLNRRQQALPSSVWSGTLSVLGTE LCSLSTPAFKRLISWTIEHSGEEIAPRLPIHGGMAVPDLQSHGAPEVMVAKMESYRIM MTWHADCTVRFWDVSPHILILPTPLRFEYPNPLPHLTINIGDWLKHPDVAHLPLAQLW CNDRSKVQIKSVNLAREALECVITFTTGELLVTKFQKASLPLNPSDDGYEPDFEYRRS YEGGEGYNEEEREYFPPQSKQGDSGWVEEVLEIGQLSKGKVDGFNPLAIFTMKQGDPV SVALSDIGFIAVAFSSKSLAIIDMRGPDVILREGFDEEGTVMKKKKKKGNTQNVLPES SVVGSMKWVVSMMGTDTVNRPRLIVSYAKGLNKIYALINVLGEWLVEPKPPTFTNDSL AHPLASFVLDPLTGAELVTSAEALQVAMRDSENQQHIAQSKGKEAVVHCIWVAASRKS IRCALNYNGERISKVEMTDAEELSDVFYITRHGNKIIAGVTTTGMAHFYSVPNLQPIT SMPLYYGTSPRSTGRLSIDDRSGDFIEYSGPLDINLRTFFQFRKPFPPRLDPCAHKKA IPPQPLPVTTGLIGWLWGAALTGPQLDALIGGPARPPPPREPPAPTKPLISWGKPPTE EAPRTPTSATSVPTRKSVAKTKGPARDVREREDVYSEMRNAAYMRDGMLDTLGDSLQS VSGAAGQYLSQAKNSALKEGAKMTAKGMFGKFL L198_02932 MSSTPLPQSRDIPKDFRASLSAWWSSGSYKNSRLAEERLLRRLT MYEPKVEEAKVEGSKGWLGWGHGASSVTESQSATAQVASTVTLESSGSGSPAGLVASL RNVFIPTPDPEDAPLHPADPRTPLASLASSPASSSTSVDSHEKKHKHKCHKHRKDGEL VDYINTLEISAPKDKNSKEAVVVLHGYAAALGFFFRNWESIATAASSTDRRAFFLDWL GMGLSSRPSPALLASPSTASTSSRVARAEHFFLSSLESWREEAGVDKMVLVGHSLGGY LASAYAVRYPERVSGLILVSPAGIPHGPEYVRQPLTAEVRSAASSRERVETPGDLDGA VNAAEMELGDQTKDSAPKGEAKAARERNEQSFSRRNMMKLFVWGWERGLSPFSILRGL GPWGPLWVGKYSSRRFAAQTEEDVRDLHNYIYETSVMKGSGEFCISHILAPGAYARIP ILDRIARISAPVTFMFGDNDWMDVQGGYDSKKLLAEAGNEKCEVHVVPKAGHHLYLDN PEVSNRILREAIESAPRQY L198_02933 MPPRPGTETATTDPLSSPQQHAHEEPFPSLPSLLTNQTSQPEPS SRPSHPHEETSHENQNGGQRRSFTVNRQSHANGHGGGPSAIGSTTVATGPGGDGTGVA AFGVGMDDWEQEYERQKNRGWDEKLEKDLEGWRGGHGKPRSAYPRQALPPMSYYHTPV TGVIGQHLPKEMVRIERDWTDGEVCQFETVFPIELDNRISPQALSSFINTLNAQLQEA YKPWPNALDNVIGIASLWTSLWWRQSHFEKVLQALEKYIENVNHDTFNPAGLNVLSPR DVALQFLEIEYY L198_02934 MRFHLVLLASLSLSTSIIALAVNNAAPKDHLAARTALPKMNIAT PAQYADNSTGTDGDGDGDDGTDTNLTPDDSMPEQEDDSDELEKRLWPSNPWWDWWKPN PTSSPTIPKPLTPQPEPTEDCEDTDGTDGGYDWVWLNERGEEVSRLFGSEREARWFDE QLERHLLADGAFENAHLIPRADELPAPTAHPSSQTSALFAHSSSPSSAPAPDHPTSSP ASSPAPAPTHSSGITSYTHGSAPIQTNLPDAGNNKSSNPINIAVLVASILLIVFGTGL IGCILWALWKAHKRRQLFGSSSSSGGGGAGGGGLMRGGDEERVYQSGTPINKSRGDWE KGKFAGDESVDYSYAVNDLSYGGYGQLAVDSPTPVTGGKRGYDIQEAFERKRKSDELR YVLFLSLRLSLSWRREEADGFV L198_02935 MSPPSGPSTRHVHPFYCSVCSLPTEYCEFGPSVSKCKTWLKEKD DDEYEKIWGEGALAAKIGTLSLDKQEKIEADAAKLEKKAAKKAEAESKKKESTKVIIK RSERTKRKHQTHIQNLELFGVDLKKAAKQFAGKFATGSSVSKNPQGEEEIVIQGDVGD DIVEMIRAGAGALKGVPVDQITRVEVKKKKVEEDAPVA L198_02936 MPLFSSLPGTVIRCFKRVETFADWITGAAGPFFVFFCWVLIGSG GILFFDVVARDLNIFALLILSPVLILVPLNLYTHYYLVTHVPPGHPAPRASGPDRNVK WIIHDPKSIWSAERWGFRRRVRLPLSQGAAGDTGYSRNGGQGETGRRVKRCRKCDGPK PERTHHCSVCKRCVLQMDHHCPWINNCVGLHNQRHFVLFMAWLSLACLVLCTLGVQRF FDTFRYSAEWPAYSPQLAFTMIWVLAAAIGFAVGVLCAWHLYMVSKGETSIESHDNDY LANKAKREGLMYLNPYDLGRRRNLELFFNIGLGGYSPYTLLFPFLIPPSSNGWSYPRR SLPPPQHPRPPHAASNLHAPELGEGLIARSNVGGGEGLGLGLGLDLTGSGAGTGGGAG GGGEEGYGGGGNGGYVMGDDGSLTDDDEGGGGWMDY L198_02937 MGQGKKFIISSILPKRPDPPQTRSKTKPSSPFKKTLWELQHIIL DDLLFNEAPRKYMALSRAHLARAAPVLYGTVGLGEGLMQRLGRKNKSEVLRMCLKSTK VIRVGDVKCLERLRNLALTDKKRPGACAWPTSSRRRKVTITKKHVAPEPIFPNVTTLE FSVSALNTYAARALDPSCEEEEDGTPTKPYFASMRSECPYFTLPLGSGPGTDDISIRD ALGPLCTHVIVRWDEEPAHAIFTVSYHDLSRLIGRGVEILSSVRAFYPPSGAPEQAGS RTGISFEDPSNCLESCDTCRLKQTEGEEVKVHVHRKVKHREEGMSQRSMDDAVKTSID RWILDRDPGDGNVREYHVEDAEQIRARLFKRDDRYAGLVKDGKLCFVEMDLEVYGRSA TSFL L198_02938 MSFSHTAPKPEPKTLWELRYIILDDLIFHDAPRKYMQLSRWHFQ RAIPGIYRRITLSRKLLERIYDDIDDTVLRRCLSYVEVLVVRDLQCVLDLNEVAHGRK LPGTPGNDTIHRDIGVHFPNAKALEFPVSSFNAFSARRTLSSAPDLPLDIHQPLPPKP SFVESEDLPSIDMPLGTDDISIAKVLGSSCTEIIVRFDESPAYAQHVIGLHKLSQLVG RGIEILSFLLTDSAPSSKGTWTSVTMQAHCERALECTVCGQREETNVKKLPIIKNVFD CTSEPKNKHLGSLDCRMSQSLNRWVKQPRGNAENEREVEYHVKDAEAVRTRLFKKDPS LKWYHENGYMSFVELEDNNKWSE L198_02939 MSLFDSIAGKLGYETIPKEVASKSFYDLKATLPGSKGDFDFSTL KGKTVLIVNTASNGFTPQYDGLEELNKTYGDRGLVVLGFPSNEFGGQEPGADEEISSF CTLNHGVTFQLMKKSEVNGKNMNEVFAWLKTQTGQGVGGLAGTTAIKWNFTKFLVNKD GKVVGRFGSTTKPEALKKEIEPLL L198_02940 MPDAPYDTQDIDAPPYLTASRPHLHPKPSFLDEFLPSPVSSSAL PSNKTPTSHAIRRSLSVGIIVVFLLSVIFMAANSPDQDAQGVGLKAVKEQLKGVFGVG SVVELGEAMAESLGLGGDDSSDSSDAEEAATSSDEEEAAADSAARPKFDFDKYKTHST IRGTDYDLSSGHRLIFIGDVHGSYDPLLRLTSKLKYSPSDDTLIHVGDLIAKGSKHEE VLQWMRQNDIKGVRGNHDHPVIQWRTWMEWVGGKKWQSVVDDLADKSDEELVHVLGRQ DQRFPEGWKWKGEHWEIARSISKESYEYLVALPLTIHFPSLHTFVVHAGLLPSDPLKS QSDDSQPLVHASNASLSRKSEELELLYVAQNMVPWNLMNMRSVYTKGKKQGTVTKKAD KGTPWSEVWNKEMERCKGPGVWGTEDEAYEWSVEQMQVDDVQLESVEEGDKKKRQKPG TPAAEKAKDKGKTLDCSPVSVIYGHAAGRGLDIKPFSKGLDTGCVYGRRLTALVLGDL SGLEGQRVRLGDQKGLLVSVECEKGGL L198_02941 MPPLPVLLRTLLRPSISRATLAPRYASSHAPPTSTIGLRDSAIP HRTVRLATPTGLSQPQSLSSILPTYDPSHHSLILVSTDGPHAIVKLVSRAEEREKEKE KEDKERVKRKMGMEEKEVQVSWQSAKGDLEHKLDTAKGLLEKGDRVQVVFANRKRGDP VGDAQKKQVVDLFDAALGEVGKKWKNDDVNKGLWVLYYNPLDSVRQGVEKKVLDAETA KRREKESAKEEKLEARRKKEERRLKRAEEMEEQRIAEARKAEEDYRRRQEEAKKRKSS SFGSWRR L198_02942 MLFRPAAALLAALPFLASTAAFYLPGTAPRDYQYGDNIDVFVNA LTPMLNSKLRSLISHDYYDDRFHFCQPEGGPQKQPESLGSILFGDRILTSPYQINMLE NSTCQKLCQSSVPKDDAKFINDRIKEDYGLNFLIDGLPSSEMKRDSKTGEIFLDAQGF NLGDDETDPTHPALNNHYDIYIQYHARDEHHFRVVGVLVYPRSVNSLVAGSSQPDCFN SAQYHLNEETGNEFFYTYSVSFLESDIPWGLRWDAYLHVFDPKIHWFSLVNSLIIAGF LVFMVGMILLRSISRDISRYNAVDLADDVQEDYGWKLVHGEVFRLPQRPMLLSVMVGN GSHLVMISIVTLVFALFGFLSPSNRGSLATVLLICWTFFGCVSGYTSARVFATIGGDE WKSNLALTTVLFPTVVFSLIGLFNLFLIFSGASGAVPFGTILAVLFLWFIISAPLTVA GYFLGMKHGAFTNPVRVASIPRQIPPKPWYLSTWPATILGGILPFGAAFVELYFVLSS LFGNRAYYAFGFLFLTFIVVALTTATVTVLFVYFLLCAEEYRWHWRSFMIGGGSAFWL FAYGVWYWASRLSLDSFTSVVLYFGYLFLFSLLDFLVGGAIGYVATYFALRRLYASIR VD L198_02943 MDTMAQALSLDHNSTKNSPTPRGHFQEPTVTGGQIQTFDLGQSV VYIDDTGEPSFPSVLPQQPTMLQQTSKLFSPIAVGSLDLQNRVVMAPMTRGRANAVGG VIADYTYEYYAQ L198_02944 MSDPSTRDIEHGSANGMTQDCTHRKKVLVVGAGAAGMSTAYHLS QHPEKFDVTLTLIDAVDYCGGQAFSIPLDKDRYGASWCNQGVQGGSYIFHHTVTMFQR QGYHADPCNLQVSFGKDDTFWSNVFPTEILVKHQREVIRLAWMLKWIRRLELFFALIP LRLVFKLFMFSEEFINIIALPMTALFLGTGNATPQVPTIMFERLCTSPTYGMWFPPDK NTVVSNQPPMIVFPKFSEFYDTWRKDLEDRGVTVRLSTELAEVVKRDKNGVVVSLRKR TPAEDGHNPNGADKDVSPSEEQYDELVLCCLADTAKKVLGKSANWKEKKVLGSATFSD DITITHNDSDYMKKHYENFYRPDLAVSSANGVDQTERMEAAKEDFRPMYYIKMYPKDP SKLEMCFDCTNYQSQFPPNVPFEQHVFQTIYLNTARDGHLWSDDEIETDKIIRRDWWH QLCHGWTHYLYVIPWMMFLQAKNHTRFAASWTLVNAHEIAVMSGIAAAVSLGAEYPED LEHDKFAFLSFRLYYLIAYGKWYSRNFTSKKRKAQVESEAAKAGKGWATGLCGSMYQG PGVSTVERSTWKKEMKEGTSTGNLAEARGNGRSQP L198_02945 MEATLKDNGIRTFVKLLQCASKFGDDLHICVGPTCWEMSSIDPS KTAYVKYTLEKDFFQYWRRKSNVKGEIKCRVFVKSILAILGKPAQMASVQKIELKIVD PSAELRPRSRINKRRRREAQEVPADRDFIKKEGNEDEDTDTDENEAQAGLQAKLVIRL CCEHGVIRKHSLHLATSAFDRVNVDPETTPSHFTISSRILREWLEHFTISFPSSRDPL NPTGGLSQLCWLFAEDHVRIKSLEGGGGAGLSTEIKVDTQEFEDFELFGDRVDMRMPM KEFKAVLSLAEQLSITLNVSFSTADQPLTLTNLEDELEDLTIFCAVATSKEEEAFAGV HLAHEIEPPRAASREQSMNRGTARSAQVEVGGPAGSGASSARRKGAGTQRRPKLSLSA SKPVEKEEERLVTVYLPSRAAASQSHSQVNPRASASPQKSRSNDQEPLFLPLSQDRSP PPDAGPSNTQFGGVRMTQQEVLDYAGLGDIDMDELGDMMNDEEEEEEAGASQIPAPSA HRQQPVTSPRAPMGSQARFKAREKERQERITQRETLGRVGPSRSLEQENVVDTTEANE NDFTWDPTIDMDPNLMGELDAPAERPFASTSKDARRQALSDVEMEEERKPEISDNDGE VVDDWDDDGDDDEGALGPTQYDINSKYRPLFD L198_02947 MAPITLPPSFYNSFWSADYRHGLQKLFHNLEQGCLENEDITSFV ESQVRAHQSLANGLLHPPPSVSSPDSVTSLSHSLLSLKGASVGRGEAHLGLAQELEER VLLAWKGWVERHGVRIKEAKGDMLGKGGIIAAWEKDVQKLETLKKAYLTKSRAADDSE DDAKFAPAPANNAMSPPLDNYTSSPKTTPSKLTGANLRRAGTVADRISEKLRAASVSS GSSVAPSSPSRHRNTYSVDGKVLPPPPSPLKTEDLTSSGGPESPSSPREERFTPPVHP VGKPAASVVDNHVSHDDSILLSGLSLTQQGLKDILKQLDLYLLTHPAPNAESPLIPST KSNAALASRQRSTILGVYEKTFSGAEVVEWLTNTVEGFGGEWDRCEDAATELHKMGFF SRIGVGRGFDAGDDTYFILKINGPETTSQLPLGINSPLSPAAATNAIPSLFKQYQSYL PASLANSDEPVHVRLRREATKADEAYREGVWSAEDKRLEMEERIERGLRIWERWERER LAAVKQVLKEYEHTLAKLPSRLANIQQSTALSVEAFNPDTDLKALIEGNRTGPFRPQA YVYESLESDLPDVNFGIDLRRWSGERGWKSLVRTRTKRSKGAIPEVLEAMLRALNEMY EDLSEEERRRGWIYEVPLTETHMLRNAINNPQIAIDDIVSIVKKFNVPVAAGTVKLFL LELNPPVMGWEGWEDAKAVYPAIGADQEVDMTSGVSSVLGRLPASHVYALNAVIKHLR DLVDKTKSNEPNEVYVTKLALSVGRTILRPQHESHLTIGDRTPSLFLADLINHYRTLF PPLLHKLKTESAERTMPVKKRTALVDQRISRSSLGSDQDPGHLMELQRAQMRSVSPAP GARTKDLPPVHIPAVQAGSPFSVPAPPPKAEPSTPTPPPSKPAANSVSALGLGTPIAA KQKQRHAADSDEDEPFVPPSFDKGKAPAAKPLAARRGTHDEEEKPFVPPVVPPAEKAH VRAAAQRASRGSDEDEPFVPLVTTEAPAQRVPVQRDAQGSDEDEPFIPPVPAQAAKSS ISSRTPVRPTAQGSDEDEPFIPPTVATQAPTPQRISTHSARSGSRDTSRAASPAPFSA VSGVSKTEDDGVQGGTLKRGPSSDTSRLRGPRAARGPRPAPGSISKGGERPESPAGLT SPIGEGAAGLRKVGTRPLSGAGSRPETPGTPGAGERPTSKYGHSTRNSVSAAIAKFEQ K L198_02948 MTTPTSLTLVGATGLTGSRTLKSLLASPQAFNITTITRRATENS APANPLTKVTSRLFPSLFDAPADKERLVESGGVYVSCLGTTRAAAGGTAGQEKIDLVL NRDLAKRAKEDGASTIILVSSVGASPDSRMFYPRIKGQLEADVKALAFDRTVILRPAT LLGARTESRPMEYIAQNLFKGLRKVGLPMDSLAIDAEDVGACIAHLAANPSTEKLLVI DGHEIIANAKLYRAAQSTSA L198_02949 MPRSPSPSRSPSPRRSYTRSPSPRPRSDTVDDAPISKRKRSPSP NPRDRPASPPTRRRRSQSPPRRPESQALDAPRVNDVDPARRRAREQALLEKEINRELA KPNDDGTVATRPNADDAAKAEFAKLLGSRSGGAYIPPARLRAMQAEAAKDKKSAEYQR MSWDALKKSINGLINKVNVANIKHVVPELFGENLIRGKGLFARSIMRAQASSLPFTPV FAALVAIINTKLPQVGELVLIRLISQFRRAYKRNDKLVCHATSTFIAHLCNQYVAHEI VALQILLLCLDRPTDDSIEIAVGFMREVGLFLSEVSPKANNTVFERFRAVLHEGEISK RCQYMIEVLFQVRKDKFMDNPSVPEGLDLVEEDEQITHKITLDDELKVLESLNLFKAD PNFLQNEERYAEIKREILGDSDDEESGESGSDESGSEEDDEDDVAPEKQGIQDMTETN LINLRRTIYLTIMNSLNYEEAVHKLMKINIPEGREIELCNMIVECCSQERAYSNFYGL IGERFCKLNRVWTDSFQEAFEKYYDTIHRYETNKLRNIARFFGHLLASDAISWAVLQV VHMNEDETTSSSRIFVKIMLQEMVEELGVNKVAERFRIPDFRPAFAGMFPMDNPKNTR FSINYFTSIGMGKVTEDMREYLQNAPKLLAAQHAAMAAEESSSDSSSSSDSSDSDSDS DSDSSSSSEYSRRSRRRRYSSDSRSPPRRRRDHSRSPSYSRSPSPPRRRYDSRSPPPR SPRSPRSPPPRRSTGRGRSPSPSRSVSRSPSPAPRRRRRDSYTPSPSPPRRRDSPPPP RRFEDGSRSRGGRGGYGERERDSGYRR L198_02950 MPPRRSTRAAPAASLAPATKTTAKRSTRAKKEPSPEVSGEEDDL DSVSAAEDHDDDQEEEEEEEEEDVKPKIKRGASKAKPKAKAAPAPARRSARASVASVA SEPEHTESEDELELPPPKPKSRSKKAAPAPKPRPETPEMTSEDEDEAEEELQSALRGQ RGTVTPSEPATPTPHSRTLPREQSTTPRAGTNAAGAPEINVEAPADGTPRPSTPSKMP PPQTPSQPQRTPLSQPDPAPPPAPSGPKPRLTIHKIVLVNFKSYAGRQEIGPFHKSFS AIVGPNGSGKSNTIDALLFVFGYRASKMRQGKLSELIHNSAKHQGLESCSVEVWFREI VDLPGSDKFLLVPNSQIIVNRTAFRNNSSKYTINDRSSTFTEVTTLLKGKGIDLDHNR FLILQGEVESIAQMKAKAQNEHEDGLLEYLEDIIGTTKYKEPIEQASLEVEALNEERG EKMNRLRVVEREKAALESKKQEAEDYLRNANELTRKKSLLYQKHMYTLQSNIDITTKA IENLTAQLTSEQELNADHLATIDALQKEYDEQVAAFAEVKKHTDTLTKEAKKIEKEEV GLQEKKKHLVTKQKKFKKSITDDGHAKSEAQATIENCTEQLEKNRIKVAELEEKLEAE QAEHEEIVESLKDKTSVFTTQIEAKQRELQPWNDQIREKQGQIDVATSHRDGLAEKAT SKQGALDDARENLKSLKEGGEGKHEDYGRLKKEAVRVKKAIADGEAKLEDLTGKWEGV RAKVSNSRHKADEAKASMAADRSENAVLSSLNKLRDQGRIKGFHGRLGDLGVIDDKYD VAVTTACPTLNNLIVDTVKQGEACIDFLRKGNIGRANIMVLEKLPARVPAAIQTPENV PRLFDLIKPKDAKFAPAFFKGLGNTLVANDLEQAQRIGFGSSQRWRVVTLGGQLIDPS GTMSGGGNRVARGGMSSKFKADKVAPEVVAKFEQDTAAAEADLAKAQEERKAVVAELQ EWKKRLPQIEMEMSKIELDVATSGKRVEEAERRLAELQSESKPIAADEKRIKELDAEI ASLTKETDKLRTKSSAINDELKSLQEKILEVGGVRLRAIQSKVATTKGLVDLANDAIT KAEVGQAKAERDVEKLGKAIIKNQTTLEEVEGELEQVEADLASCTADLQTLRERVQEA VDSSEDVKEALEQSKQELDEKMQGINAFRALEMELKQKIDDSTRSQKDSKDKYKHWLK RHETLELVYIDEEDEDEEEGGEGAPKETEDPAEPVKEGEGAEDAEGAGEGAEPEAKKK APAKKKHQDSMELAEYSPDELMDVDNAVLSAEIAALEDETSKARPNLNILAEYRRREA EFLDRAKDLERVTGGRDAAKSRYDDLRKVRLDEFMAGFTAITAKLKEMYQMITMGGNA EIELIDSMDPFSEGVVLSIMPPKKSWRAIANLSGGEKTLASLALVFALHVFKPTPLYF MDEIDAALDFKNVSIVANYIQSKTQAAQFIVISLRNDMFELAHRLVGIYKTDNCTKSL AIENKDLRLQARPKRAANVPPTPSVGGGAVPATPAFRRQPVNVVRAQAEEKEDPSTPG TVVG L198_02951 MSPQSKLFAPITAGSLQLDHRIVLAPMTRFRAGKEDGVPSADHI KYYEQRASKGGLLISEGTFVSKEAGGYLSVPGLWTKEQIEGWKLVTAAVHAKGGKIAA QLWALGRVADPSNVDKVVAPSDVPLAGGPQTLHVLTEEDINRFVESFAEAARNAVEAG FDAVEAHFASGYVSLDVLIQSVSNKRTDSYAASTFKFPLRIIDALTTAIGPERVGFRI SPFNTFQGMREAKPLDTFVPFVNKALDAHPDLAFVHAVEPRVDGYDLKDDVGEDTLEP IRQIIKEKGKGTKLIVAGGFKPDSAIAHANATDDLVAIGRYFISNPDIVARIKNGHPL GEYNRDTFYSPGPEGYTE L198_02952 MAQSASAAERQRREAHQQRAYEIQFAGGVEGAIKWTIGGALACV VGHYTWPVFARQTLGLKAFITSSATMCGLVIGADDHLLRFEHGMRAEENELRRQARNA LALEGKIASETEIRKWRERREKQMALDKEKEAAAVGMSSVQETPIAPAAAGSGATGKV VEELTGSAVPPPAQPAPLASLSSEGEKA L198_02953 MSDDGSEINDPRLIPTSSTPPPYSDRQPSKGAAAILQFWLDDHD DRSLLSTLTDPQFAAQFASEVEGVDDVEQVTSWAQEALSFLPREAQASMLFGLSGMSE EVEGLASGSRQEEFQQFVNSAMQDAQWKALADSMCVETLAEALRQLEVDHSAKARPQR KFTADTVTTSMSSVFDANGSEDTTMAYSPFTEDLEYDETDEQVRQGVEGKEFL L198_02954 MSKKQLSITECHRLLSQPGSPLETEEKVIFGRRTKVWKNQAPHF REWILLNYEKHKNKIFVSAPLPRREPYPPLAEGDIGIDAREYWTFGEILDRGLKLAAW LRSRGIKLGDKVVIGGKNCAPWIVSYTAIHLLGAVPVCLNAWLPREQLVYLIKLVDSK LLLLDEDRARILSPYTRIAEFGLPPMYCWSDTTYLPSIIEVLSTPNPQGVQDILDGGG LEELGPESDGMIFFSSGTSGVPKAVLSTQRASLSSALSGMVGFARALLRAGLSIPTPE ETAAEPQKAILLSVPLFHVTACLQWLSRSITFGNTLVVSDKWDIKEAVQLIQKEKITS IGGVPAIASQIMQSPDLPRDTTIDGVFYGGAPCSKGLASQISNRFPNADLSQGYGLTE TNAIAVSIAGQDYLDRPESTGLPVFTSEVKIADPDTLKEVPVGQNGVILLRGVNVMKC YYNNEKATREAIDDEGWFNTGDVGCLDEEGFLYMKDRIKDLIIRGGENIASQDVENAL TSHPHVNEVAAIALPHPILGETVGAALTLRATASETGKGGKVKVTEDDIIKHVRGKLA KHAVPVMVMIWKELDLPRNVNGKIVKREIRDIARQEWARRNGIKQIDEQAKL L198_02955 MSQKQLSIEECDRILTQPGSPMETEAKVIFGRRIKVWKNQPPHF RAYILYNYGRFKDRTFISAPLPRREPFPPLASDDETGVKAREYLTYGETLDRAAKLAA WMRNRGIKLGDKVVVGGKNCSGWIVSFTAIHLIGAVPVCLNAWLPREQLVYLIKLVDS KLLLLDEDRAELLSPYAHIRETGLPPMYCWSESGHLPSIVEIYNAPNSRGVREVMDGV GLEELGPESDGMIFFSSGTSGAPKAVLSTQRAALSSSLSGSMATARNFLRQGKRPPTP AEIAAMPPRVTLLSVPLFHVTGCLSWLLRAISNGSKIVTCNKWDLKEAVQLIKSEGVN TVGGVPAIASQIIQSKELPQDNTLDSVFYGGAPCPKGMAEEVNKRFPTATIIQGYGLT ETNAVAVAVAGQDYFDRPESTGLPLPTTELRIADPETLEELPVGQVGVILLKGVNVMK CYYNNEQATREAIDDEGWFNSGDVGCVDEEGFLYIKDRIKDLIIRGGENIASQDVENA LTSHPHVGEVAAVPLPHPILGEIVGAALTLRATASETGKDGKVKVTEEDIIKHVQGKL PRHAVPVMIMIWKEDDLPRNINGKIIKREIKEIARVEWEKRQGVQRPEVRAML L198_02956 MRSTLLAGLLALTLSALHAEAAPSLEQKPLGAKESSLQGAVQDK AMKKRSLKGRFLHITDIHPDPHYQFKATVASGCHQREKKKKKKGKKGKGKEVEEQEEQ DYDVSIVDKEDLAGKYGTANSVCDCPLSLVNVTFDWLKKEWADEIDFVVWTGDNARHD IDRNRPRTPNEIFNLNHMIVGKMLDTFGKDMPIVPSIGNNDIYPHNVLSAGPSRITSE FLSIWKRFIPPEASHVFERGAYFSSEVIPDKLAVISLNTLFWYDSNTLVDGCGDHSND PGALEMDWLEVQLSNFRQRGMQVWMTGHVPPHMGHYYDNCYLRYGDLALRYQDTIVGH LFGHMNIDHFFFIDVDELEATSNLLPLSPSNASIQSYGPYLPNSYSPSGRYTIQGRSG AKSLEQELKKDFGEMPGPRVLKLKDYAVMNVAPSVIPTYYPGIRVFSYNITGAEDDFR GLYEPTDKQEEDEEELGEEEGDDTTDTLFSDSREEEDSSLLSTLKRKGGHRHKKTKDD CSLPENEDKPHCTFKHKPRHYSKHSPSRKNGPLSPLGFVQFYLPDLSKKSVKKGKKEP EWKVEYTTYKVKDLVPKGNLTQPVPVPFHLLPSYDPAVFQRPKNKAEHKEVAKKKDKF NKALKKVTPYRMKDLTVGSWIKLARMLVLEKKRWSKFAELMLVSTEADD L198_02957 MFERTLQDLIRGLRAHKSAPKAQEDAFITEAMAEIRDELKGKDM ALKAEGVIKMCYLLMLYPIPPPAGFAFHVVEVMSSPRYHLKQIGYLAAPMVFSGDTEE VVLTVNGIKKDLLSPHASLPPLPLTALPHLLSLSPSLSTSLNPDLLHLLTHSSPRIRK RAVLCLLPCWEAYPEGLREGFPRLREKLQDSDQGVVGATVGVVMELARRQGGKNYLPL APELFGILTGSSNNWMLIKVVKLFAILTPLEPRLVRKLLPPITSLISNTSAISLLYEC VRTCIVGGMLDPDRAEADALARVCVEKLGGYLRDEGGDQNRKYIALLAMVKIIPTHPQ MVAEYQEEILQSLDDPDVSIRMRALELVTNMVDSDNLQTVADALLSHLAPQAPALPSA AASLAAIASSSAPTPTPTPSLSPAYRLLLTTRLLHILSYDTYAYVTDFEWVISVLIDV SYVSRVDVGSEVKKLILDIVGRVKSARGYAVSVLEKVLADDEFRERIGDDGESAAGLV DAAIFVCGEYPDALVSPLSTISNLLSPFSSPELTAPAIQSVAKIFGFYCMSSASAWSQ DKFEETKALVGSIEKGLQEVVAQGKGDMEVLERAGEAKGLLGFVRADLKHHVPPKETV RRDMDIPEIEGGFETEGKAEKEANEPVYPKSLYIFPPLSTAYPLNAVASHAQESISVP EGLYLDVDIVPGGGWPDDLQDGEESDEEREREKQVGALDLGEGGGEGMEELRRVLREG KKKKKGKKGEGEEDKAEKERRKAARRAKHKDDPYYLYDKEDAEVDNIPIVKLDDPELP AEPSHFTSKGKAKTKLKKKVAPEIDRTGELPEGSALTPPTRPSASTSRANSSSGLAAV DLSASSSNNPLSRGSSGFEEYRLDEEEEEKERTRSLVNGNGMGHDVIEEKVVEPAIST PAVQVVKVKRKKKDKGEKKKSSKEKVGKSGDVVV L198_02958 MEVERIVRTHVSTDDLTPPQELVQAINNGQTQLLQIVKALGEYL TSTEDDTRLKGLTFLSNLLGVVNPGKINRQATTVLTSFYLSKLDDFDSLPPALSGLTV LSKLSTFDGSAAVDVYKGIVENVNLKAYVQATRHMVYVLLDSLIGLHREEFKKMGTAF INSYTKTVDGEKDPRNLMLLFSIDRVILLEFDVRDHIEDFFDVTFCYFPITFRPPPND PYGITADDLKVALRGCLAASPYFAKMALPLFLEKFATATGATMKDLLQSMAACFPTYG AEAVGERGKELWEGIKTEILYSSDASIEAASLSALESLMRTLYPTSSDVPSGLAQDII KECQKILEEPDKSQAMGATKIIAAIFRGSPSAGKFALSQILPQLFRSFNSPSLPSHRS PILSSISSILYACQSTYSSSQRSHAEEQVLEPYRSDLIDVLREGLRTEGLKSPAIKGC VALVGLEGYWSRLEVEDVVRGMDDILVNDENPEIRPEVITALTTISKSHAAVIESLTL PLLFHNLPETAPSVDEREARERYRSILGSLSKLCTQPALWQTMIIRITNKLDYLASAP LQSNTDVSMDGSDGESARECGIAYAWDLLNTLLRVIDTKVKEKHVDVGKYYEELMPRL FGLVMGGAQVKVGGGNALFMDRRLVEIVGKIGERLLWDLSAEKQEKQFALIYSAFERG EIGGIVSDSSNVQSLSPLRLGASSSEQDLISLYSSLLQGLNPSTSLPSITSSAEYLGS KIHWTINIAKDMWQVRWGLEMICAYVNKKEGDLKETLEVILEKVWNEAQDTTREFEIR RRGLLVYLHIIKALSLLRQPLAYTALEKVIDVLSLFSMDPLFVEASAKAFGVLARKGD GHLTGKLLYAQKLWNFALPKLVQCDKDASGRERIVYLVAFASLLPLVPASLCLSDLPT ILPLIQRSLSLASPTQRTNAILTLTSILETPSSPTTDSLIHSSAPTLVSSLISSSIPQ SGVPTSARVREVALGCLSAVPEVIRYEVLHKEKAVVVKELGRAVDDASREVRKEAVEC RAKWYRYGQAT L198_02959 MASRASSIRILSSTATRNIPKTRSFTRAYSSAPEDPASPSKAKA LIALGATGFAVGLGIELTKGYRGTNVARCDGAPAVEAIDTHGHPWAPVDCIEQDDPSN PMRIRMATWVKNLQNHIVNTMEEIEASSAPNEFAPSAEPPKFLRDTWLRKEGGEGSSC VLAGGRVFEKAGINVSVVHGMLPPRAQKAMLPDHPSLPEPTDTVPFFATGLSIVIHPR NPHAPTTHLNYRYFEIDDPATGKPKAWWFGGGADLTPSYLDEADAVHWHQTLKDACNK HDARYWPRFKKWCDDYFLITHRGETRGVGGIFFDDLTTSTPLHAPVDPATPPPSPDQI FEFVKSASGAFLPAYVPLVYKNKDKPWTAEERRWQQLRRGRYVEFNLVYDRGTKFGLN TPGARIESILMSLPETARWEYMTDLGREGAGTPEAKLTEVLRNPRDWA L198_02960 MLTAAIRVIARSAAIQLEEAALAASRTPAALAKDLSDHSERHGP SFPQTQTLSERLDNTKKPSNQYTTVHNKVTPLDQLISNAPRTLPPVAPNTHPADKGHG IPSPLMIKTAGRGDFVKTKPKSESSPPSHTAKKGPSSIDAMIASATRTPPPPRAEVAS ETPAVSQPKAEHVDQPSPSKSGVSDTPTPSSLPTSTTTSLKPEPESANTPPPQPIPPI VEQLESSPIPPPIPEALTEEEEASPVLRASKVPSSRIGRLFHYGSLAASLSWGAASES VRRTTGGGGAGSVFMSDANVRRLVSTLGRMRGAALKLGQFMSIQDNHMLPPEIEKVLQ QVQAHANYMPDWQMDKVLREELGADWQNIFASFDRTPVASASIGQVHRATMPDGRDVA VKIQFPGVASSIESDLNNLSLLLRTSALLPPGLYLQNTIAVTRRELEDECDYIKEAAA GRKFSELLKNDEFFQVPTIVEEGTTGKVLTTEWMNGRPLSKVKSLPQETRDLIGTNIL RLCLRELFQFRFMQTDPNWGNFLYNSNPHPQIQLIDFGASREYTKEFMDGWYRLLKSA LEGDRENMRVESLNLGYLTGEENDVMLNAHIDSMALVASPFAHDGPYPFAKQTITEAI RGLIPVMLKHRLTPPPSETYSLNRKLSGAFLLCAKMEANVDCKKLWEEEVGGYKEG L198_02961 MLRRQTRERREYIFKKSQESQERAIYERKQKIKDLLAQGKSLPT ELRNEVRELGGKELVLDEAQQDPSALIDDEYAKVGTYDPKIVVTTSRSPSSRLLQFSK ELRLVFPNSYRLNRGNTVIKDLVGACNSQGVTDLVLIHEHRGVPDAMIVSHLPHGPTI SMTLHNVTLRHDVSSNSSTVSEQYPQLIFDGFSTKLGERVTQILKALFPVPKEDAKRV MTFVNDSDFISFRHHVFAKSSHKDVQLAEVGPRFEAKPYEIRQGTIDQTSADVEWRLR PYLHTAKKRNQF L198_02962 MPLSPNAPVFVRKSHSIINNALPKPVASNPQPTPRVIHPGYVHE SLDDILLLTSDNVGFYVSLAFLTRYSGFFADFESFPQSEGEKPDADKFECETREVPNA TSLGLHLVLDALTEVENMALLSTLPHNPLPGFKPSSEPFVDQWPLEKVYIHLGDAVKL ADAYDIPEFSAYMRIVLPPTAWHQYLMAALSENEALAKTASTQTLPYHIEDMPPEVVS ILYKQAPNFLMRIRSLHKRKRKSLPGLTLAMIRATPMSDGTADFGRKCKQNGGCNSYV RYEHLAPDLRWKNFRADAGETMRDITENAKRDEGVETLMKRAINEHVDCRVCGARLLK TFDHAWQNWKTQWRPQTI L198_02964 MADNFKAPANPNVPQDIAHIMELVATDQVVGAMPSVDMSAAEKR KLVEESIKRGKVVKPESDSDSDSSSEFESSSEEESDKEPLTAEKHQELKAELDEFVKD GEVEWDSDSDEGINLDKMGFDFMEDEDEPAGGPIASIHEAPLPPVKQPPLIKLPDGEG VSLAGDVVSWMREKKVEAWLEINKMEGEDVQAAVDAQVRIENPEAAPESIPAAASEDT PVTATESASEAPEAAPVIAETAPKPVKEVKQPNFSSSGTVVVRAMQSRPGDHDEGWLE EGSILCWEDGRVLGTVHETFGPLTSPFYTVRLPPPPFPYPSPESLVPGSRLFYPLNNS YRSFVNMIAVRDPRFKGTDASNLYDEEVGDDEVEWSDDEAEAAAKREKKNKKGKKGKK GGSIAGTPRALIAGLPARPHFDYQPDDASGAGSMYGGDDERWEMGSDAGSTVSRGRPA PMPYDLDEPNGSAERGGSRGRGRGQGRERGRGRGRGGYGGQSTAPLQQQQSPVDFQYQ QQPFQPYPNQWQQPFMPGMPMPGFGFQPGFPQMMGFQQPPQTPQHGGYTPNQPSGVMP AFGQQQPQQQAQQQQQPQGVAINPRFAAQYQAMMGMGQSMQGGMGQGAEQGGQNGAYG QGQYGYGQYQQQ L198_02965 MAAHPPRSPPNDEATEMPPLARPSPLSISSSGPQPPHARKVSIA VSEPDRSSPGINGLRGSLGQKGRTLGLQDKLRREVDGVVKRRTGGVLGRGYILKTGQQ GLNAMQGTEGLMYDIDDDADHFPTGRAMDLELNIQGAPNFRAPQDETLNVFGVAQPTT AGLKSILTMLGAQPTFLRKPNRRGSTVTGASPALNNRRFSREDFPQRQPFERSNSMDE REPQGKAVWFSTREETLVYCNGRPYVLRDASNPYQTLALSERAPNLEDIERRLKIDIL DEARKYGGMILTHDELTGGTIIPTWVSVDEESIQTPREVWDDMKKKGWRVDYYRIPIA PDTPIEHNYLDSYVSVLKEADPLTTALVYNCGMGVVRTTFGMCAAMLVRRKQLLLRGY DDPFASETSSGFNTPQAALMPQAAQAKMQTASQQALNKSLLKMTRILNRNLPSKHPST AIDLLTSQPALLERLCKAHMGSYQIVLSLLSSLDQGKQMKHLVDAVIDSCDAVINLRE NVIEQRIKYSVASMDDKKRQVYLEKALRSLEQYFDLIVFASYVDEEDAGDTGVTFSDF LKSRPEIWHQIKVLRRNGGNRLFAFAPANDLSVISRSSENDDHLPTHREVDLQGGKVL GDEWAEHVVTNRNGIMLRASTLLKSDLWLTESASSNEGVRGAIGFRQIKGSMIYATGQ PTQDAVWTILQNVREQWPTIESVVWVCLREEPLVMVNGSPYCLRRGSTALRNMRDYSG VSSTRLEMLEQRLKSDVIAEIDQFQGRVLLHTETADGQVVPVWESVDKQDVTSLREVM DEAAHASKEVQLNFVRIPITSESSPDFHDITELVELCMRIDLSSTAIILNDQLGRGRS STTSVIVLLIQRWLKGGRSQSHRTPSRSRPQASRKNTTVDQSPKTSWQVINSCLRVIR NGLHVKQVVDEAIDATAAQFNLRQTIEDIYVEASEATESEKKRKLTSIGLYHLKRYYH LLLFAAYLDDRAPEQEEYYSFESFIKHRPVFKTLEKELEEGGIESLAPLEKMDPADGM ALPDEVTQVVVNRAGVILSAQTILKSDFFSGLQKQSLPDRVDGAANYRKLPLICDSSV EPDDEEHKKFVYGTGMPSAEGLRNALNRMDAGPNGSRAVKWTSLREEPVLYVNSRPHV LRLVDKPLTNVETTGVTAAVVERMEEAMKKDVINELRAQSDGRLLLHDEVESKPGVYE IIPIWETVKEEDVMTPKELYESVIREGYKVDYMRVAITDEQAPLPVTLQVMVNRLTSG LRENTDFVFNCQMGRGRTTTGMAVASLIATIAVDEAPFDHGLHTDDEDEEEEDEAVPE ATQYQNGEYKTILQLVTVMSRGKEAKRVTDRAIDLMQGVQNLRKAIYDFKLQVDAAEP GTAKHKAQLTRTINYLYRYGALIVLANFLLEMKAEGIPLEKSDFSTWLQKHREIRTIL NRSTLD L198_02966 MPTGDFHHSPSVKRIVVTSSAVSILNIISNKAPHHYREESSNID SVPYIEEHGTEDGGLHAYYANKAIAERALWGNEEPTWDTSTINPVLVSGKAIHQCDKV EDLNASVGAQLFSLKQVMSDPLLTMTYQWASGQRSESDLLAQAGPWVDVKDVALAHVR ALTTPEAGGERSLLSVANAAGQDFVDSIHKHFPDIKNVPVGRPGISATVNEQQIHIDG RKAEKVLGIKYTNLEDSVKDLFESIRKRVGTI L198_02967 MSSSHTITQGDLVLVTGASGYIAAHTAREYLKQGFNVRGTVRSD DKGEYLKNLFQDLPGKFTYVIVKDIAQEGAFDEAVKGVDAVAHMASPFSITKVEDPQD LVNPAVQGTIGILKSVQKNNPSVKRIVVTSSAVAIMSIISNKPPYHYTEADWNVDSIP YIEEHGTKDGGLHAYYASKTFAEKALWDFIEKEKPSWDVATINPSLVFGEVIHQCDKP ESLNQSVAMFYHYATGQVPESHLLNPIAPGVDVKDVAIAHVRAHVVPEASGERFILSN GSATGQDIVDSIHKNFPDANKNIPVGTPGAGEAVNKEQDNMDGSKAARVLGIKYMSLE DSFKETFESLRQRFGTM L198_02968 MGLCSFISSTFCTSKPEIDTTSPQTADYLSHPTPATHGPISRPS LLSEKATGRTHVITELVCSERTYVNLLVEFEKVYIDPAYHPLTYSPHGKLGPKITLDT ALTPEERKLMFKGHEEIVRLHGAFILPELEKATQDLFKAGDDTQGCRSAKAAMRISKV ICAYAECFKMYSAYSAACDWATKRLGQWVDGRDLTKADKARVQAYLLQCKTNKAHSQI DMTGYLLLPVQRLTRYRMLLEHLESNTPAPPAGHRDFVGEALNRISTILLYVNEFKRE VDSRRRLCHWADQIQLSHPSSTTNPSSLVQPHRILVREGPVNFIARGIMARHLDLEEE LPGSPKRRGSQREEKVSRQVGAVDKHCMAVLCHDMLVLADKAEGDGWKGKLELVDVVR LSAMGKARVEHGNVVVFEAYEVTYYLQVDTTEIAKGWVEAINQYRWQ L198_02969 MSCSHEAHDHDHNHGDHAGHDHDVPLESSPLDSLYQQIDLPNVV ALNAEDGREAGKKVIKSWDMKEDDTIWLESEVDDELIINIPFNASISLRSITLKAGPA GHTPREMHLFRDNLALDFSDASSTNPAQTFDVVPNRAGVEYQVKAAKFNGLTSLTVFF PGNTAEDDEETTKIFYIGLRGSHKPLPNRPGAIVYESNANPADHKIPGVNAGGTTSRP GY L198_02970 MEQYREKINARDDHIRESWIKAMEARIVREELQKCYRGEGVNQL QNCKGLAEMYAGMIRDNKVGQFVRK L198_02971 MSGIREATHAGSWYTASSTGLSQQLNQSLSAVHPLPELAYDPPV QDAKAIIAPHAGFSYSGPAAAWAYKSIPVDKITRVILLGPSHHAYLPGVALSKFEAYE TPLGDIPLDLKAIHDLRKTGIFSDMKSSVDEDEHSLEMHLPYIRLIFQDRDISLVPIL VGHPSASTSSNLSAALEPYWSDPSTFFVISSDFCHWGSRFSCTPYYPDPPAIADPVPP TSASDRPLAQSRKVDLVKKFSSATANPDVPIWRSIEYMDHEGMAILRNPAKQGAVDEW HDYLERTKNTICGRSPITVLLNLVQHVYADRAGKPEFTFVRYEQSSRCVTGKDSSVSY VSGILRVP L198_02972 MSWQPSEQGLQEVLGMLRDTSSTDSQVQRNVASRLDQLRYVPDF LAYLAHVLIHCTTELDSHRAVAGLLLKNAVNQRSGPPSNENDIRAMAYVKSTVLTGLA DPDQIVRQTVGTVIMSLISNEESAGWMEALEVLTNGMNSSDPNVVEGSFNTLQKICED APHKLDFPWEGRDFLDLIVPLFIQSTGHDNAKVRLYALNILQSLLSIRVNALNANIDT YISALFARAGDGSSDIRKSVCASLGLILASRPDKLVPEMNNVVQYIVYCTKDKDEAVA LEACEFWLTFAEDHNLKEQLRPYLAQVAPLLLDGMVYSDYDIAVLDIDEYDEDVADKE TDIKPRNYSSKVHASHESNDPSSSKAQGVSREAADKAFDDDEEEYDEEDDDDDFFDDE DATGEWNIRKCSAAALDVMAVSFGTEVLEILLPFLRDRLFAEDWTVKESGVLALGAIA EVGCIAGLEPHLPQLIPLLITCLKDKKALVRSITCWTLGRYASWIVQTNPQDKTAYFF PAMEGLLTMLLDHNKRVQEAGCSAFATLEEEAGAEMAPFLEPVLQNLTHAFSKYQQKN LLILYDAIGTLADSVGGALGQPAYLNILMPPLIEKWQRLEDNDPDLVPLLECMSSVAI AAGASFITFTAPVYQRCLNIIHSTLQQYSAFEQDPDGVEEPDRTFIVVALDLLSGLVQ GMGEQIHPLIVEGQPPLLHVLLLCLTHTEPPIRQSAHALFGDMAISCFPIIKPLVPQI IPAVIEQIVVDVPIDCISVCNNAAWAVGEVALQYSSDASPLEPFVPGLIQRLIPILTS PKSPKSLSENAAVTIGRLGLVCPALVAPELPNFAQAWCTALWEIKDNDEKDSAFRGLC MMISANPDGIHGSFVFFCNAICKWQNPSAQLDSMFRSILQGFKSGLGAGWESQISNFP PVIRQRLAERYGV L198_02973 MSFFAKLQDDSDSSSDEESMSSGSDNEQKPRKNMFQGSDSEDDS DDSEDEDEEEMSDSDDDRAAAANKFLNDADSSDEDDEGEDKTVVLSARDKRFAEMDAA IHNIQNQVKNNDWVLVSSELDKLFRFITRHQLTLVASPIPAAGHIPPQFLETLVTLET DVAKKIASEKSASKKMPPAKAKAVNALKQTLKKKTKEYETVLETYNKDPAAYTEAYNK ANAAPAPAKAAKKTPAVQAAEDGEEFMTIGKGGKTLNLTSDGVFKTLRELFEARGRKN TDRAETVKILTKLLEVSETTYQKIRVLLALVPARLDYSQHLVSVPHEAWTAALQEFDQ LVSILIEEPDYIVQETVTEYDDLVERTPEVKDGKKEKVVIAGSLISLLESLDNEFTKT LQHTDAHEKGDDYIERLKAEAPLYTTIARTQTLFERDDLTDNLARTVIRRLEHIYAKP DVIISHFEVKLNEVAAGLKSTITPFGQQQAPQEVIHDLAVFIYQSDAPVLRARAILFH IFNQATHGRFHQARDLLLMSHLQDTIAHADVTTQILYNRAVMQLGLSAFRRGYIPECQ AILAEMFSTMRQKELLAQSVQRYNVQLSPEQELLEKRRLLPFHMHLNVELLEAAYLTS CMLVEIPLLASVDTEEQRRRVTSKTFKRLLDMADRQAFMGPPENTRDHIIKASQALQA GEWEKARDLITSIKVWTLLDNASEVKDILAKKIQEEGLRTSLFTYSAYYDSLSLDHLA ATYNLSTSRITSIISRMIYTDELPASLDQTDGVVIFHRVEQTEVQRLAQQLAEKTSQM LEQNEKTLDVKLGNQGQGPDRDARAAGGEGGRQQGGERRGGRGTYRGRGGRGRGGFNS GLGTTKIRVDAQ L198_02974 MTQGNVRTVKLNDGSQIPVIAFGTGTAQAWTDSAPVVASALEAG YRHLDCAWHYKNQHHTGRAIKESGLKREDVWVTSKAGSFDDDPADFDARKFLESCLKD LGVEYVDMYLIHADILVGSVKEAWKQMEQIKKDGLARSIGVSNFTTDSLAEILADCEV PPAINQIEFHPYSIAHYLPTLLPLCKKHDIKIAAYGPLMSIVRHKDGPVDDVVKRIAQ ERGLGETEGQVLLRWNQEITGGIVVTTSSKTERMTEQIQPFLIDSPAPPLSVEHRRAI TQAGTSAPFRFWGQGFPYFMKGEGNFLVCHPDATHRNKNPNVNGGRGW L198_02975 MSDPTPATEYPLKGPYTRETVTDNIRREQEGHGPFGTSRPHLEI EGQETVAAPHKNFHLMSLVGLAYAILNSWTAMAVSLSVALPSGGPTAVVWGIIPSFIG NLAMAASMAEICHVYPTAGGQYHWAAILSPAKMAPAISWICGWFATSGWVALAATAGS LAGQLITGVIALLHSNYESERWHIFLIYIVYTLGACFLNIFGLRLLPGINQTAIFWSL TGAVVIIIVCLATAAPNFQPGKFVFTEFINTTGWPDGVAWILGLLQSSFGLTGYDAVS HMVEEMPNPHINAPKTMILAVCIGASSSFIFLICLLFSISDVDSVNESAAGPLLESMF QATGSKAGAVCLQVFPIIAMAFTSQALMTASSRMVYAFARDGGLPFSRIFAIMNKNGV PIPAVLFTTVLVALFGCIYLGSSAALNAILSSSVVFLNISYSIPILLVLCRGRHILRP PSLPEPTWTLGPILGPICNCVGLGFTILTTVFFLFPPELPVTGLNMNYAICVFGFILI VSVITWVVDGRKNFIGPRDLGALLELARSEVDATKVNAHRADGGQIELDRRTPENVSV THSNEKESGGTVL L198_02976 MSAVLGREEEAKTFKYESDITRLRSLVIHSLYSHKDVFLRELIS NANDALEKLRLTALTDRSVMSSGEANITIEVVLDEDGQGKTGQIIIRDTGIGMTDEEM SRNLGTIARSGTNEFLKKADEGKVDSNLIGQFGESSCLGFYSCFLVSPTVRVSSLPPP TKENPRPTQYTFVSEASGDSFQIFTDPRGNTLGRGTEIILTIGEDEKEWLSVAKLKGL IEKHSSFSTTFPIYVKERKVSQVPIESDDDFEDEDDEAKQEKFENVVEENWVHVNDRP PIWMREPKEVDDEEYKAFYKAVSKDPFAETLGWSHFKADTGDGVSFRSIMYIPSSLPK DFWQKITSGIDNVRLMVKRVFITDNLGEEFMPRWLSFLKVTVDADDLPLNVSRETLQH TRFLSQLQRILIRKAIDLFTKLANDQPKAFEEVSKLYGNALRLGVMESKKDHVKLAKL LRFESTRSNYTSLEEYVENRKEGQTQIYYMAGVGERAEDLRRSPFVEKLIARGYEVLL LNLPSDEPMMGALNNFMGMKTQDVSKKGLKYGDEDEDEAEKKELEAQKITFGVLISWL KKELKGQVNDVVLTNRLVTSPCTIVVDHMGWSANMQRIMAAQADAQEDPMYQAMKNLP KVLEINPKSPLVEGLLDRVLELTGSGEDDEEGTLKESEEEEELREVVRVLLDTTLVRS GFSVADPTSYFDRVESLLRRSLNIDLNAETPANVRPAPPTAMGPLDEEGVPHEDSFEN LFPGQNVMEGSEWLDWADMKKQADGGHDEL L198_02977 MSDAAHEHADLLAAIAKGADKYPKPEKVNFTYGTAGFRTLGARL PSVMFRVALLAILRSKRLEGATIGVMVTASHNPEPDNGVKLVDPSGEMLDPTWEPHAT ALANCPTTESLVSTFTTLIAHLRVDVHQPASIAYARDTRPSGPELVAALEEGLKAFGQ GVKLLDVGITTTPVLHYVVKALNVKDGSYGKPTVEGYHEKMSTAFKTLIGHRKLSPLY VDCANGVGAPALEQLKQSLGDLLPLHALNTDTTTPGALNSQCGADFVKTRQALPPSIQ KAGFLAKAGTRACSLDGDADRIIYYYVDERKGTFRLLDGDKIAVMVAMFLGDLVKKAK LGEDNGLEVGVVQTAYANGSSTKYLTSRKIPVACVPTGVKHLHHAAQRFDIGVYFEAN GHGTVLFSPSTITTLQSVQPTSPDAANAIKHLLAFNNLINQAVGDALSDMLLVETVLA HRGWGAPEWDAGYEDLPNRLVKVEVPDRYIFVATDAERKLESPISLQGKIDAAVGKFV EGRSFVRPSGTEDCVRVYAEAATTLEVEGKT L198_02979 MWDDLGTNGMDNSFEFFEFFSAPSGDADLSAADNSETLGFVGES ALYAADHSSVLGNGLGHGTFPAALDTQLPREMMMQVAEEPAQYRLPQVYTQVPIAFPQ QQLYSAAPVAPANGSVATGSSFAPAVPGIQGDHQNHQDPPTNGLHVVPIGVCEDPFAD ITLETINAFNGPFNPIFNTHRLLTTPMAPFGVPQASILMPTESTQQAAAPMMDWTNYS YEPTADHMMAPAAIPVDPMAYISPFHVPPAAAVTLRLENSSNVSACLGDPAYQSMVPR QMPVTNHIYQTPTGAQALNPDIAQQTNHHISAAPQPAPSFVPSPHALGNYDSSLTLNS SATHPAAKNSASKRKRQSVDEGDKGSSLQVTDEDGDTTACIIKNGKKIKVQLEDGEVV QEVQRVWTGCNECRRTKRKCNRLHVDKKEPCDRCIERGIPCVYSDKERVHASKGKKKS PEEAAAFRAKKEAQKIEDARLLAEGKSPRRKTKKNVQARMVIRSSPIKFKRASKKGKE REIEADTTSASYSDFSSPPASSFDEGSHFLSGDYSSSPNSLLDLPDMPPLTEEEQEAN MDDMMAAALRDSRRERERYEGEGVASSSTETPPSSDGDVFAHGSSEGYQDGEELSDYT M L198_02980 MRNVLEVSPESLGLLCQHSALSSSLSANFEEGKHHAENDLFRVF GSSVKDVASTTSFHTLSQRRAAGSAFLLVHDLSPDAINTELTDKGQALPNPSSAVTFP ASWSVATSHSTSAAAAVHGLHPSLFDPSSSTPPAVPDTPSKKRKRDPDDDGEQLRSLL ERSAATPEAHFLLGTPFSSAGWHDDVPGEGEEFELPMIPFRGPSNKWPSQEYSIFEQQ SGGIFDKVDRKGKGKAKEAGPPSPAPAASASPIQTINSLEMDADYLTSKFNKMGLEGQ RSDGLVRYEGEGEANSSKVTLEVLDERDGCRVRGMSRKEKTG L198_02981 MSLNPRKTVLLICDVQERFRSAIYGFEPLTASICKLVKASQVLG IPNFVTEQNPRALGPTVQEITSLLDKSPDQELNLGRFSKTKFSMITDESWEDDIGLPR YKNFILTGIESHICITQTALDALKITGAENIYIPVDAVSSCNKEEVPIALDNLRQRGV QITTSESLLYRLLGDADHPQFKYIAKLVKEEKQNTTEALQTLAAVL L198_02982 MPPKATETAPDARLSLPEWLKVFTSRGVDMRGAMALAAKLYKSH GSTALISQIKPPQTSGVTDDKEKTKVINNAIKALAAGETINKKRGRDSDLLQPLKNDG EDEEAPIGLGFAVNTDIEQLRGVKITTNRAPVKTAWAYTIALRLGFSHPESLSLAQAY VHINSLKHALKLGGILGEQEAKEARRELEELPGGADMSRLGREKRQKTARGSGGRDVP FVGVGDSAQPWVDILGAKPIIERSDGTCRAIQKGVPVKPGQAYSYISKAFKEKSPQAM GALKLVADSYEVEELNRLGNDMYMDFKPDVVEWGQRGTFDLDSVLEHVKGAEIKRLDE GGDVNSVVGDEDDGGPDLQGSVEVEPSGKQSMTLEEYEAMLDEEALEGLPDL L198_02983 MGAPMLTQPGSWSCHKVWNGHNFTHCCQSRYLQTYPIFLVFVSI VWFATKYVSKCYFKSPPSPSVSALRSTTMSPEFSILESTVILDSLASNLLPPYLASDP SSTEESLDEKNAEDLVRDWRSRRTRSEDFWQNVKFWVGIVGGILWFEVEIAKAARQGT WLELIFPGWITLMSALHRPIIPILTMHLPVTLDCSFSAQPSFDNRLRSLGNLTWSVPL L198_02984 MASTSLIHTLQAHFPLTLPIPTPLTHPQLIPAADLPVEEDLLHN PENLRSWLSYIHQVKAKIAEEEPQKGDVLSPEEELLGPLATKNARDGLQRLVSIYERA IALFPTNYKLWKAYYLTRQAYVLGSLTNEARDARSEQAKRGAAYKTNVRELLDGAEEA HDWSGGLDPVVGYEEWRSLISVGERMIMCLPNLPVPWLLHLGALLHPKCPAAFRRTYA RRAFDRALRTLPPSLHGRVWGLYLRWAEIAGGDAGERVWRRYLKVDPSLTERHITYLL DATPSRPLTAAKYLLSIARRAQQNLYSSLEGKSPYQLFVDFLELIEKYADQVGMDEEQ TLELQEAEKALRERAEESEEKEKEKEPSAPTEEPASVNGRLMRIAGPPVPLESGKIFK PVDAVSNKKTAELPYDEDTDPSSERLLDVEGIVHRDGLDVYKDQAGRLWTGLATYWIK RGEFDRASATFEKGLATVVTIRDFTQIFDAYAEFSETMISTLMDAIQDEDNLEDEDFD VEETEAELDERMKKFEELMDRRPFIVNEVLLRRNPNEVVEWEKRIALYGDDDAKVIET YVKALDTIAPRKATGPLYPLYVNFAKFYEEGGSKDDNGEPRNEPDLAQARKILERAVK VPFKSVDELAEVWCEWAELELRNENYEEAIKLMQRASTIPKNVKINYYDDNIPPQSRL FKSLKLWSYYVDLEESIGNVESTKAVYDQIMELKIANAQVIVNYATFLEEQKYFEESF KVYERGIELFHFPIAFEIWNIYLSKFVKRYGGKKLERARDLFEQALENCPAKFCKPLY LMYAKLEEEHGLAKRAMGIYDRAASTVQDTDKFDMYTIYIAKATANFGLPATRPIYER ALDSLPDKQAAEMCKRFARMEKKLGEIDRARAIYAHASQFCDPRIEPEFWQEWNDFEI DTGSEDTFREMLRIKRAVQASFNTETSFIAAQAAAAAKGTEKPTDTSAESAQDAADPM AAMERELGDAGAKRSGAPSFVASSLNKTNANGIDEGEEQAGEVANPDAIVMDEDEF L198_02985 MRLRKMPTSHSAIQGVIERVMATPNAFSVVESDNAWPSSSTSAD TKVHLAVLDSSFNPPTSAHQSIASSIFPRLGEDKVDGYTARLLLYSPKNAAKTPTGKD ATPLQRLEMMSLLASSMRSASLRKESIATALIHAPNFSSKASILRSHLLSSLGPVRGK EAEMTFLVGMDTLVRIFDPKYYPTGEMHGILREFFEDSGARVVSARRGTSEADRVFEK DLLGRDDVKGWVGDGKVRVLGDGHDGWEDISSTLVREGVRRGDWSSVKKLVGDGVATY IQREGLFNGSD L198_02986 MLRRIKVRLHPRRAHSSAAQARIQHDEPYGQDYGAGPSRLPYQP PSPSASSSRQGPRLFRQKLDSLSTASYGNPEILTGTILRSMRLASLKSEEYIASLSTL ELHILVHHLIRNKKGNLATSVVVHALRGAPRMARRNRVHVKTLKALFAHRSIFHLTEG KPKPKNPKYNLKPTLLEQQPPPPSPRLQSLLDLLDLLQDVRYLRTPEMYNLIIRACCA ESQPHIAAKIYVGLVEEWVTEGRVAEGASPEDFHPGGGPPREWVEWKQERGARWWTGI RTWKWPGEVLSPHDRLDLWHPRNIALPEKMRNFPVPLATSPPSIVPAPHSGLLNTIVS SLDLNPRLVTPHEFASSMRALAILANTVLSRTLPILALRHLLQAAHRAPFKPDVFPES LLSKPEGDDWAFTAFTQTHVMLMSLLWRPPMSASNMGMIQAAHEAEQRVLPSPDLPPV INSENLPVPLVQDSPYKLPPLSWSSSLVLLSYGFRSLRAPDIVAALLGYMKAAFKMGG RAPDAWNIILGGASRLKQHQVAEEVGGVLFSGLALPSPEDQMDKREFFSRRKMAAQGD ITKVGGGLNVILPEEKDMPEANEASVLEFIRHLSATSQFSRLEALIYQLIPYLASEKA ELGEGDEEMGLVVGESGRLKSSRLTPRIYTSLLKGLENAGKPGLAQRVYNIALYSERG AFQDLLADDPLLSAPPPEMLLPQEAFTTLMRTYAQRESALANGKSLTGVKLPRGSGRL PPVVGLGVLALELHGQVRKRWVDEWVVVWEMKGAKGKRYFHALIRCCWARFDLSTCFP SPEGITKEFAPLTKQVEREMVGVIKDMERWGLDVPKVLVKRIREEYVEEGERGDEVIE ERREAKGDHLEVAKKDTGKRSEARKLMLRIMGTQ L198_02987 MPPRSTLPTPKSRPPPASSSSHAHSSAHTSYTNAPKPSGLSTSR PGTPSSHSRKSASQSRNESPARHQREPMEKAPFTPSPRPQRKRRGNQPSNQRSESEPQ PASPEEEDAALFDLLGVAPPPKKAEPEPQLESRRGVIVLPEGQGLNGTKKQKNRRKNK KTPEEDIRDDGLPRDQAESSRRKKKPVKPMLTEAFSAPAQQLSQPSSIDSLMASATKN SPPFSTSSPKPRSRDVKRAENDGAYETASLSKSLPADVGGASLMASGVKTKKGKQEDE SAVWDMPEGSGSQTLTWQQKLARTETPPRNSRKGFATERKPKSKLSTLYNPSTASNSS SRPSHGRRLSYDSLAQPYHLSQPSITALPPASQRENPSLSGVPISAFDGHIPFHTGYN VHRAPQTPAKTVATAHGNLLNGDGSLPLIPGEFPRLQSEGSGSGSIGSGSIGSAGGKG MGMKYAGPTFHNSPHATTLSKPDMEDF L198_02988 MSLQSVLPKGTKLITSAPLPGSTKKHILSPHSSSGENLPLSLSA PSASTSTPSPLTAPFVHLVFPAGEAPQASHLALTFQGGFVGTSVNVWVGEEIDSEREG EVELGLELGGRIYPEDKNRRQIFEIPFPSTVASTEDGVVPVKSSKPVPQIREIKLEFE KSSDHYGRITLYSLELLA L198_02989 MARTSLLRPLSALAASRSAFAPSVTRAARFINTGPTHAAGEAGI DYRVLEGIDGFLPKENFDRITEWQGGLWERLQREVNNNPALAETKQKWDTKGLDIVDL LGVSARDKSLSLTYNYAALLANNSFFLDTLNPDAKKTPDAKFASLLEKLEGYAEGIVG GGWLWIVKTGDREEDIDIIPTFASGTLLIPGRAQSSRAAQLFQQPLHSSTSLPESSLP ASDAEAAPEAPPSKAEQTRLAKKTVGAYPSPLAVVNLYELAWLGDKYGVWGKKEYVRD WFNSLDWEKVKQRHAQVYA L198_02990 MSLAGRLSLRPKSLPKLARATAADYMTDDVATPNSNQQALNSIP VTGIAPTTPVAVTTSPVSAFFPTQCIAPLSQAQSVDEIATRMADLMSSVSTMGDEAFE AAFRSAFYEQPASNSTTSVTPGTPTTPTTPPTLSAPGSPKRKRESVDEEGHKCSTPTT HSSPSPTLSSTTCGASLGRSWCGASCCSAKRPCIQRYIAQTCGRYVKRQINRVPSTGC TSPTRRRSPKPRRVPSLPSLYFPPPSPSSVPTPVPVHVSPSDDCEDPADAFLAAFMSK MGIASAEEQDEDMVVEIESGSSVDMVMA L198_02992 MFIKRAKPRPSLRARESDAPDSPATSSPLAKSSITAGDAQDPDN SADIDLEEGSGSLLGRKKAGRKDKTKDRLKKGGRLSFGGEGEDEEEAFKPKKSLLSQQ IKLPPTPGGDSYAAPAPSASGSSYSQEYLSQLKAATPTRAPRSATDSAGDDEDIDASG LSRLARQKYGSSIAQDTTAGIPDAATIAAAKMKRQAGLGQSSSNGDGGEDYISLSEGG GGGRIAIYDGQQGPHPESRLMREEDETGDGDEDMAEFTEAKDRLYLGKDAKKAAARRL RGEIGDLIADREADIEDDEEALEWEKAQVQRSGMYEDERSEKTLRKGYTPAPIPVARP PPTILSASSRLTKAMGDIQISKTESDRNLDIVIQELAELEGQEKDLRVAVEKAEDKKE WMEEFRGWVEMLGGFLEEKFPKLESIENDAAHHIKERSDIVRKRHEEDDGDDLSLFLG VPRPAAGEEEVDQLGRVKDINREAGPSSGVRRGRREERGARRLRRQRQNRGPASVDDD GFSTDSTLADADIQDYTAAQNKLDHRVHALMHDVKAEDFKDPNKGLAVKFGGWRQRDE EEYSSAFGGLALVQAWEFWARAEMVGWEPLRGSASIESFGWFGSLYRYCHPPVQHADE DDDMDDAPLSCDGDLVASMVSSAVVPLLTKTFEAGAYDPYSAPQTRRAVDLADVVAEL TGKDSRKFTALLKAVLTVFHEHLISLSTSIAQATSFDAIQPPAFDPATRTAMVRYVRR RIKLLKNILLWKREAPQEVRELVVRLVGEVLRPILSRHWDGGGKEMGLKVLSIAEASL PPDLVQFLQQGPNVRWQ L198_02993 MGGVTFPPWSVLADTTCVALVLLGLFTSFLSFATPWIKNKRGGY IRLSNEYNPQTKKESFEKTLKEHVRREAIEDGEPIDVAAFWRRVLVPRILVLVLTLAN VTILVVQVVETPSHSREHWLFLATHVTVAVADLYMVILAVHYTFTRSITKHYRLTVHV CTVTSILLLHWFFQTLGQYLWLGVTVRIPWTGYTSLCLVFLQLLLVGNIPLQPMLWVD MTSLYTKAVRTKLEENQYMEHGANTIEEISSSILGWLLYTYIYPMIIKTAHMEQVDIQ DLPAPCAELRTQNMYHDFMGPRASDQVKWKNHPTLSLLWTVWYPQRRAVVNALCLMIA LCPLWYLPHICLQQILSVLDDPNAVRWSAVAFAALMVVGKFGTALILVQQFNISSSAY VGPRINAHTSFLLYQKLLTRNLFASHEKKEGEKSVHTKADILNLISSDASSVQRIGWT FTGLFRSLVEMCLGCSYVWLLLGPSGLWGLATLIITCPPAYLLTKWEYAIFEERLAVR DERVSLMQEAVQAISMIKMMATERFWYKRINRVREREFKKLVQAQLLGYLSGLLYSAA PTVIILVSFAHYTLVAKKELTATIAFTSIAVFNELRPALLDLPSSIAELLQEILGARR IATFLSAPDVEYFDNDTASSPEHDSTVDDGPLYVVGTIGWDVPKLYMPSSSSSSPADG SATVVETADEDKSGFKLLDLNVEFPRGQLTLVAGKFGSGKSLLLLALLGEARLIEGKI SYTVSPVMDSSIGSGTDWSLIRKGVAYVPQAPWLLSQSIRDNITFGLPFDIERYKSVC FATGLMPDLELLEDADLTEIGERGKLLSGGQKARVSLARAVYSRASVLLLDDVISAVD AQTSQHIIKHCFNSQLMEGRTVILASHAVESLAPLAKHAIYLDDGKCLWQGTGRELLD SQHMTHLKTESRMPSRLPSRLPSTDDLKAEGTTSVSPESKADLKKSDNMDIAAQKDSF EIREAIPKTPKQLVLEEERASGAVDLVHWKNLLKFNGNKVYWFGAVILMLVSVSMPVA ERTILSGWTGEDGESSIRHSVGFWIILYATASLARVLLDTTYSIYSFWGNMRAMRIVH GQMLESILHAKMLFFTKTRAGSIIQRFGKDLNDILDCSNLLTEITSGGFNIVISLVSV SYFGGWSFALVTLILIAATYTPAKWYRASSRQVRRLQAVLGGPINALYGETVAGTTVI RAFGAQSVFLDDLMRWTNMKITATIWTIAIARWLFLSLRMVSLVIEATALVLLLSQAS TTGAVAGFVLTFAGTISSNMNWVLLHVRNFELKGVSLERTSEYRTLPREDDNSVDESE LSAESWPEHGQLNVDGLCARYGPDMPEILHNVTFSVDGGERVGIVGATGGGKSTLAKA FFSFCDVTKGKIEIDGKDIAQMPLGAVRSKLGIIAQDPILLSGTLRLNLDIEGKYSDE ELYHALRQVQLLKSSPSYPDLLVDDTTEVGPSSDSKKRYSRDQQDNIFSNLESEIKGG GENLSTGQKQLVVLARALLKKHRVLILDEATASIDSATDAEISRVVHEEFTNATVLII AHRLRTIMPCSKILVMDKGNLIQQGSPFELIGQEGRFQDLCKAAGPEEYEHLIGLAEQ NEQTKELKGNVDLLGMPGSPLPPT L198_02994 MRFADIAVNLTDPMFKGNYHGRQKHAPDMDGVVQRAREKGVEKI LITGTSLQETRDALVLAKQYDLQCTAGVHPTSTSEMAKHASGPEGYIKELCDVIDQDL GEGGSNRIISIGEIGLDYDRLHHSPRETQLAHLAELLLLSKRYRLPLFLHCRTSESQV DLIHILKEIEWSTEWGGAVVHSFTGSAEEAKEFVEMGLYIGINGCSLKTPESLEMVKT TPISHILLETDAPWCGCTSTSAGFPFLPPADSPLNIQKMGRPDRQKDGLGVKGRMEPA ELGVIAHIVAQVQGLDVEELAEQVWDNTMRLFYPNEVQK L198_02995 MGNQGKSSQVDDSRPEAASEEALAKPKYAPPRKPLSPYQLRRIA GTFGIAIPSLDLPPPSPTSASSSNPMSTPGPSRTGGHSPLLSPYSPHGYTSTRPSPYL LSVIPPVTLLPPTSALTPEQARKREKKWRRGTLMPLQPTLGGMLLCIAREYGLPSTIG LNVYLVLPQSAPFSQRSTGGDDSDEEPDGPRVSSQTWTTLFSSYLLQSSAAGSISRAS TPFQTPIKSASESEGSDNRPSSPLARHAVSALPLGSKHQSTLSTSTSASHLSANSHSK DSSLSSRVPPTPMSSLSAASTQHPIVGTIEFDVDSDEAAWFEDWKLRGGKRRWMKAVN GDNEGEGVRELGLVRKMEESGGVKPRFLRDIEAERLAQEAHEAEERAKDEQVSEVVKL LGDQGVSREDLLKSPIQLGWRDGGEGGASQAVKRVQEVLEKRWSGLVMSDQLDDLERI MRGLSPKEIRVTSPRYMTPGTAARIANSSTLTPLPSVPERVPLSPLNERLQTPNFDIS DNEYSGSDYGEGESMKESAQRHSTAPTNEENSYVVEDPIDMDQQQAWPAQSYRTPTLP NSPTAVQHFHRPSFPTPEQASSPGAGPSRQLDATSPVAASSETMSRMQADEPKKAATP DWIPRRPARPPSPQLPDQSTLNHTLSSGYVDILRSPPPSASPTGKTFIGSPKFGLGLT SPEASTSGLYEDGRRKKGGPLKGLRHQMSGVNLGIKWKQDGKDGSPGQVSTSGLASSP NEFGALAEHEEDDGRHNSLPGRVNPAKLSSRIFPASFGFRKNDESRPASLPHRRNPSQ DGPINISEISGPIMSSFRHTRSGSLASNMPESTSLPQHARTDSKDMQSPVQPFVSQTP TTPASPASPTRSVRRKPVPSMKNGQQSASSDSVGVGISSRGQEKSVKSSMSLGSVASF VLEDPPRGKKGLGMAV L198_02996 MGRRTTRQEPESPPGGYRSVAPDDPDAYPLLVAFDLDYTLWDLW IDTHVVPPLKRKGDVINQLVDRRGQDLSFYREVPSILADLKHRRIHVAAASRTSAPEL AREALSLLLVPAPEGNSHVKALSYFNTLEIYPGSKLRHFRELHRKTGIPYDQMLFFDD EHRNFEVESLGVTMQLVPSSGTDKKLFRQGLAQWRKRRGIRVDE L198_02998 MQGFNRYIPPDYDPKANSTLNSHQGKAHALGKRAKDIDKGILVV RFELPYNIWCGSCNAHIGAGVRYNAQKRKVGNYYSTPIYAFRCKCHLCQGWFEIRTDP QNSAYVVHEGAQRKNEDWNPEENGGFKVFDTEAPLASEGPTDPIAHLEKTIDQQEWAK KGTSRLTELTRQSARLNADPYAVSVALRKKFRSEKKLMLEKQDRDDDVKERYGLGEDV DLGEEQVEGGQEEWKAALVDKGRDLIRSGGSVASLRGSERRLGVPKRKARASDPLPDL AATLRRSTSKKYDPFDTHNQSRASPSSASLSRSSSLKGKPGDRVLPKVRRSLGNVAPS KESSIGGGLLSGYGSD L198_02999 MREQLHPPSPPPAKRARHDHHGIADLFPFQELFLRILSFLSPGE LAAVQGVSKYWQMMSLDPQLWKRLYLARYPHPHQTHQDQDPGPSRDQGPMTPQSVRHI ARLPSRAFPPPSPSRTSSATPSTNISLSGMPGPPDIKGKRKASSMEDVPEETFVRHEG MDWKMMLRLGTNWSNGNALSEATIPLPPSPSPSVTSASPLPSRLNQLSDPEPGHPRTQ HLALFPSFIFTSSPESPLVHCYPASSSLSQSSVLGIIPPPPGWSNPSRPDCVTAICAD QAVVLPEPTGSGEEQAFPAQLGVFYQSGGFVVLTIRLDSTPSAEKRTITWSRSVIRPA QTRPQSLRRRAQSYYPDRGDPIVYAAMHGKVLVVCTRGFSMSVYDLKEKDGDGPRCLR TMKSDVAFHPASLSLLPNSQNKSAQHDVTSKTEQWTASLTYTAPVYPSSWTATVQELH ISLSSPTQSPVYPVTIDVERGCTYQVLSPSNDPDEWPRKVRPVPGVKGSATNIDSDGR WCVLSGQDNRVEVFSFAPGSRGGIEHRQTLLAHSSSVTALSLDAGRCVTGGRDGRVLV WELDDAVENAAGHGQGRLGRTVGYVEVRKGGRKSIWKGPSGPRGEEDREVLPHPQAIS SAARSLFLPQPPLSRPQDNVEDRIEIKHLAFDEEKIVGVIRGAGEDAIRVWNFG L198_03000 MNPINHPGAPYGDPYRQAAQHQAQYPQSYNYAAPPNMSPGGLHH GAPPDLAAIARQGYLQQQQKLAQMGQMGVPGMMPNRGGVPGASQPQGYNMGITQEMRE KHAREMAMREAVLRDTVAKDAAKKKSRQLKGTPAQQMLPRQGGGFQPPMSSDQYIKNA MTSPAVPQGEPIEPWADSLDELDPRELAMARFRKRHEVIGEIFGPETVKEIAEATKDF DLWSGLDGEALQEKVVSLEQETLDLEEKLATEPEAFKKRLTDIDVGEEL L198_03001 MSDPPPPHRSPISTIHIPSDAGPPSRRSEPDRQSLSDLSLHTLR RTTLTKAEQQRVQELIKSGGHGRMIAGEGLPGRASEVEDDAKYWTARGTLRSVLPGSD EGVQGSASEETSRAAIEEREVLVLVHEPNSITGPDGRSGQTPSEAGTLPSRFLYIFHP PDPPSTSGSANGSSFPLGLQWDEVNPWEWIETAKNELEKAFWGVLMPGVKGLVDMGEA VASIPALLSPGTEQALELQSDRHSADATAGSHNPHRYSQETVYTLRIPKQNARDSPNL GPTLRPVLIKKRSSIPTWEDGRDSDHRGWGRDPMAMGAWY L198_03002 MYYSTAILSLLALVEAAGPHHGSNRLHRRHHARAALSGGSVAPP PLPVNLHVEAPAKRDDDSCTHGDWNCVGSDLQRCVWGDWTSIRNCTGDNIVCSTQDNA IGCVWTWNVDSSATPTSGAESATASLATVTGSLEYNATSALSASEASATASQVANSTL TGAIAAASPTTTGYASNVTSTNSTTTDEDDEDCDEDEDEGEDEEDENKDCDDDDEDYT STSSVVAASSSVASQSSASSDIGNAAAYATAEVSSSPTASSSSASASASGSSEDDDDE DSDYDEDEDDEDDSDDDDEDDDEEEDDENCDDEDDEVSSSSASVSASAPVSSSFNSSV PPITASATSSASFANETDSASTANATETPAIGDGLYAPGQDSTSSSASETAWDTASSS SSETHWWNSWNTKSSSASEASATASGSESTSSTDSWSSDPTASSSSSDADSWNWWGSS SSNTKHHKSSTTDSASTASASQSSSAATASASSSASSNTSSNNTSSTTWSAPHYVIYA DEYLSEMPSASELSSYNRFILAFWQYDGGAVDDVQTWADFDESYRNEVITEYHNAGIA LMISAFGSEDTPTTSGADAKTVAQDLAAFVQEYNLDGVDIDYEDMSAMNSAKAAAWII TLQTELRSLLPSPYIISHAPVAPWFTSASDYSDGSYVTVHQSVGDTIDFYSVQYYNQG DDQYVSCDTLITNSGSEWPSTSVFELNSYSGIPLEKIVIGKPLDSSAADNGFMSAADL AVCVAEAIAKGWNGGVMFWEWTSQAPSVMATVRG L198_03003 MSPRPESPSKPSSVRFNDGLERRPSMGSLKSGSGLTISGLPNNA APRPYQPVQPSLLHTSVVPSPSPTRTPPHLFIPHSALKPFPKAPASPPALHSFNSGST TTSEVAHHRVRRPSLQRGSSSQGRRVWSEVLPKQETFKLAHGQKASKVTGGFETSSEE SSSEDGRGGNGTANGAGILNGHTGNGTSSQTDSNWVPEPRRRSKSLVVPSGRGAAELL PPTSVPPKRYSGPRPKRKTSRDMLRERSSSRASRDGGRSPSLSSHLSAGRSEGVAESS NDRANSFRSTNGGVYGPHRQMSSSSRTNGVKRVRHEGGRTSSPDGSLRGDGKVMAQDV LEDGFSPKSKHESRSDLFAESLGLGGSHTKDLALNTDQIHSLFGDTDLASAIRIMNSG QLASPRPSLANAIKDPTITHKAVTAEYPHASPFLVSAPPPLIQDHGINGRDRSVSIAS TITTTPHMRSTWSPHQVAFDPSDVSRRRSNSRSSVAEPMGGHTPFTHHVQAMPQMEEE PSDDENGLTLPRQGPSELEAVTPALSDEGGMGQKDKDKKKSKKGLGNFFSMGRRRSGE AATPAPVERKWSESHHVNLHRSDTQRAAEHARDKEAKEREAEMRRIELEKRQEEIMQE RRYRALTQVAAHPNSERLAYKAGAHLRAYYHHVYDCVDNPPRLNFTKMLRWLSETDKQ NAARSQYHESLQREQHEDGGTVGRDSVHSSKVNLAGSVGSSLSPSHSSKRKSNEVSPH KKTKPRRWNYTVEDIQVFRESGGIVNYFVPPRQMRPSVDILPEEGLSSFSNGHGFGRV SSKDHDFGPQSPQSQDRHGDDSSMAESSNRGYSQDMRFKSATASNQSLAEVNESLEPE SLKYISRSTFMDTGGDRSLSGRRDGRISHRSHHSLSAVGNNSLTHALKQPFEKLSNAA RKQRTMPYLHMSGDRDSNQPDDFERSTDHPDIGLPQVPVSQRAAGHTSRDSFGSVGNK SSWGQSQKGTPSRGREAGSSLFRRHGPVSQDSLDEEEGGKRRLFIKGHHRRGVLDEVR KRQERGGGESVGARNEALKEAEMVYAKEQQFKALQLQAEEEEKVRMTRDEESALLKIM DLENEIYEERQVLLRQAKDRLNTAKHDIDIVDESIRQYLDQVDLLQDEAKISDDIFID FSLADPIKARYQKKKSMEFMEDQGEHRDTLPPLRSFGSAESTSEGGSRISVTRRNRSQ NGGPQPSSVTAAPRKKNPLASSLHLQPLRAVQPRARPRRTYLAPNGFDRVDPVTQAKL MIEFGQDRQSAMSKERQELADELSMMIQQVEGMIEQKDEVRYWVSEVLERASTSRTQL DRLRAREHSSLDLTQLSSQRDILVDKGSRILGALFRLGYALFQYAKLPCRVLALILRP AFFILGIVWSIIWLPWALFKKTRRGAPQRVTIVDSSNANIVVKEERTGVSDVVYTTTV LACGAALFFWYYGTDS L198_03004 MAGLLLKPVHVWPISPVKHLLHSIIPISAPILGYLLAFLGSLCL SFGLQPALKTPLRFIYNCFIKPFLRRSTGHQQKDHLEAFYADQADLYDATRSHLLKGR ETMLQLLAAHLIAQPSVRLPHNAPYKPKIWVDLGGGTGWDIEKMDEYLPLTYFDAIYI VDLCDPLLKVAEVRIKARGWKNVHVLCQDASKFVLPEWEKGLLDPRGSLTAITMSYSL SMIPPFYQILDRCDQVLDPQRGLMGVVDFYTSRETPSYLKPEGFHALKAGRRTDAFKL HTDTILNVLRGLPDESLTKIILMDSGDWFSPIPASTPLPSESSIAPDTLGDWPEKSLE HLQSELDYEILEMKRALKVGGMAVWRSARVQM L198_03005 MPPLPRPAVAAARAASSRLLAASRLPHFALTPRFYSTEIESVKE KAAPQQMFGGPPPAKKDEGAILKRYTGPGFPFIPSLRHVVYPYHPHLHKGAPVKELTI PLRRKGGRNNTGTIVNRHVGGGHKRRLRIVDFHRRIPGEHDVVRIEYDPGRSAHIALL KRRGVESSLSVEEAEDSLQEEHRGGRADEAVKGGWSYIVAPQGLRAGDVVVSFRQGVP EKLIKEFDMTSSIYGQNEDPDAPPAPPNLATDTPEMRRALGMLRTVTLKPGNVLPLYL IPPGMQVHNISMSLDGRMQLCRSAGTFAQVVSHQGSDGRSIGGSDVLTMGGGFDAEGK RVPKNGYVLIKLQSGEVRKLDPGCVATIGIVSNKEHQSRKLGKAGRSRWLGRRPHVRG VAMNAVDHAHGGGRGKSKGNKHPRSIYDRLQHTRTRRPKDKDGNKAVVTERPRGKQTA AKH L198_03006 MVLDKGLLTALAQANAGEWIMVLSLVFGGCCSNVWALEGVLRDH PNSGTFLTFAQFTFVAIQNFSSQLRIVRTRSGWRIPQLKNRKVPLKRWSVQVVLFFLV SLMNNYAFGLKIPVTVHIIFRSGGLCVSMMTGYLVGKRRYSAGQVFAGILITAGIILA TLSKPKPPPIMRIQDDGFSTSSSAPIPKVDDTTGRSWISPDHEYFLGIAILAGALVLS ALLGLYQEQTYKVYGRQWKEALFYGHFLSIPLFSPFYSELVSTYRNYASSAPMTLISL PLPSQSHFTSLTSSPAPPTSSPTLNKLISWQDLLIPSALFALALNLFTQGLCVRGVNR LTTQVNAVTVNLILTVRKAVSLGISVWYYGSGATSGLIIGGGMVLLGTILYSVAPSPK GLPSVSVQDKAKSSSQPTPIELEPEAVELDDLGPAASTGTDVGADPLASAGMRHRPGP LEGTK L198_03007 MSTPAFLLHEYFGLLIYLAILLPATFISLPHSTSYFIPTSSPLT QTSSTDRPEHAFLTPITARPAVTMLWDVVGMGVVMVWWGGRMKGWFEGKGDKKAGGDR VVGESELEERQGRTKKMLGRLYEAGVSTLAGSLVFYVILSLMGAPLNSHWDKTALLAL HLSILTVLPVVYTLGMPSLYDKGTYARFRMTRLFCEFKPETPLERALVYPAVGTLTGA WLGALPIPLDWDRPWQSFPLTIAFASILGFVAGGFVSWGYTVAEGMYNEVTEKAKPVA VEEERAGKKSKKKKAVKA L198_03008 MASNPDSRPLPDGWVQQFNDQHKAWFYVNTKSTTGPQSQWTHPA DDAPNYAPPPGLPPPAKSSTPSYGDEQNRGAANSFYQSSTPQPQQQGQYQQTEYQSTS SPGAYQQQEQKKKGLGGLLSKLGGGNRPMGGGAYQQQQYAPQQQYGGYPQQQQYGYQQ QPMYAQQPMYQQRPQRQGMGAGAGAAMGLGGGLLGGMLIGDMMSDHNDYGGGGYGDGG GDYGGGGDDFGGGDMGGGDF L198_03009 MVALTIASPGKPTVNLDFTAAHPKDVTVKQLKIAVQAKFPKLVS NRQRITLPGVASKAIALTDEDKTLADYGVGEGAKLRLKDLGQQVGYRTLYLWEYAGPI VLNPLLLYYSHAIWGQYDASPLQLTVRNLIVIHFIKRFLESAFVHDFSRATVPLSFVF RNCAYYWGICGGLIGLTLYRPAYAQQALEGTLLGDSRWITFWTIFELAKLLNLNAHIH LRSLRQPAGQPRLFPTGLGFGTAVCANYWFEILGVIALVAMTGFDIGTVIYLCIGTYF MKTWADGKYARYKREFDNKVFPGKRYKLFPPLY L198_03010 MPPPPQLTSHLRHNPPAPSTSGDSESTALPGAPVEKGVEVGILR ELAKTALVESLNDIQGAKSLVLDPALAGPLGLVTEVALLKNQAVDKMFWLEPGPLSVN TRNVVWLCRPKTGFMRVIADQIRAHQRTPPPGGPLHYTILLVPRVTELCRKVLEDQGV AGDVTLSEFKLGLIPMEDDLLSLEMEDVSRDIYMNGDDTPIYHSSLALMTFQRAFGLF PRIIGKGDAAKKLADLLRRHHSSGPSQYTEIEPSSKIDSLIIADRSVDWVTPMCTQLT YEGMLDEFVGIKNGHIEVDPSLLESNPAPPQPSSSSLPSTPLAKKRKHHLASDKDALF SDIRDRNFAVVGSRLSKLAKRLKDEKGNAKNLKSVQEMRSFVGKMGGFQSEQQSLKLH TELAETLMNVTKTEEFNKNLEVQQNLVAGYDVAAQYATIEDLMYQQAPWQTVLRLVIL ASLTTGGLKPKVLEGFKRDFLQIYGYHHLPLLVNLQSLGLLRAPPPTPQTFSALRKSL RLIVDDINDAAPNDISYVYSGYAPISIRLIQCITQKNVILSGPSEEDVQGRRALPRAH GISGWKGFEDLVEGLPGAVVDVKQETDVARDVPRITEDSTTTTVVFFLGGCTYTEIAA LRWMAKQAKGRKFLIATTGIITGNSLIEGFGDKTPVPLTE L198_03011 MLAEVLLVLGGHPSSLFVPHPSQAAVPKTYQVSPALCEYLHPGE ISSLNSLASLAFQYTQVKKWAASTLKLGREAVLAESLYSSRKGRQRQPEDSGLAAPDQ YLSTLAANTLEVLSEYDLLIIDTEARILSFDASLVQDQQGYVPLTIIVATFDKWIAPI ASLKRMVDQLSSTEHGDWTPGKIIDLVHEKTQTGNPFLKVIFTSLSNSLRHVFLTHLV SFILYGIAPTLSTPTSPAIGLDIGADPLSPQHRAFALNEELLPSSMQGKTKESILYVG RVAATLKREGRSLPTQLVSGLREHIMSVKWLEEGEGLGEAIEKARAEVGEWLWKHILT GAQVADSIETLGNFFLLRKADYAISTIREISQLRLDKLITSNPHSSSSVIREQDLDLA LRRASVGTSAGQDAGLDKLRYKMEKGPLRAILPSLPPKVPRKGGADRSGDGLPDERSN IRQLFSSSLLGTPLTLTTTITWPLDLFMTPLAISTYSDIQSYLTAIRHTHLAVLNCWT SLSAAQRQRRKWTGVTEGGTPEEADARKWLARTAWGTVRLMLFFIDQLQSHFMTDIID VQHRRLLEQLEIDNVSSSTLDGSLRGSMRGSVRGSVSRTAPTPVKSAAASTVEGRRPA SPYNTETQGLHDSQSIRPNRAPPTPSRKQGPSFLDFLTLRQIHTRHLAFLREALLISD VGIATLTRDILDTCRRFTGLVERWGGDVLPELLMEGIEGEEVGKMVQERAQAVEEINE ALHEHLTDFFGALLETQQPGASDPDRSTTGGGSLSRTMRVAQISRMMSRQTSLTAAAM NNVNKGAKSKVQLEKEEKGLEAEAAMARHIDQLLLRLDFNEVLTAWRLKGTDGEYMGS VLVEGGL L198_03013 MSADAEEPKHAVPIEDPNALNSLSKFTLFRTKTRLYITASTGDI HRVLKIDRTDPTLLNVVEDTTVYDSNELQTLLRMVKDGNKSQGGMDLVMDFHGLVGFV KFTAGWYLILMTERSEVGLLGGHYIYHCDETMLWPVGPRIEKRLISTFNMVDLSKNFY FSYSYDLTNTLQTNLTVAAANRRWNTRFMWNHHLLSPAFDLEEPRGKSRWIIPLIHGF VDQAKINVFSRTLYLTLIARRSRHYAGARFLTRGANEEGHVANEVETEQIVSEPLSTA FGQTDPESPTVPVSEFSSGYGGYTSFVQYRGSIPVMWHQESNQMTPRPPIEITVKDPF YTPAAKHFDDLLGRYGAPIYILNLIKARESVPRESKLLKEYGECIRYLNQFLPEGKKM EYIAWDMSQAAKSGQQDVIGVLEDICEESLQATKFFHGGPARNAAGAAAHRTRPLLQH GILRVNCVDCLDRTNAAQFAIAKRAFGQQLYALGFLSTPYLEFSCDAVDVLTEMYHDH GDTLAWQYTGSALVNRVDTYRRIKATQWSSHSRDLLENIRRFYNNSMLDGDKQAAINL FLGVHPSVPKYNPTRPNYQKWFNPNSLEEPKADKLAPINQVYTEYYKTNKLSDFNDMY AFNMNSTMRFHAKPRHEQFASPFEPREHAAHHNVPPPRRTARRWATPAETPGSPHDDL SPRLPKPTTAKIVSDEPPSALALFIQALYDPPDMDVRVANYDFYAHYAESEGLDMIVE DQDMTLYRSMAKVGEGGDVDKLLGGMAGSLKQRMSEPPKESADMAMDNEQRIWRDNGA TKDAQALERFTGLVSVPFQGGEYVFQI L198_03014 MMRPTPPADHPAPGPQFTLPDATPNRRRGRPSTRGEAGITPPPE SGWWEDRAPSWHKDAILGGKSSMDFLMEWSEEMKNQGHYYWMGVRDGGNLHQGAARFR DYLYSQHGPIRRSSKAIRNKVENVKTKFFEAQEWLKDPGADHITMSIPDVEKKLNKIC RNYRFWETIFVENAPMLDPSSQVEGPSSQGPHTLNQAQAVPQNPMPLIRGIPGSENAE MEQPAPPVRNVRRRLNDGTAAAAPETPTSVGRIPPAGYLERTREEREREKHDLAKRQH TIAAEQLELEKKKEAREARRFQWEQHKHLVDTAVRLRELDLIPVEAGLIKAKALYAQA ADEDQANANV L198_03015 MAAPQYLGPATTQEPATLISYLKDQVTNPQHREGNLNILKATTI FVLGIAFVRSDLSAALVPVF L198_03016 MRLIDPRTEEGFNRRVTDNQWESKERTWFDFREGQYKSRKDKGD DSESFATIHADVGQTSVHRAHFLDEMVRSRARRCSQVSQTTCGCCRAPGERGDSQVPR WDRGSALVIGCDGIKSELRNVILGDDASTAHAVFSGKYAYRGLIAMEKARELLGDELA QNAQMYTGYHGHVLTFPIEKGDIIECSKEKWDGDRWVVHTTKEDMYADSEGWSDHVKS ILTLMENPDIWALFNHLPAPTYYKGLMVWAGMAIEDSYIMGGLLAEVFDKKDLPAAFQ AFDVVRRPRTQKVVSTSYEAGRLYEYELPGVENDLAKIKDNLEARLTWIWSEDLPQEL EIARQHLRRSQ L198_03017 MPRPPETPEVRNSKTLAYILRHGAEKEGLYIRQDGYIKLVDVLA RPKMKGVDKDMVIQLVRDNAKQRFELFWGYDPSPPRPKKKPTQGKTKKQLQRDREAQA QAQGGAGDVSGEDKGKQPDAAAVDNLQASLVSAAISSPPSEPAPPPDLPLVSLPLPTE CSPQPPSDGPQGEYFIRASQGHSIQLESTAHLEEVKDDEDGRARVGELVHGTRWELWD VLKEQGLSKMTRQHIHFAPSLTGRITPRPTSTLYIYLDLTKLVQAGIPIYTSANGVVL TPGGEGGIVGKEFWAKAVRKVDGKRVIVWQDGKEVEKDE L198_03018 MSSAEASTSAPVILSVAPSRNGRTPGKAHKSDKSAVRRSYISPS IKTPFDKRKEKEAAINANKTLEKELKDEKEAERQRKVNIIKERRVKKEEREREAEMRA RMSAKKLQRMKKRQGRSAKING L198_03019 MSTRSNFFKNRQETYPLTVVPLTPAIASSTTLPAPAASQPETPS PSSSREPLMGSPRKRPHPRRQLSITVPPPSRTLQSLVVPVQTYSSQASSSGCLRSPFV LRGTTRSVSPRSRAHVDEWDSRKKSNQQSKMRCEDPERMPTSATDLRVGRSMMRRPPG IVLAVLASVVFIITVFVIVAPVDYIFLLLVET L198_03020 MSGPTSSSVYESLFQASSIDLIVPETSSFDPSPDDDDLSSWWTG VERGVPRDTAYFDEKLVYFVAMTLPDDALFYLPGSPAIDAKEPTSEMLRFLGRFQLTM TASFVPQIDSRRPPVPSKTPTTLSAPPTSATLAPLSHGNSLSTPRANPNDPNLPPVTP NPFPAMSSAEEQYANVEGVVVWEGAVEDQMGPWEEKKAGGSGGELYKGHGWGRRVFKT DGGWEVIWKGEVPVAYVRTPVENPVLALTASVTLREHSSQARAHHRTGLSLDAVSIRS GTETIRTDGTDEYGSDEDDDIARMEEIDLLGGLAGDEEVMPASRLPPSLRQDSSIPSG PLPSLMPLSAITPNSAPSVNIPSTASSAPHRERAHLPAAHNIPTLSTTIRKSFRRILT LAPGLRVRMRTIFLPQLLPLATNSGEQDLQSERRVVLLVEVENNPEPSNEHGFEIANV AVEVGGKGSKALTKLICQPEGPNEADVFPLRMGSIEQYNLLYAVEITGERTRDDAVEE AVARGLGRGDETRPVSITVIGRPFRRQEEEGEYNYPTGTFHSRWNCTLDLTQFYASQP YTLPRPSHPSSLPQPSAPKRRPPNLQLVPISSGAIVGDKRYSLSSLLSSSKEREKELQ AQQKNRAMMPSQVMQNRRVASQARIVSASGSSDYGLLLSLRVLPQTGPASPTWPATSP LETIQPLKPFSLEVFVHNRTDQVRRFKLSVPPREDGSRWEGRVRDIWERRKKRVDGYW GSDETLLNTALSSYTASAPALIPLEDDVRCGPLLPGASLAARIRFLPLREGVHKIERL RVTGVGDDIDWMMSPVIEVVVGDGVDTFC L198_03021 MSITQQAQDAAAKLADTVTSSLSLGGQSSTLPTLYIDEKAGSDT DGTGAELSPFATPLAAYQSLKPAPTSDANPTSVANFLVRKVDSAELSEWVEITPSAKK RFVKGIEGWRKKEAKQALEGARIEKQKQEQAEKDRLRREEAQKIVLLDDPSKESKTIK VWAAPESVGQRVRLQGWVHRFRPQKTNYFVVLRDGSGYLQCILTGDCIKTVDAIDLTS ESTIEVVGQLEKVKEGQTAPGGVELSVEYWKILGRAPAGRDALESRLQPDTDASIRAD LRHLELRGETASAVMRFRALLLRAFRESFNKRRITEVTPPCMVQTSVEGGSTLFAFDY YGAPAYLTQSSQLYLETVLPSLGDVYCIQESFRAEKSLTRRHLSEYTHLEAELVFIKF KDLLDHLEDLICGVVDALLADPVASEIIKSLNPDFVAPQRPFVRLDYRDAIKYLNEHG IKNEDGEDHVIGDDIAEAAERKMTDQINKPIMLIHFPKALKSFYMKTLEGAPDFTESV DVLVPGVGEVVGGSMRIADLEELMAGYKREGIPHEPYYWFTDQRKYGTTEHGGYGLGV ERLLAWILKRYTVRDCSLYPRFMGRATP L198_03022 MAIQFLKRTRSRPALPYDLLHIILTELKRTDSLGSLALLQRCNS DYYDIVTPILYTHVRIHTDDQLQRFLTLPIEPRARKRSKGLSLLSSKGSKSPKSGLRS RSGSLIAYEKKLRAFQKVKTITLDIYPSRTSMKIAGKLPFPLFAETLTFTPSALLSLH SKLLRSGAPRILATFWAGHLSSIVQPQRVVVDYSTIDIRSHLAGEKKASWWDTIAGLS SALQQWERLDQVTLVGEYWALLVPSPGVEMKVIHTVFEPKEDAEEDEGALTEGEDSDG NPEVPLQQTIPGGPAPPPHMVNLPLNAMPVPIPAPAANADEDGQPPLSFRAKLLMDRR DALLLGLRTSYQIVQHAQYQARTQPHHLTSYVPEHFIHKGSRNVREVRWVVEGFFPPV GEEDENDEGDEETEVVEKSERERREVVKWLVTELDQQCPQLVHECGRMVDERVELSCI SWA L198_03023 MPVLRPPSNDLRPSDFSYTHGYNSIVSRVRRNPGSATSGVVIFA GVDVEGLLGSRILCSLLKNDDVPYRLIPVGGTEELTDKVVDALASDEIHTLLMISLGS LLTVTEYFDIPRHVHLHVIDSHRPWNLGNLYNIDEDEDEEDAHGKVWIWGDGGEFSDP MNLLKKSFDALIFTPDSDSDDSESEDEDKEEDTEEDDDDEDEEGIGRKRKNAEDGPSR KRQREDDRPRKLPRAAKEAHQNRIANYYSHGTYYGQSVAVSIYLLATVLERADNDILW YAILAVTHQYITARIDRDRYEMYHSIFLDEVVRLNHEPDPGATKTPNPDNKNITRSEE LRFMLFRHWDLYNSMLHSGYVAGRLGIWKEKGRSKLKGLLAKMGYSIQQCNQTWTHMD MELKRQLPEVLESVGPEYGLVELSYPSFTRAYGFSLSSLSAADAVEVISSLLDVAVGV RLEVDRAGGKGGGEWFGGTTRWSVGTREAEVAGVRNDDDDKNEEDGEEGEAKDRDWHV TNFWIAYDACDDIGLIRRSLPLAMALHQAIIRAGSGILEKTEVRHLHNLRLVILKEGP DLRIFSHPSPLSRLALWLVDATRDRWVEKLAKRSAHGGARVKDLPFVVACLNEEKGTF TVVGVTGAPEYGDVRKNKFGLAFQEAAQESNASTSLDLFDTSAVEVSKNDLKAFIEHL HVRA L198_03024 MSFNSPQKILLIGAGELGQSFLKTLAAYPSSRVDLSVLLRPSSS TDLSQYSATVVRGDTTAPISELAALFKPYDIIICAAGFASGPGTQLRLAKAALEAGVP HYLPWQFGVDYDIIGRGSSQPVFDEQLDVRELLRAQSKVKWTIVSTGLFTSFLFEPGF GVVDLKEGVVRALGKWENSVTVTSSDQIAKFTAAMLLDTPSPPEGVVFVADGTVTFRD VAELVKKAGWKVQTEVLTVEALEEQLRKSPDDVWTKYQLIWARNAGVSWPKESTWNVK EGLGGEALAVPKP L198_03025 MSTSTRRPGTNAAPAEKATTQVQERTTKGSSSSAPRKVRFNVGN NYHVVDVIGEGAYGVVASAVHRPSNSKVAIKKIAPFDHSMFALRTLRELKLLKYFAEE GVSENIITVLDIIQPTSYDNFKEVYLVQELLETDLHRVIRTQDLSDDHCQYFLYQTCR ALKALHSAEIIHRDLKPSNLLLNANCDLKVCDFGLARSTQTALPAEGNNQGFMTEYVA TRWYRAPEVMLSFRMYTKSIDVWSIGCILAEMLSGKPLFPGKDYHHQLALILDVLGTP TIDEFHAITSKRSKDYIRSLPFRKKRTFESLYPNASPLAIDFLSKTLTFDPRKRYTVE QCLSHPYLDAYHDPEDEPSAKPLEPGFFDFDMIKDNISREELKKLLFEEIMTFRPQLE L198_03026 MPVATDADTRLPVTLLSGFLGSGKTTLLSYILKSKDHGLRCAVV VNDMGSLNIDAALISNHNLTQKEEKVVQMQNGCICCTLRADLLEEIATLADAGHYDYL IIESSGISEPIQVAETFTSEFANTMGGGTLDEIIQSLPEDASATPESRKKLAELIHQG GLSKVARLDCCVSMVDCTTFLDDFDTTDFLTDRHGKEVDPEDEKNITDLLTDQIEFAN VILLNKTDIIHKSQVAQIEQIVKTLNPGAKIIPTSYSKVDLHEILNTRLFDFGKAAMG AGWLQSLRENTLMEITDQQGNTKMVPKPETLEYGIGSFVYTARRPFHPHRLWDLVSEP FCVLQTTVEDDDSDDSDDDDESTPEELSEEEAKQANFDAMQKEKAELDLPGRARAKRA SPVWKGLLRSKGFVWLATRPGVHGEWSQAGIMFTLNGGGPWMCRVPESEWPGSDDQEV IDAIKLDFMGPWGDRRQELVFIGQNLDQEIISKTLDEALLDDKEWAKWEKIMKSRCSD DKKTGRLVDEFDDGWEAWLDPGMMEEDTHDAHAGHHHDHPPLAKKAKLSG L198_03027 MAPLPRPIANPLRITARSARCRAQNSAAPAASSSRHILPSAVPS STTQARSFFSLPDLTKIANLVPGAAPAADGSGPSQGVGMDGEVQKFHARKIMPYSQKQ LYSLVSDVPSYSQFIPFCQSSTVLAPSSPGSPSNREWVGWKPEDKPFEVLAELAVGFG GLEERYVSKVVGTPYESVIATASNQTPMFKTLTTSWSFSPASTLSPHPRSPLSSSSAN SPRVPNSTDPADPSEGPTLLTIDLAFCFINPLHRIASQAVLPKVAEKMVEAFEERCVR QYGKGTQ L198_03028 MSAFRKFVGSSKPPSGAQGAIGQPDAGDLPPPAFGEERLWGIEN FSNSCYCNSILQALYACTTFREFVEAYPDVLPPRRPLGPSQSNKLYPTHDWDAAVPGW DASVRLNTQHKAFAATQEVATPMSPAGKEKRNWMGKKQSTIGQATPTLASLQINAPPP LPHPYPEYSDPLLSGRPPAEYVPTIFQTLQTLFYHFSHSPPHMPIKEKGQTREANSAL VSYTEEKKREGVNGSDDPEAPGSPPPPPQPQPAEPQSPGPNGANPPVPQGPSKLASMP PPSTLRESGPWRAGQVGWGVVQPSDVIDAVKRSSPDFNNDDQHDAHEFFNLVVNNLKD SMTAVDAVLEREGKGVVDMSKNPWAQNFVQVLFEALGDKQVKCLSCESTKAQEEIYLD LGIPIEQHSSVSHSLRQFTDTEHLCGTNKFLCDSCGGHQEAEVGIKIRRLPPILVLHL QRFENIDWTHTQKLFYRVQCPDTLRVPTAATDDNPNPDQLYELVAIVVHIGITPTQGH YVTLKRIPSGLWVMCDDDNIEPVPASDLFHWLGDRTQGQNYVLFYQAVDVSAQDLGLK VEKRKPDGVFKPVGEGVKILDRKGDDEWRFGSLGSAAGALVQERDEESVVGSVPSPGP ALASIPPSAPSPMADGVDRQLGFNTVPVASPTPLTSLAPTPSSLESSSAGRPLMRKEP SEGGKKWYRNRMSMTGKDKDKGLNGTGRPGTGGSMVSSRPSTAQSATSAYPHPNGDSG SHGGVAIQSPSTATSPNQMSSSVMSSFSGLSTSTGTSSGPAQSLPSNPIQHPTPLPPS TTASSPPSHAPTPKPVPSSTPSIAPSQVSAMSSLGHKATPSVPKAPQPRATSGASSNA GIPANGGSGSLSRRLSGMGGKLGRSGSMAFGKMLGKKEKDGIQEK L198_03029 MTFTSQGTHSSSAPSFSEDPGTNGVERTSSRTQSRNVSSSSKRL ANTSEASSKSNRYVATLEDFQLIRVLGKGCAGRVLLVKHTATNHIHAMKAISKRSVLT HDELGHTLTEVSILRRMTTEEPHNRFVSRLHYSFTDRENFYFVMEFYPGGDMATQMEI YGILGDHRTRFYAADITQGLEDLHRHGIIVRDLKPENILLNAKGHAVLADFGLSKEFP YRGEPKAVHVVTYPGQPALPIWAGKGAGSLRELSSGGTKLVVDKAYSFVGTSEYLSPE VVKRGDYSYAVDWWALGCIVLEGLIGRVPFRKAEDDPPMVLWNKILYEPWEDCFRDPK LARHAPDQVTFNFVDALLQKDPMRRLTEPYIKEHDYFSMIDWGTVERGEYQDPHGLHI HPTAEYNTRYFPKLCLQEDPTVDMSTHDLRDYEAGGGKRTPMNDEVLFKLEQAKYRRE LEGFIWSREWEWDGVESEVTGYEDDSILEGASAGEGSSVAYGDEGSVQEEVPDALPET LPVPATISPDTTPLPPALPLPLTPETPLELKEDPARGEALPLPTPVAEVSINIPPPPD VSDEEVLDKVVPGPPDAVEEEISEHPIQNAAPDKDLVAEDTLETPSETLTPEMPTPDA QPADESSAAATILETPSSPAVPLSTTPPPLQELEEHIPPIPAPIPKKAPSSILLPQSP HSKAVRIPSPASVQLPSPTYERILNLPTDLPYSGLSTSDVISVHSHTRVGGQHASPRL LRRRLRRDSEETIPLARLSVELHGTVTQLEEEDWEELGMDVDREGMMMIPTAPNGAGV TGALPSSFFKTIRASALRRKPSLVSSSLRIQYGRGESDVSSRGSSASPTKSEGSRGLF SGKSGMESTKRAFKGSKIFPRLKGLGMGTPMTSPPSSFHAPMSDASCSSPVKEKSGPR VRDPSRPGNRRSHTESGWFDRHLKRSKPSAAARHAQKQAYSVPQSPTKFGIENTNGTR QRVSIVERKGVAPRLELEKSEPVQWGFNL L198_03030 MAHHAQQGIDPYQNDAGMYDAPASPTSSSSSFEGDVSMNGEHAR FYYEQQQQTILYQQHHLQNGLEPGAARQAAKQARMHMDDDSDDGFSDEESDTDSMPDD SIDFSLTYALHTFLATVEGQASVIKGDSLVLLDDANSYWWLVRVLKTEDVGYIPAENI ETPYERLARLNKHRNIDLAAATKNEKQLGQVQSREKLKGAIAAKRSNARQGSNEGSEE SSGRRVIFAPPTYVDHPGVTWSSDEEDTDHEPEEVEMQEDEHPQEGHDARHAQEAMDV DDSMDDMEPDDGVEWADEAAEKERQKVLEQRQAAVTPKSNNPFAPRSNLPTETVSNGL DQSGSTSSLNSSSGPILDPASASETRRITATPAVAGGPLLPSALVNGSQNRNVSGQST SSVYSTVSATSSVRSSTPTGNSPEDAKNKMKKPRKSSGDDNGEKKKKGLLGGLFSRNK ADKKGKGISSADQRSSEDSALEDRGSEDDPSTRGALSASGSHESSPQNASDVSSHSLK LQQADQARMQSYTNKYLKSHNDEYSASNAEAAAAVAQSAAAMRLSASVMGTPNGQNAR PSSIIVSPNPDGPPLLNVIRIFAGTQVDSEASFKTALINETTSAGDLIRQAIQRFHLQ TPQPQDPLAGYYVTVKDFDGTELELGPQDKPLGKFQAAVARWSESEIDEHGKLQERLG TITPTVKRDSLSSISSMASLSNHPAIKKLGMDWEDDSQVKLYLNRRLLLAEPEGMPDP QSGFSSYSTGLSTVHESPKSDEDMLTPGPSSPVTAKNPNLTISTGAHAAPERYMSPSA RFTLQLLLHPADLPPGIMFDPSSESLVARPRLQQGVEEGEARKRLFTLPRNANVVDVI EQGLERFGVQEGVVDGGDEVEDKVGKRRSMTRVRYSLGVIVNGQGQPERQLSSSSKIL ESYPSAPILKPMEKSTPESRRRSRDLSYNVGSTGDIRESDPVFVLRKVGARGLAGAKM DGIKQTPTSPIVSPTTSDPRSPQEIIAAQRAASRSHQMSVLSSTNKGQGVDVRLPETQ GTFRSTKQVGQDGGAVMRYSFIDEAGETYDISELLEAEVGKDGKSKVDEVGDDFKTGS VDVPPELQRQGTDMSVSDYHTAPSTPESGMRTLSGDSIEIIDEPRPRSRSAQDRDILQ RAVQRASQDGEAAGLHEALQRVADKAREGSTKGSTGPEEVARERNATPNGRITPEQDS SEDLHATPRASNAYQYSEHDHSQDHSTRTSSRQATRETAASVNRIISRHRQQPSIASI MSDFDGDKSGSSLSRDLRDRSIDPPSSVSEGDENDRLTEPDGSYNSSSTGYEANFNDR SSTPATASSSTHTTPPFNGNGGMFTYTRAVNVVSPTPRAPVRYRDDFGMKDMMAVIRV RAREYRSATKPKANSRKNVRGSVISDVDSTRSLTHAVPGTEVDRQLIGEKIDWEEVHP EIRDCLHGVQERLDSFDREVDDLLATVGALGI L198_03031 MSSATGGSLKWTGRPLYELVVKQQPERARLCSYKEENDTIDRRP VDPPPVVELNCHGDQKLAEQLLQSSAFFVRAAIVTAETVPLTSDQASVSSQSASPFSS RPLSHPQTHYAPVRLNLGADAATGEVVQTPERLRLLDGRAAALCIFAKLGVRLPGTFR LKFTLFRTTEDGLMDMAHTISEPFEVFSPKLFKGMHESTDLTRHLAAQGVKVKLRTDT TVGRQSVRRRRASAISTTQSPSFSGQPPALIAPQPHYQPPHPPPLRQPQSNQTHPSNR PHPPSIATHHNPHTMTSHAMLPEASPTSFSSGSRRGAGDLVWRNSSEESARYEPNPSR IVSSGSKRRYVDDGLVWPPIIGMGHASGSYDLSAFSLSRRSSRENSRDSRATHLSNES TPRSASNQSNSYPFSSLSSTAFSPTASTSRSSSSMSTPASSQILSATQSPPIWFPPAR LNVRAGDDGIPILPAPNSHRSPGGFVPASLDEVLGESSSSAGGPRGRPITAGSGQGSG PRFSVGGGSSLAEAEGTEDTRRLVLEAAPSAADVFGERA L198_03032 MSAPQEAPAPVEGVDVVRKYDHSRDEHALKMLVGQGIMEGLARA NNKIITSPFVLLPIILIGLVISHYAGFAINSNPLSYIYPLVGPALALLPLLASIEYLQ RPAFTARLRKTIGSLDMIKPSVYYAPAQSGVWVFEHEGSVVGAVCLDAGEQALEKLSS VLGEEDGQVTGEKGVVGELQLVPKKAESNSGLRKRPTVSGANNETRSVIARIRHLDVD QPYRKSGVGSELLLTALDHTFDVSVQSPCRIEKVYVYTNPMSVDGDKLLIKCGFVPVT EGEAGADWEDSEKIGLFGWKGRWMSVSRDRWLENRTEILAKNR L198_03033 MAITTEEGSMNVDFKLGDPALMPFFYKHMLPYKPLFLWLNQDQI PSKLFTHRELALTLQNDVYLRYQSFNTSEEFKKSIRDYCPSRFEIGPQYTARPRDRKT LAAGALQPQRRELVFDIDMTDYDEIRTCCSDKKICKKCWGFIAAAVKVLDHTLRETFG FKHLMWVYSGRRGIHCWISDQKALDLNDDQRRNLVNFLEVIKGGSQQSKKVSVRGKDG NDAPHPYLQETITTLSQLFPRLILYEQDCFREEKGWELLLELLPGDRGKSFLAYVLWL VLMRAIAVNSVLRTKWGTDPGRSSHDKWNDLTAILHKNIDTPLGNKIKHAIEDIILQY TYPRIDSEVSKHRNHLLKSPFVVHPGTGRICVPINPSMIDEFDPETVPTVNLLHSEFN QYQARKKEEGAESAGRRMEGGQSTARLGSSANIREDYEHTSLAPYVDMFEKHISAIMR DNRNAKKGMFVTTSPG L198_03034 MTVVSPLIRRTTIQVGTAFEKHALAFLTASMSMSLRRVGGAGDG GVDLKGWWWVPADASSDTVARREMARRLRVIAQCKAEKKSVGPRAVRELEGVMSQLDY RGEQSSSEATVALFISQNGFTKNAMIHASQSRTPMMLLHLPGGQYVDAPLLSSSPGSN SNVETSIKVESAWWNRALSHGVLGGRLELRREMVTNGRGVVGTNVGLWMDGARVDRLV PETGVDDL L198_03035 MPKRGRSRQSLSLFASLPTDLRHAIRSGSRGRSSSSARFSVEPA SALEIVPETSKSGISLLQEIEGNTLLKTQPDSESEDEEDEVVDMDITLLSQDDVMNSE PVPHPVVVEPTTDPSSLSVPLPSAKSPPPRRKSANFEDRWLGIRSEYAHPMTAKQRKN FVKRMKNAKAVLNPHLGHPWDCTGLVPRYVKEQEVPYELRKYYHQRGFLFPDYDRLPL LMDQTGWFSVTPQPIAAHVAQRCQCDVIVDAFCGVGGNAIEFAKTCERVIAIDNDLTR LRLARHNALHHGVAERIEFILGDYTEWARSLSARESDAIDVVFLSPPWGGIDYRSGPN SSYPLSALLPIHGRELFHLTSRLTPNIAYYLPRNTDLQELADLAREVTLPGLGKEKEY VEAEEETVRGKVKALTFYFGGLISDE L198_03036 MSKAVLSAAEKNKFKDKVAPAEGPKPRKDKVLLLSSRGVTQRMR HLMRDLETILPHTKKDAKLDAKSSLHLINELADLHSCTNTLYFEARRHEDLYMWMSRT PNGPSLKAHVQNLHTMDELKMTGNCLKGSRGLVCFDGAWEGEHWELMKEMFTHTFSVP RTSRKLKPFVDHILLFSLLDNKVWFRNYQIIEKDPLTPTGPPQSSLVEIGPRFVLTPI KIFEGSFGGPTLFSNSEFVTPAAMRASIKRDAGEKYRIRKNNENEREERKKKLKEDAG EDELARDIVFA L198_03037 MASEASLAPGPCHPLAHMLRRPPPTASRMVHRLRTTSVADATWQ SYRPTPLTLVPPKPTTPSGNKAPDQRFFDDSPEDRKNVLKDFLQTEQAYVTQLLQLKR IYISPTSRPIPLPGKTGDYFEIPRDQWDMVFGGIQEIVNYHAVDILPTLKGIVRQMTA MGHDLDGKWSLRAAYEVAELFNSRAAQMGKFYKAYGERLCQSLLMAEMWESPQGEPTD RERRIEEFLMKCRAHKEHHMRGLGQYLEVPLQRLAHYELFLRHLCRHTPPKEIEHDPL DITYHFASILSKRVEQSKRIAQIRSHLHPSANNGITPPLNWQILTSDAPDLVMEGPFI IARYLTREALTFTVDNIVIDNEELVYPNNRSETIDTVYYQFGHDHLQGKRLLGVLLAD RLVLLADTEEKEEKDEGLGDFKTFAVLRMTEVKDNMKLCGYNKTCLRVCAGTSAYYLE TGDRGNAHEWLNEIETLRRERQAETKAKSKKDGDR L198_03038 MEADMDASVMIDVSMGDEDGFDPATLANLAALSSIINDDDEPLS GEQTQEEARGETEEPLDTGGSREPLTREQVQDLMNQLAQRDKGKDKEDDARRRGAESQ ATSERGQSRADDGDEGEEGPDTMDDEEYGEYGEEDRNEKGKRKRNRTVLSCTECHRRK QHCDRNIPCSRCVKRGIPSMCQMEHPVLPQRKRKRPAAEDEALSHELVICAQSLETLL RGTDSYLDTNSTHAAVEVTIRDASRAAKAGSTEATNALQQLAQPLEGMNKEEQSKMLF EVLQLLAAASTGKALNAGVMGGDQRGLELWASVPSAAPETTVAVASAFAANEAPTKVN ISLFGYRDVGGKLFIPPTVRYAAKQIQNEQLLLVEPLSIDGYAPFLDAGVKFAYGADS YPYRHKRIAAVQAVSLTGALRLAASFIASLPNPTPASSPRPIFIPTPTSHEDYNTLKS SGLDIRSFRFLDPRTGGVDWESLREDLSAAPVRSAVLLYVSGSMPSGAELSTTQWRLI ASILAAIMAFQGLSSGDTTRDAQALRFMVHEGLPVVLLQNFDTTMGLYADSPSIVSIV VRSPQDKAKVEGQLRSVARGMWAHVSPWGARIAEQILTDAKLHPAWLNEIGKMNKRLI SARGKIFQILTDKLKTPGDWEHIKKGTGMYCTALLPPKQAHALTAKHNIHLLPRACFN LACLDMPSIDLLTRSIDSVVRAGIREAEEAHAQRLAAELAAQAAKEQAAREEAEREQQ REEAEREKARQEDTLLMERSIVQAMERQKQEEEEEKRKEVQRRRDEEMARQRREREEI ARQAEAILATIQR L198_03039 MAPESTATPSKKEKKDKKRKSEAADLAPVAPEAGSASAEAVAIE VDGEKALKKQKKEKKEKRKSVAAGEVAEGIEEDDKKAEFSVPLEAISAIASPLAGKKL SKKLHKTVKRASKARQLKRGVKEVVKALRKGEKGLLLLASNITPIDVISHLPLLAEEA AGVEYCWVLSKEELGAAAGTKRATSCVLIGAAPAKRPAGKESASAEDLAELKSSLEEC MEEVKKLETAITI L198_03040 MANVSIQTTISPFTQKPVCTRPLLAEQELDALIAESVKAHKSWK KVPQEKRIEVAKKWLDEFEKIADVAKEDISSQMGRPIGQCQGEINGTLWRARHIVDIA AECLAPIPQTKPPVEGLEKFILKQPLGVIGVISPWNYPHMCLVNTVVAAIISGNAVIL KPAPQTPSPAERWVSTWQAAGLPANVIQVAHLSQERTLGQFVTDPRIDFVSFTGSVAG GRAVQEAAAKSKGFKGTCLELGGNDPAYVREDVNIKFAAEQLVDGVLYNSGQSCAAVE RIYVHSSIYDEFVKEYVEVAKSYTLGDPSKPETNLGPVVSVASAARIRKQVKDAVSAG AQIALDESFFPEAKEGTALVAPVVLTNVDHSMEIMTEETFGPVVGIMKVEGDEEALTL MNDSVYGLTASVWTNPTDPQSITVFNQFLEELECGTVYLNKSDALDPSLPWSGWKNSG RGVSLSSYIYDVVNHTKAVMKRVVVPQ L198_03041 MYGNNYAQPQQQWGQAPYQGYQPGYQNGPPPVNHGQHPSQGGQG GQWGAPPQQQQYGGPPQGQFNGGGYGGPPQQQQWNGGNQGFNSPAPGMGGGYGSAPPQ GQYGAPSQPPQQFGAPSPYGGAPQLQGGFTPGQLQGPIYYLGAPIPAPPPAVPTSTLS GYNAQFDAERIRKATKGFGTDEKTIIDTLAPLDAFQMEVLSRTYEQTVGRPLKTTLNK ELSGWLEYVLVLISLGPLAGDVHLLHRACKGAGTHEDLLNEILLGRSNQEMFLLKELY QRTHHKDLAQVVRGELSMKTESRLFNMALSGQRDESPHLDHNLVQQDVQTLYRAGPGK IGTDEIAICGILCSRSPAHLRAVAQAFPMAHRTELSKMIKSEFSGHMQDALYYIARGA EMDGDGIVRDVELLQAAMAGMGTKDERLCYRLARAHWNRPRFNSIKNQYQGSYNKSLR RAVEGETTGKYEKALVAIIEQN L198_03042 MPPKQPEPLPMDSGRPDRRQQGPAPPRRAPLPPRPSSSATRPLG AGPIPEHVKARTLWQSYQSLTPKARIGFGVVVGFVGIGGMVWDQQVLQDEPAKEQKPL INMRMVDRPAK L198_03043 MSSITPRKRKADSSAEKAAESSVPTPRSGRTSKRVATGASRGSS SNPVPFPTPPATRHRNANLPGQSAVPLGLPSHPDAAPLPSHLSSLLNLHRAFNLALSL HIATHHPVLPPHSSTATSVKLPNLTNYLAIKETVERTCGKRFGPAELGRLAWVWGWDG EEIMDEKAVSEKNKKAMLDEDNPFVVPASPALGAGEVSGSTYLITSTRALEQSTGRRI YTHGLGIELGLRQGETRQLLANSDGGFKNQGQGGGTAAIGRWTATGEVREHNFRAKLE KWVKLHGGYKPSEASVLPTPSTSEDSTRSSIPPIPILPLPSLPTTTFPAANLMAAFTS PSSGPTSTLTPKKNHLPPSFDSPKTAGLSDPFEIGDKEGDVKGKIVRPGSVEERRKAM MARIKAKSGGKGSLSTLGSSVGGFGRPGTTSTQQEGLKRRSILSRLEGVAESVWMMFS GPALGPSSLPTPPRGRRKAIPMAEAAEVIVKSSKTPISTAEAQTSLQMLTELCPFFLH IKTIGRQDWIEMPAAVAVPAPLSPTAATGFSASPSASTLPARQLPASPSTPGRLKGEL AGPASPGKIRRQGGLREVRERIRRELDN L198_03044 MQKDYLKRTQPEPTASASAEASSPVPPSPVSPNTVTWTNKYLPS RKSTTASVVDNSGQEVGDDRIILYIHGGAFFFSSLETHRYQVQRHARKAGARAFSPAY RLSPQYPFPCGLLDCLASYLYLISPPPSAPHKPILPTNIIFMGDSAGAGMCVSLLVLI REMGLPMPAGASLISPWVDLTHSMPSIGGWDGGDFIPSSGFHYKPSCAWPPLPGSGID ITMADGSTKRVDEQVQMYCPNDLLTHPLVSPVNQGSLGGLCPLLILGGGAELLRDEIV YLAHKAADPERYPPSSSTLAEYPDQVPKITKYKPTKVHLQIYEGCCHVVPTMSWTKSS KYMYRACANFNIWAFNAAHKALEKKLQHKESSKSIKKSRSSASLKKTALANGLSSLTI PDSSNGGPQSAHSSKSSPTNFTTSPTNLAPSPINTTSPLVNSASPTPPSPAISNSSSQ QNTLDLTQPIITSSAASAANGKPISFNEAQSEAESEEEDDDSSSDDGDDTDEESGADK GPRTGVVTVNGTEPLFGNVNIVPERVSTHGNIRPFEDIAAVPALQPGLREHIGRVHGE GAVQKWLNKRADWDKKYSRDLAKWRKLRSEDRAKAEKDGFLTRDLQGENPPMCAVAGL WDEKLAGDVARSVDEVYARSAALGWWTKWGSKADKEHAEKHELREIKSRAEEESKLRT QSLDDLPSSSTSTPTLITPPAVGSYGKFGSREGSRGQLFVEPEAILEDADGEEVHETE AAKR L198_03045 MTGYGTPSVALATTPTVISTFFSHILHRRKRKSETKRSLQSGGP GGGPENQLTYEEGLKVVRRFLDFASHHGVEEVQAFTAMWVPTPHWVKRDVVLIPEENM HEAEVILAKHLSTYGKAGENGGGIQLVGGDKWWRVRRKPLEGEWIEARL L198_03046 MALPQADAHGTVPPKSKNPLHKIRNKVDNLASNVSRLGITVSTT WNPNHRHDEDWEKEVDAKMEAIRDSHRFRSFAGERSDNLVKWHVDGHDYFWALSEAID GAKESIMILDWWLSPELQLRRPAAKFPEWRLDRLLKKKAEQGVRVYVQVYKEVDVSMT LSSKHTKHALEDMHENITVVRHPDHSSNEIVLYFSHHEKLCIVDSTIALMGGLDACFG RWDTHNHPLADVHPTEFWRTLFPGQDYNNNRVMDFQTVDKFVSNALAVQETPRMPWHD VSLSMIGPSVVDLVQHFCERWNFVKKFKYMHNHKIEWLSLPHPWENISSRENQETTEA ENEFRLNHPHLAEWKEAGRQFFHPYHFPPSDLPRADEAVPHGTSRVQVLRSAADWSHG ILREDSIQQAYIGLIAEANHCIYIENQFFVTTCKDGQPVENLIGLALARRIISAAKDG KKFKAHILIPAVPCFPGDISTESGIKAIMEAQYRSINRGGASIFEMVREAGYDPEEYI SFWNLRSYDRINYPYARIKRMEERSGVTFQEAQVALAKIYVGGADVKGDVDEVVNIEQ PHDQTTGAEDIGKKETQKAVKLPKTVEEARAIIEKFQAAAANDDKHVSDNVCQHALQD KTTLNDEQWDGSEEEELSCFVSELLYIHSKLMIVDDRRVICGSANINDRSMKGDHDSE IAIVIEDSTMVESLMDGKKYMASAYATTLRRSLMREHLGLLPHQEAFDDETQPTASMR PAPTPHVYDFGSQEDKMVEDVLSDEFLQLWVETGRNNRVIFENIFKPVPDDSIHNWEQ YKEYLKPHTGVSIGHVVNKDLSLREVKDQLSRIRGHLVDMPINFCQDLEWMTEGDWMT VNPYTKALYV L198_03047 MAGPPTAFSNTHRLYVKSLYKRYLVNSLNWYIRRDLWRERAIEI RAEFERNRNITDPRALAIVLEQAEARLEKEIHPDPYRPPLFPDGTKWERNIPPRMFTA QEKADALAAYNAPH L198_03048 MPPPTATQKPTAPAPAKKDGEDKKSTQLTKPDQSKYNAEQDTIN KEIAEVKTKLDAIRSRIALSQAPTSNDRRSAIKSELDSLRGEQAKFRGDRNKLFEDMK RLQESVQKKIKEVQGSRGKLVYRTVSEVDARIEELDGQVESGNLKLVDEKKALQEISN LKRSRRTLEANGSIDDSIAADKARIEDLKKQLDDPEAKKLSERFDELKKEMDGLREDS SKAYEERGKLFDERNALSAEMDELYNKKRQSAQKYRESNDKYYAKVQADRQARQERFK ADKAREETERREEEIVRLREEAKIPAYASEIDDTGVLISWFKGKYGSGEVPSTHAGGK GVSNVVEGVKPLETRKVDDEAFKGMTLKKKGEDDLAGFFGGSGKSKKKGGKKGSTNGS GTATPVSQDGEKSGKEAAVNLPMSLLSALLSLGIPPPSGKDDVQRTVDDLEKKKAWFE ANSAAKTKAEIERVEKVVAKLQKKNAVAVDEASAEISEKGGLHEPLHTVAVEGSASGP ENVLDEGEQLPTNAGEASEVKKVDSKLEEAKETLESEE L198_03049 MRLVKRRSNLQDGSKPSPSRNHSTASLPSAAASSTDITLTPAST RPSSPVDKGRSRSFRKRDTMGENRYPPSSFASGSGHGWSFGRMRSFKGRKRQESSRDA TSESEAESEANMASSSPLPRSSIPPLQPVPRPALPLSEPSPMAAWPAHAPFSSSSQSG LHVSNSQVGLALSTDNLPTAVSYSRVVSPDVSQSCRQFSPLASAHQASSSSKLTKRRP MSMVIDKTLSPVNALSPSSNDPTELQLRRARSSSTSPSNYPRSPPQNLTLSVNTEGSP IPPVPPLPSLSPIINSLPLPEGAAPADPEYVSSLNRNPSSVTSHGSASSAAYPSTEES ALIVTPSDRLQRDTMSSLMWDSLRGTELAKIPSEGIDRYHDHDGDLEIARVSSNSPMS KGGSSKTATPNGSIKALRTKTSAADLGLQTYADSESPKITPRRLDRLASPLSPTSPLV TMTPLNGDTFSDDFPTPARVSRLSRPRAASFANSLDTNSIYSLGEVDTATPAVVTRAQ QVLLSPSHPGATGVRRGSAPEEHIAGLVEKSEGSPRWHSGRRVLGDVNSSSPQLGYPV REYHSRPAPIPPHLSPSKQDRPQRSPRRTSLPRNGSFSPSSGRESLRVATSQDATLPS SPGEQHKDQVVEMERTLSIGNMLRRMSIGKSPKKGRRHKKSMSMADDGDGGGDGAVAD GEASGPGEANEWGVGLGLGGVPQMKEMKRQSFGSALKRKSGMFTAAPELQMSIGAESP VKGGSARPSIVPARQATSEKETASTKISRSKSLPGQKRSPPSAQEVPTARPFALVSTD LVPATATPSFGASRAELAVPRMDSSSSAETGEPYGENAGAKCRVSFLSDQPQSCWPAL LGPYIPPELLSLPSYFHTAAPNRSYSPSAPSSPRNRTSLLGPDDHERHAHEVRRRYRQ SLVHIKDDREFAHMLEEFARIENDPRARKALGGGISLVSPEASNPTSAEEGDDDGHVL MRSKGRDRESMEKKAKQASIAAWFVTREIVQGESRHAKLLAKGLRVAKAMAATNKFKD KDFAPSSPITPAARSATFGSRSALETPSPAVSPVPRQKSHYRTGSVPSILKKRRNSLT ERNSQLLAGSLHALTPSPSALRNPGFPPSPTPISTSSTTSSSQGSAAMALNTLIARLP ALLDLSIKLSDAFSNDASPYGVSQAFIEMEKDISREIGLWASEVGGVVISGIMEDLNR AMDEERRRGRGVEEEIDGKEGDERLGYLDIIMMPVQRASRYKLLFQELSTKIPPASTT HHKILGAIESSRKLASTLDTCQAMDLELLRRQGSRTKSLGRKVRPVSIGPGSKSSSLW NGFND L198_03050 MLRTFFGSSEVVKKKEKKPAKEHFQATTASTAQTLTSPSIESSS DSESDTDISPTGTTPTTPQVSDSSVSDSSDASSFFSSSGQPSFIVGSHLIFGWRLAQY MVRVKHSSKAKHWSVKVYLKTSGSTS L198_03051 MTSLDETSSLLDPFLALLDDPAPSNVPAQTLLGAITHFISTLDD AQLARFIASLARSLSLPKTNGVSSEDIRAAVKLGVVGLVMRMENEKKGVYFGSYRRSK AARQWLDGVLHATRTTKDTVGPRTQVLIGLLQGVEESQTVDWGQARVKLEEKVVLALS GDEQTGDLEPLCVAMPHVGVYRLLALDLQPLSDKIADHIFVLLAGQQDLDQIPIYASA LSRSYVALYSEAASNRRHTLQAMSLFCQRMEGLGLGLGKKGASERQADSRGTGDKDLH KTAFSAFLLPASSILDVLLQNMSGQEESVDLAGRIIRTMFAFSCLTASGDGFENYHRL LAGCLDITSQRGGVAATESLFSHISSEGRLSDAKAAFVLILGDELLHQLGSRSIDLLL PLAERHAHRSESRPSFEAAHAFFLSLLRVSSETLQSASPQTDFFDALLPSYLSILTKR AKSGDIMPDQLKQALPLITSYAARRDHASVSLCLQALSLLPPSPATRLIQIRLAPHIP SSSLPAYLQNLGEMMRGVDESSYERMELMGEAFQMISRDLRDEDKRSGVEWWMSWKQT LGQKPLGFVRSRL L198_03052 MPPLTKAEAAKVEEYDYFVIGGGSGGLASARRASSYGAKVGLVE ASPRLGGTCVNVGCVPKKVMWYTADVADNLRKSAQYGFGKEGEGVKLAADFNWTELKH KRDAYIHRLNGIYESNLIKDKVDYHHGYASFIDAKTLQIAGPNGETYNVKAKHIGIAV GGEPTVPSDKDVPGASYGITSDGFFELETQPKRVAVVGAGYISVELAGVFNTLGSETH LVIRHDQVLRTFDPILSEVLLPYMEKTGMKVHKKTQVKKVEKTSSGSLLVHFDTGAEP LEVDTLVWAIGRHSSTSKLGLDKAGITSDKRGDIPVDDYQNANVPGVYAVGDVGGKAL LTPVAIAAGRRLSNRLFGPEKYKNDKLSYDNIPSVVFSHPTIGSVGLSEPEAREKYGD DLKIYKTSFRAMSFAMLDEDHKQPTAYKLIVTGPDEKVVGLHIIGEGSDEILQGFGVA IKMGATKDDFDSCVAIHPTSSEELVTLR L198_03053 MSYIVSVPAGRCHRRDENSKWVDPQAEKGAIQLRLEDDLLRFAW RSRENHQIDEELIIFPGEATFEKVTQDPTGRTHILKFSSSNQKYFFWFQRKNKDGDFR AQVDINNLLQDPSYQPGSAALPPQQEQDWPPTPGAPRLSNPEPAPSTSAEASSSTAPE ATQENMARLLAEWARGGALGQAEDPARLTDVLSSSNIATLITSNPSLIPTITPLFPPG LSLPENPKAEDIIPVLSAPQFTDAIASLDNALRSGGLPGGMMRELGLPESAGQSVKGF LDGLLGLKKEDDRMDED L198_03054 MASVHPSRLGLVPGGNQPPPPPPASTREDQLKQQLLERKSRDDR NDRRNGSHDRARTENRPDVHGGSESRHGRDRDRGERQDRDHRERDEAPHRSRRASPSY QPYARDGYGNGSAPPKPPVDTGYGYGRRDDIPRGPPGPPGGEGPGPGPGGYRGWQNPP ARFNGPIDFEKRRQERNNSDLSIWPPSPKEPYMDEDELAAERKKRKAKSKSKSKHSKS KKRSKRSKYSSDSDSTDSEEERRRRRRRRERERERDGRRNGDRERDRERNRDDEDDED RERRRKRRRSRSEAEGEDDLWVEKSSKGKERERLAPIDPATKRIFLGEEEEDQDTEVG PQLPREINDKVDRSAYANMLRGEGEAMAAYAESGQRIPRRGEIGLEAEQITTFENSGY VMSGSRHQRMNAVRLRKENQVINEAEKRAILKLQREDRQQREGAIVSQFKEMMDENLK KQGGQGK L198_03055 MDLWSVIVDVSFLLLTLLLLPSISWSAQPQLNIVPPEDGMASPP PPTTPLSPVTPISAVSSHLSVPSFGGVPSITLTPSELSSTHKRSRRGSFGSLSRRFGH KRKTVSFSLSSIDDVLPPQASPMKGRPPTPFVKGPRSPSPSPHPRESQSTFTLGVTGE LPDAAMTHRKDVADSMGVKQGWLMA L198_03056 MSTARPMITSPYQAQAQPMCSKRPLYTPRPPPGFKRKVWDIKTR FDVTFALSVMSPTEQLIIWSILLLCATSIMYYIFGNATVQLLYATTRWTYYVYGDEAA HPIIHGISRNVSARVWQLGQGGKAGRAPAAFAALSMGGKVVP L198_03057 MASILRHAALPLRCPSRVTSLSATRPNAAQARRHFQNILAPQDP EADTPTITISKLTDRGLICSDNLVIPGGAIFHSGKVIMWDVDAPSPRELKPGERNTIE KVWEGWESDRFTAFEMLVPRPEILLLGTGTRAWPAPKRIRDYISSLGIQLDTMDSRNA ASTYNLLIEEGRRVSAALCPQTPIDPRTGAAR L198_03058 MNPGAGPFLNAQLSPDFSPLHPQSVIHNTRILGSISTLSACFSG LVAGILGFTNSHGFLLYLISSFVTALIVGTIKCGADLQRFVPGAQPQGKKAVSSAVNT GPSTEDGGLGASLKGWWSLSGIGQENFLGFLLFWIGSYALIHVYD L198_03059 MAPQILPFVILGGIVIASAAQTELAHHVTAYLHFDKPYFTFFLT HSTFSLIFPLHLGLLYFTSPIPVSAYLASIRFVLTEQLGLASPHMSISTREYTASWRD ILPIWSQKVALLTALVTVPALSWFVAMRYSMPVDITSIYATSSFAAYGFSLLLLGETL SRMTLGCILLAFAGVIIISLDGIDSGEGDSSRRALGDGVMLFGAIALGLYEVIYKLTL PEGQGGVTVSPDEEEEYDPLPTSHTPPHSPESSAISHRSHFHPHRSASTPDIPLDTAS PVLEDEHERPYALRRYTSASQLIKPGAPHYPGHPVPLPPALHANFITSCIGVATFLLL WPPIIWLHWMGFETFQWPGQAGESAVMIWGGLAVVAWGGALYNAGLMVLIGVWGPTTS SVANLLAIGLVALVDALWVGIMPDLQTFVGVAFICAGFGGALWEGDP L198_03060 MVADAEVDILLHDIKLTQLTPLPDEEYPRLCEERKPDRGFYIAL GLVISVWMITPLSCVYLIWYTLLSSQPHSLTATIFAAYALTEAAFAVYLSRLSNLVQQ PAPPSNLSDETRTTLIQKVFHSGLRYPTPPRGLLAHEKDDIEDRDAIAERADADYQKG FISAAELYHIRDREYEETVGMRERRRVGKMTQPEQDVISAFVEEEDGDRERRLKEQIA GDVTRPTEEWGYEGIVKEGEIVKLHPWDRRAIEFRERLRTWFNNAPWEKIKKTNIQLW LAWSCYGLPLEDARATDEQANFLDVATRLLEARTGTDFEDGFSEGVEVMRLTLDPVNA RGRPLILYAVTNLINMALREVVYPFQGVALYREGDIEYLIRIPHGWTPEKGRTVPNAM PVVYLHGLGFGLLQSHLLIKHLIASLPTHPIMIPISPHTSQSMFNKRHLRPWTRAEHV GFMKTICRKWGFWDGSDTDRKEDVEAGERKRDRIGGVSLLSHSNGSVGHAWILKDCPS LVRRSTFVDPVVFCLWEGDVCHSFCYRKPTNALELLLYYFIASEVGIANYIQRHFDWS ENTLFLEEIPFAKDPRKTAFFLGGHDMIIDAARVRKYLERQGVTSGLHWDATAGHGDG LAGVSRDRVVMYVGTGSTSGWQTWLGKGRRRHSLGTNDLLNRGGRRRGE L198_03061 MSRVHISNHPLILSKLTQLRLHDLSSKDFREGIRAIGSMLVYEA SSSLPLSDVPDLRSSVAPFTGKTIPLRIGLSPILRAGLGLCDAALESFPEATVLHLGL FRDKATLQAIEYYSKLPSQVSADLVFLLDPLIATGGTAIAALTMLTEWGLAPSQIKII SVLGSQAGVKNVQDEFPDVEIYIAAVDDELTDKGYISPGLGDAGDKLFNTY L198_03062 MALDGPSEHANGEPCRKWYNAVHSTPPYQLETITHEGHNHPDEL HRWDCAQFWPLVGALKPWRDPEGEVGWDWDEFEGTVALCGMNKLIIGQCTNEHAWSVL SDIQIGKEDTAKLYSVAWTYHPFTCHPLVAVGGKTGLICIIDVLTKEVLRVLKGHGDA VNYLTFAPHHPHILASSSADRTTRVWNILGADAPHNIPIEELSQNYPMADADEGTCVV AVIAGEGKGGHQSYVVHCEFHPSKRAIATCGMDYKVKIWALPPFPDPYPMSLPTPRGY RPKVLHFPIFSTSRLVAGFLDWVGWISDDILVVRSGKAVVTWQWLSYPRYFREDEFAA LGTDPSYGDYSESGSFMTIARYDIGQEQWFRNIAHHRGFHPHPEDLSRFPPDKMVTET LIACASHVEEDFVAMSQNGEEKRVRNPGSIHMFNPCLAKEANGKPPEPYVTLRPRTPE LFRSGHSRKYMVPEEDIEGDLDYDSGFTDNPSLSTWLLQPATMTEPADVDKWSRQNHE YVGLLNVAISPRGAKWVVGVGSGLSLFVWKIKDSDNL L198_03063 MPPQVKTKAQKAAAAMAGSKAGKKKKWSKGKVKDKANNAVILDK PVFDRIVKEVPTYKLISQSVLIDRMKINGSLARRAIAYLEKEGLIKRVVHHNAQLIYT RATAGKE L198_03064 MFSPRAAVLLRPLAACRQLHVSARACSHENPLGIPRREANPAPT IPRRGAPPQKSRIKGVKQVVVVASGKGGVGKSTVAANLALSLLNSSPLGRAPRIGLLD LDIFGPSVPKLMGLENAGDPRLSDENKLLPLQNHGVKTMSIGYLLPPNPENDSPVVWR GMMVMKAVQQLLFDVDWTSASAIGKDDLDVLVIDMPPGTGDVQLSLGQLVSVDGAVIV STPQDVALIDARKGVGMFNKVSIPMIGLLLNMSHFTCSSCSTPHELFGSAAKFEKAAN DLRLEVLGKLPLVTSVSDGGDAGRPVMVQSSETGEEVRRTMSQVGEKVWRWLLDHTPA SLGTRG L198_03065 MDRTPTPLKAKRPGGARPIPGSRPSSSGNGQTGYSSGSITSGGL PKLSIPSGNVPGMNVDQAGQGGWHQSSPLPSLALRPMRPSTAPSSMSRPKLSLPTLSP NPPSSVTPPPKPSRPPLLSAPSSAQSYGQRSAPNLKLAIPGMNTAGPGFSAGHDYPVE PADDPDSLASALRTPIARDSLSLNMSSLSLSSISAEDTNPTLQARGRDYDGDGESSYG YGRMGNGLEGPGGDVLSAMTEDIRQALNRSRFDERSSGRSRAGSMKSVASNDDNKSTP GRSSVSMGGRNRSDSGAGSVSGRASRPHSSQGDHIPPNIDVAAQPVGCDEEAKKSPVF QPEDLQLIKRLGEGTGGSVDLVQDRRTGKIMAKKVITRTANPAIHKQLLRELEILNSC DSPYIVDHYGSFLTDLNSSIGIVMEYCEAGSLDSLLQNMKKKNMRCSEHVLGRVASSV LRGLDYLHQRRIVHRDIKPSNIVVTKGGAIKLCDFGVSGELVESLAGTFTGTSFYMAP ERIQNKPYSIKADIWSLGMTLHEIAHLRFPFPAEGEDQNVAPIELLSYIVTAPVPVMV DDPSVGRVWSDAIKDFMAQCLIRSGTDRPYPWQLLQHPFIIASEAKKVNMAKWVAALC GWPLP L198_03066 MSLSQTRTKLETFFWGKPPSDPKERKLLLKLDLVILSYVCLSYL DRANLANAYTTGMREDVGFQGNDYTYANSMFTAGYVVGQWPSALILSSGRISPRFWFP FCMIAWGLCTLGLAWVTTPHQVWGIRFVQALFEASTFSGTHYILGSWYKDHELGKRSA VFATAAQIGTLFSGVMQGGIMTNLDGKGGYKGWQYLFIIDFAITVPIATYGFVMFPGT PHGTKAFWLTQEERDLCLRRLPHVEQHKMTFGILGTSLKRLLTSWRWYLFTALFTVSA TAFEKVGVYTEFNLWLESAGYNARQISYYPSIFTATAIVSTYFLTVLSDATRNRFMVN PVMFVAVFISSVMLLNWDHLTPGGHWFAYIIGGFGYAGQASNFAWANELCRDDDVLRS ITLFTMNLGSNIWNLWYQIVAWPVVEAPRFRNGQIATLVCGAVSVLIAAAIVYCSKRW PPNLPETEIDHDRESVGGEADAKMRKDEEAGIAVEGVRI L198_03067 MSYDPPQETWSAPTYQPGAWGQAPIQQYNLKPDSGTDPQEILAD KLGYMELGGDKEGQGEEESEAAGDSPEPVDVPGLPKISKKNTVDELRDALQKMGLSPK GKKETLRAQTAVQAAALAMAPPPEDPSTPLSDSDNPWEVAFPSDSVLAAHFAEKERRR AERQQMKESGQKFRSFLCFDVEATCRGGKEFDWPNEIIEFPVVLVKWGEEPDEEGKRV LEKVDTFRSYVRPTWQPVLTDFCKALTGITQEIVDASPTFPEVLKELEVWLDKWDLRG EKGLKDAIWVTDGPWDLRDFVPKQLHITPPDPYPNFFHGPYLNIKHGVQSVMSEINRR RVYADAHPDNAANERATMPITTSRRGGKWRPGAGAEGYGAAVARAFRSDGAIPVTAPS TPTRSGAPDAPGSPSTPTGQTPPRPRTDYYLNIAGMCEALGLGEFEGRQHSGLDDATN IARILIKLSEKNVIFEANGLIQPTHSGKRYAWMGESGKVIWEDWMSFQKPQEDPVQKK ELDKQRNIEEAKRQKEEADGKKAEKEERKKLVEEEHAANRAAKAAKAAAKDHTEEIWD DEEGYDEDQEYQEQPRDEGPTDSLVFVPRAVSRGQRRGSANRIRSP L198_03068 MTESNYDYLFKVVLIGDSGVGKSYVSSLLSRFTRNEFNLESKST IGVEFATRSINVDSKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKHQTYEN VQRWLKELRDHADANIVIMLVGNKSDLKHLRAVSTDEAKQFAAENGLSFIETSALDAS NVESAFQNILTEIYRIVSSKSLESSGDVIKPSGGETILVTPTADDGGAKAGGKCC L198_03069 MLSRTARSLRRVPISARPTARCFSSRDLIPDEPAGPRVVTDTVP GPKGIAASKAINTFQDPRTHVVVPNYELSKGNYLVDADGNVLLDVFAQISSIGLGYNV PALLELGRSDEFVKAALNRPAIGSFPPVQWADWIKTGLLTVAPKGLDQLVTTLCGSSA NETAFKCAFMAYRQRERGGSDVPFSKEDMESCMHNHSPGSPDLTVLSFKSGFHGRLFG SLSATRSKAIHKIDIPAFDWPSASFPSLKYPLEDHVAENEAEEKRCLEEYEEILTSSE THSPVAAVIIEPILSEGGDKHASPEFFRSLRLIAKKHGAFFIVDEVQTGVGATGTFWA HEKWGLKEGEEPDFVTFSKKMQAAGVYHKKETRPNAPYRNYNTWMGDPIRALQARKMI QLIGENNLVSHTATTGSVLAASLSQVFSSSAATGKVANFRGQGEGTYLAWDMASPEMR DAFVGKMRKAGVQIGGCGEQTVRLRPMLTFGEEHVEILAETVQRVLKDL L198_03070 MVAVPAQWQEVSRAKIAARDALIPKEWIIPATDARSVIHIPRTC GVLSDKEIGITETPATALVESMLSRELTSYEVTLAFCKRAAIAQQLTNCLTEIFFETA LRAARAIDDNYAQTNTPLGPLHGLPVSIKDNFYVEGVDTTVGFISWANDPAKKEQESE ITKVMRECGAVLFCKTNVPTAMMIAESYNNVWGYTSNPYNTSCSSGGSSGGESALLAL FGSPLGIGTDIGGSIRIPASMCGLYSIKASFGRFPTYGARSGLPGQEAIRSINGPLSS TLEGVEIWAKSVVGKKPWERDPNMLPIPWREYEAPSQLCFAIILDNGIVKPTPPVTRA LQETKAALEKAGHKVIEWSPYNAEQATSLVSRFFLGDGGVKINQILAAGEEPYPEGLA AYKARFEALKNDPPLVGDLWDLQAERTSYCKKALDHWLASKDVTGTGRPFDAVISPVT QHSACPKKAYGGHVTYTSMWNITDYSATTFPVGFVDSAVDKKEECEPRNDVEKSVWDR YDPEEVSGAPISLQLVCQRLEEEKAIALTGVVAKALKKTKV L198_03072 MLGPIGHLPRLVRLILLATALVVLPTLYFLYPSPERLPSPGEWQ AGGIDSDHWRSPVKPAFGEYELEEGRGWDDQSGVGGSRGWVKGKKKAPEIDQDVIHGG VIMPKLGNATAKAELGRSAWRVLHLMTLRYPEEPTEDDRLALKSYFHLFSRLYPCGEC AQEFQKLLKEFPPQTSSRKSASLWLCHVHNQVNARLEKPEFDCLTLDATYDCGCGDEG NSTSTSGLVPDSDRNVVKAMTAAVNDAGILGDGGQALQGEFELAASAEGVGLSAGQVD ESNVLDKEGGELDRQDQVGELEWRKSQNLKQMEWDQEKAEHGVD L198_03073 MAAPGTIITSIPGSTPSGPAYQYNVKMTCTGCSGAVNRVLAKNI TAPNAYHISLPKQLVLVWGPSLPPFETVTEKIAKTGKVINEKAVVDDASTLPALEASS L198_03074 MAPTKGDHQEPAAARPAPAFTSSLQAEEADFPRGGGSSLTAFEF KQVREEGRKEAEEEAKAQASKGEKRKRQVSERQTKRLKKNEDAKKREERDKEGIRVEL LNYKRLATGTRVLARVHTILPLHLILSLPNNLLAHVPITEVSNTLTQLLRAEEDAAME DEEEDESDDESSAPDLAQLFVPGQYVPAKVLTLYPTASQSFVSQYPVTETTRLAARVE LTLIPEKVNSEVAKKDLEKGYLLTGEVKSEEDKGWTVAIGLDTEDGGSSVEGFVSKDD AKKSNKTLIPGQLVPSTISSIAAGGRLVQLTLNPTELVRSQVSEVSTVGSLVPGHLVT ALITAVVPSGLNVKVAGFFDGTLDFAHLPLGEDDVEEKYKIGKKIRARIIYDNLSADP RSFSLSALPHVVDLTSPTQEGDSTPLELAIPIGKIYQSTKVTRVLNDWGVMVRTQDGL EGFVHISHLADERIPVLSKAVPQFKPGTLHRARVIGHSPLDGVLLLSFEQSVLSQTFM QVTELKIGQQLKGVIRKLTDTMLFVNIHGNVDGIVQPNHYADIKLKHPAKRFRPDASV KARVWSVDPIRNRVVLTLKKTFIESDATIPQSFEDFKVGLVTLGSVLKIVDKGIVVEL FGGLKAFMPHSECSQTFVKNLNEAFHVGKPLSIRVIEADPETARLVVSAKQASASAPA TAAEKLEVGEAVTGVVSQVHAEQVVVKLDGSGLTALLSLSNLSNQKRMGIEELRESLK AGDKIEDLVIVSKNPVSGLIIVNIKKTPSAKKTKTKEEKAASGISANVKAIDSIELGQ ILEGTVSDHTPNGWMVKISHSIRGRVHPCDAADDLSLVTAQKPLSVGKHVKCYVLKVD ASKRAIDLSTRPSRVEGKEDVVDKEISTVGDLKEGSTVRGLVKNIAGSGVFVSLGRNV TARIMIKELFDEYVKDWQSRFEVGQLVSGKIVSINSNTNSIELSLRKSPTRAAKKTAL LSLSDFTEGQKVVASVKKVEAYGMFLKIDGSNVSGLCHKSEITDNKKADVAQALKGFR EGDQVKAKITSIDIEKNKISFGIKASYFGEDFEGGEDDEEEEEADNDVEMESGDELQL DQDESEAGEDDEDEEEDSEAEAQDDAEDDDDEEEDDDDEELQPEASTSAPKTALNVAS FDWTGDAPEAGPSDSESDSDDETSAPTKGKGKNVDLTATAPSDRPSSTAEYERALLAS PNSSFLWIQYMSFYLQLHEIEKARKIGRQALEKISYREEEEKLNVWMALINLEIGFGT MSSAEKLFEEASQYNDKRTVFMRYADALQAAGKEDAVEEVFKKIVKKFSAYPESWTRF AEFYLLKGDADGARALLPRSLKSLDKSKHVETIEKMALLEFKHGDAERAKTLFEGLVD RLPKRLDLWGVYIDQLGKGGDIQGVRGLFDRALNQKLTSKKAKFLFKKWLSLESRIGD APGQEKAKLRAKEWVEANSKPVTGGDEESGSDEEEE L198_03075 MAFTTPTKTPTKRPAFAAEAGVGKPRPTGASPAYSSRRHSLYGI EDRVVLDLGSRTWKVGFSGEPDPRAVFFARGSSIRDQSSEAWDLDLDSVNAIRGSRSE GDRLIGIRIVERLREIFAKHLLADSKQRKVIVAENTFLPTYLKEHIARTLFDNLRVPS VAFTPSSLLALGSCGRITGLVVDVGWLETSITSVYNSRPLFSLSRSTPLAGRKLHTRV RSLLHIYGSYIAPPKSLGDLSRDRSEGVPLVLLDDAFVEKVVTEGLFVGGVFVEPEDN DDAEMKDPGDIDEAKSENLEDLHLVRSLKGRYQPSSSAKDMTFSVRPPTGVTTMGYGT IMVPGWVRERAAEVLFEDDESDEAEALPHAILSSILKLPVDLRPELISTILLVGGTSS LPNFIPRLRISLLQNLLPAPSLSSDEPPPPPSPIGTLARKLEDAKLWRHRKSQPYQEI YGLFDKVAILNDPAPVDGAGNGKGGRAPRWVPSLMTWVGGSLAGSLRTGGPEMTRETY DTLLSTSLARGEVYREELEEAYASVAASVGASVEDLRVGEAVKDARGLGRRRGWRYGK GVIEDWSQTVKV L198_03076 MASSPLPTMPRRASSSNSSTSRKGLPSLSRQSSANSSPSKPLEG IPRRASYHSPRPSISGMPSESGSLGLKLHGSPTPGKTSPPKSNGESSVPTISFDPNFT PSPRSSPARSPLSPPAASSRPMARRNSSGHVRGSASASIPFPSSASTSELPAPPIASP SWRPSTIRKKSGELVKPSLKLRSMSTPDLTRQAEPESPGPHGFGEERSKSVRFADSSE GDSSALENVVLFLREQKVTAVRKAIDPDAHMTETETEGETDASDFVQFRTRKNAAAKA ADEANQIQLHGASRIPRKRTDFSPDARGSLTGENVILERIELQTANGLSLRGSVIVRN LAFSKWVAVRFTMDHWQTVSEVSGTHVCHIPSGTTGDEGWDRFSFAIRLEDYKRKIDE RQLVMCVHYSVEGKDWWDSNNGINYNFTFKKSASRRASRTSGPAALGGSFMRLNEPST SLPGLRKNTATQPSLGIKKVFGSRPPSGLNDWVFPKLSQRVQEPSTRPDSPLQSPPPK AYKAPAPPTVHTHLSLSKYCAPSPPLSPPTETFPAHSAVVQEVSRRGSMGVMSGGYAT LEPPVHERRSSWNGQESSKTYEEPRESGDKTPVAMQSPAVEVQKESPASPHKPLSAKR SVGDLRSLVQEAESDNGLMTPPSSNLSSPPTPRSDLPPELTSSSTGDSSPMNTSTEST PDVESMAIDIGPGAEPSHPKDHKVFSTGSYQEFLDKFCFFQSPRATPSELAPNNRPSY IPLSGNQSPNGFPFFHPNASQSPRTTPTPTAHYATAQEAFGFKPASQDSTPTKRDGFR PMAHPQPVDATLLTGYHANPADTMAWAQIHGNNSSPSLAAAI L198_03077 MIFGLLCRWSCNVCSYLYPAYASYKALSQHPESSPEAMAQVERW LMYWAVVGTWTAVEAVIGWTFTWLPLYSFFKTLVFLALSLPQSEASSYVYRAHLAPLF NEHERDIDHFLASLRGRAGGALIEALTWAWNKAKVQLNLSTPEEQMQAAAMLAQMQGQ GRPAGDNAHPVQGGQQPPTLNDPASGALQSVFRFASKYAGNYLPVAVTALNAARSQPT QPGQSTQGAVHSRSMSSSSGASQVTESMTMPTPVPTGAVHREQSDPSLRSRTMAYAAG PGSSRDGSNPNLNDVQQQYGSLSSARAPPKGFAVPNTNSRSVSTPSRPSANSSSDSLG SKYEGYEQIGKDEVRGMEDPNRPGLEGRKSSWFGWNGGQPGAGSPSN L198_03078 MSLKDEIRAVASSMFDEMLDDLILTTAISAHREIKRGRAICGTC GTKCRSHVPVLPSNPTSSSSSVQASRAEGSGSSSRAQTPQLASEPGGRTGGYAVGPEK GTGGATGIGSGSGRMDSNGNVFFDCLVCSRPIASNRYAPHLAKCLNLGGSTRRVAARS AAVKARLGTGHDRSSPSPYLGSDGGEWTSDADSTSSKKKSETYDSSAMDKTDWMRAET ANGTGKRNISPTKPAPKTKKAKLASAPTTPASTPQFPRQALPPSKLGRPPTNRQPTFN SSPVSSPEKSVISVASSSTGGGGGGGGGGMTGLKTLPGVRNDDSEFLPDAATGDDSSE AADDDY L198_03079 MSLAVPFLLAPSLPSQTNDHALPVQPTHLVSWTDPSGAQHTALT GEDNTLWVVSAEIRKGPKIPHPREESILPDLPTIRSIDSPTSPRHSRSHSRPRTSQRT PSFSHRPRTISSSSLSTIGSSKRLAGAFSPPLAAHQLPTATLSSATAAAAPHDHLAHT HSPSDLSGSSAERRASKSDLVEHLKEHQEKDRNGRNPSGLVGLGLRRGVAGVYGQAEH SEHSGSTSPKSSLSLALSTSTNETVSGSSKMFRFLRRGSDDDEAESRDLDERMQEIQV ETEMEKERKDAQRAKEDMGRIERAIGKSPRVPGVDEGKSHAEEHGCEWGNRKVWRIVL RQVGRGKIVSLNAYLDLGVLAVLRDEGLLDLFSLDGLQSVASVDLDAPLSSPSSGKIP KLDNYWSWRSLHLARSDGKFMLLAHGLPWPCGVPGPNGELTRVIALTVDCQEKEVKAV ARLELPGEGDVGVCRGSENYLLHATPTSLMSYPVIFAPDDHPSKSPLGQQARHVSAAL PSRSSTPNIASSAGSVIKSRSSSNLTSDPSHHPSPDTSEKEKSIHNFLSLPNGPHWPS RKKEPEKAEEALPSAGLGEGREVERDGYGHWERMVLGEGGEGEGVGWSEYGVDVFSCD GKSMQVRGSIPVQVKAGETLDIKRVLFSPRWQQVALLDKEGKLEICVPSADTLPGNRF NFARVLCQQDIATIYISPSSTLWTAGASSVRTINLSSLPCAGESDMIERKTCLKLLAP DQNLRVDKGEFSHIVPYGLEDAFLADFQGNILRRKLTSIVSPSYHSASIKSNNEEDEN DPCVDRLDAPVTCMKILRASSPGGERQLLAVGDEDGVVRIWTVEDFTFQGSWTLFAWP VESFAVLDKAQAGPLQNCLLAASRAGTVAVLGLDEMEPRFTIPAARSPLRAIHVSNRD ILLAYANGKARVWNTTTHEFRRSTGLSAVEDMLAAGDWLEIDLHCSGKEEPISLSNVG GNVYSGLGRLLILDLRLLSKWLHSAKNNPSHSPLRALRMLLSVFLTWGINDGIDRICE EELGIGRLENGVVVGCGDESYKLAYASGADVWRASERVTGLRLLTIVALLRPFLNSPD MEPRAAEVIAFYTSSLPATIVEPDLQFFAGYYMDLSVDVQQAARMLFASRVSRLSESD VEELVELIEHDLPVHQSGTARFSSQAANALTVLGGIALQRYQSMQISVLKALSESVNL FLHDPKGLHLSLAVEICSKGFTTWQTYVDPSNLLRRLFFLATNKEPPSMSPTILALST TVSAQARLAVLHVASSNPPLFMSTLSMDILDAKTAESRSAIMKLCVFMARKKPAVLEN GLPRIAEAVVKSLDPNLGKMRDDVWQAATVILNELVLAFSTIDFHSGTQRLAVGTHEG AVIMYDLKTASRLYVLEPHKAPVSAVCFSADGRRLLTVSLEEGLVTVWKVGSSLSGFF NVGGPPRQGGEKGEPFKKIMFRRADDQPLNSTSALSDVQITWLGARQARVTIKETALT FET L198_03080 MTSRLKHKLELDQVNLNSAYLNESFVQVGTPLPALANTKKDKLE YVPEWKQEVTDEQGRRRFHGAFTGGYSAGYYNTVGSKEGWAPSTFKSSRSNRANKFQR PEDFMDDEDIQQMKDDRRLENTDTFKDEGFAGQREPLADRNLPSALESLIAPAQSSIG QQLLQKLGWRPGQGIGPRVTLRRLRIQEGKLGKSRLGTTNENEPMDEEVAGKHTFAPR DVRLLVYEGKGDKMGLGYEKGKGLNRLPSAAGPRFLNEDDDDPYSAGPSGSHYAFDNH EQPEDEIITLGPKAGLGSSTSRPSSTSVSHDTWHDGRPILPRFVLDPKGVSQDTWFPM PEIPADWRPRPARVWGTTRKWDEQPGEKKMVRPSIRGEPGRPLRHDQRGAALGEETRI SQAQSVFEWITQKDKERLASLSSQAPPPPPLSLQPQDEDPSAPRAPATSVEIPPLSPR TASAALKGYAPYGDDEQKQERYKSYLLSQTYNTKQPNPTLLPSGSMDDINAELQSFAE SARIFKPMPFAMSSRFTAGSSSLAASDLKQAKPGLHIFDAEKAKAAIEAAKAEEPAVE QKILTPREQAAKDGNWGRATRESKVWYPVKVVCKRFGVADPHPEGPPAEQSAGASSGK GTGMEGMPLPSNDASWESKFIHKPTSPSSSQEAPPQDQVQAGGERQPTNISEVGMADD INQGRDTLTYEKPSIDIFEAIFADDEDDDEDEDENGEVDTKEAEVPAGRELYQDPFPP PKAPSPREEKPVDLATFKPVFSRKRDRDRDDEGSKEDRKKDKKDRKNKKRKSQGALSF DVGEDGEEDEPRERDMEKKKKKPLREEKRERDRKNEELREKIEGDGSVEDTHKKKKDD VEVYDEGDWIEKPAIVPRLAGRKGAADFM L198_03081 MSLLAASLAGAKYQVSTNEERESSTNGAAEFQRRKKWPEVLLKE LVGCAVFCIKPSLSPDSQQFQNGEAWSWRTVYASPSVTEMLGKRPNELEGKDFLDLVL SHDRPQLESFFNTLLAPPLLNINPQTISPLTSTYLGESHTTYIRMSSRAPPISRSNSG TNSGYNSGSSYYGTPSGSGRVEPVVWEIKGHATGIGEDLTEGSGGGDTLRMGADGTVI PGAGAESSGKHKAIWLMGRRVGEGATDEQQSHDAFMEVKLENERLQAELRDLQIEYGV DPSERLYRGGDAGSDASSPRSDTTEPVTDVKRKGKAGRPPKSGIKPSALGSAKKQKAA GRDGVKDGETMYVCVTCGRTDSPEWRKGPLGPKTLCNACGLRWAKRNGANNKKPPKP L198_03082 MLASTLLSLLPFLAMSSATPQIIPRSSSYTLPVPTSQGNVTYSS AQSISGTFDGELKTYGRGVSCTGQAEGGNSNAVFLLEDGATLKNVIIGEDQIEGVHCL GSCTIENVWWVAVCEDALTFKGDGDGSVIGGGATGAEDKVIQHNGIGDVTIDGFTVVD FFGELYRSCGNCKNNGDTRNVNISNVKAYNGKVIAGINSNYGDVATITDTCATSVKQI CTEYEGTSDNDEEPTKLQSGVSDNCVYTESEITTC L198_03083 MPRLPLAPLGPLGPLGPLAPLGPLAPLGPLRPLRRPITVHAPPD YSGQAIITQPPSDSSDHPHYQDTPGLVASTSLPAPPQSPRFTPRHLQHPFDTHAFVSY LEKNGLDRPTARDLMESVKDMIIKRGKQTRGMMVGKEEQENAAYLFNAALSELRTELS VQTRNDGLALKAVAATIRRDVEGLEQKIKEDIQTLKHDIEMDMNNRKAETRTEMKGFD IYIEEINNKFTISLGDLRTEIESVKWDATRRAISVIILIVVATIGSVTYFTVEPPPAK AKLAAPAKPAPVVKDMGVGTYEEFIDDVPTYTEERLDKLLQEQGLEVDKLNKEKKEKK EAKKRSKEGVYVDRI L198_03084 MFGSVFSVSGPVVIGENMRGCAMYELVRVGHDELVGEVIRIEAD RATIQVYEETSGVTVGDPVLRTGKPLSVELGPGLMTNIFDGIQRPLKSIQEKSQSIYI PRGINTDALSREIKWDFNPASLRVGDHIAGGDIFGSVYENSLVDNHKIMLPPRAMGTI TRIAEKGSYTVDDIVLETEFQGKTTGHTMMQLWPVRAPRPVAQKETATYPLYTGQRVL DALFPSVQGGTTAIPGAFGCGKTVISQALSKFSNSDIIIYVGCGERGNEMAEVLADFP ELTLERDGREEPIMKRTALVANTSNMPVAAREASIYTGITLSEYFRDQGQNVAMMADS TSRWAEALREISGRLAEMPADSGYPAYLGAKLAGFYERAGKVSCLGSPSRNGTVSIVG AVSPPGGDFSDPVTSATLGIVQVFWGLSKTLAQRKHFPSVDWNVSYSKYLKVLDPHYE QHNPGFIDLRTRAKEILQKEQDLAEIVQLVGKSALGEGDKITLEVARMLKDDFLQQNG ISEYDRYCPFYKTSGMLKNFVAFNDYSQRAVETGDFTFAQIRDAASDVTYKLSQMKFE SPNTQSEEDIVNKYEALYNEIGETFRTLQE L198_03085 MLDLNRLVKDRRTAKITDKAIQECDLDWELPARPTHDNKKRGKQ VGAEQKELRIASQTWARQEPAPREPITRLVWPKDADLNDERHPFQTGGPFPEDDAGEY YLDGEMRRNVLGGESASQRSTWTLGSIIGKHSNVDNILVFAEDKEDLDWAFEAGIMPP PHEVLTVICQPSFLDTTIIANGVESVTGSRKRNKKAVGGSMNMAFMWLTFVQDFLPNI PGAEGSSAFGKDLVTQFNMVFETTSFYKTINKTPLSEYLEDWDDFNYASESSFKATMK LYDLSRVKFKVIVSIPGVHHGASSERVGSGRLQRVLEEEGWMPREESRMTMAYKSSTL GKYRLAWLKNFYGKCAGLSKDDVPPDFHLRILYPTVKSIPDGHTNAELCCMCSCFGFN HVTRPLFRDVKPKRPNLINAKTISAVFEDITPVDVLPSGSSAPTRPPGSASGWMYVGT HSLYASAWGIYWQKAMGGGIKETRAKIFNFDMGIVFPLSSNPKVAAYQAQNVVPFQLP GGEYGEDDIPFVR L198_03086 MNAPAPPPPPPARPKRTHARRSCATCRLRKSRCELPDLDVPAGP EPLPIDKACHRCKVLSIPCIVDDGNRKRKRKEASASTPDAFASDSASQAGPSTAKRRT AKPQTSTLSQSTVHQSDNSLDIVNSLSSVPGGPTPTSAEDLVENYERLKRELGARGLD GESGKAKSIKLHGRPLELACAMLRVAYGRNDKRGRRVRLEDDEVELEDLVDDDMRIRV RKGVAQLRTYHPHLDDLDSMMVEYRRNPEPTIALLIATVVYLASAVLPPDVTVHNLRN ALDAYIYQRRDSLLLHHPHTFFALQALELFITHCPFGVLPLQPTNLQNLGVAKGLTAA ARAISAQLFFEQLVQQLLNGPGIAHNFYCGDIWLWLSLIASEAAAMLEESNPHKPKQL AEARTITDSFLGMTDLGSCLWQDGIGKEDMSVLVGKLALCDKVARLEEVLDGMARIKG VLEHSTTDSNVDPVRGILTEFDEYTRRTDAIDQRHDSMMSMLREYSGNIEVGSMSYRA IRRRYEFSKIHVTGLRALIATHYLPGSLYAYPDLPAPSASHSAVSYAISRACNPPDIV RFISDTNHNGGATPAVQAVWEWGRKRGVNMERNLTACSELAVSINSELHGVASTCVVP LHETICIAVESAKVLMEMEAGTIHILRSNNQLYKAFRPRSWLDAMRDFAQALRAVSVI IHDDGLGGETVASGASNLIGSMVRTAEDWTKSLEQEAARPLEPMGFPTEMTLDSVHAL ARQRQGHGNGVSVPQQGYMDTSDRWMASSEQELSSTSRSQSAQGSRPTPPTVVGTPNV PNVQQVTPLDMLLSEMFGYSCNPPQPPSKVEMDAK L198_03087 MKLSRTLEDVLGLRGLLAQWDSVNWDPRAEAGTGCLGREVEIQM ELRLAYKHESRFSLRELDSLLDELASDNHFSQLSQVRSQTRSPTDILIELYRHVKLSP YALSVLTQIILQDLRPLLDPIPRLEGGRCPGSYLRIKSNTRPKQLTMRGAMMGWDPRM WTYYKGGRGNMDTCADLAEKLAATNASVAAEPKVGVNVEITKCRQGRSISDALKPFQS GAGRQASGAIWAETKYDGYRMQIHVELVGDKPKITIFSKSMRNSTDDRINSHAHQHSI ICYALNLPCDPKLPKHSSFAPKLASLQQSGQSRPHSQMKTSVILEAEIVPYNEEDREG GRGPGIEEFWQIKKAGVGAPSATSSASGRWDNQSRHVCLVFFDCLHVDGRNLLHTRYD ERRRILENAIVPIPGFSMLAERTKIRVALRPDHLVQRDLDDLFNASNLAREEGLVLKA AESTYAAMQWPWVKLKKDYIEGMGDCVDLVMVGAGWDVDRARELRVDTSVFTTFYLGS ATSAGEHGKDLPHIKILFAVSYGLTRDMLEYYNALIRMERWKSRPFDKDDPLKKRCMG LSYNYTFEKSLSSLPSVLFNEPLCVEVMGAGFQKIPGSELFELRWPRLQKIHEKKDRE WTEAPKSSEYVAIAHASLGWQAAHPDSHPRPSYPQVSPSRQLRRRRHSPAPASSSSTE WLDNHWHSCSTLQLIDKPNFSFTPSPAKRSNSAPELGQGYEAGKETSQEGSARFKREA TPFDKMGSPRESPLEQGHSPTKSPLHLRGTTLNASGKTNHGPAHKFWDAISRGSKNNR AANSQMDGRGLSSAIVIDDNEPPALRSGMSPKKRRRLEPPVPIKAHKEVLQPAQPPVW DGGGAESWSSVVTSPSQPARSLPAIERQPLSQVQQRDHSAMSNMATTFMKDPARESIK AVKKQATDKDANQETKKTWDKPQTLLSRWRERKKREKAALAEKAQVQKELKRGGDLPQ EQEMVQPPLAVLS L198_03088 MEDLSSLSNSLREILSAPAQDQESLGKVLKKYLDTDDTNVQQVV CEVILEVLHEGNAQTQKQRRTLLLEDHALDYTASILQVTHSSPEIVMPLIQLIAIYGK PREVLMAFSEALQYVVDRAEGFEVSDGEETDEEESNVNYDELWVEWQMLIMGLTIAIP RLPNTRSTPTLLSLQELLSSSIFSLGAHAPSIWPRTAILVLCRLTDTLWRWVQTTTDK NGEQASILSNILFEALAILGPKADAELTERWFLKTFPKYSQGPQVSTVSQDPAMDRET PQGWQQAAMVFNQALRVVEILNPNKPLSDRVFFSSSRSTYGTFAAFNLLASTIPDGKL NGLLPDPLPSTTLEDLMPVLCAALSGTSVDAGIVWLWWIVNESQNGKKGALNVGYEEV TMLLELLVPLTAQHASPTVRLALFKLVGTLVSLQEGRDKVLALRQLLEPENPFESVRV ESLSILRQETSNSPEILNTDFLAQLNPILFPAPVPNDKTCPFSLQPEDLLRNTFYASW WTEVAQYVWFLSTRDKENATGIRSVYKDIVTRWLSNTRRQLNKVEEYVKGLDEATIAE VTGGQGADFLLAKWSDALDRATSSVSL L198_03089 MPLFAETAAPKVRQSKLSKRAIQALKRKREEEVATSEEGVMEGR ESKDAKAPSPKKHKLQLSSTGIQRSRMFYGKPYQVAGKHPSRGLPPNHILNTCKPPSD EMPKDLDCLKLLAVIFPSMLTLEEGRAPKVKGSNERLKRMAGIAREMLVRHSRANYQR ILKDCMVKLEKEVRADSKTPYPCLPPDSANEDSSIPVSQNIPSSGSELPKPPTSSRPA SGRNGPEPPPPLEPKTQRQVCWFVRRVIKALFSTVILGSQHNQDVILASTRRFVTISQ HETISIHSILQDIRINDFEWLALGEKGKRVNQAEMEKRRMLVVDLLKWIFEGFLIPLL KNTFYITESANTKYETVYFTHDDWSHAAKPHFEGLKEKLLESLTQAERIVAESRKIGV SVVRLIPKPTGFRPIVNLGRPVVTGDNTRPVSKWDMKSTNDFLRDPLLILNYEKTRKR SLLGGALFGTNDFVPHIQQLKSDLYKRHGSNLPKLYFVKMDIKAAFDTIKQDRVLEIV EQILDQDHDYCIMMYGALLPPGNANRSKAPRKLYRSKAVADNMVHPTFADHAQEIVQS MRNAAMVDLARRRTVKTADVMRLLREHIKENTWQMGRNLYRQKTGIPQGSKVSSVLCA MFYSNLENKHLDWAQQKYSLLLRYTDDFLYITDNPQLAARFVKEMFRGFPDYGAHISP AKTLLSFECVVNDLKLPVADVNQEGEVEFPYCGFLINVKTLELKQDYTRMIGHPIKHS FALRSMSKKHSNLVTWLCRQLQNRNQIAHLNTALNSLDTVLFNVGTNFAFAAMKVVVY HKGHGIDERLHKHIFKSLVVAADYTYFAGRARVKHYAQVQTVDKPREEVPVRKSDFD L198_03090 MFRRNFKGAYRVHAKKDTAHRCLALIVDAPPLPIRSPPCSPLSV CLETVSDGNRWMQHGAPNVSTFLINYRVGDIVDIKANSSQQKGMPHKFYHGKTGIVYN VTPRAVGVIIYKVVNGRYMEKRVNIRVEHIKHSKCRQEFLDRVVSNAALKKEAKAKGE HVDLKRLPAQPREARVVSIKNNVPQTLAARPYETYI L198_03091 MTAAPRKQSKTYKVPKRPYEAARLDAELKLAGEYGLRNKREIWR IQLTLSKIRRAARELLKLDDKDPKRLFEGNALIRRLVRIGVLDDDRMRLDYVLALKTE DFLERRLQTQVFKLGLAKSVHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDYAL NSPYGGGRAGRVKRKRAAAAEGGAAGEEDEDEE L198_03092 MGSRDRPKSRDRDSSDRHGHRTRSISPRREHGRGDKRRSGSRED EKSHRRDSHSRRDSDRRSSRRERRREATSSSEEETLDLKEMGVAEISESDDYLRYPEF KRWLRDDRGKYLDELPTESGQKYFRRFVRRWNDGALDKRYYKTSSSRYRTDGFGDHSS VHSREGTEGTAFPSVRPDHRVTSGRPERRDAVPVGPSLPSSLRPLGPSIPSAADRQFA QEASRDARTLERKAMYKDQARRADDEVPKSGGREGRIEERRAVNAENRLHREKDTTAG LEVDDKTLMGDSNSFTAALRRRDEAEARRREKKEYRDQDQRAAVSERLSERKTKEDAT MEMFKQMAKQRFG L198_03093 MASDERSHSKWYLHHLDTHIQASLKATLGNYPNPSLQVPPSDIP PSNAVWTSPEKNAFFAALSRYSRYRPDLIASEVGKSEIEVVWYLEFLEKEKVNMVNKE KRESRMKELKGSSKWREGLAPAAREVSDKWVEKEEELASGLHEMLEEMAQEHELGLSR KRKREAKRSIIQAAVIPPDTKSGDKTKFIDDLPEMQKLNQQWDAEDWLAVMDPEKLFE LDDCIQQVWLAESQEELVRNAEPDGAEDVPSPSAPSTVSKTAIKVASDLRTMSLISSI PPKKRTPEQQRLFTAARNRQRGREQYRTKKLLVEGMTEEDIRKAGGADAVFAQKEGQE LPMDVGRGARQRPEIRGVHDHVNEAGLEIFAFTNMARFLNSHEVPSDTSNVSLPVLQD LREELVVHLKLLLYDVITVAEKERLQDQSLDDVRNEIASNHVQQALALRGDLPPSFIV DPALSKIQPVKTGPTTSTVIISTDSAPDTDVDMSGNDTEGTISEASEEFLEGYPENVV PPTGLSWSLLPHLRLISETRSNGHESSAATDSDDDEDESLYEEDAMLDQALEEVDLDH DKLYEKDLWGVNQEEEDKDDELDDHADVWAKDPIERSTHEQGKSRRQSSILN L198_03094 MPSTYPITAEQAKPAKVPGAFRGVVTGAGHSSVFLSPVQERRIL NWREGASTVAPSQKTKSAPPSVGRRSSKGEGSLAGSCAYSCAECQAAASVSAPVTSCS CTFHSVQSRTSHKLRKKKGPKSYYSNRTAPESSNMTPTLPAMMTVRPKTPPAGSRPPK LKSPGYNQFVESQGQAPSAYGLGMGMGSGAGAPTQWVAPQSNPMAASKPMTAPKAELP RVAGVRDPISTAYFRRMFPPAPGQVPFGGVVMPGAHPPQAFGMPAGGPYSF L198_03095 MSAVDKSHPSAKAPQKTMLNLGNLPPKLKASELKANANQYPRTP SRVNPNQPPWPAYRGYHEYSFAHATMGVRLPTILGKAIDDVWKTINQEYDEDRIVDLI NCIKRLENLMDDLQGNSKLRPIIDDGAGDIALWNKEIAKFFRGKDFMNAPWLFAEAYK YRRLRECFSLSKYWVDYDVFFRQKCDTFSRSNTAVFELSQRFQEPRTHAADISAEEKI EKDKLLFLELTQVCLWGNSTDLSLLIDMTEDDIKKLQSTGGDHLAATEKNILGNDLHK LADYVTKLKGGRIDFVLDNAGFELYCDMVYADWLIQSGICNQVIFHGKKIPWFVSDVT KKDWDWILNSCVYGHLFEEASDAEVESLRTLGHRWKQYEKEGKWKYEAHPFWCTGYTF WDLHSEAPDLFQHLSDSDLVIYKGDLNHRKLTYDCHAPLDTAFATAIGPLASEAGAPP VCSLRTIKSDVVVGLPSGVGEKLDEEEPGWKISGKYAVVLLSEGRKGEAPVFVKD L198_03096 MSPSIPQPFRGESKDPFATPSELSRSEKQIPENDSQDAGMDTIE KSTQDKGKGKQDKVRGKGLTEGDNDMPDLPENNLKLVMPSLALVMFLAALDQTIVATA LPTIAEQLNASPSEYSWVGTSYMLASTLQTPINGRVSDIVGRKPLLYAAILIFTVFSA LCGAAKTSTWLIVARAFQGLGGGCIIGLTSIIASDIVPLEKRGAYQGFLGASWGVASV LGPILGGVLTSKASWRWCFYINLPTCGVALLFLVFTLKLNPTRKLTLAEFRASFDFLG LLLLMMSSALLIVGFSRAADFGFDAPSSYAIIIAGGVILILAVLNFLFTQRNAIIPAR MFKNRTTLFFLIGSLLHASAFLPSNYLLPQMFQGLRGDSPIQSGVHILPYSIVVAWMT VVTGQINSRLRIIRPTAWVGYAFAALGYGLFYKFYTSTASYATQEGLLVLAAVGIGSS LQSPMLILQAAMPLKEMAAATSAWSLTRMMGGSIGLAVFTAILNTEMRSKFKKIDGYG TEFTVPESASGYSALQELPDGSMKEQVMAAFADSFRLCWIIGCAFFLVALALTLWTRS YSTRRGQVTQVVPIAGDETALPADVDVEAQGDKVTSSPGLATRSLTKLEK L198_03097 MSCSRITTCLPASGSNIHKAELPDNALVLPPTSQLQSLLTIIRD EQTQRGDFVFTSDRIIRLLVEEGLNHLPVIPKKVVTPVGKEFEGVAFQGRICGVSIMR AGEAMEAGLRDCCRSVRIGKILIQRDEETTLPKLFYAKLPDDIAQRYVLLLDPMLATG GSCIKAIEVLLDHGVQEEKILFLNLIASPEGIKKVCTRFPKLTIITAWVDEGLDSNSY IVPGLGDFGDRYFL L198_03098 MTGSVCHLHAFSLSPSGHVAAACPAADPTCYNCGQPGHLSSGCA QPKNKACYTCGAEDHLSSACPQNPNAGGGFGGAGGAGGECYRCGKPGHIARMCPESGD AAGGAGGFGGYGGGYGGGAGFGNKSCYTCGGVGHIARECPSGAARGFGGQGNFAAGPR KCYNCGQPGHISSQCSQEQGRTCYSCGQPGHIASACPAAGGAAPADAPSA L198_03099 MHGRASSICRPLFNIEAGSPRSPMSPNDPDSPRSPSPTLNHRIP AHRRFINCLYSDIDGPNLHWAAVYMAFLTGMTAAPSFAACFVWCGFQTGNAAQLGLAI ARHMVPDHQLSEEQRFGFQKMDQQALVSLLSFCLATMLGQIGNRVGGKKRVWLVGATL GQMLLVGMASLLAHLSGETGLATARGDPSWATPTGMSALAFLSAAIGLQGAVGTKLGT PMGTTVPLTSTWIDLFNDPFLFAFRHVRTRDVRAFGCLALISGAMISRIILGYIGPAE TIAVCLAFRALLLVFWFAIPDTESRLGGGGDGEQVEQGLEKP L198_03100 MVKNNQPLQIRLTEPVIFLKGPSTGLDFRGRPQAVRQDGQPAMV RGLLTLRLSKPTRIRSIAIKLEGKARTEWPEGIGAKRMETSEEHVILIDQATFFNAYQ HDSSRSRSTRRALSLGPGVNVGRDEDDIDDDVDLQEVPRDDDADDWVNIGRGRQGRGR NTIRSSSAMPGTHDSSSWHRDGFFRRPSFDNSARSSMLDMPGLSMQDRGPSPAYTPTA SPTRIASALPGHVARPSSLRQSVSPHLAPSRGPALSPIASAAPSQNNSDRGDSAEAEH RRPVYTSTLTSEDVREETDGEPRTPTAPPQDDSALDEGVDESLDLPRPILESRANSSS YAHGEVRFSVPAGEAAGGEGLPMTDETAALNTPAGTPPVSGGGRAASVRTFNSTHSAS STSLSSGQDHSGGENHPVSSAIQPTSGPNTPAPSAPPSIRQVSTDGAGRPRSAASHPG SILSSRQPSDQNLHNLGHRASGESLRGGERTRTARAGSTSTIVENTASTFSSAGSSRP PSRNRTTRAASGTPAITTASNLAHLAPSAASTEGVELSDDGRGRKNHKFSLAATLRGL SRDVKERVSHGGRHPSKSRSRMDNSSRAELHETSDSPNSSTFSNIAMPMSRANSSTGP GPIDHAHGSRHGSLVNDDFVPTYRRGGAGSTRRSRSRERDSSKVRGRDDERSRSRARG RHMGMKVLTDKLGLGETEDQGDDVHNWKEFRKGKSHCTYNYPISFPIPVNAPPTIHAE FGSVMYRLKASVVRVGALTPNLTEDTEIMMIATPQEDDLEETENVIVERQWEEQMRYQ ITLGGKAFPIAGTIPISIRLMPLLKCKIHRLTVALEEKTDYHAQERKVARHETPKRFV LLFIKQADAKERTEPLLPIISDDPTAAERSPLAEMARQAAMSDPPADLFDLERDPEDA MYASLMEPTGPWHLEKDLQLPDCVSKIKFTTKHDQTNITVAHWFKVTIRVERGDDEAL DSKGRRKQFDIIIETPIKILDCRVNPSYNSLPTYSVTQRNFVSTPGICSVHNTKSAPP VPIGMSRHSSTNNAGEATVAGTPPTTLSSLPEEQPHGHLLPHISSHRRTEVEPVGNAP TVPGVDEEDTLLERNIVYDRLMSGQVTETGEVPPTYGEAMAEAVGDRSVSRVRESEVS ANGRGVSSSRGSRSRSRLRD L198_03101 MPKAVSRATVSSSEQATATASSKAVLRSYYCLCGDFVLVLQGKL DRLPRRKTDGAYIIRSKAGADPSKQPARKFKLNAQPGQRCLLKRRDTSDLELRQPLCC SRCKTPVAYQSLPPPVGEAPFLYVIKGAVTELQGRVPADAFEGEELLPLEPVAGSKE L198_03102 MAPIPAPSRPSPDNLAFPFLTFFSNVFGLATPSVPAIKSDTSPT PSADPAAPTTSHDRSPAPSAAKYVDTNASN L198_03103 MIRYAAAHRTLPESSALAVSHSLNGANTNDKSSGDIPAALVIVG RDLDTTFPTQSPFTSPAPTGSTGSNGLTHTGTIALACVLAIASIISLTFVVWWFLRRK TRKGSMSEEDVESLSPIYAPIVPEVTLFLVPPQMPESRVGRDSPGQDSEGPGQLSPAA CGRRGVSPGLPTPPKAVTPGSAITVTRRSYGRAQAHLEPVTEPPETVRATEMPFQPES ITKPDFLPSSVTSRLSTIGMPHSPVHKVPFARGFGRIISFDFDSPPVPERVAIRRAPI PSRASSTSRVIRQSSESSSHSVEPIRQISSGKKPYRPTAKRTRRAARNVAKSRPTSRA SPHRGKSESVVGGAVQLDLLGASLPNVATPECLDRPPAAVRPLSAPQTVDHAVESSAP EDSGSNTDTDLNYTISSATSVDMPVPSIPRYPYDSQKRERGEDVVELVEVVLTDDESA TLEAATPLLEQEDLSAPPPSTDDHDDGEGVVTPVIQDLFMEDDALGLQMLLSPDHIQS AHADALFGPETCVQLQSTFRPVDAVGGLDFSFQQAEGDLLDLLNDMANKSSSRIFTRG SVASTSFSSCQEPLSRMSGDSSLYVAQEKAENDLINLLETIGQPRLPSTRSNMSAAIV SHRAQSSFDAAEESLLYCLEDMCDKVVKSKDNDATEFLPATFAEAEADLLSMLVAMDP SSFALVTQDIMQIPRSGSTGSVPIGVHTPMRGQESLPIEQHRSSVNGKISTASLSRVS DIASAPEQPNIVLGGKQVVGNDTSLTEDFLDIGMELLEDQSEPIPEPSALTSVASPSI AAERRLEQPDLLASARAFLESKRTHHVHVHSKSVGNLSRETSAEDAANVRGDIWQTTP KSSNVLRSPASCYLTITRPSPASLLDKTIRSSQSTPEKQAGEKEVFFASEIGELFGAH GGGQGNLRYRQLHGDVFDELNGGMGNLFGDHESWMGHDQLDYDSDSEIF L198_03104 MSFVFPSWATAFSPAFHQDAKAMLEGALNKGNKPPVIQGRIEVV ELHMGEQPPTLTLLEIGDLSLDRFRGILRLGYQGDAWLEVRCRVQANPLSHNPHLTSS TLPLSTPLIASQPLLVPMTLRLSKLNLRAILILVVSASKGITLVFKNDPLQNVEVSST FDSVEVIRGYLQQEIEGQLREMFREDLPGIIHRLSQKWFSGGGVGGRVEMPYRDAPPP AYGPSDEPEDAEASEEQDIFPPPVNFGSPEKTVDSTPRRASLARAQSRRSNATTVSES PTSFTSFPDIEDYDPTYGLRPEGLPTHSGYEAFGRLWEKARQGQGRGLGSLIGMGEED LEEGPEQDFGDPGDVSDGDWDQDDGRKSLDMVEMDHALRAIPTPSRNRSPVKARRRRQ SVMSGNFSSATTENGRDGEEVEWETFPAVGGGVVSRPRVFHVQSQIRAPSEAGGAMPS PSATGTGGSVTARASSVGAASSTIGSLRMRPLNSISPGIASPGVFTPGSSNLRRMVTS RSDVFLASPTYGKSQLPRAESFAALPPSSYKATPSRPLSYGAPSHHRPRHSVATGSSS AARTSGSSWGETDGPSRSATLSTMASSQRPPSKQSASSKARPMSVPLPVPGSRLKHER VPSVSVTGTSPGNAHNGSFPPRHTGPNGITLPLNNSVSQLATLSHSAHTLSPYARGHE HIAVRSFPYHLARTRSGSGSGAWNGAGASGSGSAAGSAVGMGGLGELDVKAKRKRIFK IGRGE L198_03105 MSYKVSANAPLSISSSSSVSDDDDDRVSDWASSFGEAQQTKSLF DDSLLPNPPLALWHDLEKHGFDLKDAVEKLNLDMFGRIRLINLIRNAGLSKEQIYALK SDDTVFQDDNLLIPVIPDDPYLQYDFDDSWSDDEDESSAAPAPTTGPSSSATDNKGPE DDRSTALEKELEKARRDLAAMQLLVTKTLGAEDDDEKTELKDEKADGKGKGKAAVRDD DSHYFQSYEENGESFSYRISIHEIMLKDTVRTVSYARFLLSNPQIFKGATVMDVGCGT GILSMLAARGGAKHVYAIEASNLAVKARENIKKNGFADVITVIQGKVEDIQLPVKEVD VIVSEWMGYMLLYESMLDSVLVARDRFLSPTGLMAPSQTRLAISAITGERVFRERVHF WSSVYGFDLTTMGGISFDEGLIEVVDAEEIVTTESIVRDINSHDATIKSLDFHSPFTL KATSDKTETVRAFLTHFDTFFSPRSGADSHYPTSHPVSIGQFGDDEYLKPVEPVVPSS AEAGVTVSFTTGPRGKYTHWKQVAFLLRDPIQLAQGEEITGQFRCKKSSTNSRELDVE IYWKKGVEGQTGDGEYTCQAFKVR L198_03106 MSAPENAHKRPAEDPAAHDEAKRSKMDDTAGVETPESVALASTS APAQAEAPAGEPIPSNQPSYTDHLHASHRRLPDPVSKLGLKPTHWDLPPSLKLITGLD PDMVARKGFVGEEECGIRGFVGKGKGVRGVIKQRFTDFLVNEIGLDGEVVRLKNIIKP EDPSVPSKENKGKKVASEEDALNAEAGEAEEDKEEDLPENLRLSEHPSWPKGTTTTLR AHFSDETIIALHALVLQGRNAPPKGDSGWGARKPKEGEASADELKEQETPEEAAMNQA SGSGRGRGQGRDRGRGRDGGRGGRGGRGGRAGDRNADSGSWWLAYEDEREIVSQPINS KEERTACHKILREVFPNMFESSTKEVKGEDSQRLAIKWSSGQQKTGYGKGQRRGADQP KLGPYIHFTLHKSNRETMDALNHIQRLLGCQPKDLTVCGTKDKRAVTVQRVCFKRTGR SLVTAWRSLNGIRQGWRTEKQALEERGDRGVRVGDFEYSDKYLELGMLKGNRFMITLR NVEAESVEEIDRTMASVRDMGFINFYGMQRFGTSSVPTHITGLFILKGEWSSAIESLL SLREGEHPDCVEARLLWLEDGDYVKALEKMPRRAVAERSIWEFWKKGNRMEDKTGALG SIPRNLRTMYVHAYQSYVWNLIVSERIKLSATEPLVGDLVIEKTEEEDDPENVPAHRK DRWGRARTWKTSSSPVVKRLTEEDIKNKTHTIFDVVMPLPGFDVDYPGGDIGELYDKM LKADGLDKDRMRQYSLSGSYRVILLRPLALTWSHIQYTDPDVPLVQSDEDAILDLNVP ATHDPAGKFRAVKVDLSLGSSTYATMVLREITREETSSWFQRGRTMKGEDQEFKGSKK VEGEEGGEEDAAADDGEDEMAAMNA L198_03107 MSSSDTWVYDAASGVYYHAPSNTYAVPDPTTGQWSYIPAPHFHH ASSSTGRSNPAPAQTASSERNNAGGLRGKEEGEVEDDVGWGGLMEPEQLAEIQRTGEL KKKGVAEKAAPAAAEQEMAPYGDTPSYDDPALYAYQPGADEILELPKERPDHLLRLVV QTTASPKVAVGQVAVIDTREGGVQLGRDRCERGAQARVRLRELEVSKTHAVVYWTEGS EDEHDQEGWRVVDLGSTHGTFICTPLRGKEMTVLYRLSEPKHSSKPVAISHLSTLTIG STTFEVHIHSSWPCDTCKLCGTNELPLETGEVHVEGKSLKDKDAEEQTWDVAMSSREK WGHRDARRKVEMANLKENLMKRNGDGSGSGSPAAEPAREYIDRSAMRRQLRPKSPPRK ASIPATSAAVVSAAPASVQSKFAQGILTKQGWQEGSGLGKDGTGRAVPLDTQVRTKKR GLGAEGSVDDGDGDWRTKAKMRRWGEVRKG L198_03108 MSTSTSKPFGGGLTGDRVDPPPPKTTKMVKDKVPLSTHLIAGGT AGLAEALVCHPLDTIKVRMQLSKSRKARGLKPLGFFATGRQIAARETPLGLYKGLGAV VSGIVPKMAIRFASFEMYKSWLSSPDGTITAKGTFLAGLGAGATEAVAVVTPMEVVKI RLQAQQHSLADPLDIPRYRNAAHAAFTIVREEGLSTLYRGVSLTALRQATNQGVNFTA YQQFKKWATDYQPQYAESGQLPSWQIMVLGLVSGAMGPFSNAPIDTIKTRIQKASKAE GETALSRMVTVTSEMFKNEGAKAFYKGITPRVLRVAPGQAIVFTVYERMKKVIDYAKG TALGEEYNE L198_03109 MDGEGTELVEAYDYLFKFIVIGEAGTGKSCLLYHCIHEHFKTNS PHTIGVEFSSRTLRIGDRNIKLQLWDTAGQERFRSVTRSYYRGAAGVVLVYDITSRQS FMNLGRWLADCRALASPHLVVVLVGNKLDREEEREVEYSEGSRWAQENNLIFVEVSSY TGENVQTPFLLASRTILSSIDAGTLDPDLAGTGVSYGERQLRAVGSVSRLGGAFGSTR RKRRGDSVSLREMVGEGRCSNC L198_03110 MALSNRQYTNDPEYVKEVVRNYINVKQSHGRQSWEISQKLELIE RDFSQHGMSFVQARREMGLPPSRVIRVLGTNNSTSNNSLYRAQTTSGASTVSHRPSTA STSSRPAAPNHNRRTSAPVVQRPQERDAEAGEDAPPPPYASQDPDPEATRLLQVRLAA ESVNNPAVTAAVATPHTPQNSTPAASSPAPSTPMSPPAQPIASTQNAESSQAQASQLP RPPSDPEMARIWEESQFEEAKRMSLQAQSEQEQLDEAMRLSVAEAESRAYNGSSEAGP SHLPVVTEYEPYQPPVQHNGGYETGLNSDLEGLNIGGSEGSYAPPAGAPAGNNRFSSI MDHDDNPSGFSQQPLTPSKTGPTMQSKNPFLAPSEQETHEAGSPQSSPYGYTQQQPEE PAGSSHTTYTPPAYAPPPGAPPPHLVILSSTPSRQVSNQNIYQSPIQNSPSSRPLPPT PDVAPPCYPSPRTAAAAPPSEHPSLTSSLYGARATDFDAAGSSLSGGVPRPGGISTGA GQTPDRRRTSYVPPRNGEDPLEMLREFDTVFLVDDSSSMAGPLWREARAAIMEVAEIA SRYDEDGIDIHFLNSKRVGRELKGRDDVEELFEGLKPRGVTPTGMRLEAILRDYMTRL ERASTLSPSIGSSSEEHVKPLNVVVVTDGAPTDDPESVIVAVARRLDRGEYPLSQVGI QFLQIGDDVGATMALQQLDDELSETHQIRDIVDTVPDSGQEMTAQFIIKTLLGGINRR LDRRG L198_03111 MYHPKALILALLFSLSLILAAKSSEQLQIGVKYVPEECPLKTRK GDKLSMHYTGTLAKDGSKFDSSLDRNQPFEFTLGAGQVIKGWDQGLLGMCISERRKLT IPHTLAYGERGHPPVIPPKSTLVFEVELLGIKNRHVDEL L198_03112 MGPTTDDSQDVPDPESPAFQAFLDDHFALGGKLTESMAQWNKHS LKHNSTVQVLNLPSSSSHNEIRQELGVKDYWCGRESLHTAESLRRSSHQQPHSSTAPK KRDSGTFSPGGMVRSLSGKLTRQHKDLPSGKASVSNGSAVEDGELLEEVRAAKVMNTS PEGLYERFRRGLLEYHSENEREYIESCRETECLHVYKKHVAEVWRLTYKTPPPTNPRT FVVLLLSRELVTSPKGERTFMNISIPFVHPDCPEKKGGEKGRVRGKYVSVERVRETDG GRTVEWRMATSSDAGGNIPRFVTNGSLPNSISEDVPSFLSWMVERFPEGGEVASQPVA L L198_03113 MVEVHAAPRVAIRSSAKSAQSIVVVCFLILLLYIFFSGGRASEK LEKASKNLGKVGKNIGDAFSSREKPSTSSSNRGDRREATAPDSDVISDGGHDAPRSRK RGQEVEGGKRDNKQTSQEEDHQQAVRKNHHSRSSVQSPSRSDTTPIFTSDTSASTAEQ DRQRQERRKKRKDKEEEKREKEKRDSERRDKGRRDREKRAGENVTESDTNETTERSDQ EKIEKDREAKKKKEQEKQKKKKKEKKAKKQEQVEYEKPDSSPNLTPLPDHRSARPTRS IHRRRGSDDSLTPSRNSSSGSHVSWSDEEGKSPHPVTKHFRKWGDYVGLTEDKAPFEN VPEKIEPKWQTHRKLPVDPAERCAKALRGKGILEDGVLKLMEEAEVVAMAKPREKHWI QALNDQWDNHHGSIPAVAVMMSQELSKLISIKDIELLETALEARKSAKKATKPKFDAK IDKKRDYGKFKDRVGVRPDDPLFVYKFILAWYCEHYRCRYYDERMGISEEPVPMMSDS KLGKTAQILGWMEYLGRLNMGQRLFIGLDLYGLSMRAQAMREGDGYRLDLTVFMPGGP PEKPENDPMKEWAIKLEDSGKAFRTIHFELATMLYKTEDDTMLKDPFVRRRLIFRPGW SLRGVRSDKLGKPEPERSVAQRTLIERPSEVLAIPNNINERITRALRKPSKNTRNALS RLSPGEVCFQSIRQYAWDKNSVTPENSKLTPLTFWIAWSFSGEKMQESKGPGDVHQDQ RSHDFVRSIDLGGYIPLFKLQPPRFVPINGFPALEVLVLSYVPLGKAGATAELLLNKD YGLFDELKKIKEPTRHLSKQVTKLRLFISIADARSSRSIIEDLDKQLAEDGRRQLVGG KSPDQAVINMRGLARDALGEAFLVDEAQAGNAEEGLLPKGIPLRYDSAGAEKLLGIGK NHQPPADRESGQAPPSESNDTSAIPADPPAPPAQEGKTNQPPGPAPPVPVHLPSPTSE LSGANLGGHPKRVPPAPVDPIPSGRSAGAAAEARRRALENEREAQPSPVDRRVNGESL NQDGQSGYRSPTVEDDPEGDESDVPKDKKSRFGSLRDIESKFRGGGGGK L198_03114 MAASSKYPARAHALKLIRELVPLIPSSQKGKLHGIFLQGAPTLY RDDTDHEEPFHQEANFNYLSGTIHPSCSLIVLFSLANTSSKSVIEHHLFIPAADPAET MWSVAPPTLEEAKKTYDSDDITYTSSLPSVLKSAVSHGGGEVILHVLPRTTAYPALPE TIDQTSGLVLEQEFLFPALHAVRLTKDECEISLIRKANQISSGAHEVVMRELGRFAQS RIAGDKEVAVRSGKESIREWEIESERDAEAVFVASCKRMGATDQAYLPIVASGSRSST LHYVCNDRLFPALPRKQGDVSFSHSVSRGCCGDNLNSLESALHGDSFFPQLLLIDAGC EWKGYASDITRVMPVGNGGKFTKEGGAIYDLVLRMQKECEALVKPGVHWDSIHLHAHK VLIDGLLALGILTGSPEAILQSGITAAFFPHGLGHSIGLDTHDSLQYLRSARVALPPT TTTTPDKLYKYLRLRLPLVENMVVTVEPGCYFAAQLMKEHGVWESEFVNQSKLENYVD IGGVRIEDVVVVRQDGVENLTTVGKERVWIESVCSGAL L198_03115 MPGISPRSYHSHADDTSSSPPRSDSSSSSNASFLPLYTDEKKRK PSLTQRTSSRLLQTIGSSRRRSSVPLLGLARRKTTQLALAAGLLFLGGLLLGEYREHN LRESLWNQELALQEWDVRHNNAREVSLVTLRSELEAKRRTEELESKLRGPKEDRAWTE WDDVRIRQESEDAWPSWWGNPDLVGQSPFDHRPAALRPGKEKRRFMVLTDYKDYLERM NTHTYEIVDAALRHPGLIVDVWGPGWAGYDRSIPLSANIRKRSHRISQLEKSKEAFEK KRRNIRSKGKQVAEEGEIWVKPEWPSTVPDECSDVRFDVVLTISNIYKENDPHLDFLD CGALLVQQLGDCHELRCSYEWYQANNITLSKYAFELPELFEYSKVKAKYPDWEMGLFG HSPDTANEWDFWPVKWNEKKADANIFGYDGSFYPIRTTVTDNIRDLEGSDQKPLVGRH PHPGYTVSVPQQARDDPLETYEKDHQYYTTHLKLRRDFARGMRESRVCVFDASLERKL IRKYAQAFLSGCVVASDLPTEQEAALSKFIIPLKSSWNIEQINSAIQYYLDRPEVLQQ MAVHALVYARQHLTTSTKVDHILEMVDHYRGGSRGYEFPYGFSMRCRAYWSNDDSYRP PWCRDIKSHTGLE L198_03116 MSTPLIQQFPSLAAYPPSFLKDLLSSPELTEAFLFSLPEVQQLA AEVERVGRENDEIAGKNLELRDELLALRDATAQSYAHAEGLKRHWTDIEKNQKDLYQR VRPSFLHLRLKHSLSAQDEASEKIASAFIEGRSAGNSRPGSRIDSPVPIGDGPADRQS QNRAIDDFIQEFKASRKTYHKRAIWAERWSRGEIAWRDD L198_03117 MSAPDWDTAYVNEEHVDAFTQALSYNDLTPAEGEFTPGSPRSPG VPIDSPSLGSATQKNNQVGGAQTWQYGPDNGALGSGLDKDARVEKLTATSDFAPIHQR VSRRRQARATSQGLTYHLIRWPLLFFFFFIIYLEFSAYVVTRQVVNVFEWLVAWRGHK AKLRRELRKAKTYEEWTAAALKLDEHMGFEDWKEIEEDSYFDWTLVRRVKRTLTRLRS ANDTRGLMDALAVCVRANFAGTESVKMYSETFIGTKKVVEAHVKEVAASLDYVRTASD VSLEEKRAFFRAINKHYGSSALCLSGGASFGYYHFGVIRAFLEADLLPRVVTGTSAGG LAAALVCTRTNDELKELLVPELADKITACEDSFRVWIPRWRATGARFDTIKWAKKAMW FTRGSLTFKEAYARTGRALNISVVPSDRHSPTILLNHLTAPNCLIWSAIIASAAVPGI LNPVVLMAKDRNGNVKPHNLGGSRFKDGSLREDIPLGSLHTQFNCNFSIVSQTNPHIH LFFFAPRGSVGRPVAHRKGKGWRGGFLLSALESYIKLDLSKHFKVIRDLDLMPQILQS DWSGVFLQRFSGDLTLTPRSTIQDWFHILSDPTRQQLERMLTVGEKVTWPALRMVRNR MTIERAILRGRTEVRSALNRNRTSNDHPHSLPAAVATDADPNSVFDHIPIESDVDAGF TSRSKRVKARNGRGVADPEALSLAGVFQSESETRGARKRRGQTPSKKDNGKVETLKDI PESSSVPFPASGSPQKGYFGETLRHVRAPSLSSLSSPFRSIRSSLPSKSASADSAPSS SVPQSPATISQRPRSQLSITRWFGGASESSSEEDEEEEELLRIRSRRGTATSSEDGIS GFQLDTAVDSHSESDSEGAGGVHTPAQVFSEPHSITDALESEGVTPIPGGERVSQETI DAGAAAGQRLRRNTGERKGKKSHTGEGKASTETPPGQDG L198_03118 MSVSLTHIFSYLPPPRPVVPLDYNLGFFNILLTALVLVAPHTGG WKLFRVGVAAPFISALWVYLAFVPVTICNYDHWGIPILLASFVARTFELLVFFPAETH THRLAVDSTKLSPVAITSENTPLVPEQVPPPFTLAKLYWAASLWWSFRGLGWNYCCPL PASSRKAPFIKGSSRKEFFLSQAKFFALAWIWHDLMRTIMVFTEAADFFLPGATMSYD DLSFGQKALYSIIVVSRTWYGLNLSHMTVSCFIVALGGLLGWEGEILSPWGWPPLFGN FAELWKYPGLSTMWSRTWQGYNRRWLYVFGWIGISENILHLTHTGISAHPQAPPDSSS SANTPSPSGRVSPSHPIPTTGPSVQLPVKKMTTRLMFQNLVKSTIVFALSGLQHDLGT YALLSKTRAGQNITLQDALILTPFFVIQPLALAGEAAVKTVWRGWKFKAHPTWKKGSV GYTGQPGWLVLSERLLGFIWTWFWLGTSAKYYVSESFGQRPDR L198_03119 MQGIGDASPHTPESVASWIRSFPLDPLEYEIDTTGKSTRKSASK TYRIWGDLDEKGIRVVHDQTLNGRISASLRSQQSSLSKGVTPFMEEDLRVARRSARRT TVEAEIHLRGVMRSNFFDCSTDAINSRLPSHLHGKWKPFSGEESDRLYWVFMRNGIAI AIIEVKMCTDLTTSGEGAVSQTDDRDHPPTEKVELCSRPQGDTAERGGAQSDASHSDQ SQADKKEHSDSHHVPDNENELDEEISVHRPLKLERLLMACRQDGGLKLILASGTGKDG KVRPALRIVDQDGTIVPEMTYWAKEISQVSSENYYDLL L198_03120 MARNRQRILSSSSSELSPPPPSSPPPTPKRDPEDSYEEATEVDT PPSLTAESYKGTSNSQTKEEKGERSLSKKATLVFSSQVLQPSPGGGDSEVAIDDKSFP AGQTSEKTVNGSSVLIDRSSSSTIAVISRKPSQGLFSSSIPAPLPPSPLTRQTFDEAT EIGTPPSHDAPSSPTPRRAPIHLPLPSSSPDKGHTVFDIQGLVISPRDTLPFTLDDLP TCPKPIYPIAKHRGVFQFGLPSNLEECREAWDSLWDEDVWSDRSFRTVSSTKHRRLLK NVEQKKDGAVEELDAFKAHRARLHRWLISVCRPLWTVLSGGNHGTMEAGDIGFNGLLA EEIWGNRGKQLHKYLATISTYRTDMEYIFPKMHWTILKLQTHDLGWDFSIGQPKDAFK DRCLANLLSNEKLKKLLEKIIHQGACLLFYSGWSHRDCLEEGAVRFGHKAVHDYLRDA FLEEGVWEQARVNDFERQWMVPEQKDHRLRPLLLDTNRKDPSLAQELARAIVERYKEA RDVGEEISIEKVSPGQKIHARGGRVLHPFAPVYGRFNRQSNEVDPAAADFFKFDRNLR RHARSEGNSFFESRLPPVAKGSSQFRGKDWDHVHDAKMVTAGLVVELPNLINTVDDAF CGLMLPKLQEARLTIPNLRRRLLSIATSLSPSDLPTKALSAPRGGAARSGLEGITSGF VYLVPTESQKDAMVLQVATEIREVEERNRQDLAPVDLEAGFEAVGKLFERDWTAGSGL VKDDAELVLTFLDDLYVHPSLQAPQPRASYSLSQVSSVVCRLFMRVAHHFSSRANEEI AMDFLELAFDVLFLGIHMQTPVFGGAWLDLRIAKIGEKAWDVKGVRELPAVVARLVVD LVAVDINLSVLCSRHWRSPGSKTNATVRLSWRSVLGDDAKNLPAIHAKFIHHLIQDQD RICGIGHCYELLTYDPRQRIFIKGQSNPSRKSFVSVQQHVPRGNVDLGDGMPSFPSFD CAWVIGCSPYSQSVTICVSRYVQIIHTTQRKAGGKGHDGVLARSSLRFNINMTSASES QDRKVELVDDEDEDQVLRPGAAEDDEDEYWEAEGRGRRSMDDLEERVVVEGSSKDHQV SSLVPGSELRRQGKPEKSKRKATPEFSTETTNADPTEVGSKTNLLAVQIRTRKGLKPD VPPLDYYYDKL L198_03121 MPVATYTTAEWSQAFVDFEANDEFKDIIKTEDKAQAMVDRYLPK ASWLTVRKRYIKWRSENGRPLPREEYTKADMVQAFVDFEANDEFKDIIKTEDKARAMV DRYTSSGHTNWPPSTPKITQHHSLLARSIVTFSADATTQVHLEDCTKRVHYMEAEDWK AAAEDGASEGRRAEPKLPSASNTGCHGLAGTC L198_03122 MALASSSSSVLKSAQAAPLKRLTSQARTYATPAAATSTFSPPPQ SYPSSSTSTTPSAAQSYLTNLLSLPPSRQFSPSLALQILTHKSYRFSHPVRSISPEDP SAILETSAPHNSRLAFLGRRALTTYLALFVHEQYAGSSGKLQEQGGDFLRGKELDERL ANLRHPSNLGRVLGGAWGLEEVMRWDRNITGHATGHASVKGQAVEAVMGGIFTQFGSP AAHRAFHLHILPKVASQLRDPFLVEKVQSVREELEKEFGRGILPKQ L198_03123 MAAHIGRINLLPTARSLPTGVPLARSIALNVAAPADPHHAHAAG PRPDVPAAWAFKSGARGHLGRTNALPTTSSFQQRFISTTRSVPAAAGASSATGVPDFS EYRAKNPNTTRNVSYFMVGALGALSASGAKSTVVDVLSNMAASADVLALAKIEVEMGS IPEGKNLIVKWRGKPVFIRHRTPDEIEEANGIDVKTLRDPETDEQRTQRQEWLVMLGV CTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRIRRGPAPLNLEIPEYSFNDDDEKILI G L198_03124 MLARTIHPPAPSTGLLRPALAATRPLTTSFPARRQRDPPPRGHV RPAPPRRPIRPSSFPAASPNTTAQYAPFSVEGIDVHTPVYQSGHVNIPPDPEGVLGDS HAAREVLGHESLVVVRQLEMLNVFMGFEQANRYALHSPDGQLVGFLAEEEQGYLSAIS RQMLRTHRPFRSVIMDRTGKPVLWIRRPFAFINSRIFVHSSEAADSTLVGEAQQSWHP WRRRYNLFQTREHGETFRQFAKIDSGFLAWDFWLKDRGGRLVASINRNFRGLGRELFT DTGQYVIRFDSAGTELDLAPGSNVNLQGQSLILPQSSEGGLTLDQRAMALATAVSIDF DFFSRHSGSGGMGFPLFFWGGGDGGADAQAGSRPSDVQGSDVAGGAAARAMGSAAGGL SEDEQIYGRQPPSAESGSAPPPAPEQGQYGWPETWPEDMGGVEGLEGYQEQAGWSEDE VMQDPWGQQQGGGDDGGWFGGGDGGWGE L198_03125 MSAGDLSLQPPIDGISSVSWSLDSQRLLVSSWDGSIQLHDLSGP PQPPRIFSETSAVLTACFGSTSNIGYSAGLDRRIRRWDFDTGLVQVLGKHDDAVQSIV WCAEHHVLVSSSWDSTIKVWDSTLPNPLKSTQTLPARAYNLAYAPLSNRLLVSMSKRH VWVYDVVKLAAATEQIAASQERESALKFMTRSLATMADGKGWASGSLEGRIAVEYLDP ADQGSKYAFRAHRQNIDNVDCVYPINAIAYHPIHNTFASGGSDGFISIWDHNAKKRMK LFPKYPAPISALSFSPDGTKLAIGASYEHDNSLTKADEQNTIMLLVKNTVMEDCKPKA KA L198_03126 MGRHESASHHNELEQAPFHPQPSSGFANFNSTALNAAPLLLSAL NTPKKAPTYDPSQWYSQGSSNRTPVHHLATQEVDMDSPARPVPSAPGPDEDDGEGKQY EEEHEEKKPRKFAKGAVSRTNKKRQQAKKERGGVNEKGLLRHSDSAAPAFSKSEHHYN VHMQPSALRHSEIPALLLGYLQFFVNACIVLFCIYLAVLFVLAVRSDVKNKMNEYSVE ILQEIAECTNMYLTNMCGSAHRVPHMDAPCRAWDACMNRDPTVMGRINIIAEVFAGVI NSFVEPISWKTMSFTVVTLTFLVILTNSALFNLRARASHNDTPPPVAPFWPPHGQFMP QLPSNMPQGPGYQHDISGMDARIGGVGGPHGAQEEAKQIGWTEKKKGWW L198_03127 MSRLNKRHPDLLCCNFNQDFSCVAVGHKKGYTILNCDPFGKVHS KNDQGATGIVEMLFCTSLVALVGAADTLPNNSPRKLQIVNTKRQSTICELIFPTSVLA VKMNRKRLVVVLENEIYIYDISTMKLLHTIETGPNPNAVCALSSSSERSYLAYPSPAP SASSTPLSSTAVPPAPPAPTTGDVLLFDTISLTALNVIQAHKTPIASLALNSSGTMLA TASDKGTVVRVFSVPDAKKLWQFRRGTQSAKIFSINLNLMSTLLAVSSDSSTIHIYRL ANSRKNGEKAHSAEESVTDGARSPTPSETPSTSSPPLDPHNPASGSSATSSLRRRSYH IGKSFVGGVGGYLPKSMSEMWEPQRDFAFIKLRGNHGRTVVAMSGTVPQVMVISSEGL FQAYNIDLENGGECSLMKEFSLLGSEDSGNGA L198_03128 MATTQTTQTQKTTQSKQEILVVAKSLREEIAEPLATLKSENPSA EDVEKALTSIRDSVKHANEAVPTTSQVSTSSTTTSTGNPLAFAQNGIQSGVDGVSNLI GQGQTAEQSGSIEEIDSAEQKNDEKAVVKADEKADEKEGAKEDEKEEPASNEELNKVG EVLAGVVEDVNKAVDGLTDDLKKLPIVGALIGEIDDGLKTLLFGVEIVLKGVLQVVQG LLSGLTGVLGGVGGSLLGGLL L198_03129 MPPRPPRHLPLNWKAPKPHLAAYHPPSPAINSAAPQFNPPVSPQ LPPRRQPAFSPGGFGLQSKPAAAPSPQSWGKGKEREAEIDEIQRKDDAIRREEEMLEG KQPKEGREEAEACYVPPPATIAYTSSEPLPLLYSPTPLPPFTVAYETWGTLNADASNA ILLHTGLSASSHVASNGNDVAPSTSSKPGWWQDFVGPGKSIDTDKFFVICTNVLGGCF GSTGPSSPYPPGDGVTRWATRFPLLSVHDMVRAQLSLLDHLGIQKLYASIGSSMGGMQ SLSLGYLAPERVGRIASISACGRSGLNGVGMRYAQRSVLMADPNWNRGFYYDAIPPHN GMKLARQIATITYRSGPEWEQRFGRQMLSEQEKNLDENGNPGVPRLSPDFLIETYLDH QGERFCLTYDANSMIYISKAMDLFDLTGPALTSLAQRFNDAYPDQPPFPFPNDPTSVS ASAPRGVTEVKKVSEAELEELKKEQKKKLAKFIPTSKSPHLFDLAAGLQRLKDIPTLV LGVQSDVLFPVEQQRELSDALKLAGNANVTYYELGGVWGHDTFLLDVQNVGSAIRGFL H L198_03130 MSSTPSPSVPSSFRSRRPAPLEAERRYSGPPLSQSTVAGDEPYG NRDIEPTQSSGNDLPSTAVSGASGASATSSVFFPGGLPLPAVKGVITGIESPRHGRGS PSIGSRREIPVSPHSPTTTSSLTVRGQSVTDMETPQRPQPSAPVIPFRGDPTVKSTLA GLKMDGKNEICRLFGVA L198_03131 MSAHDTGHDRLDPGPLQEKRSTDSARLPSASYRSHKKTLSGQNG LPAPLPDSMSTSKVAIIFATALLGAAWLYIDPLARWRSSTRFATHVTDDWVNDVQLER LLGKRILENIGPVVGAGSGLVIASPSVGQGGEPNYYYTWTRDSALTFSTLIPDFYSSN NTDSPTDGPDILLREPLFRAYVESQSKLQAVPNPSGDLKTGGLTEPKFNVNGSAFNGN WGRPQRDGPALRAITVLKYANFLLDRGYPADILYLRQWIYNPKRLKSVGPVLKNDLEE VAHGWFKRGFDLWEEVDGFHLFNLLVSRRALWEGQALASRLGDKEAASYYGLQAQRIG DNLSQFWEGEKLYWKSSLASLNPGDTVGLNFPQRGWHDCSLPLSIIHARSETQLPGNL TSPSFAPSDADVLASLRAFALSFEGLYRVNGKKKWTESWNLGRYREDVYDGKGTSKAN PWYLCTYSFAHSLHLAYKDLSESGSITVTKSSRDFWGDVIQSSDVKAGDEWKQGDGAY DRAMRELLDLGDRYLSRGRYVMRANGDRMSEQYGRDNFRATGARDLTWSYASLLEAIR LRRTLV L198_03132 MASPDRTPRDLSPDSHAAGSRPAKKPRTTVADLQRRQLDKLLAN PEKEVVLPTGIVKKELRAPLDMMKNVQGSSAGAGSGEFHVYKQSRRREYERLRMMEEE SKAEEEQAAFAKRQADRDAEAESKTAKNRAKRQRRKQGRNGSKPAAIENTPTGGGGLK RKIEASGPKIAFRRPGEDEDGEDEDGEEEEEVGPAPPHVEEKVEEPEPQRAVEETKIT IVDED L198_03133 MAQSAALASSEVAHHSQAQPSSQPAPPLEQSKGSPSGLHQPTMP GDMQTQQMPTPVGAYRQPDQRALHPHAQPQDGKAGYNQSPRESWRPSPQPANPNIASG SAHTPGDHDGESQVTASRLVPGDRHDRAESEAVTAKQDLSPGISPSQSPAHQEPSLDS LAKLRQFKREVEASRQTKLSSAELDPSRLAKMAESFLLSQNQPTSQRKSPLSHSSTTS PFIPLAQNGSATATEDDEKEKFIKEQQLKEQLRARADRAGVAGKPKEQSPSTNQQQLP SRRPRAEDLIDPGTEFAATAPIPTRPAALSHDPVDPRLRGPPPGGPALSHPLPPHPIP NGPPALHNLPPTTSAPAPGNNYEPSARNFVPARFRDGKGGVPVFGAFKKYGSDNDSPV YPRVDSVPPPIREERHRPRDIELSPPPRSEGRSLADRISAPRSAAASSRPRSPSPVRG PAPYRPPRNTYLGPPAARDARDNREYHRLSSPRRYADRSPPPPIDAPHRPPYDTRYGD PRNYPDPRDSRDPRNAPERFRDREYARPLSRDFDRPPSPPGRGREFSRYPERDPYTGP PLDYRPAPPVPAVNENVLETIENLKAQLSHLQQAALKPAPSPYPPRDHDRERLPDTVL LHGTTLPRCGTILHHHPEVLIAIPPLPTVEGLRRHPCEGARLLLLSDEVRLLRFPVEE TFLHRQAPDCDDQVRPHLPPAGATSLRGNTGTIDLSPRQRGGG L198_03134 MPKPRPYSISLLLALLPLLALAAAHEPLTPLPPTDGQRPLSVPP LPAQSSSGSGKWRLSERYDGEQVWRIRLGGGDLTRMRDILESVDDFDLDIWGTTATTI DVRLTDRQKDMLESALKLASESALESFIPDLQALIEATAPTHHDISDSGIQDPLHQDL EESSGGPEISKKKSKPPKPDPFNLTTLLTPFHDSYHSLADIAKFGDCLVETFNGEQGL EVWDFTVGTSWEGREIKGWSAKFGNELENGEDGDEEPRREIVIMSGQHGREWVGPSSA LYFLHSTILSALYPSINPDPSLLLRHFTVTIIPLINPDGFEYSQHHRMWRKNRQEVGH KSCLGIDLNSNWGFKWKGQKKVRPCTEGFPGREAFEAEETKAVADWLESKKAEGVTIK SFVDLHSYGQLFMFPYAHSCDDFPVDAEMLMEAGMGVAKAIRTTHGQAYETGQACDLT YRQPGDSVDYSYGVADIRWSYSAELRDTGTYGFMLPKDLIRPTAEEISAGILHLAKFI YLMEVAD L198_03135 MRLPQLTLPKNLVRSLATAAEQASSSTAPPAPSPPNKWSPHTLR TGLIARKRGMTAIWDADGRRHPVTILQVDSNHVLRHNPPLPQASSQFHSLQIGAGDKR AKNTTKQQLGHFRKAGVEGGKYIVKEFQVSEDAVINVGEELSAGHFVPGQYVDVQGAT IGKGFQGVMKRFGFRGLKATHGTSVKHRAGGSYGQNQDPGHIIKGKKMPGHMGNVNRT TQNLLIHRVDHLLNLLYVRGSVPGHDDAFITIRDSKKLVKAKAQLALKKGKEEGEWLG QGVVGLPTPGATKAQVESEGWPEVVEWKGEGYAEK L198_03136 MPSLPSLLALPLLAALPAIVTAADTCNGHSELCSQLYSNVTFIG AHDSYAVGSSVASNQDKDVTSQLNDGIRTLQVQAHNATDGIHLCHSSCSLLDGGLLSD YLSSVASWVTDNPNDVITIVIVNSDNLAPAAFESAYSTAGLTDKAYTPDSQPSSLSDW PSLSDIIDSGKTVVTFMDYEADVSSVGYILDEFTAMWEDAYDVTDASFGCSVNRTSGD SGSQLYMINHFLDSTYSFAGTQFYVPDQSSLNTTNSETGDGSIGYHVDNCNQIWGRKP NHILLDFYNSNENAPFNVAASLNGVSAPTSTVAAGSVAASAATASSTSTDAAVSSQSI SGAEMKMRHGTLSGLVAVAGLVMGMGMVLI L198_03137 MGTTLSPFRYLQLARYCAIRWADNFPAYRKSKTALARAHAKRYL KRAGEERKRWEGLVKAGVVEPSDTESKTSFDGSAAHDRASANHGQAQDPHGHNRDPKK GHPHQNHHKHQFPHSHRFPKLAPDPKWPAGPKEIFNLMNDERLFVKGAAKPPREVIVL CHGLYGFSTATPIPLFPSLKLHYWASVLEVLRDKMGCEVVVVGVKGTGSVQERADQMH EFLKTTLPKGTGVNFVAHSMGGLDCRHLISNIKPHSYTPLSLTTIGTPHRGSPFMDWC AANIGVGSAAAVATAALTSEKVKNLPYSLKSPLLSRPPPMGSGQKPDAISSFAAGLTS YLLSIFDSPAYANLTTSYLRDHFNPATPDSPSVKYTSVAGRCSKMSVLHPLWFPKLVL DAAAENGYAEDTSNFIFGPDGKPLYEGNDGLVSVSSAKWGEFLGAVDECHHWDLRGEG GLFPGNSAITLGDAVKKGEAKKAAGWDLEGDQDGFDEHLGLAAREKKMMEIERTEMKK AGKAGEHVKPSKHGESSILSPSTWDLAQVGQVVDWATDYLPGSGDKEKTEVGKRQMAA AKQEKEDAERELEEGEPVENGKARKDQFDLERFYGGLMLKLRDDGF L198_03138 MMPVHYSAPELGFHTITSPLATQMPQAAGAAYMLKSDDERQGDC AICYFGDGAASEGDFHAALGMNSVLGGPCIWFCRNNGFAISTPIVDQYAGDGIASRGP AYGLDTIRVDGNDALAVLTAVKEARKRAVEGKKGVLVEAMTYRVGHHSTSDDSSMYRE KDEVREWNNQDNPIHRMRAYLVSQNWWTEAEEAALLKAHKTDVLKSFSRAEKLPKPKL GEMFSDVWGMPEGEQVPAIITEQKAELGRLLKKYGQVWEPWKKELSKFVEQGEDVMDC GGPGS L198_03139 MSSVTFAASPPPRTPTRSAFPKPRLPPTPVPPAQLTPTSMSDTP MSFDQRQVPSRRAGEESVEGASAGRAPADVYDPERQPLIQPRGLGRRRVAYPVLVGIL VVITGPPPNLPPVHSTTSTSAPLPLPTPLPSFTPPSEGAEGRPLYVPGTQAERQAKAG RMSRPLRRGTHESWWSAEQGWFNAVAKTIPTFRVLDEEGHIVKNGAGSQITKVEALSM YRTMTLIPIVDNVLYQSQRQGRISFYMQCAGEEAAIVGSAAALRAGDEIFGQYRESAA LLHRGFTLNSLMAQCFGNVEDKG L198_03140 MLSRGLVGEIIARFEKRGFKLAALKLATPSKEHLEQHYSDLSSK GFFPRLIEYMMSGPVVCMVWEGLDAVKTGRVMLGATNPLASVPGTIRGDYALQVGMNV CHGSDSVENGQKEIALWFPEGINQYKLSAQAWLYEA L198_03141 MAARARESRALEPGQASSSSPIVIPSPAASHAQQTDHRFNPYEH PSAFLKSQSYDRAAPPSFASTSSYSPSVASSSTVIAPLSLHGRAFGWGGAHREVDTCL APSAHIWEDFYNEFKGVHVDEDGAESRSTPTSSEPQATPHSCDEMFSSFSFFTAPEPE APVCQPVSATDGSFPCAEFLDIPPSATSHDASMLPDLADIDFSKLDWLPDFIVPEPLP GATLQTSGASTGHRSSSSPLTSSSNELSSVMPGPDCISDSHRSGNYEHDGVVQGILSA KLNAGAPKDDEDGGACVEGNAVKSGKKHTPSNDKRLDSPIMPVTAHPDSPHQASPSTQ ARDHSAAMEDYPALSHVKVWATPKDREVLRFQKNVLSIATDFYIGLAALFVSPPFFFL LRNEISDWLKDETDRDVIKLGMTRASMSQDMSLQDIVNEMKELCGRLMVKENAIADSI ESSNDFFPPDNTPPASEPANAANAANAAMRPPPIPRAMSPGKKRKTPGSPSTPQTPLP PSIPATPSSPARSCLFTDPNAVLATLPDPSSLPQPGKVIHGPWKTAEVDRLQGLVQIS KRAEDGAPKEHVDWTWVVSNFGPSRNRHQVLIKAVELGLRQTSTHYSRRVKQKSFRDV QSPKSTKAANRELPPAWPRQSPTLAPVIMPSPYPSTGSPLLDPQRRSPSTPVPAFARP SSVYLGSPQTPKGVHRRHNSSSSSTATRTPTHTQNGIGSSIYAQPVPHISPGGYVSPG MSRRQTHPMFPGDVGSSRVMPGIAPSVVSPTAGEFGYRIQVRSGEGSSV L198_03142 MPTLPPRDSHLEQVEGQRKLLARATPQDLIEDPTILDQALKTAL NYGQETHQLKHLELLLAILRRISSCLEVDTKFQSIVSSDIAPEFQPGGLFATIVANHL DHPLKVQQTRSTEVLSAAGQLAARSQAVSASSNFMVHLFQGFTRSDLSSRANMSALHA LLPYMPTRAIPSKLLEDLEGEVSITHNAGARCALVSDLLLVFAGVSLLPLPKQSLSPE QQDALLLRLSTLLSKFQPHGTLANVTRYLLPRLFTTYPSLFQPLLSLVGPPEPVINTW VAIAFLGVSNGLVEVEHLPRDEIRLALESDDADTRLRAFDLVTGKKAYTEGVLQLIKE SFVWNEALPSAGSRSAFSSATYAFFVRLHQYITLLHRNLRRLSKQPSTPEALKDSDQI RGALVHTDNFHSWFLAWIDENLWHARRYPVFRVLLALNLLSRYLDVFGSDEGKQERVF TQSRVEQLLACQASEFTEVRSRSRKILDNAKIPLPAYDTPATPSSRALLHTALESICH PRKTQAETGKAALCILFGVVLKHSTEKEALGFVQELIDKLEATVEIVQRDLTMIETHP LHGLLGGIRDVLHCLNINTLESQSLWTPIFHQLFRLTSRVWNVTRAVISLSPTKLTSI DEEGAGKDAHHEIARAYEVLGGGEDGEDEEGIDHTGLLSGCWRATMSAGELLSSLIVI PISQDGVTQMIWSRSEVDSAGQTFLVWMHEIRHRGTFSKLASAFALLVEAVRPIDALA DLCQGWLGRELETISSDGLSTTRRSAALPYSILAIVSGSELLLERALLALLDFARVDN NKTSNVTKVHAFNVLKIVLLDAKQTKWFDVWFERGVITSLRAFESPDWNVRNVGLILF STLVHRCLSPPRGGQDYYRSRATLASRTTFSTFHSKFPLILPCLIDHLSSGNTAEADN KHTPLFPILIIIRSLRWSEKNTDLPQRSMRVLSPYLHSREHQVRRAAAQALSSVLSPE EALQRVLETSADSMGPPNAAHGQTCLIHQLVENQIQWDSVTGTDKEAVGAVLLGLVKE HLPGLYPIITAESIACVESYLSQAKPDTSALQDLLVREASEYLESPTRSLVPGEEFRL AACANAILSHSPSALDVLSLLGPHSAETVNLIVLERLPQLENAYSTKLLNCVLTLAIT GQAGDAVSEKALDALAETDWKSLLESWREEGGRLESICQSLETLLVRSKCIPVKEAAL AALGWVVHMALGNDPQHLPVYDKLASRILCSSQEDEATDPHIQSQPARYAAYKALSYL GPHLASWPSPKLHQALLRLVQDDDEEIRQGAAAVIVGLAGREEGVVQQKAVEIWYAWA EQHLLKFDGDSAQTGEWVSWLHEVSTDRQGASHDSAVLAGIVNNDILFGVEPPNIFRD PLVDVAYASRLLNAIGIARRSDGHDLYTALSLAPEKTVTSLSPIDDAWEARKSAMRRG QTQSRREG L198_03143 MAATATNAQLYQQSHMDQQIQMPVPNLNHSLPPVPPQQQQSPVQ QTHYQQPPQPASLYEEGVSSDLADDRQSRYASQPAPLLDQSHLRPGNQANLLSHDRTL ELYRSNAKKTNDPELIFEFAVFMIDAAKGMVSPAQEADPAPSPQVTKQLEKREEILKE ATQLLKKLADRGFSDAQYFLADCFANGVGTVRGRQDFDRAFPLFILAAKHGHPDACYR AGTCCEHGWGCRRESAKAVTFYKRVYVSPAVGLHPGAMYRLGTAELNGSLGLARRPKE GVKWLKRSAEHANEEFPHALHELALLHERGIENVVFVDNDYAAELLSKAAELGYAPSA FKLGECYEYGKMGCPTDPALSIHYYNIAAQQDHKDACFALTSWYLMGSPGVLPQSDTE AYLWAKKAAELGLAKAQYAFGYFTETGLGTDANPAAAQTWYKQAAEGGDKRAAKRLAA GGGSRSAALDRRLEMEALKEERSLLSHSANSGSKSGGGKDKDKDGCLIM L198_03144 MSGPATQAPAMEETEFSHQIAIEMSRLMNMVNPNDLLGKRVIDI ARGNRTGEDFVKAVSAFGKFPRDAILSLHTRIATYLSMTHSLSNGNRGASERSPTRAS GRRSASEQEIEGMDHDDSDRLAPEPVRQGGLRRAGDAPVTFKAPQAARPSLLGLDRLA AEKRAAAAGGSGKMEPPNKKARRLEENDDEKDQASGGVFKVPVVPVRRDHTRIKPEET PSRGPGLSDEARARVEARRRERNQPQTGITASSADKEPSRQGLGDFQNRLNREGYEDR RRGGHGDRRDDRGGYGYREDRRDGSGGYRDDRGGFRDGDRREGKSWNAAPTPRTSRND RDFGGGSARIPNKGWDETPRGSRGFESRGNGSGESRGWDQTPKTPRGGSPEGNGLELD AKEWEEEQVKLDRDWYSYDDEGAVAADDDHNPFSQWENLEKAKEEELQVKAQKRQTAR QAQFNADNDLWETNRMQTSGVLSKGGVDEDFEDDSDSKVHVLVHDLKPPFLDGTVAYT KQLDPINPVKDGTSDMAVFSKKGSALVRERRERQEREKAAAKAASIAGTTLGNLMGVK DEPNLGEEGQKDGIDENYKADSQFSSHLKKTEGVSNFAKSRTLKEQREYLPAFAVREE LMGMIRDHQVLVVIGETGSGKTTQLGQFLYEEGYCSTGMIGCTQPRRVAAMSVAKRVS EEMECTLGDTVGYAIRFEDCTSKDTKIKFMTDGVLLRESLNEGDLDRYNVIILDEAHE RSLSTDILMGLLRKILTRRRDLKLIVTSATMNADKFSKFFGNAATYTIPGRTFPVEIY HSKSPCEDYVDSTVKQVLQIHLTNPQGDILVFMTGQEDIECCCQVIEERLSQLDDPLP LAVLPIYSQMPADLQAKIFQPTADGRRKVVVATNIAETSLTVDGILYVVDCGYSKLKV YNPKVGMDALQITPISQANCGQRAGRAGRTGPGFCYRLFTENAYLNELFASNIPEIQR TNLANTVLLLKSLGVKNLLEFDFMDPPPQENILNSMYQLWVLGALDNVGNLTPIGRKM SDFPMEPSLAKMLIVSVDYKCSSEMLTIVSMLSVPSVFYRPPQRADESDAAREKFFVP ESDHLTLLHVYTQWKSNGYSDAWCMKHFLHPKIMRKAREVRSQLEDIIKQQRLALLSV GTDWDIVRKCITAGYFHQAARVKGIGEYMNVRTGLPCVLHPTSALYGLGYMPDYVVYH ELVLTSKQYMMCVTSVDPYWLADLGSVFFSIREKNFDALARARANRDFSKKTEMEAEM ARQREEIERNKADKIRKEAVSKTPRIGGLGPAATPRRAGIGAGARANATPRRRPGGI L198_03145 MAVPGPPPDLDGPISGADFRRLARGNPLPGERPHPVGGGRRIAE AAAPPPTQNEDFSGLEGLFPGISTKTGAWTPGGAIQQGLAQGKGVHQIPGATTLPPPD HPHAWASPGQQANPNPMSASLWRGYDMPDKPGGGLMDKFGEWWKGPGGASDPWTAYPT SALPGLPSSIPPSHRPLPSGKDLDADWGSGMAYPYSGGMGGLGGGLGGGLGGGMGGLG AYKPDLMGQISFGQLSREEQRRLVKKHEKQMKEQAKLAKTAEKLRDKEAKRNKKKQAK KVKTEKKAVKRLEKQMMSGKHPWGGYPPPNHHPIPLPYAASVTRRPEATKPSPAVQPN PKNEYKFMYFPTSDFGLVKPPNSTGLPKEADGKEKWPAMTNFGMEDKHYHMRVINQPT L198_03146 MSAPEANPAPDALGPLPVVDGKSPEEVTELLAKVAKQVRFYYSD SNLPVDKYFFSLTSCNPEGWVPIKTILTFKRMQEFQPYGVPFVVYGLRQSIEEDGNDP LIAVSEDEENVRRSRPLEKNVHAWDRSVYIKGFGENDTDDNTQEKIEAYCEQFGKINA VRKRKEDVEGKNGKGKGPFKGSVFVEFAYEADAKKFSEQESIPKFIADGPDMVFMSKD AYVKMKAKEKGIPEADIQKGNVKNAKESGKFNAFREMERAKNGALPNLAKIPDNVAII GSRPEVKNFAAASDNKKKRSRDDEDDEGREGKEARTKAPEPLTIEYNGVTLECDRHSG KVLDKSKIVFTPESSVKFINHGENPDWKDLKSQITKVMPAPFIAFPPGNTWGTIAKSD NSVFSDEEFEKLKEAKMTFGGVEVEWARMTEEEQRNFWHARASFKGKLAANDLNEKEE EEKKRGGRGGGRGRGGRGRGGGRGRGGNRGGRGGKGGRKDRNEEKASGAGFPPKLQSA L198_03147 MVAKNRNTATVAAASAAMISLPRAVGASPAARWGHQAVYVKSQK AMYILGGEVSASGSQITNEVLILPLNSTSPSFTTGSSEGLPPHAFAAAALSSDESSLV LVGGMTSDCSSDGLAHTLDLSGDDGWTSTTPSKLSRRRGAQSAWVDNTSVNGEIMVVG GIADSYSCANSTSVYTASDILSLPLSTSALVSSRSLPSSLTGSTLAVSDFGLASDSSG KIYLAGGQSSSGDLVSLDTIGVWDSTNGWQSQSTTGDVPDGRLGASLVAHPSLDVLVL HGGLVANTTSGSYTSSHLLALLNTTSFEWSTPSDLQPDSSSAASYHSAVMTDEGVMIS AFGLSATNTPRSDVTYLDLRDTSQGAWTWESSWNSNMLNAYSTDTSTSANGATAASST GGVTAADAKTSSGTSSKKLASIIVPVLVVALLILPIIVYLVRRRMRVMKKRRMARHFS FSSQEESGAFTSPFDQYLAKRRSQAQWPFGGQDANEQGGGIVGGVTGSLGRMVSRISN RSSEDEHNNMEEGERQMAQVGQRVRVNADGQQPMNWEEIDFGLGKLDESKNHDSSHIA SSGAPVRDDRDLTVRTTTAGGYSDDVLYAAAEAKTPNSHVFGDEHAVSQPANTLSSAY PAIEPTPACTAVASDGDWNQLEQSLSSKPAFRSISPTAQLRSHAHLGSPSTPSSPTPP TTAAVQRTASLTRTVSQASTVPSIPPFEFERTESPGGTITLINPKDNSEAKEPETLPF SNKPKRLSGTPHRSVSQPISRQLAGGLSRRGSAPSSSGSEDGQSPKLSGVSGGQTAPQ KMRAVSASHAAPSRKASLSSNGSVKYPSASKRRTQLRVVNMAEADEEDQV L198_03148 MATQQNFEQPVQRSGESALSLSIGPSTPLPEPQAAPWGAKWRSS SWFITLVVALGTSTDILTYTIVVPVLPYRLQEMGYTNVSGLTAWLLFAYSAGIFVFTF PIAYFFHRHPYRRAPLVAAVIILELSLVLFMLAKPYWTMVVSRFLQGAASTVVWSVGF ALICENVEEENVGRQIGFAMAGVSIGSTIAPPIGGALYDSLGWHAPFVFCIIVCAIDL ILRLFVLERADIRRFYEKRLGLEAGALKPRIVDGEVVTPKHASLPDNSFMQLTTAEKE KLSGVELTPWQVLVALGRSGRGMTSLLTMFCFGLIMGSTEPTLTLRVQSLWDKSSDFV GLVYLAAAAPTFFCGPIVGALADKYGAEWIMVPSMIFALPWLPLLLLKKSLAGFIVFF ALANLLVSAAMSPTGLEVTMVSRSVPGISEIHQFSAMNIAFAISTAIGTIAGGQMYDH LQNGWAAVIWFSFASAVAIIPLQFFFSGNISLYRRLFDRKAVRERDIEERDSAANDGG RDKKAANGQMSPESTMRPRV L198_03149 MTVANEQPRQLPLPWIQTPLVRSATLSELAGCEIYLKLDNIQPS GSFKSRQVRLKALGGVGNLIRKAALSSRPGSPLHFYASSGGNAGLACVSASVLLSYPC TIVVPLSTKPFMIDKLKKAGASEVKQVGATWFDADKYLREVLLKEDENGVYVPPFDHP DIWEGAESLADEIVRDLGEVPSGVVCSVGGGGLLIGLCQGLDRLDASHNAQVVAVETY GAESLHEAVKAGELVTLPGITSIATSLGAVRVASKAFDYGMKEHVHSTLVTDKEAAEA CARFLDDERILVEPACGATLAMVYSGRLKEILSYKEHHKVVLEVCGGSAISSEILAGY KQMFDF L198_03150 MSPEPPLGPYPASMDSHSEVSHYQHYPDDADYDGEQPPPSSPDG SVATNPKADARPQRSRDGCLTCRSRKVKCDEQRPVCDKCRIKARDCVWPSEDEAERRR NKKRKAGSNGFTPRSFNGRSYYGRSVRGKEYLPHSPSPLHIPTISVPVDMPRPPKHAI KGTVHVPPGFLPAGSMETPERVVTKDKGKQKERDDFVDWLVPEKARAELMMEPTFLQP YFPSVDERLIIRHYLSKTVHIIIAFESHRHPWNPWLSIHAPLAFKHLPGMNSAADALR IAMLAVGSVHLHYTTHPNSQAIANKICKSAKDKVLSMVKQTLQDQDGRPKQLSHDDME LVLGALLSCTIASSLAADDSWHHLLSSVLILIDQLGGPENILRDAPRDRISPCRFFME QLAIRDVFGCMTTELAPSILQDAFSPWFFTAQTWSGTDLEWESVERMFGISRGMVDLI ARSCGLIAAVRALGKPLFSDNDPSPPASPTRSSQPLPPNSLSELQRAARGLMAEIQVW DEAGNFTPLHPRTQYGNLAYRHAIKIRLLRKVYGVASDDELVMNSVESIIELAAEMLA FYGKITWLTWPIVIAGFEIPRGHPSRRAALEMLGAFGPHACFDNRAGARMLSDFWAWH DMGDSDHAWEVANILNQRPFLD L198_03151 MLARSALLRACPLSAPARTLPIAHLRAMSTSPEAPIVPTDPSAV SSPLGEGKFIQTAGCLIIGDEVLNGKTKDSNSNFFAQYCFDLGIDLKRIEVIADDQDE IVEAARRMTEKYDFVITSGGIGPTHDDITYASLAKAFNLPLVHHPETLRRMWALTTPE RRKELEAASQAQREARERMALFPTWKKEGDAKSEAIFVEADKWVPVVRLGGKLCVFPG IPSLFQQLLLALTPYLPLPPASSKPFRHLIYTRQPESAIAPYLTELQARVKKEGIRIG SYPYLYQGVHVSLIGHDVDRIRSLGEEVIKELDGKVVSEGKLGDESGSKL L198_03152 MASPTDALDLLRTSITNNTPPTLLTAASDPSPTLALASYLSFPS SPSPIQLSKDTATRFSSKIGSVDEFYNVGQLYLAWVERESGVRDYLMKGQAGGVGYVA ITDRRVVVDYLLGSGDGEGRIVARGEEGAKSQAAEASAASATAEALPSALEATQSGPS SAAVPSKRKYEVDVADREFCRKLRTEEVELRDRNSALRSAGGGKNNAFESLAKNVIHD KIKALRTSLADSKGGKSAAPALALQSDLNRAKKARSTNPIIVISSSPTSLITMWNVKK FLEQGVFESSEQARQREASQGNAKAEDMIPVVRKRTGPHGDVTSKYYVVDSADALAKF GQDAWDRVICVITTGQAWQFKPYKWQDPRVLFQNVKGIYFHWNNEPVNPTVKDWNVTE MRIDKNRRHTDRQIVADFWRIMDGAKRR L198_03153 MPPSSNTPHHPLRRISTGSLSSLARSTDRANSSPSGLDFLLPAL TDLSDEAATLATNTSQMTALHDALGTFNEAFAGYLYTLKMNAFCVEWPEPIAPPPSVS AMPPTPQSRPSQSNSHSETQASANAADMTYMTTHSDNSMEQTPPARPKSRVGAGAGAG VKKAPPGAAGKKPTGALKKKREVRRNLLHRPRITD L198_03154 MALATFAFKTEFAVDMTCQNCVNAVSESLKNVEGVERYDIDLEN KQVTITGRTPPSQLLSALKATNRQVIVRGTSSSTNPNLPIQAAVAIMESPLPLPTSLA STSNPILAGLSGAAAAKPLPGMNEEEHTQKVFGICRFVQIAPKTVLMDLTVRLPPPAR VGLGQATDSIFNVYIASTGNLVNPPSTTGKPFFSLGSITPDKNGYGDMFKEVDGELWE WIGRGCVVEAASNAAPTVAQQGVKDAAKVEHSTSTIGRLFAGVVARSAGAWGNDKTVC ACSGRTMWEEGREMDQKKF L198_03155 MESIKDWIAPLISRIDKDNGGYSPTIVISGKTGYCCQLQKASLL FFLTFRSAESPQEELHATANDAQHHINLVFDVVETNRWEAELKDLAPKERRDLTQYQR ATFALQSFEMRMESRKTGQLPRVFLYVKKWHICGSSDETLYYPDVKEFGDSDDNDAGR NATVVISRWWNGGVISSPVSPSKATIGNSSLDHEDGQEKEIIGYQRPGKWSTENATAI CAAYGPGILDAGVAGNGDGDGPPRRQSQPYARWSPQDTISAGPSGSGPLVQEERVASL PTPPREPQTLNVSAVIPSPTSLSLPVQPRKRQSVSPSRRITDVFAPTLPASSQPASPS AGDADSGSTSSQGHNVLPYSPIPLSSAPPSSSPLPSASAVQAIRRAAAEVAPSLPPSS PLFSQPSSPSHYTQPGSRIQKQNGLQQKWDSGPLAQARGKAREQAVERYDYVDEEDES EDDGNEMEIKAAFGERAILPNSQSGKQDVRRLSPTKRQKDPDATPRSKRKRLAMEHVS DRLRAEAERDNDESQGKEDNRGSKGKAYEEEEEVGGHQTDGEDEEEDMEEEERGDSDF AGSKTKTQSPILFKPVPKVNGFRLDMFLRFGGLTEEYARKALEQAEKKRREQRRKSGP V L198_03156 MAPRKTVGILGGGQLGRMLTHPAALLSIPLLILDAGDYTPAKQT LLPPKSLAHPDGPFTSESHIRELAAACDVLTVEIEHVNADVLEAVEKEGLCEVQPSPR TIRLIQNKYDQKKYLAEKGVAVAPFEELPANPTEEDFRRIADRLGLPVMLKAKTLAYD GRGNSPLKSTSSEDIQASIDFLGDRPLYAEGWAPFVKEVAVMVVRNKEGEVRSYDAVE TIHRESILRVCLAPLRGERGVNQRARELAEKAVGHLEGAGIFGVEMFLMPDGELLLNE IAPRPHNSGHHTIEACLTSQFENHLRAILSLPLGSTALRVPAAAMVNILGAAPTMDAI DKMSDNALTVPGAAVHLYGKAESRKARKMGHITVTAESDAELHDRLRTLLFAQPDADA SWIDLISPPAPAPSHSHSRPLVGIIMGSDSDLPVMLPATKILDKFQIPYELTITSAHR TPKRMVQYAKAAAGRGLRAIIAGAGGAAHLPGMVASETSLPVIGVPVKASVLDGVDSL YSIVQMPRGIPCATVGINNSTNAALLAVRILGTSIPALNAATEDYSKALEDEVLAKVE VLEGKGWEKYVSDVLKK L198_03157 MIAKSGVEFGEVRAGLPLERLVPHLEQRIPAFKGPVRVEQFKFG QSNPTYLLTPAAPSQSFVLRRAPSGTLLSSTAHRVDREYTILSAINTHNASVAPSQAV PVPKVYCLCEDKNVVGAAFYVMEYLQGRIFTDVRMRSLEEKERWQCWRSAVTTLARLA TIPLSSLNLPPSFAPVPSKKPYFPRQVVSLLRVSDSQSKARSKETGDILGPIWGTNEL KPLLEQGAMHIAKTEIEHDIGAAVHGDFKIDNLIFHPTEPRVIGILDWELCTLGSPLA DLGNLLLPFSFPPLTPEQRTIISGGVGDGADLNLLLGLKGLSSKELGIPDREYLEKHW VGEMKKGYEYHNKNAAVWTWPIDGMAWVRSWMLFRLAIIAQGIAARAMLGQASSADAR ADSRPVFDFYGHVAWDNMVEAQKEEKGSKAKL L198_03158 MSTPNRCLVRGSISESPAILHNRAHQTVSDIDEFFHLPHIVRPG ETISSTKLTKRAGGKGANQAFAVARAAGQVDLDGAIGQDGVWVQDLLASAGVGVKRLL TVDDEVTGRAVIQSSQDGENSIVLHAGANYHVPATNAPPSISGYTHLLLQNEIPLAST LSYLSSAGQSSPPVITVFNPSPMLSPQQLREFPWKYLSWLIVNEGELGDLLLAFGSTA NPGTASEDELREKASAGILELAANEYFSPSVGIICTLGAKGILYYQPGQKVGYYPAAK LQYPVKDTTGAGDCFAGYFVAGLMSGQSLEEVLQSCLTACAICVESEGAMESVPTREA VAKRL L198_03159 MLLIILIFWIIRLVILYSKRRKSNQAVEPAAQFRHVANYLFTSR HDTQPSLDDDVEQGFNPGAERGRSRRARDEAPVARLTSESQASLPAYGAASLPVPPGQ IYSSGQVRITPDRTTVLLTGSLPGTTEAPPPEYTKDETPSTPRRCD L198_03160 MSALSAPPSRGPGICAMRGSCGRTSIFGADLPCPDDAHATQTSD GLLQLMANVCGPSYTPPDHVCCTYEQLATLSDRLQQAAPLIASCPACINNFRSFYCDF TCSPDQSLFLSVTSTQKTTEGKDAVKEVDYEVSTEFKDGFFDSCKDVQFGATNGFAMD LIGGGAHNASGFLKYMGDLRPGLGSPFQINFPDNDDSRYTRQPLSCSDATDINARCAC VDCPSVCPELPYVPPPASNKCHVGSVSCLTFSLLIVYSVTILCGILFYTWKQALKSRQ RRYERVALLDPPQSPTLAGNGNNGLDGLIGRGDDAESGPSGSIHFRLGRGASLLDPLE HLQPKQNRINASLRRFFYRLGLACAQRPLQVFTLTAFVVGLMSFGWKWFEVETDPVRL WVAPGSESATQKHFFDDNFGPFYKSEQVFITLPGGSPVNHDTLKWWLQIESDIAKLKT NNGVGLEDVCFAPAGQGTPCVVQSISAWLGEDMEEWGEGWSERLADCAERPGECLPAF GQPIDPRLVLGGAEGDWLNAKAIVTTWVLNNYNDDRVNPAEEWETVLKSYLISLKHPS IKISFSTGVSLEEELNKSTNTDVKIVVLSYLVMFLYISLTLGGGLPPNVIQAFTRQLY RAATRIGVYTRILKEPQEDNSDPSPSISLIPILLSINSRFSLGLFGITIVLVAVASSV GIFSMMGVRVTLIIAEVIPFLVLAVGVDNVFILVHELERQNSLHAQEPVRDDDADSVH SGRQPSGTSLAPEERVARAVARMGPSIMLSSVTEVVAFALGALVPMPAVRNFAIYAAG SVFLGAVMQCTVFVSAMALDLRRAEAMRMDCFPCIRLRPPIGLYDSDTPRSEGVIKRF MRTTYAPLLLRHEMKQLVLVIFGGLFLVATIGIQHITLGLDQRLALPSDSYLVSYFDA LDSYLDVGPPVYFVVQGGDASSREGQQRLCGRFTTCLELSVANSLEAERKRPDSSFIA SPPASWIDDFLQWTNPTFESCCRVRKRDPSVFCAPRDSERLCRPCFEGSDWDSTMSGL PEETDFMKYLEQWLVSPTNAECPLGGQAAYGAALKLSEDNTTVAASHFRTYHTPLKSQ TDYIDALTAAQRISSEISHRTGLAVSPYSIFYVFFDQYEHIKSTAVEVLLLAFLAVLI ITSTLLGSWRTGGTVTFTCALAVINVMGIMGFWGISLNALSLVNLVISLGIAVEFCSH IARAFMGAGSGLPLDKADGRREMDERAWTALADVGPSVFSGITLTKLIGISVLALTRS KLLEIYYFRMWLSLIISGALHGLVLLPVLLSYVGGQGYALEDTDEDWVTSQMRRPMDY EYAPFADTDSMSD L198_03161 MEDLSTGSESDYADSWISWFLSSKGNEYFCEVDEDYILDRFNLT GLNAEVVQEYPRALSLITDNLDEIGLDEETRESIETSARFLYGLIHARFIVTTRGLAK MLEKYRRSDFGRCPRVYCYSQPLLPVGLSDIPYLKAVKLYCPRCEDIYSPKSNRHGSI DGSYFGTTFPHMLFMVYPQMIPGKGQPLGSSAADVNRSMLSSVQSREGGSSVNTVAVA LKAERYEPRIFGFKVNEDAKLARWRTARRDGQIARLEAHEQEQEHDKEKKASV L198_03162 MSMYAWPLEMTQSSSGLSISDMSPLSGFRLSPSDGTLGEAMIRG SGQGSWRHGSKMTDNSLVLLTRRISDQEVAFGGAGKSLGTKESTVDGDKRKKPSLYPV ATLTLITSSLEGPVSTSLATSPSQCQRDYSKMDCSTVHPIDRIPLWHLAPGVGVLHGS TSASIGIRLGDFSAVNLVGDSGRMEKGEADKMIMEREMGDLGVRTEVMGVDELRATDG IGIDAIGFVEGNLEASGLLEKPASRPSSAAPVSVAGGRIVLGGDAEIGKDTTPLVFST PPRREQKSLPRRWQSHSAGSQSWPAPVTRLPGWRKGRKEMMEDILDEMGVLAYEESSA LVASEGFNNVQGITETDPQIHADEVDLLSLFGYTPAR L198_03163 MENTATFKMVLCGDGGTTTFVKRHLTGEFEKKYIATLGVEVHPL TFHTNFGTICFNVWDTAGQEKFGGLRDGYYIQGQCGIIMFDVTSRITYKNVPNWHRDL ERVCENIPIVLCGNKVDVKERKVKTGNVTFHRKKNLQYFEISAKSNYNFEKPFLWLAR KLVGNQSLEFVAAPALAPPEVQIDQALIAKYEEELKAAAAAPLPDEVCQ L198_03164 MQDITSWLTQQCDELPYGELAKPSSFSMMDAMKGLQMMDSKMDT GTRDKLQSTQLFDPNANISAEDLCFIMDRMLALEVSWYRGSNLCQSVYSCLYFHNLYM IEAPSDPLATGNLLTQLVLRAYILLFCKSIDFAYTEFAKGNVYEGEDCWLDHYGVPLR VSEDTDTVVAVADDALGWLESEECQLPVFLKNQLVYRLVFRRNFLQYIDASTLSASPT RQILLVMKLAAEEIKPCESLSDTAGSAFDKYMSSYLRQSMPLPDFRQLDFDQSWGEMK SMVRDLLRVETLLASGGWDQWSTRFTMLCDERADSGVYKSDILATEGLVQESANTNLV QVVLESVTHNTSFSSQAQSLKVWKVLLLKDVLTTLAIASQNGPRQRRSLIDLSHQLRE RATMAEYQAIPHISQTIHLLRLDCMLDAALGALDLELVHPADEREAWWWIRTVAKARI RGGTESSTIRARWAEAWESIGAGMEILLTAIPPSSLPISNSTQKVRFALKYKHYSKAI YMPDGTKRSIGVVPTYEDWIRSQDEMDNNPVCAYVI L198_03165 MPGAMLTKKDLGNSSFTTSDPGLTTSPFPRDTRSEEQLVAEQMD LPVEVSREYENDIDYREKLVLAPMVRTGSLPMRLLSLYYGAGLVWSPEVVDKAIIGCE RVVDPESGVITYHKGQGPIFTTHPIEKPYRKFVIFQIGSSDPALAVKAAQTVQQDVAG IDLNCGCPKPFSTHSGMGAALLSTPDLLLDILRALLTSIPLPISCKIRLLPTQPSTQL LVSRIIRTGIRNLTVHCRTRDMRPGVPAVWSRLADLVALGKKRGIPITCNGDGEGWSN WEAIRAQTGADSLMLARAAERNPSVFLPTGPRCNLTEVIPRLLAIAEYTKNPWGNTKF LLSQFKPSPPPISDMPKAERKRLSQVVAQCKSIDQAAAGLGLSLAKGTVIFASIVERI ESIQSGTNVWEERRLAEEKGEVVDEPQGLEERAEVDGFEVGVGQAEDEEEMAMNG L198_03166 MSGPPEESLRTLVLTKQREKEAAEIQRQKEAITKDSQRDHTVDR FVGVTENLDERLIKTTVGLVSLSEFQKTKSDLEEAQRKLAAQVKTDKPNSNQKVRKAK KKNRSKLSFDDEGEDDSGLAEFSVDKRGEPDQNPKKKSFKNPGVDTSFLPDRNRELEE AQERERLRKEWLAQQEKTKNETIEITYSFWDGSGHRKSVECKKGDDIASFLNKCRQQF PELRGTSVENLMYIKEDLIIPHHYTFYDFIINKARGKSGPLFNFDVHDDVRMVSDATV EKDEASHLLSGIPNETDNSTSLMLERWLNDRGITGINTFFQLPDGRYTIQTKSTVHM L198_03167 MAHSDPYNDPYGRQQQQYNTSHAADNTNYGQQPYHYQEENPYGE EPRYPTYSSDPTAGDYLNSSTEKVADESYPQGNTARAQPGRSGMARPTTSFAEMGPPP RSTGILRVWRKDERGKQWSRGGGVRTSLRFCCCTITTAIIMIISVVLAVLLYIRPPSV TLNSATVDSGSVSANTDGLSLKFDLNISVANPNWFDANFKNIEATVSYPGNNTNNFGG GSMSNVNFKGYTDSTFVFPFTLNYTLANDPDRTVLNDLISKCGILGTTTEDITVDYDL NLNLKILGLTVNPTISNSASFECPITESDIESIVGSSGLSRLTGS L198_03168 MYHALLTLAATAAIPLTTLASLTIYLATNPASPITTLFAPQPIA LPVHNGEALDGLLDQKDPFDIHNPTVCEDGTPVEPERFWAAMWRRKLAVLVLYLLPLA CNICLLAFSIVSDAHDDESRQRSIALSVLLLASQVITLLLSYWHLAQRDVASHWATTI HLAVDISTQFLVLAFLSLLPSSPLPSDPVVPTAFNALAFSITESPVGLLKTLLPILYL PSLVAILSVRRGPPLYLPLDAIYPSKIIASVPEDASALDPTQANVSPEVQANVPEWLL FGYATGVIRKGYVAESMDVWDLPILPATLRKSIPCALVQFQRMKKAYGHRKERLGRME GFNLLLVLAKVNIGLLVAQTVLATATAFGYYLPHYLLKLFINFLENDPTRSEPAWGWL LCFGLFTSNALIFIASGVTWSICTTYLQGKIRLQLNTMLFKKTLLKKDIAGKGSNKDQ VGGVADEAAKDKQRSAQGEKEDGDEDEEGVSSKTQIMSLFTIDVDRVTEFVFHLFAVI DAPLEIVVASIFVFKLLGISAMYGLLTAVLSLPLNHFASKIVVRAQENLMKNRDQRTA LMNEILQGIRMLKFMAWERSFETRVQSIRTNELSWQARNYQIEVAFNCIWALTPVMVT VVSFLHYTLVRGQTLTPSVAFTSVAVFAELRYALNAIPETFIQALQGFVSCRRIEKYL SLAEVPTPQDNDGQGDIILSSATFTWPQDDFAASAEPDTPPPHSAALTPKDAFTLADL NLQFPNGKLTLICGRLGSGKTLLLSGLLGEADLVTGNMVCPRSSPDAMQDAAGWTGTD NWIVPNLVGYVPQQAWLQNQSIKENIIFSSPWDAERYQQVLEACSLTMDLEILEDGDE TEIGEKGLNLSGGQKARVSLARAIYSRAGVLLLDDVLSAVDAHTAHAIMHNCLKGPIV EGRTIVVVSHHVALVSPGAAYIVALENGDVKFSGSRDEFVATGLLEELEDEDSQAKFT EAEQQERSAVEEAALKPAHKSVVSLSGTGNPSEPTSETSSLAPEDEVTLVGSAQETKS KPPRKLIEDEKRARGRIAADVWKTYFKALGGPWWWAFFLLSLAMAMVVPVCEKGWLEY WTGSDPSNGGHTSRYYVMGYSIITIVGVFAANIQYAIIYYGSLQASRKLHNSMLESVL FSTLRFHDTTSRGRLLNRFGKDIEGLDSSTADNFVRSVAYGLNVVITFISITWVGGMP FVLAGCVVLIVYYQAGSIYGQTSRDMRRLDSVTRSPLYSLFGETVSGVAVLRAFGGST LALHNMMKLADTNLLAFAWSWTVNRWLSARFNLLSAVMVGLTAVAILIAPSVNAAMAG FALSFAGTICHDLLFVVRRFVQLEQSMVAIERLKEFTELKREAAEYVEPRPAASWPEN GAIQVEDLVIRYAPDLPSVLHRISFEIAPREKVGIVGPTGCGKSTLALSFFRFVEASE GRIVIDQVDISKIGLTDLRSRLTIIPQDPTILSGTLRSTLDVFEEYDDVEIYSALRRV HLIKEEDTTGEAEVGDVDEVRNKNVFRDLNNPVSEGGDNFSSGEKQLICMARAILKRN KVILMDEATASIDYETDALISKTIREEFSDSTILTIAHRIHTIIDFDKVIVMDRGNIA EFASPAELLRDHKSKFYALCRATGRTEFKNLKALAADAERKRKVAA L198_03169 MPFPFHPEAFALLIATLAEDPPAFRSLKDFAACHRFPPLPVLNT STYKGPRGAHAFQHLAAGHTCTPECHVDRRQFQAANLDSTRWFPDNLPPMNPVYPAPP VQNPAVQNPAVLNRPVVLNSGLNVNSSSCRQSNGNPSQAAPGPANSHDLVALPTALRD TRILRCLLVQILENDDTTCLMILKTIIVHIEGTGAIRRYRFAFSLPPLSIADGLTSSM MKKGTVRVLWAVGAAMKRKRMKPTGSHISFNRGMFFRSLAS L198_03170 MSLPKAILYSWPSSVWSTVPRLCLIEKGYAEDEYVVKYVNICTI PTLVVPTLETTGDDVDTRYRSLRDTVSICDFLDQARGANTSQTSSERPAPALAPATIE GTLHRDPQKSLSDLYLAKTLSDQVIELIHLPAVDPNFIAISAVNEAELKEKASDGPGK ALSARKDALTKYLAEARQVVAGSTVAPKEGSTTFDQRIVQFLEEKLRTNEEVWKIYHG ESASETLDLFYEVSQKAWKERLPEAFSQLENLIKGPYVLGDHLSLADLHAVSWMTRIV SIAGGLPDANGLDSIERKTGANLGEKTKKFWELWIERESFKQVLVPASSAFQEMK L198_03171 MLKVTSPTRYTLPRPLRLSPVPRCARRFTSDAPSPHSSKDLLDL YRQLVADGRLKWDDEQVRCIMKLRHLLKTLGSYSPPLELLAKLSPVAPFIAQQQKPRP FWWNLRDKSPSGLGIDTNSEDEKGLVRVLSGEEELANLTTPRGFCLVGPPGTGKSLLL SLFYDLLPISKCRVHYHAFTLELYKQVFEEISRNRSFEEEEYEKVMKNKEMAGRKGWK SVFAGGRWDEQGGERVMWTKEEGMTFNSDFDEFQLIDATAAALIRDVLSWYWRLGGVI LTCSNRVPEDLYHHGVQRERLSGFLDALKARCEVVQVDGGRDWRLNDVKGDRTRWYKN ADAGFEGAWKEATSGCKVESRTITVYGRPLKVAKASDSACRFTFAELCEEALGPADYL ALVSTFGTFFIDDVPTLYLRHKNEARRFINLIDALYESRCQLHLRTPASPFDLFFPDA LALSSEEQEALTNERMMSAEALSATLQVPYRPNVSYYNNLTSSQQNRENTEAKKKGSS FSVLGIWTGEDEKFAYKRAVSRLIEMTTSSAAYASEAWRPLSGTLWQGDVDRSGPQQR PLFADTQKRHEASVSKQDERPSSDPLLFKIRIKKQADALPPVIKEQHVWGVADEWGKG AGRWGQGVKAYEQVDTIAEHRRRVMPGGGS L198_03172 MSSTHLTSIPQAVRVAASIDNSVDPDLKQQAIDYLTKVKHLSEE TWQLYLQGAGAERPSSLGRDGKEKQETNMRIFCQQVVDTALTQKPNVLNAESMRAMYQ AVVEFVGLEYIEGPCEGGQAFLRNKLAFTIAQLFLHAYPHIPSYLHPFYALLAPPTSS PPNFHPQLLTIRILLEVAQEIHDITLKEARVMTKERQERDGVIRDMIRSSGDDKVAVE GMLRLVDTGLERIHKGESIDKWGETVDSTLKALSAWIPWIDLGVSLNPTTLSFYHRIL RQPIFSFRTSAASIYRTLVAKGIQDPQGRLQVLRVLDPVSLIDSLESETANNQDEDVI AFRASLGAVLSAYGVSLVALFDNNEYPEQLRNEAESLMGDALPLLLRFLSDRQYEIPL SVSPFVSDLLRIYKRMFRPAAPATKPGQPPSPPLPVPELSPERRQFLAAMLDILIRQL AWPEDMEWEAPGNEDDTDEEVAAFKHFRGSCRSFIESIAQIDKSLHTEVVARIVASTL DAYTTGGAGVVAWQQAELALHLVYTFGEVSKNATRAAFFDLPPEVATKAARNKFRIKA DSQASGRTTPSSASDAADPSDKFEYENYPLSALGQLLTRSMGSGISSYPHPSVTLQYF EIIVRYVEFWKPKADVLPSLFEAILDARGVHNSDEGVRRRCFYLFSKLCRECRNDTIE PMVAPILDNIRDLMVITAELPPIDGPEDDPLLKATTGKTYVADQLYLFEASGNLIFAT KTDPAKQMSLLQAVAGPLITGLASAVERAQAEPTDLQAVLQVHHHLVALGHFAKGFPI VPDNQVESLPYSVPFMQMAEALLQAIEKLKGRRVVRDAARFAFSQFANAIGTPVAKLV PRFVSAVVTEFEPSELVDFLLFLQLLMHRLQGSSFETMDMLLLPLLSRIFAVLGQPVT GTDEAQVHTRLKDAYLSFFTTLMNENLDGIFITDSNKPEFESVLTALYNLVQDYADGT AQRLALGFFSRSVIAWGTSAEAAAKPSVFAETAQPTQSKMVSNGTAQPSTHAISLEQR AKQCLPGYENFIYQRLLPACFEVPANSEFNIRGGQLIVHEAAVLVRNTVQARGQEAVD FILNDLLPRLQCPPEIARQLVTSLTTQQAKDFKKTFFEFIKAMRG L198_03173 MLPAFRATALRTPAAFRPALAITRPLSNTAIALRPSTPTSSINT YSHAPSTTLPETTIAQQGSNQLSLETPRNGAEYVLSTLDKVVNWGRQGSMWPMTFGLA CCAVEMMHMAAARYDQDRLGVVFRASPRQSDIMIVAGTLTNKMAPALRKVYDQMPEPR WVISMGSCANGGGYYHYSYSVVRGCDRVVPVDIYVPGCPPTAEALLYGMLQLMRKMRR NRQSVRWYRR L198_03174 MLQRAALRRPLGTRRPAPRPLRLRAPPASRPFSWTPWRSPAVPA PILDSSIPGAQPLPPSAIQSELSQAAPIPPADISALAPADTVSQVPQTLENLILHSGK SLPDVLNSEEAVHASMKVSDLSLMGYEHGILSPMGWFTDGLVALHTSVGLPWWGAIAV TTVAIRLALSPLIISNQKHSTRLAAVNPQLQELMAEIQSASKSGDTHMQALVSQRMQE LMRTHKVNPFKPLLLPLFQVPIFLTFFSIVRGLTALPIPQFKEGGFGWIMDLTASDPY YILPLTSLAFTNLVFVLGADGVSTAAKSGATPERTAHIRNFVQMSTVISFPFIMHFPA ALLCYWTFSTGFTLLQSLALRQNIIRKMLGLPITPAQVAEPGAASIKDPSYLDTLKAI KNFATEKMDAAREQALEQEEEKRLAQRRAVNKRPQTDFVEKIQETTAEKEMPVSRKKV DSREAEKQRRIEEARKRRSRA L198_03175 MTSLGVKRDPLSGKAIEEVNNPGGGVGHGTWDVGKGDVFIWALR GILWDLGEGDRTKLVYRCTTWSFLCSKPKANCVTSLALSFPLVITLPLFSFRSTCLTI QRPTRFANEESQTGGDYSLNINTVSTLSRMLDRENLWVQAFLGTRACLAECDTTPSFL IKAVEPIVRDVRRYNRPRASEIGVIIPAGQGSVDKRNIITQGVTG L198_03176 MSPTTPDEPALGASKPKIISVFYDEDDNPLDATAATGRPVLCLD KAGKIILGPVPSTIDITVPIQSDDKGTSYPGHQLPPHMLSGPTPRAPASESQTSSQDS LRLSDLQRAQVDPPKLPSLSSLCTSNLGVVRHLHDLQKYFVEYNSRFSDSTPSTPQWR VGQAKSMAKVDAYHYWSMVTGAACVSWESWQDEFRKKALSPNWESETRRVFEGLQCQG STLAAWTADCLGAITESDMHQKLLFGLPSHILTRVEDRLEDRGLGRSVISLPLLCSII DRMFRTEHPTPPPAAYSSTTRQFRPAPAATPRPSASSAVPTTLSNAPTHLNGRPLTPK EQGWITNRKLPAKSTDAGDRARDFLDKNKLCYFCRKAGQMSGQCPRRLEEAVVAHVNI DNIDEEGDMFYAAVENDIDAAVRGGVPLHWRRRRGENGGSLSYELAGRKNGEEQRRTA ENSEGSSGGGAT L198_03177 MNPDHPNEPITSGEEKEGEKQEEKPKMNAVNNVEQDEKDGGRTK EEDEIGGEKDDKEGDKPKAAPEGGQDVDQTGSITSHDTSVDSTPGPRVRQGTPWPDDA PNPMAMFGLMAGLQQQMAAMLAQQQSTPSIQQQLLDLLAQRESAPAPPAAPAPAENAP ATSDNPDLFRRPDKLTCPTLTRVTRDPFV L198_08302 MPAPPSTPATAKRSNKKTDGDDASGWRWKHNKKNSTICVGLDGR TGEDHLIDWMSVPENWAAYSDSNDRAKFAATVIYKWLLEKQIKNFDKAKASGVQDRIQ KMKKAFDEALAIQKPTGEGVTEDDLARGIETWEAKIKEKCPFFFTLLPSLKDRNANIT AQSSVHQMGDREVSITPSMARRVSSRSDIEDQSVGMEDEADENDDIFSVRGTRANSLS TDITSNNHGVADEPTSGARGAGSLSNGSRARASLNAQDTPTSGKGRRGNANMNEQLQG LFKDHSTANIKGRKEIAEQQASHNQQDVHDRLSLRSPLTYIRHEDGVGLLVRWEGRER AAEGTSCVGGQRYMASER L198_08303 MSGDDAELTKSLNHLGGKDVQDTEALRTRDDGHHRVLSSSSTPG SVAAGSAGADRLTEVTRDVVSSPPTDRTPDALPSSIHPDFSSPTTTLASMPTEPSS L198_08304 MPKQWPTIVKLETFIPSANGSGGDYHRQNTDHWIVQGNISCPMH KYEEYKVSRTSWGIGVLGIKVHASDGTVGYATGFGGPPACWLIEEHFKRFIIGQDPRD TNKMWDMMFRASMFYGRKGLPLAAISVVDLAIWDLIGKIRGEPIYKMIGGRTKKDIPL YLTGPRPEVAKKLGFWGSKVALPHGPSDGHEGIRKNVRYLKACKEAVGEDYPVQVDCY MSLDVPYTIALIKACEKAGVEMNWWEEVLHPDDFDGHVKLKEALPHIKFTTGEHEYSK YGFRKLIENRAVDIIQPDVMWLGGLTELIKVASMAAAYDIPVVPHGSGPYSFQAIMTF PNSDFCEYIANSPDGKSIHPSFGNLFTNEVLPHNGVVDLTDEPGWGLELNPEAELVPY GSFFRVDRGLGAAGAGAAGEEEGKGKGKGKGKVNGKH L198_08305 MSFGLLKGKVVAVTGCSTGIGRAIAIGAATNGANLVLHHFGDHS TRFDIAQVESACHDLGAKTVTVPGDISDAKTASAIVSASVSSFSRLDILISNAGICPF HSFLDLPHPLWHRVQNVNLNGAFYAVQAAANQMASQSPKGGSIVAVSSISALMGGGEQ CHYTPTKAGVKSLMESCAIALGPMGIRCNSVLPGTIETNINKQDLANPSKRADQIRRI PLGRLGEPEDLVGPALFFASDLSGYCTGASVLVDGGMAISLQ L198_08306 MSGDDAELIKSLNHLDVKDVQDTDASRTHEDVDRRVLSSSFTPG SDAADSAGADRLTEVTRDVVSSPPTDRTPDALPSSIHPDFSVQTSDDFLLVTDDDVGF YVNRDFLLEHSDFFRDFEEMDSDIKQDDAGKGRTQAVRRDMPGALSNGLRVVLLK L198_08307 MSGDDAELTKSLNHLDVKDAQDTDASRTHDDGDHRALSFSSTPG SVAAGSAGADRLTEVTRDVVSSPPTDRTPDTLPSSIHPDFSVQTNDDFLLVTDDDVGF YVNKEFLLEHSEFFRDFEDMDSDIRQDEDAWKGRTQAVRRDMPGALSNGLRVVLLKVK ETKSWPDAYDRKRRVFRSQRIWEYDHEDLALAFGIANQYGFTRFSAQARLSTPKTSTW FRYLYVAFEADETVAKAIAKSTLYFELTAA L198_08308 MSMQRPDAPLHRLPLPTELALKIFDIYTAITRPGTPEFLTLLTL SRYHYALNIRRLYRDAKVKEGMADTFLDMWMRWAFHAIQLLAAKRSMEHVVDEDEIVR NLLCPIKCTLTGFNINTLIFQNAICFAKISELLSIPGSSGWYLWELHPSLPHPVRLLH GAKTVVFEEQTLGGGEMRMVSYVLDGPRNGYTHYGAERLMFVMPSRPFHRISPLALNW LGVLGRRLPHVKHVTLEYRTAVVGERATLFKKRKHRLEQIDQVESKIKSWGKSSLQVT VVEPSGP L198_08309 MNDRCGQTSSSDTHPAVLLPASSPSSHPFAYARVVGLYNANVFV KSKKEDIPKYQLMPIALVEELDLDKEWVWGFEARRLPRVRARPKRVLVYTWIDPSMII RGCHLIPVVSSGEETEPGPVSSLWMPICGCDTGPGHTGQIFFPHVPCSHKGLEGGEGW RGTPPAPDTDSVLTRVGNEQGRTEEEADEVDHGARDGDDAGEDVDGPDSNDDAMNSEE IDDDQEMWGDDDAMSGQGDDEDNEDDEDDDEGDTMVFFDEGNERDY L198_08310 MNDRCGQTSSSDTHPAVLLPASSPSSHPFAYARVVGLYNANVFV KSKKEDIPKYQLMPIALVEELDLDKEWVWGFEARRLPRVRARPKRVLVYTWIDPSMII RGCHLIPVVSSGEETEPGPVSSLWMPICGCDTGPGHTGQIFFPHVPCSHKGLEGGEGW RGTPPAPDTDSVLTRVGNEQGRTEEEADEVDHGARDGDDAGEDVDGPDSNDDAMNSEE IDDDQEMWGDDDAMSGQGDDEDNEDDEDDDEGDTMVFFDEGNERDY L198_08311 MSMQRPDAPLHRLPLPTELALKIFDIYTAITRPGTPEFLTLLTL SRYHYALNIRRLYRDAKVKEGMADTFLDMWMRWAFHAIQLLAAKRSMEHVVDEDEIVR NLLCPIKCTLTGFNINTLIFQNAICFAKISELLSIPGSSGWYLWELHPSLPHPVRLLH GAKTVVFEEQTLGGGEMRMVSYVLDGPRNGYTHYGAERLMFVMPSRPFHRISPLALNW LGVLGRRLPHVKHVTLEYRTAVVGERATLFKKRKHRLEQIDQVESKIKSWGKSSLQVT VVEPSGP L198_08312 MSGDDAELTKSLNHLDVKDAQDTDASRTHDDGDHRALSFSSTPG SVAAGSAGADRLTEVTRDVVSSPPTDRTPDTLPSSIHPDFSVQTNDDFLLVTDDDVGF YVNKEFLLEHSEFFRDFEDMDSDIRQDEDAWKGRTQAVRRDMPGALSNGLRVVLLKVK ETKSWPDAYDRKRR L198_08313 MSDSFQNNNFHNSMMPFAHSDFTQHQSPASPGTLSYGQLFEFNP RSPTPAASPYGQPFTLSSGTSNCASSSNPLKRQISSASLEPSTPVATGSRKKAKAGPV KEKPVGKKPKIKASAELDVDAIGSSRSNKRWSNEELQVLLEFLRDKKKESTSEGAFKS EVMTKATREVNDWRKTKDLDPRLKRAISETYSKLCTDFRLLVQLREGKGSSGWGWDHD KNIFDIAPPVKEEYLKSHPKHARPSAVEESTQENLSDIGSEQDEDAEGEEVERSSVLA PDSDDEGEQDSDDGEVIEVVPPAKQKRHSAVATPRKEAPSRASKLSGPEAMQQHGQNL QFGLDRLATSLMNDVDRASRNELSSLLEDVNSSQLFSPDSEDDIYEMLCSQPRVCDFV MALKPKDRRMRFLQNHLNKQRGGLSQLLE L198_08314 IFRKVLKSITSPDFYGRWVQQPTSETPIDPRLADNPKFYPFFRE CVGAIDGTHVPVTVRDISPDAWRDRNGQRSTNVMAACDFSFRFTYVRAGYEGSGNDVN VMENAIEDDFVVPHGRFYLADAGYGAHPGLRVPYRGKRYHLKEWGKAGTRPKNKEELY NLRHAQARNVIERIFGVVKERFSIMKHGSEFSMADQFRTIYAVCVLHNMITTKEGIQR LGEELFPSILNLVAGVYDENDPGHIEEEARGKEEDKLLAKELATNQKLKRGQNTVGLS RYTVRKQADKVRDELAQDMWMQYTSYNARQQLANL L198_08315 MSPPDDKPADTAPGAPKFISIFYDEDDNPLDTTAAAGRPVLRLD KAGKIILSPVPPIADMTVPLQSDDKSTSYPGHQLPPHLLSGPTPRAPASESQTSSQDS LRLSDLQVII L198_08316 MGPKTPQTPKTPGNAMFSKGKSAPKSAPKSASKSDSTDGDNSRF QWTRSLRGHDSRFAEAHLVDWMRELENWENWGVTMEAQIKQAREEVIPYLEDAGFSTA SSLTPKNVVARIKAIKAKFSQAQVLSKPQITGEGLSDEDVENGMTEWKERVLAKCEYY FELEPTISTSTGDVTKGLRTHDVASRKSDDGPETDNNLGAERMSVYKRKAPVDDDTDE GGDLHWPDEEGRANKKKGGRGSGGRREAREAVDFSDALATISKENRQGQMELAMFRAQ EEKSGKMELAVYQAEVGQRVDDARMEREDRRLEMEATKMAAEVEAMQQRLTMEQEGKS QEREVAALRYAKELYELSVTVGEPISLPEALATAKKAMKCAK L198_08317 MDKNFRRNARMTKDSFIKLYHLVKDHPIFYNRSRNPQEDPAFQL YVCLVRLGRRGNGMSHGEVEEKCGVSPGTSLNYTLRTIEAINSVLSDRLSWPNTAERE RLRRALGEKSLFGHCVGYVDGTLIELEYRPARTDQSTFYGRKNKYGFNVMAVCDINTR VLYLRTGFTAAVHDQRVLNSCQLVQEPLLFFSPREYIIADCGYTPSDNVIPSFKRTRG QALADNALSQMQTAFNSLVKRQRVVIEHCFGYIKVRFQSLKLLPCQLQSDDNHLNRVA LWIRVCFLLHNFLLDEEGDEFWSDIDRPRFNQEAAAEAAEHVQVMAGAEARMTEGDRR GHGVRRMELVREIEELQGYGRNTIYDEGIFDDAF L198_08318 MSPPDDKPADTAPGASKPKLISIFYDEDDNPLDTTAAAGRPVLR LDKTGKIILGPVPPIIDMTVPLQSDEKGTSYPGHQLPPHILSGPTPRAPASESQTSSQ DSLRLSDLQVIIESMQRHQTELQRAQVDPPKLPSLSSLCASNLGMVRHLHDLQKYFVE YDSRFPDSAPSTPQWRVSQANKSIAKVDAYHYWSMVTGAACVSWESWQDEFKKKALSP NWESETRRVFEGLQCQGSTLAAWTAFEEKAGECQMVLSDCLGAITESDMRRKLVFGLP SHILTRVEDRLEDRGLGRSVISLPLLRSIIDRTFRTEHPTPPPAVYSSTTRQFRPAPA ATPRPSASPAAPTTSSNAPTHLNGRSLTPEEQGWITNRKLPPKSTDAGDRARDFLDKN KLCYFCRKAGHMSGQCPRRLEEAVVAHVNIDDIDEEGDTPPYLCRRRSLG L198_08319 MEGTTPQHFDAFASSIRDYQHLLSSSSDPLSELDVKRFLKEGLS SHMLVLDVENALEQKVLTLYTISVADLIALMSKRVTVCAAQLAAFQSHQAASRPFPRP APAQASRPNQARPAQTPIKAISAAPAPVAPAVPPTAAEAQAWLDNTLRLPPGLEGARA REYLRQRGLCFRCRQQGHISLGCPTFQAPVASLASMPPQTPAQPSPLAPPGPTQESVP LLYVQARLSADGPSYQTLVD L198_03178 MMSDDQRQFYNSLTYEERQLYEGSMGLQSLHAAGYGAGSSGTAG QMPQLGADDDSMLMDDDSQPSSAAYNPELDENSDLAQGFGELWWESSQQHQ L198_03179 MKIAVIGSGLAGLTTAYLLRKEGAEVYLIERSPVLGFHSESLQV EEKDEGEKKGRKGWVVNVPMRGFQGGYYPLLISLYTHLQLPIIPQHYTYSFSSESGTY FIHSGASGWSIPSLPSKALTGPFNFLRALLNLLGVAISYLLLVVLSFLAWHDILPSQL SSPDLTVGQFTTHLSSFLNRPLPIPFIGWSPKTPLGYAFESFMGTIVLPLFSAVGTMT HQDVCNLPVRILLEYLHVTLGTAHYRLGKGLASGDVTARLVGVVEEQGEGYVNVGTEV RGLEYAVGGGVRVKLRRVSANGDGDGNREGGGGGGGEEGVESLRVDKVVLATQASVAR PLLEDLEANLKTWGEEKERRRVRRMAEALQSVRCRETIVINHKDTSLLPSSPDRRSIN LHLPSSPSPSSSSAAPPPAHEDEGETPFFPPSGESVYTMATEVIVPPQGSGGGEVVLQ TTNPVVPIAREKVLSVSRLERALALKDPLATLRNLQPHSPNALIYLAGSYVHPGIPLL EGCVASARKVVEGVLEGDRYYHVKKLGEGKGRGEGKDLGVGRKVGKGGIKGKGKRGVG GVDWSVGEGSKVGRVWRWRWNKESVWC L198_03180 MAALTARRPPNPPRTSTAPTGSSLHLTLPSSSSSSALPPKDTDH RHLSSKFKHMFENQKYAIFNASVTLHEVGNVPQLEGEFAVGWRFRGKKPRGKESLELH EKHLGPLPKPSLPNLRISNPSSSSASVKTNSTSSSIPAPSTSGSLLNPEHHRSQKPHK LFSLPPPLHLGHHAPDQRPEKKSSDPTPLKQVLTSSDMEGGHMQQWPMESPGIMEELD GDYFEEPSGSSGSWERPESAGGTSSGSQGSISVVTGGPQVNVHRASMNSPSTPILRDQ QNRAGQLSIPFPGGGGGGGKEGGLPAPVRSGTMPSHTPYLDAFEKDSLAPGPPGTRRT LSANTAATAETSSFANSFHPHSRDFEKTRLPRVRSTSGPMPTLGGGGGERDAELVSTH RKGETPVRPLKAHTCKWDFELHHTLRIPLFKPNTNGAGGGGGKKGDAMLLGNGPTSES GLELTILQYPANANAAGGGGVGKSVQASARASPVPSMIGGSNPSVVASGLEAVTAGKK DGRKLDRTPVKFGTVNIDLAPFAAQILASHSGGPTSASGANGPGGGGGGRMTRRFLLK GSRTNATVKVSVEMEWMGGEANWVAPPMQEGHHVMGVNELMVDNHDSHRPDLLLAKTP SASSSDSTPLERTSTNMTTLSGISNYSPFSHHNTPGQSNHSLQLTRTRTGGTSGTLGT LASISAGGGGPYDTYEHHLHAPSPSPTRSPRRKGKRVELDLEGTTPKAPSSSRMGSPV RSEPDRDRGRRHHSTRTPRKPSPSPARSLLNLDVTRPSPSRQNTHSPLPPPPPLIPSL HDMQHTPNHRHHTHHLRPGHTPSSKHTDMHDLPPETIIEAIFNPHPAKEAGPFTYVSL NADGHPEGYSMGLGKGEVEERMVRDANGDEERYAYSRSGLGVDLEGGVDGKGKMGGGG GHKLGWRMRGRAKAERGNTARVVSAQGCGGKLKGKEMKSNST L198_03181 MDDSQRSDEFLYQARSSVEPLQMEEKGEEETHRLASLSDKRKAA LLGLFALANFIDLANSTGVAVAAEEIAKDTGLSTSQVVWTITSYSLTFASFLLFAGRL SDLYPAEYVFEGGFLALGVLSLITSFVTHSKYAFLVMRGLGGMAGAMSNHLVVHMYPN LTEQAPKLAILSIAGGVGNVIGFILAGLCMLASYEWFFRLIAILCLCSTALSIYFLPH TWPLIPARHPRSHEDSVPNWRRMDIPGVVMMMGFLVCLILSLTQGPIDGWGAASFVAP FIVSWPLIIGFFVWESKIPANTAILPNTVWMITNAIIASLATLIPMGFYGTSQLLFAN YWQIAFNWKPLHTAVALLPQGIVALVTGALVKSIPALVDKPRWIIPASALLIVGAEIL QITSSGGEGVKYWSHLFPAFILGSAGSMAIMIASSINFVRMCPPEMAGVAGAWTGVVF QVGGAITLAVQAGLESPNPTTFMDSGAKAYYFIIGWTVVLAGAYGVFYRTPKSVGEEH EEARRRIGSGPSGASFGQA L198_03182 MVQFTGFSGASLDPIEGVEYVEVKVPPIKWRNDVTKRFLTLRER SDDLEKQRVRSISAKRRQTLRGKEKKKAAEAQTQAETAQSGMGDDEMASSSRQLPDYP GAELDETYVQQSLGSCGTQTTRGLSGKSPLMTFLTLTRRGYATAPSEIPRVPTNITVR EPF L198_03183 MSPTTTISSKALTSSQSHKIHNNGSMDEFDGRTRNAKAQKRHRE KQKARTKALEESVQILSSQLDDARRQLGQMPYGSSNPRAPITIHSPEYAQLNAENQYL REENQDLRRQVYTLRLTYGGPPEAGNPADFGASPPLRQGSSHGHHPRVVTTVRTPTST TFPGVDGFRTNSGDSSRTRVMSSSSVRPLSAPSASPYLNSSSFGDMRNPSSNPTATAG PPPGSGVAQLDRSYVAPRYEPYYSHNAPPPHALPRSQAVYNAGVDMNNYRSGETSSGG DNFFTPGEVSSHLLQTH L198_03184 MSRHQYFHNDTPFGPTGFIPAYSLPYQMNQQPQASASALHHLAP ELFQSSPASHTGTSQSQSSSDGPASQGNWGSSWANEQDWATASRSLMAQPGPSEIAPQ HLRLVASESNSRGNSRGYADTDLASGNVNESVPQFHLSMPLGGPNLGGLPCGESSTAQ YGNRPPNATSSISQLASTLSPLQSEAIKEPPTSTVEVGNKYQQLLASKLLDAPVSLPS QMTAPATFGQFSPPGTFCTGLAIMRAILTDSVPGLSDSNRYEHLVDMKMKSSTMEAAR SDSRDMSREMAQFRKSLLEDGCGMMDSGSVPTMARTNTISEKSQHPSYSGGVLPLASS RSETSMLQPLPDVAPRLLDYGNAQPWSFQPIASLDLETHLADYLPQPLHDSQTHATAE ILQSYHKAFGFPGGHVESTGPSLAGSRTGEWPMIATSASQTQTVDQSSSSSRSDSFPF STPKFSVPRSQTKPLHTPEAILPTARPDALASTPGSPNPPLLVIRVHEKKILPPSASD LQDANVTPVIRIIPSRMGPARPSSARKLVQPAYESNMFTEAQVVKKRGRPRKDEVSLQ PSFDALAKRKGEEEDGESEEEDSESGDEKGCGKDGKKRKRTRRQTSIACNFCRSKKLK CNGVRPICSVCARRNEGDCVFETALRRRGLAKSRKPSRLRITPLSIDTPLPDFVSGTE LSSGVSSARYDFPTPTPAVKGLREGEQDFRRAK L198_03185 MGTPLIQPHIPTASIKTSYSILFHLISSSSQHVIPVHIPSPPPP SKLKPKLTLHTPHSRSTSSQQTHDPSKAHVLLSTYAPLRTYPVLKTSNDEEDEGHTSR IHSAWTPCDPVLKDASFILIPSTQGHVSPHSAKTSIKAASRFVASYNKCFNTLLRARD HNETTYEFDCSTPEGYTAVDEACREEHASLQRKAAEQAYDEMPSGTQNLFTYQQVPFQ DFLKHDLKNTHQEVSTKDFSDVLTDEQYHDKLARNFHSYRACRRLTDLGDKYPWALFT TGIKEPTDLVQSNITGRWVPVDPQFDVLGAAGNLDASAKRQVANALDVLTKEINGKPR TENGSSNAFSSGLSSKSSFADYHDFNHVSEHLVYLDCLKYAKDISDVVEVDGLPVHCE WVTPETLFPSKRSDAPRSYRLGNHRGYEEAMKDKLAKANKR L198_03186 MLAHFTTTTRRTFAYFPAHQQRKLIMRSLSPSAGAASASTRALS TRTQKVLAALDLPTDPSTVLPGVFDGEWKGSGEELVSRCPATGEVLARIQGASVEETQ AAIKASKAAFLKIRSLPAPKRGDIIRDIRAALDSKVNELGDLVSLEMGKIRSEGKGEV QEFIDICDLATGLSRSLKGNVLPSERPEHVIYEIPNPLGVVGILSAFNFPVAVYGWNL SIALATGNSTIWKPAPSTPLISIALTKLLAPVFAKYDLPGSVAALVCGGVDVGKTIVG SEDIPLVSFTGSEKIGKEVGKVVSDRFGKSILELGGNNAVVVDKDADLALALQSVLFA AVGTAGQRCTSTRRLLLHKDISAEFLSKFLPVYDAAAPASHLQVGHPLDEGVLIGPLH NEAAVKRYEDTIEAVTSRGGEILTKRSGRIPSSSINNDELSGGHYVYPTVIKPSKNDP CWAEEVFAPILYVTEFSSLSEAIELNNSVPQGLSSALFTTDLKSMGRWLGPEGSDCGI VNVNVGTSGAEIGAGFGGNKSTGWGRESGGDAWKQYVRWSAATVNYSSKVALAQGVSF GVSA L198_03187 MSRRDVDEAALLKLYGIASVYPDQWESIDHEQEGPLAGAGGLGD DGRNAGEVNDPLGLRGKLSGTMDLDLKTRAATSLSSKSFDPKVFLSAHHPDASYQDLR RGISNLERAIESRSEAVRILVEDNFDRFVAVKASSEVVYRDMKEGFLADDTDHGTREL REIFKVAAHRADQVFLPVLENAVKASKLRSTLAVFEKSKFLFTLPGQLLTSIQANQYD QALRDYKKGLYLHESRSGGGGGVIPGVNASREQQKRVFEKVWGSVEGIMGEMRNKLNA QLKDVTRGVEEQERTIEILIELDQSDEAAWTYLEYQHAHILRSMKAIFNKHQEKARAA ERACANQNVAPGAYLVLLRRQLATPEYQPDPLSPTPTDTAWLAIHHLSKDLSSHVSKS FSAFWRIGKSCIQGRYSKRESSGGSTIPPSNRPASVCRTMALEIMKLYVGLVSGFFRL SDGGVAESGFANASAARARAGDGLPPASPSTGWVPQGSTSVLVGYFTEKLVDEIADCA GELGAYDVGNNEVSLMKGLVDSMKWKMEEVLATSWAKDAKLLHGLEDWSSPSVSSNKG SIRYLAVVESYQLRMTTAAKRVAANTRNGGGRDEKEGLGGGFKRRIREVVVETECYCF DGILEAARDEWKNEVQGKRVGRGSRVEELDISLETRLLISLSKFHHLKQTSIPAISSK VSKLLDVDMAKEQSLLLQVVGGMDEQVLKKYIGERAEVQDKIVREAVLEGTDWVNVGK PTEIRPYMHKIILLLVDTHAQVSNIAPARLAQVLQALVENVTQVVLGCFQRIRQYGLG GMLTATVEIEYFHSAVSLYITPQANGTLTKIYDTIGSVYGRQQSPDDLNKELDGLRRL LAASRKAMGMETLCLRAVKEG L198_03188 MSQEIILPAPADFHVHVRQGKMCELVTPQVAKGGVKTAYVMPNL VPPLTSTEAVLAYKKELERIEPSVEWLMTLYLHPEVTPEEIRKAANAGIKGVKSYPRG VTTNSNSGIEDYGVYYPVFKAMEEEGMVLNLHGEVPSDAEKNISILNAEVHFLEHLTT LAKAFPSLRIVLEHATTSAAISTVASLPDNVACSITAHHLYLSIDEVAPQPHHFCKPL AKEPKDRKALQEAVKSGNKKFFLGSDSAPHPLSSKAPGLTEDGAVSACAAGVYTSPIL IPLVATLLESFGALDKLEGFVSGHGRAFYKVSAKAGQEIKLMKTGEEEGFVRGTFKGD GVEVMPFWAGKRLGWEIVA L198_03189 MNIVIDDFSPQIEYYASATSASGWTTNHTADALLSSYSKGTFHG TWGDGDRMVYRFNGSAVAIYGAKRDNHGIYGVSLDGGDELTADGYSATPIFRQLLYTR GGLDDSEHTVTVTNHPNSGTSAADGHHWLDIDCIETTSSVDGSIYTTIIDDSSSVIVY DGWETYLDESNNVLFYNLTDHTTSTYGATMDIPFTGSSIQVMASVNSGHGNYSVSLDG GAASTYNATFDASAWQLPIFTATNLPDGNHTVRLTNLGSGSTNTLSFDYAVVNSTISS DSSSTTDGLSQATTSANSTAPTASTSATSISESSSRGTPVAALVGGIVGGIVALVLVA GLAFCLFRCRRNRRRYGDDDAYYASAWSPWREKKRSSKGDTLDLGEHPDESMAGTPMG VAYGTPVTPFFHSPHPQSHANSHPQSNSYANSHPHSPHQQYPAAPSSGSSGEAFYYPP DARQAPSPSSAPHEHSPFLTQLPAPPASSATSYQHSAPSALPTSYAPSALPTSYAPSS ASVISPDRGPSTRNVRTLPNTPARQSSADSGMTTAPDTPSTTLSEKSRRPLATAGANE GGLPRIDSLGSFGTLQEEASVGRLSGYGVNGHVHGFGLGHGGHEEDAGSLGMEELAPP DYTQATQPLPGQHERSV L198_03190 MSTFDQNYFNSQQQAMSAPYFSAAAAGTEDHLTNSAVNEAGPSM GKSTKSGTKQSKKHRPLSELNEEEKRKRGESNRKSQRKLRKNEKDKIADLESRVQAAQ TSLDDTQYEQAALRNALSDAQFENELLRMDQINEDALWDFEPHDQEQSQY L198_03191 MSQPTQNHHEEEEEEDNVYLAEDDVEEVLEDDGGRPDFEEDAEE EQPQAEGSELKDLGDDLGNIELEAKRRRVVREDNSWGSIALHDNLKSIFALSLHPKFP NPPLAITGGQDDTGFIFCPIPPSASASSSEVNSRTFPPVRLTGHTDSLACAAWNHDGE MVATGGLDGRVRVWRRVRKSRGKPLTDEELEARGMEAWRNWEFLDNLETGSEIEWLTW HPKGNVLSAGCDDASVWMWSLPSGNTLNVFSAHTMPLTAGVFPPPYKNLLTSSLDSSL ILWDPRSTSPIWKINTFLPPNITTINPSIHGTTSIAVSPRGDIAAVGGANGLVKVVHL IKGEILTILKGHRQGESVESLAFVDLIPGGGDGGKGLVIVSGGTDGKGFVWDVITGRV RSEINHSESITSLAPHPAPNTHLVTTSSIDGTLKTWDVRTGTLVGAHTGHSGGVLCVA VAPLPPSSEEGEEEKSQVAVVSADDEGYCKIWKV L198_03192 MLEITSLRSQLAQYQFIAHTSSIQLQGSRLETSLTNDKLEKTHR VHESLLKELEILRANPPLPPVQSGSQALTELSLAHRRLSSKLDLTESRLLSTSSDLAS AKHALQRLVLEREEERRVLSEVKRVEWEKEEEVEWEKGERGKAEGQLKLLELALEEYK KLVHKLDPNAVPPDVPAKPTSSWLTSSVQADDAGIDKEAHPSTKGEETEDNIESSKEA ISNLLIGQRGVAQLFHDFTISLTSKDALISSLQSQIDALNTSLATVEGQLKEETARRV EVEEEKEKVDRDDKSAAGVVERYMTFTQKTHKTVYMHLDNQRTRSQATITALRAQVGV LKTQLAAEAARAQGLRAALDELSFSSTRESAGRRREIALRLRMIAEEEKRAKKVEVWS EKVKRLREGVEGAVLEADVLEGLLDEGLEAASGYLPSLEAGGIDEGKRKSWRGILPRL RKRSSTHFQNGDRDPAEESLARVLLAEDLVTTLAQDLQIEQERRMELERQRVEWLAKD AVEGVKVDGELEYGGEESKVWFDMEGEGDEKDQSPKALQVEVPPPETIEEPASPISEP SSEPSSPSPSPEISTLHTLFDPIQTRYSPLQRNLHDLSLSLFSLRGSITSDPPPTPSS PIMPTSGKKASFLPLARLPLPSPGVPGFGGKMSAQTTIETLSDALHEVIEDARVDVEI ALADIERVYRGFEALLDVSPLAPNPKVRSEADRVAVLGEAEEYVKHEEEGDEWKRLER KVGEVEADLAGLKRVLHSLEGMALESQRDDEEENVWQGVQFKTISLQPRHPSPLPTPL VSPLPEDQSSPFPFLSPSSSTTSLAFPTSARPPHIRQASLTGIGARGGGTEEEGRRRT SSMNPMHIGMGRGMLASVGNVGRSFSAGVVGGGKRVGGLASGLYKGAGDKPDVVVTED PAKEEAEEAEEEEESSEEESESEELVRMTDDVE L198_03193 MSDKLTRVAIISDDKCKPKRCRQECRRSCPVVKMGKLCIEVNPT DKKAFISEELCIGCGICVKKCPFEAIQILNLPTNLESHVTHRYAANAFKLHRLPTPRP GQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDDPPEWQEILKHFRGSELQNFFTKVL EDDIKAVTKPQYVDQIPRSIKIPNMTVGKMFDRQSETGQREQLETDLELTHLKDREVS QLSGGELQRFAIGIAACRTADVYMFDEPSSYLDIRQRLAAALVIRGLVTATNYVIVVE HDLATLDYLSDFVCVLYGVPGTYGVVTMPYSVREGINIFLDGMIPTENLRFRDESLTF KIAETADELQAPKTRRYKYPDMVKTLGDFKLHVTAGEFADSEILVLLGENGMGKTTLV QLLGGKMLADGVTDKIEMRVSMKPQTISPKFPGTVRQLLLKRIKGAFMHPQYNSDVLK PMNLEPIMDQEVQTLSGGELQRVAICLVLGVPADVLLIDEPSAYLDSEQRIHASKVIK KFVMSSKRTAFIVEHDFIMATYLADRVILFEGQPGKESYARKPEGLLSGMNKFLKSLD ITFRRDPTNFRPRINKMDSLKDKEQKSSGAYFFVDSD L198_03194 MSHDDSTGDDGFYYYYEDDTDAISVVPSAFDNTSSYGGVNRTAQ AVSIKKKKEKVRDLQSRVRGAETTLKASEEEQTELQAELSKVSPENTVMMFKSKGHDH SNGQNLPWEFEEDPREDQGQYTNFLNE L198_03195 MLRPSRGNVKLLLGLSVILNVVVLVALFVPSKQAHELLGEETWA KITQLGNHIEEHRHTLVPEKSCSMCAVDPRLCNELGEENLKRSLGYVGTNLRLRRTLS KLKRGGRLTAGVVGGSVSKGFGIDKDPAYYPDTPTNLNRIVFDRLNTLFPAPNGVKVG DSGRSEDMNSFINGAQGGVGTDYFSLCYGEHLPEDMDLVFIELAINDEVLLRNINSYE LLVRSLLDLPRHPAIMNLQVFALEFNTVTNGAEMHTGVAQSYDLPVLSLRNALYHEVL KNASLVNELFWVSPQGKTDLRHIGEKGHNLMGRIGAAYVDSQLCEMEKYEASIPGAKD MSLDQLYPLEPLPRMQVNMKYNADLTLPPIKPQCFSANGHKNPLVPLTNQNWRKWNWK EKNYLVADQPGARVSFKLHTTVGNIEVHYLRSWQYKLGSAKCWVDGDENKAKRLEGYW DQKYNIGRAATIRDDLAPGEHTLTCELLKETADPEGGLEFRLISVMRSVSSAGICEVW L198_03196 MPLLFSRKALAAGLFSLTLLAIFTHLGTGAGGHTFHPSISRVGL LPSSWSSQESLYVPMEDFPETKWISGVAGFNYLHNLYMTNGTFLALTSNPSTFPEEGV SFLMSALATETDTFRRHGAAGEDRFQILSKKEAWDKGLLQPAAIRKHGISMFFNDVRE GERKGSFLDHYFHSEFWDLLGEMFLGSWRATTAAGETEMPARIMYRAEGDDWVRLASL VSNGWASADGRLCITTWFQQTVMPDTVVEEPSIFEDRVRSGMTFLFDKITIADRWAAH RLGKDVRQWNKATGDLPSLSVPSNWMDPMRNRLKALGMAEGCSPQRKRAGVPVVVYIN RQLTKRRMADEDAAALLVEMERLDAEGVIEFHNAQMEKLHRVQQFCLALRGDIMFGVH GNGLSHLLWMKPGSGVLEIMHDGFARDYAILAEMMGHEYYAIHTNHTFPEDQWRQPNG WAVDQGPEFHGANIRLDAKWFAGMIEKMAKARKYVTEPELY L198_03197 MVSREGTAGTQDGISQRGESGEGGGTRSTEEGLRVEFEKVATKE RKTRTPRSALHDVVTREYTIHLHKRVHDLSFKKKAPKAIKEIIKFAQKSMGVNDVRVS PGLNQAVWARGVRSPPRRIRVRLERKRNDDEGAKEKLYVLASVVEGVTSFKGLQTAVV ENDE L198_03198 MNANGAASVPHGGGTLPLPPPNSFSDAEITKAFEVLVNAMDSAL AAFTRKDDASRHLVKLCSFPDANPCHPVFDAAQRAAKEARQQHEAAMVSARAAFQELV WLMIVKAGGVKDLSAVTSTTAPAVPAGVPVDILKALSDHKKTLEDQFGSANTVLESKL AQQLAQRFEALQKTIKAESELEKQDYDMEADALRRMMSEVKSVKVIFEEGMARVRSLE KWQQDRDQADALKAEEDRVAAELTVKEAQAASELAAKEAQAASELAAKEALNVAQLPT PSTAQFTPSHPNYQQIPISRVVKQEMVDRLDFLENEVAMQREEIDGMEAHRQRKRKRA RDDEGEGKAVAGPAVGDGKDKLWEELKRLQEELQKLKTPAEIPPPAQTSSAPSPAARI GSAPPTNAPPAPMSREISTLLRSVDKLERSEAITQEKLKALAGADKVRKTEIAKVDKL ESAIEGWERKAQALVKEHTAELAGKLEEMRSTAMRDKGASDAKLAEMGAVLQRTQRGL EAGVNDILIKMMGSFDRDNGAALAGLQTNIDNLKATLQEHATFIATISGQDGADSSIA VLVDTLSQNTAKCMRACTDTMLLFAQLRDEVRNNGTNQTRAMNEFGTAVTDPIGPTEG EVGRMRSRIEALEMLLQQKSAKEEEMSVMQTQLDNPQKQIQKMAQIREQVQSSSPNNA AQTQNEATRRLVQELRERAKQLDKEKAEREEAMKRERDEMAKQQKEMKEREERLVERC MKDAREEMEKTVAELVQRELRSMKEARQARSTEGSEFHTPDSVLLLESQLPLFDMSPA VGLEPLPPVREDDMDEERPVTPPVPPPAQAELEKSREPSQPIRSPSTSPPPLAGQSNS VPPQSNPVPPQSTPVPGQTNPGQPTVQPLSISLGRPSSSGSGSSKATSDRSMAKFFND ADRTGSPGMHMGAADMTAQPNMQTRRTESWMPTREDRQQAPVLRLSRQLPPHLQTTTP PVSAAGATNPTSRPSSNPNPGTIPRPGSSSGTIPRPRTHSNSGTKPPPPGFTLNPSTK PSPGFSLPQGSKLPQPPPGAIPSKPSKPRASPYQHREGMSGSERGRDWDRDRGRPGSY DHHDRDRRP L198_03199 MAQRVTLRKRQPYNTTSNRRRVVKTPGGKLVVHHLKKIASAPKC GDCGFALAGIPVLRPRQYATLSKRQKTVQRAYGGSVCATCVKQRITRAFLIEEATIVK RMLKDKVAARK L198_03200 MADQPEQLVFISVTTSTTDRTDALLPGTDVHNSEFSKANAWEIL DVTIEGDEGQPDVVETDVHYWTSATQTAEEYYAGLYGVDESQITIERKSRFQPDKSIR GWNVMDFTGENSTQQVEWSKLCGCDDQTCVLRCVPKTIGEQIIADLNDDVLDAQDGDN L198_03201 MPPPPAKRQRQHVELAFLGPRGTYGEQAARAFASRFHTPVDLVP CSTIAASIKAVYDSPSPHIVLPLENTLQGGVQETLDCLLSSLNPQASKPGAIERQIKL TLSLPIRHCLVALKGTQLSEITYVRSHEQALGQSARYLDEKLPRAKRERWSSTAGAAV SLLESPEEGEGKGAAICSKAVLGLYPDALEVLHEGTQYGDRNYTRFLLLSPPSSPLPK IIPNPRIFSDADRNHIYAIPSVNLTGFLSSVGLPASEGMGEGRYVKMIHTRPAAEGWT AGEFEEREDFPRWHLVEIDGELADDDQLDDDDSDADGEGEGGGYKLLGIVQDEIGHME LSKLL L198_03202 MGKVHGSLARAGKVRSQCPKVEPQEKKKQPKGRALKRLQYTRRF VNVTVAPGGKRRMNQQPVGKSG L198_03203 MTTRPRTSYMVHSGFNDLINLISATPHRLGATPKGVNDGEPKRN LRFSPNRDSGLPRKSYEPPVAGGGYTDEELSKPPVPPKKGDRKESPKGLQSPRSPQSS KPPTPPAKEPPQSKELKKRKVDKREIGYPTDFRHIFHSSTYEEATELLLRWSAEGLSD KIGDPAWANPIKEVVKTRALEQQARAVAAVVEATARTRELGSAAHDLAPPGTLRVING LPSSIYSSLNSNPLLNNPTPSSLDTAHVKPEPTTTTISPSLARASTPRMIANMAGYFE YESSRSSPGSALGQPGTSPESPMKFTNKKSLPLNMAPTLEPMVEHPDASDLPPGLLLP PSSSKKRDQRPSAQDLFTPLPFRVIKPTLPTLEKAMSVALFFEGYYHPFLSSEDSLNP YDLSSPGCHDKLLSSPIHPSNYPLLRARRQAALENTLLSPANRFMSPAQQDLVRAELQ AEERRWLRERRRKVDVRAFEMGRVIGHGAFGVVRIAREREGGRLVAMKQLRKADMLQK SQEGHVKAEKDLLASASSSNPPPLPNLPASSAPAPSRIVQLFYAFQDTDHLYLVLEYM GGGDLLNLLVERDTFSEEMTRVYVAEMVLALEETHGLGYVHRDIKPDNFLFDKEGHIK ISDFGLATDLHWAHDTSYYEQQRLALLKKHGVDLEYPSVHTKRMDRKDVKRILGKEWV EKGEGVLEWRERNRRKLAYSVCGTNSYMAPEVIRGQGYGFSCDWWSLGVIMYECLLNW PQTLKFPPAPKFSPACLDLITQLLCEPEDRLGSSSSSSSPMPLSGASSPHSGDGARAT AGRPRGKRVLSAGSGLGLSKEEKGGLGKDGVEEIKRHAWFRGIDWDNLHRKKPPYSPD LYTDDDTRHFDDDIPGEPLAPPGSAQDSKDPLLRNKTHGAHLLEIRKQLAFKGWTFKS PHLDAPSGPTKETRYGHLDWVARGGGGGVEHLQDASDETVMAKDHAYAFVGEDDDGEL RGTVKARAMSY L198_03204 MSGAEQRNERMLEEILRLPGNDNCADCHSSAPRWASVNLGIFLC VGCASVHRKLGTHQSRVKSVTLDSWSRDQISTMRSIGNTASNAIYNPNERLHPPPSSY GHEERDSEIERYIRKKYEQCAFKGGASARLDGRVEPTSLNRAREADGRLQAGAAGWTF GKENRRNPELNDIVMPRKKERDLPPIPVSPSASSPTGRAPPRARPVRSPSAQNVASMR PAPAPPSEANLVDFSSASTSTLPLQLNVSPSPQPQQPQYIPIQPTGFSTSPSFTPQFA SPNGMMSNYLSPQPSPSQTFNNIQYSPQPQQGYGHHLSTQPSYPTLQQPQMSPGFQSQ QAFGNGMMGGQQMGQMQMGQGQFGMGMGQQGMMNGSSNGQGQMGGYGQMNGIGQMGQV GQMGQMGQMGMNGMGFQQGYYTM L198_03205 MVKPKSARSSARSTPVKKDNPSISTPTSTRSGRTVTKPGTTTSP YFANGKSKGRSTKKVDKDDEDDDEGLAEPTGMTSSEEGSSDDSGSEDDFGPSEDESEE EEAEPEESSGSEMDSDHIDDEKPKSKSKTKRKAPSPKASSAKKAKTQGHTSKKTSNTG VKKAEEQGREYIEGYDDEDEDADFSDSDLEEGQELAGRIYPAPKTGQVAAGEISKNTL NFLKNLQLPERNDREWFKSHEPAFRQAEKEWTTFVTTMQMKLHEIDDEIPILPPRDII HRIYRDVRFSSDKTPYKKGFSFTTSRGGRKGSPWAAYHLYLSPNGKSILAGGLWCPDK DQTAKIRHEFMTNPERFRKVIGEEKFEKNFGKGTPPGKGEDQRRSVYGMDDQLKVAPK GVDKGHKDIDLLKLRTVAVIHYFTDEEVLEPKFQDTVREVARTMVPFIRLLNDYLT L198_03206 MLSSAPAVPLHSINFADPNQRRLSLMTHAGREIFTAHDGLPSPG NLTPVQDGTPNGSEYAVGSSDGGSAPISRRDSEMVLQESSSEDSAYSARASQNGNGVA DSQTTLNTMGGADRHVQGRESEGDAETGLRKVPSRGGIWHEDPKWSSKGSAQPVHTDA PRAVRPIDGEQFYAAHHKTHVPSNLAFTTANAPTPESNTPPVQEQQHAQSATSSPQPA QHVKQYAQTAPSSPHQSPTTTRLPKHHAGPLHDLRRFLNHHIGSDKHKHEHHPAVREH LADTPSASGPNSPTPGTGVATGAATPAMQRRGSGFGGMAHGQAGSMTAVNATPSSTGG TGHADKDHGAHTTHLMGFMRHHHRDNEGEKSHSSLASFFGHNEKKEKKKREKEAASQA GSTVPSRQVTREATPGGSPNLTPSHTPGWATPKNAAEFPGVPYPVLALTHPSLQEASH AHLSKKYGKWGKVLGSGAGGTVRLIKGNTKNGNTVYAVKEFRPRRQGESEKEYQRKVT AEFCVGVTLRHINVIETVDIVNDHGHFYEIMEYAPYDLFSVVMSGKMSRPEIYCVFRQ IVDGVNYLHSMGLAHRDLKLDNCVMTTENTVKLIDFGTATVFHYPGKHQIPATGVVGS DPYLAPEVLSKDHYDPRLTDVWSVAIIFMCMVLRRFPWKIPDYKTDMSYKLYVNTHPE LATKPPIPTPAPSLVNGKDGKHGGPHGLLPDGSSQIPFQRSESNVSSNTAVDTTKAGS PEECSSSDDDGPHGRLAHLNIHAGDGRQDPSDLNFPRRSDSVVSVPASRYATKGGLTP AAKNPKRQGTLPPQARVRQTEGTGRHRAVSTPSQPPTPGADHRVNPMTSATGHLALEQ SSAARADSQARRERAASISSTRTFQSGGAESIFRLLPRESRSAVMRMLAVEPSIRCTL SDLLVGRGKDEMMCPCGSEDCSGSVSVPPEEITGLTAQEMDDGDEWVKGIDCCSHHPG RPGNHQHIKVVGGEEKPKKKLFH L198_03207 MSGDQPYYDYTRSSGGDFSQYTDEPGTYDGFYGTESSASTGGYP EQSYSAGNDESPFGEDEEDDFLDPEEEHRMMKEQSDRGLREYTKRQKEIQKETERRRQ SSTGESRGSGSSNQGSSSSSSKKKKAQKPKLKPAKKPSSSKSKQASELADIGEEEE L198_03208 MPTESHHHSDADIVVSTTASSSTTLISHASPTPETGEEHKLKSS NSSLQSNLGGPSRRVSQGDVQDASLDDGILDAPPDRSSRCSKSGEGEGSGNATEVEMI DGRPKDVYDRFTKRQKYMIVAIISYSAFIGPMTSSIFLPSIPVMSTDLHSSTEVINYT VAIFLVSIGVAPIFWSPFAGFYGRKPVYLASMPIMVVASVGVANSKNIGALIGTRILQ GIGSSSVLSVGAGTIGDIFRPTERSSGMAMFYMGVLIGPTLSPIIGGLFTEYTAITWR AAQYFLVGCSALSVALTLFFLPETAHPPTMHEKLKKETGKKFVPYFVNPVGSLLLLRW PNVAMACVISSCILLDTYCVIVPLSAVFKERYHINNSAIAGCLYLANGVGNMISSKLA GPFADGIVKKYIAKRGYRRPEDRLRASFWGLALGMPVSILVYGWVLNSGKGGMAPPLI MVFLNGLSLMLAVTPINTYLVDAMQSRSAEVVAVNNCIRYIFSAAASAFVIPLANAIG WGWTMTICSFVGWGATVALFFLIRYGEGWREAANIRYGVTQREADEEKADDGRDEEAA VRGRDESTVERVDEKDKRSSSVEGDGEHGEHPVDLERTFTRPAGGARGGKGSGSGYGS GAEGAGLQRQGSRKVGEAPGMGDVLKRQVSLAGGSIHGGG L198_03209 MSTERQAEPTPSQGDEVQDEFSRISEETFQITEDFMSQLETNIT NDLKGYESYFENAKDSIYDEVEKEKLLEGSERGERGA L198_03210 MPSSRRRRTYYTDSPFYDDYPPSAYYARPHYDDGYYSDSNFSGS HYGHSYYSDSQYSGLHSSPRRRPPPPPTGHARSSRRSFASAGESAAPPSPPTSRRSSY RPPPPPTSHTMSTGGRTAPPSPPRATSRPSERSQIDAHTERIVEGFLVRLDNNLERLS SQGAPSLTLPRSEVAASVRQQVRKKLLEEVVDREYSERSDGDMGSVTFLPKKVTLKRD GDELFLEDVVVYGDGWSKRKKTHFDMTFYKDN L198_03211 MADIMEKQEAAHIEDTIRDDKTHSDAVIEKVRQEHGEIYAQALE RYGEEGSIDPETDKKLRNKLDRRIIPLLGICYFFYYVDKTTLSYAAIFGIRTDLNLIG DKYSWLSSIFYFGWLGWAIPSNLIMQRSPPAYYLAINIFFWGVFLMIQAASKNYATIA ALRVISGAAEAIADPGFMLITSMYYTREEQPSRISSWYAFNGLGVAGGGLIGYGIGQI KGALASWRYEFLIAGAACSSWAICIGLYLPNSPVSFKGFTHDERVLMIARMRKNQRGI EGRKIKWDQILEAVTDYKTSSLIAHKAIHSLPLFTGISNFSTLVIQGLGFDTLHTALL GIPQGVLIMIWIGSGALINDRLPKNSRTFVCAAFMIPTIAGSLGFLLAPTNAYVGRLI CFYLTGSYQSSFVLSLSLITSNSGGQSKKLIVSGFIWLGACVGNIAGPFFYKSEQAPK YTLGIGSILVCNCLEFLLFFAFRYAFKWENHKKQKLREQVRDSGEVTEEDVNATAFSD LTDKQNPNFEYVY L198_03212 MIRGFRAARANVKPLKTVAQQKRNLSIHEYQSVQLLNSYGVPTP KSLPAFSGAEAESVAKSFGKDELVIKAQVLAGGRGKGHFDSGFQGGVQMVDSPAQAKE YAEKMLGYKLITKQTGAGGRVCNAVMLAERLPPQKEYYAAILNDRTTGGPVLVSSSQG GMNIEDVARDTPDAILTTPLDFENGISKETALELAGKLGFKEGARKNAADVFEKLYTI YKEKDATQIEINPLAELSTGEVLCMDAKFGFDDNADFRQKDIFKLRDITQEDAQEVEA AEYGLNFIKLDGDIGCLVNGAGLAMATMDVLNLHGGSPANFLDVGGGATADAVKKAFE LLLTNKDVKSIFVNIFGGIMRCDVIAEGIIKATKELELEIPLIVRLQGTKEAEAKNMI KESGLKIFPFDGLDEAASKAVEAAKTGSA L198_03213 MQVEPDTPMSHYPRGHVHASSESLLAQQQDGSSAKVSGEYFEGA EGFVDEKEREKERRSERGGVQGRRGSGQGGLRLNLEKLGLGGVLGKKGKRSKFGLTQQ GWILVTAILGFIIFLKLVIPSSSSSSDDHHKVTDTAHLIPRDYLNNSLIDTAPFEFCP VFGPGDTIATRRGQFELLRSRLHLGTGARVQRVLQKAMSGAPVTISVLGGSVSACMGA GDDPTHEKCYPHRLFDWWNTVFPHPANELTNGATPKTDSAYYAYCNSHHLPDKTDLVI LEFDAADPNDPEWLQHFELLVRSVLVRPEMPAVIILGHFSPQVQAQNGFAGPEILHNV VGQFYDVPHIRQGLLYEQYLESPDQARSAFYNDPAHANFNGHGLISDVLVSYLQSQVC AGWSSITDHGFDVPDLGTESDATSAGSPSLLGGVGLRKGMPGQDPGDGVSAGSSLADR YQGLRVPQMRIADKPHDVQKFREIEPFCVAASNLINPLPDSLFLGSGWKPYHPPKGAV QEDRHYWYAEQPTARLRVPLVLGAGDVGIYFLQSPPDKPLGVVKCWVDDNYAGNTELH GTAEVEDVIATLVMIDRGVQKGSHFVECQLQGEVGGSSNPFKILGM L198_03214 MSADQQLAVFLGDKILAQAHVSDLTHVEGNWYFPDHALLDRGRY TQSETTTHCPWKGDASYYNYKGDDGELKDIACADSIKSLSGAEVYRALARRELDKLPS SVAMGNQMWALEAADYNDRDTFLKAVSQRLPQALADIDSLSTFYDWCLPVLKAGMATG AVLIQKVQVLEKELQEQRAIASQRTTSRHNAGGHRSKGKRPRNQESWPELSDDGTHFL DGPDG L198_03215 MSNGIEGQMSMHLSTPTARAHPAAETMSLSGAAKSYIPPHLRNR PAAPVAPPAAAAPAPAYRPSPTGLPTPATTPPTRSMPPPSAAAPGASGWDVPAARVKR TFDGGAPPGFGTWKDGKHIVGARNPRTEKELYGEVGDGLHQSTGINFDRYADIPVEIS GEGAPEPVTEFTSPPIDPVLIENIMSSRYTTPTPVQKYSIPTIAQGRDLMACAQTGSG KTGGFLFPILSALYTYGPVAPPAEADSGFRGYGGRGRKAYPTALILAPTRELVSQIHE EARKFAYRSWVRPAVVYGGADIRQQMSQIERGCDLLSATPGRLVDLIERGKISLTNVK YLVLDEADRMLDMGFEPQIRQIVEREGMPGVEDRQTLMFSATFPREIQHLARAFLKDY IYLTVGRVGSTSENITQRVEYVDDQDKRSLLLDLLLAEQTGGLILVFVETKRMADTLC DFLCQRRHNATSIHGDRTQREREAALHAFKSGRAPILVATAVAARGLDIPNVTHVILY DLPTDVAEYTHRIGRTGRAGNVGTSTAFFNRGNLSIGRDLIELLKEANQEVPQWLVEI SSESRGFGGSSYGGRGRGGRRGGGGGGGGFSSGGRDLRQSTGGGFGGGSRGGYGGGGP SFGGGGFPSAAGGSSASWW L198_03216 MSGNNAEYREAFALFDKKGTGQVARESLGELLRSLGQNPTQADV AELEKSVGATFSYDEFLAILNRPDGWKPAGTADEFIKGFQVFDKAGNGFIGAGELRYV LTQLGEKMTDEEVDELLKGFPVQDGQINYHSFVRSILAQ L198_03217 MESTPRARTPAGPARKPKKKRPPSPLPPSPSPVPGPSSLQEFRP VPRPYLARAPSPISNTPHNRLAEMVLPSSFTRPQEGPSAQILGGAAVGSGGSGRERGQ KKKKASRYAQGLLAPPSIVPGGVPAAPRVQHQQLRDRRRGEDRLGRHAGGTASQTRLQ DLPTGNPSQTSLGHLATILGSDPVAAEQDPAPARRRRRIVRGEEEEGLRRRVTVSSRE EGRALGVARGASMRRRNVWDEIPDQMTQESGEPPPPFPFPNAATARLPPAFARSPLSQ EDAGSQADGGEGGRARSPPPTWEQAVGLTPSPAPAPAPGTGATSEDQPAPPTLVIPPT ASRRSHRGSSTERSASPASTRYESAPSSPFLAPTPNLTIPSLSVNPPRGPSPAPTGRS QSGISPIIEQDENAGSDGADGGDGMTKQEREDRRMWNADLLAGYTLEERVKREWGRKL GREGGVGEGQGGEEVEAEQPQFPVAEGPAIEEPPVASDEQETVAPVEEDAGEVISPAV EPPNPSPPPPSHTPPPSTPTEPRPQTPPPAPVQPDPAPAPIPSPKKLKKSKKKGKEWA NTAQERKRMTSTEMGEKSPVAASSPRTPWSTEAPAYKKEVREEEEVEEAPSRVYGPPK GTLVPREEEVTAIRPKDEGKPSEKAGRKEPETLRPLVQKTAWASSPEINQIPTPAHVR SKSSGNVLGGARASVSQAEAAARKPEDELTVGRRKSEGNLAGSGKKDENARMGDLPPS REAALRRRDLAVPKPTPTVVAPVPASPPKPPIAKVEKLRYGVSGPLINLDDSDPAPSS SASSIRAPQKYMRDDERGDSDKADVQKKRESNQSLWRLAASSVDLLQLLESGGDRDEP STSSKPGPEDTSEAVSITAPVASSSKIPPPVPPSLASRRKIPPPIPPRPHYVVNQPPP LPPRKSDNPPPVPPLPAPKRRPPPPPPPRHPVAVAQLGLQDEESKAERDEEAGSEGIP QKDTAAPREEPPVSAAPASVSSKRPLGPRPPPPPRLLRLRSPWAREQASPSLVLSEPA PAPDSPDKREETTEPLEGPRSPPQVRRINAAPAPGPVLWLTPLPTPTPLSDPTAGNMT APRLTLRPLSGRTQSDVHHPHYAHLPSQSAPHPTPSEAQLGLLHQGGSGAEAEEADDT RVNRSASAVDLRDGDGVEQAGVGVDVTHGENGGEDGLGRLPSAQDGPGPGVHSRPGPS VIREEREGSAGREYTDLELFVARLDGSGREYEGFSHLTTFLGPSKPAAASPAALATLL PGMVSVDSRRTTPQGKVKLKLSLLGVRVAKCPICLSQFKGGDKGVLVPGCGHAGHESC VRRWFREDGRCFVCREVLKEE L198_03218 MFAVRAATTARVTVSKAPASMFARYYSPDVRGEGATASSTGFKT REQAKEAQYVQQHEAEKLKLAQAKLKAAQAEVDKQQQVVDGQEKK L198_03219 MKRAAQDMDTRDPKRHKAEHQEEGGEGDRLVELDKRYSQLVMQA ALVFQYTVFSRRMQNAEAPIRMTRRLEQCWRSYEGLRRQIQWDPAMGEKPKCLQAIPP IPLPKSVTLASFIPAPAPILKKSSSPELPQETKTEVVQQVEVKAEPAGGELHAPLPLP AHLISDSPAGLASTASPVPLGAASTNAFQGQALREAAPITEPQAQAGAGAGAGSLDYG SMGFNELSSLINGDPSAFGIHLDGVGGVGQPETRQSQQPQVKHDVIDLTSDDDIIPIQ APAPKLSRATSHTQPRASPKLSNETYQQQQQAASNDILASLGFSGQDAPEASSGNATR ENVMNFDLGGSGEDFSALAGLFQGRDAGPGGSGGQETQPQPAPTIPTQPSAPPSQIPT QTQPIPPQDPPPPSTALAEAEKEDQAEGGGMGGSLEDLFAEADGLISTIPVEEDVLKA EEQPSQTLYQAPVPAQTQPQAQVPSQPSQTQTQTQTQNQSQQNAPSTNPPNQISHDPL LGMSLDISNMGMGNMDMSSLGGNFGIGQSTGMGGDNLNDMSMDTGIGMDMGMGMGMGG DSGYGEMGGIDMNDFNFGGGDGGDGGNIDGEEFERLMAEFQ L198_03220 MAQAARKKVLLMGKSGSGKTSMRSVIFSNYSAKDTRRLGATIDV EQSAVRFLGGLVLNLWDCGGQSAFVDNYLSSQKDTIFSNVAVLIYVFDNTTPEWESDL SYFEEILLALRENSPDAGVWCLINKMDLTDKEDPKRRGYEQRKEELGRVDARVGEETG EGARGVRCYPTSIWDETLYKASPLLSVQSVLIKIQAWSSIIHTLIPNISLITSHLTYL RDLCLSVEAVLFEAETFLVIAKSGSPLDCDPSDLDQVEMKNGVKELDAQRFEKISEII KGFRKVCQRNHEQYQGFECKFEDCTVVLEPLTRNTFILLISTDPRTEPGLMLYNIHQA QGHMAEFGGRMSLGRKCGLCEREGGGEGGYVGDQGEGRAWDDAEREDRGVVW L198_03221 MVVLTTRNRKRAWFLLTATVSIHIILLTATRRLSDRRFPKGGGL IKRFIDDHDASGPLDFPYIEWYNALPPAWRPFFIILLLCILTFLFSFIGISASDFFCP NLATAAAYLGLNESTAGVTFLAFGNGSPDVFSTFSAMSNGTVGLAVGELIGAASFIVS IVVGSIAFIGPFKVPRHAFRRDVAFFTIAVLVLIITLHDGQLTLFESGGMVGLYLLYV AVVVSENWWKKRKRRWIFLGKRRVTQSRESSGDTAKILASLSPHPEPERPAPVPITDH DSTSLSPAVSSRRRSHSSSHLLTARHLDPLDTPTPRANMSLLGAIEFRDVVNSLRKEG GSIYSGRSSRSASPTGTVTPRMTGRERGDYFGNLGHRRSSSHTFGRGGPGTPGGSSKR IQSNSVRRGSSQMTDQSPERDRRMTLPTRHSHQGGSPAPVGLGISNAPESNPWHDQPG NPPTPSPQLQLPPTSPAKPSLTKLVIPGDNIAAREVPSISVLDPSGQTASPIPASPPL TSKRKRASKHVRAALKILFPSMQSFRHKSILGMVLAIITALQILALTLTLPVVDDGHE MEEGEIQLGEGEGLPLHDSSGSDGEGEGESDGEEGGDEDDEADRLLNPHVGEELHHLV DHGFSPLHSPLGRIHHSAMRKMGYHPESGGLSPVRSEEYAEGEGEEHLDETYDDVEGS DGRREECEGALEFKKYLTAVQCVLGPLFCCFITFNGLSSFKWIALGTTLAGLIASVPV IRYASDGTAQPWRLVRCFCGFTCSMVWIAAIADMVVDVLDTVGEILGLSDAIIGLTIF AVGNSLADLVANVTVAQFAPTMAYGACFGGPMLNLLLGIGGSGSYHIITSTSHKPVSV DFSPTLWVSATGLVVILLATAVWVPVNGYVVDKRWAGCLIVAYVVLMSVNVGVELKTG RS L198_03222 MARPPASSTILHDPTNTLALLLPHPNLFSPPVLSLLRAHYEQFG RIAHWAPVRGFGRVIVVFESEEEAEAAKAEGDWLKLEVPVGGEGSQHGKEEELMLRLL YLPNTELHPDPATTHLAPPPLPHNFLISPPGSPPEGWEPIAEDAPNRNILPEDLQRAL EALQLNKGRGDDGKEVILDEGGVRVEVEDTSRHDEVQEGSDDDVEEYVEGGNAAWSLP SQMNGVGTPRGGATPGYGIKIIPTAMPPR L198_03223 MSDTALIIVDVQNDFLPPSGSLAVPNGRAVLPVIEEMLGPEWEW GLVVASQDYHPPSHISFASSHPPHPPFSELELPKHDSRNATYTQTLWPDHCVQGTPGA EIEASLGEAFKKRGGVRLVRKGTDPRIEAYSAFEGEVLSESYPAPPDAEPPKRVPNGP SALTDFLRDSDIERTVIVGLATDYCVNQTAISSILSGFSTALLTPAMRGISPEGTAAT LTALEGMGGAIIGRDGNGEGWQFQLHEWLK L198_03224 MSYSTALFALLTVLPSAFAAVTSGTTYAISPAQHAGMCIAPKST KEGAKLVLKDCDEDDTTWLWTGSALQNTATNMCVDIPDEGKWSGNHAQVWGCYPWNTN QQYTIEGAMIHWDDFCLDLVDGKSTAGTEVQIWSCYSYNDNQQWSFTEVEEVDESCTE STTVSSTVVSTATASVSDVSAEESVTSTASIANSTESVSASEVYGNETAPLTQSASET ASAGELYQTASATASYANETVSATQSYGNETATASYANETVSATQSYGNETASATQSF VNETASVTDSDASYATESASYSLNSTATLSSDLANATSTNSSLGGNLLAPGASESATS SSDDWWATTTSDAWASATASVSVGWSFNSSEPTASNSSSDAWASATASATNPWETASN SSSDAWGSATASSSNAWETASNSSSDAWESATSSATNPWETASSTASDPWNVTATASA SDAWNSSSSTDSWGASATASATATTATSAISATASVGSMSSGKLQTSGTNIVDSDGNT VVLRGTNIGGYLVLEDWMCGITDDTGSSDRFSLSTLENRFGTDQARVLVEAWADTWLT DADFDELVEIGFNVIRLPFSFRTVQNADGSWRDDAFTRMDWVIANAKARGIYTIVDFH MWPGQEDSYSAISENTDDGASQRAAVAEIWRQVAEHYIDEPAIFGYDVINEPTGSYGD YLQQDLYTAVRSVDSDRIIIHESISTDPSTYGWTNVIYSMHEYNMMGSDFDSNREQWA SGVQQYIDTWSGYGIPTLLGEFMADGDTLDYILNQANGQGLSWLSWAHSTVNMGRWGL WNHGSFNVNVGTDDYDTILAAWSSMPSTYHTSVYDQFKAGASGSTSVSSKRDAIPFAP RAQTTKRLHASHGGRSRRAGMGMAHAARGVVSV L198_03225 MPDPFGSFRWICSHTVLPQCNLFFYQYLHDSVPPLTTLFPNSSD FFDQYNITGQNSDEDPVVQTAVSDVGTGIGSNCEIARVGHRGSNGDIALIVLSALALF TSWSLAFRATRRYAAVGRIELRLLLLSYGLYAALQAVTMSSVLEQGGKALAILSAIHV AVGVVTAWLLLGNALIATQVVEDGTAAAVVPLTMFSILFFVPTLYISLDTAFHWTSTF NIPLAEVAEVKSIALFVLTLIFPAAAAVLYLVIMLYIVVSVLDEVKPAFLYTLSFLLF AGAQIIFFLASQPLCNASNGKINSAFLATLLQTLSIGSLYLAWVSITEDDWGHDEYGM Y L198_03226 MTPALRQHYIDTATRGSSSSTQQISDIQSQASQVDSRQTQQAAQ SKSARKRKSRSSADATAGRAARTEDAQGKTVPMADCDEEEKV L198_03227 MGKTEVAPGVHHVHPLPDSVPESEDLFAPPPRLRGEDNRPKPHI GPNYEAYLKEWAKTVGPNSDEWWAEKARTTLDWYEDFKTVRAGGFEEGDVQWFPEGTL NAAYNCVDRHFYANPDKAAIIYEADEPSESREITYRELMQETCRLANVLKSYGVKKGD AVSIYLPMTWQSAAAFLACARIGAIHSAVFAGFSAESLRDRVNDCECKVLITTDEGRR GGKGIATKAIVDAALQQCPLVEHVVVLRRTGNKVPMTEGRDKWWDEECAKVPSYAPCE RMASEDPLFILYTSGSTGKPKGVVHSTAGYLLGAHLSLKYVFDIHPDDRFACMADIGW ITGHSYIIYGPLSNGITTTVFESTPVYPTAARYWDFVDKWKATQLYTAPTAIRLLRRM GEEHVKNHDLSSLRVLGSVGEPINPEAWHWYNDFPGKQQCAIVDTYWMTETGSFAVAP IPGAISTKPGSATFPFFGMDLDIIDPTSGAVLEGNDVEGVLVAKKPWPSLARSVYRDH KRYLETYMKPYPGYFFFGDGAARDYDGYLWIKGRVDDVINVSGHRLSTAEVESALILH KGVAETAVVGCADDLTGQAVYAFVTMKPEFDLKTTKEADLSRELAIQVRKVIGPFAAP KKIFLVSDLPKTRSGKIMRRVLRKIVAGEADQLGDLSSVADPEIVEEVKAKVAAKA L198_03228 MSETFLEAANYTSISAPADVDEWILSGLTQRLSNPHTECEVVHW HDLDVEPGKPVPAASKSVTSKLVSSSVCWSIAYHPSYRMVELPRPVWNDVKDAPETKA ALKRGAKTLGRK L198_03229 MLATETELEIIQWNKTLGKLSMSQLEERISVEFRTWQKVMSCGL PVGLEHNHPLRFDRARHAVPLTHNDWRHIEACWNVVVSEWLVRCLWSPVKGR L198_03230 MSVDNPPAAHDSQRRTEALVDSANEVVGHNAQALNTGIPYPSAV GPSIAPPDVTIGIPQSESTPAKPAPSEYSEKPFRTSTNSSDKLREPALEKSSTNASAP TKKSKFALHKSKKKDKKTDKKKDDDEANVLPPVSFAALFRFSTSFERVGMVLGLFLAI GAGAAQPLMTLIFGRLTTSFTNFAVIVQGIEDNGASVDAAAALEAAKADLKLNAGHDA LYLLAIGVGMFLATWAYMFIWNVTGELSSKRLREKYLAAVLRQEIAYFDDLGAGEVAT RIQTDCHLVQEGTSEKIGLVFQYLAQFVTGFVLAFVKSPRLAGALFSIFPVIMMVGGI MMKGMSKYGTGSLEYIAKAGNIAEEVIGSIRTVHAFGKEKVLGDKFNHQIELSRKMGK KGSNYEGIGLGIMFFAIYAAYALAFFYGGVLVTQGRVDSGTVITVFMSILIGSFSMAM LAPEMAAVTKARGAAAKLYSTIDRVPPIDSASPDGLTPDSVRGEISLEGVKFHYPSRP GVPILKGFTTTFEAGKTFALVGASGSGKSTVISLIERFYDPIAGTVKLDGIDIKTLNL KWLRQQIGLVSQEPTLFGTTVRGNVEHGLIGSKWEHASPEEKFELVKKACIDANAHDF IMRLPEGYETSVGERGMLLSGGQKQRVAIARAIVSDPRILLLDEATSALDTQSEGIVQ DALDRASRGRTTITIAHRLSTIRDADRIYVMGAGEVLEEGSHNELLATEGSVYAQLVN NQKLAQEAAVEALTPGAEPVQTETEDVFEDEIPLKRAATGRSLESIAMDGEQARRKAE AEEDDKLPSSYKLYARLLKINKAEKRTYFYGFLGAVCSGMVYPSLAILFGKTLADFEI TNFGELRHALDRKALYFFISAIGSALVMFLQASGFSRTGWDLNATLRQKLFHAVLRHD IRWFDEEENSTGAVTSNLADQPQKVQGLFGPTLGTIVQSCATLIGGCIIGLCYGPLLA VIGIACVPLLVSGGWLRLKVVVLKDQRMKKLHAASAHLASEAAGAVRTVASLTREDDV GRIYSNALLEPMKVNFKTSIYSQAFYAASQGITFLIIALVFYIGALWIISGRYSTSTF FTVLNSIVFAAIQAGNIFSFVPDASKANSSAASIFRTIDDRPSIDSNSTNGQSLGEGE VDGHVRIEGVHFRYPTRPGVRVLRDLSIDVPAGSYIALVGPSGCGKSTTIQMLERFYN PLVGRVTLDGVDIKDINVSSYRSHIALVSQEPASANKPMEQVTQEEIDAACRDANIYD FIMSLPDGFDTEVGGKGSQLSGGQKQRLAIARALIRNPKVLLLDEATSALDSQSEKVV QEALDKAAKGRTTIAIAHRLSSIQHSDQIYYFSEGKVEERGTHQELLALRGGYYELVQ MQNLSRQ L198_03231 MSDDEFNFSIDLPRSQQRCQLKARASHIWGELYNPDTDKYIRVR WDDPRLLAQEAEEEARFERAALEREKELEVERALRGDPLSMSLTQRLSRPLPASAGPA DDDDGIVKAMRPVPVTQKQQDRMNLPTDALVEEAQEAKTVRLSKEQRNGMDAMFIVLR RIMCIWCIENDVTYTRAKSEFCNANVVRADTAWNLYQQTAEAEELRSEAKKALARTAV ERREHGSVVARSKTKTSNVQSNSVGKSSAREDLDSDEEEEDDKEDGDTRSDGRQEGTE DGDEQDDDEQDGQGSNQGQLDRLGREEEGNDGDDDQPHFIRRAYKARQDDWREKNGLD PNKTDLPGFLESIDALPKKGDSTSDANNNEKLQRKSRLHDVNVFKDTVQRRMNQLEDK HQVSCFVFIASAHRQDERVQEIVRSQVAAAFLRSQKSVRPLPFLGEFASFLEGNKMAR GDWASGARLEPMPSDLNVEKRRDHIQKTLTKQYSEVRSAAYRILHPMSTRQPSEQKTI SWMCLEKHGVEVLTGSVGADLLRGLDSDGKRIKWSKEQCRVVWDEMDKGLIKFKVKNG LRAVKRGATDQGGRDAGGKRGKTVDREGPERDGGANQEGGEEGGVGEGGSGGGPGASA SGTL L198_03232 MFAGNTLALLAFAGYASANGLSLDIGAIVNVGASIGVSASASVD LTSSCSAKGDFITELLGLALCCSDDTRTTPDPNLTCPFDWSMHKTAKCCIPETEVSPC DCGEGYTYNEVTKVCDANTGSCSDTQWWHERSDTCCDDSWEQDPPTGDCPTGVTCPTD WFWHKTLNKCLPTGPRAPEPDCDDWNTNTQCCGGASPSQAVRRTNNVKRSHRAQKQTA LFPQSALDRTYCPNGFHACTVEGQTGSAWSFECIDPVVELEACGGCIENGGQDCTNIP NALSVGCAIGKCQVFSCKYGFQANSDNTECIAI L198_03233 MLAANALALLALAGSVSANGISLALGTRINLGAPVHIKASASAS IKSSCTGKGDFITELLGAALCCSSETRTTPESSLTCPFNWGMHKTAKCCIPQQESSAC DCGEGYTFNEQTKKCAKNSGSCSSSQWWHERSSSCCDNSWETSPPKGTCPSGVSCPTD WFWHKKESKCKPLHPRAPEPDCSDWNSNTHCCGGSSPSQAASNEGHGKRTFKAREQTA LFPQTALDRTYCPNGLHACTVNGNTGGEWSYECIDPIVELEACGGCLENGGQDCTNIA HALSVGCSVGTCEVFSCKYGFKPSANATECIAV L198_03234 MADQPELVFISVTTSTTDRTDALLPGTDVHNSEFSKANAWEILD VTIEGDEGQPDVVETDVHYWTSATQTAEDYYAELHGVDASQITIKRKSRFQPDKSIRG WNVMDFTGENSTQQVEWSKLCGCDDQTCVLRCVPKTIGEQIIADLNDDVMDAQDGDN L198_03235 MAPTHLPPPLSPTPSLYAAAENGTSTSTGPLSPGLRRTPSPSPT RSRFGHEDGRGGEHGIHMERSSSGMNRGGRGEAFGWSVLTPQRIGRAIGARFMRAVKR GNLPFLIVFFSCTIVFFSALAGIGYVEPAPSDALDIVAAAPSSGAEVPEYKFGGPVFD PVNDRQRLERKMAEQRALEEAWARKKRPKDGAWMRKQRDDKAVRRVPAPTLTKAAEAL ETLVAEIADTGVDGAEGIDLRKEEAKKA L198_03236 MSQEANRELARLWRVSRTVHEMVKDRGYLLADYEINVPFEDFKE RNGATGSVDRSNMSFDAIHENDPSDKIFVYFCADKNVSKASMKTFIGSMDKMGARRGI IIWSEKMSPAAKKTLQEMQTEYHLEDFPESDLLVNITKHFLVPKHVIMKPEEKSALIK RYRLKETQLPRIMITDPVARYYGLKRGQVMKIERASETAGRYVTYRICM L198_03237 MDVPILDPPPPSLISSLRTVPSLVVLLLPLIFAVPPFSLLRDFL SPFFSDFVTLRSLVPAGPATADQKFDQAVRQPRGWKANVLTVVALVEAVAWSGITGWK ILNVADGQGGTVHDTLASAGMIIVWLAILFQFTFRPLTTPPWAVVIATSVLWVTSVWS VTQAWYIQAVSGSLPFWAPWSVLGLELANIVSTSLVLAILASLKLASPEVLSRLPIVA PDDNVSLFSWITFSWVDSFIEYGNTHELEVADLPPLSMTQQTFIVFEKFRQVKASKLI WKMMLANRLDLVMDASLTLVSVILNYAGPYFLKRILEGLGSKSKEAMSRAYVYALFAL LASVFKALTDLLHLWNGRRGAVRLKAELTAAVYDKALRRKDASGVVTKSDDEKDDKKD GKESKMSNADSGKVVNLMSGDTTRISNTFSSAYFIYSAPFEIVVASFFLYSLLGWSAF AGIVVLIVAVPLNSFVSKRSVKITQDLLKARDKRIGVMNELIGAIQFIKFFAWIEQWK NRASTARADEMKQMIRSLVNGIWFSLLWSLAPILVTLVSFFCYIVIEKRELTVSVAFT AISLFTMLRLPLNIIPTYVVVLLQSLVSVKRIEDFLEEDEVPDWVSSLKRSGDARETQ VTRIGFENATFRWNSGKQSEPSESKPLPAADSLDRSILTDESTVVEDSFFTLSDLNID FPLGKLSVITGPTGCGKSALLTALLGEMELLDGKSYLPKYTTQVDAETGLKNSIAYAA QTPWLQQMSIKNNILFGEPFHEERYEMVLDACALNPDLDILEDGDMTEIGAKGVSLSG GQKARVALARAVYSYTKHLLLDDPLAAVDSHTAKHLTDKCLNGPILQGRTVILVSHHV ELLLSSTDYLVRILDGRVDSQGSPKRLRESGSLQGLVAISDAELHAEESKGKGKAKAV DEQEIVEGEDAEQKQEVKKEKRKGPGKKLVQADEERAIGNVKWETYKLYIVAATYTTW AWTIVVLLLGQIFTVLERYWLKVWGEAYTSVSLQTIWTMHKPAVHDYAGTFFNTDLHQ HVLHHVPANELIRNTTMVAEVGAMGLKSHHPPAETHPTFYLVVYTAIVLGAALLGVAS SGIGAWSSYRAAVTLHDRLLDRVMRATVRFFNVTPVGRIINRFSRDVEVVDSYLNGAL RTVMLYLASLIGAIGVVAFIVPWFLVPAAIISYLYYQYSLLYLRVGRSLRRLEATLKS PIFSGFAELLDGVISVRAFSAETRFMTQLCQQVDQTNQAFYYYWMMNRWLLLRFDFLG AISVALTTLLALSGAVPAGSAGMAIVSAQSFVSACYWVSRFWGQLEMDFNSVERVQEY LSLPQEPPGVIENSRPPAYWPSNSGSSDFISVREVEIKYAPDLPTVFKGSFDIKAGEK IGLIGRTGSGKSTLGMSLLRFTDPPSGSIWLDGIDITKIGVDDLRSRITYIPQDAVLF SGTVRENLDPFEEYTDSALYDALTRVNLAQDATPLASRAPSRVPSSRRLDAIAAEETG APSSAASALGSAVSSAGGSKTSITLTTAVSAGGSNFSQGQRQLIAMARALLRRSNLII MDEATASVDFATDEAIQTAIRSEFKESTLLTIAHRLSSVIDYDRLLVLSDGSIAEFDT PINLLRKDGSLFKSLCEKSGKYKELYSAAEKKEAESKA L198_03238 MPFSNSDPYHARGYPPRMHSPSSSSMGRASSPHSSLHRPHPYRR SPNLHSTTATPQPEQQHPRITLPPPNLGGGAFKFSSTYDAPSPREREYPPLTHDQSWR SPVTTAGRPVSSGSVGDRSRTPSQRLAGEGRAPLHLPPLHSISGGTSRSGETSPNSVA PTMYLPPREAASSSSTHISPPSRPPPPPHPYVDYEPAYPPRPQHAHAHELHQRHDPGS IYRAEERYRDEMYERGNPPAFPQHPHASRTHMYDPPSPLRQVHHPLPGMTQLQFPLPG YYGGPPPDAAMSMSMGRQRSHSSAPGSSRLTTGDEEGGRENAAGQSRRVAHLMSEQKR RESINSGFQALRTTVPTTSATDSKAIILKKAVAHINHMEGLLKRHNIEFTPGEAWEDA EGKKGQ L198_03239 MSIIPEAVRFCFPAAPIGSAANPDLPLYTRNDSTIPNKGRGKIR DEEEERVAATVKATYKTVFASYAIDWIFIFALWGLLVVLNRSGGHKREFSLNDISIQH SFAEHERVPPHLLAFVSIGIPLIVLVPISVFIARNAWDSHNAVVGVLMSYTMAGVVTQ IIKMSVGRPRPDLISRCLPVAGATDSPVFGLSTVDICTNTDLFILNDGFKSFPSGHSS LSFAGLGFLSLYLAGKMHLWDIRGHRTRAWAALSPLLGGAMVAISRTADNRHHWQDVL IGSLLGLFVAWVSYRTYYPRLTHRQCHLPLAPRADPDAFEDFDLDGEGGTVAREGVRL LSEEREGRQSEEQLAWRS L198_03240 MAFRQFGTSSPSRPLLLTASRSPPATARAQPISARQALAPRSAL AIPRAPLFQAAAFSSTPTRFAALPPKPEEAALPKGAEVVAPKPYVLTRGRRYLQNFGR ITLVAVLGAVGAFTYVTHAAKNPANQLPADPKKKTVVVLGSGWGATSFLKSLDTDEFN VVVISPRNYFLFTPLLPSVTVGTLENRSIIQPTRYITRHKKRQVSVYEAEAQTVDPEK KTVTFEDISDIKGKSGSVTIPYDYLVYAVGCENQTFGIKGVPEHACFLKELSDADKIR TKLMDCIETAAFKDQPQEEVDRLMHMVVVGGGPTGVEYAGELHDFLIASLPYHHHLHD DLKKWYPEVADKLKITLIEALPNVLPMFSKQLIEFTESSFKDNRIDVLTRTMVKDVKA QSVVVQDANKEIKEIPYGLLVWATGNTSRNITRDLMGKLPHTQTQRRGLLVDDKMSLL GADGVYALGDCTATSYAPTAQVASQQGLYLASVFQKLGQVAKLEREILELKASGVADP STIEAATKKLIRHSKVTPFQYSHQGSLAYIGSEKAIADLRIFGMNIASGGNAAFLFWR SVYVSTLFSVRNRTLVLADWMKVKVFGRDVSRE L198_03241 MDHNLLISELQALAVETKRRNPEVKDASEAALVVLKDGPLPRHT LLDNADTLLSPVTLGCKTKTAKVIGISIAALQRLVALGGVPTLKLPEVLGTLSSVANQ AVDIQLKILQTLLSILTFNKDVHDEVLGNTLLLCFKLQDSRVSVVSSTAAATLRQAVM LIFDRLSSTHLSPSLTPSEPPTPSTVSLTLPSEPPQTLQVTPSAMDAYSIFSDLCILA ASAGAHGSTFGGLWKGGDAEKPKLLKLHNLQRTFALELIESILSGYESGVKKRPELLF LLQHSLHPLLLRLLSEKPTFPIALRVCRLIFLLIRSFIDQLPGEIEIYLASLIKIGAG EHEGDDVKVKENTPPWLRVLAICGDHALLQSIYTHFDEAGGSVLFAKIINALGRLINE KPALLGMGSQMHGLGVPTGVQADASGSNPSLHGGYLDMGLGMLGSAASAGVSTMNAMM AGPSGGGLGQYSGMKLKLIEQHDKSDAPIFPETYIYLVAFQSLNTIAQGIYTVVASKN PPPTCLQGMASSAWPALLAALSYSIGTNLSDTLFAEVLTALQDFTVACGLLKLGTPRD AFLNTLGKYAVPPPAVSAMQTYIEAPGQRNAGGIAADALGFASSLAGNAPPVGPPSLS DRNLACLRSVINTARVLGPTLGKAWHDVLEILQNANFMMSVSKQSAPRRYGGVGGSPK KAPPPTLGRAGETVEPKPPILQDLEPESIQQLINGLFDSSKDLPDEALTTFVTALCQL SSEMIGMETSQTSFFPDNASEVSLPPTPSSSAIFSPPLDNRRRTSGINVSHGIKSVER SFSLTKLKSVSTRNLKRIVTKEPAVGWDAITQHLLAVARHPTATSTIRVQASETLGEL LLAAVRVGKESRTQHQVFDVLVRQVDAQPISNSLATDFDVRSSGYQTLNHLLESSGHS LQVGWGMIFDMLDGVCRDDKVNKEQLYPGDTSSEARRPATVSSKGSSNLVRIAFPSLT IICTDFLSSLDDDSLRQCIVSLGYFGAQKEDVNIALAAIGLLWNVSDAVQRDSKELWL YLLTQLLALGQDGRLDVRNSAIQTLFRCIEIYGSGLTSALWQDVLWKVIFPLIEAAEG EETQVLALTSVGSVFGSFLTLIADLDSFDEALERFLDRVRHAFTSQARACCTAGLKAL EKVLMAADASRISLGDTMQKIVDASWLTFVDMGNAFTNGEPYTQGNLIALTRAGSLLH DNLAPHDIERLAQFASILRSIMTYIRSPDLRPDIDVMSPLQTSICEVIADSKLLGPHL VLSSLAEFAALAYVAVVGQRDAGTKLTYVALSKWSMRKIEDVFEESSKNKELYEDGTV ESVIGMYSIPIKLKYDCPSANRFGDDPPLWRSATLAFSSILGWVIAALDNEDIESEKM ERLWAQIMEVFGGMLSADSSGDVSSDDETFVVDHLTRMRDIVIPRLGHSFVPDRAISH FADVLSRASHLYQYDVRVSGGTTAPALPTTQEELRYWAFDLLVTLSVQRAEKEQDIQG RQRIAKLTLPSLLKRFNVSIRRFLEDRKIRGNLPLGRAREDELLYVLRHLATTKVWKG SAVDSAHVATKLALVYEDSPRAHLFQFYAPLLELSSNVDHTPSIWLLPSEHARLFEKS TEVEDGAVETREGEGDGSDNVGDGEGLIEVTARDLATRSLELIGQELGLMTA L198_03242 MGGANLEIFKFGFYLFTPIYVMVKFADPDWYAAYVAPLKEQFWP PYEETHQPPKTHDAVRSELDRMKAERLAGAKRRQVDQAALGEDGVVPEGQAGVEGGRG QGTGTRWKVSGGGRLV L198_03243 MVKIYKPGKVAVVLSGRQAGKKVVVIKQQDDGTKDRPYPHAVVA GIERYPLKVSKNMGKKRIARRSKVKPFIKVINYAHLLPTRYQLELESLKGSVSAETFK EPTQREDSKKAIKKALEERYQKGQNRWFFSKLRF L198_03244 MASSPNPLTPLPPSIASSADSSHTAPNTAARADQVLYRFYLKTV AVLVEARLTHYGSQTTDKKDRWFNLILPEIDLHKADLQVYRSISTYQPFTPPELPSAS ADSCSIPPLLIAFILDTSDVPHGQALLWNRSGGKVALDIGSQSAKGKGKEKEERLGIV LERWTVRAETIAQAPSGSQIAPHTAYRLGIIHFRALHSLVRLLPAYRLYRRLRRSNTG LRMGIKLWGAEGYDNSPQGLKEAWEVMESDLTSLDKGLEELVLGGEEVEPESVEKYDF PRIDLFGNGYTVQAEYRPEVDFTTGDLEAVLSEKFVDMDEDWFTPTVAARRRSDSVAP STPENPRTIRKSSLPAPIPSSNSTTSPIPQRQAAATVGSFASGGSYTRRRQVSAAATA PPSQKKDKWGALGEGLAFAGRSPAASQVEGQVPPSPNPGGIVAARRLSGHSIQPFGSS SPSASLLRGTPPHPNTSGGAPIPTTSARPSIATARQSTSVGRTSSFLSQSGRSFTHAQ IANMYSGSASPPVTGAMSGIHQPPSPTAHQGLWKGDSPISPSSLTFAKQPVPRRVSNS RAGFTPGSMGSPFVPGSIEKDGSLSTSANAPYLIKRYSSSISSRPSSVNQRTALSVSH GSGDSASGSYGAGGGLRRTNTRESGLRHSVEPKREATIKVADADDIQAFLRTLDSAPA PSSRNQFGMPGGSAYTSMGSSHSRGEIPTSPLARSRRQSPSQLHTNGQVPKTRAWVDE ELKRFAGRFDSPGDLPISPGLSKNPSQSATAMSSARGSFSQGPGTPGLLSASRPSSAA IKIASGRGQGERDAELVFGSRRRSSGGPSPLSQGLVAPGKSPLGSPRRTLGSVEEHGA LNRPALDKEEVHGGQGARSLPGSSLGVTTARDRSSDPPLRSAAPYGYPYPTPYPPVSS RPSDLSPQTTGSASTDSYTTASPVAGAGRTQRRGPVLLKGGFGGTSASNTPSHSPVRD RSRAGMPSSSGSTGLGVVYGHGKEARAGSVPLDKEDRVDIGRRGSTSIAQVHAYNSGL PSPAISERRTSTLDGLGGLRSASGSGIARIVGQRTAPSSLGREDHTRRGGAEARRGRS DEQSTEGQEEDEGAEGIVGDMSGLGFDEA L198_03245 MDVRFPEPGELFCFSAISESRCQGLTGIQYVMAYIPSALGILLI PTLLTVAYTRPRSTLILLSIEVLLTIALSTWDFAVHIDAPKAQNIAVNDTAIAIISAL PNLFSLLFCTSHIITDLRPLLPPVLRADTTTHGFVLLIAPLVPIAFVVELLSTFLLLD YAQQLRTSLVGFETSSDLGLHNILTWIAAGTSMGFIAFTILIGILILTNSKTRYSRKI KNITRWGERAILFGLLIAAVDIGLSLVPVVYPLIVARHAIQFVSRIFIAFGLLGIYRY EMGGWGEIGDSYTAFTDDKRRPASIASVSTFGTPPIYPLPTGQRPDMYVLPGKTGPPT KPQAGTYVLPGASFAARRKASQKRKGNKPRGAPSKLVIGGPIPGTFQRLAGGETRDFY MLNSAKFGGMAGAQAGGIGRGLAAAGTTGMMGAVSRLGMERVTLRTPAGRGPMLSIVS STFSALEPITRTRSEQPAIEPGPGTAISSTALTVKKFTGSIYAPSAISVEASELHRWP PEGLVRLKGLEEEKERAFEGIDMEEKEIEGVGTGVLRASRMASEMDMVEKAWMAGDLA RASPVVDDRDIQLRQTARFLTSESLTPTGQVDSPAPIARQLPTAGLIQEPLIPVKSYF TSKNPRASVMASVLSGHTRNGSGGSGTSLMANEAVRMPQPAMVTEREEVQQLAMRPRP PIPLAMRQPWIPQRQISDPLKAIRANARANSSSPILSETSPTLGTWEKNNRRTFASSI SAYSNRSAVSPPAEADFNLFYAAPTETPDNSPPRDSALATAAAAAEIVNSDMSQYQKY SQTLRGSQVKSVMRLQKEAGLDEFDYDRLEESDSEDRQDKGWRMSLNSLDSEDLTPRA EAPSFAASQRKGRRPDREGGNMI L198_03246 MSRSRGPGEGEKGLGNPGSFIRCREVDWRTKWEWGLLFLSSQDT DAPGLASQADQVRQVERWINTLRVTVQNEEKPSLLHALILYYIKEGRYRQALEELETY LPSYPYLLSASLHTYAGLLAFYLAQPASHVRQRSPSRSQQHLIVPGGNESSPSSSPRS SRSPSPSPVPLGWDHADFSLMGRAKGWFAKSLEIDEKEEVAKEFLRMIDHPAANDGPQ SDSGDDMGSERDESEASDGSQRYDLNDEYEFS L198_03247 MRWKQVATIGAGKISRGTRKEQERKDGHDLMVANVLWHASRRVN KGAADQGLQMDGDLIQSNWDQVVDHFDNMELKPELLRGIYAYGFERPSAIQQRAIMPI ITGRDCIAQAQSGTGKTATFSVSILQRINTDVKKTQALVLAPTRELAQQIQKVVIALG DYLNVDCHACVGGTAVREDMAKLNEGPHVVVGTPGRVFDMINRGALKTDSVMMFCLDE ADEMLSTGFKDSIYEIFQLLPAETQVVLLSATMAPDVLEVTKKFMRDPIRILVKKDEL TLEGIRQFYINVEKEDWKLETLCDLYETVTITQAVIFCSTRRKVDWLTAQLHERQFTV SAMHGDMKQEEREVIMKEFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPSSKENYI HRIGRGGRFGRKGVAINFVTNEDKQMLTEIESYYNTQVEEMPLNVADLI L198_03248 MHVLTGHNRRREAANLARALELSTQQAKVFANTSPHFFSSSDHA TEDSREMELRPVEHAAIQSSPRASLSGRQPAESAEQKMGPPSVVANTAESLDEDISSF GVKPTSPSDIQSVTPIVNPKTSILVPESVSNNTSGSSSHKSYPPSSFPAHLLNPSPNP VNSSSFQPKADIVCDSLGLSSNGTSHTSSIRGAESSSNQPSGVSPTLLSSAAPDSSIP PPAKKIKQYGKAAKLTPSSPLKRAVGPTVPGSSLSGRKEKRRINFSSDGEDDTTADNG GKSAASVAVGPSPPKSPRKGDVPISPDPLDSIRKGKATGTPAGPVFVIEIPLSARRLN ANETGEKEKGENERSEKDKVLKVPRRNLEQENLEGAEVSEEPALVLAPTGPQNKKSDT PIIVEASTSTTSLPISLSNSLSSITDSEPPTKPKPRKRKYDPLDIPDVDDGEDEDFQP GKKAKPKVKAKPKAKAAPKEKKEKKPPTGKKGKQLLGAALIVDDEGDGRVVPQPPAEE VLAPTIAAPDAPGTEGRASLRESEQPPEPPAAPPSPIRGSAAETELGRPPVPSRPSPS LGAKESLPAKQSETVKQSKTAKASTKKKGKAPAAPLPSPPPEVQPDKENTPSPAKSKS PTPPTIAKPPTGRALQKTPSNTNMRVSTPMSGASRSSSVGQESTPGPGGMKWKTSRND LSSVLAKFGGHRRTGMSNKLNIVPLHAKIGTPAKALPPVPKKPHKKVESDEDDDDDDG EKKVKPGSKEWLMMED L198_03249 MDTVVSSTISEPLDLVKLALGERVLIKLRGDRIVTGVLHAHDPH MNVVVSQAEESIYIVDVTEDGQPLPPRLEKRNFEMLFVRGDGVILLSPVEQ L198_03250 MFASSADSELSSCVKMLQQRSSRLESMLKALYPQVNLDDYVGPP IDHEDFDLRSYQEQLRALSIPEFPTIKPLPPPRIPSHSHITPSSLDSAEQLEESDEED IPVANSVARGYALNGMKIGGVEWRHHGKTSGAHLLCHYQEIKGHTHGNVDFFESLGRH RTEPLWRIPEWEFSVTEAGLHPLDCSDWPDQGLDQQLIGAYFDHVNVNLPLLNWTLFQ REYDAGLWKTHHRFGRVCLLIFACGSLFFKTPATLWPSPPSVRMPDLYQLQSQTLICY FMQAGTLPHFIAAGAGSALRSTQELGIHLKQVLEKLDPTTKELYKRAFWCLYHLDRYN SATLGRSVAMTEKDFNVPFPEDVDDEYWVLEGGGVMRQPEGKVSKVAAFIQMLKLDQI LGKVLGQLYSARKADSDTANRRAVAVELDLDLVEWAKNVPSVLRWDPDRSNYTLLQQT SCIWGFHWFIKILLHRNLIPPRPNVATIDQISSLAECVAAALSISSITSATLQRGRLE GCQPGKSLDIFLMVPSWLAATVLLVSIYTVNNTATERQRAVNGIKMSLEASKELGALW KLAAKTGDFLEQYLRENHNGDKSSRFDTVPNPTNLVAYATSQWSASSPDSEEVSQRGL FDSWLKMNMFEAQIAGMNNMSLGDGEETFGEEWWERMLSNQM L198_03251 MALRRSSKSQGEGHNMGMTNDEYWTGFTSNSDDYELGPAIGFGA SSTVYAAVFTPPSYHSAPVPSAATPAPTQTQPRHLQPTSASLPSTSRKPDLSISLPPT SASLSINVSPGDLTKSNGSAQPKERPCAIKVSGYQYDAEQLFKEIRLLELCRHPNVLR IVTTFTLPPDHLRLALVTPLISGGSIAGILDWRSRLATPTKNRSNFKFGKKRDLDTER PDAGGLEEEEVKGIVKQVLEGLAYFHERGYIHRDLKAGNLLIDGDGTILLADLGVGGD MNLPASPAPGKAKRTGIEEVRFEPETQLGLGPGKRMTSPNDDRGMRKSFVGTPSWMAP EVVTGQKYDSKADIWSLGITILELAYGAVPGGKRKSRDILTNIAKEPAPVLDRMGKFS KQMKDFVQLCLVKEPAHRASALQLLDHLWLRHSRKKEALAQTLLDGVPPLSQRQELLR VPTVSSIVSGTSSWDFASPSVPSSPMRSSQISAAAARSPSVISYKGDYFPATHSRSSS FSAIPPSPRVSLRQWAERSGSVDGEGITGLGIRTGSEGGKPRGRTQNSASVLSGRKSL SFDLQRPSSVTNLRLGAVSPVKRGRELRPARSEDNIEGFQDDGRGLAPMSPLLEVTRP DRAGVGKVEIPNLALAEGSPSLGLVDGVSWMGFDDRVQNSPPDLPSLAAEISSLDSTT LPILPDPETPTKLGRGKEQRISPEPDISLSRTETLVARVSSRPNESLEEHDAKRGWLG RRKSANRNVGGRDTTSGSSEGLPQSMRKTGSWGGMLGKLSGKKGKLSTTGPA L198_03252 MVKITFKTVQNKVADLKQKIQESQTFPAENQKLIYSGKILNDSS SVESLKIKEKDFLVVMVSRPKAAPAAAAVPSTPAPAASAAPSTPAAPAPSSGPAPTAP AETPTPAASESAQPSGSAALGTSFLAGDQLQAATDGMVEMGFERDLVVRALRASFNNP DRAVEYLMSGNIPNLDPPAPAAPAAAPAAAPSAPSIPAAAAPSAPAAQPAGQPAAEAP AAGSADNLFAVMNRDRGAPAGAGAGAGGAPGGQLDAIRQMIQQNPALIQPLLQQITAE NPELAQLIQQNPEALYQLLGGAGDGDDDDEMGEGPVMRVDLTQEEAAALEALGFDRQM VLQAYMLCDKNEELAANFLFDQTDED L198_03253 MASSSSKIRAFELQSKSKQDLLEQLHELKTELSSLRVQKIAGGS ASKLTKINTVRKSIARVLTVINQKQRQNLREFYKKSKYTPLDLRYKKTRAIRRRLSEK EASAITVKQHKKNIHFPARKIALKA L198_03254 MTAQEDQSQQSVSTTDLSVTSLDGGVAEEGALEQDTYLDENNQL RYMVYYEEPDSITVDD L198_03255 MISKVAVAGAAAALAAGVANAQVTATGTMGSTNPAEATLGTAVN QTSFSRLLSLNAIDDFCVFAPHERDSEIGDTEAEEVAWCVQPRNEARVIPDGTLTAVH FVKTPLYWQVQGFGDFTQLNIMDGDEGGELDPHGATGLGNPVGGNVTTNATGSDVSYE EWMNYMSYDMFCLRICISANSTYTAAEECQHTLDEMGCSWIMPGDYTDNSFTECDGDA AYPPGIYPLQNGSTSTFAQRYTGTYTNSDGSRGTWTQGETVTPQTAYSTPATSNCITY SSVGNGISSLALSGATNGTGVAGGSSTASVTGASESGSDSAAATGSSSGSSVAVTSSS SAVASESSSSGSGSSVSSSASAGSDSSAAGGSTAAASASGSSSSSGALSAFGGLNSGS AMAGLVSVVALLAGAGSFLL L198_03256 MFRSRKSSVSQISPLEPPQQSRTSMQPTPPNSAPGQVPQGQGRP PRPPTQGPRRPGSFDANPPQPAFAGGPKMDRVQSHDQGSAKGEKRRSGFFGFGGKKKD KAEKGQKREDVDKPRSSFSIDRPSTQLASRPVPPSASQRFPQGQGQPQPPSQPRPFAR DQGPTPPPQQQQQIPAAANDGQRSQSLEISRNHQQAVNGQQRSSPLVPPETLQRPQTG MPAKQSVPRSVSMPMAPPAPGTTPNGGSGSPRRASLFGSGSTAQDPNARGPFESGNIN TEKFQAILELIALQPQKTYVTSPPELEMILARTSAGGQPKLGQPGSPSNDWDAVWLQL SGISLSMWSMKETRAAAAKGDKVPPTYFNITDSSLELLAPLPPPPHRPNSRPHNFVYS LNTAGSNRLLFSSPTERDLARWVSGLRLAAWERARLEEIYTGHLVQYGEREPPVEVGK GRSKMEGWVRVRVMGGTDWRRLWAVLSTPGEEGAKDEGKHRRRSFFGIGGKEEEPAPQ EPNTGVSMAAFYTEPRTAKNKTSVTPVLTITNVSQAYAVFPEHLEVMVQSNLCKVVGR VSGDMVTIEGRLRDSGWALLMPETPGEGSDRGHGSSSSQGHGQSSGVSPLGNMMRWVT GFHDAFALYGRPEKYNWNPRDPKSLFFAYPQGPHRGNLFLTVDEALRGDFRVTSLAEV RAQLVHLVHRRLAGGIQEHIEEESGEDEEHEREMPQEQGNFRLPPLSFNELHDNEERN MPRSLTPITERTDVTRQNSTKTSKSGLTIAGMGSPPSGTTAQGDRKTSGGSSKHGSQS SKHSDFGIVPMSNDGFAPLTEEPGEVYSSNGSGSHSGATITPQPPVNPLPGFGVLDRH DKQVTPSSIASKTSESVYSQETGLTPVAPSNASYYSAKPSVDGHGPQQTNFPVPVQNG QSMALAPPVEVPTEQPVSSASGPEERPPLPPFASERSSEVLSPTPRKVSSGEPLPHGI ELAQEPAAMYLMNMVEEPPVPEPPQRITSASPPTNGAHVNGNQLQRKPSGARALPVRQ QSAEPKLYNIQDRPSEDAQAANPELGEDFSSYMNYADNPTPVQPRVAPAPTRPLPAKA VSPPQEQVKSSFAPSRAVRERRAKAEQDAKDQEMAKYKPGGGKRIVSTRSTMPEFESS DEDEEEEEEESENEAPAAQKKTSLPVSPGQVAPVRGGQLGLEGLPRERQTSMDARALP PVPVPANQAPDLRLPFEDPDARSRVDSQYSQHSQRSSGYDPRANQYMDRPRNASRTPS PSNGPPGAGRPGSNYHGNGAVYPMSGPRQSSNIAPPPATRQSVWNANFSAQHGMEENT KSGKFVDLEEPSVHLTKAFAPHGLLQAGIQDKEERSAKKQEELARETGSSLIDVPSKP PPPQAGLLGAVTAHERDRKNAGGIGATLTDREREKRLAEEKQREFDRLQRQQMGQFGG DMYPQQGFGQQGYGYGMGNAMMNQMPMMGYPYQGGFNPYAQAQQQAMMAAQMAYQQTI MTMSQAGSQAGDPHERAQSAQSRHRQPSGFSDDRSGSPSASQMGGIPTLYGGFPQGAM GMGMNGMGMPMQMQMPQMPWMMPGGGMPSPGMWGMPGVPSSAAQGSPNFGFMHPSAPG GVGSDAGSMRGSPMGGEEGGQKFN L198_03257 MSALKRVLVTGGLGYIGSHVVVSLLLTAKFLPIVLDNCHNAYPE SLRRCAEIARDELGPNAPQPILHNVDLRDTKAIEGVFEQYKNDGGIWAVIHLAALKAV GESSEIPLSYYQVNVAGSICLLETMRKFNCNNIVFSSSATVYGAPKTIPIPESSPVAP ESVYGRTKAMVEDVIHDTSRVIAKEESDQFRAVSVRYFNPAGAHPSGKLGEEPRGKPG NLLPLLAQMASGREKSQLKVFGTDFPTPDGTCVRDYLHIMDLAHGHILALEALLVPSS DPSSIFGKVNTSTEGFFRAFNLGKGKGMSVLNMIEAMRKATGFDYKYEIVGRRTGDVP DLTADPALAEKELGFVANENLETMCRDLWNFQTRHPNGYSSASA L198_03258 MPASLFSTTLIAALLWGVLIGKSVQAGYLSVNMTEATQCERATV EWEGDDGPYHLLLTPTEIKQHGYNVWVESIPAGTNKYTLDIKQPAGLQFMLTMWGASG ISYAATTDVMTVATSSTGNSSCFLSDDQILNLYSFSFTLDTAYDDTYPPQCSNISLSW PTSLESNVTSDVAKRDLAGLDKVAERDSSVDLEELALSSSDHSGNTTSPPTLFGVIPL GNSFSIPITYATTSTFASSLPASSLSDNPTTNTSKGTTYLDWTVDMAKGTRFLLVAGI GSEQRWASGGSSKMFTVGQGSTGCVGSEQGSDGVPSVTASDGTATATTTVPANPGGSN GSELSPTVRTAVAAICSILGTLVIVGLVFFWRRRMRNKRDADAFVTAGEPSTGSSGGG KRFGMFAKRGSSPKAVNRTTASDTQLDLIASRTSVARQRELTPLVAHDLAPTVSPSTA SPQDALDPFVDQQTPNSSMSHKHPYPPTPSSQSAYDPGFAGLGAGRTLNGGRQPSPVT PITPVGGERMGRNSSMDALLPAGSVYHDPPTRGHDAGLESAGEASLPSGPDSGGYATE SYYRPYMRQQSGPLVLHDPTTRDVDASTEGGGDEEDVTDLKRDTLATTGQQPQIRLRT AQSRQGSAGSGPPSATVRRRRQEEQEMEFMVHRDAGRVVPPRSANVLELPPRYEEVQW TEEERREREERELERDRAREQREREERGTR L198_03259 MDIHPQTGPQTLFSFASAHPPVDPPTHFSTGSDAQLGGLTTAEL ALVPSQPLAEPAASSEPQYSHMAFYGYMSTAVPPEHAGKIRTGFAGFRNVSRSTLFGQ DTWDLDLATHLKVTVGYRGWEGWRNRWVVNIGVDDRPRTDIFQHRLELAPSALSGPSK TPLDPLSPPLPTFTTLHLPLSSFVLIKKGVVSPQPVSMTLSQIRTVGFALLGRDRDDN AAAPGEPPAGKGVEGITLGGWGKGGVSEAEDDPELRQMLEEDRLLGPDASAGSSMTNP PKPRSNSGYHRASAATASAPVGDEAQDGVREGYYELSIKSVEAVKWDPELDEPEQ L198_03260 MGDATPPDITALRLQEDEPTTSPSSPSRSPHPTPNDTTSPPPQQ PRPPPQPVASAPVIPTGRAPPGAAGRPPAGRGMPAPMGIRGAQAGRGGPGGQMPAKML PSLQAKMDKIAASRQGPPPSTGSADPNSTSMGALLRSQALKAPGSSPNPSPGPASGPF GLAARRAAGGNGPPRPNLSMMGMGASAPAVGRGGGLAGRRGPPGGLTLSDMKGAPKDE GNKFADFQSVVDPSGSLRFSKKAVLHAKGVDFDDGQSFKINMDEIEVLGELGKGNYGS VHKVLHRPTGVLMAMKEIRLELDDSKLSGIIMELDILHRAKSPEIVEFYGAFTIESCV YYCMEYMDAGSLDTLTGGGVSAKDQKAEEVDDATTRVPEPVLSRISAAVVRGLGFLKD DLQIMHRGDIDVKPTNVLINKKGEIKLCDFGVSGQLEKSLAKTNIGCQSYMAPERIKS ETVNQNPTYTVSSDVWSVGLSIVELAKGCYPYPPETFANVFAQLQAIVHGAAPTLPPG YSDDANDFVAKCLHKDPNQRPTYTQLLEHPFLIKDKSEDVDIVGWVEAALARKAERGI ASLNPIELA L198_03261 MPTRRARPSLVAAINEDIPSLSLPEVKARLERNTALLASPLFAS PPAASSAGSSSSSSAQIVDPVRDKLVKAREALLMREQELMMENMDMDDPGGSGSAGPA SAAGQDFGFSKGKGKEREVHTSGKMRVLDRIRRGEGTLAKNGLILPIDQTLSLGQRDY INQTASSLSSLSLEATRSSSPKPRHPINHPGRGAVPRNHNSSTRLRDGYTADTGANGT RGDGGGGPGVDGVGGDDEVLRAQRLARLGAFMNYKGDDDEWSDEDDDSIFHEEDDYPY NPEEDRPQAFDFETQDDRQVGFTRDGMPRRRENELGEEIDEFGEEDDEFAEGNDDYRD GDGDEPRSGAPGR L198_03262 MSLPIPAAPGRRASVSASDPANHIPIPANTRGIPQTSFSPQSPP TGASPIQKFSSSPSGSHMRGTSIGGAFQGFARQLTAFLPPSYPTEQGHEKRQGKTRIL LLENINTDAANFLKAQGYEVDHVTKAYSEEELLAKLPHYQAIGIRSKTKITAKVIDAN PQLLVIGCFCIGTNQVDLEHAAKAGIAVFNSPFSNSRSVAELVISEIIALSRQIVDRT AEMRAGIWNKVSKNCWEIRGKTLGIVGYGHIGSQLSVLAEAFGMTVIYYDVVPIMPLG SARQVEHLDDLLSNADFVTLHVPEIPDTIGMIGAEQFAQMKQGAFFMNNARGKVVDLS ALADALESKHLAGAAVDVFPKEPGSNGPGFDGALGDFIPRLRNVPNLILTPHIGGSTE EAQRAIGSEVATALTRYLNYGTTLGAVNFPEVDLRAITTADERHIRVCHVHRNEPGVL KGINNILADHNIEKQFSDSKGDIAYLMADISGVGQEEVEGLYSAINGTKSNTLTRLLC EFFALSIYN L198_03263 MDYLPVRPLPAAPRQQNTTNPHSRHFHQFRHPLFIKHPAAITHI HFCPTKPHRYAVSSSTRVLVYAPKTGKVIKTISRFKDTARGGEFRKDGKLVVAGGDDG VVQVFDVNSRAVLRTMKEHNQPVRVTHFSPHLPQLLSASDDTTVKLWDLSTQECLTTL SSHTDYVRSAVFSPTDPSLILSGSYDSTIRLHDVRLPADEANVITMRHGGAPVEDVLA FPSGGVALSVGGPILRVWDLAMAGKCVRALSNHQKTVTSVAFDGTKGRVLTGGLDNMV KVYDVEDWKVVHTMRYPAPILSLAVSPDDTHIAAGMTDGTLSVRRRDPKASELSTETV QNTAIKNGAYEYFADMEGIFGLGHIKKKGKDMGPVVGPADEFRVESKRQKRLRDFDKH LKNFKYGAALDAALNKNSKPTTTFALISELIQRDALRISLAGRDDVTLEPLLNFLARN ITDPRFGELAAEVAGVIIDIYTPLLGRSPVLDEMLSKIQARVERELKFQGELMKLRGA LDMTLAQSVMGRVAGEA L198_03264 MPPKVDGMVRLFDRGDYFSAHGSDAVLIANEVFRTTNVLKYLGS GSKSKPTSSSTGGSTARGLASVTISSALTRAFLREALTAKQMRVEIYAPDVAAAGGKK DNSKWTISKSASPGNLSQVEDLLFSDKDLEVNAVSMAIKVVNSKDGGVLVGVGFVDVQ EKIIGVAEFADDENFSNTESLLIQLGVKECILQDDDKRPEIAKLRMLVEWCGVIVTDR KSSEFQAKSVEQDLNRMLDEVHAGSTLPEFELKAAMSALSALINYLSLLSDVALHGQF RLHRHDLSQYMKLDASALKALSLMPNPQELGGNKNMSVFGLLNRCKTSQGMRLLGRWL KQPLVNLHEITQRQVMVEVFVDDANTRRTVQDKYLKSMPDFHRISKKFHRRVAGLEDV VRVYQAVQLLPGLVESLEEIELLNPRGKELLEEIWLKPLREHIGKLDNYSAMVEDTID LEQLSSHNFVILPTIDEDLQRYREELLGVRDQLDEEHRKVGDDLGIDIEKKLHLENHH VYKYSFRITKAEAGLIRNKKEYIDLSTQKSGTIFTTKTLRGLSEEYFRLQELYEKQQR HLVKEVVAIAATYTPVLEVLDNLMAAVDVIVSMAHVSANAPIPYVKPTLHPKGSGNVV LTAARHPCLEAQDDVTFIPNDHHMLKGSSEFAIITGPNMGGKSTYIRQIGVIALMAQV GCFVPAEEAELPVFDCILARVGAGDSQLKGVSTFMAEMLETATILRSATKDSLIIIDE LGRGTSTYDGFGLAWAISEYIAEKIHCFCLFATHFHELTALAEKNAHVKNLHVEAHVK ERSEGETGGKGRDITLLYKVSDGISDQSFGIHVAELANFPDSVVKLAKRKAEELEDFG EPDDISAPSAKYPKETIDEGTDLIKEFLATWKSRVSVDEDSDGGMQPSEEEMVSQLRA TAEEFKGRFGENEWVKGLMATL L198_03265 MYHFQSSPQPPAFNGDFEFHSEVWLQANPSADDANPASSYLPPP EGDTFTSAPYEDFSAPQGLHLTVPNGSLFNDRGAFSYSPSSVGDGSYFSHSGAGSPEE EEMFANRAADEGASEIVVGNARSPAQKSTRRGSETRITDMASRRRVQNRTAQTKYREK KKQQVQELTAENESLKSELERLRSQLTQRIRERDMAWSRNRSLYKTLSGMGYVQQIQP GGWGAGDGSVSQPTDGMGYDEDEDAEGEEVDV L198_03266 MAALFGRYSAFLARRPVVGNMASSAVLFATGDVIAQQLIEKKGK DHDLTRTGRIVVWGGLCFAPVVHVWFKTLARLPIQSKVAGTAARVALDQFAFAPFALT SFFTAMTLMEGKNLEAAKLKWNESFVDTLKANWMLFIPFQAINMLIPLQYRLLAVNAV NIPWNTFLSIQNAKGKVEEEKVETLKQE L198_03267 MAPSSTENAPKNLEELKQLLKDDTKVKVAGVDVEGVLRGKIMSK SKLLSSVKSEGFNFCSVIFGWDIHDQTYNKDLLVANWDNGYRDLLAVIDLSTFRRLPW EKNVPFFLCRFVIPETGKILPVDPRSLIMDVTEKAQEKGYKCMSGAEFEYFQFAETAQ TLADKNFRDLKPLTPGMHGYSVLRPSLNMEYFHDLYDSATDFGIEVEGHHTETGPGVF ETALGYTDAARMADNACLFKLVAKSLGMKYNISPTFMAKPWGDLPGCSGHTHVSLQDK DGKNIFAVTDEEAANGGRANAAFDDVKYISQEAEWFLAGLLEGMPDVVPMFCPTINSY KRLQGGQAMWAPDTASYGYDSRAASVRLITAPGVKGYATRFEVRVPGADMNPYYTMAT IFALGLRGIEKKTPLPYGPIGSPGVTRETVKHLPTSLESATKAFMAKDSIAREVLGDF FVDHYGGTREHELDLHRKAVTDWEVARYFELV L198_03268 MPAQPSIRAYFAKPHAAATARVALKSTSPPTARQGTENMPVNES SEAGRVIAKESKKRTRSGETAAAAASTTKVAKVTASATSTIPSKTAASGKFDSVADVR EAVRGTSLPLLELETKSMGEDWFLALQQEFTKPYFLKLKEFVTTEQRTKKVFPPGMSR DEYTRQDIYSWSTLCPLKDVRVVIIGQDPYHDDGQAHGLAFSVRQGVKIPPSLRNIYK EMTNEIPGWVSPTHGDLTEWAKHGVLLLNTSLTVRAHTAGSHSKAGWDQFTAAVLKVV TDRLAPTSGEGSGANGVVFMAWGAHAAKMCAGVDSKKHLILKSAHPSPLSASRGYFGN NHFNLANEWLEERYGAGGGIDWKALGAAPPV L198_03269 MPAKNDINKIGVESSDFPILCETCLGPNPYVRMSKQEFGHECKV CNRPFTVFRWNPGEGRMKKTEICTTCAKIKGCCQTCLLDLEYGLPTQVRDAALGRKAQ APSSDINKQYYIQNLEAQMADSADGMAYDSEKANQHGKEMLKNLARTDPYYKRNRPHI CSFFVKGECKRGDECPFRHEMPKENEGTGNKQQSMVDRYYGRNDPQAKKILSQAAETK GLKAPEDKSITTLLFLGLPECNDSHVRASLLGACPFVKTSDLRSVTIVQASHCAFVNF NQRPTAERVAEALSAQGGVEVEGKKAKVVWGRARPQKKAVA L198_03270 MPPQKRESDGPEPPAKKAHPFFSGGARKQTLGTFLPSEPSLIHF THLDPFSVVQHGSSSTQSGPKKAGVMFYDLDGTLIKPKSGAKFPKDREDWQWWHPSVP ERLKKEYEEGKHLVVISNQGDKSPKRRGEWREKLSLIAAKMPKDIPFRVLAALDKDVY RKPNIGMFQSASELYRREGLVIDMDNSLFIGDAAGRPSNGGKPKDHNDTDYKFALNVG LKFVTPEEHFLGHPRPRFPEPAIGFRPSSLGNLESLPYIVPSHTPITREKIEIVLFVG YPASGKSSFYRKHFEPKGYAHVNQDTLRTKDKCLLVAEQELSNGKSVVVGDAVLTPPV DNTNRDSATRAHWTALAAKMQAPIRVFHFLCPPELAKHNNLYRAYYGPSNEPTRTALP PVAFGSFASNYEKPTKQEGFVEVRTVNLHFEGTEEQRKLWDMYIE L198_03271 MPPNAPSERTPETFKILLKKLVQSPDQFTPEDCAECFQHLCAGA FLTALTLSGLDSSPEIVAACASILREHAVSVDNLYSDSHGQEPAPGMWDYRDSDKEGD RYKGTVDIVGTGGDGWDTYNVSTTAAVVVAGAGVRVAKHGSKAATSSSGSADLLISLD CRLAFPVSKVDTFLDHSPFLFLFAPHYHPALAHIAPIRRSLNFRTIFNVLGPLINPSK PDRMVLGVAKQELGDTFAEVLRLLNVQRALVVCGKEGLDEISPAGETWTWWLENGKIT KGSIHPTEDFGLPTHPLSSVRGATPDLNALTFRSILASSPAPAHLASPAGPESPSYQA IYDYILLNAAALLHVSGKAASYKEGVQLARESIESGGAIAAFDGFKEASQKAMGEHVD VKTVEDDGGVAAKNGAVKAWLSVNRSRPETPAAEQ L198_03272 MTAINGNGVTEKNVVLITGAGGWLGGILANALQSDPRTPNVHLI LADIVKPKLPNSPKNALSITADLTTKEGVDALFQTEFGVPDTVYCFHGIMSRGSEDNF ELGLKVNIDSIRLMLDSARHHKPSSGQPIKFVFTSSLAVYGGPLPAVVDINTIATPEG AYGMGKLSSELLVNEYTRRGFVDGRILRLPTIVVRPGPPSAATSAFISGIIREPLHGV KAICPVGDSLESKELELAAWVASPETTIKNFVIAKHIPAEKFLPHTRVAYLPGFTVTV REELEALAQVAGKQALDLVTFQDDPINRRIVGSWPARFDNAYPRSLGFVVDEGGMVPV VQRFKEAVAAGLV L198_03273 MSAPAVPAQVHEKAPRHAAPTGANLSAAEAGGVPGTRGKAYGQD FGFLRWVRLHALDLITMAAMGAVGLGVYEADPAPTRSFPVFNLDGSIAYPEFAYPLRH NIIPIYAAALLAFFVPFVFFVLFQIRLRSIDALLGTTMGLLESLITGAVFQVFHKWLI GGLRPHFLAVCDPDVDALTQSGIGFQSVMYDRSICRGNEDTINDSLESWMSGHSTAAF AGFVYLFFYFNAQLKVMSDHRPAYWKMILTIAPLLGASLIAASLTIDEFHNWWDCLGG AVTGTLCAIIAYRKTFGALWDFRFNHIMLPRGTSLFFHRKAVDEVPFTSRFQYSAQET GVQMPVASEGGWRKEWGSAGAPSDATAMMV L198_03274 MSHNSSQQPPYNNSAPVWDYRYPSEQPPSTSTESWIPAWISNDP SGPRDPLDYGSGQALQPGESSTRGTLQYQGQGQMGTSQMSPQIPQMQGASTNHGYTHS PVLAVKTSPQFDGTHHTGEPSQPPPSAVSAAAEDKPDGDVPAAKKSRKRKKKPEEEEA AKKNKLEQEAKADKNKEEAARKEAARQKTGRACDACRSKKTRCDILPDPSAMGQPICK NCSRNDLECTFFLPITETRFKKKAIQPTAEPIPDQGVSPTVTNTIRQVSSSHSPNYEI VNEPRNREVPSPTSISFLIHTSVPPIQSEAYDLRHHNSWEVLEDGNGFIRVNAPPTAT GYADADPQDPSKAHNRLNKPVLSAQTMSLLVNAYFNEVAPLLPIISRAEFAAKSNPPP LLLYSICGMGATRRQFPKEIYTGVRGVINGILRSNDILSDARLENVQALLLLSQVHDV HAQPSAPTASASSIRTNVAIRMAQELGLHRELSHGTEKTQDLELVELRRRVWAACVIM DRWNGVALGAPLTIDLADCDVLLPMAYTIDPDQDVSAWKVNEEYVGLAQHLKLSILLG RVLKTIYSPTGLKHATDDKLQKLTKDINDWIINLPDALKFTGPDSSRIAGLLHMSFAT VQFLFWRVFMRIEYHCPPQISFGLDVQQWSKIIEWSREALEWLDKHDDALDTVFTYSY AATNCALIQYHTWARRGDQAALGSLRLVKETATRWEAVVQPDQMSLRRKNCETLALLY EAALKTNPSGKDSLATSNPNRVGNPTAGVSLRTEPAKVVFVKDSSRPGGGFYVARDEQ EKKASGLTENVMLESEYKEERLGDVITPESVRSLHSRGGGSGQDKPVESFTGQSVHSN GSVQGSTPMSMPVMQPPQEMMPPQAHQQPVDGMGKMLGMHHAPFQDMQNVNPNLGFGE HGQVDFGYQQIANPATPARTRNDNMLEANILDSLPVSTFDWASWQSYFDSYLPPGVAP PPGPVSQMPQPPLNGGMNGGQYPSM L198_03275 MADTASKKVILTTSDDEQFTVEKIVAERSAMIKSMMEGKLAIPL LFSSAIDSASGHLAWAGQSTGAGKCWHPVNALMARLVAGKLLVRLPPLAFSTRLSRAE KLSLFSEPRFSYPHLGDQEGMPIPLPNVSSSVLTKVLEYCDHHKNDPLPAADANDADD SRRKTSEIGEWDARWIQVDQEMLFEIILAANYLDIKPLLDVGCKTVANMIKGKTPEEI RKLFNITNDFTPEEEEQIRKENEWAEE L198_03276 MAIRKETGIWSYFITVLQVIKQSGSTAIHPGINRISLKLQRRTS SGAPSPLAAVPQPIGDSPTVVSPLAPPTDLFDSTGMPHEHVWRPYFALYFKYMSQHFP NCSYQRMIERFETGTMSQFLACCICSLAARFDPSAQDPTSAAAPFIAKAQELVTPLLH LPTHDVFTGLLYLAWSNYGQNSESGLWQYSGMAMRMAIDLGAHEVSELYESVAHRARI KLLFWSTFVTDRVVAFATGRPATIPEDIIEIPLPVDEDFFPDPSRNFPDSAHEPVEPV PYVQLIQLMVIVGRISNVLNGRRGRALTLVSSAEPLPELVQDLQVRLITFYSNLPTSL KWSADNFKHQHARGHSGTFLALHLWANAVLALIYHPELLKSPSGVETPLNKSLSRNAQ LSLASSRQIVECMVFADLVVSASYISSPYLTQPLFVAGMAFIHEMRALNATAEPGKPV DPSRDGSLHPDSAAAPNPTDILMLSRAKQNFSTILHAVYRLEEYWAGAHYVATLLEKR SGFPRPSSKMARRTFISLPDKGLLKRFTTDPQHPQSVGPPTETSLRDSIARTKRSASA NSFGLTPLWLSDFMSGYTVENMSSTPADNVDLERLLGKDEMGQLGQKDTLL L198_03277 MSQPPYTIVLACDEAGHDYKIALKSLLESDKRVKGLIDVGVNKT EDGKPEKTAYPHVAVDAARKIVKGEADRGLLICGTGMGVAISANKVPGIRASTAHDSF SVERLIKSNNAQILCLGQRVIGFELAKKLVQEWLGHVFDPESASNEKVQIIHDYDGYE YEAVPGGCS L198_03278 MTDRHIFPDHKTLVFRSLRGLVASHPHLSLIPSLKVVYRADHDP SKVSLICGGGSGHEPGTVGFVGQGLLTASVAGDVFASPSARQVTEAIKRVNSDKGTIL IITNYTGDNLHFGLARLMAQSAGLTNVELVVVGDDVSVPKTRGKYVGRRCLAGITLVC KILGAASEVDLPFQDQVTLGRSVSTNTASICMALDHCHVPGRSGDWHIPEGRVEIGLG LHNETGVFNIGQPPPDVLISQLLDLLLKQDDPERSFVKFQDGDELVLLVNNMGGMSTL EMGSVVDEVLVQLETRNIIPVRILNGPFMGSMNMPGISLSLLNLSNVAAENKLVSVPK LLEFLDAPHNSVAWPATSQIYPLPEHLKNRKREDKFIDVEEEKKEEIIGGPELFGNNE FIQKAMKFAGDDVLALEPKLTRWDTIVGDGDCGETCALGAKATLQALENGLGSDGELI KFFRVLTEVIDGSMGGTLGAIFSIFLAGLTTALIDAAANSTPEITPVFFGDITSKALE TLFERTAARVGHRTVMDALIPFGETLAKGGDLKSAVEACRKGGESTVDLQAKLGRATY VGEVEGDMPPDPGAMAFVAVAEGILKAYQS L198_03279 MSLRHNDIEPSTSPPPPFPHSIVHIPAQFHSMTAGAGAGLVSSI VTCPLDVVKTRLQAQAISRTAENYESVGMIVRNIWSSSGFKGFYRGLGPTLAGYLPTW GIYFTVYDMVKDRMGGWAVDNDLPIAGSFVHIIAAMSAGATGTIMTSPLWVVKTRFMA GLPSHHTRYKNTLEAIADIYRHEGPKAFYKGLLPSLMGVSHVAVQFPLYEKAKSWAEG SHLSLPPSTILLCSAFSKMVASLATYPHEVLRTRLQIRRSHRAPPSPSPAPSTQPSHK PIATPSSLGRITGPEPPLPRIAEGPKPPWQFWHNPKEGGVIDTMFTIKKQDGWRGFYR GLSINLVRTVPSSAVTMLTYELIMRRLSSPTS L198_03280 MLFIRFALLALPFIGSALATPVAKSDVVQFEKRDDVLDVVKTLQ STIADPISTLKTDNVSADDAQAALSSILDAINTASAAVPTSTTTEKRALEGLTELAKR DDLNDVGTVLAQVITDIVNAVEGLADDLQSLPLIGALITSIDGGLHTLLLGVEIVLKG VIQILQGLLSGLGSLLSSLGAGLLAGLILV L198_03281 MQVRYASKAAGGKSKNGRESAGRRLGIKRYGDQYVVPGTIILRQ RGAEFHPGQNVALGKDFTVYALQPGYVKFYQSHLPYPHFARADQPAPTNLPPVKNPRQ LRKYVGIVADREERLPRDERAVGRERRFWGWPKEQVAAEATEAQL L198_03282 MKPAASLSLGRKLPSAGSLLLGILVGLLVASFLRGGETNVESWK GSKSLRTNPISASSRLQRSQITLPSNEQRLHILQLISTLSSHHTKECLRNPQPLYVEQ VKERYEPLMGYKKSWSRGKGILGRLFGLGGGGKDAAQVRGGVGGKDKMKDIKRDLQTA QHQYFFAINLYNSFDVIPDIFSTLFRVAAILGYHNVFVSIYENGSNDQTKALLKIFDA LAQTVGLRIIIRTSMRTRGLFNHRIEYLAEVRNAAMLPLHELRDKEGIVFDSVVFMND ILPCVDDLLELIWQSRRQNAGITCAADYMYHDDIGAPVFYDNWVARDINGTALENAPF EQIFHHTESNHRFQRHLPIQVQSCWNGIAVLDPAPFYEPPHARFRMANLAQGECSASE CSLICNDYFNAGYGRIIMVPRVKLAYDQKVYDIIHPERRNLTAIRGYKRIGGLPDDPH TDPQDRSWYGPHDRLFTPEEDEELDFIPGPERVWCWGWDGAGDLDGPDVDPIWEQMQP RSYSQEAIEVKHFRNLPNYPAY L198_03283 MSADQENDPFVGQNGEEMEEDGDFLAPLLVAKLQEAGISAQDTK KLADAGFHTVEAVAFTPKKTLCTIKGISEQKADKILAEACKMVPMGFTTATEIHSRRS ELVHITTGSTGLDTILGGGIETGAITELYGEFRTGKSQLCHTLAVTCQLPVTMGGGEG KCLYIDTEGTFRPVRMLAVAERYGLDGEEVLDNIAYARAYNADHQLQLLVQASAMMAE SRFSLLIVDSATSLYRTDFSGRGELSARQMHLAKFLRTLMRLADEFGVAVVVTNQVVA QVDGGQFAVADAKKPIGGNIMAHASTTRLNLRKGRGTSRVCKIVDSPCLPEAEAIFAI NPNGIGDPEELQE L198_03284 MSALPSLNQSITAAWNTPAPPQQQKKRTRKAVPLNGITAPGTPV DPSISAQAGPSGEDDAQPTPPPAPRRKRPRTDPSTIAAKYTPPDVNLSLLGGLQSQIT QLLEIVALPLWHPEIYQHTGVPRPKGVLLHGVPGGGKTQLVRCLAGEMKLPFISVSAP SIVSGMSGESEKTLRDTFEEAKKVAPCILFLDEIDAITGKRENAQREMERRIVAQLLT LMDDLAASEEPVIIIGATNRPDSLDPALRRAGRFDHEIEMGVPTQEGREQILRVLCSK LRLSGDVDFRALAKATPGYIGADLTALTTEAGVTAVKRIFEEMTSGEREFPAPDGVEN MMIDDQPFANLSADLRQTAIAKFLLSHPSPLSSDQLDSISLTPSDFLTALKIVQPSAK REGFATIPDVTWADIGALSATRDELHMAIVQPIRHPEMFSTVGIDAPSGVLLWGPPGC GKTLLAKAVANESRANFISVKGPELLNKYVGESERAVRQVFTRARASAPCVIFFDELD ALVPRRDDSMSESSARVVNTLLTELDGLDARKAVYVIGATNRPDMIDPAMVRPGRLDK LLYVDLPSAEERFDILRTHTKKTPIDETSWGTIREVVMSDKCEGFSGADIAALVREAA TLALRSALESIGAFETAAESEPLGVAERARDVRVTIEHFQRAAQKTLPSVSREQRIKY ERMRDKYAGVPTRGRKQREAEAAAAEKSAKLEGAKTEADEGEGMVVDDIGGGRGGVSE KAFVA L198_03285 MWLTALLPVLFLLAHASGSAIPFLVSRDNDMSVANTTEIESMAQ RRRADLATFPDPAWFPQIDTWLASQKDGVWDDVNYTSGCSAQRANWPIQFHWTRLLTF AAAWSGLNPSVPQNYSNSPELLSAVQAGLDFWAANDYNSTDCIGHGGVANYSCPCGTP GLWNTNWYGQTILLPQLVAPTCLLVSTSGNLTDSQMSLCSRIPERGYRYNELTPGSDP MTGANMVNIMLNSMTWALYSGNETILRDAMTQSMGAVVFSDNTTEDGIHRDGSFLQHE GVLYNGNYGKDLLNAFIALEGPAIGTQYAASNDSRAALSTQVRGSEWMIYVDQETKQE HWDFNVIGRFVAFPSTDLIQATSDILFNATKLAAATFDFADEYSVADTVQRLNSNGSD HLVGNKVFWASDYVSHRRENYIIGSKMLSTRSLGTETANSANTLGHWLGQGTLFSYVS GNEYKDIQAEWDWQLVPGVTSLLSDDNGGVNLTHKNIGPPGKKSWVGGVSDGSLGVVV MDWEDVRNASWGYKKAWFYVEEGVIVTVGDVFNDGSAEGQVITALTQRASTDSEIYVD GASFDSNNDSTSAHTLFYQDTGYVAYDTPFNLKITNGEKKRQLASTSIFSAYTSHGDL AVAAGSSQTWSYGMFPASSKKKVQKEHKHQSYHPFQNDGVWGIHGHDTLGLVFWPGSS LAFGSEVATAFGGYPNGWVNITSSEPAALLMTTSEDGTMNINIADPSQTVQSLDLTFQ FDDGAKLDCVDEGCEVDGDVVRFIGVQLSTGGWAGDSVSLKTKWA L198_03286 MRPKVLEIAWHETQAVYSCDFQPLPLTQLKRLLSASTSADDEEK DKPTSSATAAANAAASRQYRLATAGGDSKVRIWMVYPNIPSISPATYTALTGQDYTPH PPRVEYLATLSKHTAPVNVVRFSPNGQTLASAGDDGNVILWVPSDRPNVTFGETSEDL PDKEHWRLQKMLQVTTKHVYDLAWSPDGEFLIAGSTDNTATIWKAATGECVFALREHS HNVQGVSWDPLNEYIATQSSDRSVHVNTFAFRNGIPDVHPVTRATRMEIRHSRTPSIS SASRPNMVRRGSTTSEAGSVITTASDFPETHFPGIAASAIGAPATPSASVPSTPQPAA PAPMNPPTSNRPSSRRSSFSGSQAAGSPALSAAAFSALGRSARSPSPIPPLPAIRAPP ASSNVSLNQRLYGEEGATRFFRRLTFSPDGSLLLTPAGQIEDQVFKGSPMLGPRSLSQ DASSESNSVPKPKHTETGKPTAYIYSRANLARAPIAHLPGHKTSSVAIRFCPVFYDLR QNGHVQSEPKHVTLDKSDTQPVHVSLSMPPPPAPSSSSTKEKEKETQNLGSVFDLPYR LLYAVACQDSVLLYDTQQAGPIAIFKGLHYAGFTDVAWSPDGQTLLLSSADGYCSMVT FDLGEIGTVHPTQQHHRQLQAIAQSHSLAGTPLASSHHRDSIHSQTSASGTPYSPAVG IRRSPAPSNLGGWGARSERESSVASSVAGVSASTRPSISSQGQGVLPTPTPSESGGAG AASLEDAANKRGGEQAAEGEAPKKKRRVALTHLGTD L198_03287 MPSPPASPPRTPTPTAAKPVAAEPASSPIPPAKSSTTTPVDTAP AGATPTSPPPATTVTTTETVAAAPTIEAIVHADPKIAELQAIFPTVDVSVIELVLETC GGSTDRAIEQLLGMTDPNFKPDELDGSRQGHQVDLDAEFARSLQMQDEDEFRHQQRQY EASHGAGANNSVPPGQLPYQPRVRRARPPAPQAAYQPESGEREAAVGGRWDQYEQRPT GDNPPGALMVEEKLERFAEVGKQTFNSFLSRAKQKYAEFQTAQSERPQHYQPGQSEYA PAPGGSRGGEQNRYTQPQERVGGLWGERSNSPSISGRSESFSSASTLDPQPVQLDRGG QIPVRQSSGSKRWQPSDAYDDPLPPSRDSTSSPSRVGIATRNSIPGSPDKAGKIDLAK LGILPKKRVDLMSTSPSKPSHLKSNPSSSSGAASSSNAKTHYNDSDHDPNPGLPSASF TNKIPPTPPAGNSPYRLEDSDDELEYTKNPFDEK L198_03289 MPAPVSFTVRPPGSAPYRPSPLGSRGPPSRRLFNDDQEDEDDHD DSHLQGKRSDRPRDERIEGFGKNGRAIGGDKPEAPLVIPAIPNRDWRASSTRRTPSYR PENQSQVDAGDTHDRVGDGPQRSGLRQIKQEVKEGEDGSDNVKIEKHEEISNGFVKQE IKEEVEVKREPLTLEEQALQALLQGEVPRETEEERLRRELVIASAADTPFSEEDALKR DVDALPVESTIDDYAAVPVSAFGEAMARGMGWTPVTEGGTKIHEPKSRPALLGLGATA MQAPVPPSRSGSSNGKKPRPPNKRDAMKYSLAGAMVKRDGSETPGASSASESSEGKRR RDDDLGRESKRRDDGYRDRDRDNRRDRARDSERDRDEERRTDHRDAGRYETEDERARR KAKERERRDRGDDRDRYRDGDRRDDRDRYRERDDRDRRDDRDRRDRDRDRRR L198_03290 MATLHPVPPPFFSPYLDDQCTKINNKAVPWEGYQRAKLLSQDEL SLLKSLSKLPPAQRPTVFATQGPQYAKLYIDLLRKLQRVDTVQAVLVSINDMLSDTTN ISYFHNMATAKNPTDPYGPIVKCLGMEEEFPVLGSLRILALLIATDPKPFPESLVPTL LSSLQTLLNGSRIPLWEVAAQVLGAVLGTKQFRNAVWEEEGAISGLIKSLKSNPNPQA QYWAIFSLWQLSFEKKAAQGLDKKYDVVALLTDVAKAAVKEKVQRVVVATFKNLLAIA PSQNLPSMFVAKLLPFITSLQSRKWSDEEIVEDLDYIQGELKTRLDGLSTYDEYVKEL ESGHLVWSPVHETVDFWKENGLRIGQEDGGSAVKRLVELITTSKDPLVLAVATHDIGK YVRYGGERSRDTIDKLHGKTRVMELMSHENGDVRYQALMTVQSLMSQHWK L198_03291 MSGDKSARKAPKAERTPEEQAKRDAKKAAKKAAAAKEALVTSDL QAETEPAGEATPDATTEEGDVAASDSKKRKREGAAEGDELEIDVSAPAPLSKAEIRAA RKRAKRGDNSEARPKLKDYEKVAKKGDKDGKDEAAEALEGKGKRQNSIWIGNLAFKTT SEGLKEWFENGLSGLGGKGEGSVTRINLPKKNNKAGFSETKGQLQELSLKLSESPYLG RRVLIKKGDDHTAAPDARTPKQTLAVRADELGSISKRPETSCIYMGNLPFDITEVQIR DIIEENAPEREAEEGEEIIGDRGGKKSGLIKVRLAAFEDTGRCKGFAFLDFVSARHAK FSLANRKNHYFNGRKLNLEFASESSAKRSGADKLRKFAGEGKPKPRFPPRVEGEGGDD EERPVEAEKKDQRGKKWEASGRQRPGAALAMAKRENVGIVEGSGTKVTFD L198_03292 MAANISVQPSSSIRDVTKMERIGVHSHIHGLGLDSNLEPRASSQ GMIGQGKARKAAGVILKMVQEGRIAGRAILMAGPPSTGKTALAMAMTQTLGSDVPFVM LTASEMSKTESLTQAFRRAIGVRIKEETELIEGEVVEIQVDRSVTGATKTGRLTLKTT DMETVYDLGSKMIDQLQKEKVLAGDVVSIDKASGRISKLGRSFGRAKDYDAMGADTRF VACPDGELQTRKEVVHTVSLHEIDVINSRTQGFLALFAGDTGEIKPELRAQINGKVAE WREEGKAEIVPGVLFIDEVHMLDIECFSFLNRAMENELAPLVVMASNRGITRIRGTKY KSPHGIPVDLLDRMLIISTKKYDEDEMREIVKIRAEEEDVKLTPAALDLLATMGSQTS LRYSLNLIAPSSHLAQRRKSPQADVEDVRLAYKYFSDVERSAQYAKETSGMMFGETEE VGGGMDVDAQ L198_03294 MDEQDLHHLDDQLRAIRVDDGPRLQPLRLDKMFLRAHQWRGSTS NAADISIQHKSPPTPPREPPIYPLPKSYESSSATLGDTSATRATDQVSPLAALFQYAE LVPLVLESFDQPRDLAKICRVCKEWCSIGRKQMYSHVWVRPWEEAPHMKLVMLFETLH KRPELCRLVRRLEVRFFPLATRGEARSELDDRVQLAISHMDNLTSIAWTRDKSLNSAL FASMIRLPHLSSFEISGHSYRYYDPALLSAAPALEDLRIMMPDPHLKGRLVDVLSKLS SRDNTDGKGAGLKSLGIVCQESSLIDDNLLRAMAPYLTSLRRLTFWGCTHVTRAGITT ILEESSEKIEELSLDAPPQSQLLNLTNLPELPLLHTLSISITVPRRESPEHQDTLLSD LPSLPSCPSLRSLHLTSSPGRPFLTAQVWRTFQAQMIGGSLHKLSLIGIVLKDAAALG QILASNPDLDELYLTALHPSFFDPTFSSSVSASAKDLLASAQLRIVHVNFIHSSPVTT TRGSLAHFWRNIICTQGSDSMLGATVEQVGCGNVILEVHKRLNSDGCREVELCRWSKT VVPGYFNVWRA L198_03295 MADRDVRDRAPRVRNRAAAAVQITAEQLLREAQERQEPGVQAPK QRVQDLEELSEFQARKRTEFEGRIRYSRDSIMAWVKYAQWESSQNDFDRSRSVFERAL DVDPRSVDLWTKYTDMELKARNINHARNLFDRAITTLPRVDSLWYKYVYLEELLLNVP GARQIFERWMQWEPNDKAWQSYIKLEERYNELDRASAIYERWIACRPIPKNWVQWAKF EEDRGQPDKAREVFQTALEFFGDGEEEVEKAQVVFGAFARMETRLKEFERARVIYKFA LARLPRSKSASLYSQYTKFEKQHGDRAGVELTVLGKRRIQYEEELAYDPTNYDAWFSL ARLEEDAYRADKEDGSEDANPTRVREVYERAVANVPPAIEKIYWRRYIYLWLQYAAFE EIDTKDFDRSRDVYKAVIKLVPHKSFTFAKLWLSYAYFEIRRLDVTAARKVLGAGIGM CPKPKLFTGYIELEMRLREFDRVRTLYEKFLTFDPSLSSAWIQWTQVESAVEDFERVR AIYELAVQQALDMPEVVWKAFIDFEAGEGERERARSLYERLLERTSHVKVWISYALME IATLGGGEDEDGNEIEGEAGDAEAARQVFERGYKDLRKNGEKEDRAVLLEAWKTFEEE HGDDENKETVEQMMPTTRKRWREAEDGSGMEEYWDLIFPDDEKEANPTTFKFFQAAQE WAAKRGTQGEESGGLSYDMPSDSDDDDDGEAGEGEGMDED L198_03296 MVNYANITSAPGRLAYRLSTPRNRVATGIDPAFSSVLFCHPPWL DHYFFCPQLDDPIFYNEYNLVAIDMPGHGMSLLKELAGLYYEVLQALKIEKIHLVGGD MGSLAHMRLALAHPEIVESLTMVGPPTPVETDLVMMGFTDSSK L198_03297 MSYKPGDATKGAGIFKTRCAQCHTLGEGEAHKVGPNLHGIFGRK SGQAEGFSYSAANVNKGVTWDGQTLFEYLENPKKYIPGTKMAFAGLKKPKDRNDLVHH LEQATK L198_03298 MGGGGHYPYPKEVWTPSGGWWSRPSNWKGNTAFALGGILVATFG VWRLSANREERHIAPTRPIPSQMWSPQARELGVRQE L198_03299 MDALEKAALDGSLFQSREPPSPTRTDDSGDRPLLGIEDEKYSFE DDESSYSSHIGTSHEVGEEPTREPRGLVEHGGPQTGVKGVIEDKKASEHHAQAASRAA ALARGIDAQSRQMTSLTVHEEAELAVRQKAKEEDEELNEIRRRRRNQLQRQREEGQEK VGSFALEHDMKKAVRGGGLQEIGSERFLEVVERQGWGLSRCDALSTSLLHLSLNIPDG VPISLYRARATALRFSLVPPTSQTVSSHRQNTSEDSEMAWDEEGQPLGVPDPDVLPTL LAYKDGELEKTWIRVDWDVGKDGIEGLLRREGILPSIAKLGYSRQAYGEESDDDD L198_03300 MTPMSSHLWLRVVEARPGYLKGILHIEPKHLNNHDTIHGGAILT LTDTITSLSLSTHGLPAPTGVSVDLNTSFVRPGGTVGDDLICIGIVEQLGRTLAYTRC EFRTPPGGEKGDRLVAYGAQTKFMGGAKAVTKFSKDGKTELGLEEQAKL L198_03301 MSYASVAGHNVPFGEMPQPDPNLAEGPTATDEAPAPVAPSEPPL SVELHPPPEPEPSVSDVAPKPVSPPPKSSVHLPESGAQWEKQQDIKKEQQELKKKGKA LKKEAKDEFEQAENALAPYWEKTKDVVLRPGTLGGLLGVVNVGILGTAGYFAYTKKNQ PWDQRLVGGAVAGTLALFGAEGYLAESYLSTPEGQAEADRAKAEGSKLYLHAKEVILR PQVAGGLVGAVNVAILGAVSYFSYQNWNRPWDRQIVGGVAAGLVALSGLEGCVTFRSP QN L198_03302 MPAEQRSSFLDRSPTSPGTRFFRDLPAIAEKAGLKPAIVSSSST QRSTTSRPSQKHAKPLRRFVTATAQGVPFVDLTLSDDDDDTPVFTKPAATQSGVIDFT NTSDSEDDEDIKPIPIMPPKSEAQRRREMEEDKRKGLNQSRLSFGGGPGAGSRPLSYS SSQRSSGSLAPTLGSSPSPATREPLTNKTSTPKVTAPPVMTKGKAKERAEPPALSSQT APPGSTSQLKKYPAPSRESKPQPTVRSSASRSIQSLPRSQSSFSLPANDATPSPEHTP AELHGGEPKSFEPAFAAAAKKSSGIFKTANDTKAYAKSSRAVSRADLPTSTAPESRNA LPTPATPHVSRQNASPEASSASRRRASEALDEVESVRHTRASSRQKSTEPTQVASRPT RARPVPGAYEIPSLDAAIWPTVPRSTHVTTRRKRKVEGVKREVDVVAEAVDEKRAEES RDRDRGISCVHSLGEELSSSPEPEKQSPAPLSAVPGPRTPTKRDARKTTSRSTTPQSS RAAVLFSSRSSQSAPTKQRSPLKSPSKLSNSITLGGSSSKEVEQMIPPSSPLTELTEL SDEEEEEETKTPTKSSALSVQSATPRASIPKAESVKEVEVEQEPIEVEVDLDDIDLTE WDNFAWSAKPEAPTTPVKSDPGFSTPLKRASSVAQVTPRRSSSKRASAGLVVPDSVKK RKVEQEKWDRIVEETRVAKEAEEMEQRKKEEESARKIEEIVAEQPDQDEEEEDMGALL ASGLEQSPQKASSSNLPDAVQSRQEAANARKEEQRLKKEVRDAEKKAAAKRKQEAKER DQQQRRFDRTLQGIKTGREEEAVWDEIDNSDDSEDDLSDVGTDEELDLSDADDPMEDD EREFDLDNVAAQLKSKGLAVDDNLLRDAKKGAFQAEAPLNWDGFWETSFKTTSGGIEI PEMDIASSDEFIREIKECCSQRDISALATIVSSGGLNLINKEHDLSVCKWLFMCALSA VDARWASTAATTLIQWISTRSPSLADSLVPWTSLILSQLGARKSILACQGLHDLSSPM VISKERDQVCIQLLRFLTCVLTKQLGKTTFSRLLLPSLLLLGIDTSSSDALKSHVVDA VRTLLLIHTIAQRLDDEPRMIAAQIVKSTESYGPDVRCAVLQFLGETTAESRDVYTWL GAEYLFESLGAGRHQTNKPSVPPITKVIPVVDQYSDSLRPAPTVEIDWVVKNFEMTFM FATINNPAKILDAVPPKLTSDESGRDLMQKHIMADFRHSLKASMNSIPDQSDGSKRPT VKARLHELVETIDLLFEEETSRRIRARKLGPGLKFGKNGQSRLNMSKGKGKAEV L198_03303 MSAIDIALHHPIAVLFAFIGLPPVIFLLSLYRRVSTRSSRKHTA TVLVLGDIGRSPRMMYHADSFAKNQWATYVVGYEDTAPIPSLLENQLVSFHGLAEAPT LVGLLPWALRVPIRVLYQIMSVIYICIWSIPCNTEVLLVQNPPSIPTLALAQAVCFVT KTKLIIDWHNTGYSILAMRVGEHSPLVKVATWIEAKFGKKAFAHLFVTKALEEFLVQE WDLRGKTTVLHDRPPPNFRRTGPMVQHELFHRLLPELEPPFPSTSPLKDPAVTIFTEI GPSGMPAFRTDKPALVVSSTSWTADEDFTPFVVALDAYQKAVDSGANLPKLVVIITGR GGLRAQFETIVASREATQAWKDIIVRCVFLPARDYPALLGSADLGVSLHSSSSGRDLP MKVVDMFGCGVPVLARNFACLHELVKDGKNGRVFESGTEMGQLLIQLFDSFPVSPDLE SLKSFFERDHKPRRTGTLSPSGAGEDEWSSWEDNWDRVVSDGVLNDTKD L198_03304 MRTAHLLLALAGVSLALSEQPSLCSPLTEAERILESLQPSPDAH LHSKAAGIVPFSNEGWADGLGWRQNGPLSTAFRLLPRVVSAFSPSRLLSSTAETMARS SEKKVSRGRRERIEKITDLIDEAEKNGCSEALMLKAEMGMFPPRGLKQDLQMAYESLQ KYLEQSSDPEAQFLVGVFHATGLGGVSIDQGKALLYYTFAASQGHRPAAMALGYRHWA GIGVKEDCEVALEHYSNAAEISYRRFQEGPPGGLALPLVSSRLSDRVGGIYGTYASWA STGANSQKPAIRASTASSRGETTQEILEYYQYHSDRDSHPYTVRLGRFFYHGSVHYLP NGVSVGAESIGEIPQSFTKARSYFLRVARTLWPLDFEPGTDHPAGRRKLTKEQEDKIR EPAMVSAFFLGRMSLRGEGQKQDYKRAKMWYERASELGDREAMNGLGIIYRDGLGVPV DVSKAQEYFQAAASAALSEAQVNLAKLVLAHGDLKNSYPLLEAALRSGNPLEAFHLSA RVHTNARSLSQPGVCGVAVAYEKIVSERGAWYEDYLQEADHAWARGETSKAMVGYYIA AEMGYEAAQNNVAFLREGGWRFEAEEEEQWSIGSSRGEDKEKDRLVWWIRSAGQDNVD AMVKIGDHYYTEGQYPRALSHYLSASETQQSPMAYWNLGWMHQAGLGVTRDWHLAKRY YDLSRDTGEEAMYAAGLSLAGLYIQSWWTHFKTRGATPGLALFDYDAVPASESLSTWA RIKTLFTSPFEWADLEYAEDWEDNLEPDGIVLGEGDLQDDRGTGGDDWEAEMFADLAE DMVLVGLLAAIAGLVWVRGRWAAANQRARARALEAAVQGQGQALPVPTGGELAQAQAQ PPQRLEGDEEAGDQQRRQ L198_03305 MSHIPAVPTHPRLSEHPPARTLPPSPLDARYPPPLRAPHPAPHP AAASYHLRSISYGQPTTADPSHSHSHSPYAHFYTSVSVGDRVLRSPHPPLTATYPSQQ YLWPHPLPPIESLRQGSRPHPQSNSHSPIFDQPRAYYNHPSVSAPSLQYRRESHPSYP TSPFLPPPTPTAYYQGSSPLRVPPPLRFNYSQSPPPNTHDNMPPRAKADSVRGHPSTP SIASATSLGASSVASTRARRGANGKGWTTEHTLDPANGQRKEVIVIDDSASPMVQPIR KRTRAQVAAEQAAAQQQQQVQMNGGYGHTGYTATSVSMSNGHGSVASNVGTTGVSSAK KRKLDDDAAGKKAKPKVASTATSASVQTTATYQSAQQAPAKSYAKAPVQQAPASGQPT WDDREGHYIIKPDDVIGGKYKIVRLLGQGTFGKVVEARHIQTRRKVAIKVIRAVQKYR EASKIEIRVLEMLKKHDPRNDNKCIHLDEYFDFRNHPCLVSELYGMSVFDFLKNNGFQ PFPDKHIQDFARSLLRSVSYLHSLKLVHTDLKPENILLCSNESRLQGARARGANSKSI LRNTEIRLIDFGSATFESEYHSSVVSTRHYRAPEIILGLPWSYPCDMFSIGCILVEFY TGNALFQTHDNLEHLAMMEIVMGKFSPRMIEKGKSKKPEYFKGNKIDFPNSTVSKASR KYVKAMHSLKQVIDPTNRHQQLFLDLCTRLLEHDPDIRIKVQDALRHPYLTEPIPEPH L198_03306 MSGGNIKVVVRCRPLNSREIARGAKELITMEGNQTIIQPPDVAA VSARAIGKKPMTFSFDKSYWSAGPKTDPHYASQQTLYEDLGADLLDHSFEGFNTCIFA YGQTGSGKSYSMMGYGPEKGIIPLTTSELFRRVDERTANDSNLSYTVEVSYIEIYNEK VRDLLNPKNKGNLRVREHPALGPYVEDLSRLVVENFGQMMTLMDEGNKARTVASTNMN ETSSRSHAVFTLILTQKRLDAQTKMTGEKVSKISLVDLAGSERQGSTGATGTRLKEGA NINKSLTTLGKVIAALAAGSTGGKRKKEDHVPYRDSVLTWLLKESLGGNSKTAMIAAI SPADYEETLSTLRYADAAKKIKTHAIVNEDPNAKVIRELKEELELLRSRVSTGGGVAS DEAAYDPSIPPEKQIVTYRTKEGEVRKVTKLELQDQLEASEKIMESLNLTWEQKLSKT QAIHVEREKALEEMGISIDKNMVGVHAPQRNPSLVNLNEDPLMSECLIYQIKPGKTVA GAVDDDKAHIKLSGTHILPEHCIFVNEDGVVTIEAMPDARTFVNGKRVPPKARVRLLN AFRVILGDSHVFRFNDPQAVRAERQKLRQSASVDGLSNTPGARPDSPSVKADVELMDW SAARREVADIEKLGDQDLDKLYDDILKVRTQRKRPESRADFGDFDIDRSADPSSNPWA APGHSLTVTSNSLGTPVGQEVDVHIYDECSEASTEQPFGLSTPASPAPGYQDQKSADV KLHQDHLTRQLKLMAQEVKRVRSEAARARALETVDMEPESWGVEELRKVRRVLERWEK LRGYRMAEEILTGAVDLREANVIAKQMGKQVTYNFLVVDGSLASPASSLDDSNALVEF DDVSNSVTHYKNGPVVAVKVIDWEAKAAYTWDLPKFKQQLVRMRQVSALKQKPNYSEH FQIDGLFTDTPPPSFSFVGVAKVPLRLIASQLSYSVTVPIMCQYTMEAIGSCRVAFRC GNPDSSSTSGIDTPDPFSHISDNLLSVGSKFTFTLIVDTVKGLSSTDYASIHAQTRLS SLVGPSIASEDTFASQAVDLDKASGTHLVLKKTVSVMVTLDILRFLREEYATIGFFAK AKHGYLERLERWDINHGTAPGSHLETPTQNGVTKPAMRRCETDFVVPERHDVLATVSI LELSANGDYTSAEVFDDTFQLHQGLQRRLQLRLNHASGKSFPWTKLEHASIGDVRLMT KTGAASVGKSQVDVKVSDETVEYHTDGTSTLEAEGVFDTASLACRHLDKRTPSDQHLV IKFTWLLDVSTLSEPAVFHLDLPIRILGRDARRSSIMTFFSAARAFESITRVYSVECA PPLARSANELWRLDTSEKHVKGEESLGRWKPRSLDLLDDWRKMRKAQNGLGEVAITKV VLEMHGEEMDGGKGHGGNTELLERSLMLWNKAMSERVKVDITRQGSEEEAVARKLRKL LPDLETKPVPTVKLEPNIDNVIKYGPLLLLRDSTSDRWEKLHFVLRRPYLHVHQSAGQ RELQVINLTGCHVTTSPEVEMLLERRWAFTVFTSTNSYILQASSEKERKEWMSVIGTS AS L198_03307 MSEKEQQQQEQPQQEEEKTPQEQKAQKEEGEQNDKPQREDQPQK EEEGEQSESAEEATPQPQQQQQQQQVQSHDQNSFNLPPPPGPQTHPKNPPRSETARDQ QLGPLRSHRQPLPNEMAELTKDEQPGGRNDEEEHSLKINISLDLLVEVHLTARVKGDV TIGLL L198_03308 MSQAIISPSVLASDLSNLTNECRRMMSNGCDWLHMDVMDGHFVP NITMGAPILEHVYKNVPDIFMDCHMMVSNPAQWVPEIAKAGGKLYTFHYEAEPTETEK VIDLIHEHKMLAGLAISPHTPSSAITDSLGSKADLLLVMTVRPGQGGQKFMPECLEKV TELRARFPGKNIQVDGGVGSGNACQCAKAGSNVLVAGTAVFGSKDPKQTISELRAAVD TAIAERK L198_03309 MAPLSVEDILAKQRKESEAAAKPKFLSKAERQRLALEKRRNEVK DQQDRDEAERLQREEFDRAAEAERKRHEQERYGGYPGHGAGASGGRFGVNGHGRDGRE GYGRDRRDGVRGYIDRRDAPSNDGRGPGNNIPSGPRGAPPPGGPRNMAVSGGLPYIEN APSTPSHASPRPVNGAVAPAGTASPSAPGDAAGPSEAELSALRARYLGQKNGGKKPRL RKATDKKIIFDWNEGDDTTALDQSTWKQEVQELVPGGTMFGGKLAGLDGKKGDIRADN HADALERRRAGKGNADDRHWSEKPLDEMKERDWRIFREDFEISARGGSIPHPIRGWAE SSIPRSILDTISEIGYTEPSPIQKQAIPIGMQNRDLIGIAKTGSGKTAAFVIPMLDYI GHLGPLTDEIRHLGPYALIMAPTRELAQQIETEAKKFCAPLGYTCVSIVGGRSVDEQQ FALRNGAEIIIATPGRLKDMIDKSMIVMSQCRYVVMDEADRMVDLGFEADLNFILDSM PSTFVKPDDAALNGRQQGEWQGWRVTTLFSATMPPAVERLSRKYLIKPAVVTIGTAGE AVDTVEQRVEFVHGDEKKKGRLIEILRTIGLPPPMIVFVNQKKTADMVVKYVQQAGFS GTTLHSGKSQEQREAALQSLRDGTVSVLVATDLAGRGIDVPDVSLVINWQMTDVIEKY VHRIGRTGRAGKTGVAITFLTNDDDEVMYDLRVEVEKSKMSKMNPELARHDAARTKVT REMKVRA L198_03310 MPTVSVLPAFLQLGSPPRSPHVERPSFLSSVFVPRESPSTPRGS PSMKPTRRDVLLCLLTLSFSYLLFSSPPSSDPVALSASGGNRYRIPGWSNFFPSSTGT SRSHDRSGEVLFTDSVKAVGVGSADVEFGKGNSWDGGEEEEEDDEFESMATVLKGHSP GWTMFEKLYIYNGSFYVITEDKEKFPELRLMTSTGLPANNEPGNSEAREPKGDEIIYI SPMDAAKLWGPRVYRMDGTTFLFNDGQSVIDHYYHFAAELLLGIWRTYTSYDQDISPA GETSLLAPKRMWFLHQSVDEWRDNPRFNPLLMFNLFPQTSLLYPSDFADLAKQSVSSK PKAFVLDRAILADRSAAFRGPFTGPTARTVAGALQVGEASRWWWEPVRRTVLRYAGVP QSIISRNLEGYGAVDPVTLNDPTIEPIEPLAPPGDYAPVITYISRQNSRRRLTAESHA GLVQALEERAERLGWELVIVEAEKMTKEEQFALAGRTTIMLGVHGNGLTHLLWMPATP RSAVIEMFIQGGFARDYQWTAHALGIRHFGIQHDISFTSPALPEVDYPEGFQGTGITV VGKVVADLIEDRLAGRV L198_03311 MTWQIATLPEAISYSPLNLRVLTTSLLRRDLFDARFQLLTKDSE RSEEKEDATPEGEEEEDYVDAQTDLIPGFYEGGLKTWEGGVDLVETIAESLSPVKEER DQKVGEWARGGKVLEVGCGTALPTAFLLRSLLSLPPSETPTRTVFHLQDYNSLVLSLV TLPNLILAALPYLPPDVLRLPQEGDEPIETVVPDMELPGNLSLNEDLIAAFKSLLEER GIDVKFSHGHWEGLSKELEQQDEKYGLVLTAETIYSPESTPALIGVLREAIGKQAGNA GHKQVQLESGLGSLSVQEAWATAPLAEITRGHALVAAKVLYFGVGGDLQTFVETVEKD GAQTSTVKHWSQGVGRKVVQVGW L198_03312 MDYNPEAGPSRPRPLEATPPSTPTASVKAKRRSWFGIASPVTPL GKDKGRDKRRESVASTEELELSPVVTSRQDESFDEEGLPNQRHGQDELLTIEGELEQT AKKKKRRSGGVEELQTMRMEDFSSKNSSRNVSDKTVVPSDVDHAPHPFVSRASLRAAS DRDPFSLTETPYHPHHHSEAPHMLSSSGEDAPSSLMIRPTPRSSSLRSTPSPNVPLNI VGKLQPPLPVNIDRPLPPLPPPVSTQASEAPQGPSNIVITPSTPRKLTKSSTTKRAQD GERRSRSAGRPRSHSRKRSQSTDAPRNIGLGLPSVLSGRSRSTSRARPSTSPGLQSPP PPVPSKPQSVSSPFPVSPFPSRPASPSPRIAFVEPTIPTDERMQSKEAEGERRGRVKR ARSLSGLFGKTSPMVPTAKVNESPSVDISSEAEEGNEHSGAGRVGVLEWLGVRKTVKR KTSQIQLQDKETETQQASVDEERHVRSPPQDIPLQAPPPIQASSSESQRSTPGKLSSI FNRRTTGRNNADETEPLSINVARSNAKKDEHPPPSSSHSQSSFQLPTGNPVSPFVPEG GTAWVSSPGTDHEEIIHSPGGSTHWGPGVRPWMDVTDHHVSSRNSVASALDTLPEQEQ LPAPQEMSQPNNSGPLKALAKFGEGRARSWSDAPLPARRPEQTLISSSITSRETPTTP ATPVTPNTPQTPSSPVGEFKVPIRPKVGSRQNSGNSVILGRMKSVFSKSTSRSRSNSL LRQRSGDVDEFGGLVSQRMRPSTSSSSVASSVPGRNTAVTSDEPQAEPQYEGKILAGA ERPSRTSMTPSLSSNNSSHRQSILLDPSHAHKPLPHRSRVRASTVSLAPTSYHFTPSS PVTFPTAATPPRRQGTIRRLSNGLFGGSTSPQPASLFPLPPRSSGSTSSIGTGVPGDE SSFGTSPRPSTGSLTTKPKALKEAAIVHDEETPRHWLNRVIATVGRGEISNVLAASGD DFHTDALRMYMEDFDFSHSGLDVALRKLLMQMSLPKETQQIDRVMEAFAKQYEVCEPG LFDNAYVLAFSMMMLHTDAFNKHNKNKMTKADYVRNSRMEGVPPFVLETFFDNITFTP FVFIEDDAELECKRSGGAVTPSSFGPSTPNFSTLLNASNPSNKLKVDVYDLIVRDMLD SLKIDMSKEVPAESPFSCMGTRPFLDLNALSQTFATAHNLLIPAPQLQRKGTGKMATP GKKQPGRAHSEGDMSLRVTKVGLISRKDEGNGENSKKINRKWKSWSVVLTQSQLLFFK DPTWALTLLEARAKDGHLLLPRMAMFKPDEVFPVKDCIAVFDQAYTSQPNTFRFVISH HQQYLMQAADEFEMNEWVTLINYASAFKTAGIKMHGATMRKDQAVLAGAAAAASHRRD LRGGESQSSLHNGIGSSSGRRAVFGDPGIQSGLQPMKPSTGEVRGVDVDGANERLQEG EQLEEVFGVVKAELAAGRGGAESNPASRKQSLQQQADSPYSHVSRVAVIENQLRVLRE KVTPVESAIRSSLLLARNIQLLTPFQKSTRDHLSSLVPSIARRVRTERMTLSKLHLWI NVLQWEAEREEREWKEVRHVALQAAARSLREDGVRGVVHDVARDEGEGRSVPVLELPE DNDDADDGDHFSTSPGELPIMFRRPSDDDRDRRWRPTSRDTTISITTTSTAPNSRRQT VSSDNLLSPMESERKSSDINESPVSRTGTLDDADVLDRPKVPRRKDSYGDMSSGRMTP MFVMESPMDIGEEGADREDAYFGPHNGESLRDGRSNVAHVEEEGDMGEEAEDWQKTKA AKRVSLVRPGEMGDWTTMRQVSAGSRVV L198_03313 MADSVELRWPEADRRLTPKPLQSRYTSLFLAFICSFPYAQLQYQ QLAQAITYITYTMTASRARLLRQLYPYKHPSTSVDHLVVGGGVVGLSVAAALVNQTGR DRTTFVVERHGQLGQETTARNSEVIHSGIYYPVGSLKSRLCIAGRDLLYNRCQRLQIG YKNTGKIVVATAKDQVPYLHKLQAHSAHSSFLSDPSDNTSSRIYAEFLPGDEARNLEP DLSSKVCAALHIPSTGIVDSQGLVDSLELEVEDPDYNSSPSGERRGEGVIVKGTRVVR IDREEKGEGWVVQMETGWEDLGEGEKGEVESVRAGVVINAAGLNSVSLCEGVVPEDEM VQLWPVKGNYMSYKGPGIGKVSRLIYPCPSANVDHLGTHLTLDLDGHVRFGPDVQTIG TAADAKANPDFWQSHLAAIDTPEAMSLFARSVSDYLSIDPSGLSPDYSGIRPNIAPPG AGFSDFLIRHGEDRKGFVELLGFNSPGLTSSLAVGEYVAKMISRDVYRSKSE L198_03314 MAYNDLPQTGGRGPSSGVAWTTDGPSLRMEDAVVETVVTHTTRT TTSFQPISLPRVSSPTNLRLPDHLSSETYPLADQPAPADLRIFTMTLGGRRVVVQDDS AGAVESEISGPGWTRKLGSSRAIPVQSSTEEVVDEEVGFLQALNQTKGKDRKRNFSAE SVRDAPTPPITGDEAARHSPPRKKARGLEEINIPHHQSGRALLSPLPSPDHAAATLGS STPSSISPPNLGSGSELAALFSLPSLASHFDQLPDRLQQHFLMHLLRRSRMPTIQRIS SFISNVLRRDFITQLPHEVAIHILRHVDGKSLANASRVCKRWKRVIDNERGVWKQRLL DEKLWSGFGTEESEEMLIRERYEAMDLHEQFVERSQSDHDDRLSPQASRRSLSDRPTP LKHVYRRRYQEQRDWIHTRPEHNSFIGHGTNVVTCLQFDDDKIVSASDDSSINVYNTS DGQLRRRLDGHEGGVWTLQYKGNTLVSGSTDRTVRIWDLEELRMTYVFTGHTSTVRCL RIVDPVWEEETQSYQPPVPMIVTGSRDATLRVWKLPQKDDPLYEGSVNPETAEQTSSE QNPFHVHLLEGHSLAVRAIATHGRICVSGSYDMSVRVWDIVTGTSLHHLTGHESKVYS IVYDPYRKRCASGSMDNTVKVWDVVSGECLHTLQGHTSLVGLLGLSPNYLVSAAADSS LRIWDPSSCEMENVLTSTGGAITCFQHDEVKVVSGSDGTLKLWDIKTGTFVRDLVVGI SSVWQVAFNGNLLVAASNRNSQTVFDVFRFGQPSDHPVDDSSLDNLQPPRWERWAIEE RKAAEKAKWATKLVPSKGHGKGSSKGRDIMQPGGSSSMDWDLPAKSPTRQKGRMWSGF STNDETTPIPREQSHPSASIAPFDDAPEHNPDHWLNPSTSFNPSARDASPTPVAGSSR GRSRGTSSRSAAQVAETSLTQGEGRRSSIATGTSQGRGGGSSTSWLPGNVASSRSQYT LAALDESLGSDFGGNEEEFYGIEDDGAMDADDQVMEDFGEEEE L198_03315 MVFPFRAKTANTPPPPPLATDNNMPPPHPSAIENHVSPSTGPPP RYTAVVRRVYPYTLRPIVIFVSIVGFIYGIALGVESIKGRNDDGETSKMKVFDIIEAI MFFVIAGTELFVLAVAIMQSLQLARLFIVLVPVGVLVNLAVSIVSIIVHFTLKSDLIS QCVTNEEGDSFSDSWSGSSFNVTNTQANTICNNSWNRGTWGVFAWLLITLGISLLFAS TYFSYYHQLLDPTSVRERQNYRQNQNQAFPMQSSYPPPPDGQQAWMVPPYPGPPAPPG HYANNPPPPAGYEKSDYHPGAEWAQGDYAPPAGAPPRERDIGENRAEEEAWDRARSQG VTAHLTGHGFAPRRSSDSLNGGYAIPNAEEDEAWERARNEGVTSHLTGNKRNGERDV L198_03316 MSPLAPAPDSHPPQPAPSEPPLMHTLLRQHDKPLLTYSRRELLL IAAQHPNPPPPQDMGPLESWFGTISKPQSNSSYEDPAIASIGHQNGRRNNNGGNGFGE GFGYGGGIGGGSRLNNARGTRNIGLRRLPEGLDLPPHLAPPTSGGGGDKAFGGQMGRF NVKNGSQMRLGGDEVSPNRRNNNEADSQNPEPWRRNNRQQNGYQRDNVERPYTQRGER DHSNHQQRDRRPNNWHQPQHDEDAEPEWMNDAPAPVDSAIVSEAADPLVKFTPGEDMI AAHKRAMKTKNANDWRGGMPPLPAFFSADPAIASNSQAAPEAKPKEMNANNYLMQRED PVEEEPQESAPPQGGSAFSSRFHRFFGNPGGEDPAGSAGRGNGSAPLQSQTPLQSQSV PTPQPPADHVDQRKATLMGLLSTKTPTPKLETPSLRRGDTPQQYSHTQITSPIENVPS SMSPNMYQNSSQYRAPTNPLLQQIYQNPNPPIPSPSHNSPTDPLQLLAQAQAQRQSQA QRQSPAGAYPPQHMSLPPQFQRPPPGFMPSPIDGVPHPDMQGFPPFMRPPPGAGYHHQ GPPPPPPGINGGGYMPMPFYQQGPPRPPGMGLGSNGQGGNQYGMQQAVPPGIMGMQPQ GQRGYAQTQGQLNHSQQDMLATLFAGLEPRSQM L198_03317 MASGPEYLQSASALIKSLRASNDPPVSPGPSKAQIALEAWNAKT FDIPRKAEILRDWILETWSKARANNSNNAILKTEYHSLLLRVGKTHDLASPAPLQILS TFFSSLQQNEDTISLLKSTAKSFAAIFDPQEITYKTESWVDAWTSLVQFLSLQSFSAQ QNAPISTIAGLIASGIERSQYSNTSAKKNAQAANAIFPVYCQAFLAHAYLRNQLNDTL SSIIFNTAVLQASEPLGGLFSAAEPKLNDAAVAHGCLLALPPIFKSLTSTYHQNSSTL FAQPSSSKLPHDVFVASKERDSVRQSLDRVLVFLETLETRLQNLPASSEVEEGMVWLW ESRAATWKALADWGGYMEREEPWGRLVDATARRIEAVLSNFNTQPTATNENFLRAAIQ TLSIIEKVDHDRTNIGPDLMRWVIAAPTAQSDHTEPLLSSIIRYHQLTHTLPSLFELL NTTVEGFFDPSIPDQAVPHLYRLVICGPLGQRAIREDFVSALKTTNPGKRRSPQWTGI FTALASSLQALTEPSWATSSGQLSARSAALTGIKSRWITRCIEAVVDTTYDGEIEGDV VSDFATFLDKWAQAPLNIPGRANDVKTEAGSVNSAARLRLTIAVEKLLNRSCTTETGV DAALTARTANRELLLALTKLSFHRAALNLQLDHKVHETFPSELDAIVEFCSGSNAPKN VDSVDLWQIVVDNAAIIDLVATPKQLKRFAMTVIRATHHNSSILSNAGFWELDRIQTG VCEGLSDYLKEISATQLVAFLERTPPNYLSKHVRTAAADALWKHEDLDQGVHFLSIMA EYFDYTGPVLSDDTIFLRLIKIAEKPNADREYARKIWSKILLRLLKTPLQYSGQLDTL LVHHLDNLRARKESQDWTFPGELEMIARTAQQAMAAGPLESFPSTNRTHFFALSEKLV AIIPSLFNNTHATLNLSHLVPVYVVARQFARWSGVPISEEKAGLKLAPGVARLRGEDA GKATRGVLGLLLDEGEGLDQVLAVTVVFYTVLPGGQLDEIVRETFKDHIDEAMGACIH LLQANSGREEAILGALRAMTKACNKPELIRQVIQTGISSAPSPARAISFIESIVEDKF SVLQTDDALLILSALYEILFNPIGNETFTSIINILTIIDRRRPELVFATLPQVVQTVC YIFPRFQVSRAALLQGSAERPLSAASSTLFARYLVSLAQIKPSRSDNPHETSPLAKHV PAILVAYVRACSDPTKGYEAAVRKELEIGLFALCDLTTSGGRAGARGREGEGLGVPFG LGEGPGGEGEKELWAELWKGWSRGRYAGQG L198_03318 MDVLGSLSSVQNYANSLRSTQIRHPGEFFDYQRVSRPKDTQEYL KRASYNVRYFSANYAIVISLLAVYSLITNPLLLISLGFLIGGFLAINRFVPEPIQFNG KTITPQNLYVGLFVIGIPLLWFAAPISTFFWLVGSSGCLVGAHAGLLEPGVES L198_03319 MDAPQKPSLFASLSLPRTSRHKEPLAHPLLAASSPTLADDTPPT APPNLRHKSSGSWSKSSPPDTLPLPYKPRQRHGGGIGSVSSTNSIIGTSAGGSSPTLQ PAALPGSNAPPSPVTPTAVSAPPTTSTSTSTTFALPPSHSDPPAQANESAVPITASAT GTSSLTSRLQLQSLKAAAQGVGLGNGSMGMSMIDAIFDKGQLGRAKMGEGGDWGELLR ILIGGQAILLLPTTPSSSLPMTPQTLRDHVTFISPPVHSGEQESVSVIVTLSGLIGTL KGRNITIESAIPPDSPLLRSLKDPATRQSILASLRPAQTPSSAFPCLTLSPESAVLSF PPPSKAPPPHTPETKEKEKAQPGKLGRINPFASLFGGGGSNHASPVHDNKALATSPLL RPEALQPDVLSPPRSRPSSPGPLSPKPSTINLEADASSIMSDSNRNGEGFTVSAYTVS KTIRFHETHKALSKSVRVHIKESLAGLPEKAVDKVVRLGVANVCPTPQGSEELLKGHK SGHHGVDLDSILALNFSDPVETGERLQDFMESIYDDLFTQYRQDASEGLKRKASGGSW ARSQPQEKEGENEKDPKARKEKERREFEEMIEKEASDGTERVEGLLARLLYNRLFSPW ESDDSRHDEALASRIAALNMLDLSLDHLGLITQPDGEEPEGTIANGLYNIVESIGDEL QKLSRADCLTPKAKTDVLIKAHKIVVDGLDNLPAVQLRPEGESYKKTKEPPLIPEDPT ILEPLPLTQTSSRSSNSSKRSSNSNNPSTMTRQSSASSGDRSVKSAVSDPLSVSAVDD VEATPQAAVLNPDLNKSPSVPQLVLDDDETSEPAEEAQLAEAMSDSVTTLTETSSSVP PPKPQSTKAGTTSGADLMLPIIIYAVVKSNPPQLASQLMYLRRYRSAICLTGEASYAI VNLTAVVEFLEHVNLNELGLGGEEDKVMSIDDLSPIGLGYMAEGNVDAASIASASSRL RGRVGEFAGTAAGSANKVISEVMDSSWSAFRGFMGNNNVALNGEVDENALATSAEGTR PGMRPRQASTFSLASVTASVAGIAAAAAARNRSRATSRASEQVWGGTQELVEVSSRPG SIRERESDYPSSDEESSEEDEESSEEEEPELKEKGKGQPQDGKEKDDTPKQERVSLSN RLASIGVLGRLGSSSNTPPGDSTPAEAHPVNKFFFQNHNHPRAHGASDQGHGRRSSLL GGNPIESHLTRAANSPKGSTASLSLSNSGDALEPPIERFMTCEAGDIRVSEIGVLLRD YRRLGAIVDALNKQTGI L198_03320 MASSAPSTNRSGRPRKASTAASHPHPSETPTPSAAGGGATSMPP PSHTSNGPMSVSDCEFLASQLLEPSFPTRKKLEIALELRDSAENNRDFGFYDKYLSVF VPALISILGDEKSITFVKDNVEQRFRHTLFAFLQRLPHTEPFRHHMSSVMELCVKLLK IENEENALLCIKIMIDGLRSNKDQMEPYTEPFLDLVKQMYANIKAVVEKEFGTSGSGP KASAAQGEAAANGQSHTTLPHALHSPKVLTECPIAVVLIFQTYKSIMQTAMLDFYPLV IDSIKIQPEPQRLAHQEASEKGEIFVGVASGITNREMFAELVKAQVKTMAFLAYVLRG NQGNNRDYVNVFPEACARLLRDCPPEDVITRKELLVATRHILTVDSRSSFIPYIDILL EERVLVGTGVSSREMLRPLAYSVVADLIHHVRNELPLQQLIRVVYVFSCNLNDSTFSS SIQTMCAKLLNTIIDSIYHKADTNEMSRILKGMFFTFLEKLSAMSDAHERLKGLSVRE KEKGKLKEKTEDEDVEVTNASEASADRLAHGWRDIEQAMPVHSVAYANESVETFCRDS RYLFKTILHTFRTLLSYTRQGDNPPPQPDGEVLSRFFECSLKCFAIFDGVNRDPREAK EALEMLSEIILLFEPHVFAEVWSSHMEFFSELSISNSQVFSLLQMVITHEAVSHQLVS ILFKFLMAKLPKVGSMDKANSSLTLKMFKIAFLAINTYINSNESVLVPHLQKLIMSSF ESAAKAEDSSFYYQILRALFRSIGGGRFEALYKEVLPILQEMLDHLAYLLDHPPDEVQ SDIFVELMLTVPVRLTNLLPHLSYLMKPLVRALSAGPDLVSQGLRTLELCIDNLTADF LDPTLLPVLRDLMAALHQLLKPVPANREHANAALKILGKLGGRNRRFQEVYDSLEYQH LSDKITMPITFEGSHHQLELMSLVQTAGMAIDDDAEMLKEDGLQVLMYSALTVFEKGA PVAEGNATFLTTMTRLFIACDKPVVGEKASTFVRDVCRRAFALELGRADRKADGAEPT AKSALDHTRRRFLPLTNALSDAFLETLANSKTSERKGLSDLLATIVMVFKDLALSPRF ASVVDGHRSFDRMVTFFALRLITLCHEEAWNKKMAGVAAISTFAHKIELSRKNIIDLE LDFIRALLFCLRDAPQDVPRTADDVVELIKHLIRTCQSQEDGKPRLARITDTLVGELY SQSELARDAAHQCIEVLAEVTSQSVPDLITNIAKAKLLSVEHGPIYSKPLRALPFAMQ VGNISAVTYLMNLRPSAVEATSEEFVRLLHEVLALADIDDANIITKPATHKQESWLKA LRICCLRLLKSSMATPDFMNKPNQGQLRARIIQVYFKHVYSQNPEIVAVAHEGLRDVL QQENRLSRDVLQKGLRPILVNLADAKRLSVSGLDGLARFLELLTNYFKVEIGVKLLDH FKTLGDHQMLSKAAFAPLEDNHNIARMSRLVNIFRLLPASAIQYLNDLVANVVEVETI LHQSQPGPFTEYLGRYLDRYHANAVQNLFDNVRNPRYVWTYRNIITSGEAPHLVEELA NRAENLCQLCFGDTEAIDLVLPGLLLVRDLSRVQSSWLSDAEPVLEPMVGVWRMIVSK SRDSKADTTSYHFQQMPSLLLEMFMSSLEQQQHIPLLFHVVEAYEVRAAFERSHVTFF LYQQVALQESVDYRREVIEYFFNLYESEDVPWAYKTNALRVIVNPTLRVHFADPNHDQ SLISPQLVQKIASLMWRPLAVITSSKQREDTHLIEVFALTTLLVQHCSSKVNEARKEI FKLAWMGINLLEPTVKLMAYVLAARFMATYDTPVKFVRLTWTGVLRLKDTDNRVLYRQ AIDTLASSLSMRDPPPASGAPEWAKLLRTVLIEEGHATNQLVTVCELLVHHPDLFFDY RELYVPHIANSLGKLAFAQAATPELKKLTVDIVELIFNWEKRRMAAKESDAAEGEEGS KRGADPSSDQGTSKKLRIDRAGTAISVSSGGGWAAPSQVRELMTAHLLRLVSTSADPV TRNGLTKRALMLFKEILGPKGLPNVHVKLGFFHRTMIQASYSEINATTRPLVANSTEV IAAVAAAVKDTQWVKANLSLLSKLLEKVWVSHEVDLHEVVTSLTEDLFAEMPADETVE AEPDAKALLTFVQNAVNDGLAASLRSTLSLPGSLFLLRTWLKTHPKILQSEGISIALL KVLGNTVKLHTTTNQAAGAANEPDLVRLIISVLDILRDRVPDLREHRKTLYLGVTSLI DKSANLTLCRYLLQLMRHWIIEGPDAAVHGKEKASILLRMMNFENKNDVLFQEYLDVV YDIYEQDELRGSDITHRLEPAFLLGTRSRKPEQRAKFLDKLENNLPRSLEQRLQYLCS LQNWDTLSDGYWIPQILSQLLGIVDVDEALIQVPFTQVLDSDSVLDLSETATVGHIIR PARNLIHIDPKLSHDLWVGIFSMCWESLSRSQQMTFTPYLIKLLSKSHLQKQTDMRPN VVQTFLDSLAACTVPVTLPPTLIRFLAKNFNAWYVGFELLTRLTDVYRGDDGLRETCA SCLSELYAELCEEDMYYGVARSRCQFPETTGALTFEQNGMWPKAVELYEQAQIKARNN MLPFSEGEYCLWEDHWILSAQKLQNWENLTELARIDNDSDLLLECAWRLSDWASSDRL AIDENLSRVVDHPTPRRKTFEAFLALLKAQNNRDPPNEFLRVLDEAQQITLRKWISLP AHMTSAHLPLLQMCQQCVELGEAARVFDSLQMTNQTNLELRCNSDLKPIFQTWRDRLP NFWDDISVWSDLLAWRQHIFQAVTKVYHPLVAQPDNATYGYRGFHETAWMINRFGEVA RRHGLLDVCSVSLNKIYMLPNIEISEAFLKLREQALCFFQKPEKFNEGLENISTTNLK FFGQPQRAEFLTFKGMFIARLGQNEEANAEFAHAIQTDWNLPKAWAEWGRFNDKLYKD RPEVPTTDRSVLASSAVSCYLQAAGLYNNHKSRGLLLRVLWLLGLDDSHSTISKAFEN YKGDLVIWYWITLIPQLIMSLSHREATHARLILIRIAKAFPQALFFPLRVSREDFVTV KKQQQLHQKYVAARQAKAATANAADGSQTAEKDVKEEPAASGADANGSQPPASGSMPP PSQSQSQAPRQPWDLVDEIMNLLKTAFPLLALTMEKMVDQISVRAKPASDEDIYRFFS ALLADAMQQWGARSGLHNDDGELNAQTKDNLAKFATNLNGDLKVMIEKDFMADMPKLR EYIRLLQRWRDVYEGNLDDRSKTLPLDQGGCTLIEFNHSKFDDVEIPGQYVKHVDQSE EFIKIARFAPKAELGRGHGYCFRRITMIGNNGQSYTFHVQMPAARHCRREERLTQLFR IMNSVLWKRKESRRRGLQIHLPTATPLAPQLRLVQSDSSYVSMQEIFEDYAASKKMAR EETVLTYYDRLKELHDPSIPRNDHRYIQLRAELMEEIRVKMVPETIITNYMMKSMNGP DNLWLMRKQFAAQTAATMFLTYVCCLSNRTPSRFYVSRKTGLMYMSEILPAFAPGQPL ISSSESVPFRLTPNMQNFVTRAGVEGIITGTCTAMARCLTSPEFDLSGTLCLFIRDEL LIWHNTYLKDSRLDKPLLGHVYRNVDSFIRRVSTMGYIGENRDRSTSAPPVVHAIVSL ISQATSAINLAQMGEIYMPWY L198_03321 MEHVLATKAQVDALLESATAFLTNTAPAATYHAPIIDWHHPHAY RQPDITGLKKFISSVQKEKSHLDGLVASGRPPKDLATNAIHLLAVWEEVQRAEWPIGC IAQVLECPDGSQVKVDVVAKGGQEWIKVNTIKESRLMAELREHDSYCNSDYSDSDSEG ESRPLNRLTNSAIEQAASIVESAKTYPRLPHSSPPKVRYVLNRLEEDPEGGYRDPRIK ETFETIRNLGADLVLASSARSPCPTQVHPPPPKPTSKILLDLSVVVALCCDSTHRPLP TSDVELESRFRPLVLGEDGQLALAAHVPVTKDLRDQLRWESQHPLIQELKERLAVYEG THEFWVTEEVRTRLPNIAEIIGGEDERRRARAIFTGDGDFWEGSRWKGNEGILGSLRL HVLPEEEYAELDPQLFQMSPFRGGFATVCQMMLAIVEQQASASSLPPPPPAPKTNKTT SSRPGRRSQPGITIASRLPSNHTLRSFLAGLKHGMTVLTNNRGAVGKVVREMGVNEGM AYGQGDQNGEAVAWVVNPSSLSEWRRKEVEAENEKIRGTGQGVQSDLPSTVPS L198_03322 MPRFLVYAPDYPDYLEKRLAVRAEHLARGQIDTDSRLVLYSGPI LPRPGTKAREATLPEGVPNIAGSFMVYKMDSLEQVWNRLKEDVYWRAGVWDQSRVIVE ELLN L198_03323 MSVDRYSGSSASVSGASQSDVERRSSSPLTSPPSSHQSPSPSKQ SNHTPSSSPPKEQSGNQATLQAPLLLETTSAQNLNAYTTATADDAIDPALKGLSDSFP AGPEDLQVQDPALDDFSWLPDFAPNNQSPAAVSGGANPLWPINTAFNSLPSTQPPSST SESPSSPLARRGSADWSNGDNATDLESLIAGSVEKQGGNAGKSTNIRESAASSESGFE TGRDDGVPPPKKKSHARKQPEGHIKRARNAFILFRKHITDSNLIPPSVEVKHQNISVV AAKMWKEAPQEVRQEFQEKARLEKEEHQRKYPGYRYQPVFRRTDIIRRRVRKDPAEDE KVDAVADALIKGKAGGELEKEIKDQLVTRSDASENESETSTKSRSRRRREVGQLSKGA VRAQRAQARAKQMRQNLLGSNLLNMSLYNAANARLASSTGTNDYHARQDAAAAAGMSP PNGAMNGPHGHTHPGMQYAMDSYMQMGYGMDGRPLQMGGSYSGEAYGGIAQGGPAPTG VENEMYRLPPIDGMMGVGNGYEWQAPPGMDYWEQGGVAPDIAPPPQQGYAMEEGYYAP GYEMEGVPAQHNMEYRLPPLMEASREDGRSFAERAPGDLIANAYAQGENESRDLPSMR QWTHEGQQTPSNHVMFSERLFDGALGSAGIPERPEGDDALGIFDQAMEHAGEVAQW L198_03324 MLSRSALRLSARLASRRAAVAPQFVARRAASTSAGGHKASSDLP WIAGSLVGFGGLTAFILVPSKQTVHHAVTPHDDEKAETNESAPKASTGDDPVESPNTT ERAVASEDPKDTHPNAEHSAEDMTTKKEGKGEKPSEETKPSAKDGGANANETAQVQKA AEDEEKKDEPKEEKKEAESVEQATKSDAPAEAKEAEEKDDSLAEKKSVEQATKSDAPA EAKEAEEKGDSPAEKEE L198_03325 MAKTDLEKLAEWRTFGARHSEQTVELATRVLASGTLGDQGAYAY AVLDIPINGVLNMYPVEWAVREQLAIAALDLGRINSAVTQIDILHKQFGGSPRVRVLD GLRFEADGDATRAKAVYERLLKDDETDVTAHQRLISLAAPSSAAIPLLLSYLDTFYAD PMAWSLLAELYCEQGLYPQALSALGHMSIINNWDDGILRRSGEVAYTLGDYQLSLKHF LRAAEMQGGKASNLNTSRTRTWWGIKLARRPFFI L198_03326 MTTNTLHHWKGVLPSALDAVGHTPLVRLDRIAREEGLSCNLLAK CEFFSAGGSVKDRIAKRMVEHAEKTGRLVPGKSVVIEPTSGNTGIGLALACAIKGYKC IITLPAKMSLEKEVMLKALGAEIVRTPWVVRFDSPESHIGVARALEKAISGAVILDQY SNPDNPLAHYFGTYEEIIYSLETSDLPRKNIVLLAAGAGTGGTVSGIARAIRDSEKTE EHFEHGIENGLEETNMSGQRAVVLAIDPEGSILGGGEVGNYEVEGIGYDFFPEVLDPK PPLIDEWIKTNDKDSFAATKRVIRKEGLFIGGSSGSALSGALRYLHSPSGASIAQDPS ANVVILFPDGVRNYISKPWFLEQEAGEGGQELRNQIRNVLGRDLGDVYGTNGKVTSN L198_03327 MPRKVPISNKRRKEQLLVKRALKRGDMTAEEHDQLRSQQKLKTE KKRPGQVAARSDAPVDASSRKLQSKFIALSSDYVVRTRELAYALPLERPLPASSAEFP LGVLEDRDPERRLTCPARPKFRYGQTKKEVEKNEEGVFKKWLKGVEEVVQEYVDGEEE QVETEDETFNLPRGPTWFETNLDVWRVTESSQVLLLLMDTRCPPLHCPPSLRTHLQSL SPNKEIILVLTKSDLVDAKALQGWKQWVKGWWGQEGVQVVSVRSYDIELLREGKGRHK PDIPQQSLDELISALRAAHERLLQPPARVRDDPEKLKSWKAPTRPTVDWAALTEESEV VLSLKKEKMQVAAEVAQEADESEAETSGEGYRRDLATEPFTLGLIGQPNVGKSSLLNA LLGEQKVRASRQPGKTKHFQTMFWGSKKEVKIVDCPGLVCPSLAGLEVQALAGIIPIS QIPSLPSCLTFASSHLPIETIFKRARKRDEERKHRWSVGGVLEERAIDKGFMTAKGGR PDINRAADGMMRALADGKVRWGFYPPKTSGKEGAGIWLGDDVDEAGAADGPSAEEETA EEDEDEDVEDGESQSDEEELSGSEEDDKMPMKQAGGFFAALEISEDEEDESEDEE L198_03328 MSAVPSLESLKDPSALTTLLALLFEPSPSLHSLLVPSVHSQLAT STRLESYNIIIDLCQATSSRWSWQEKADFISGHPMIGEVTGLSKLSGKEQGGGGATPK EVLDRLAHLNQLYCKVYPGLRYITFVNGRSRAEIIPDFESVLGLPASTGDPNEPPIDS EEVEKMVKAPESEEWKKECSRGLADVWLIGRARLSGMGLK L198_03329 MSSSLASAVHAPPLEARIHHTRPNPQLPTPTDEHTDTLPPSYAS LPRAPHSSQYMSSPRAATIDSHAEKQALRDAQRRMDSEVERNRGHLSPTRLPPESIDP RESASLHDGQDNRPPNGTGNSNSHSGSNSTGSRGGGSSIRGPATAGGSPHPRTSTNSR RESQDQQSVAGGASTTGRLSTDNLQVGHRGLLPSGLDVQDALAKCEDPTLGWSLHFWV TLADPLTQHVFFANPASGQCSWDPPVGAFVVPRSPEGEWWELADSTRSNRSYYYNTLT GKTQWTRPGGSAFVIPLGLIQASCTLTPTIVIMRLTSLQRNALPARPKNNSNARLATS ASTSRLPTIPDPTTPSRERHYTTQSVQTTPSKTPSEGIPIPLYSPAPSHNAMPTTPSS SRIFENGYANGNSHNGVPDSPMSMPSTSPQKPQFHTTLRSLPVLEETESHTGSLTTAG EGGTGSGSDADRSDNGLGTSGWWDKRRSKAWGRKKSTESRDKGKGKTPSRALSGDRLQ TSTTSNSNNPTAVSPSKVSAVLNSVSANLPKDMPLEPIYVETPGQASMRTKRMSTGLH PLLPLEITSQIQSFQKDDFSRKYFAIKRTGFLRTRIPVDRIMEWQRSPITSSLLVLSD KSLNKEAVKSFKVIQHVMGERDRGVEGARPTQAGSSGLALNSSLRGQGSASGEESGQA GELGVRPGLNQSVRNFGGSDAGGQQARSEKILVLEEIRWLIQLAVAQVEMRDEVYSQV VKQLTRNPDHDSVVLGFQLVCVFVNAFGPTKNFEPFVKNFLEKHLSDQRDGIGIMAKY SMTKIEALSTKGGRAKSLTVGEIEHASDAAFYPSIYGESLARIMDLQKKSYPQLKVPV VLPFLADGILALGGMESEGVFRVPGDGDCVAELKSRMDRGHYQLKGIDDPHVAASLFK LWLRELEEPIIPTTLYNDALLASRSYAEVFDIVQRLPLYNKRVLVFVVSFVQMFLKAD VVKQTKMGPLNLALVLAPNILRTTSDSLVTVFTNSSFEAKFILQLLENMKPGEIDPDY VPIHGLGE L198_03330 MSQPQVTPQWVLGPGNTPFYTKTWFPPTDPKAYILFVHGFAEHI ERYTPFFTSLTATYPVHITAFDQRGHGRTSQDPLTSESPEVKKWKEEGKEVKLEKNAK RRTGGWGQCFTDMEWFLKNVIESADGKPVFLWGFSMGGGQSLAFPIRPSGPPSQDTVS KLSGVISGGPLIRLSNNPPAIQVKAGTFAANIGLGNFLIPTPMDYTHLSHSPEINEKA KNDPFCEQSGSIRGVADFLHGGEWLDSSDAWGRWPAKLPLLLYHGGDDKICDVKASKR FVENVKAENKTIKVFDEMYHEVHNEAEPVPTELIQLVTQWVDGLIAAPSSAESVAQDQ PGLSKL L198_03331 MLDKLGGTYAPRPSPGPHKLRESLPLTIFLRNRLKYALTGREVT AIVKQRLIKVDGKVRTDETYPAGFMDVISIERSGEHFRLLYDVKGRFTIHRISPEEST FKLLKVKKHQLGAKGVPYIVTHDGRTIRYPDPAIKVHDTVKFDFVQNKIVDHIKFEPG NVVMATGGRNMGRSGVIVHRERHLGGFDIVHVKDVLDRTFSTRLSNIFVIGEGAKAQV SLPKGKGVKLSIAEERDQRRRQRAQEA L198_03332 MVADDPPTPRVTRATAKRTVQSPTPRSPRRSREATPVAGLDDPT LLNAEDSGQTELPTSQTEAKAGPSTRQVDAEATTSEAESVLFSEKTTVMPNDGIVVVD ATARETHEGMDEYFMLEDNATTRNSAATTQGDQEFVPETTSSMAPLAVDDRMAMPPPS HTRPRRSTSINDPFTASTSDSGRPDRMTMVLEKQKKRSFAEIDLDESSPVAEDDEIMS DTSYHSGDSADFEMEHRPVLQRTAIKRDIKNFTASLELLTRGSTEAGMDIPYKVVDRL GEGTFSSVYLAHDCLHRVFDNEYWLGLPNLRTEAHNQRQIRVALKKILVTSSAIRIEN ELAILENLRGCRNVSQLITAFREEDQVIIVLPYHQSDDFRHFFKHMDPTHIRSYMRDL LRSLKDIHKRGIIHRDVKPANFLFDYEHEHGVLVDFGLAERYVPPAEPTCQHAPATLT CLHGSKIKTAETPAVEQALYDARKRSRLGEGRVGFPQEDKRPTIRTNRAGTRGFRAPE VLLKCPDQTVALDLWSAGVILFSILTQKFPAFNSSDDIEALMEIAAIFGKTALERCAL LHNRTIISNVPSLDHPPASITELILKLNPHLYTPHSPNPTSAEAREHIEAIDDALDLV TKLLRLDATKRLTAAQALNHAFIAGAMGEYGIEEEDEVLHPSEGKCGELHISEEGGRH QAYFHPVFQDLQFGQGVPPSRDSLCPEHEHYQDRWSFNPLVARGDMQKSLEGDGEGAY SSDEDFIVERPSLPRSASKREGDRTLKERDVNSQQGRSVRGKGIGEEWVEI L198_03333 MDVYTTAFSYPAPHSPTPAHIPKDPLSVPPQAGGTSSGTLATST PRTAPAAFTAVPLPIVPEAPPASADCTPPKSPQKVEREWEAVQKLASDITAREGCLVT VTRESTDTMAKSSSVSDPPATIWNFHLSGVSNAVLSARGSILRDAPQDNRITVKVPRS DILEASQHVLKQEVVRRLGVIAIDSKAFISVVSYDNSSNEGQKVLATASEDGVKTLER ENGKAGYDKSSGRMDNGSAALEEIGYKFIVTGPMESVHIAKVRLLVMIDELSGLHAEI CDIDYKLHNIIGGRKRAYIQQIQEETGTNIYLPTSLVGVMNDPPRHQNLDYQMTGVGM RGQPTGPLGMQMTGMSHVSGMGGMPMVMPGINGGFNPQNHSRQGPPVYNPHQHVHYPY QPQPLNVDPSATLGVPYNGPGAHYPMHAPPPMSVDHTGMSALHGGFQSVPQSRIASPM PPQSHHSPLSGATGMGFNTMNHSNHLAPPSHQSHPPWPQSQNFHPGMHNMGHNVPMGV GGMPMGMNPMNHMNQFRMAHPGLSIHGGEQGKLGKANQIWISGEFFGVQRARDMLLNI AMQKCKLIISRDAAILPRKLDWLLTGKNIVEIRTIMNDNGTYVQVPCVGGQTSMISVF GDHRANIERTIRSIMALACQFYVASLWLLPPPVEVGPRVVLNPAQIQPVVKHISHVTG AEIVYKPNMFEVNGLERQVTSAMLLLMDVDVLHNFVPEVRFRVELANEQREFFSGKKN GKINKIMKMTNAKIRFETLNDYNFLIDIAGKNQDALQGLSLIQEELPAEISFYVPESY HKRIIGVGGKNIQKIMKIYNSFVKFSNAEEFASLGGYFDNEDNVYAKTPSKNGANLRE LKQAIMEVVGLKERNFYTDYVSIPRPYHRTLLGEKSIFIHDIEQKTNTVVRFPYKETG SDVVSIFGPATEVPLAATLLLEHVPFDVSTTHVPRQAVQLEQTESLFGKMTLDSDTNG VNGAGSKGLPVPPLSFHQDRRIEELWPIVNPVSQRPAPTRTESDHQKRESDPIINDRI RQASTGHVPAGSHSGALPPHRHHPHSQSRINANRHQSLDISQLNFSRALSGGKSSPFG MMPGSPPTLDSSLNTATGGFMPRAPSPPGRL L198_03334 MAPPQFLLDHLPAPDAPLGRPHVTVTWAQSLDSKIAGPGGQRVL LSGPESMLMTHWLRGMHDSILIGVQTLILDNPRLQTNLLPPSHASPPPQPLILDPSLR FPLDSRILHEWNSKPALRGRILKQPWILCGNNVARDKIEMVENAGAKVVTVSLDSRGH IPPASLPGILTQLGLKSVMIEGGSRVLSTFLHSPRREDGSKLVDSVVVTVAPMFIGQG IGGEDKGLPALQTIHTETMGKDAVMICTVDTSAPTS L198_03335 MEPVLLPTGPKSHSAPVSRSEKISDGTATPGSASSTSSSALSSA DPSDLDAPTEGQHDESGDYAPDFLWMTTEEPHRSRRIAILKAHPEVRKLMGPTWVTVP LVFFILGTQLALSVYLSRFPTISLPVLLTAYVVGGAANQNIFLAIHEITHNLALKSIK ANKCLAIIANLTIGVPYAMAFKGYHIEHHKFLGEDGIDTDLPSRFEALVLNNVAGKTF FATFQLLFYAIRPGFIRSQQFTRWHAYNILSVVAFHLAWYHIFGIRPWVYLVLSSFFA GSLHPCAAHFIAEHYLMEGPLPVGEKVEGDDLIKGLAQETTSYYGWLNILCYNASHSF THMPMHRC L198_03336 MQVGYHNEHHDFPSVAWTRLPELRAIAHEFYDPLPAHKSWPYVT WKFITDPSVGMWCRAKRESKGERLDEKIWAPGGAEGLRRGSSRAQGEAEDEEEERGYA SDREEKKKRA L198_03337 MSSTYNNRPLYTARPPPGLRRKLWDWSTRFEVTFALSMMHPWEK AVIWTTLALITLLFWFSLYSYLPAHVTYLQRRYAYYVYGDENVELDWFIGRVKDLVGT QMLRGAGEVQKGMGMAGGHSLEL L198_03338 MAARRQNKNVLGTRRPAVGAHALKKVSGPDENSIARAKAPRASS KTLALGNKPPASEPKAESGKAQNAKLENSSTAKPTTTRRSALSAVSGTPLRPVLASKQ ASAGQSASTTTKHSQKSAAKPTPGLKSSIPVATPSTKARVPASAAKTKKTLSIYTPAK EASKTRKKVAHSSLEYMPSPVRERDYQPLAMEFSREDDGIFTMPSEPDLDLGLDIGPD EPSLMRPELGPDLDLDLDLDLSLKLDDEPLVI L198_03339 MSDTEIKSSPVGSPVEERAAGKKRARIVDPDETDEEDYDNAPEE SNGGKDQEEEEEEPSRKRSGDDDEDDEEDEDEEDEEDEGRGRPRKRPKKNSKFRFLDV EAEVDDEDEEEDEDNDFGDVAEFIDEAPDDAVARDDQQHRRLDRVFGRNEDEDVHDIV QRLKERHAGSGMARYNGDSDAVPQRLLMPGVNDPNLWKVIVKAGREHAICASIFRKVF AQQYSANPIDVISVFCRDSIPGMIFIEARQSAAVSAAVNGIVGIFMSRGVSLVPIEEM APLLKMKKKDVNLTPGMWVRMKRGKHAGDLAQVVDVDQITSGVVGIKFIPRIDLTPKD KRKERIAAGKPGGVRPPSRLFAYDDVRKIYGRQSVRQGPQGSYQFENDEFIDGFCIKD VKIPTVATEDVNPSLEEISRFTGDDETTAKFDLSAIADANKNLSTSLLFPGDKIEVYE GEQTGLYGIVETVTPDVIAIKAEGGEVHGQIIEVPARSVRKRFDVGEHVKVLGGKHVE ASGMVVEVKGDIITLMSDQGEQEIKVFSKDLRKAADTSNLTATQGLYDVHDMVMLDST TAAVVTKVEGGLLRVIDQNGAPQSVSPEQVTLRRDNKRFAAATDSQGNEMKVGDNMKE TEGELRQGEVINIFRSLFVFLYNREYTDNHGVFVARAGSLISVTPKSAVNDLGKINPA LNQQLPYGGASLMPAPAVNANRNRLINTLVVVTKGTNKGLIGVIKDVQGETARVELKT SNKTLSIALVSLKRKDAKTGTTFPLESGGSFAAPGGFGAPRNNPYDVGPYGGQTAMHP SMGGATPGLMGGKTPGARFGQTPNPYAAGVGNGKTPNPYASAVSGGKTPAAAYAAGGK TPAWGANGGKTPAYGAANGGKTPAWGGAGGMGGKTPNPYAMGPGGGRTPAHSGYGASS SSRPYQPMPPPPNPYGAPTPAANGAPTPAVPSNTYTAPTPGAVSAPYTAPTPYGAPTP FAAPTPGAGLSAPTPAAGGPMHGAPTPFGAPTPYGAPAYGAGPSQPQSVMDYDWALDF RNIIVEIGQSSLRSSRNPLHYKRGAYDGRKFGYENVNGENCVCVQLDDPSVVEEIPAE YLRPSKPDGQGQVVVCIGGDFKGQQKVTQYENGGSWMMDTGGDLAPLVIDGNDLCRIW KV L198_03340 MLRPSIARKVLRVRSARLGYRGLHSHLLNISYSPLPSEHPSEPP IATRFPLYRREEYQREPPITQAMIDSLPTDEGLSRQLKRKVTKKAVEDIPEWSEQDLR AFYLDLVKTGVKEGESLEAIEAPGNQVELLSDEQRRSTLAKMSSRLLGQGQDHSNEAS SLPPVHRGKVSFSRLPYAILESLAPLAVLSGPSETSKGKAVENVAARLDVPLGLVNNT EWNALFQELIQRQDAIAAETVLDLMNAHGMPVDLHLIDEIMHVHAMQGRPDEVKRLVL EVAAAGDAILPVHQDKIVLSLLQQSPSDARPAIAHLRQAEEAATPFPQSSYQLVISHL TTPSPDVHPNAHTRSLAWDLFAHMRLKAHPTPTREMYATMIRSCGDANQPQPERARDL WLEMTQQERLEPNGEGYNAIIRALGSTKKDYLEAFDLLRQMLVKHNDATFVPFEEHND ISRFSKFVPTLETFNAVLEGAKRAGDINRARWVLTEVLKLSRAGQALKVTVWKDGADE SLLSAVFMTYAAWKPVVKKQGLKLRTGGEAELGDAAAEAAVDDASKEAAHLDVDVLQD VQDVVTQEPLMDELTLNEPPVSTPTTPLSSADALRECTSLFNRILSDNEAVREGSSDF LPFKSVRPSPRLINSYLSVHLVHGTSLIGLKEVHDEAWKDAAKASLSSVKPNGWSYMF LLEKCSSGARVISPAERPLAVSWGRELWSSYLSFYSIASSYLERNYTAEHPLAKARKR WIMGLGDRQVERVWKAAIKLESVHGDVDQALEILEDFVRRFPAEEISRSYQPIPEAGL SIRMALPSTTPEADVPPLLLFSDVQALHQRLVKEKRFGSVRRLKWVVEGYTGALAKRR KWRMKGVAQGRERMAEKRHQRETYRAVEGEHSEELD L198_03341 MPTSPQPLSPTPRRWRANTGSPHPDIASLLNTYEPGGNSATITL PPLPPSESSYTQSSGSSNWATPKTSATRRTLEQREEEEEEEAEVEYMTTSDSLERDTP DIRTTSPSPQRPLESYRSDATLSPLLAPSRGRLFGEDASSTLGKAHARAIRESFAFSE LDAGSCDEAREQTSSNNSGTVTPTNPIPPQVTGQSTATIDAAYDGVFSQLDVLAGAKT NSPPRPTHTRGASDPGSNVWQPTPLRQPLVGLGLSFPEERQYGASEFSRLSTVSERTE RSTMTGMSHQLSPTVPGAFQIRSHSDASAARYPLPPSPSRPPRTTGTPGAGAPVTPKK TSDLIKMFESKSTPGKGDVPAVPQPTFAPSRAPPSPSSRPPPTPIKTDPLFSPPTLPN PPPVPTSRPTTAPTPPPKTGSPLSSVRTMIASWRSKSSAGSGSGFGGKRQANSRESSP GLSRGGDKGWNVSIRRRKRHEKELAEREAQDQAEQAEKDRKEAEFMISEEEEKEVSGE EEQVPSRTSSLKSSTRSASEPKQLTGDLIRSGPLYYLNVHDETKDEFEWIRADGRMYN DGITLSWVTSGRGTANVTLDLENCDVRTIRWRKKISELLLRGDRASWPITSIHLNWQV YDDGVERLACDSARDRVRWVNAIWTVLEHTRAPTLDRDMSLRANKSSSDHASDTGSSS THFATDKPHPSPHSSASHNSYTTDDAVIETSGGLHAPIVQRGSRKLAAPGLERLRSLR RVASEADLRDSASEISMPVTTTTHDLPLTAANAQRPTARDFTFARGIKPPSMASEGEV ASTWNIPMQGGATLTPASGFHSLEGSSRRSAPSESVFSPHTPASTFPRAPPSESAFTT ASTFREAPPSDHTVRAPSSTHGGTMYTARPPSMAASTPAYTAAQLPPSEKSTARVPPG MPPMPSTATTSTGHGSSTLYTSAMLSTPASSWSAPSMKTARPGKDTPRAAEQILEEPV SETLSPAATFASPSLNPAFTARQLATPTAVLAPGLSDVDTEGLTTSSHTARPGTYADT APPASINRTADAPSTPGATGTWREPSHMQTPQAASSRVDLPRVAVTGASPSERSVGTA RLAASERPAWTAEPGTPYRNGQALSTAGLSAQYTTAPVATDFQSVENLPRASSTYTAA VTGTASPLQTPKALVERPSSRSSKSSDVSYQSAPPPVPSRDSQYFTASMGPSSKASTG KAPSIPADTPRYQLYDAPAPSFSERHSGAYEYAAQGNDQTFVTDLQPSPSHGDTFYVT DNRSSFVTPTATYQYTDARSEARSTASLETAPTSSGKSPRSSQWQTAPPPPISHDAQS TGTNTRDADVTSFRRESKAWTQVSHPDSDDEMLAELERRSSNGSAASRNKTLSIPVPP TAFSAAKEHSAYNTMRESVYAPPASWDSPRFHSGLSQATLFSTAPGIISYYTTNDPMA TPQPPQASISTASSVASPKKIARVPPPPVEVVQPPPPSPTSHTGHSSSTSSYTTTDSS RTARAQENTDVLRLLNFLKGQEMAKSGQTTRMGNQLDRIERGMTKIAENQSVMARDLA PPPVPSKSADDETTPPSSPASSTSSVETARPVTPPPLLLPEVVNSQFDDLRNLLGTLI GRQEDILGRQELMAQEIARGRNLAVEFPERAPGLMRLEDLLKRVLNKVGDSEFTDEYM SIQDEKMSAYARSNLSTPKTMGTKDGSLYDGSSSVHSGEFAGHGRRAPANSVTSEQER RNRPLSGISDSLLEGHLGSPDFDEDFALSGLPPDTPPQEFVARQLHGPPTFLHRNPRQ APHMATPLQQPRAQQTPQHAPMQEYQEPQYYQDEESEQEPEEPMTEYEPSEAALEREA TPVPILKDISPRESPVQPPVPYRTEDDYQDDRRPFEDDQYDRNPTRQLPAPQKLDLPT PVNSPRNMPPQFSQPGPSMRPPFPPGPGMFPPGPGMSEMSRPSLPRIAGVRDPISTTY FRRGFPPGPMGMGMFPGPMGIPGPGMGPHMPGLRPGLNGYGGPLGPNVNPSLRRPGFF PPGVTSTTGDYGLPAAAYQGLNNVPGGPDAPQGLAPPISRTHLSSTSDTGLGNTTTES TVSTPSVLTEEIVTPATAHTHMAEPVHVSVTTTAPPTQAAPTTLGASTMALPSTPGSM TDGMTASENEIIMTDSGSGGILDTAMALAQGDQQNEMSRYLHGMSDQIADGTHATQNQ LGNILNDIAALREQLKPKHVAARVLSDGTVTLDNGDIIDGIRGVPAPTIAGAPSLPPP PATATHVEGRILPDGTVMVGGRIVDGIKGAPSAPSPSLAAVTALEEEIIEEENKNLEQ DKKLAELQEKIEELIKSSAPRSETRIFEEEEVISMRNNGSPAVAPTEYAATTPGTAST VLGPSASVAPEGSYIPTAYGPSVHPTVAGAPLYTPSKSSQDRTVIREREIVREGPHGK QKEVVFEEDLARETGTVAPGDTIGGSVAGSLPAEGTVAHTLPPGTVVAEGTLPPGTVV AEGTLPPGSVYPSAPGTMAPGTIAGSVHPGTTMPPGSVHPGTVAPGTAAGSAYPGTAM APGTVAGSAYPGTAAPTVMGDPSVVGSPTPTRINPRTGRPLTIPTALSQHTMSPIQSE FQGPPTHPQQLIREEHEEIIHRPIEGGPPVTTHNTSRTYTQLPPGTQPAGSGGVVPGS VAGTALPTGAPLPSAHPSAPATVFADPAGHPSGPTHTIPPMSVHPPSSDAGGPFAPDI VPGAHYSDHQSVVAQPPPNIPAEYSAHESATMAPGPIPIDTQNASIHHTQHPGSYAAH PTAAPTQLGDAPAHAPTTAANVPSRAATAAPSVAAPSVASGFADPHIPSSHAPSNVPS HHAGPELWDTNHAKPVSDKPTELSNVPTGQVADPANAPHGAAATHPHSAMADPSVAAS SDSAKKNGVHWGDRMPIKDVPLVPGRSPKPSAPSLPPATELSNVPSESVSDPTDKALP ADPAIAASQHGDDAHTATPAAPAHTGEPHTKSPLSGILRKPVPESEHDTRVSDTAHVA GPPTMAELQSEGRDLTAYPGGQTVHVPPRPASAASHNKLQKRFPAPGQGSMHDPRELA GNAPVGSHTTPSHGDHISAKDPSEISHAAGTHKSADIPILEKVTLPDGTEAYVRSNPA TTLGPSRPGTALAPSRPGSAMAHNYPASSHPHDDHDTSHAGHHEVAPLGTRPPSALTA STGHLRTTPPGVGSDRDAPIGTMADAPKSEAGGAHSHGPSESDLGRGHCSVCCPHGAR LENKIPIEACEHQNGALGDAIPGTTSKPATPSGPRSHRSIAPTIRALSAQSVHTPASE HPHHQDEVDPFNMHDGTAREPSVGGGLSKGSKTPTLSLEEEALEDARRLAVKQKAAAE QAASEKSERDLKMAEKEEKRRLADERHEQNMEALTNLQKMLDLLSSDHASSKSLEGDR EQEKKKRREDKAARDEIMVKALDKLMGDREDAKKKAVADEKKPSFQAILDALKNSGEG QAAFLKKLATELMENSSNQHKETQTAVKASAREQVGFNVAGYLDEFSKALSSEVRVLL NEVGNLRESRRALYMELAELLLMKNRQSQGDLIALYPMDPKFMEKTKKEEPPKEKPPA PPMPPLWPGWGPPMPMPMPPSVRPLTTPMQPPLAGEAAPPPPPPHPSLYNLPLPIV L198_03342 MSTEEQQQGASCLPTELQPDKPTSSVFSRSEDAPVHNVPMRVIN RPLPSELDENKVQAFMDEMKASCRGDAFTPIEVVKVKAPLKTDPTGPAQVFYFAMGGC HRYEATKRLQWETIRARIIEVPASQMRVYLGAGSPF L198_03343 MFSYADLTPREKMRLAREAFLDAEREEAELQRHSSVPRQRHRLS NAPSVDESVDEDSLSRTSSLRSRSSRSSNGPPPSFHLPATPYTPSSPAPSLPLPPLPS PSTSLRDFDPNASTSHSTRQRSRLPSDHARPSETASLGCSSTSRMLRRESSIEGLRYD IASASRSFRVTKRTSTASITSSISSAWSKRSSSYFDGEDLLKDPELAFLPQALPYPKI GRSLARLSTTSSLEPHSPLADKEPVYSRAARLSVASTISSPGSLSYTPSSSSSTSLPT DIDSPLVQFGGLPHRNYPSPFAAMGVAPRRRSSLATHVLQVTEEDDGEKEDGSTVWVD LSAPLTLAKPVLAPAAEIQVVEEILASPPMSPAVKTHQRNDSTLSAIMAFPIPPDRIE KKRTPPPLLLPEPPRIIEDKLVDEPLPYMTGETVSEETQVEEQTQQCPLSPQLGDLLK SVTRAKRRRTSVSECDGSETDESDIDMAAPLIQAALSSKILTPRSRLLRQRPSLPPVS LQRPAHFQQRTLSSPSVPLRSFGADKGWSGSESEEEGWQGMKESKKFGPRRTASAAAA SRRASATPSPGLGINVDQDLTPKSSRLFPPSSTDMSPSLTPTSRSPSHKRLSSLSTST AASTELPQTPMTSLMLPNLCPSSAHSVDMHRKGSNTSDISVTSIGVALAGPGDFPWGA SSPPNSPVRAAFRRPGSQRSLPSSTSFSSLSLSRSTSDKGRRLSKKDSKIFTKRQLPA PRQEVEGWGKPEILLDDETWGEDDSPTSVRSYSSVSSRASLHRGLNTIHLDEYSSDEE PTPLLSSGKWDATPRPDRETPKPGMGAYGRVPSPEMWMNMGEVASLMDEGLDGMESDG EW L198_03344 MNLPSNGESSRPYAYYSPPQPQDNNAVFEAFEAYSFNDDPEFRA GLPAVISAIRGQKMNPASIDEMLSRAQWFYFTRKRNVQIPWEAYVHYSRQPHSSPPRQ IIPREGLRQLDSLAEARRMMSATCNVGNEGMSFEMLVRLIHEGRADEVPREDVPDGIH DGPPSQATMAHRPKPWESQTLLPDTSLTHSFFQPTPPSNTNTCSTPSTSGTASADPNA ETTGLAYHGGVFDLTGMQGYGEISEEDLAMILGGEHNVDRAEGESKTDGWSWLQPP L198_03345 MSPPQISRTGQYSLLVLVLALVLARSDALDAPAGILNGWRDERK RRRQERKRREAETPLNTPALEKRLVDLYSPDPSSDSRTLLVPHMGRISKVQITPTSKE LYKEHLPLFPRLKAGERLGVNKNFWRMLGAVLKVAFANRGGKEAFLLLLHTFFLVSRT VLSVMVARLDGRIVRDLISANGAGFLRGLGWWFALAVPSTYTNAMIRYLERKLALAFR TNLTRYIHDLYLNENLNYYKLGTGVGASTGTADQFITTDVAKFCDSLAALYGNIGKPT LDMIIFTSQLSASLGPLGTIGLFANYGLTAYILRSATPAFGRMAATTARLEGQYRAGL ARIGRDGEEVAFYNGGKREKGILVGMFEALKKHVHSVFKARIPYGMTEDFVIKYLWSA AGYGLMSIPIFFPGATKALSTGGSKPHAHHAIAERTESYMSNRRLLLSLADAGGRLMY SGKDLAELSGYTSRVYSLLAELHGLDNGIYAAQARPDDLPADQPFYDMARVEGVTSIG PDHILLRGVPIVAPAEGAGAERGGEELIRSLDIRVEKAEHTLITGPNGSGKTSVARII AQLWPVWKGLLERPVQGEGGIFFLPQRPYLSIGSLRDQVTYPHTYAEMKAHGRTETEL MGILKAVHLEYLPGREGGWETRKEWKDVLSGGEKQRMGMARLFYHRPSFAILDECTSA VSSDVEGLMYEHAKDLGITLVTISHRPGLLKYHNRHLRLGDPGAPQPSRLASRAPSRH QSSLHLPLAPSTLHLTSLTSSLPSPYAELPQTPLVEKGWQFTVMSSGTDKEKLELDKE IEMLESILEKEVGEWEKRLGDINGELKGQVNTT L198_03346 MGAKRKSSARQPPAISANHADSQPPLPSESVISDDPSASTTADN RLRKRKLDVNPSLIIPADGGRSMRRRSPSPHVAKQEGNADADPKDPARAKELGMRIWQ KIMEAQTKDGEPMAEPFVKLPPKRQFPDYYETIKHPMSLEIVKQRLDKQEYDTLKDVV ADIGQIFNNAKRYNVRESALFQWAKKLHATKEDSDSEGDEQDEFTSEPVHRERTETLP PDADGSSAREDEDDAKLLNKKKKGPYVAREGPTVYKLIKPVLKAIKEAKAYDGSGRLI SDVFMQLPDRKDLPDYYKTIKTPISLEEIEAKHSGRRYETWEEFFDDLELMCNNAMEY NTDESAVFQDAKRIKDMFPQQRAETKLRLTLPPGTKLSARQKASAMIPVRVANPHLGY APSTATVNSPVTATMPMSGHMTARGSHGFPGQIPTPSPGAHHSIPQTAYPAPSPSYSQ SPYHGSHSLPRPGMSHTSSSSYLPALPLGVVTEEVVASLDRYPVHEQQQWLNSLPPHG VATYRSLNVVVEQKKRQAAAQAQARAQAEAQAQVQAQNAARVQAQAHAQAQAMYQSFS QTQTPSHVPSPSPAARSGASTPALPTPQAERRPPPPQPLISHLDLSYTSPSAFGSQQQ IIKLRNSRGVVAHSILLDCNTSELEIAAYPDLALAAERGDQQDEQDMTLHLNGVVSST GRVVEKEGMKWTVAVPLSKNENRIEVAVGKGGSQGETVIIYLNRQ L198_03347 MAQATPLGLFIQPACLQHKYIRHPNASHIFERPERLRAVLLGVA AAVARLEQVSPQAGQEASSANDDLSKLLSSLSITQSPSQPTPHLHLVSAPPLPFKPGN ILLHHPAVQVAHSPVGEAPFPYIGEGSAGGAGVGWPQSDYLKDLVKWCEGAVERIKET GCEIPEGLGLNPGDLYLGPGSIVAVEGAIQTVCQAIDTVFMSSKQAPRQGPTTPPVSP PSSEALDLQKAFCVIRPPGHHCGEDAPSGFCYINNVVTGAMHAYLQHDVDRAIIIDFD LHHGNGTQALVMPLNAAAEVEDIQVRAGKPPMMRGKEGRARRGWKGFYGSVHDIYSYP CEDGDLDLIRDASISLAAHGQHIENIHLKPYASEADFYERIYPLYLALLDKARVFMKE EEARPGSTVIFISAGFDACEWEHEGMQRHHRRVPVSFYSRYTHDIAAFANMYTNGRVV SVLEGGYSDRALTSAAMGHIVGMKSAGEGQDGKLPEDCDAWWTEKELINLEKAGKKKR GATSKLAPLPSELAQHSHLVRTHSLLSTFEGQSIEVTPAPSTEGTPQGRTRMALRERR PVASTEGTPVAAKRGARGKVQTTPGSKLRGDATVEPSPAKPLVESTNTSPSDAAKVAP GTQVIPSQRDSAHPAAERPLEKSEDGFDGAVEGLANVSLGATADTDPAPLVARNHTSQ PETQSQSAPKIILRIPARSSSSSPTPSHKGLDSSDQEVPLVKTEAERLEIKQPVAEAT SSTQGPTMLRFKMPQVFPPAAE L198_03348 MNTDSYRVKITSGVLVTCDSAAKQILLHLDSLRDGNNKFVALDW GDENKVLIKKDYVEHVKEALQDELEKNTYVQDPNV L198_03349 MSEQIKRVFADKKEQDQAAFVTFLTAGFPQRDSTVPLMLALEAG GADIIELGMPFSDPVADGPVIQKANTVAINNHVSYADCLEYVRQARDQGLKAPVLLMG YYNPLIAYGEEKAVQDALSAGANGYIIVDLPPEEAIKFRNICTSSGVSYIPLIAPSTS IDRVKFLTSIADSFIYVVSKMGVTGSSSGEAISASLPELVKRIQTFTPIPLAVGFGVD NRTHFDFVTSAGADAVVVGSKIIKLVFDHATDGQAAKEVEAFCREITLKDQNPPALNR KSALSSAPAPPLPIPSSTPLAEAETRVTAPGKLPSRFGLFGGAYVAESLVDCLAELED AYVKAKDDPEFWKEFESMYGYMNRPSELYLAERLTEEMGGAKIWLKREDLNHTGSHKI NNAVGQILLAKRLGKKRIIAETGAGQHGVATATVCAKFGMQCDIYMGAEDVRRQELNV FRIKMLGGNVIPVTSGTQTLKDAVNEAMRDWVTRLDSTHYLIGSAIGPHPFPTIVRDF QRVIGREIKSQLYEKTGKLPDAVVACVGGGSNAIGTFFDFIEDESVRLVGVEAGGEGI DTERHSATLTKGVMGVVHGAASYIIQSADGQLVPTHSISAGLDYNSVGPEHSHLKHIG RAEYVVADDIQCLTAFKMCTQLEGIIPALESSHGLWGGFQLAKSLPKDQDVVICLSGN GAKDVAEVLLTLKKKEYADKLDWHVAQ L198_03350 MSSELAATYAALILADEGIEITGDKLITLTSAAKVEVEPIWATL LAKALDGKDIKDLLTNVGGGGAPAAGGAPAAGGAEAAGDAPAAEEKKEEAKEESDDDM GFGLFD L198_03351 MAASTTVPTAEQIAVPETLLKKRRTNEATREAKLAAAAEARKAQ KAKRKVIFKRADEYVKEYLNAEKEEVRLKREARKTGDYYVPAQPKVYFVVRLKGISKI APKPKKILQLLRLLQINNGVFIRVTKATTQMLNLVNPYITYGEVNLKAIRELVYKRGY AKVDGQRIPITDNAIIEKQLGKFGIICLEDIVHEIATCGPNFKQVTSNLWPFKLSNPN GGWRPRKFIGYVEGGDAGNREKAMSKLVHQMV L198_03352 MNKTPSSPTLSEMEFDHLDSFCEERDFPTITLSSGVTRATPPSS LARPTPKFHTQCHPLPPPPPEVKAKVQRPSPPIVPPPDQPIITKPGNAKLKISRCAHT MPAGAIKPKKKTPGMTRYLLPKKDESFIGPVMPSQSEVIDLLTRECPSLAHLPPSAIS LNLRFDGNTQKSVEGVLFRVLEQAWPGAISDVLPLVQVKIRQTELDKVCEKAKQMQTT RQTESKHPELIPGAPIDGVTWDDLKKQGAALGIHGSEGASGGQKKPRIMTAMVGVSTV GWKDEDGTGSYHEHHGLLD L198_03353 MPLLRSSTRPAPVQCFFCLSTTILPPHTDPAPGTGSGKGIAPAG TKWNWQCERCNCWNIRDASGEMVSDIPAMHNSNYNTGSFSLRGRCPQPSSNHLPTLPS TASSTPFCRNCLANQTLIMNLLANYLPDDDDPTYPQLSSNLPAYVQSLHSRYPPVCPN CQPGVDDILQKADQKAQMEAWGSALNRGKRKAIEEVNPGGGVGHGTWDVGKGDVFIWA LRGVLWTWGIGQSLFTSSHEFSRECLERSVPFAPRLSFATFMMSFHLLSIFWMAWDPS WFRRMRRRDRTKVIGRDTWVRDMLVIMLLRIATCVALRLSTAFAPVAFARVTFVSEIA LFIHALLSIRITEPIPIKLVRPVSLNPSTHAQVATVAPNATGLSTLSLSSNPPASHNP IFGQTSLLSTLPSPTRDAGSEPMDWEPSPSVSRGSYIRPSGWDGVEDEDEGGTTPPRK SDWDSFATNRQRMFPSNDETGLEHLLSGWGIGGQGASDEGSAPKVQNVRQEDVIKKQG WTLPKLVQVLTFFFFTLRLGFMLFMPLLLTPPSEQIVSHLGRFSILLLSLELALTGMR MHFLLAPGARQASLLSVGLAIFDAVLRVMALSGHGFLDDMLARTNPVQTLGGEVGVRG RSLEWAFWGFLDLVGLSV L198_03354 MATIFNKFRKSSQSDARSRRSASTNSTSGPLDDDDAPRTSTAPS PSPSRRTGASGSLFIEDFAPPTTPSSNDNTATSSGATESRTPNRPGVLSVPSSAQQQP LGTPKFTLTQDGSNSPRSFDGSPVQNRSPAMNDNGSNEAVGLGFGKSNPRSFDQDDLE TPTLDSSFPSTSSNRVSAVSIAADPSSRERANSLAFAEGDVRSRSGSMVSRITHRHGP ASPSSLMPADQKGSVSSKKSRKKRDRRRSVTSTASGQSSLAALARGGLQMAGPGTIGD ELHIKQHKGRRVTSPLKRSPYLTRGEEGDGDELDDEYGEGLDDDDDDDSDLEDTLSVL GYAVASNRRNADFHQVFPGVDEGDYLIDDYGCAYAKDILVQGRAYISENYICFHANIF GWTTDLVIPFTEIKSIEKKMTALVIPNAIGVNTATARYTFASFISRDTVYDVMMNIWR LCNPNAVMSSLSLPGTNNSRPASISGELADAEGDASSGASPGQQIIGASVKGAGSETH KPTQCACGKDGKHYPETALEATFPSTPEKVYNLMFNSGWLKTFLSDSQQLRDIEYSDW RIGEGSDQLTRSLSYIKPLNGSIGPKQTTCHITDSRDHFEPEDYIAMVTTTRTPDVPS GGVFSVKTRTCFMWARGNSTKVVVTTGVEWTGKSWIKGIIEKSAIDGQKTYHDDLKLS MLSYIQSHISEFLPPGTQASVDTPVPGTPAAEVAAAADKQTEAQEYAEKARKDRQEQD WGMVQAGVDSLVAGGKGVVSGFKFCVDGLSDLVFPSGLSKQSVTTVVIIILVISNVWT YIAFSGGQQRSFLERRSAKRGNDEVAEAVKMVLGQRRDPIQEAQELVRVLDFVEGRLG KLREEAKELGDSAVGGGENNGKDLD L198_03355 MLVKTSYRDVPTTANGKKGTIRIFLVEPSLPGYPNAKFPGCVVF SEIYQVTGPVERFASNIASEGYVVALPSSFHEFEGPEAIPYDTDGTDRGNRYKIEKTV EAYDEDATLSIDLLTSLPNCTGRIASTGMCLGGHLALRAAFDPRVQATFCYFATDVHS ATLGAGKSDDTIIKVKNGDLTGKGEVAMVFGKQDTHVDREGRSLIRDTLDAANFLEVQ AQHAFIRDESSKGRWDAALTRSLFGMMMELFNRRVARDLGEPSGDGGKVEHVC L198_03356 MSGFFGFDTALPEHRPNHGQQQFPPQQSKFQPSNVNAFNNTGLP DEDEMEVYTWGQGAGQEPEEADESNDATFGAEIGTIKNTAYFNFGHEEPSSSYGAPKQ QSTRGPVASTASRYRPKAAADPFAFSEDDFYSSRSPARTKPKPQTSQSALTKPAGQTT SWGTAPSAAVKPSPAHHASQPAPAGQIKTLEEIEAEFASMSAPSAQVQAQAPTQPQAP VQQQILTLEELEQQMMEEIPGQAPPQHQQQAQYQAQPQYQGTPQQAPAQYQAQHQQQA QAQQIQQQPAPVREVTPNQVPGLASSGYGSQQALLDSMFPELGQGPSPAPAGQPDQSA TQAPGPSPEELARQEHFRQIFESKVQAMSKHNNIMGNSDKDFITRMQLAQLASNAPFV SDFYAQVFSAMESSRRAHESGQLDRPTVVQIAAGFGLGVGGPQGNRFGKMGQNTMQKL SVQVKKLVESRAQHQKAASNSAALQGALGRVTRGGAAAPRPVLAVPTHVKSDHRPVSH LNEQTNIKRDPLTRKQIMYALEGLYADVLEIEQLRRDPPPVTSAHDVELWSAKCKEKE ELIWTKLMVQEPIEVSNPHPFISLVNPPKGQRLLLRIILQLPDQKIFTLLSLLLVNFY QLDVVARAPPPPAADASLLTKADKLDRAKREADTDGFLFNIVPAIDMAINKCKLGLIG GLLVTAVQRLDVVKIASTRPGIVLFTALLSKAQSLVRAPVSDPMNPIAVPHPDPAEVQ QWPSLFSIFINALLPSLPDLFPSSIAAKQAFGPSAYLLSTMEHLPDHEGLEMERREAE AWGFAAALAVNSNEEEQTALVAALREKILHTVQGARSTAISQARADLKLRNVNMFLNG LGLDAAMIE L198_03357 MGFFSLRKRSDPSTIPTPGSALSLYDHSSRSAFPLPSALEIITL RVAVDPAPTNQGAKSIFYVTVGLEESVAALRREIARSVGHSSMSLFKVAIPTQAHRQA QAYTAEYGKAVDLLANFPAFNLDDPQQLELSLPPQHRGLTPTFAGSPRDLKIRHWFPN HIAGDVVNVVARPLRGVPVNMKPLTLRVFLASPPSKCRPATMPETRQPPLVVDVDPYI TVNELKMELLTASGNDSQLWKNVTLWQIAMTESEMTVIDELGRLMNGKMPWPYPPGAL EPIALSDNSLPISLFFPKSAPNGDMLNLSMWFSGPISTPSLGAIPPFRYPVPLSLPGT PSRSISPGGYHSPHRSGLSPLPVQMDRAQSASPTLGTPVAELFSIPPKSKNQTATRGS RRPSTAPTVLASDFGASFSKDSVLHSGGVSELCPPSLSTSPSLSPRSIPSGIKTSLKL SAKGARKGLGIVIPSAYPLSKQLSGSDRDSFSSSEDETGITSLPSLNFSQHSLETPRE FAVKTPVEIFSMKMGMVDVLPGLASPQGKQGPVSSAGRSA L198_03358 MSSCEQIPSITIWGTTQITSTSSYFSTFTSLVPGDEITRTATSI VPGTCDDDDDCASSTSVGVNTVTMMKTSVGSSAVETQVVFTSIVPQNTLYYPCSDTSQ ATSLPATANSDSSQPAATQQGTQMQASTTSAYPVTSPLPSSIIVDDGSLTGQFSLGLS QSSSSATRSLSQAVSTASHTITMSGASPSSTGTLSASSSHSTSSTSDGLSDAWRHDAA SSGSSSNHGAIIGGVVGGVLALLSLLALLLCCRRRRRKRSELPSEQKDDAGDYWERKF RALEAGGGSGSVEGGAEKDEWDLRSSRKLHLTLDLASKDIDIASARRQSRLSTISSFF VSSLGGAVPSRISSNGGLNFSRPLRRPPASSEADGASVKSHPKSPKSVKSSKTQRWSA GSRRSRKSGNRLSAFVLPSMREEGEIVEEDKQPPTSTEAEERRVMEWIRQSQFEDERN SLPCYMDNEMSRGEYGRHDTVREGPPPHSRPPPARLPRKSPPAALPITSPSSSQNAKS SSEEHSQSPYPSHSGTSTARTLYPFYLPIPSQNKVALSPNQSGLSSPANPPSTSSEEE GPASRHYHGTVGKHVPQLHLNPEAIAPRLWVGDDLLARYEDVESQNSDKGGNGQVAAL AATVDSPYGRIMEGGEASTGSVSPSGPSRSPPMSPITPQTANSISSSMDDVSLATITT AERSAVTPQLPVLALRSSVVSSWGMGFMGDEERMRTIYWQSGEGH L198_03359 MRIPHINTDVAQQQPQNSFAQPPGWGSHITYPAGAVVGYNGTIW RCESTHTSGQEPSGAPTQNLHLWTPIGANGSSSNYNAPPPSYGTPSQQASGVPSYQAA VGSTHAPQYPAIGEKPASGSSYPNEKTSGQAPGLSKQADDAARRLAIYEEGRRVGNKD ELKKEVGGSSLFRVGGVGIWSYSTDQKEERVKEKVWKEWSEKHSRDDWLQVARERTRF YIDNVGPHNVRPLFTWKLVEPGQRLPPDALPIGNERDGSVLYSARTWHQGGLHLGKAG HHINNGASISYGGGEITHSTYEVFCGPIDEPYLVKWMYYPHGQRVVVDGWQPVEGGRE RDGRAIFLCRGSFEGGQHPGKCSTMDDHSCVGYGGGEVWVRPFQILAYANPNRL L198_03360 MSDLQRALAGAHRPSANPRIHRLSSPYNRPSLAMGDLASLPSGS DTPTKASHRPPPSSRVQSPSTSSGGMTRSGSESSLFSVAKSLFSRSFWSATPAKATDS QGSKRDSLSSFGHDLEDPESPSDRRDGKRRRRFSPEKKTNGFVDREGDYGPDHEVQGR AVSGYMLPSLSPHVTLKPKQSSTDKPLPSHPTNFSRPLTSSQSMPYLDPPSRALRSSG TLKSMASPRKTGVLTRSKRVDLASLGNDELEEEGVLVEMPEKGMEKEQWSPWASKYAG ATGAAASAAAIPRGSTPRKSSALTDYAMPSASPFRLPPASPRPRQSTSGLTRSATTAN LGRQSSVVSDISMASGSRPVGRSVSIRNLREPESSSVFGESVLGEDDSMTIDGDSVRR REGSVLDWFMKDRWDKPGAPASAASSRRLTSAAPSSSSTIRKGQMVWNQEDKVFVRES ELKTAQHPNPIHKNEAERILYTLEAMRKTPLTDARKGDLPPLLGQSSRTLRKTINVPL ATAAAGESAKQRRDKERLGDSVSVMISPYGRRNVADREAKEVRRQSRAEDREQRLSVT PSDARSDTVSNIDMDSAASRDSRSPAPPTPRRSSRLKRGTTAEEESTPKASRRNTRRG NSNQPESIAEEAPTKRSSRRTRKTTVDRGTSPEPPTPVPEVPVITATAPSPGGPSSSS NYQPRAAEEMPRGSSSLRAKSDSKRAHQSAATYSRGQTPSSGRYSAKDEDLPDMEELE QASKISLPSFSGITFDNLSAGAPASKAAAPAVPQPPLSLPRAGGPLARLGVASTRPRA SSPLAGGSIVAAPDSPPTFPPPKKDDLQPPAGGIFPLFSNNDKPAEKPSSSLSAGASS TSQPPASTFFSKPPTPEETPAAEKSAFSFGKPAGETDGGVPDFFGKKSGSSTPAPAAP VPTFSFGVKKTTEEKAPPAQLPSFGAPTPSSGSPAPFTFGAPAAEKKEEPKKTNGTAP AFSFGGFGGDKKEEKTDAAPSGGFNFGAPKESSPAPAASSSFFVSVRLFGAPKPTESA AKPAFSFGAPAEKPKTDGTPKVAFNFGAPAASTEKPVEKPAETAAAPFAFGTPTAPSS PASKPAFSFGAASGTTTPASTPPPTFGAVKDSTTNGSTTPAAAPAFGGFNFGKAAEKP ADKPASTFSFGAGGGDKPNGASSPFGAPVTNGDAAKNPFGGSSTPAASSTPSFSFGAS SSTGTSKPAGAFGNAAPAAKPFSFGAPSSSAPAAAPANPFGTNNAANSSSPAFSFGAS NTAAPASTAFGSSVPTPNPFGQQSEQKASSPAPFAFGAPAPTPSPSTSTFGFGQPSNN ASAAPSSTFGFGQPSQPSAPSSSAPAFSFGAPAAAPAAAPFTFGAPAGDSASRFKSPT PGPDAAAGNGFSLGIAASEAPSSPGGRRVRGLPKRR L198_03361 MTTTRSSVLVPTHSPSAHPRAHIPQKRKADSSPPVDISPVEEPV SIPSDTDAHRSKVRRKESPAPVIPDPVIPAPVVVTPAPIAIAALTPAAPPTPTVSITP IATPSTAATPITAPSAPMMTSTAPTPVDATTPNVTAPGLVAKAATVRRTQPARQPLPA ARVRAQLTRDREVVSRAGSAHVVDDDAPLAVPPARAGLADGSHASPRELALREEMALF QEAMLMIDEAEKNREMSYEPERGSRTGRGSRNGDVDGTESNDDDWDSDVGPSRRRRKR HPYSSGKASPATTSSRSFEEQWDNIQTYYRHKSAEARAVVAREPPDLSKSREPVVVVA TNPRESFPKDRVEKGYQNGMSGMTEDMEADAQGFVTNVRSNLTAVTTYYFPQRTVKRD AFLEAIGRDLRRLGEELTDNGDAAVPP L198_03362 MSAHPTRSGRHSLPPSAPSAARASTASASGGSHLRDGGVGNAKS PLSISISLGNLRGASEGSRSSPAPAGAGSSTGANGSGSARSAAGGVTTPGTGPKKDKP KKKRGMKGWAWVIEDENGNVVDIPDEEDGRAGKGKKVVAVDDGDASSSGPPVEFQTPV VITATTTADTVLQTPREEEKVVREEVQTPKSQGKCYRRACGMSVD L198_03363 MADLISDISSAAAGITTNPASSTADVSTSDAATTSQAASTSAIA SSSAAQSSTVQSSAQTTSEPATSSAVPTTSDGGDSGTSQTSQTGSASPSSSPSASTSD GGDGATTSQAQTSNGATTSQGASASASASGTSASPSASGDSTTVVSSGGGMVTSTVPA SASSSSGVATTTGSDGRSTVYITMTNSDGVVTVSPTATVDSGSSGSSSSGSSNHTGAI VGGVVGGVAGLALLAILLWFLLRRKRSRRDDFDDMMFDPGRPENQAPIDLGPDGGAPT VEPYYAPGVASTAASPEMAQYPRSAATTSDGGYGAVSSGGPSTAGFAGRGAGGYDYNM EPMPMPSANPTGVESPAAGLGTMGAKQREAQQEANRYHVQNQGYQGVPGSSGPMSPTT TDRTGVTVHQDGGAVEDEYSSEIPPT L198_03364 MSAPRPTQKNNRRKRRRRQASVSDSSSDDSSSSEDAPPIKAAKA APIKVDGESSSSEESSSSSSSSSSSSSSDSESDSDSDSAPTSKPSAASSQLPPAKQRT RHPTNSPSPPPATGLPTFMQYSRRGKGAVNPEEEERREKFKTVYMNKLVEGFGGELEK MRESDPTLGPKRLQLLIDSLASSK L198_03365 MSDTTLSPRLRHLLIKDDNAPIASLPPIRAYPSPTRPRFSTRPS SGIMLPPVSPTSDGQGWSASDSPPSSHSQQRPSTSPSTPSHLILPHPNRRSNSIMALL NSQPPSPTPSSNNSSAGSVIRAEPEWQRPSLSYPRRPPPATFPTIEQSSSAAYFDERP SLPPTPGSYYQSMSADGSSDERPTVYRRHSSHPYERYDRSVYAPPYHPPVHRHSTPHH TLPPSTSLMSPPSVPVYLDGTRPSPYDDFRIISTASRAPISRTTKACNSCRSRKVRCD AGAANGGVATGKEPCMRCRESGLECVYSTVQKKRGPCPGTARPSVSKSRRSSSQSRQS RSQARGSMSSKPQLSPMASHRSSVASSRSIQDVVTPEDTPWSHTPHGYGFPFPAASNG VQVQGYDWHAANSGAGSDKMPPAWTGAQVGPRGSYSTTPWDERRWSAEGGVRGYP L198_03366 MSKRLSKRKQREVQEIQSLGAATSPQDAVEEESEEDTPGPSAPV NAFAALGGEDDDEEEEEEDEEPDAPAASAKKGKKKNNKKKKKKAKAPDPFAGLDEVDR ALAELKLRYGEDQVEEGSSMGAEGPGDFRGHLAFRNLLSVDPKNLDADAELRRFFGSK VIASSAQPNKHRGGPSAKLRYTISKPKPQYPPANSLAGLVMREMTAEEVEETCDRRDR DLVDKGEKWFTFEHIGVWREVERQFMGAVRSHGELNPNQLMALLQVYPWHVDTLLQMS EVYRLQSDIGAASDYAERALYAFDRCLLPSFNVLSGASRLDFDRVENRAMFTALHRII SYLGRRGCWVTAFNFAKLLFALDPEGDPHGAAFWLDFLAIKSGNAAWLTSMIDQGDHH PAAASWYAYPGMAFAKALALRVEEDKAKSKDHTNSDEALREAITDFPQMVVPLADKIG ASLPAGVRSEPLLKVEAAWDESPTNVIHLLSHIYVARSEALWKEASKMAWLESQVPLA LAELHSPASKMARDDILALVQAPRDPVDDTINVSLFICRHVMCSENTSWLGFLPPLIT ARSFNSFDPLPPTTAISMYDDAYFAGIRPQRRGAAGSGASGQEEGAWGMMNGFIQQVF DIIEREPENWRERAQDVWDQLTRENNLGRIPEEDRQNMLQGLMQLAENMADGLRAQGG DAGGRMPGMFPGAEGDE L198_03367 MAEQTEKAFQKQQLFQNAKSKGGKKIATKTKRWYKDVGLGFKTP SEAINGTYIDKKCPFTGEVSIRGRILNGIVHSTKMTNTIIIRREYLHFIPKYRRYEKR HKNLAAHCSPAFRVEVGDQVTVGQCRPLSKTVRFNVLRVSKNKAAKGFAKF L198_03368 MPPRRPQTIETLLPPILDLLPDTYSAHQKALTTSARILHANPPQ HAVAVEIFFAVGKELLKLGEGGSGTELGVKMVDTMSAAGVDVPDKTRANVTQLLALTP TTGPWRKKLVDAAIRWTQSTGECPTGDPNLHQYVGELYYREKQFVPAEQYLLASGKRD AAVTLANMMYEWCQKGALDPGPYALRGVLPPLLHSPPSILPAITFITTFLSLLSSPSS PFHPHIHPAIPSQTDASLPEIVVTASPSLTFAQLVVVTVQHAPKKGTSAIEARGTDGG VAREWRNLCMRYSKVSSVVAQREVQEALSQIAVEVFLVQPPRQAGNADLLQNLMGSLF GGGGGGSR L198_03369 MLLRLLPTPTPHNPSPLTILFTLPLPTHPYTSRSLPLPPALLSH RNLCVQENVECPNILLGECASWVAGIIDDVTFSLPLLTIAVNFVDGTSMTMPITDDCV KRLDRVVDQVQMSFATTAPSPVASPRTSSSSAGSSSASVYNEAGDNVPRRSFLYNILS PLLPSSPQVQSQPSPRAQTVSSASARVHRRLARSILVDTYRCYVLSVLKESLPSAYLP WSIQSECNRMSAEFEKLQSEINALLAKSKSVEPRELAPARSRSTSTSSDHASSSCSDS ECSSTDATSVDSLPPRATPQAYLVSLPPVHSLPSGLRTQYTFLLSRLTKIASRKSQLR KLGMRYDREDGKRAWLENLERGRLADKVVRRSWGNLELPLSMRSSYTSMPQQGTRLWR SVTAEDVERERQEREARSRLMADTPYAFGEEDEDSDAASFTSEDDLPVTPTTKPTFPL IRPVMPAHTEQGCEPGRLYVSVEECEPESPVKSRVASPPPLIHSSPNNRVVSSFNEYR ASWEDNPVVGKVTSAMESLMLAGPLIKAKLAVAEEADANGEVQMERFYGQCGLHV L198_03370 MPEREYALARVSICRPRLIPAGTTTKDIEWESSDAHCTLSATPW PDGSLLHFDIKASSTPLVCCNFLPLVALPSRAVRRITFMETQAAFRYDRPAGSPQPPG TIVFNQPADLTALLEGLEPFFLISSSIKPVIPSVQAVQPGTLAPDSAPTSPYMIKKEP VSEPEESALDYHSWIKREPVLEWEESTPQSYSRVKREPEAKCKSKELDEEEVSSNVTK ENLSPPISPNDAQSDYVPPSHSAVLPQAGSFEADERRELFIGGLNKEHTTAEILQVSL EQYGVVEESVLPDTSEGCQGGLAFVTFSTAESARKVILEHFVVDGVRLTVEYIIPYKV KGKTKKLRFSDFDWEMPTSTFVDYSETDQPGRKVRVEVPKEYKKRKASSYWLSIIMQT LPRTR L198_03371 MVNDQINNGNNNGKGKGSGETSPAVDFGAGVVAGVAGLVVGQPF DLVKSRFQSPSFSGQYTSTFGALSAILKEEKFRGLFKGVMSPMTDIFQINGVVFTSYS FFMKLQLPPGSQQDPSLGQIYLAGTGSGVVASLLTCPTELIKIRQQSAPPSLKLTTIG VLKSIVRQDGIRGIFRGLSATALRDTAYGPYFFTYEATLRLFRWMKRPPLPPAHHGPT HEGYTLIDEAEMELKSGLGWPELMAAGGVAGVLAWLVTFPVDVFKTRMQGFTWPNVLD PSQPRPSPPSFRHVARDALRKEGWRVMFAGLGPTLIRAVPTNMVIFLTFEGCVSALS L198_03372 MMNLDEEVRLWTTNTERERTENMATLYSIIVSLEYLERAYVRDS VTGKEYAPACIKLLAQYKSLMKLLGEDVGGVEMFMTRFKMEHPAALHRLTVGVPATVE HSAEAEEGGAERGKWVAETTQSFITFMDALKLNLRAKDQLHPFLTELMSGYSRFKGSQ EWEGRGKILHWLISLNAMKASDEISEEQSRQMSFDIENAYNEFFRSLSGKSS L198_03373 MTLSYEQQRLQNIKDNEDLLKSLGLSSVAPAAPKTPKATNTKPT PSKSKKNVTPGSAVVRQAANGSSSQNTTPAPQEDSGNRRRSLRTGGKNRPNYLKEQVS GSYSGSLDGSPSKRSFVDDGDDDDDRQRKAQKLGVRLHDPKTFGQIPNVEVGRWWSSR MEASTDAIHAPTVAGISGNAKDGAWSVALSGGYPDDIDLGYAFTYTGCGGRDLKGTKQ NPKNLRTAPQTSHQSFDNPLNAALKRSVQSKKPVRVVRGYKLQSKYAPVTGYRYDGLY IVEKGWMSKGLTNGLMVCRYAFKRMDGQPPLPENDLGKDADEADSSEVPDKSAE L198_03374 MAVPPAVCQFSDRLATALNAAQTQEASAHGNHHHTPSSFSGTSS VASHIHDGSTHHEHGHYHDDGAGGLWTPEEHGHTHEHLEHAGKFAERDMPDYSGRNWE ERAFTIGIGGPVGSGKTALLLALCRGFREKYNIAAVTNDIFTREDQEFLIRNQALPAE RIRAIETGGCPHAAIREDISANMGALEELQAQFETELLFVESGGDNLAANYSRELADY IIYVIDVSGGDKIPRKGGPGITQSDLLIVNKIDLAPHVGASLEVMRRDAAAMRGTGPT LFTSVRNNDGVSDVMEAIISSWKASGAAKVSQK L198_03375 MAELGISPTDTVASDSSIEINDAMFCEHGLEVCKSCEFDAREDN DFMMGVDAAPRGPLELPAHYKNQKDGSYTCKAHGNPNCKSCFSWKKQIVKLHKEGKKA ASKKKTDSGTNLY L198_03376 MSQQSRKIQIQAAFPILRAEDILECLAALEIPATLEDITKPTAA SSYGIYAYLLEVLMGATGDAIEGPKQALLGMIEYKDLYNDTLQFVMFFKHCRRLALLC GINDFAMADLTRPEPVRFRKALSGIMNFAKFRDERMQTHAIYQEAYVKQQRKALEMRQ KSIDLERQLQEIAKRNEADRPQSEKAQQRNEGLRSELMELNTARVKITHEYDELKKEK QQFLELVASNTRVMTQMDLQKAAAESRLVQSPDRLRRHISEMTYSIQSEKARLASFQQ KARELSNRLDVISSLEVDLKSLIDLEHGIQEQRGKAEETKRGKATLEGRLEGKRVESQ SLSAKLEQLQKQLLNASHKLDRQEEMRKELREKGAKRIEELKAEYMKRTKERHEWQSK RDTLLAQQKKLDSEMNAYITKHENEINELLQEYWSMRRQAGEPISFENMRHGANLASL L198_03377 MVNAKPKKSKPSVGPEYLTDTLPSLLPPIFEQVQQTTANHRKNI VHLHKIQEQCAIFTEETAKGIKLVGEKAFNTAFMDMVSRVLPVKKGVAVADRVIKFVA SYVIYSTETGYFVDAENKPEDEDDDEDTDTTASRFVGKLLRHLLSGMEAKDKNVRFRV TLLTVSMISGLGEMDDDLYVLLRKSLLDRAKDKEAAVRVQATLGLSKLQSGEDEDDLE EGQEPLANVLLDILRYDPAAEVRRAALYNFPQTPATLPHILARTRDVDPILRRTVFAG VLGADGLPDPRVLSIAQRESVVRNGLGDREGSVRKAAAGMLAGWLDTVEGDIIEFLNR FDVVSSQVAEDALVSVFVTRPEVFQAVDFGDDFWTSLSPEKAFLARVFVDRCITTKDN IRLEDALPVVTALAFQIQDEYNKLVSSTNDDSEESDTGDRTFVVGELLKLAVNLDYAD EIGRRKMFQLAREMISQSNLPESLIPLCLDVLSKIANGERDLIRVVVDVVTELREGEG DEEDGPSFGQGSAGDNSISVRRLSVGSGRSRSVAPRFNMDDPEDRMRAALVDLRCLLI CISLLERVNSTLQDNSVFHGLLPDLIIPAVRNKEEPALRDQGLICLGLCCMIDAKMAA NSFGLFIQQLQTADDELKVKVCQVIFDLLMVHDIDALISKTMVQRDNVVELVRHTLSL DIPEVQAVACEGVAKLMLAGMISDVVVLQSLVLLYFSPETAENQPLRQCLTYFLPVYC YTAAENQRRMLDIFYHTFGMLSRLWEEAEDEEMPPLSQIGLMMVDWLDPYKAVEKDGS SVDTAIHLELAAEILKCLLTETLPESRKALASFLSKLSLPEEASETWRLKSLLALITA IRDKRPLSDAPSRNAVNKFEIGLLKKWSDVLGDFDGDTFRSEAERNGEIGDLYGFIDE VDEIDVAQNEAGTRKGKRGASTSRRSRSVSTATRDETSEEGDDQSTVTGGSDDDDDAT PRATPQTKGKGKSSKASASKVKAKEPPKPRSSRAKKASAASVKKGKGRMAPVEEENEE QEDEEDDDDEDEDEDEDSEVESILDEDDLDI L198_03378 MSSPTAPAFEGAIPSGDIPPAQQAQSLAPADHSVAADAEAVMNR TPSVAPVPRPAGSLYPPATLKGIDYEGMPHELKWEDAMGEPDAVLELADGLALAGHSF GAKKSVAGECVFQTGMVGYPESLTDPSYSSQILILTYPLVGNYGVPERPDVESSKFES SHIHISALVVANYHPSFSHHLASSSLGKWLEEQGIPAIWGVDTRMLTKRLREGGVLLG RVLNKEDYETVPFYDPNHENLVAKVSTKAPTVYTASSKSEKKLHPKTGRQVRVLAIDV GMKWNQIRCFRERGVEVKVVPWNYDFNAESEPYDGLFVSNGPGDPSMVKETIANLSKA LETSKVPIFGICLGHQLLALASGANTRKMKYGNRGMNLPCTCATSGRCYITSQNHGYE VDVTTLKNGWEPLFTNANDGSNEGIWCGRNGKPFFSVQFHPESAPGPRDTEFLFDVFI QSIVDTAREGRLISIDVPGGELADNVAAKPREQVKKVLVLGSGGLSIGQAGEFDYSGS QAIKALKEEGIYTILVNPNIATIQTSKGLADKVYFLPVTPEFVMKIIKHEKPDGIYCT FGGQTALNVGIKLKDDFPKLGVKVLGTPIDTIITTEDREMFARAMEEIGEKCAESATA VNYSEALEAAQRIGFPVIVRAAFALGGLGSGFAHNEEQLSDLCDKAFATSPQVLVEKS MKGWKEIEYEVVRDCRNNCITVCNMENFDPLGIHTGDSIVIAPSQTLSDADYNMLRTT AVNVIRHLGVVGECNIQYALNPYSKEYCIIEVNARLSRSSALASKATGYPLAFIAAKL GLNIPLNEIKNSVTKLTSACFEPSLDYCVVKIPRWDLKKFNRVSTALSSSMKSVGEVM AIGRTFEETIQKAIRCIDDQLPGFGDHAQVEDLDYEIANPTDKRLFAIAAAMKKGYTV EKLNQMSSIDPWFLTRLQRLVKTEQVISGYNASTVPSALIRNAKQIGFADRQIAKMLN SNELAVRRLRIEAGITPFVKQIDTVAAEFPAFTNYLYTTYNATEHDIEFEDNGVMVLG SGVYRIGSSVEFDWCAVRAIRTLRENGMKTVMINYNPETVSTDYDEADKLYFENISLE TVLDIYDAEHSSGVVLSMGGQTPNNIALSLYRQNVKIYGTSPEMIDTAENRYKFSRML DKIGVDQPLWRELTSFSEAKSFCDKVGYPVLVRPSYVLSGAAMNVVFTEDDLSSYLTQ ATDVSRDHPVVISKYIEEAKEIEMDAIARDGKMVMHYISEHVENAGVHSGDATLVLPP QDLDPETIRKIEVATAKIGQALNVTGPYNIQFIAKNNEIKVIECNLRAARSFPFVSKV TGIDAIEIATKVMLGLPCTPYPDIKMPPNYVGVKVPQFSFSRLSGADPVLGVEMASTG EVACFGKDRYDAYLKALISTGITPPKKNILLSIGSFKEKLEMLPSVQKLHRMGYNLFA TAGTADFIQEHGVPVKYLEALGSENDDNPQKKEYSLTQHLANNMIDLYINLPSKNRFR RPASYISEGYKSRRMAVDFAVPLITNVKNAKLFIEAIIRKPTFDISSVDYQTSHQTFT FPGLVNVQAFVPGAAAPNSPDFGDATEAAIRGGFTIMQMVPQGVSSAVEDEISLQHAQ ANASGAAHCDYLFSVAATADNASRLQDAVAAGAKALFIPFNNFFGSVNKVSSIAQHFA AWPVDKPIVTDARSTDLASILLLASLNSRSIHIASVSTKDDLLLIALAKEKGLAVTCD VSIYALFYSQDDFPEAAKVLPTKEDQNALWENLASIDVFSVGVLPYELGSALGKPVSA RSGVAESIPLLLTAVTEGRLTLEDISRRLSENPRAIFGLSEQSQTYVEIEVNRSSSFV ADDVENTWSPLDGQSIAGNVHRVVANDHSIFLDGQTFSMPFGRDVSAFGPRQPRGSFT SQKRPSLAGLMSPTTERRENVSVNGEKLMSLASVAPIRETSPQRSLMSLQSHPTFTRR HILSVNQFDREDLHALFNLASEMRIAVERSGSVDTLRGRVLCTLFYEPSTRTSSSFET AMKRCGGEVVQVTASTSSVVKGESLADTIRTVGCYADAVALRHPAVGSAKSASKSSPV PILNAGDGIGEHPTQSLLDVFCIREELGSVNGITVTLIGDLKNGRTVHSLVKLLSLYD VTLNFVSPPSLAMPDSVKAEASRAGVRFTESYTLTDDIIARSDVLYVTRIQKERFDNV SEYEAVKDIYIINNKVLEKAKESAIVMHPLPRVNEIDPEVDFDSKRAAYFRQMRYGLF VRMALLTLVLGA L198_03379 MATNEQGVVSTTASADLHTHFHAQKQDILQACETPGASLSHIAR LIQDLRKLVDSYGEGLPKYDRGRYTEELNGLDTKLSTLRTKDKPKSRFAFAKSKRPLP AKATPQSPTVVAGPSTDREETSPNVERSPINTHTIEGRSSALVRPELAPGTGTYTLSL SSVSHCLIDLRPCKSPSSTDPSQSALPVLTTLHAKKLTRCVLLAPVLPGSAMLSDLDN CLVVVGAQQFRMHSSTKTKVLLNVASLPVIEHSQNLTFGPYPDLLLPQTPDYVSKHTE VQDFDWVRGGQSPNWSLLAKDDVPEDLLVQLSEQLDGGMDDRPSVDIWIDCLLVQ L198_03380 MAAPLYVTQSGRLWHAGLILIVTVGLPGKSRGKTHISRALERYL RWLGVKTRVYSIGDYRRKVLGGAENVPHDYFQTKSPRSEGTNALRRRIRTELEDQIMD FYTVQGGQVVIYDANNGSIAERKATYQKFESKGVHVIFLESLCDRDDIITSNIRSVKL SSPDYAGWDAEKAVADYWERIRDQAAYYDTVTESEGPYIKVMNVGERIDVNRIEGYLQ TRCCFFLMNIHTRPRNIYFARSGQSFIEHSYKADSDLSPAGWEYAERLKAAVIARRKA LREERKSKGETVGEENPLLIWTSARRRAYHTAWPFVQHGYKVVQKPIMSEINPGVWDG LSTQEAMDSYPDEWQRFLADPYAHRAPRAESYHDLSVRLESVIFELERCQDDLLIIGH ASVIRCLLAYLVGLPPNEVPAVEIARGDLVEITPASYGVISQAWHFWSGEGRGNIYGE NLYENFAESTSGKGSVLPDSGVNFAADALNMEKEAVEEEEKEKEKEQGKVAEVAAALG QVAAQAGNNGGGVGQGARGPGRALRRWGSEKGRGRGLPGVSEMSEIEGEEQKRNDAAV SDNEGQEGGAKRGRAMSLLEI L198_03381 MPAEGSTRKPRRSSTSFILPAINNIPQSATPTPAAMNGANKEGK DAKDPYANLLVNYYDAAPLFWPEFKDPAQAPLQLKGCLPNGLLPCPLGPGWSIDVNDL LILHPDKIKGQKGYTEWKLITCRACGKTYDGKNGRSVARRHLQDKHGMPLAAQSRRSR WDWLKGMGDGDGEDIHGKKRKRPSTAPRMQAKLEKHYSDFLLKFGPAGLATSYGLTLI APKFRPAPGEIPAPFTIHPQDAGHYKIKPAAREQWIDGSYGKVIVPEEIARAVGAIWD GIDFAYAPEDAPTEKAVKMEALEESARGQAENSAVESNRDKQPSHGNGKDAQGEPSSQ ARRQAQPQSHNASQKPLPRTSNSAEIPSTMPSSNPVARAEPDTPSTEQSSIPPSGPIP ARIPIVPIPPLPHAQASQPIAQPTPSTHTHAPEALPAAAPEAGPCVAQPRPNFSIKVQ RLASDGQAVVTNPIPLSLRTSQSRSPAGPSQQIPPALVANQIPTSIHPIPNEFAPLDP APLHSAGDWPTFVAGSQPLQGLPIGYHSFPQQPTPGHVLPNVAMPPDLGQMDDATRAM LFSQMQHSWDGSAGGREMGESFGQQMQMGQRPGL L198_03382 MADPSRSDEQPSPSPSEPVHGLPNPSEPQQSYPSPSSSLFSHLR PASSTPSAPTSDSFFPSMPQSSASLLASSLSPTRVYYDSQLPFQQAAAPMTKEEQAYL QAQARLQEMPEKCFSWCSQNAMAQPTCRMVCLRKRALGLSKEEHTERLRASRRALASP EALARHMQTQEEEPTASSRVLQWLQSPISTLHQKVMPYSIIYVRGSPDGAIGRYMEEL ERDDGNYDFKHISRGAVARKASGRRSDDEEGMEYMEWGDQESLLHLPLTSVLSPILSL PANISRLFSPSLRFISAYTTSFTDGGQVRMINKFYETVQNNGASEMLNKIEKVVQKRA EETREKKEEMLKQRQQERVERMKDMKDVGKEVEGKGGDGQ L198_03383 MSEVSSPASSLDFFESDGSDGSDYGAPRRAPVARKPTKKANARS GAGSSASSAPAKKSLKINLTALQRKQAGTNAQAAGDEEVSGDEDEAFDGLVGSRGVDF SNQELKSDHSLRPLWVDDVGNIIVEAFAPFAKQAQDFLVAISEPVSRPSLIHEYRITK PSLHSAMSIGLETGVIIEVLSRLAKTPLSPRLRARIEQWTASFGKVRLVLKDNRYFLE TSVPEFLQKLMNDEVIKECMVHREKEMGTTVFGAEEAARPRRDFAIPGTEEARRLERG EAEPEGVRNDDAVLGAVIGIGEADEMDDEDDKVHSFEVSGERMEDVRRRCKDIDLPAL EEYDFRNDTVNPNLDIQLKPMTVIRPYQEMSLAKMFGNGRARSGIIVLPCGAGKTLVG ITAACTIKKSALVLCTSAVSVAQWKQQFLHFSNISERQICCFTQGEKEVFSTSAGIVI STYSMIAKTGKRAHDAEKVMQFLRSREWGFLLLDEVHVTPADMFRKCINNFKVHAKLG LTATLVREDDRIGDLGYLIGPKLYEANWMDLAKNGHIATVQCAEVWCPMTPQFYREYL RNPSRKRILLHAMNPNKVQAAQFLINYHESRGDKVIVFSDNVFALEAYAKKLGKSFIH GGTPEGERLRILSRFQHDPQLNTIFLSKVGDTSIDLPEATCLIQISSHFGSRRQEAQR LGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSSKRQGFLIDQGYAFKVITELHGLKE MPNLVFPSVDEQLSLLESVLNQGDAANETADHYMRLNGGKHQKKIAGSQPAGGGTGPT VQRFMAPLEHLSGGQNISYREQNKSVK L198_03384 MSATQENRAATPEDYPRFMKVAHEQALKSLSEGGIPIGAALVHL PTSRILSTGHNNRVQKSSNVRHGEMDCLENLGRVKEGLLRECAMFTTLSPCIMCSATC ILYKIPVVVLAENLNFQGGEDLLQSHGIQCVNLDDQEIKKMMGDWIESEAGRKVWNED IGELNE L198_03385 MSNQDHPIDQIEVAPDSINFADLTNFPIAPSEEAGPHLSASEAH PSLQDAAGPSTTYIPRQPSPPLPDLKTRSFPAVAADSDEEDADDEPIATLPVYMSPAL LQQGGVDIYQYPLQHRDISVPTWARDRGKTISARVKENVARVEVEIPVDAGAAYWRED RASDLGFVVDVTNGDDSTVGGYGFGGKEKDKKGKEKASRKKEEKWGDKMRLSSEVVPN ATGYYTGVIRDGKWIDNFSELFCSWNPSGAVHLCPVSRVLQFRTSLGYLDDVEQRSRT RRTTNGNAESDDEDAPKKKRAPPPPVAKPRKVLDDEENDGTGSIKDFRNKMWAMAQKE DEDDWVAYQWKAGGEESSVVDALEGLILPEEKRERLTCKTRPLDYLDRAQ L198_03386 MDQEEDILASYSPATVETPGLSYVQDEWIAPWSPFAPDGDETSL SLQAACAAFMESDPQAMEDVQYILNSLDDGSEMPFSNGALGQIGGDASVSSPPILSAT DESFSLISPSLTSPSLITGPPPHEADLPPPRPARTNTFSSRASSDNGSTKRQRVASAV SSIDRRRGPTDRVSKIDSSSLITQSSKKHAPMVSRVLSKYTWEQLDEKDDRNEEEQAV RDLIASE L198_03387 MSARLFRLARPTAFSRPFMARSYATELKAGIRARSPNLAYLDVT HPDSAASPATHAVIDDHSSYLLNTYARPPILFSHGKSCNLYTASGQEYLDFTAGIAVT ALGHSDAGVNKVMADQAQKLSHASNVYWNESAGELAKVLVERTRENGGIGLSKDAQGE DKGARVFFSNSGTEANEGALKFARAYGKTIAEDKTDIVCFSNAFHGRSMGALSCTPNP KYQAPFAPLIPGIKVGEYNNMDDAKLKELINDKTCGVIVEPIQGEGGVGEGDKAWFEK LGRKCREVGAVLIYDEIQCGLFRSGTMWAHSEFPADAQPDIITMAKPLANGFPIGAIM VRSAVANTISPGMHGTTFGGQPLGCAIGVHVVDRLSSPAFTSNLSETSQHLEGLIEKL PAMFPSLLAEIRGRGLIRGIAFKDETRPGELVKLARERGVLLLTAGKDAVRLVPALVV TKEECDKAVGVIESCLHILQEQA L198_03388 MSAAGKEDAPRVPHAPSLHQTRLSAPSIAIDGRNFVDAHGRVLH LRGANVSGSAKVPIAPAPKIHDHAQASYVGRPFPLEDADEHWQRLKSWGLTFVRITVT WDAIEHKGRGIYDEEYLAYLRALLKSMEPYGLIAYIAIHQDVWSRYSGGSGAPGWTLE SAGFDLFNDGEALALSGAAFIDGIRGGRLSGERGLWPTGYQKLACATMNTLFWGGETF TPSFKVPTQIDGKWVSRNIQAHLQDAFLDATARLVSAVGDLDSVMGFELLNEPHPGFI GLSTIHEWDYNTDLHLGQFPSPLQSFSMGAGHPTPKVPIYVRTFPFPTKISKYITANP EGASAWSKKECVWEKEGVWRWSEVKKEATPLQEDYFSKDRAGKKIDFYQDFYFPFIHR WEAVVSKNTQRTRGLKARMVEPLPNEFCPEWAEESRPQSMVYAPHWYDLNMLFKKQAG FMSVNVQGLARGMFLPRALYFGLAAIKDNYALQIKGIVLAARLKLGPVPIIFGECGVP MDLNEEEAFRTGDWKWQERSMDALISALEGALLGFNLWTYNPSNRDDIGDDWNAENFS WFSQDNRSKLLKKDEDVTDVDAGARLLNVIVRPYPIATAGSPTSLSYDPFHKAFFYRW RSPIRTSSSAPDLSEYTELFLPRRVFTQSNLKWAVTAGGKVVFDWENERAYVWFEDSA EVAFNAKEQMKTRRIDIWVPEAAMKDVGEVYTTKKFAILVIILAFGALMAYIAQQHEW RKDDVIFGRVQEDK L198_03389 MSLFGQVKRHTPSKPRRSPLPTTAWDPDRRHPAPSPWFTTIYIP LRIPFTADSDSLPNALASPRPYHPLSKQRILRLPIPIPPRIYARLPRLNSPIRLFLLL IALLGLSLFFMGFRKTRDGDRTWSPPFVDPDTMVITPEEAAMIWEWEVLSGHYPSREQ PPDHLPIALALKNPVVPPALLPSPITPSPAVAYQNRADKTPQSSLVGIGPERHYLSVW DPRESQTGFSARPMPGSILDLDLVREKCDFGTNKYVRDCLEFLRVGGGMDASKRVRRG NYLAQYKQHYYEESTSAQSHPWSPRAPETRAGSRSRSALTLPQPYSVPASFDSRDACD PLHPRIFHMFWAGPFTDKPYMAVMSFLYTQNLGLDKPIDAVTDTSDVVRGTCRPQFWV WINPGPAAAVPNPSAKREMLEQLATNPWSAPFMHERFRDVVKFKMWNTTEQLDGIAEL KPHWRQMPIFNSGGNTYNAPPPQNTPEANAEDEEDEYAMVGEVVADVEAEAEAEVPKK KKKDGLFEKVGSSSESDYDRLSVILSDMARFVLTHRFGGIYLDADTLFLRDWEELWNY RGQFAYRWSWHQKYNTAVLKLHKGSALSTFLFKTALENGLDFHPMTVTRYLKDAGLDK LLFMIPDALFDPAWLNMEKYQRDRPPFPYFPEFSQLFSNDKFESNAPQPVGFEGFFRG AFSYHFHNFWWLPFDPSRNFPDLGHRFIKGERALRSSARDADSDTPAHLVGDDVDPRG NGEGYGEGLGEEGQVIGGEDMDDEVDLSWSTVLKRTFESYVRGEGPNAYGEWLQWGD L198_03390 MKIKTISRSLDDHLPSSSSAPHPLSRNLAPHLHPFSKPREYARA VTAVKMDRMFAKPFVDALGGHQDGVYCLGKDPRRATVVAGGGGDGEVIVHSLALRRPL LKIAAAHKGMVGGICWTAEAKDGRRGLITCGKLDGTVKIWRSGAFAPGLRDKDAFEGN EFGLGDGDAGFLDQAGAIGESGFEFDEEAGGGLSIDSSKRDALGQNLEPVMTYTSKNG FNGIDHHRSDAVFATASNTVQIWDENRSAALSNLQFGNSMETVTQVRFNQSETSVLAS IGNDRTMCLYDIRTGKAERRVVTQFRNNSISWCPTLPTVILMGSEDHNCYTFDIRNLE TPNQIYKGHVGGVMGCDWSPTGEGFVSGSYDRTIRLWDRESGKSRDVYHTKRMQRVFD VAYTPTADFVLSASDDGNVRIWKSDASKKLGPVSTKERQAIEYRQKLVERYSREKGVR EVKERRHVPQSIHKATKTKRDMIEARNVKEDRRRKHTRAGREKPKAERKKTVIVEQK L198_03391 MRWTTRLRLLAAPTLASFLSFVLSAVKPWGGISGQWAFLVYTSM LIFFFPRGRIGPQIELTVLGIAGGTIGIAWSSGVLAVAAWCGRRYGVDSDQTRAILGV GLALLCIASGLIRSSVRRFNTFSKIMVFFPIFMLTGQQTITHMTAALFLEQFYVVIFA GILPLISTLIFAPHHTLSHQFGAQVEESLKTICTLLPLSLQHITGNENSSPTISEPSE AEYPTAKSLESQPDRARLPSSQDQLAKKLKTTISNLQTSSSSYLRTTPSVDGQLPLLP TVVKSLQRIVRNPLLGTSQSPGERIQAALNKTFPHSQPGTPRGAKARTPVPSASSSIR SQSRSEVFHRRKPRHLTSLLPPEASSSSLTLSQHPGLKERSESLVGAMVEALQAIEQE LPAKFGWAVEAAKEDKAEMNPHERLAGAKACLEEQVGCVQHVLGSLLGDLGGEDKDDT SDSESDVRRSASPSKYATSPLNRSPVPATFSETVEVRSVLHNRDRYRLAFVMTTLLDL AKDIQGLATTLNEAPAYEKPLSGWMHFLRLLWLNRSDNEEDRDHQREEPNLVPDESLP HDEKKEFQDMDFVSATLHQQHSALSTTSPKHRLGRAWKLFWDQHKVVKTRILMSRFMH DVKHSRHVLFAIKLAGGICLLSVPAWMPPGYSARNWYHSSRGGWMVVSYMFVLEDTTG AILKVGFLRALGTFIGAVVGFVCVLIAGRNPYGLVVLATVCSIPISYNVLFASIPGLG TSTGITLPPILFIPYLGLSDGQSDWFLTWNRFVDIVIGVVAAVLVGTWFWPVHARVQY FRVVSRTLEGLIEYCKSNLRMSRDLVRSTLVYRVDDKRYEELEGKIKRNIQLSRTLMK IQQQEVSLLPRPIKLYSEIIDAIERLLETLNEIRILRFSVPRKETVLDVLPLRRELIS TVLINLWASSHAYGSRGPLPQFLPSPRVPLSELMDVTEEHSRHLRSARHNHHHPRETQ STRSTMAEGYQAELAVLYGMAENEALGEVCNILEELVASARTLFGTQSFLQT L198_03392 MYASTSKVLAKSGGLSLRAFSTSSTAMTKRQTFVELLQEVPGLG KAFDRVLVAPGYARNDLVPARKARFIPFKESSQRQILRMSDSERASHSLRIGAPGSSA SWQQRSASDLLPTTQELLNAIHSIKSPITFSLRTVSPTSTSLHGSLNLSNVHDRIAEN YGLTSKEVEIDWVEQENGARMKELGKWEALVKLRHGGREEVPIEVEVVRLETAEQ L198_03393 MDRGAARSRKRAEQSSKSAPGPSTTKSEKSAETRTGDGQDKKDE RPPRKQRYGQGEQPKDPSLYKPKAVRSAAALIYSESAFPIPPPQESLKNVRRVDLSGS GAQDVSWLEGMGVTWLNLAGCPVEKGWEAVGTLSELTVLNISGTGLKQLPSALKDLPN LKALVAMNNEWTEIDSDVVGSWSQLNSIIVSHSPNLSSLPHSLSSLHHLNKITFSHCP RLSAASLPNLSSLPLLRDVKMNNLPSLTTLPAHISSWGKGDMSLVGKGGDEKQFGDGL LVLDLGNCSLDYQSIAPLFGLTASKRKPLWPHLRSLSLHSNPLSTSHPTYSELLQASP DLPSLQIVDAHRVKERKRKGEVQESKWEKKGRERRVGRERPTGANEAGGKMRKWGAEE ETQEAASEENAEEEGTKPKKEKKERKEKKSEHAEKPSKKKRKHGVVDDSERAPEPAHK RNKHDKAPSPVPTSSAPDPTADLRNPAQETSTTVKDYRKDKSAVVGVVEVKKDGAEVK LTGNKRKKMQARGQAGQSGKGGVDLRELFGKEKAEEEAQEGEGSGLGVGGW L198_03394 MDHQPPPPPSTTFTLPPQSPQQPQHTIHLPAFSAASQAGPSSQP YVPPVNGQPLADGPPGPNPRGRPRGSVRVRGRGGGSGTVPRGGRASRGSRGGGMSTMR SSTRLSERNAFGIQKLKLSFKNGGATTEASGGRMSSFMGEYDRELDEGADEPLCFEEQ FILRVPGEVAEGKSGQGGLKDMVKGKGKSLEGIEFKFLDSRRAAFKINGTTYSSKLVD LPNIIESQKTNDNKHLFKIADISQMLVVDQPVKDEASITASPLKIDEYIWPHGLTPPM RHVRKRRFRKRMSRLTIEVVEEQTEELLKKDEEAETTSCELLDAHPDPEIDDQYYIDY NPNGPWQGSEYGEQGSEYGGSQMYDDPGSVAPSQMEDWGEGGTDWGTEVGEGEGESGY GGDEGDGTLDQELAAALMEGMGGSERSGTEEEDGISGTDDSDDEGDGDRSDEDEETVE RRGKIKQLTVDIKNLENIIEKKTNSFTGGNPIMVKRFEETIAGLQADIQTKIAARQAI VDELGQAEEGAAIEATRQPLSIDEKASSPDDREGKESAAAAETPMPVDTDADVDMDEG DYSEAPTPAGENQEVRDSSPVYSDDGDDLFGDDDDDDDDGEDGPPLPLPEGGEQDEEH EDDEDEGEEDEMARMLRAELDGLDQMGGDDPDALPIEQDQADVDAAAALDTYGMTDEF GGFENQEANAADLMASLDFGNVEQMPASEPEYIEGGAGQRRYAMGADDDDSSEDSYGD D L198_03395 MAALRPLRMGHRAFNVAPRALLAPRAFSTSLTARAEQTLRRFWK SSNITSLPSGSYQITLDHRALKTPSGSKLEIPKERRLLAAMISGEWENQDEVLKQHAL PVTSLASRAIDGLSDPFTRPLVIDALLKYLQTDTILFPDEAPPPLVRLQKEHWDPLHA WLKEEFGVTLALAEGFGGVSQSEETIGKLRKAVEEMDAWELAAFERAVYATKSFVISL ALLRGHLTAHEAADASHVEVRSQIERWGEVEDTHDVDYQDIRRALGSVAVLLAKSQ L198_03396 MPPQPPFSPDDYFLHQAKLFSHLEKRHNKFAAKTVTEAQRARDN RKHPPPKKVSPPAGNMIGSSSIRGSARAISQAARPSLTPAPSASFSTTAPASIRVAKN KLRKVGNPDALPAEEATKILRALEVAHPKSTYTLTLTTKSHKSALPIRGSFNLPLDPR RTSETILVFAEPSSSSAAMAKEAGAAYVGGDELFEALLSGKINPTRCLATPGMMPTVT RSLARFLGPKGLMPAAKRGGVAEGEELVERIRDAAGKMEYRADKAGTVRINVARLDFG VPAVEQNVKAFIKTVRDNQAAANQTDDPLAAAAKKNKKKGSQITAAILESTNGPSIEL NDVL L198_03397 MTLGHFPPAAANTVPPPPPEAFVPLFQTNTSSVSTAKPQGFYSM AGTPSSQLAWLSGLSTNFNEMAPEQKFLRKTSIIATIGPKTNNVETLVQLADAGMNVV RMNFSHGSYEYHQSVIDNARAAAAKNPSGRPLAIALDTKGPEIRTGLMKDDTDIPISA GHDFWVTTDKAYAEAGTAEHIYMDYANIVKVTAPGKLIYVDDGILSLQVIAVEGEKLR VKSLNSGTLSSRKGVNLPKTAVDLPPLSEKDKADLAFGVKNGVDMIFASFIRSANDVK EIRKVLGPEGAAIKIIVKIENEQGVMNFDEILRETDGVMVARGDLGIEIPASQVFMAQ KMMIAKCNVAGKPVICATQMLESMTYNPRPTRAEVSDVANAVIDGADCVMLSGETAKG KYPIEAVKMMAETAFLAESSIAYPPLFDQLRALAPRPTETAETLALSTVAAAIEQDAG AIIVLSTSGVSARLLSKYRPACPIICVTRSEQNARQMHLSRGVYPVYYPEPRGVPAEK WQIDVDNRIRYGLKSALNLGIIKPEATVMAVQGWKGGLGHTNTLRILSVPSDPADLDL HTIDRE L198_03398 MSQPPYGMSSRSPPPLQHPKPTHIAYPPPEPPRTPAQSTDSSPY SHPQRISQDGYVRYSSPAVADPMEGSTSGFNAYAPPSHGKQPQMQARQAYAPEGQGGY GAPNYGQWPGMNDATTQMGVQFGKSAVAAGQEYMEKNFTRFLPLQLIKVSFSVTNLYV LNKLRLVLFPWRHKPWSRQTRRTVDGAVEGWAAPRDDINAPDLYIPTMALVTYTLLAA LASGLQSRFHPEVLGVSFSKALAVVITEFCAIKLGCYLLDVRNSGASGVELIGYGGYK FVGIIITVLSSLLGMGRMVTIGVFVYVFGANAFFLLRSLKYVLLPDASVSSSVATLSH AQRSRRIQFLFFVAVAQVLWMGWLSRV L198_03399 MADTAAATDVLMQEDTAPATAAEGSKRTNTHTATASHEPQEPLS EVMFRRVTRIKEGDNVMLRLPSDLVKSIVVDKETLVQLGKYGSFPATELIGLHYDITY EIIPGSGSKAGTPAVDDPVAEEPAAAEGSEKKKGKKEKKKVWKGKEVVVPKSNPGWSN ILRPMKPARLADVIVDDVAETNELIEDLPEDSKGMLTQEEILELRAQGLTGDQIIEAQ QARHESFKLKTDFSKEKWRRRKEKKFYQTICPLAPTIPNLLYHYTSRSANTILYLRDD TLAQMLTMANIRPGGRYIVVDDTGGLVTAAILERMGCEGRILLMTDNDSPPSWGVLQT MNFSRRELEAVKWLNWLQAEESYERSAAPSADEPEPTNVQKAGVKQRRRAAQIAELNN TRNELHLGGWDGLIVASNLSPLSVVPRLTPYLLGSSPLIVYSPYQQVLADLLSWSKKD PSFLNDTLSESWERTYQVLPGRTHPMMVTSATGGYIWSAIRVHPSAFQPESHQRFKRR KNNKAKAAEPTETESAGVAETKDEDDAELALEELANE L198_03400 MTPTLQNNLTLDILAKAEAGGYGIVAQTVYDANMALALVRAAER SKSPAILQLFPITLAAGGGPFLQYCLTIAHNASVPISVHLDHATDPEHLELSIGLAEK GIKFDSIMVDASHAETDEENISIAVPYIKRCAAAGVATEVELGRLEGGEAGLRQIDGA MLTDPAKAEEFMKGTGAHILAPSYGNLHGSYKFIGGPQYDLSIVRDLQTRFKGRTPFL CAHGTDEIPDSLFQDLVKAGVSKFNINSWARDPYCETLGKELSSSSPFPEASEKAIEA YAKVCDHFFEVLGSKGKAA L198_03401 MSGHRHASIDTTKRDEEWHEQSLETKNPVELAAEYVPGSDAEKR LVRKIDKRILPSIWALYTLSYLDRANIGNARTGGLEEDFNLTSQQYWIVLLVFFISYV LFEIPSNLFISRARPSIYLSGLCILWGGVAAAMAAATNWKALAGIRFALGVIEAGFAP GVAFYLSSWYKRYELASRYSIYYTATAVSGAFSGLLAGVITQYLDGAKGLAGWQWLFI IEGTASSFLGFFTWYFMPDWPSTTKFLTPEERLLASQRLAYEGLGNTAGAEGPLGHWD AMKMAFGDWRTWMFVVLYMLATGAQTIQYFVPTLVAALGWSGYLGQFRTIPLYACAFL FILVFCWGADYYKNKPLAISIASAIGTVCFIIVTCTTHHMVQYVFLVFAFGCVYALCP LIITWVPNVISQPAEKRAVAIALVNALGNSASIYGVFLWPSTDKPRYIPGFSATTIFV FLMGVLAQVMVFLLKSPQEVVDPEVAIRAEIEKQQTAKRTEEGL L198_03402 MPPTSTPKINITPQTQRLAIRGVLAFLGLLIIRALFFSSSTSNE EIQSHGVLERVLMSDKYLDVAKYPFLQSRQGRDDRTSLFDEEVKEGVLDYWTRFEKPF ITDKATAHLDTQVMRTVIDDLLKFHGWVASACPTLIRPFGQNNREDHYEDLAAKDNLY FIAIVIHSADHFLVDQLAIIVQLARRLGTRNIFVSMLDQASTDSTPTLADLCEAVMTI LGIAFRIRRVPPMTVDPSAAYYPLEEAEARNLALDPLHELWNKRSIRFHRVIWLKGFT CPNDVLESLRVSELNSAAMVCGMDWAEHNGFFIFSDRWRTRDIEGDPFRAAKSNSKPE AGPPRDKAGTERFSHHLPFQTFCCESGTHVVDPEQSYYRNIHYRASYTAHNLSTTQEQ PRWDPETPCMDSTQMWFCRDLWTDAAKGGLKDGSRRKGHEKLGNHKRDGVPDVAARAP EPVPEPAAEPEPAAAAGGEDEDSGTDLDAMNEDAANPAPEPLAPEELPASAFLIPNSA YTPARILVNPRCVTTYGGVSHTQLALDLFGGPHADESSHEGGNYVLEDWAGPPDSFVC QEMRTTGGRTAPKSQRRVGFLLQNEVGMSRT L198_03403 MDTSHDIAQSSAHPHPPLSPVLTRATTRPRPLPPSNPPEPPLTL LHPSQSYPASPRDEHAGHHDRTKQGKRSWASWLGRGMRRDVMNRLPWYWSDWADAWNY RVIPSTWFTFFANVLPGLAFSLDLIETTGQYGVQEVLLSSFMAAFVASFLGGQPLLIS GVTGPITVFNKTIYDIFEKNRSDGPDYLHFVGWVYLWAAILHWIAAVTNAVQGLKYVT QFSCDTFGFYVSAVYVQYGIQVVTRQFGQTSTPSAFLGIMQVASMLIPHYFNAVARSG YVNKHFRRFCSDYGMPITIIAMTGLAYWGRFDQYVLESDMTLPTTASSFQPASDRPWL VRFWQLEGRWVGIAFPFGLVLFILFYFDANVSSLIAQGSEYPLKKPAAFHWDFFLLGI TTFIAGLLGIPAPNGLIPQAPLHTASLVVMGYEDGSRTSYQTTLSPGENRQALKLSQM ESANKNDTPEDGTGMRRRRRRSSSVQSHTENDAKREVPVAVVEQRVSNLVQGCLCLIL MTKPFEHVLGLIPKGVLAGLFWYMGSDALLSSGVSAKLLYLVRDRRATSPSEPLRAVR KSRILLFTAVELIGFGATFAITQTIAAIGFPVIIMLLVPVRVFIVPHLGFTEEELQIL DGPVASPFVGSTVIWYLALVADPSTRPWSL L198_03404 MSDIEKGIESAEDGKRSRSSGSEAFEGLPAVDPGVYSGRHEVGE PTGRWAKFDRFNRSLEQKMGIESRGIERVSESDRTDKRLYGNTFIWMSANMVLPTFGI GILGPLLFDLGLGDSMLAILFFNAGTACIPSFMATFGPKLGLRQMTSARYSWGFYGAK LVAFLNCIACVGWSIINTISGAQTLVAVSEYRISDAVGTVIIAIVTLVIGLFGYKFVH TYERYSWAPTFVTFLVMVGIAAKHLVNVPMGVGQAEAAGILSFGGTIFGFTIGWSSLS SDFNVYMPSEAKSRAVFGWTYLGLIVPLLLVEWLGAAIGAAATVYTPWADAYAEHELG GLVGAVFIPPMGNGGKFFMTLLVLSVVANNTVNVYSMGLSVSVVANWLAAIPRLVWPF VITGIYIPISIVGANHFADSLEDFLNVLGYWLAIYATVVIEEHIIFRKRNYDNYHASE SWNRSDKLPIGLAAIFAGCCGVAGAVLGMAQVWYIGPIGAKVGGTADPFGGDVGWILA AVFTGVVYPGARFLELKWTRR L198_03405 MAPKGGNAKKEGGRAKKAENEEKKQAAASKAKEAKEADEWKSGA KGSSKADAAAAKAAEAARKKAEKDALIAAEEASLPNKGKAAPKAGAKKKNNDKLTPTG LGVAGYAINDPLGLRKPKGVEEEETKELSAKGLDEMLDAMEIVNQKTDKAAVGAKAEI MIDAHPERRFKAAFEAYLEREMPILKDEHKGLRQNQMRDILYKQFQKSPDNPFNQAKI AYNATREEKVEAYKGMMESREAKLSRFD L198_03406 MRIEKCYFCSTNVYPGHGTMFVRNDSKTFRFCSSKCHKNFKMKR NPRKVRWTKAFRRSNGKEMVVDSTFEFEKRRNVPVRYDRELVATTLKAMERVTEIRQK RERAFWKNRMSGNAAKNLRDNALEIERHIELVQPRTTSKAKKQKAGEALTEKEAAREK IKVRAANRKAMALQQMGEKGGRTKKESRLIPAEGSGMGMSLD L198_03407 MTRIAHRLQQLSWPKPLVEFCNKAMILHQIEALVKAGVTDVVLA VNYRPEVMVAVLKKTEEEFGINIHFSVETEPLGTAGPLALAKEILGKDDSPFFVLNSD VTCTYPFEAFRDFHLAHKCEGSIMVTKVAEPSAYGVVVTKPNSTVIDRFVEKPVEFVG NRINAGIYMFNPSVLDRIELRPTSIEKEVFPAIAGDHQLHSFDLQGFWMDVGQPKDFL AGTCLYLSHLTSQHSKLLTDPAQNKWVYGGNVLVDPSAEIDPTAVIGPNVVIGPDAKI GPGVRLQRCVIMSNATVRDHTWIANSIIGWNSTVGRWNRVENITVLGDDVTIKDELYV NGASVLPHKSVSVLALDVQA L198_03408 MSPTFPKPTPTPLASSFPNLKRSTFVTVSVIANDTSTSAPSSDS SSGFPVAIAIPALVGGMALAIGVFSFWWWWTKRSKRVKRERWEAAQRRKNKRRLAAEK ASPRPSTSTSRKNPGGPKSPASEKSSKDKSTALSPPPSAAQRNFPNGAQAAYGAYAAQ QAYGNGNEGWQTQPGIEQQQQYGYSYDQYGQPMPLSPVGQQSISQQQQYEGSYAPVQQ TAPISKSVSSDTTAPLASQGAPVATSPTSPTSSKKESSVPSPKRSKSEEKQSSSQSRK GSRAVARMAVADNAAAAASVDPMYRHKPSKPSPLAIKAQQEREAAVAQRVLDDQNPFY AEPDELTPVDSRANAAWGEWGVALGTPTEGTPFSDSQAAVLEDNVYGNGNQTQSGLYT QDPYALYHDDDEDEQENRFHDAAASYGLGGGNKEKKRDMGRRI L198_03409 MGHTTIPPHLIALLSSPQTPTSYATDALEEWILHRRAKGDNLFE TSVGLVELEDRTPPHLTYAFDRASYLTNVPHISDLSPPTGPFPLSRSASTSTILAHLL SSPPPTIQHSREVILEYTLARETKLREKKSGRAGKGTLGREAFEDIAKRMGEIEDGLR QNSSDSPRRGSVPLRDAYPSPRSSQGIPELSENQQIGLTLILSLRMSLSYFTLQELAD QLLKLALGDAERMLVQHIRRRVPGEGRWGVGKELEFVEGLTLNRRPALRPAFRSAKAR TLLPPKAVYPVSLPAPSKSQCIKHIQALVKEVETSGPDAAAASLQSHAPAGAAIGSPG FTVKRAMGSPTPAFSREGTPVTSLALPPVQFPTARRTESDPTIISNYVHEIISEFITR EKRDYMLKSKWSKTGRAQLSKDLGDIESALCLASKNAASPLAPTLISTFLILRRTFFL PPSPLPQSIAEPYLDILPAPPDADDVAFREPTVSSTTAALYVNPRLDDERAYDVMEEL VEFEKEKVEGAGGSEESMVGWAMEQLEAVQKRFPDGSYAATFARVKQSLVKPPSPVSS TSIAFAPSPTSSVHRRTRSHAFPDAQYSANTGSPVAVGVLKAQHTRSRSMPGRKGTYD EENEEEANASYQQPAQVPTPETQSAESSPPRVGSIVTELPQLPPLVITPLQMDARRAS GVSTVPTSAGGSAGGGWWDVISAVGKEDRSGSPAPWDESSDKRSNGMTHSSSGYLSNP GSVGAPGLRESSSHGSLALGNRTNIEGPLPPGAEVARLPGAPAVPDFTRPMMSLDLNS PESSPKSISPPSKTDRGLYASQNEDVRASPGIPHALSSPPRVGHPSYDQDRERLPPPP PLRFDQPRGTTLGSSSNISSPSMSSATPTPTGMQAPRLSPAPFYPTSRGTSPVLAPTT ATGPSPPAPSGSSIAFAHPPDVPKSSPSPPTPSTTPPVKASKLGTFGRTMSLARSKSK KEKAEKEKEKEKEREKEREKEKERVMNDPKRWNRSAVQNIMGPPAR L198_03410 MDSTRLPRPASHSSLRPPSTTIPSKLPAPTSSVPPAARLKRPTL SRQPTSAGLRSDGRITVPEPNVKAAIAGTAKETDVIKPGVATGASAQRRLIPSRSTLT LPKTSRLPSPSAICSPPRKPTLTHTKTAAVPLRPAASSNPLGPKRTVSSVASSLSLRS RVDTRKASDGKDVQKAAVRSLGRVGGLQWLRDANMGLSTPAATNSPSRIPSITTNTKT PPSTAATHAYPYTPTNPFFTLPTETPLLPRLRSAASHTSTTLGFTGEAAEDNLMDMDM SFADEDGLGDSLGEWKPERVPEYRRPKFTPRRATPPSTSHIRKCLAESKKELLGAKKE RDELAGRVEELQKEKMKKSWRDVAQEGELELEEVRQAIKLIQRLRVEHGL L198_03411 MLDHISISHQSGLVLWSRSFTPAFSALASSPNSPVNALVKSTFI EGKAVSNGEEEGLEKDGFSVRWTVENGLGLVFVVVFPALLPLAYIPQLLERTKALFLA LFQPYIATLVESLTAGGTTISAAGSALKELKDKISEERWNDIWDRCLKSCEGATSTTS TPGTTSPETSDLDSSATPLSAEEIAKNAQAMRSKMKGGGKRRGLRDGLSPSPSPSPSR PNKSAQAKLMRKWGDSPASKAEMEALDYSSPAPEEIKVDTAALVSVDALGEKKDNGTY EVADWDFKRPALSGGDLPSEEDILARRTTKLALSDEDVQQSTWSNVFSRLTGSKTLSK DDLAPVLVEMEKHLMGKNVAKNIAENLCESVGAALVGKKLGGLSSVKSQVQAALNTSL TRVLTPKSSTDLLLDIQRKRSSHLSTLSTSDGPDPYTLTFVGVNGVGKSTNLSKVCFW LLQNDLRVLIAACDTFRSGAVEQLRVHVRNLGALGEEMGKGKGKRIELYERGYGKDAA GIAKDAIAYAKQNHFDVVLVDTAGRMQDNEPLMRALAKLVTVNNPDKIVFVGEALVGN EAVDQLSKFDRSLKDFSGAGSGSGGRKRGIDGIILTKFDTIDDKVGAALSMTYITGQP ILFVGCGQTYTDLRQLKVNHIVQALLS L198_03412 MAPATVSAHRIGSLLFCPACGALLDLPQDDQTEIACSQCGRREP ASSYENLPTKTYSSPNAFPSVLKSKRALVQNKLDAGEAAKGRDPVAQEKCQKCGHIGL SYKEMQLRSADEGSTIFYKCLGCGDQTSTNN L198_03413 MSARRPADNSAPGQPGPKRPKTNAPIDIAAVRAQLAARKAALEQ QKLGGASPAPASGSASPAPPTPGPAVLPKAPAIDGGIQAKLAAARARLEALNPAPAPK PNNPYLSGSGNVPKTDSPQPAVSSVALHPLLMGDNNPQQAEKEKNEKKAMRDRYKPMA PKFSSVRANASAAQAPSRASPAAPPSAATSFNPYASNSASASPAPDEGRGATRKSRKL QFSRQGKYVEQGDQLRNEQKMEALRLRIAEASRKAGLDSEFDTLERSFKRQPPPAIEW WDEAILPKGTSYEDDLEPAFADMVTNTASLITHLVLLPIPIPAPQDRKQAERVLMLTK KEQKKMRRQRRQAELEDKRDRQKMGLLPPDPPKVRLANLMKVLTSDAVQDPTKVEAKV RKEVAMRAYKHEKDNNDRKLTVDERKEKEYNQMLAKERNGIRGAVFKIKYLTNGRHKF KVRETAKSDLLSGICIFHPKFALVFVEGVDKSVKHYKRLLLNRIDWTEQARPMDGSDG SDGEGGKGGADGDQDLADNKCELIWEGELTERTFKMFRARHVETDGKAKEWLTPRFEG MWDLAKRWKWEGEDM L198_03414 MSTVATTAQAAPAAVPTPAKPAQPPLNLVESFICGGLAGVGAVS ISNVPETMKTRLQLQGELQKHDPSAPRVYKNVGDVFKKTWQHEGIRGLQRGLMPAYGY QILLNGSRLGFYEPCRKFFNTAIGKNPEDSVAVTAIAAGAFTGCIGAALGSPLFLVKA RMQAYSPALPVGAQHYYPNSFAALTSIMKTDGFFGLWRGVSTAILRTAMGSSVQLPSY NLSKSYLVSNAGMAADSFWTFLAASSVSGVVVCLAMQPADTYMMTRELMTGPENTIKD PITGKVRGALYTNPIDCLWKTFKAEGIPGWYKGTTAHFLRITPHTIFTLVFNELIMAQ YQKIRAVPEPKLV L198_03415 MEFSNFEGASQYGPDTDDTTSLYSSVPPSVITAPTISGDLASQM GDMGLNGTVAGSVVGHGYGDEYDEEAERNTFGEGIEHACSYCGIHNPQCVVKCLHCTK WFCNSRGNTSASHIVNHLVKAKHKEVILHKESALGDTIPECYNCGSKNVFMLGFIPAK SDTVVVLLCRQPCAALTNSRDINWDTSQWSAIIDDRQFLSWLVKVPSEAEQLRARQIS LAQISKLEELWRENPDAKLEDAEAQSGEEEMQPILLKYEDAYQYQNIFGPLVKIEADY DKRMKESQTENDITIRWDMGLNQKRLAWFCMPKLESGEVRLAVGDELKLKFVGTSNSV AWEGIGGVVKIPNNASDEICLELRRNDGVPADCTHGFSVDFIWKSTSFDRMQAAMKTF AIDEKSVSGYIYHKLLGHEVEPQVLRTQMPKRFTAPNLPDLNSSQMTAVKAVLQKPLS LIQGPPGTGKTVTSASIVYHLAKMNPGQVLVCAPSNVAVDHLCEKIHLTGLKVVRLAA KSREALDSSVSFLSLHEQVARADTHTELQKLIQLRNDQGELSQSDERKYKVLVKACEK DILNAADVICVTCVGAGDPRLAKFKFRTVLIDEATQSAEPECMIPLVMGCKQVVLVGD HQQLGPVIMNKKAARAGLSQSLFERLVILGNHPIRLQVQYRMHPCLSEFSSNLFYEGT LQNGVTAPERLRKNVDFPWPVSDTPMFFHQNLGTEEISSSGTSFLNRTEASNVEKMVT KFFKSGVMPGQIGVITPYEGQRSFIASYMQLHGSLKKDLYKEVEVASVDAFQGREKDY IILSCVRSNEHQGIGFLNDPRRLNVALTRAKYGVVILGNPKVLSKHPLWLYLLTHYKE KGCFVEGPLSNLQPSMVQFSRPKKSLAAAMDPFKRRETSAVEYLNKTVGQGQGQSAAA GRFDPAYYRTHNPISFVPPDAQSVISQAMTNSGFPMFPGGKNKTYTGYASSVVSQQPT DSGLAPPRAGQSQFDRFAQQDSVAGSLGGKGRRLSIGSEAASASMYAYGYKAGEDDVQ SIAPSQAGMTEF L198_03416 MSSLVQSQSFDGDRGQAQQNLYSSPAGSPRVSRPPSQASIHVPQ QPSYSPHLPAESPMPVSAYPTYRPFADGLTLPPIPMNGTGIYPNSAPMTYFPAIYDGR SSQPMIRTISHAHPMNSATEQTESSRYSSSPHSANGQLVDAPPTAGAPSGPWGQPSFS LPDDARLNSMFASNGYSMSRSTSGTSEGPETRLLTPHMDYRTSPAEADMRQVNDSLAG KKYYPQLASFTPRFDLQNHALGLGPHPQPDAFFGNKSYGAGSGAAFSLSEKRGGESEP VGETEYERDRREQIMSNKKLLDDVGLGSSLSFGSKHVSGGSSGTSTPKRKASTPVKKR LHLEGPGEYQMRASPRIRSMNRSISYANLDGGSGSDDNYGSSDPEQEQDDEEEEFRPT KRSRGGNGYRQKSASYSSNTSSKAAKPQLSLWGLLQVYSSIPHNFPLFYYTLNNDLTI NSDSVPLIGSIPSNCSPVAKAETLAAFFHRGRRVLAQLDAFTTRCDRKYEGPENKWPE LDYHTRIAVRDVRRKIVERCENYKYTRRDILDKHLGRGKWQPIEDGMIEWRVGMTVND PANDLANVTLTLPTPPPDAYAQSMRQTAPPPIYTDRAIKPYPHGRRIASAAPRNVSVV MGEPDEHAYPSAAGSSAMYGYAAPPANMYGEDQVPLSVQMPGSASSAAARAASMEETA NAAGWNRGAKRGRSSSEESDACSAESEE L198_03417 MSSVTQPINFPTRPSFSSFSSPSSPSSSPSRSNSYDLSTSPRVS TSPASHPCPHMSQLVQSLKQAWGTKQDSRQEKRW L198_03418 MTAVHANAASGPSTQLVLGSMQRSDDYQHILTELKSAAGPMAKV QGEMIDRILDNATSLPAAPLTIHLVIPLPLPSNLLPSIPPSTQLFIHLPSNSEPQLPT LHSTLAAHQFTPVLPTPSASILAYTAPSAPSLPAVPSVPSPAPTTSAVPLNGGARPLQ LRRNGDKARKAALWAVDSPILADGGKSLLTPADRARPDCVFPNETGKPVKRRRACKDC TCGLKELEDEEAEQSAAAVKEAQKAFFLEGDDDIPEALKTATVGVEGVWPVAKRAEAK KTSSCGSCYLGDAFRCGSCPYLGLPPFKPGEKVSLSIGDDI L198_03419 MLSSLALALLAAAPLVAAHGQVSWVSVGGQQYPAWTLDDYYTAL YREQDPVYGQIPETKYTRKTEKLDLGWADITSNNIATGGYDVCSRFDDISPVGTINAN AGDEVVVQWDQWPTTGHPGPIGEMMAKCPNDNCSKVDATTLDWFSIAQHNYNAHTKQW PTDVLGANGLQWTFKLPTNLPSGAYLLRHELIALHEEYKPQHYPIAMEIKLSSSGSNL PSLTCKFPGCFGSSDWEEQHNIWDDDLTLWQFPGIGVYSGGYTTGVVNGASASSGSSS GSNSSGSSSSAGSSSAESSQASTASASPTSTQSSGGASVGVSLGAGSTSLGAQAATST SGKTCKKNRKRNTVAKRSAGMPKRHLHRQAAYLQAKRAQAHPG L198_03420 MGALTNSIARGILPASRRLQRSGVPLASLGGYTTTKIQSASLYP QYYTTGVAQPGIVIGGNDLRSEIPVSSAKQPFKKILIANRGEIACAIIRTARRLGVAT VAVYSEADKDCIHVKMADEAYCIGPAASSESYASRLRMDKILEVARLTGAQAIHPGYG FLSESPIFAEQVRDAGLVFIGPPVEAIKSMGSKKESKDIMIGNRIPDRDSHTHRGYHG SDQSLDALLAGAQQTGYPLLIKPTHGGGGKGMRVVRDPDSFQDELLSAQREAKKSFGN DEVLLERWLERPRHVEVQVFGDQFGECVALRERDCSVQRRHQKIIEEAPAPGLSAELG RDFAEKAVAAAKAINYVGAGTVEFIMDAETGEYFFMEMNTRLQVEHPVTEMITGQDLI EWQLSVAAGNPLPLSQAQIPCLGHAFEARIYAERPESNFLPDAGRLLHARAPINTPHR LETGFREGDDISSHYDPMIAKLIVHGKDRPEALALLLSALKEYQIVGPSTNIDFLSSV AGHEKFASGPVETSFVPSHHDELFPPRVVPHEVLAQVALYLVLRDKAAQDAAGPWATL AHRRFGDVSTSSYSLDDVYVDLVASDVGYEVVIRDEQGQVYQQTVIGSLNTATDLQAQ LGTTRFSSTIIPVPAVNRSERLHVFSSSSHYTITHHPSALDNPTGTDASSSSAIDNLV SPMPATVIEVKVEKGNVVQENQVLCVLESMKMEINVRAGRNGVVGEVRVVKGKGVEEG EVLIVLEPAPVE L198_03421 MSRPLQAALRAHLAPVAGPSTPRHFATSQPAAVSQRKLVAKRRK AANIALQASKVRRPENVDPVLGKVYYKNTPVTNAWEGCRLQRILLDYNSIAYSMPPDY ASGERPDLLLPGVSKEDADLLFSAVPHASSELRFAAGSGSPATEREQTQQSETLMRIL DLRNAAKEDVNAWNKRRIVDEFGAGTDTGSSSVQAALLTAKIHNLLAHIESNSRDTSN KRSLRLLVQERARHLKYLRRKQGQEVYEKLLEDLGLNKEAVEGELFIGF L198_03422 MPAFSPFEVNAAHLAYTFLGGFVVLFGMLSLFLKEKLYIGEAPL ATVIGIIIGPHCLRLFNPSGWANGNDDTVDEITLEVTRVVIAISVFAVGVELPKAYMK RHWRSLFFLLGPCMLWGWMISALLIWGLIPGLNFLASLVIAAGVTPTDPILAQAVVGG KFADQHVPAHIRHLLSAESGSNDGAAFPFLYIALYLLLDNSPGHAVGEWFYMTWAYEI VLGILIGAVLGFSARKFMKFAERKRLVDRQSYVAQYVSLAVMTIGVTSLLGSDDLLSA FACGCAFAWDGFFNKATEDAVFSNVIDLLFNCAAFIYIGALIPFSNFNTPDVSTLRVW RLVVLALLVLLVRRLPAMIACYKFIPDIKTFREAMFTGWFGPMGVGAIFISTLARTSL PEGEADMNTEVVDRLREVIGPITAFLVLSSVVTHGMSIPFFSLGRRVHSITYTRSRNL SMDTRGDEPAWTTHARRVVPGQDIVINRDDDDEEGDLGIVRRDTLHSEANVHLGGKIV EEDSGGSSSSGGRPGEDIEMVEKRRRSSRGSEEAETVELEPRRSRTSRDESPKSSVEQ AEEEEGDEIIHSGGRSTPPLAEYREGPHLVIERKAKDSDEVEVEVIRNHFSDGKKPER ESFTHPHRLKSKELDELLQHLPKSLEHATSRVQDGGKNAVDRLGLGLMRPAGTPVGTP SEMSESPFRIERTDSPESLAEEGRMGHHNHRFSHGSEGVENEEDYEDVEGEEPTRQAR KYQRPPAIHVSRQNSAGPPRRSIRARLFGRRQQSSSSPSRLEEGTAIPDSSLLAPSSA LNRPQSVPDDSRTQSQSLGIPLTRTMSSSRPAVRFAEDTSMSSETAPGQANYGSNNPG FRRNPALTMSRTASVQSTGDGPSVSFVEPEIER L198_03423 MSIAGKVFTKMLKTNLSKKGPDDPLYAFSVDAKGKQKRVIRDLP KGLSKRDQRALRKIRKRAHNLDKGMNLCGFRVGYTFFIGIIPGLGDAVDASLNYFLIV KPAKKLDIPDSLVHKMLFNNAISAGLGLVPVAGDIFLAAWKANSRNALLLEEYLTIRG QEYLASLHQDTSTISASEALAHGVPPEDLRELFAPGSGMEQRDVEEGAGSRGFFGKKN KKAAAK L198_03424 MAIFHIVSFKISNPEASLGLLTEKLRLLQGSCVNPDTKKPYILD LKGGNVLETEGRNKGMEVVFIMEFENRNDLDYYLYKDPAHEEFKKSALGELGVVDAVV MDFEDGKH L198_03425 MYCPAGDQAEAGNRAASHRVRSYSSFSNSLDCNVLAIDYRGYGD STGSPSEQGVLEDARAAFDYVTSFTGIENAEEKVILVGQSLGTGVVSGLGGLLAREGI TPRALVLIAPFSSVTELLTSFRFFKFIPMLGPLSSIPCLQTYFRSFLQHPFSSRAALQ DVAAPVLLLHAVNDDTIPHTHSSVLFGSLMAPYVTGGDDVEGFEERQYEGWGTVRSFQ RGEKGQVIWWEGIHGGHNDLGWAEGTLDLIARVAGLE L198_03426 MSAELDLDSILQFTIQLALDAGKLIREGQENRFASESTHEDEKL NSVDLVTEVDKAVEKFIVGKITEAYPDHKFIGEESYDGQKITDAPTWIGNPIDGTTNF VHGFPMVATSIGLAVKGKPVVGVIYNPFLDQLWSAAKGMGAYLNQKRKLPITGAQKPL PSLGQALIGVEYGMSRSPPALPRKVAAFQKLTAHADHGGKMVHSLRSLGSAALNIVLV ASGGLDMYWEVGCWSWDVCAGICILEESGGRCFGAKTTEHTGEVDAELMGKHRPDMTL VTTCTESSLDQQKRFINEFYDCLEDFEP L198_03427 MPIIKAFDHAIPNGQTFQNLAKAPGNFVGGVGSAVGGVVNQDQL KGAAVHMFDPDASPAVKAAAAAKAKAQLGLPSRDKVKEGISGSGGGARAVTIDTSTAG NTPKPTVTLADIDKASRAEGQSGDAENMPGAIPSNAAPSVPTWVKAGWAQAAGLGQNA KAQQEASLLDTYLTETMYGAWYHNAAIIAFSVLATRFFTTLGLGWGWVLVIFAFCASY YSNSIQRTRDRARDDIQRELVKTRLVTETESADWINSFLERFWLIYEPVLSQTIIAST DAALAGVAPPGVDSIRMTTFTLGTKAPRIDYVRTFPKTPEDIVIMDWAISFTPNDIQD VTPRQQAKQVNPKVVLSIRVGKGVVSKALPILLEDMSFSGKMRIKLKLMTNFPHVQTV DISFIEKPTFDYVLKPIGGETLGFDINSIPALAPFIRDQVHANLGPMMYDPNSFTIDL EQLLSGTPLDAAIGVLRVTVTDARGLKATKLGGGDPDPYVSFSLGAKPAIGQTKIVNS NPNPSFQETQFLLINTLADVLNLNVYDFNDHRPDTLLGTASHELGSLAEDAEQEGNVG KILGGGKERGEVRYDLSYFPVLKAEKGADGTPEPIPDTQTGIVRLTLHQAKDLEVSGA ALSGGALNPFARVYLGNSKQEVHRTKTLKHVNQPIWEEATEFLVPEKHNSVFTVDITD NRDFASNPSLGKVTLRLADLLEAKEKQQDWFPLSGSRSGKIRMSAEWKPVAMTGSIGG ANSYIPPIGILRVWLKKAVDVKNVEAALGGKSDPYVRVMGNNRVVTRTEVINSNLNPE WDQIVYVPVHSTRENFFLELMDYQNIGKDRSLGYVEINSGDYIQKSDDQAYPYVSTGH QIRRDQIKLDKANHFKGELHYEVDFKPAVSLRGGVSFDVQKNELELAAEAAEAEAAVS GTEPTGVAEVSPEANGSVKVAPVDALGGQVNTAPAPITNGEVPPTGTVAPAPVLDGEA QPETAAEDPEQGIVMSNEEILQNQSGLLVFQVISGNLARKGSLEVMLDDGYWPAFTSE KARSNTPTWDQVGEGFIRELDFSRVWLRINAADENSKEDVVAEFKTNTKDFLEQCINA PSEFVLVDEEGRNKTTVQLAARFVPVDITLEPRESINNMGILRVDVVEATGVHGADRS GKSDPYVVFSLNGMKVFKSETKKKTVHPVWNESFEVMVPSRVAAKFNFEVFDWDRVGT ATPLGSNVVDLAALEPFEAFESTLPLITDKRGEKGALTFRMLFTPEIIARVRHNTSSF ATAGRAMTTIGGVPLGVGKGVIQGGGAVAGVMVEPSTGAPATPGAIANDGYDVPAGQT SAPTGTNVDGVPTQNATTLPVGESAGPSEPGTLSVTVLNAKDLKSDRDMAKPYVTVKA GGKSYKTEHIKGLTPEWNETFNFNIAPTTSTFNVTVYDHHTLGKDPELGEAEVDIWRH IQPAVPNADVWVELSSGTGLLRLRLDWTTGVASPPSGHLRTNSRMRTPSVSSKTVPDS PSRFSMKKAKE L198_03428 MSASATTATPTTSFFSNLFPTNSASATGSSSDEDDGTGRSNTNV YYLVFLGVLVVLMLIALCLAVRAMRMRRRYRAAAQAALARGETVPGSIRDDFWGMGGL AGWNSDGWDRFGAVGPGGLWKDSKWHKLPVLTEAEAAQESEKADYEATDLWTEDTRPL SLQSRRVLPEESPMDALAGLQPQNVRARNRHPALFNRSRPTDALDRSNPIESKQNIPE IDRSIGTGEPLRIGVVIQMPNSGISGQRYTQGEDDEQVAWESGMEIGLWEGVVEGKLA RDSSADFASASVPVRREDGGGLARNRSEDSYGNADIALYR L198_03429 MAAVHPPAVQSYLQDASRYQAIDRILDRRGPWTDERFEGGSATK EFLRTQSKILVIGAGGLGCEILQNLALSGFNDIHVIDMDTIDISNLNRQFLFRESDVG KSKALCAAEFIMKRVPGCKVTPYHGKIQDHPTSYYATFDIVVAGLDSISARRWINATL VQMAQDDGELLKPLIDGGFKGQARVILPTVSSCYECSIDMLTPPTAFPICTIANTPRL PEHCIEWASVLEWPKKLDTDDPDDIEWLYKVASSRAAQFKIEGVTWALTQGVVKNIIP AIASTNAIIAASCCNEALKIATASAPFLNNYMMYVGNESVYTYTFEHEQRPDCPVCGG ESLSAEVSKDATLETFMETLSQRQDLQISRPSVSFSNGKALFWPSPPDVYEATKGNLE KNLKDLLAGDDTVVVVDPALPVSASVTIKFV L198_03430 MSSIVESRPRGTYIPADCPSCKSQQEYMVPPTFIGTLRVRCAGC KQMFTHPQPKPSNARSSATGSSGSSAPAKSRRIGSDKNPLDMAYYNILALDSQCTTEE VKKAYRRLAIKLHPDKNRDDPDAEEKFKEISIAYQVLSDPELRHKYNEFGQKNGGGMS EPEGGFHDPEEVFGKMFGGGRFEVLIGNISIGKDMKQAFQQQEGEDAGDYTMGPNGKP VMTPEGLQKKALRDREAAEEKAKQRKARVDKLAVNLVNKLNIFTEAAKSADDKLVGSS FKEICRLEGEDLKDENYGVELLHAIGKQYQAKSAQHLASSQFAPLGWFHGAKSSIGVV SDTFATIKSAMELKAVFERLQAAEQSGIAPEELRKLEEQASEQGLRTMWKGVKLEVES VIRETCDAVLTDPNVSKEKLHLRAVALGMMGEAFDIVGKPQEDFVKIETAASKQRESA ARPPPPAPPRPQTASTERKEGDASDKDETLKAAYQAYEARRKDANK L198_03431 MQQLLNPFAQKYPDAVDSTLSTQGACLSFNPSGPYAGHYLAVGN SYGRVEIWDVETRGVVRVLEGHVRAVESLSWSRNNRYLLSASSDGTALVWDLSALPHP LLVPRTPLTESQADSSNASASTSRLNTIRFDSPVTSASFNPRNSRILLAVLSCGEVVL VDLRKGGGKFKLEYSPGDEEESASRKRVSMTCADFSPCGSRIYAGTSNGMLLVIDPMS RQVLHHIKVANASIRQLTLDASGSHLITSATDRALRLLQVDPLTLTLTAFHRFQDLVN RTPWYSVGFSGDAEYVMAGAAHKSAHNVFIWDRESGVLVKVLEGPKEPLIACAWHPTR PIVASISSGGDVHLWQTASPDNWAAFAPGFEELEENVEYDEREDEFDIEDESELNRRK DLEEDIFIDVLTPEQDSYPRRAKPISSLPGNLVFKDEGEQQQAERLAEAIAEVTRWAD ADTAGYVAGAENTSGLQEGKGANDGDQWEGFYPSQDLLSDIRVDEDPDA L198_03432 MSTFHPFSPWMSHDDGSMSSHPPSGDKMSSSWAAPSAEDRLARS TSPVTSGCLARHNSEHLTASPLNHTSWDQPLSRSLDNAQNEILPPKFSSPLILPGLDV GPWSPPIQPHWRPEMTPRTSFNQHLEEKLAVADAQIRRLTLDNKRLREYIIHNPAPPP IASPAILTPASEIKSFFSSSTNYDRDARSRSKDTFDGKAESSSDDASPSRDNSDIC L198_03433 MPPQLIRAIVWTLAYCQPKNNHESAGLKTAVKALTTRFGVADTS DPSSILTRAIIEWAKPLCYTSCGNYLCQQLLERGNLSDKKAFLDMIWHDIVPIASNKF GTHVLCKAINLKELEEPVAEALFKHGAFDSMQTGTRRLWREYLEKCRQAKKLDVFDKI NLEMAGRWAHLACVNEIVENISMVSNNQYGHFVVTKLVSFPSLYKQTCEAIIDSYPPV ATTHHGVNLAKIALTEGGRASFARYVEAICKQDEGRTPGIVTIATSSIGKAHLTFVLS CLTPSEHIRIRTTCRAYCITLRNCQSGNDLLRALGIMHSTSTKHRANNGL L198_03434 MSTSSQRFDADYKKTPGTLTLTPTHISWVPKTKDAMDRQSQAMN RAINMLASKTGSKSISLKVLFKDNVPENGLLFVFSNPKTREDDRKVVQDILIPFVAAN KNPTTSAPRPAPAAVPSTAAAIAISDVAKGKRKADDSSGPGTPTTGSPLPPAQRAARS KLNKIRQKVLEKNDSLRMLHKELVLGRQITEEEFWQGREALIQAEEMAFAQKPGRPSR LLDDRFDLDAGRKGKTTGGTGVGVKTADNGPITLKLSKELTREIFEEFPVVQDAYAKY VPAISETEFWSRYFTSQLWERHRASVRKSANDEISRKKDDIFDQYLEEPDWNLQPRQQ MPDRDGVERYLDLAATEEDHGESTSIRDVTMQAGRERSALPLIRRFNDHSKKLLRAST GDQSAIFDTLGGDLNIYGEIDLADLRGPSAPATITLNVQSSASLPDSSSKDTPTGILP NASSGELYSLADKSISDMRSYKPDFASVCIPNPGPQADTSVIGDGDWEERHALFVRQR DGQAGAGATVKDLWARANRENAVLPPFSEILHQQIRSCHNAATEFLRQYWSAILPAPA GALGGQNTPAAREATAVKMAGYLKTTGNKVEAIVHTAQISGFDPERVRKAMAPTLGAV NVALDREMKRTSGAK L198_03435 MRLSLLAAAPLIAAAAAAASLSYRADSAPACAQDCFDTADTQDS GCSSGDTACYCKNELVVSSIRSCLSSSCSTSNVTAAVAYGESVCAAAGASVSMGSSST SSSGASSTSSSVSASTTSSSASSSDASSASSSPAVSSSTSNAPSSSASASSSSEKSSS SSQAGAVGGGAATQASSSAASSSSSTHSSSAVASSTSSAQVSSSSSAAQESSSQSSGV STASASPSQSGAVAASSASPSSEASGLSSSGVSSSGVSSSGASSPGSSTASSNPSSSQ TTSLTSSSQGAVVDGASSASDESSTATGSSSTPVSTSAQASSASSAASSAAQSSSAGS SGAGSSSSAASTFSSSSSSGAGNLMVNGPFMVAALVGGALLAL L198_03436 MQYHPPLPPALPLPALAALLPRLTTTINDIDALRALLANGAHDA SLPSWDILLQRYSLLLGRINALSNTLTAPARAQTAGQPPANPLLAQYIVHPLNPLPPP DAPDSGISPLAQDAFFQAINTMPLPAPPAVEGKSEPPRAFSQTHDQLRAMSDRELEDL RKKLTERIERDGMKGKAVSEEVERRAEEIDWVMRVGQDEDEGGEEKAEENKDEDDDLF GSDEDEPMEGATEARPAGVEKGSAREGWKVGDFVRFIDSGA L198_03437 MSSLPPPRRLVAAHATDGTPVLLEHHFPSADTDPATLKAQVLFL QPDLVAKPEKAVEWAEARPDKIPHDDAISVRYVYLPPSADGFFHFTQTIDYLVITHGE LEMELHDGTKTIVHPGFIAFVGPSEAVKVDGKGLEEPFFNGYLAKF L198_03438 MSSIASASTPQSPAPAYPANERHLANRRSTASIKRKPVPVTPTG PEFALELSNLSEGTPSGSAPSTPEPPVYILSVDPTPTAPSPESPETPTQPPAYSGNAR PQYPYDLSLGPPSDVELMSESLPTYEEESTMEPKTLAKTLWKYGFICPLLWLIGMTIM WIPLRPVEDEVDPEKAQKLEEMIVILRKTELKYAKRCTWAFCGFSLLLALIIVIAVVV STR L198_03439 MRFATVFSLLAAVASVAAAPANSKGSDSSVGAPSGGSPPPGGDN STASADGPFYGNASVTHDNSSAPSGNFSGNGTSQSLIEVNATQVNSSAPSAGQSLIEV NATEVNSTGPPAGASNATSQTLTVANATSASNGSEAVPTFTGTLPSGVPSDFPSGYNL TGTDAFAGKASETGSSA L198_03440 MDRLFRKKTSEKRPKSKKGKSEAPSKLGNAGIPDDNSRVAEPAP SLDLDFRSSLMLPSLSQRFSVLMPSLSTAPEESLRALLASQRARSNGPALTMEEEEML IAELRGDEEGVDEREKKSPGLGSPGQISLFNHSSTFLTSSVSSPSLLTSSDDGGSSSP LHSATNFTGSPPPTSTSFSSFKTFGVGSSPEQPSESFSKAKGMSYGISGGINLRDGEY MRRAKKHASSKDLKASPSAKSEKTAREENGVPSMISSEKANAYYKGYHTRISHSPTRS EVTATPTSSGPYGGPQSRPLPTVVTQQPEPNSPDSPSFPQRKQRKSLLSNLSAAQAKR ISMALEEIGGELQRGSSLVRKDTLRKAVVEEESRADNEEVLASEDSQGALATLSELEI DPSRRPDVLSEGETSHSATSSVFPFKTSPTNSTFTGSTIAGTTEPGSPSRLPPSPRSH NLRAVIDDDVPPVPKPINTPTRTTPVRHQYSLSNASSSSTPTQVPPNPAYVPGQPRPI RSHHHSVSSTSSSRAGTPSGPSPLDALKNSSRSGTPRSQSPLDALRASTSTTFSDVTS VTPSPLQNYALDSARTGSLMRSRSLQTSNPSRPVGLDLVRRRAGTVVLGETVTPPRRL TSPHGARRGSENEDIAEEDEDVVEHEDEESTPELRQVSSRHSVVVSGYDHDTATTVVS RALVNEHLPIPGDHIERTVSAQGTIKTQEDTPNLSPRASSDTLDSDYAPESDDTQWID VLGSRSRESLVIENMEYAQDDPEGMLRKMSGLGAEELAILQGKLVAKAKSEREAMGLG DSPMIPATPNMPVSVQSAVQRSMSPAVMTPPTFSSISTSGSRAASPHGTAGFSTPPPH SADHILVKQPLPAVPSRPPPPAPASIASSRPLSLETKDALVTSPAAPELTNNDLETEK ERDFRTRIAVATASLNRNPPEQGGSLGRKNTKRGMGIVISGPKLLSSTAKVPVVPLSP DNPHTIDPAMAKSLEKTSGSGSKMSQRWRKLMRKGPSITNSEVFKQTHQESPAPQSAP IQAMRSQPPPSLTPSPVPASLIQRSNSQAAGRTLEAPIALREDSVPPPSAPPNLNMFR FPSDKVESQNMEAKPSTEHAQQLPTPPSTAPPTAHGSQASDSTVAKFLEAGRQLGLSE KQLNEMLIHKGMVSRPSDIPKHFPQSHAAPESSAASQQSLPADPLFAKERKGGLLRSL SKKARDLPNRVRTPESRRETGTPTTQAEPNQDRTILRRTMIMPDGLVIVPSTPQKGGD AVPGSPNSSSLGRLPSHRQPSIRRKPVNLSKEDRELVSHSPPATQPNFNFDNVSSRGT LTKLEGQGLGLLDPGTALGGDSMKSRSNATGSLSGRSVSAMSHRSSTGGSVLDMYGNT GTGGQEVLQESPDGAQKAGASLVAEQGPAQAVEIREYADGRVIWSIVDALRTSVTNSV DGEDYYFNRNHSRTSSYASTSYASTRRDSVMTGFDSDVFTNDNRAGPMGGKIYALSNR QSYRKSLAKPRPPTDIYFTSSRDVADLIDHLSRDLEASHGRIGILPSDQSPNPEEHTA FDHIYDQGQTPPSPSGNSQFEDAPSPAPIPRRASRAPTFRPPSSASALAAVGGTGPKR QPSLKLRQFGHSAQPSVASTMAEDARSASSRSFASSVSGMGDRPVEDRLQDLIERMRS AGAGR L198_03441 MVREVEPPTVQQDFLLAALAEGKRLDGRLPLEMRKVQYVFGEEL GCVECRMGKTAVLAQVSASIVKPREDRPYEGFLLINSEIGPMASSVYELGRPSDDEVL IGRLLEKSIRRTEAVDREALCIIAGEKVWQLRLTLHFLSDSGNLLDCAALAGMTALRH FKRPDVEVIGDEIIIHSPDERAPVGLAIHHTPLCLTFAYFENLPPILDPSHLEEVLCS GTLTLTLNAQREICVLSKAGGTPLAADEIMGVVKIGVEKVRELVSNMEEALELDRSTR VTEIQ L198_03442 MRLDLVNHMALSSLYIAGAFALTNPAPTVPPVDRRSHTVAFPSY THPSSHTEHAREDTETQTLAATATTLGSEDTTASGSATTTQSYNLVTVSTPIALAATM GLIMPNPWRTLYTDLEYSFGFIDAVSQPAPTYGGWIRQVTPLMILSNHTVDVFTSSGT DDPTSVDAIPIGSDGLCGATVEKSAVAYSFKFEEPGWYMFVVNQTYMQANVTSNNQCT HPILQQDSFFATQTFSISPRPTWSQGPLAPTSAYAVWAAVTTSTPGDLPYDEQPMSKS QKLGVALGAAGGVLGVAFIVAVLWWVRNKRKMESETLAFSRLTPQAQEEFLRDNPKSF LNPNHPRYARNDAYGGAPAPPGTMAHGLWYSQQLWNHQGNPSAVRSLIPHCPSSAQLL LCSTTCLSSDTSQPHPVMRASFLVAFLALVATGAYSVPRPQLQPINETIKATECACAH PNQAVISVGTADSPADSVPTGIPISEVDCPCAYDTTSQVIDASDYSAEPAVRALDPSL AESALSDQLTSGKSTDGTSASDAAAPGAGQSADVESLKKAGLALLASKYGSMLPSATP TAAAANLGRGGALPSGQNIDMEALKKAGLALLASKYGSMLPSAALPSARNVPSAATSF AQDFVDKYNEISAGAVDVWRSENSRGYVSELADDILNKTAVTGKHVLEGASHVLNKTA SLTENTVDKALSLPSEELKLLGAPSVAYPATPLQGTETDQLDSRFVDEILNEAASFTG EVVDKAASFPAEELKLLKTSERGLGVIVNVSAELRDSDGEAGDADDEEQSDDDTFNAV GQKFPIYPPPGSWN L198_03443 MPWLMKAEPDSRVFSVDDFEEIGVSPWDGVRNHEAKKIMKDMMK KGDKVLFYHSNCKTPGVFALAEVVREGFPDYTAWDPTHPYYDARSSQESPTWYMVEVK FQSRLAHPPTLALIKNIATQSDLPSEIAYVGQEGLEAIKAMALVNRGRLSVQPVTETA YEAIVKLGSQGGWESLSGKAAGSKSSKKAETTKAAPPSQKHVKEVTTKSPAAPKRSPL KQEDGDRRRSKRTKKSSK L198_03444 MSMAPVTLGEEPGTSSYIHPDRRYGQVSGAAPEAPAAEAKKKIK PESQKKRDKKKTEKRKKLEKAKKAAAPKNKEWKPDPVLEALKQATGPRKPDAVAPHGS SLEEKGDQKEQESKVVLNEKEQRLKEKREKREKRERKEQRRAKREATRAAREASSGSS EESTDEAIDVDGVPPEVQDDEETPSRKKSASPPLEAFPLPRSAPAPDSQILARQGLPK GLEDATFVEQSLSVGVGELVVEGKQEALSENMKKRLKETGVKDFFAVQAAILPKLLPL RLVPLPYSSLSDYLISAPTGSGKTLAYAVPIIEILSRRTVTKLRALIVLPTRDLVMQV RDTMEALAKGTGLSIGVVTGHHSFVQERKLLTADLDTKLLGGSSKVDILIATPGRLMD HLASTPNFTLQHLRFMVIDEADRLLNQSFQNWLSQVLAYTRPTSQPEDETVSRQVCDT ISPAWMESFHLEDRSSEWCDPLPSTCQKLLFSATLTRDPSKVAALALQRPQYYIVQSS SLPSIPTNIGEHFALPSSLSEKMLILPPALKPLNLIHLIHNPDFGVDKALVFAKSVES ATRLVKLLEFFEDAYVLGGGGGNRVVAREYTGGMKTKEKKKLLAEFAEGKIDLIVCSD LIARGMDLPTVSHVVSYDIPLDMRKYVHRVGRTARAGREGTAWSLVEKQEALHFKGML QHAGHLKEVKKVKVKEDDLAGYKESYDIALKRLKDYFSRDHRDA L198_03445 MDVETPTRRAQDPSTPTQKRASTPDTGSVRSTIEQRRARREQFR NFYGLKGEGLKGGVPNGDSLDIDSPGFKAGVYYEDLVFRSDLGTLMKAASSLAADIGA LQGSRHSLVYNHHHQLFAAGDTISNLNVRTPQLLSVVTNLQQSFSSISQLADSVALPQ EIGGGVNNDARRKRQAERCIKRLRLMCEAKAPSQDIAAYLQSVDELLGAAAKDDASIE SALKELRGSVPSA L198_03446 MSAAIPQPAAAANTNTLNSALQAENIHNPSSPPATEKPLEEGEI EENAAADDGKVKTVFDDASKFNVKHPLFSNWTLYFDSPQSKNLPKTPGTTPTLPQGAG SWMDDIRKVVSFDSVEEFWGLYNNIVPPSQLPGKANYYLFKNGIVPAWEDPQNKNGGK WAIQIPKNPDSKSTIDKIWLYTMLAAIGETFETPSGKAEVAPSPTQSDLVTGVIVSPR PAFFRISIWTREAADASSPDTETIKARLLSIGQHFKSSVLGHDLDSTLVGAGYQTEVT FDAHRDSDKKQNKNKFSV L198_03447 MSKQRSSSRRQKPRSHRNPFSPLNPFLCSFGGSRAQAILLILVP SFICALLFAAGADSLPVWKYEDESGVWEIGARGYSVGGNAVQHQKYLYDTPWPAPVEG LPPLLLAHVIIGAIATALLAALMILAMQSTRRRSSDTLKSRAFETAIRKPNIYMRILM SLMTLVVGALLSLNCAVWGVAQARSLDLKLAVLGYLVCIGGFLLWAFWI L198_03448 MDAELQTYKDQLAYVNLSLESDATNDDLLKLKTELVELIDLTEQ AMGHSAGGSKGGDTSGKGKAASKGKEKEVTNWQDQGQYKAGMDCMAKYKDGKWYPARI NAVVGSQESPLYTITFKGYTSSTNVPLSSLRPHDPNAPIPQPQKRKNEELTEKEKEKK KKKGDKWMETQKQRADEVKEKKNAWEKFGKKATKKGIHISGLEGKSVFRTPDNPYGRV GVVNSGRGVTNYERMGKHKFDEERDD L198_03449 MSKTGAILFVFTSADKLLNGAATGWYLPEAAHPYYALAPHFRID SVSPNGGEAPIDGNSVKMFTDEESQKFLNDSKAQELYKKTKKVADVNAADYDAIFVIG GHGPLIDLAKSTEFAKLVENFYTSAKPVAAVCHGPGALVLAKNPATSKSIISGVNVTG FSNAEEAQTPYNDFVNILPFSLEDKLQELGGKYSKAEQDWGVKVIWDQGVLTGQNPAS AGPLGEKLREILLA L198_03450 MRLDATDLRYISADEFRVLTAVEMGSKNHEVVPSKLVAELSQIR GGNVKKSLGELAKRNLVARVQNVHYDGYRLTYGGLDYLSLRTFSRRKLPSVHSVGSKI GVGKESDIYVVASEEGEKRVLKLHRLGRISFRAIKSKRDYLGKRKSASWMYMSRLSAQ KEFAFMKALYDHGFPVPTPIDQARHCVVMSLIDGYPLRSIEQVDDPAELFSKLMELIM RLAQAGLIHGDFNEFNIMLLRKTGEPIVIDFPQMVSTRHENAEYFFQRDVNCVRRFFK RRFRYEALSWPTWKDVLETEEEIEQPQPAAGEVEGDAESQAVESQPKRVRIDLEVEAS GFGRAMQRELEDYMIEVQDIPLSDEEDEDDDSEDEDEDEGEDEDEEGEKAVDEGMKDE EPLDEAAMAARLEALRLHRALGNDSSGEEATDSDHSLTDPSSESDSEDSDDSVGPART DYTSYTPSARNTRRHHERISASKLGDRQAGKDAVAQQVERERKLVERRSQKSGAKVGN MKGHKWKSNAKYLVGKDSGW L198_03451 MALRPLQARVLFSQGLLVPRCIPHPPPSALKLPSRSLHFSPTPF AIFGSKKSNEPSSVSPEVIANETDHPISAFQSQIAELEIAAQSNKENADAQLALVRAL LEGGEYVGLASYYDAVALAEDGSASHALLRSEEAWGIYMEALARSSRMAEVVSKVRRR DRLLATIGAKSAPTSKFSPSPVSPLSSSTSPATASPPSTSQPSPSSVQSTASSLTSSL LGHSPSTSNTSSPSSSGTGTPLNPIYVQMAPPTPQMNAWRALRWVGGFLLWGFIILTV MSMVMENTGLLKAGPGPVEFEPEEGKIVKFSDVHGVEEAKIELSEIVDFLRDPERYDK LGGRLSKGVLLTGPPGTGKSLAHN L198_03452 MLARAVAGEAEVPFLFASGSSFDEMFVGVGAKRVRELFAAARKK SPAIIFIDELDAIGSKRSAKDQHYMKQTLNQLLVELDGFEQSENVVVIAATNFPESLD KALTRPGRFDRHVVVGLPDVRGRIEILKHHMTGVQSDVDVDPSVIARGCPGMSGADLQ NLVNQAAVKASRDGATKVQLSHFEWAKDRILMGAERKSHYVTEASKRATAYHEGGHAL VALHTPGAMPLHKVTIMPRGQALGITFQLPEQDKDSYSRREYNAMIDVALGGRAAEDM IFGHDDVTSGCSSDLQRATDVAARMIRSYGFSDKIGLVAHGDEESVYLSGKKKDEIES EIRSFLDTSMNRAADLLKTHEGELHKLAEALVEYETLSLDEVKQILAGRRLDRPTSEG ASLVGEAEREGKGAVVEGI L198_03453 MVKQSDLIGKAAPSLQLPRIPDGSSYKLPLGQKPIALFFFPAAG TMGCTMEACSLRDARVNNIVFQRHPELEVVGVSGDPTDKQTKFADEHGLSYPILSDID GQARKSYGVGNQFFGMTPGRETFFIDEKGIVRGVCDSSINVFEHTRFIQQQLVAIEKE KRKI L198_03454 MSDPAIPVVRGKTNNKLVGNSLLYSVSVFLSIGVWLFGYDQGVM SGVITGPYFKAYFNQPTSTELGNMVAVLEIGAFITSLAAAHIADNYGRRMTLRTGALV FTIGGAFQTFCVGYYSMVFGRVISGFGVGMLSMVVPIYQSEISPASHRGLLGSVEFTG NIIGYASSVWIDYACSYFQSDWSWRLPLSVQCIGGGFLFFGSFVTPESPRYLVDTDQE VEGLAVIADFQGKALDADTVQAEYKEIRDAVLADRAVGDRSYKALWRRYKGRVLIAMS SQLFAQLNGINGQSWFQNLRHAGWIGRDAILMTGINALCYVASSLPPWYLMDRAGRRP ILLTGAVAMSIALTATGWWIYIDQAITPNAVVICVVIYNAAFGMSWGPVPWLYPPEIM PLAFRAKGVSLSTATNWWVGVSTPLFQELIGWRLYPMHAFFCAMSFVLVYFLYPETRG VALEDMDKLFGDEIDEDDESDDEDEDEDGDRDGSETSSLVGNVHRRHRSNRSSFSSLP ISRRPSPLPTRTDGSSGQGLVSRIFGPFNGNQKQKNVPAGYSAVDGE L198_03455 MQAAVSLAQDYMGTEPTVTKADYKSREDGSTMRALAWFGKEDVR MIDAPIPDITQETDVIVKITGTTICGSDLHLYHSEMLGMQKGDILGHEFMGVIDRVGP SVKALKTGDRVVVSFQIACGTCRYCQQKLSSFCDRTNDSSVITTMWGQRDAGFFGYSH LTGGWPGGQSEYVRVPYGEINCLRIPSDVPGKFSYRYDLSDILPTSYHAVVDTGVKPG DIVGIWGLGPVGLSCVQWALLKGASKVYVVDSNDSRFALAKRLGPKVIVVDFKKETVT KRIHDEVPEGLDVSIDCTTFHEPKTILHKVEKALMLETDVCETPNEMIWLAKKLGRIG LIGVYSGYTNHFNIGALMEKGVRFIGNGQAPVHLYWQEILDDYIKTGKFDVSLLVSHR VDLEDFPQLYNKFDKRYAGVEKVFVQTKASSPPSAGFPQLTKVDDWANKML L198_03456 MQHSQSTYDGPLPSSFLPRAPFFTPAPSPNKAWQPSTNVPTPSS PPPSSQHRQVAARDLFLRGKGKPVATASDKILAAVKDLGSQISDLHSEVSSLKKDNRH LCDQLHELQLSVRCLPSRDEVTDEIINNITPELQECLQSTLSRFESTTAHFPGKVVEA IAPHLSNLQAECKRLGQDARPGKPGNESHSQPLHDLLSHVIAKLGAIETVGDSVQTIH NISQIAGDVALCRESLNCLPKITEEMEATAHKSRETLLSIQQSVQGLHHCLDRTPHSS QAIGDANQATATLKIRELLTLILTRQNEMKFLLGRLSALPLALSSSQRQYPTSSFPDF PASSQDESQPVMTTPVSEGHIGRITLSSRESSTQQDSSQSSLADDRPLVGDSLADIFG PKAFIPGPVRATSDRQDTRQGGSRMSVDEAEPPLPVLSYPQAVFSPSPMIEMVSNKKS HKRGRLQKKKQPITAQLSTITRLPLDDSAPGKHAPILSPGDEPRRQTRHSSRIAQNAS GTQQSLPHADAESSLASRDTSKDGTAENKECPSQYRKVGQGGGSKAKKRTWDFSSDEN L198_03457 MATTEKAPVVGSGKIVTYGGLHGDKLMYAIVAIATTGFSLFGYD QGLMSGIIASEQFNSEFPATYQASPDDVHAGTVQGSVTACYEVGCFFGALVAFFMGEK LGRRKMMFGGAIVMIIGTIISITAFGPGAPNGNVGGFVQFIVGRVVTGLGNGANTATI PSWVAETSKAHNRGFLICIEASTVAVGTVIAYWIDFGLSFVDSSVAWRFPTALQILFA VILISGVWFLPESPRWLLAHGHDHECLRVMAALDSKAEDDPVAIADKNKVSDALAAQI AAKASSSKDILKGGKNQHFRRAMVGASTQLFQQIGGCNAVIYYSTVLFQNQIGLENTL SLILGGVLAIVYAMFALTSFFLVERVGRVKLFLIGTVGQAVAMFITFGCLLPGDSQSA KGAAFGLYFFIAFFGATWLPLPWLYPAELNSMSVRTQANAISTMVNWLFNFTVVQVLP TMTASIGAYTFLFFACINVAFLPFIWFFYPETTNRSLEEIDVIFAHAHIHKRRPTLVA EELPKLTDFQVQEMTDRYDIHGGAADTENPSAYGAAASGADHTTALPPAEPKDHPDYN PDGTRRGSAGSGEQTRVTTPSHNAEKPTTTPPQA L198_03458 MPQQQLKLSTDTEGKSLGLKNPATGTSTSSKHSRNIKDDGRASL NGSENGAKAQTGEGRGLKRSPPDGDGAAFPKSKKHKNAYEIKGKIATVEDAASMDERP PMVRLHQALKVQVDSNQNTERGESVVYWMRMEDMRIEDNTALRRASEKAKLLGVPLLT LFVISPGDYEWHDRSSRRIDFMLRNLRYVQRQLDNLDIPLVIKFCDKRLAIPRQVIKN VLPSLSSHHLFGNIEYEVDELVRDIRTVELGTENGTEVKMYHDRLAVPPGKIFTKAGK PMSVYSPWQRVWARVLEEEPQHLDMSPLPDANDASIRDNAQFSQLFHTQVPDSVAKFE CKDADFMAQIWPEGIDAAKEFLDRFLHTKAQKSQFQDTSPLASKVEPDDKNARVQNYT TGRNLVDGDNSSKLSPYLASGVISVRQVLNTVKKLCPGGKLESGRDTGIGMWVQEVAW RDFYNQVMTSSPRVSMGRPFQERYADVQWETSEANLQAWKDGKTGYPIVDAAMRACKA RGWMENRVRMVAACFLVKDLMLDWRLGERHFMKSFIDGDLAANNGGWQWVASTGTDPQ PYFRIFNSITQSEKCDPDGTYIRHWVPELKNIKGKAIHDPYHHMSSREFVKLGYPAPI VDHKKARERALFRYKHVGEIEE L198_03459 MSPLPTPPPPSIPAPSAPLPASTLAPPPSLLSRTQKFVEENQRL ILLGCAVLAATGAGYYFYSRPDAPGPSSPAGGESASKKKNKKKKKSSEKKDEKFVRND GDKGPLLEEREPAQEPGHLSGIPSDSEIEHMSGAKRDELGATLKDRGNKLYAKKDYKK AIECYTKAIEISVKKVAVFYSNRAACYGNLTPPEYEKCVLDCTEAVKLDRTYTKALKR RATAYENLDRNEEAVQDFTAVSIIERFADEQAAASVERCLKKLATKRAAEILANRGDR LPSPTFIGAYLSAFRERARPAIPESASQGDHTLSLAFDALDAADYPHALTYVNEAIEQ GISTKEVQAEAYNLRGTFKFLIGDSEGARVDLQKSLDLMPDFVQSWVKIASVHMELGD PAGAFGDFEAAIRHNPNDPDIYYHRGQVYFITQEFDKALSDYTKSVELDDTFIFSHIQ SAVAQYKMGNVGASMAAFRRILKQFPDRGDPSNYYGEILLDQQKFEESLARFDKSIDL DKSKSPRNVLPFVNKALALFQWKQDAAGAEELCKKALEVDPECDVAVATLAQLSLQQG KITDAITWFEKSAQLARTEGELINTITYEHASKAQIHFLKNYPEYAERLNQIAQTV L198_03460 MAANKNNQIVLNERPQRGPVTDTTFRSNVVDVPEPKDGEVVVRI DYASVDPTMRGWVDDVRSYLPPVEIGAAMRAPGLGTVVASKSDAFKVGDLAVGLLGWQ EYYVGSAEGLTKRVTPEGGKDIDHLGLFGMTGMTAYVGMFEIGKLQDGDHVLISGAAG AVGQTATQIALAHPNCKVSVIAGSKEKLDYLKKLGVHNALNYKDADFKEQFHKIGNVD VYFDNVGGSILDLTLRQLNPHARIIGCGAISAYNAEKPEPIYNYFCLITMKSTFRGFI VMEHANLFPEGIAYFADLVKKGKMEFNYHVVDGLDNAVTALREMFDGKNLGKTVVRVS KEAVRQSKL L198_03461 MPPTPTDKGSSRNAAAHPYQRPAPSAPAHVSPSTSKASKKSKKK AKREKKESIVCQPTANSVTSLAGPSPDKKRTKKKRKSHSREMQPSDAPLAAVVPVPPK PAANAASCRDAGPSSIAPIVLPKKPVLADSETNVPVSSPAQTIAGDRPQKSSIDREVA RMREEAKQREASRLELSRAHEEIEQLKIATEVAKKQQEDMQRKVEELEKAAAQGEEQK KAFDGVLQRHDATKNDLTEALSCNVCFDIYRDPYILSCGHMACKECLHQWFRSSASFR QPLVSGEISPLSDVSHRTKICHMCRCNIVRRPTRAFFLRTILEPLGLYNNTIEEGSGN QAGPSDPWHLTFPVDPQSHKLHDEADGIWRCPECLGEIADGACLGCELEFSESEGDEE DEGDWDHADGRIANIMSDMFEGVYRRVNEGLRNEGDAGDESDSSSESSHAWERPIRQS PPPRANPWLGGSDHDEHSGSEEDGYEDSFIDDDEPEALSGEDDTFLDDSFVDDESDER IPARGGPPPRVQTIASDTEDENDQPVFMGRGRRTNGRVVIADSDSSSDEEE L198_03462 MAHKSHRHKALQAQLEAQPTISLISQKTRKPETTPVDVDNDGDV LIDNASSSAGPSDAAEASSSGFAPLSAAAQSTVLKNEFRRVPIPPHRMTPLKRDWVNL YTPMVEMLGLQVRMNTKRRAVEIKTSGHTVDSGAIQKGADFLKAYALGFDVNDALALL RLDDLYLDSFEIKDVKTLHGDHLARAIGRIAGEGGKVKFSIENASRTRIVLADTHIHI LGSVQNIKIARDAIVSLILGSPPGKVYAHLKAVGARMKQRF L198_03463 MPLVALVVAILTILTQLALFYKLGCQKTTKMKPIILQGHERSLN QIAFNAEGDILFSASKDNVVNAWYTSNGERLGTYGGIKGGVGHNGAVWTVAVDSQTKF LLTGGADNVMKLWEVETGECLFTWEFLTAVKRVAWSEDDSQFLSVTEERSRQPSVIRI FKFNRDQPTSQPTEPTCEMRLTGSRATVAVWAPLSDHIVTGHESGKIAKYDVKTGEEV QAVETEHSGLITDIQLSPDGTYFISSSKDKTARLWDLKTLEVMKVFPTETPVNSAVIT PGRPYIILGGGQDAMNVTTTSQRAGKFESRFFHKLFEEEVGRVKGHFGPINTLAVHPE GRAYASGSEDGFVRVHWFDDSYFRSRPFGDLEPEVEP L198_03464 MSLASTILGFSAFGFGARCLQLGIQKRPLFAGFHGHAYASIAFG ILGAGAYEADKKQRALLEKKKAVLLEHREAENREWEAQKQAHAV L198_03465 MGADDVVLQIGWWGDMGSAKQKGIKTYGLSPYRQKPMHGLMRSL VFNGSKRTMQQLPYIAPPLMFFYGVYYWASNKYQYFNSKQGHFDHLVHEGTIKPGQYE RPVVTPLPH L198_03466 MASAHPITSAAPSSNPITSVSPSPYAHAPLYPATPNPQLLSRLN LDLRGTIFPVDREMLMLLPESVLLGLFPQGLILSKPASSEGADDGVFTVDFDPDCFRY IISFWGDAQASFYGTSSAPGLFDAQQSIPSIDPLSDHSQNPLLSKQAIIVLREELEYF SITKPGSSARTDISSGLANDELRILKRNCGKALEEKKAIFAALERNVNNSANLAEKHL IDMLCVSGFSREDQWGHRACEPGRNVISSMALVLLKTGINHSKEPSQGPPTIDPDQMG TAQKLLLFWRKPARKCWWDSVEIEVPTDIGAKSPSTTSVRVWARRVWTLELSLVRWPF VFL L198_03467 MAYYYPGPQAAAAIVSIFYQALLVCLLIIAFETHGPKRYMISLH AGDSFYAFQVALADGLWRIGHSTGSQVTYHRVGGDDQRYLIVFESTNGWTASATALLV SLLGRISKSSIQSYDGGYD L198_03468 MPIHLHARTARAAARQSARLFSTHSSLSARAPAPSPSSTARTRP WDLGAVPKYAFDDATSLGWMRMFRIEEGEGLVKKIEQDRQVLRAANKTKFTPPTSPIR MTTTIDLSKPDSRFHTKCALTVPVSSLPLKSPEAIHRFKLLAGPRWSPGRPGREEFIQ NEDTLGKEGWFKISEERFESSVQNKKSASLTLQKLVEAASDPNSPLPSDIPLDTRHLL ARHQKKRSRQNVFTWASGQTFLPRQTSVGGVRGFPIEWLPENLREKALKP L198_03469 MSAQPTTEVLPAADVPAVEAVPTPQEVEEALKTEPPATEEVAAT TVTEPLADDVNKQPTVTHAEAGNAHVEEEPATKVDGTQADKPAETVPATEEATKPAEP KEEEKDDKKSATKASLDKVEKTKAEGKGFFAKLFGNKDKSPKKEKKKTPKTEKADPVA TAPTETTEPAPAATEPTPAPVTEHEATPLAEQPKVEEPKIEEPKPAETPAPETEAAPV AEEPQTAEKKEEVSKPNLKAHRRLSARIGDIFKPKKSGSASPTKEEAPKEEAPKEETP ATVSEETPGVASEAPKLEEPAATEPLKLEEEPKAAAAPAAAPVVAASA L198_03470 MAPRLAIPVETVDNPHSLLSPIVSHLSALPSIPNLKGFLPTSPT AQPSSFNDSPHAPEPGKALWQEGDLGDGQGIRPLLLVMSSVNALQIFTAPRPDSHDDS QASQPIRPPEEAFVIPTIRYGAKTHISSLQSPTASSSAPQNAAPASREKVLSALMLDH QRVLLVTQTSGRKSALALVLMDLGSEVARKRVELGYGMTADIQGSPKVIVVATSHPTP SLHFLDPLSLESTRPAIINLPTNSQTQLPAFSISGRLLAHAVSSPPAPSFSRSAGNLV TSSSISTAKQSQRLPQSSRSTIDGAQGGALLNSAVEIGGGVARGVWAGIKLGARAASD AAARSRNDRLARSAPVNTAADQGDDIEDFEGVESKSLDGESVLESQMEVARSELNPGD GYWVKVIDMYPRDGSRGPDNLSGSHSRGQAPEKTIAHFRLPPSSGLPLEPSHPPSPSY PTISQLSFSRCGTQLLIAPTDGRSFHVVELHPASITDAIAGRETKNQTWHLYELKRGH TIAAAENIQWDRSGKWVGVGTGKGTVHIFPIHPCGGPPSTATHLSQTVTNPQQMYPLS TPVAPIARLRPGRPMGDVANGDRSTGAARLSPGQPVFTFLPHGLPPSSKVSYTDNLAI YRPRMGVLEYARLHTRKQSQGTNSIGAEAGHRSSHSQRGSSALTDMMRNRSSGHCDLQ VEKDIRGRWSLPGATPEPVVLNLASVKPSASIRRSRASRMGSLAAAEIRTHSPSSRIL PHSIYLSRQAEFYSARPIDDYSPLSILDLEARTHKLHFRHEVEARSPSDQSMEKMSVS FKEPLLSALHEIIESPPDRQIPGLPNGYGGAGGAWSAVPIRTVKAGLNEGVGRMRREY ARAQYVRERRKADQAERLREESTGLSFEDDAVFAAYDKDGEKADGAAPELQLSRSLST SASASNSGSGSTPPSSSALPPPKADLSDGESGWGDKWEEEYKRAVEEDGGPDDLVLGL MDEEEDERRVWEAKRKALD L198_03471 MPPKPQVLIAGESRPVCPSLAHTSAANPKTGLVWSKEEQASKLG QVADVLELTSPDRASFFKDLAPGGQYGSIVGIYRHNDSVTAIGLFDKELVNKLPSTLK YICHNGAGYDQIDVEACTARGIQVSHTPQAVDEATATVGAFLAISAIRQFWRAEVNVR SGQWKAGLAPARDPEGKTLGIVGMGGIGSALARRLLAFDMKVIYYNRRPIQPAPDFPC TYVSTLDELLKQSDVVSLNLPLNEKTKGSFGRREFGLMKEGSVLVNTARGAVVDEEAL IEALESGKLASAGIDVFPDEPNVNPKLVAMDNITLLPHMGTETRDTQKKMEILVLDNL VSALEGKGLLNQVAEQKL L198_03472 MDVMSIVGGVDVHPHPLSQIPFHLVTAKGPVNVDLGDLDIPDPE GLGRWRNITLEERGQVGSSFYHGSVYWEKQRERAEAESSSEIPSEERSGSGLIKDSPP PYDGPRGRGMRVRTSVGAINVVF L198_03473 MPIVTPASRSGQHSSFSRVNSFKRDWGDDQAASDAIPWSSSPDV VSRKHNTTATTTATATETAASANAAAAETASQRRRRAILAALNADNASAPSEVSVGSS KAIAFQPGHLAPPHSFANLDATSRALPGPFDGKAGADRFYQPKRPLPWEEESSSYKKA MNTITQPTLVSKVQPKLKGSSSMTIKQQVVLSDEQKKVLMLVKEGKNIFYTGSAGTGK SVLLREIITTLRSKYVRTPEAVAVTASTGIAACNIGGVTLHSFGGVGLATDPAEVLVR RLRKNPKASARWMKTKVLIVDEVSMVDGDMFDKFCKLGQMMRKNTKPWGGIQIIVTGD FFQLPPVTNGGQPKFAFEAEMWNETIPLSVNLSQVFRQKDPRFVDMLNEMRFGRLTSA SIDTFKSLARPVKYLDGIEPTSLFPRREEVERANLYRLNQLHTEGHTYISVDGGKLEG AQRDKLLSNFMAPKTIELKVDAQVMLIKNLDETLANGSMGKIIGFCYRPFFIEEAGKW APDAKFRDMDESERKRAIALRDGSLEKCKASGSPPLPVVRFKVPGGGTRDVLIEHDVF KSDLPSGETQAQRTQLPIILSWAMSIHKSQGQTLDRVKVDLGKVFEKGQAYVALSRAT SLEGLEVRGFSADKVMAHRKVAIWSSTLKDLNVL L198_03474 MPKENKIKNAFKRADVHKKAKREKEQSKLQRRLEIKKAEKDKVN GAALKAERLAKNIPITLDNTRVFDSSSYLTANPATLRELERKAEEASRIANGQGSTGD QDNDSDSGNESMPEAGPSRHRHPEQDQAEGEQGGQHDGDDDADTKSADATNTNDPSAP LPPPRILITTSSSPCKYTYQFCDDLKNVFPGGEFFKRPKGRGYEIGRVARWAGKRGYG ALIVVNEDHKSPNAITLINLPAGPTAYFKLTSVIPTANLIGHARPTPHSPELILNNFT TLLGNSVGRVFGSLFPPQPQFRGRQVVTLHNQRDFLFFRRHRYMFSSATSAKLQEIGP RFTLKLRWLRNGLPAVTAPDGRAPTGGDHEADLDSDSDGEDIDQATINKKEQDDEDEA MKEIGQPSAKASQQKGIKVPALDEEQEYEWKWKPKMEVSRRTFFL L198_03475 MSCFLTGQRRLNPSIFLKALTQTRTKTHRASPSSLWLSRNHLKL QPTVPPPLPPSYPMRVILSDGSVITAYTTAPTPSTKKLTRDVNNNPLWSPATEKKGLG EGDEGRVGKFRNKFKGLGGDIVGGLDSQDEVAGAAGGFALEDLDWMSEGAEEEKLSEK QRNPVKAKGKKGKK L198_03476 MAKSRFEYVRNYELPDPLTPNTFIVVRVDGKGFHKFSNEHDFSK PNDKRALDLMDAAAQAVLEEYKDVVMAFGESDEYSFLLRREAKLYNRRRR L198_03477 MPKYPDPDDDTDSMDSDLTSSADAPLEQIMYLLSDRLSIDQADN LHLVGQYLKISGYFKGMSFPPKLIEDYYRS L198_03478 ISLLRKPVDKAGRGDEPLVDPRPPVDPQAFLPAPSPGGRQTRCG LLKGPVAQGTRKSQTLRIRLQRVQLPRGLEAAKEGQAGRQAGAGGEGT L198_03479 MSVARIPPPPSFSLYHLASSASPPSPLPPLTPPAPDIPISSHYS LMSGQDIATNGLGERNAGYTYRQTPSLSSERSTVSPSQIASQGQQQFWKTQLTKQYSP TLPQIQSPAGAPPIHSPTHHPHAMMLPPLRLTTQDPRPDPRLDHRLDPRLSATAPLEP GTPRPHASPISQGPGMGYYPYPMSYPPHRYYPPHQVHGHPGMPPNSASSAGTPEIFTP VSGHSDYSFYPPPQQQQMQATIQPSVFSPWQAQQQHAQSGGQDRRGPPGTLSFNISSS SEDRVRESPGSSANGTGGGGDGRWENKSPMRENGRGSPASSEDEVPRQITYTTDAEIK QCATIKRECFNCTSRNPPSWRKSLCNKCGIFERTHHRPRPPQNDDQKLRKASEIPNPL FGGANAGGYGGGRNGRAAPPPALQTMSMSSGSGSPRSPFSGTQSTPMSASFTYPPMYT PAPQSSSSEYPPSSSYLRRPASTQPQLPNLSIPSPPFASPIISNDTTSAGGGGGESGA NRYYGHTHSASSPYAHAYASRRGYAPPSRGSISSTSISGGGAGSVLGSPIGSYASPIS GPGQVQGQGGDGWHSRRLSGGGTEGGMGH L198_03480 MATTTDHLSGHPGHLNEAQQTALTQFRENLTKDALIPADKDTVV QTLGYDRFDDQTLLRFLRARKFDLVRAQAMWADNEKWRKEFGADEIAANGFDYPEGRE VTKYYPQFYHKTDREGRPVYIEQLGKLDVNKLYALTNQDRQLKKLVSEYELFLKDRLP ASSKESGHLVETSCTIMDLYNAGISTFYKVKDYVSAASSVGQNNSQTPISFRLRIISL CEELMADGCFVFQPETMGHMFIINAPYLFSTVWSLIKPWLDEATVRKIHILGKNYKKE LQEYIPAENLPASLGGTCNCAGGCEYSNAGPWNPTSQA L198_03481 MASKMTAPSPYGSVSDEARRRYEERYARKRAEEAAKARAEAEAA NTPPPHQQPPPQAHVHQGYPQQLYQQQPPQGYRAPQNYQPAPQQQQQQQQQQQYAAPV QQQHQQRPPPQQQYHSPPQQSHPPPSQQYHQQPAQNHQQRPVQGGYQSPPQQQQQQAY QSRAPVHQQQQWNGASQGQGLGVGSQQQGGYQQQEARAAPVAPAGEEDAELRSLFAQF DSSRSGQLHAFDLQRLLAKDATMEAREDAVKMDWHGIFCRFDRDNSGLIDRKELHSAL LGFGFSLPPELVAKLEKRFAPPPAPGQTYQPGISFDRFLLACVTVKHYTEAFRRHDPG NTGEITVTYNDYMDIVLESPS L198_03482 MRQKRAKTYKRVMALYTQTFGFRQPFQVLVSQDVLIEGAKCELN MPKQFLNVTQGECKLMITQCCMEALYKMGRDVQKTTDLAKTFERRKCNHRTALEPDKC LEDVIGQTNKHRYILASQSTSLRLASDRIPALPLIHFNARGVLVLSPPSTATVREKNK AEEGRRLEGVKVLEGVVDGGNVLGAAEAGPNVEKRARKTKGVNPLSVKKKKVEKKEGE GEGGKRRREVDLEEESADVGDGEGKRKRKRTKKKTEVQEAIEELNETNKVAGGEPEGG EASD L198_03483 MDFGGSPPIATRAGFLAIAILPFQVMFATKWNPITILTGVSHER LQVYHRWSGWIMYVLALVHTFPFIIVDIQMGMMEETWKTDFYTFSGVICLIPQTILVL FSIAVIRDRFYETFKSMAAWFIVMLFLHCGFTLTSAYYFVGFAVTYALSLIVRWGIVL RNGFHHRAHIDLLDQDMLLVSIPTTLKWKPGQHYFFRFLTRDLHALTSHPFTVATSPA RHAAQGESRMKLYIKKHRGMTEGLFTKAEGGDVGVVLDGPYGGINGDLGDYERVLLIG GGSGGSFISSALQDLLDRHSSITCKEVTVVYACRTLADSAWFLQSFLNLSRSSDIKVN LFFHVSSSATTTQIEKSPAMDDANEKLIQPPSPSTSLHFLTPSHSTSPPAETTNTILP NRPNLSQHVRELTAFHGTAGVAICGPKEMAFDVRNAVAGEQLKIFSGNVGCSELFLHT EAFGW L198_03484 MVFDIITATALCPAIIATKQAIDGGSKGNQRAGNKSINQELYVR FPGGHPYRRKFEGARVVLMNGKLYVEHADSHFAHNSIHPACGRFQSSPEYSAAWAKVG HKGDCLTTTTPSSTPSSSPSLIYVDLTTHELKYGPPEEAEDHYPGPWSCTEIEHRVLF EGWEGWVVVQEDAEKDLWALYFDRSDDGLTGEGKVGDFETTGVQMRMLYVRLARREEP RTIGKHEQEKAEGKKKKEELELDDDKSLL L198_03485 MASIRHIPRLIARAPIRPICATRIRTLSPIRHYSTPTTTAPATT SLFTPPGDPATSKPFYVTTPIFYVNASPHIGHLHSLLLTDVLARFSRLRHPERKAMFA TGTDEHGMKIQQAAMKMGVDEQSFCDDVSQRFRDLAELVNTSHTDFIRTTEPRHQKAV EHFWNKLVESGDIYKGTHAGWYSVSDESFYAASQVTKRESDGKMVAVESGNEVAWEEE TNWKFRLEKHRQYLTEWLSQPESVHPNPVRLNLLKQIPTLEDLSISRPLSRVKWGIPV PDDPSQSIYVWVDALINYITVGGFPGGLEGWPADVHVVGKDIIKQLTEATRFHALHWP ALLHSASLSPPRRIIAHAHWTMDHSKMSKSRGNVVDPIGAVGQWGVDGVRWYLMRVGG SLADDAGIDYAPDQLEVHYRLLASQIGNLLSRLSSPKILNKATAPFSSESDRDAELDG LLGGMRGEFERKMEVYGVGPACVGVMDVIFAANKLFTDLAPWSPTTPSSTKAVTYAYH SLRLAFILLQPIIPGKAGEGLDRLGVPGERRGWGDAVWPLEAEEGQGELVERMKEAGK RWKKKGVLFPLPAKEESV L198_03486 MNSRQGAEAWAKIAQQLNRARVQASGGGGGGGRGSGGGGPAKGF MAGGGAIVALAAGGIALNSALFNVDGGHRAIKYSRIHGIRPDIYPEGTHLVIPWLETP VLYDVRAKPRNIASLTGTKDLQMVNITCRVLSKPSVNDLPTIYRDLGTDYDERVLPSI VNEVLKSVVAQFNASQLITQREMVSRLVRDNLTRRARRFNLILDDVSITHVAFSPEFT HAVEAKQVAQQIAQRAAFLVDQAIQEKQSIIVKAQGEARSAELIGEAARGNKGFLQLR KLEAARDIATTLAQSGNRVMLDGKSLLLDVTEDDVLNTLKK L198_03487 MYKYQLDEVKTQLAPGARLIPPPFSLPTPTPGDGLAAYAIRSSP FSPLSLLAPRSYHSVSCAKLMNVSSNEGGWQTGMIMHMVAYKYKIYAQLFAWEQDKAG QARGKTWSLRAIMADYDPWAA L198_03488 MSPPPQRSEEGEDELSPPELPPPPPPLDDDPTSGITHIDPNDPY PRRPIITPINKFNLRPPPSSDDDDDQARYSFSPISDDDEDNYSNFPPLTYPQPDDPVV QALGVAASSAAIANGHEEFSISHEDMRRYRTGAFVRVSCDVEGPVSKDALSQMTVSEA VWRKWEEVGGLAKGAGGLFRFAYDKGGREYRPEMTHIQAIRLVISASPRRMMTLAQIY QAIEERWPWHKTAGSTWKNSIRHNLSLNDCFVNADRPNHEGGSGKGGYWVVNDKLSGR TARKGKPRAPQSHQDDLYTITPTTPLSLTPLSTTHFPHGYPIDPSTIPAGYSLDRQHV GQVPPGYTVDYMGKLVKGVKHPKRRYAQEDDSSSSSSDDDLPPHGQSPKKSKSSLPPS SSSTTISKRIKKYRARNSVFPSIPIELPVRGPNWEPREKVHRPFVRPDWDMPLDNPRV GVWNQIPVGFGESREREAGRDGAEEGASRDAERGRAGGEGKDGQGRGWTSNHPVLETR SSKHHSAPSTHSTHPPPSPSYPTPNTSHLPAPFQPHLLPSPLGRQGSAALPPITIGGG AAGGGAAGGGAAGGGGGSGGAGAGVGLGMGLPGWDSGIPHTTDSPGSTASPPRGSPTK GIPPRPHALSNSNSTSPPRAGGPQTPLTPSHLFPPSLSSNAKTHPPPLCLSVSVSISP NQPHPQNQNQNQSLPPLTSVISKAHRDPASARRKTKEELREERERKERRVERLRKEGV AFRVAKAQAQAQAQAQAQAQSQAHGHGQGQAQYVPGQGQQIQVSMRGREERPGQSGQV QMDGLDGMEGVEGVEGMEAVEAVEGMDGETERRRQRDEQLDRAAEGVQGVELLALAAA AEVRG L198_03489 MSPSSPLIAITGINGFIATEVVLLFLSHGWHVRGSVRTTAQADK LKIHPAYEKHFASGKLEVVVVEDLAKADFSELLEGADAVASVAAPLPKMDNPSLTWDK DFKGPTIDPVLRILEYAKKSTTIKSVALMSSSGSCFDLTPPPGKVYTEADWTPYTEEL CQSITPATNPAASIIWYFTAKKLAEQAALKFQETEKPVFSIATFAPAMVYGPPQFIPS IDALKGVQGTSDEFLGLFFGKDQPLPPQFARAYADVRDIADAFYAAITKTVSGKFLLA GHEYKWQALADKLRELRPDLDAYFPLGTPGQYIPDEYFADSSKSQRELGIQYRTTEET LKDTIDFYENLGLFKEAPLGPRKKAYI L198_03490 MSPSSPLIAITGINGFIATEVVLLFLARGWNVRGSVRASTQAEK LKSLPAYEKYFASGQLEVVVVEDLAKADFTELFQGAHAVASLAAPLPKLGDPTLTWSD FKGPTIAPVLRILDYAKKSTTIKSVALMSSSGSSLSLEQEPNKVYTEDDWTPYTEEYC DNPMASVIWYFAAKKFAEEAVMKWQETEKPSFAISTFCPPMVYGPAHYIASLADLKAL KGSTDEFLNLFIGKDQPFPGQFSKTFVDVRDVAEAFYLGITKEVSGKYLVSGHDYCWQ ELADKLRELRPDLDAYFPLGEPGTRIKGEWTMDASKSKRELGLEYRSTEETLKGTIDL FEGLGLFKEAPLGAKTA L198_03491 MSGRPVFGGMFDMMYKGAAPSNEPPAEGESGQGGQAQGQRQPNP PTTGFRMGMPLQGFTNRPRAPAMIMKREGEDGPSIGLDQRPFGQQEKKAKPPQFDFRY DDTDTLMNELEEFYPYVEMSDIGTNAQTFKESFDGDWTEAKLSVRKSYIELQLEHFES PNQQIRRLAQGRLLYLLQGCFEETTSPEMQLHWVIENAKAVRAVDGVATIVYGLRDAS RRYNSSSWDKPSSGALPSGTVPAQVDPYDDHSAELMDLLAMLYFVVEVLRTDDTFGDE LMAITPSLPLILFQMISTLRDKIPRGYPVKKVLLLLWKTLLACLGGMREVQQAMALSR ESAGLPNTKYFTKASPIDIANWRRDTSTKYPTFAPPTTSSSSLPSDYSVPHEKLAEGI RPIPPRPNYHSTELPPTLPRAYPPASQGQGEAGYAGNMMPGTPAPSPPPSPAPMVQGP GPQQKKKQQYQTDPSRPFVFPYSHTSGATPLGLVPFAISEADRLYHRHEYISLGLLQM WETREEYMREERGLGRKGLIGFTNGYDGDQEEDEEEVEAMVREWKYEQEEMECESAGD REGARKARERRMASKRLRRVDEIHKKTLPIMHSCMIALLKLLLATVTSPGAGGVNLQN SNLPPGLHSPTQEMPPPATQEEIDISRHREITSKAVSAIIILLLKWFKASHILKFHLL TQMLFDSNCVLLLLKMFGLTEITPVITARNEIEELNFFNYCYLNHSRNPPTNHDPAEL RHDRRPSPNDPTPEGAQFSSDYSWRNFFSTINFLKVMQKVTKHRSHRTFVMSSYKSWQ ILKRMLKVNHPMMQLQILKLIKSQMPWCGRKWRQAAGNMKVITSIYLNCRPELRDDWL AGTDQDSELEDSMPQDNALRTLVSFYNMRLYTPPTPLSPDASSHARRQSTSHNPIFED PVLNTSQTHFGPGQPQHRRSDSTSGQSTGSEHDVFPPRKSDSPAGAGAGGSYNPDEMI EFWLHEYEDVLGEVFGVEGGNEVLHEFGLASGMASLGIGKGDDDDDAQTPGVEDRAWS RLNEIMRGGNEEDISDSESVVSVGELGDEARMGGTGFGGNREVEEDGEEVVDDGKSRV GMGKGRRRSGAGENTWEHMSPTLALLPRSPIERRRSSSGGSPLRPIVPTSPLNLDPFG HNDVFDEEEEFEVDPRGPMPIKMDTRDHEEREGGAVDEVEYTYGE L198_03492 MSVEEVALTSPGPFEGPEKLLELWFAPSLAELPDAPESSLAGGL KSRPVKDGEQWKGLRKVPKEVWEEMLDIVKCKVLSTVEGDDLDAYLLSESSLFVAPHL LILKTCGTTLNLLGLYRIIEIARQYCGFNTVYRCFYSRKSFFFPERQQGPHRDWRDEV QFLDRVFGTAGAAYTVGPMNRDHWLLYLTTPNSQPILPSDPSPSSLPPSLPPIPAPAA LPQPTTQYQDTTLEILMTHLSPQARAPFFHESFADQASTTPGHVLGQAISSTLGIDQL FSKEETNLDSFGFDPCGYSANAVIGSGLPESSSGKPGGGYFTIHVTPEEGWSYASFEC NVPLPTSSSPTEGLQNRPDLQTLIKKVVNIFQPSRLSITLFVSTPSPSPTAEEEEAEK KAWNSFGPSLLGKEFVRKDRIGYEFDGYDLVFACFEKKGWVEPKMVTEKPAEGEI L198_03493 MTLAREEENLSFDFGPPPPTFTDKLEERKYIKERLALAYRVIAR EHLCEGASGHLTSRDSVDPSSFWVVPYGLHFSRVKASDLLLVSHSGTVIAGGHPSRQS YNSAAYIIHSAIHAARPDIKSIVHCHSPSGKAFSTLGRPLPYYTQDSAVFYNDVGLYG QHGGVVLNSQESREIVKAMGEKKAVILQNHGLLTAGGCIESAVSWFMLLENECRCVLA AEAAAAMTGQKPISLSPEVAEFTWRETGTEAGGRFEGMPFFDLVEEECAGAYRG L198_03494 MAARASTRISIAWSGAAPIEDTDTLVLTIGGYSLDLRVFTSGPD EGNIDWATVAKVTELPESTAENPQLRWDHIIDSRPPSSLPDQGTFQTLPSGDVEEKGI MFNPKTGLNEDYIETWRRFAQPAAAGYFVLEREADGEEGVWFLGRTGDRALGLGKVGD EFWGWRDELKDGAWTRLYTFGPSDKVQHLLPALPIEIPESWKKGELVMLGDGGWIVRE VGKL L198_03495 MSPSAPTPATPSNYALSAYGNYPSTSASASAPTPSGSHSGSTTT PDDGRVGHGQGDGQDDTEPGRKRRKVTRSRLGCFTCRKRRKLCDMGKPVCQACTRLKM ECVYPPDGGARPPEELRRKSDPANPTKAKGHTPYSQSANVYHPHLSPKQLYDPGRHVA ESMARSTPASNTMDDFVTIFGEIGDTRGGMTMGGPTGGIGYSGQMLPPPDPGLADWFS GGSSLDEATLQLWAADCLAVPTTQTFNAFESLNNLLQPTPPSHNQQDPTATSSNNQAD AAETGCGAALHSHPGSRRPSPSRSSDRDTPTTTSQTALLNYFHESLARLVSCTGDTAP SAFEAFTKLANMTAGVGPASQGLHLSILAWAARHMVNRGLVKYEAVSEKFSGQATRLV DRRMSELFDSKGVEISGGVEEEDRDREYMTLLAAAVMLMQFKICRGDVWAFNTVVKHL TRLVPYVFRTEVDIAPDSMYLQFFENVLYHDVLSSFILNQAPMVPEALIKHYHPSSLE TLHTLTGASLPLFSTMHRIASLVRQRRAKRGKRAGWSDEELMDVLTPARELERVLEDE KKRLDALVLAKPHVKPHRYLHEAFRTACLLQLHYHVLNEAPASLPIRLLVRQSLSLLE AMSDQNLPGLCSAHWVIFTTALCCVPGGQEAGEMDDRERVDRIYDDILQDFGFLNVER SRKIVHEVWSRNVGGAAHFDWLDLLEEYDWEIFVV L198_03497 MSSSTPTSNTPSRETQPDRPPPSTSSSDAASAQADVSPSKIAEP NPGTHQGETETASALRTLFGENGGMSIGQDGEDVVGLGTLGGQQRDEIPNIDPALSNM ASTSASTSDSPSQLTSNKRKATSRANMLARGGACEFCKRRKLKCSAEQPACANCTKTG RECVYAQKKQRSRVKMLEDRLQELEKKMGNGEKSGSGSGSGVMEPVYEDHITLAGLEL SRFASDQRVIPEPDLMTLADAAASNTRVGAGDATWQTMDVNQVAAELVKAADGQQGVG ESMVTHLVRLYINASSMPLIRYAMPPDTLERRLSPSSTAPIHPSLLLALFPSILVLSP YPCFHDPSLPSLLLAQSRQRAVDAIAQGDHRMHDLVIASALRSFAFFNDAKHIEGWVE CATSTGLLRAGGFVKLGHVGERFVANLGNYDNELETSMKRLEKERSLRVVMHKGAIVP PCTSSQDLYERINLFWFSYMLDLVSSAGCGWPASYSETDITTPFPKDTTTPSTLLDNS TVRTFLSSEHAFPSTPIPDSDFCAQIKAITLFHRAFHLFDASGSEADVRKEREKISEL TSEYMQYLRQVKGEWGPETTEATQAWVLLYATMCILHAKEDFESGYTHKHGHLDRLLD AAQQMLEHTHVVQSSGDPTLQSYTVCLAVIFLIIGRVLITTSQHIITSPYSHTTFGTS ISSLRSKADAIKKILEAQGSWLPYARIAVQSLQNVELGTFWKAGEWERGDAGDW L198_03498 MPPRPSPPSLRGTMSTLAPSAFPPSTTKPSGYFHFPGLSSESTK VAREVLEENNRDYDIYESRRFAHNHFPHSLLTRYALGAPPHLLRKTWEHDKSHLVSLD PRAPDRKDVNADKLPDKIDRGNWGAFLGNKGHYSLYLPFFHSEIARLGSQGALIEYIF SPQANWEPFTPFPYSSTGQQSKPKTPNMLNRLMAGALHPMIHVGFGLEFADPIVLAEG LAEAAIHPDSLITPFISPSLTQSWLTSPVPSPSPSSPAPSLAELYTALVNDPTLKPVP YNPESMINDQLLSAVSEGRGEALVGLVEGWEVSLKEDYEGEGGKFGWEGLMRDMGVFT TLLACGTGRKGYEPRVDFFLMHALTSSIFIPAYLPLLSPPQIRAFLKTYLLVLFQIAI SRGRPPLNPNLIMSYDLYPSSDSDVGSKQDEGKEGKTIESLLDPSPPVGKGKGKNVWL DIIDRSLSYPDSHIVKSIRSLVFYSQLFGSLPAGSFSPPPSSKNGTELQGLEKVDGTL FQRAAGVIMRTIPEGEEEAGWDRSQLGYDGAWTQGPGQGKR L198_03499 MWPFTSATPAPAQHPSPEASADKCPVDHTTRQTWLANNPSTPHP FSAPAAAAAGPVAGPSSAPGQVSKPAQQIQPRLSQQRVISSIPRGSSESPNADSPGMS LREDAPSYPPSPSSSSSPAHAQPEKDASGNWIYPSEQQFFNAMLRKKHNPNPSDMRTI VPIHNAVNEKAWEEILKWEHGVDDGGRGCGGPKLVSFVGRPQERTPKALMKGLLGYTA PFDRHDWVIDRCGTHIRYVIDFYTGRAGVDQRIAFHLDVRPAVDDWIGVKTRVVGWWN GV L198_03500 MAESFAVPLENTRNVTIVAHVDHGKTSFADSLLSSNNIISTRLA GKLRFLDSREDEQARGITMESSAVSLRFDMARLHPPSGEVRTEKCVCNVIDTPGHVDF ASEVSTASRLCDGALVLVDVWEGVCTQTIAVLRQAWMDKLKPLLVINKMDRLITELKL TPTEAYHHIAQLIEQVNAVMGSLYASERMEDDLRWREEREKRMARKMEEQGDDLDDDE EYEEKEDEDIYFAPDKGNVLFASAIDGWAFRLGKFARLYADKLKVKESNLRRVLWGDW YLDPKTKRVVGRKKLAGRNLKPMFVQFVLDNIWKVYETVLEEHNPDAVQKIVSSLSLR IPPRDLRSKDTRNLLNLIMQQWLPLSTTTFQAIIDIIPSPLSAQGIRLPHMLHPEEAK GPVLKPRNALEKALYTCDQSESAPVTAYVSKMFAVRHSELPEFKPKEISAEEMRARGK EERERRARLLEARKAGGEGADGVEGAGAGVGVESVMKPLEDLNLENAEEGKEGKEETE EEEDSEIILGFSRIFSGTLHRHTPLLCLLPKFNPLLPSPLLHPSNSKHIVPLIATDLY MMMGRELVSVESVPAGHVCAIRGLEGGVGRSGTLWAGDAKGYDELVGGGEGRGEMVNL AGVGNAAAPIVRVALEPENPSDMPKLIRGLQILHQSDPCAEYLVQETGEHVILTAGEL HLERCLRDLRERFARCAIQPSAPIVPFRETAVKVTDMNPSKAPAGKGRGTVEGQIGGG AVKFIVRAVPLAEGVESFLGKNRGLIRRMLVGKRSSSSSNSTPDPAEDDPNTDETDLA ETESDPILAEDETEQSKKAANPEEFWQELERLFNTAGGEWVGAADRVWSFGPKRVGGN VLLDPVQKGVLRLRGKEQLFAKARAQGQSADDALQTADNAITLNQLAHSDASPSSSTE DPAQSESARAKLRLIRDYESSLLAGFQLATFQGPLCAEPIVGMAWVVEYVEVDSELAG GNAAGASGGIGAGGAGGQVVGGALISAVRDACRQGMLDWSPRIKLAMYTCDIQASTDV LGKVYGVVARRRGRIVSEEMKEGTSFFTIRSILPVVESFGFADEIRKRTSGAASPQLI FSGYTLLPLDPFWVPTTLEELEDLGEKADRANVAKGYVDAVRGRKGMWVERKIVESAE KQRTLKR L198_03501 MASPLDPNSLLNTLQGLLPRGTTSPLPHPTDAPAALVHAIHTAL GFRLVPSQTFHPHPTPGPNDDREEDVDDNQSEITAVDPDPTDDAPTPNALGQDWNTRG EDSYTFEYRHAQSAMVFRVRVGRMGGRVQVDAMAEDGEPHNLSIVLADLVQPASFPIP SPATASSAESSDGGDAAKKLGFKSLTDVNTFVEKYDREIISKLLPGLDIPGYTQPSSS NSRQPPNPPGSSNPAATRPTYPSLLEDPPLRSGMNPASIGHRDLDPFSGLRPGAGSGF NPGSDGGGMLVDFNHPLFAGRRQGGGLGGGLGGDDITGPGGSVQPPGARWDPVGPASG GIGPRPTPGAGGFGGGVGGGRSDRWGDELAPPGEFGPDLGQLGGRGSSGGGFGGLGGG LGGGLGGLGGLGGRGGRGGNGGGGGGGFGGLGGGGGFGGGMYM L198_03502 MPGLTTAQVTELSATNVVIHPLVLLSVVDHAARVPLSKNKRVLG VLLGQDEGDTVNVANSFAIPFEEDERDPKTFFLDLDYVEEMWRMFRKVNAKERPIGFY HTGPRLRSSDLEITSLFKRFSSRPLMLIVDTRTSGGRGETGIPTDAYFAVEEIKDDGS STQRTFTHVGTTIEAEEAEEIGVEHLLRDISASAGAPSSSLLTTQSLSTRVSSQLQAL RGLHARLLEIGEYLSAVRADKLPINHQVIYHLQEIVGLLPQLGGDVELGKAFRQGVND SGLVVFLSSLIRTVLALHDLIENRIQNAHQDLQDSKSPSEKADQARAEAAGIKAEDVA RAKKEKEEEEKKEKEKEKDGKK L198_03503 MSKYNITNALMERIHHFASFPQTGVSLQQMIHFGQHPTPGTILK ASQFLAEELPIRLSHRVVELDGLPDGLNKMHSIQKVKEWYAQSFEELVSFPKPRFRDL ALISESRKPFPSATPNPSLDPLMQEGPSGGTPLPSSRPQGQIIRTLNGTSLTTHKTPP SSQGQHNLAKGHPNRYRPPIERRHYSPPSAAVEYPPEVLEYNEKFTKMLENIKKRHDP TVTAVAQGVLEWKKKQKGGRIGAPIQEFLDRFYMSRIGIRFLIGQHVALNTLQPHPDY VGIICTKANIHDICHEAIENARYVCEEHFGLFSGPPIQLLCPQDLHFPYVPGHLSHIC FELLKNSLRAVVERYGADNEDTFPPIKVVVVEGSEDITIKISDEGGGIARSAIPMIWT YLYTTMSDEGLETNIEQSDFNAPMAGFGYGLPLSRLYARFFGGDLRLISMDGYGTDVY ISLNKLSSS L198_03504 MANFSMGSGQMTEEPENLLQLGGWRKHRVPEVPAEENWSAYANR GYDDPTHPTNYYEGYDDQGAPSVPPVPSADDQETDDYYAYAMASYAQEINSLQDYIAR SRSASRRSSAASSRVSDRRGSEASTRRGSEWGAGREGDDGRERHRGHGVRKINTERKG TGESTTSTTSISSRWQEWRPDDGEEQDEYQQ L198_03505 MSSHNRGDDDGTADDLVNNMSNFAMDYDYGDDITSRMASANMGE SSAGPSRGKGKGIFLGEESQSLYGGYGVPKAPELPGSALMAGYNDYQPDGHEYDGGEY IYDNDRDDNTAFIPISTEPENYNEQDEASGAPSPPPPISAPDPSGYFFYAPTARAEDV GLTPEEYIARNSKQATSRRNSYTSSAGGSRSRRGSEEVGRDHTGSGVRKKPKSKGTGG STRSYRSREWNPGDDEE L198_03506 MTRLTWLQSLETDGFVVLPSVIPSELCVEFQQEAWEWLESFPYG FKRDDKNTWNAECLPYSTTGGLYNRYSVNHEAFVWKIRTLPAIRQIFADIWGTDDLIA SFDGMNASIPINASTGRTDISPTKPWPHIDQNPRQVANFQLYQSIACLSPSGPSDGGL CVLSKSHLLHQKYFKSIGGFKPDQDVGVAENGYNYKDGDLDWYKARGCEEVKICANEG DLILWDSRTIHWNASPTGTQTRFATYICYSPQTHMSPTELESKIEIFKARKGTTHFPY LNRVPAERPGYYNALPRRPDGSLDPANRTKPMKEPVETAEVLKAAGVRA L198_03507 MSTAFTDVDSKADITSAIHPVTSSSSSTHKDKATSLVVETENAT FYESVSAAPLDPWSKTSFKLYGILLVAALNATSSGFDGSIFSSINAMTQYREYFHHTE LGSSTGIIFMIYTIGNMVGSLFTGPICDHLGRRAGMATGAVIIMAAAAILTAAKNDSY LLGGRFLLGFGISIGTSSAPTYALELAPPQWRARIVGFYNTFFYTGSILSTGVAYASN KASGQLAFRLPLGLQLLPPFFILIGLPFIPESPRWLTARGKKEKAEEILAKYHGGGDV NHPVVQMELREFEEGIEVRKAQSWWNYYDLVDSHNQRWRFFMMACMSFFAQLSGNSVL TYYLPSMYTKLGITSVDRRLLLTFANSIVSCAGAVAGSATNDMIGRRTKLWVGSIALA AMFAGVTGFSSQFDGGKEVSQALSNGGVTFIFLFGCVYSFVYTPLTATYCAEVLANHT RAKGMGIHVIMSNCANLYNTYVTAVALEAIGWKYYLVFVGLNLVYAVIWYFFGVETRG RTLEELNTVFEAKWPPKEALQKAKVVVSER L198_03508 MPNIVAITGINGFIATQVVLLFLTRNWHVRGSVRTPLQAQSMKE HPVYKEWVEGGKLEVAVVPDMAGREGEGGEVEGLLDGVRGVVCLAAPLPGADTTSWPG FRDPTIQGIKRVLEYAQKSSTIKSVVIMSSVAGAVDWSAPPGKVFTEDDWIPFSDDDC QADGADINPGILMKWYGTAKKMTEQYALEFQEKEKLGFSIATVAPSMVYGPPIYIPTA SDLPKLQYYQQEFFSLFAGGKDRKLPEQMAQSWVDVRDVAEAFYVLVEREGRGRYLIS GGEYKWQEWADKLHTLRPDLDEHLALGEPGKYPDSRWKVDGSKSVRELGMKYRTAEET LKDTLAYFEKIGVFEEAAGAWKI L198_03509 MRFATAFAVLAAVAASNCRRCPHRPAASLSVSGPPPSGTGAPPP PPSGAPQQRRANNAAPAGQESASLSFSGPPPSGSAPAGPPPSGAPQQKRQDAPSGSSP AGPPPSGAPQQKRQDAPSGSAPAGLPPSGSAPAGPPPSGAAQSGGPISQSA L198_03510 MLLVALDDLYVVQMFEDLLCQLQSLRNEHHPTRSLLDLPNKLLM MTFSCLPVDDIVSFRSMCKLFAELTKSRALYRSVLVDQFPWASDDPQGVSVPRFYTEY MSHIRHLTVILLRR L198_03511 MFGVRLMTERDPATIGDYRRLLILVDGCAAERSTPQSGDINHDV DFRYASRIWSEVPTDQDFAAMSPDYLTNPPVHVRHRSSRRRYSSPSPSPRRSYSPRRP APPPSTRMKSFASNRR L198_03512 MFKSFPKLPSLPQAIGLPFLSTQEDAQLKFKSSPNGIKRLYGEI PIPLSDVTYWSQYYTLFNSSADVYSLISVQDVRQALTANPHNLANLLLTLSHHLFTLI PDPSFPRPPQGYQTTQQDLAKEALNCLRVLGRILVVVYEAEADARDIRGRGVDLGETF AEKWLYSRQKMEKTQEETGEEQAEQFKLEDSDDEGEDEADVGDLDEGARAFQATVGNP PKAEEEPGAKDEAIDDPLTKAAEQEEVEEDVEYLPSLIDRLFSCVIDLLFCAGFTLPP NVVGDDHTDKINYVIWEKGVGSTSEIGSTAELDRNKTEVLHFLLVLLSTTIYTSPNSL STTPNIPLQTLTHSLERRLVLSLLCSFLNTSLTPSKSNALGLGVPNYLMGNAAEERRT LVRASLAVLLVALDYKVEGERNAMGEAKEENAFKYFVSKLHRKEDFAFILEGILRTLS EHNAITTTYLPNAKQPIPYILETYMLLWRLIDLNKRFRQHLLDSGKSLHIVCFILLAC LEHKDDPAHQGLLRLLSYLLQTLSSEPAFAKSLNQTIPPSIPIPSKWSVHGTAADFLI LSIYSIATTPGLNQLFPALTISVANVAPFVEGLGVQAAGRLMGLFKAFSAPNFLLADE GHPRLVYYLLETFNSVLYHKLTENPNLVYAILRSHQDFQTLATFTLMSGLRDIQRRKA LRAAGTSFPTLFSLIPLTRDIAAEKAAKKAPANTTLFSADDVDAQNQAEKSALLGDNG NGQELDEDDAQASLSALNRSLPGVDGDGQGAGGEAVSDSLQGPYVNNPPPPTSQAMSE KARGKMRATESTSSLTTIHGTAAAGEGNTAGEGGEGVVDEADEELLKVALAGVGPNGY VPTQEWVTSWQKGLPLDPVLVAISELLPKIQETQQPMVGSPSGKVFGILKGVELGDVL PPAPPIWSPASAIWLTSLLWGDIYVAGLTSSGIWRDTQVRLFGIKQAPVGKGRGAQVE RVLKMIGVV L198_03513 MDFSQFNGTEQAHMTKVIEKKQMQDFMRLYSGLVEKCFNSCAQD FTTKSLSSNETTCVQNCTDKWLKHSERVGARFAEHNAAQMQGQ L198_03514 MAETILPLELIDKCIGSPIWVLMKNEREFSGTLMGFDDFVNMVL KDVKE L198_03515 MKDLSSVETLNVPEGVTVEVKARTVTVEGPRGKLTKNVGHIQMD IQLVKTPKGSKVVFTVWHGARKHVACLRTVKSLVENMIIGTTKGFLYKMRLVYAHFPI NALPADDGSSLQIRNFLGEKIVRDCKMLEGTTVALSEVKDEIILQGNDIEKVSQSAAS ITDKCRVKNKDIRKFLDGVYVSERTTVVQAE L198_03516 MRVGLLIWAVLPVLATPDNAARPALQVRHEGEDGDVPMDMGDAV ESTQTRHIPTPVASALGSATSTVHSAATSSSHAAAGHSHGASHDPPSAPHSHGGNQEA LTVLNDTDIHRRHKFPPTYLDADFQLDASSAIFGEQFDDAWNLEDIESHKILALSHAF LMGLAYFGLLPISLALRSADHPAHYLANLVFLAVAIVGWLVGAAYSTTTPDYYEGSKY PLFLSILVLTSISLAVVDSLGLIKRGMAFHRRGELSWKGFVHDVLRSASVPDDEKWSA SRYEMVGLTENSSDEEVHRGRPSSVVFTIDDDDDEEPLQTTELEPLQDAHEVTQSDIQ GTRPHRPTVFIRQYSSPSRTSTGSDYTLQDTLHSSHPTGPHKASQLGAYDAHPHHLEA ISEAERERDEQHNNRIWQSSKANGCLRALEVVLTWVRRAQVVFAYVVMIMGIVTYTGM CRANLVNSCAAHYIKGSIFFWYGFLTFARYLGAYADLGWAWNRRPSAHSISAEMVECA VIFTYGITNTWMERFGAAPGSPFTVKQVQHISIAVMFWFAGLSGMLLESKWVRRVLGS LMSTGRRSSTKEPDTYAFSFNPLPALTIGVTGLAMAAHHQTYVFQVQIHSLWGILLFG GSVFRCLTYFFLFLSPPSQSTLPSRPPTEILTSFGWAAGGIVFMLSNEEIAWAAMRAG WDDRMAFLNFTIALTCLVFCWGVVVMAVKGWAVLRSRKEEERRGGVEV L198_03517 MGTNKRPRRSNNPPQSPPSALLGEEDGSAPSAPDKPIDTQQELE AWQEFAADHYETVEQLPLELHRNFRLLRELDDGALAQLGMLQDSMRQYIQERVALEQS EAPKEVPQDVHEEDPSRGSPIPGPSPAETPLENTPSLAAHGEESASQLEKQEHDEGKG DLDVEMTELPPHKHHSPPDPASQTIDTLEDDNAPEAEGPGDTTPARDSSTLADPLEGQ IGPAVEAESGTISSPGQSQRRETNDTASQQPSFLRPPGPHSLLPEIARLSRELVRTND EKVAVAIGAYNAIDRHIRALDSALTAQEAAILLGLRPSTLPSNAIDHTLAHDGGSAKT GLPGDSGPGVGSGTFAGASGLAEGDEGEISLGLGGGGTRKKGKKRRNRKGRQEEAGGV GALGNEQEDWSIPVDPQMFRNEPRYCYCHRVSFGEMIGCDNDDCPLEWFHLQCTNLTH PPTGKWLCNMCRPPAAGEEGGEPKRSHKAGAGRSHKAGAGTSHKAGAGRSHKAGAGAS HRAGLEEGLRAGGADEPPKKKARTR L198_03518 MRESNVTGPPQNRAVTVITSMIYDRRALDTNSPLALLNSLTALT SLTATSPRIREILTIDGGLERLLDILRESSLPKEAPVPQDLWGLNGPPTARVITMERA NSLKHSLAFQCVVNVGVRGSENVRTRVVQSGTLDIVAQIIESWLKDHGISIHPGPLGS QAAVDAFATGVPVSGTDSLRRKEKREGRDERDRDRDRERERERDTGERGERGERGERS HRGRTVHHHHQQAEEPTPTATIGRGPPGVPLSTDSTPDPAAPQPRRPFGEPDTSLNDT DVDMADADVEGGESTDASMDADDLSIDVDMAVTEDALGSGFGASQPSTTPRAATTVLP MSIPSTSRQGRERDSHSGGVAESLSGDDAAPPAGIPRITSESNLVASNPGIRPPTLTI GGPSRLPHLAQDPTSTQSSPMATPPPRQDGEYVSSGASVRHGRRGTIIARQGNLAPRN DRERELTRGNESGQSDGGEDMDLPTATIAAGIAAVNAQAMENNGPIVPDEPGPPPNVE IVETTGAGQEELEGPDPEVIAAEQARLDMEAGAPPGQPGAAQTPRVAPTEAQTPRQAP GQDTVPAVEAQIIIANGAPRSFDNLGSYVGISSLLNPDGNRYSDDSILLALQLFAYLS KYPHLRSAFHHPRRPIHATFDYGDDWASLAERPGISENPDIFSLVERLTFRPSPSDPV MFKVPLEIQYWAGVTMRNSCRKDDARGGIRQCANMSCGRWEKYSREFAKCRRCRKAKY CSKECQSRAWQEGHRFWCSTGKDHDPALEGSSRYAPPQAGRGGDDDEDYQIGLQMGLS PEVVSRALAAARAAGIIPQRGQEFIEGDGPEGLTGPTTTGTVTPAGNTAATTAAVTPT RATTGPAVAPSPHAPRRHVEPGQQVPPRVAEAWARFQNVGTFLGIRSPTAPATAAVGN NDVASGASTPDVQIPEIFPRTRPQPPPALTPNTVQAIRSAANEQVQQEALAHRVEEAL AAQSRATGGGLSAEDQEARRLRLEQALQRVQETQRLQAEAQRAGESVPVPHGTVGSSF QSAGRAREEEGDAVDVRSAVADSWLARQRSPHAAASGSSGERQRPLGNNQGSSRRHGR GPGH L198_03519 MSLSSKLNITDLDLKGERVLIRVDFNVPQDKEQNITNPARIVAA LPTIKYAIENGAKSVILMSHLGRPDGSPNPKYSLKPVATKLSELLKKDVEFLPESVGE ATKEAVLKGENGQVFLLENLRFHVEEEGKGKKGDEKIKADPENVKKFREQLTELGTVY INDAFGTAHRAHSSMVGVQLPKRAAGFLMKKELEYFAKVLEKPERPFLAILGGAKVAD KIQLIENMLDQVDTLIICGGMSFTFKKTLNNVEIGTSLFDQPGSEKVHSLVEKAKKNG VKLVFPVDYITADDFNKDAKTGTATDESGIPADWMGLDAGPKSREIFAQTVAEAKTIL WNGPAGVFEFPAFAGGSNALLEACVKAAKNGSTVIVGGGDTATLVAKAGKEDELSHVS TGGGASLELLEGKTLPGVAELSEKN L198_03520 MPVAPIVGKLRKRLITDLTAGLGLGTAGGYAFWYTVHLPMMKKR DDYYLKLEQARSG L198_03521 MAAPPPLPVRTSHTLAGLTSPGVSLGMVSSGSEYLAFLTWKKAN SEALATPVRLFIAVSKLEPAPTPPLPPPDALTIDSLASQTQNISLQATEASPSGGWDS TAVQPPTTNPAPPALSVSPVASTEPAKAVYKPPPSRSSTLVAQINNVLEPPTQPRKRP SDKVSWRPGAQADGITPPTPMSAGAAAPQGQNGAGWGQPPSPLAQFHTQVSAINRGSV AWGSPSTAPSTPLPAGEGGQGWGQHPAPAVPVAQPQTLPPPAQVQAPPAEAPVRHEQG AWGQPASLPSPAPQAEDAGGWGATAPPPSSTLASTPGTVEQTPGWGAPATAAPTPALT ADSGTTWNTNAPASTPSFEQQSPVPANTQTQPMKYSAQPQLQEPALVQQQLIPLGREY VAWQSYTYTTPVQPVSEILEEMRRGRVSSFTKKSGGGSGFGAVRYNGYRSRGAEPSVA LDGAGKRQEWGERKSSAVPIVAPVEEPPAPSGGPAHVEGGWGAEPAPIPAPTSAPTSA PDNGQGGWGQQPQPQEAAPQPKPTAPAPDNGQGGWGQQPQYQEPAPHPSVPAPTSAPD NGQGGWGQQPQPQEAATQPKPAAPAPGIHPSRLAMLGGDPRPSPVPPVDNYGPPPSVD SGRGVDNGWGNRANRNGGNSNSYEAPPHMAYRQPSVPARPPTAAATPTQTSFTPGSAP GIHPARLVMMGGGPRPY L198_03522 MSTEEVKTAAAPAAAPTAAPDAAAPAAAPAAEKIELAAPIETAK EPETGDKRKAEEPVVSEAEEKKTKVDDVKGKGKSTDKPPVETTAPVDEEEEDLDTLIQ PGRRNRKPVNYADPEAWKKAELDPNAPEDDDDKEDAVPEESGDEDEDDDAPEAPATAE VDDEDEEK L198_03523 MIDAPCAQRHLLPFAAKDTISAWDSHHVTPYIPAHILTLPQSPA YIKEGEDVKISLDAPRKEFNSETTYDHNTNSIQYSTVNKFPPVKLLPNSERKRILSTS PKITGGAGFVGSHLVDRLMLLGHEVTVLDNFFTGSRTTVSHWVGHPNFEMVRHDVVEP FLIEVDQIYHLACPASPPHYQINAVKTLKTSFEGTLNMLGLAKRTGARFLITSTSEVY GDPEEHPQREDYWGHVNCIGPRACYDEGKRVAETLTYGYHRRDGVDVRVARIFNTFGP RMNPYDGRVVSNFIIQALKGEDMTVYGDGSQTRSFQYVHDLIDGLILLMNGDETRPVN IGNHDEFTILEFAEAVRDIVEKVQKEENNPLAKRVNIIHKEIPIDDPQRRRPDTTRAK ETIQWQPRWNVRQGVEEMVRYYSARIREGAI L198_03524 MSKQGQQEIQPDAPTIVSPSSPFATNPAPSPLADATAADGAPNN DAEAERSETIASDKTAKTSSEEERSQDGEEQLEEAREGSRHKQPLDTFKTPAPSRSSA ATPSAPNAPAPNPALQAPPAQTPASSATPAPGGGSAAGMGVDLAEFDPYATPVPSSSE SGQEKEKDASAGSKVKVEESQAMTPTSSSQGRARAGEAAAGKRPEESVSEVERGEEEE EEAEESKEPQFNFSEFLKDLKMKSADPVARYLKSFLSNFVKKPFTVNEQIKLIHDFLD FISEKMLLVEPWKSQSPAEFDNAMEAMEKLLVASQPITTDDLERDAVFQQRVRLFGWI REKHLDVPEGEAAVGFLGFAEQELLKISLIRNTYGPSSGSADAFIPILIFTLLRANPP NLISNIEYIQRFRRPSALSGEAAYYLSSLNGAVQFVETMDAGSLSGISQEEFEKKVED AIGELPPSPSDAMGGDSLARGVRTPRRGEDDRKERERAAAGVSPFQTPAPGEEAARPL SMMTAQASLEGTKRWFTKTAGTTAGNLGNLVQEGVSRPLSAIGKILEGMSPAPGSEHR QGQRSEDGSDEESPRRGDRDVFGVRRFRERERAGTPDSPLRFLGVEDVDSRSTTPLSE TLPDFSSLQLQASNAQSHSSEMTHRANVQTLGQMFPALDEDVVEAVLDGCGEDLGLAI DRLLEM L198_03525 MSATKGIILVGGPSKGTRMRPLTLDCPKPLLPIAGKPMIWHPVS ALSKVAGLTEIIIIGFYEDSQMAPFVKEAKREFPNIRISYLREYKALGTAGGLYHFRD SILRPPVPQNIFICNIDVCCSFPFADMLKVHTSHRGVGTILGVNVKKETATQYGCIVT DPETNQMVHYVEKPEGWISNTVNGGVYLFDKSLFDEIKVAMDEKTARAAADPLVKPDE ILRLEQDVIVPLAAGKKMYVYQTKEFWRQIKTAASAVSANALYLNNFSKISPSLLTDA QPNHVLAPTFISPSASVHPSAKIGPNVAIGPNVTISEGVRVRDAIILEGSVLGEHSCV QNSIIGADCQIGKWGRVDGEAEPEKEVKGKISVTILASDVTLAPETLVRSCIVLPNKS LSKNSTNQVLL L198_03526 MAPLTVWAIHTFIAEHGDELDFKAGEEIQVIEKDDAFGDGWWRG RNIKGEEGLFPATYISQDLPAHAEAEAITEKEDIPVEKETGYLVNGATNGTAASSETP TPTPPAPAPATASVNALNATAPGAYPSDNNVAASKSLAPSESPNGTSTVDGPILDSAS TAVGAAAGVAGAAAASVGTVMNRTIGDIQDAIESIAAPAESEDGDDHSELGIGQDARA KLVEQARLANEKRERDDGGFIYSDESDDEEDEYIRRRSLPLATKSRTSLGTADSPFGN GSMATAQKEVQAEPVARAPSPVKTPAAAVPIPTSAPVPRATPPPSNHIIAPEPTHNSI PQAAEPETSKSAVTPRLETPGTLEPAFFPTTPSVSQTPVARGQTQSPANGAGGLTSSN VSHKSGNIPAKPPTTWTVDDVVAWAQAKGFDEGIVDKFKEHEISGDILLELDVNLLKE LDIPAFGKRMRIAAAISELRRPSSTVSSHSQQLSPSGLPGQGGYGGSSRGMSAPPSSL GYQGYPSTPPLSTPPLSASSGAGEEGVAYGAWAHGRKSSGHHASVPVMESINEHGGQQ AQKQVQSPKEPEPKRVSQPASTAPSTYQAASSLPASPTTPATPATANSTNTTSTLNKR ESTGSMSHKRGKPSLGGEGKDRLSFFGRKKPAPAGSPTAEGNRSVSRLGFGGGNKAHQ VTPAVPAKRVSGPSNLGGSAEGGAVPGGSALQKIGNPDYSGYMKKKGERYGGWKSRYI VLKGPTLYVLKSEHADSLKDKINLHNHRVVADASAPSGSYGLRLAPNTSGEPAHFFSA NEQTTVRGWMKALLKATIERDYTKPVTSSCNIPTIPLAEAQAMAPRPPSPATRAATQR ATRRDNPNQLTPHDATILTSLDTSSGQKRRSQLSAGAPSPGRPDRDSRRPSSHVSQTT QNTQRASTLGAGEALGAVTSRGSGKALSGISFNPVDASEAPQLVQWVNEHLPEIYPRV TSFPQAFASGEVIFLLVKSLSNVEPSPPVGPEAFQPDPNGQPGIEGLFAMMDMLVDSG VGIEGVSINDVRTADEAGVLRLLKDVKSWHENGQKA L198_03527 MSELFKDIPEFVEADIGECLAARTETLGSFRELGPPDLCHVIKV SGKPPTQRDLGSYHYCSGVEASSSASLAAYLNSLQFSIEDSQAWFGKGSAWKVRSGTY CCFNAFSRVDMRVEANIPGGVEAFVVDLHGNRHAPSPELWQETYLSALLRAIRYADDA SYRLAGYRKLDPITTPEAEERFLKAAEALFFRGWQLGSDPEIQVATVVTNHLTSAIIK YFSESFRLDRAANLFERMVVKEPEVAALVAKSYIGMNEEIKAVKIMNLALQSNPQSYP ILHVQVDFLLSKRSLEWAQKVAQQAVNSAPSEFVTWAKLAETYIELGQFDQALLTLNS CPMFTYNERDLHRMPGPAKTHLPVKNFIAESNLLGEDSPRENEADVALFRLPAPNLRG TFAKAYSLLTLLVSKIGWDELLRTRSSVFVMEEEYRMHKTGPSVDAVDGGVAEGDLNS STRGVKGTSSVASESETATPTEIPTIRISTESSRTPNVSHARTPSQLSPTKEEESEDA NSDDAAEMDEPSLEKPETAQANEESHAPLGLKGEGEAPASSPFSNKRLCERWLDNLFI VLYEDLRVYTIWRAEISHFKTQHMSYRKTGTEWEILGELAWRLHHKEEAKDAYQRCLD SKFSAKALMKLLEVYANEGDLVKSLTAAVRLTTYHHRWYMDAAYPSLVAHYLYKLGLT HGHAKIQYSLLSMNLPVGIFEIMQPYMKYATVFNVAIETPGAGPSRLPLSVEPELEEA YEEELDLDESQEEADREAFLKKKNDYIELQHSSSDELLSSLASYLSTFQTDLSAVSGQ ISELQGKSTEIEGRLNGRKAVIPALNSLLADISLPPALVLTLRDTVPAQNPDLWLSAI TQLDEKLVTLRMRSAKVQAASELQPVVDGLRIKALNVLPPFLLSLIKPLKSASKGLST NLAVLQTSLLLKYQPFYAFLYRHSPRIAKQVERGYVNAARSYYETAFRRYARSLTQIR TRSPEKNERIGVVGGEALLSGEKEGLSEAYERLGYAEVGEGGVVLSFMADDKDYKLPV EALFRSLSLVLLDNASAEFTFIVRFFSLPALQSQPPSSDRLNTLSPLSTPLESSSASF VDITSEAGGRGTPKKRPNGHTPDNNSEGLKEAERIWHEVFDSALESTSQFYHSILSPP PPAIPLLTIIRLNDRLLNIASLRGTIPLDSYFTAQKLSLWPVFRKEMDQHVDSLKRMA DDAEGKGFAGLVKAGVKNGAVRKVAGRYAGMFGCVLGLSEESDEAMFVSMTRLRTELV RLIQNQSLKIKSLPERHSFVSSIYEIVMHELVSGPGPTTHPRLQSELSFFRTREEEAR RKIGSE L198_03528 MTLPPETEDILAACHRLPSDIQLLIYYQLALSPSFSFIFTCREN YERHVCRLYTCVVLNAQTAPSFYHAIGGHDDEDEWQEPPELWPMAARKAAFQLNRNVP PPIPSPSFPLLSLSPTARKILLINLTLDITLVDREALEYTERAAMLYYKQSAYLTLGG DAGNGGYSQEVLFLRCQGIHFGAGVIDQLANGPGGWEEKMWNLAYAFRGPTLVTVAVP DEFEMQKRTKALGLMEGSLKYLGCKLSGYYGTAVKSRCCLDW L198_03529 MASLVAILDVKGKSLIQRSYKDDVPPSYIERFLPLILDMEEENV PVVPCFSDEGVNYMHIRHNNLYLLALSKRNSNAAEVIFFLHRLCSVLTEYFKELEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQVRPPMAVTNAVSWRS EGIRYRKNEVFLDVVESVNLLVNASGSVIRSEILGAVKMKCYLSGMPELRLGLNDKAM FETTGRSARGKSIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLSTPVKPL VFVEASVESHKGSRVEYIVKVKGQFKRKSTANNVEIYVPVPDDADSPKFRASVGSVVY APEKSAFVWKIKQLGGGRDYLMRAHFGLPSVRNEEIDKRAPISVKFDIPYFTVSGIQV RYLKIVEKSGYKALPWVRYITQNGDDYVLRTITDAKPAPLTGV L198_03530 MSAHHEDELAPSQTEGYKLGQSKTVAELAALDQEDESLQRWKQS LGIGAAGGAGGEKKAILKSLFLTSPTLHHPISIDLSQSKDQLAQLKKEPLTIKEGVEY SVGITFQIANEIVSGLKYIQVVKRAGITVDKTEAMLGSYGPQEQPYEKIFASEESPSG MLARSGSYVVRSRVIDDDATVWLDFEWGFKLGKEW L198_03531 MSGEIRRKLVIVGDGACGKTCLLIVFSKGMFPEVYVPTVFENYV ADVEVDGKKVELALWDTAGQEDYDRLRPLSYPDSHVILICFAIDSPDSLDNVQEKWIS EVLHFCQGLPIVLVACKKDLREDPKTTQDLARMNQRPVSRAEGVAVAQKIGAQGYVEC SAKTGEGVREVFQTATRHALQSKKGKSRSGKKGCVVL L198_03532 MSSAPVAPIRQPRGPSHHSFSSTSPPAPPTGNSPTPPGGNTASG PPAADQDSLKRANTVSNPRHHQASASISANPAASSAFHGPAVSTNSVSSGNTTGSGGR SRVNRFRSGSLSAALPDPGLVRRGSGREVRKEVVLESQVEGENHEMGGQQWNKGLSRQ SSLPSRRGLNPVQQPDQPLPSRPSRRLAVNTAGQITGPTPPQSAHGASHSLSSLSMLS QPQYNNQGLDEPINAGVGGNGGGAGVSRTQSLRAQAKHISSGNLGRSTSLKAVGEHTY RPSMSNINPDSQPTPPNVLSPQNAFSPPTPPPLSSTAALPPFGLPGYDSAIATGPADV KRHQSLNQGYGSHSRVRDRLEKSPAVVSLEGRGNEVRQRLDSGRLDEDEPPTSPVGPS VWSNNPRLGDGWASAPGQANSTKNASQQLQDAFEAMNLGRKMMAPENGFAQGPLINGG YGLNRERLNLETNIMRHSHAGSPASGQLQRKGSGADGEPSWVNKLVGGDNTPMLGHNM PRSASTHSWGGERDRNDQGYSGYPGNYMNFNNNGYMPMPQNHQGRMMPPMPMPGMNYM GMPGYAQGFSGYIPNGQQLGGPGNGHGGQGQHAYPSPPASAGMGAQDKDVIELARKKG LNPATFECRPQGARFFVIKSYTEEDVQKSLKHEIWSSTVLGNKRLDAAYRENAGKGPI YLAFSVNGSRHFCGVAEMTTPVDETKTSKVWAQDKWKGIFEVKWIFVRDVPSAALRHI RLTNTPECKPITNSRDTQELPYEAGSEVLQIFLDHQTKSKTSLLQDFAYYEQLSANRQ GPSPNGNAAQLNQIQTPVSAGGHQPPQLAPAMGISNGASVPPVPTIPDRFA L198_03533 MGGSWRPFTQPERRSSDPETSLSTQRPPLKSHHTSPPSFSSASS SSSGSGPSSPAQLLFPSGSTRQGMTRTRYHTVPPPIQVHNHSRPLTPLHSIPETPKDL DVSPEGSWALRQSRTLGRSRSHPNLRLGHRQLLSSVEVHDIKLKRSMSSSGDKGDAVE RKKKAMLAVLQMRRGSARPSLELSTSVLMARVDSSTRPSSTPKPNFPPLPASPLRRSP RIQSLTSAIPKHIRSSFGSIDYHAPSSSSPLATHTNRARSSSAPTPAYQLRERLQKEK EEKEKVVSSQSANAIIPDRSAARGPAPPKLVLSREDPSNRDNAAWKDSEMVSPKSGRI SLKSEMMQDIFYTPEVVPCLTPDCDTPTEELVLGEYGDGRFTGAGMDVVEEEPGEERE ELVIRPGSVKSEAAGSEDGLDALWAFPAPPTRSNPSTPCTPTYHREPAVSPRSSPPSS PIPTSSFTSRSQPDLLLSFSSAGLENESTETLVTPNTFTTPLKPGSISAGRKTSSVMG AASASMVSLLSPNEFGSTIKNKGGRLAQLEKQGKGEKDDPKRFKTWTGSITKARKSMG KLWV L198_03534 MALPALDDSPTLPHPGLPCGLEHPPHLAFLPALAYPTKLAQSLE DSPSRSASPLSAEYLLSTMAVKDAFPSLVLEAIDGGSVDAGTRARAARKGKLPESTLH TRTIG L198_03535 MASTPDTASSEGPSPSPSFDRQPTPDPQLVQTYHDLMLSTLPQD ALPQPTLVAQEASNPLAGDEVDEEDNMVVTETGEKRSMTKGEKQNAKKKRRKEREKAM KMEIERQMAEAQAAQQGDAGKVEVREEKLVDFRLFSTCNVKPVSLLPAPEVYPIPANP RYQPLPAHQQARIHQLAVETAVESDNLGGYPDHPRWSRTDVTPRDFSVSHQALPTLPD MFIGTLFEDAVRTDQPSKPVAKSKSTAKPISTIPLASSHTSCPAPTLPPVSKKRTRRG RRAIPSRTAPHFWAPPKGLGGKARGYAWGFRDSREGRREEGWGTYIRGTTI L198_03536 MSTYDVPARPQNVGILGMEMYIPKRCISEDALEDFDGAAKGEDI NSVALTVVSSLLKKYNVDPKSIGRLDVGTETLIDKSKSTKTLLMELFAPSGNTDIEGI DSKNACYGSTAALFNAVNWIQSESWDGRNAIVMCGDIAIYKEGSARPVGGMGACALLI GPDAPLVLEPVHGTYMANTWDFYKPDLSAEYPTVDGPWTIAAYLGALDNSYSTYVEKV AASKARAAKKAGVALNGDAKDEGISQFDYVCLHSPYGKLVQKGHARLHYNDYLRGVTS DAFANIPEDVKSQDKTKTYTDKVVEKAFIAAGASHYKSAVVPGSDCVARCGNMYTASL YGALSSVIANNPEGIEVGKRIGLYAFGSGCAASFFAIRVAGSTKNIAEKLNLKERLES MDVRPCQEYVDALMLREENHNAVKYSPQGSIDNIWPGAYYLEGVDDLYRRTYLQKAE L198_03537 MAQERSSTPEQEDDEEFFGLDDLMPEPESPVPAPFSFAAYEIPD GLLPLLPKHRRQMILRLVGSHPLWGHHLWNTARTFSTYLLSNLALTSSRNILELGAGA GLPSIVSCLPGADAQKVVVTDYSDEGLLENLRFNVEVDLEAEERERCVVDGHVWGHKV GHLLDHLPQGQMFDLLILSDLVFNHSQHDALIKTVESTLAPAPSTPSDPITSAPLTHP SVLVFFTHHRPHLAHADLAFFPRLAESGGGWVYEKVVDEWAGAMFENDPGDERVRGTV HGWRCWRVRDGEKKGERESAVAK L198_03538 MYGNVGLQTARGSGTNGYVTKNSAHLRIREGPPGGPFGGFNRDD DLHKAPIYRAPDQGILEHERKRRIEVKVMELRDGLEEAGKDEDEIDEECDKLRQRLNL VKEQNTGKGKSSHSMAAAKEVEMSRLGRALGVRKDHVEGEAFKIETPEEKAERLARRE ENERMRIEAALKREKEDEERKKAWEEKEKLRRREEYRRKQEALNPPKRGRSPSAPPRR RRSPSDSRSRSPVRRRRSPSYSRSPSPPPRRRARYSDSPPHGGADSRPPSPQLRGRRY SDSRSRSPVRRYDSRDDSRGRSYTRSLSPVGKRRRYASDSRSPSPPPRRGRAASEDSF KSRSERSLTPEVSRERVDNTRKRL L198_03539 MSNSATATPLAEGDRAATPVEEPICNELHPLWAFDVLVSHFEGR EPVKAPFDNYRDEYALFVSWHVVKPGRKPALRGCIGSFSPMPLTKGVKDYSLISALRD HRFSPVRASELPTLVSLLTPFTSISDPLDWVPGEHGIHLTFSHPTSPSKTYSATYLPQ ICPEQGWTKEETVLSAIHKSGYRGKVSVGDKVWQSLSVQVYGSVKVEVVWEEYVQWKE ERGEAISVIKV L198_03540 MIAVGVGVGTAYYVVAPEYADGGLGAEVIPERQRKNVPVSPIGP PPHLNAIPPLSATEQKHDCCGKPLFYGTG L198_03541 MGIPVRLPTVCESLGLALLAFVVWAVNICLYKPLTSPLRHLPCP EGGWAVGGHIDHILDLGSEVPNQWIDELGPTFMVRGFFAVHHRIFTVDPRALNHVLQN TDVYTKPDILRSLVKRYMKNGLIVAEGTRHRIQRKVTQKLFSTVSTRAMGVLVQDKSN QLREILRHLCASPTTSTPFSQANPILPAGTRQVDVYSSASRCMFDVIGAVAVDSVNSP FNAAGDYTSFGAHLFHKYEKMQLLCKGAMGLRMFFSLYFPWIDSIWPSENTKRVNDGM GSLLDFAKHQMAERRAELKEMNGKKGDVAERQDLLTLMLKHNMMKNLSPADKLRDDEI SGQLSTFMFAGSETTAGTISFGLYDLARHPEIQAKLRAEILECAETFTPEQLDDLPYL DAVVKEILRCNPSLPGTVRMATRDDMIPLAVPVKLNDGRVVSEIRIRKGQLIHVPIDH LHACTHIWGPTATEFDPSRFLDAPSTYPSPTPTTPSFPPDAAPQPSPVLSSRRTSVPS IVPAGPGIWPNFMTFIDGPRRCIGYKLAVMEIKMVLFTLLREFEVEPVKGIRIGRWNM L198_03542 MSATTENVQATNPAAQEVQQPAAEVPATATATETPAQPSLRVYF GKVARGTTEADLTAFIKSAGETESISIHERTLPGRRAYFAFANYTSLDSSKKAVELDG QELNGRPVIVQYAKGEEEANADRQARTEKRNAARKAAAEKKAEEAKAAKEANPDGAAA EAGGEAEKPKKKKNARKNRRRAPGDEDEGEEGEDASKARIDGEEATEAAPKKATKPKA KKAPQEKKLEISDEDSPSTIFVANLPFSVDDAALTAIFTNLSIQVKRASVVTRSVRRG NTRKSVSKGFSFVELEDPKQQEEAVQKVNGTLVEGRNITAKIAKLMKEKEVVAEAAAA ETEGKGEEAVAKEEEPAKLTVENIEKVNSDG L198_03543 MSNNASQALRDSDGFAMPAPPPTKRHIKPTEAQPTTAEIYAQKH GIPLEVQLALQNVGSRARMSVSRGQRTFDRHQSSPALGSASATPNYSPFTSSLDAITH ARGVMNKELMRARELQPFGSLSPTNSSDNLDKDRARREFEDIKLKFRDDGEVELEGEV ERRVAFGQGSSASAQRQGEKFTLTRSTKRASSPAEEDEHAGSETETSDQEDEEENRPF ARTPSFNTVESVFPPVFNTPAISHPQLFTAPNPVNPVQQPAAPSRKGRAFKGLPGGKK SALAKAYSAPVLGGWANMEVDVPEGSEKKEEQEDGFELDWSKDMDF L198_03544 MRSCVSLLAKSSSSSRWLARQSRDPFVRQRSSNPASSSTSNQPS YRSRSSFKLVSLAKSHPVLLNKETKSVVDLGAAPGGWTQVASKILGVGKKEGHKPDKT RVWAIDILPMDSLPNVHILQGNFLSPSVQAALQSHTGTPESGAGVDTVLSDMMAPMTG VRTRDVSMSLELCEAATAFARRVLRRKKAEEEMEVVRGKKIWPGGNLVMKFFAHPDLD EFKKVELDPWFGKVVIGKPKESRSESSEAYFVCLGFKGEPTTK L198_03546 MSTLKSFQAEIEQFSHDKHKQAIQQAREQHAAAELARHHGKLPP KKKEEKEAAAVAPVQVEEAEHVELSIEDLITGECEAEDLQSRLATILLKNHGECMLSL GAHPDPVQMYNPAPSDDAPISHVGKSLTEKLLSDSVSRLRSACQTLQADLIELYRTDT GSTSYGSWLVRLTPRGVEEIMEVRVAVVGNVDAGKSTTLGVLTRGALDDGRGKARVAL FRHPHEVETGRTSSVGGEILGFSTKGEAVVPTTHVAEGADVHHPLSVAKREKLGWEEI CKRAAKVVSFIDLAGHERYFKTTLYGLSGCAPDYVMLMVGGNAGLIGMSKEHLGVALA LNVPIAVCVTKTDMTPPNILAQTVSMLTKVLKSPGCRRIPLFIDTPQKAVDAARYLSK PLASAGGAVGGGRLCPIFMVSNVTGQNLGLLRSFLNCLPSSQSDDKYVVDAPFEFQIS DTFSVPFVGTVVSGVITAGQVHANDQVLLGPDSVGQFLPTAVKTIQRKRASVASGEAG QSVSFALKRIRRSQVRKGMVLIAKTDVPPKAVKSFEAMIMVLHHSSTIQPNYQAMMHC GAIRQTVRIKSLDHPSGLIRTGDRSKVVFEFINQSEFMKEGQLILLREAKTKVLGVVT QVLG L198_03547 MAPKRRPVNVVRSGNAGNSSKPSATPAAPVDPNAPHGTFRDGTP RPAPLFPAGYKTPIAVLNEKCQKMGWEKPVVESHANRGSQSFTGSVILKKRVSKNVYN LEEVRFVPHPPLEIATAAEAKHWAATYALFRFCSNLPMAMTLPPSIRPYWSTLTADKA ASPPHRAWEFNPDPFAAKKEVSDRQNKRQEKEEEKTKAAESGSGAGSGRNTPAVRQEA KGGRGAAWDNAPEVKMAAALREMVEGTVRKMMQQFPSAVLEASRDATATASTSPSGVN TPALDLSSLQTQLTTLGFRPSHINSCTSAIASATSRLQSSSTSTKDPLVLALSILSPL EAAIEWLLLHVPEDDLPQRYRPSSSSADFITGSSTKSAGKSALVKSWLIDKLVKQAGF PRKPVEVILAEEECESAALDKLGRRLCGWEEGEDGWGVEEYGSGWIAGDEGAEEERKQ HREEEVMALSAVLGERFEEVSTSEYTIHITPEFSNTPDSIDLHIIFDEVSPYPSAQHP THAPTFYLSSETLPAYIRLHLHAQLMRQFRDPERHDLRSVLESGWGGAVLSMVEYLET TLQEAVDRPPDVGEVTKYLAPKVEELVPEVRAVQKRKVQQARNRGEKRKPTVEDEERV KRRRQAMLDHREYEAMMDVRMNLPAWKERNSITDALEQNRVLVVVGETGCGKSTQLPQ FILDHEILAGRGASTNILVTQPRRVAAMGVASRVAQERMEDLDKSPGTVGYAIRGERR SGPDTSVLFCTTGVILRRLGSGDPDLRGVSHVVVDEAHERGVDTDLLICLLRDLLERN EDIKIILMSATINEQIFIDYFGGCPSLKIPGFTHPVKDIYLEDVISKLRYSPTPSRFG PRQTEEQKASLRAEFSQLKLSPDHQRALEVISASDRIDYGLVAAVVKHIVNTATSPDG AVLIFMPGVMEIRQCITELERASLGSVAIMPLHANLSSAEQRQVFVATKPRRKIVVAT NVAETSVTIPDVIYVVDGGKVKETQYEAENGMQKLVECWTSRASGRQRRGRAGRTQPG ECYKLYTRRTENNSMPRFPIPEILRTPLEALFLQVKAMNEDTDVKAFLGKAIDPPKMD AINAAWQTLQDLGAVEGEDHKSRLTALGRHMSSIPVDLRLAKMLVLGTIFKCLDPILT IAAILSSKPLFTSPIDKREESKKARESFAWAKSDLLTDVRAYDACMDVRKKGGSHGAV KTFCDDNFISFTTLRDITSLRTDFLSALSSLGFFSSTSAAELAKYNVNAKVDNLVKSV IVGGLYPRVVRVAMPKAQYERVQQGTIQKDHEAKEVKLFDQAGRVFVHPSSILFNESG FKSGYLAYFSKAETSKVFLRDATEVPLYGMLLFGGQITINHWAGGIMLGTDGHVKIRA NTRIGVLCSQLRRLLDAQLTEQIESPHAADLTGHDDVVQAMLALLQRDGLTM L198_03548 MEEGRIWSVGVLEGAQNQNSPSELEKLFYEFLQGFRIDGNWIYR DALRSALLLKHHTLEVDLRDLVAWNEEMAQKVQEQPGEMIPLLEAALLRYARDLVRPT SEADRERERERVRNGQPSLAAEEVPDMQVTVRSGMNLLQFRNLNANTLTTLVRLPGIV INASQLSSRATELALQCKSCRSVKHVKVSGAIGGERSALPRRCDAEPVDGQRKDCPVD PFVILHDRCRFVDQQSIKLQEAPDMVPVGELPRHMMLHAERYLTGRVVPGSRIIATGI YSTFAPQSRSEKTSGAPALRQPYLRVLGIELDTSATSTSGSRVFTPEEEEEFQQLARS DALYERFANSVAPSIFGNLDIKKAVTCLLMGGSKKILPDGMRLRGDINVLLLGDPGTA KSQLLKFVEKVSPISVYTSGKGSSAAGLTASVQRDPVSREFYLEGGAMVLADGGVVCI DEFDKMRDEDRVAIHEAMEQQTISIAKAGITTILNSRTSVLAAANPVFGRYDDMKSPG ENIDFQTTILSRFDMIFIVKDEHNEQRDRTIAKHVMNIHMNRQSENEAVGEIDIEKMK RYVAYSKSRCAPTLSNEAAEMLSSHFVSLRKEVAQVERDNDERSSIPITVRQLEAIIR ISESVAKITLSPRVLPHHVEESIRLFKYSTMHAVSVGSGIEGLSRTELNDEIDRIEKE LKRRLPIGYSTSYQSLVREFVTGQGYTQHALERCLYILEKREVVKYTGMRRVIQRIGV L198_03549 MPRLPPLPPSAKWAHHFPASSLAHPPKVNNLRKAPHRLLLASPT LCDQFVRALGIRPGEVIIEGYAGPGALTRSLVSGGRSVEEGKAWEREQGLEGKKAVGS KSSTARKPADDYPIWKDDLPATAGLKEPTGEPTLKPKLVMSTESSYEILRRGLDYPLP AASPQLQAEGYLGYNVAPSKHQDNLLLSHATLYSWETVPALLSNPLVRPHLPVWDPSA AEEDQTKRPWNAPTPHITVVLQAPDGMLGEQITTQWIRSVVGDNQKRAWMWKWGRVRL ALLCGRNVYDRLTTTALERMNSKMSVMTRALFHVTPLPPYHHIRNIDKRNMAKSDVPI KPVKEPKSTATPVGIPEDIPPAEGYPEATFGAERTETYTADFFPGAYTSSKAVLPRTP LFGVLLTPKLDSPISMHRRDAWDFVLRRMFVRESCTVEESIGNLAFGADSLLPLIEQD EGMGIPVSRKRVVKQLDVEEWARIVEVFDTWAFRPESLLMDNSIEDEVSRQIGLD L198_03550 MNNPYTPRGYDLPAHHQAAYPPEPPHSPFGYPALSQPQSFASHY SPLHPDQHPPYRRQTLPQSSPDTYSSAPPPAAGDRWNWDQPRTVPVPDSYSSYPLGPP IVHQRSPLPHHHASASYDHPPGPSGDMAGDLDHDSYQYNDLLPPHHQIRPQGYTKSSE EEEPPQQHDKAAVGKKKKGSKKTEGKQPTFLTKLYSLLGDEATSHIIRWDESGENIII ENPEELADKILPVVYRQSRFASFSRQLNIYGFNRKLSLRNVERGICDPDASTWSHTSL TRDSSPQEILQFKRRVPPRPSQSAKRSLLAQIGPTPAASGTGYHPILPGSNYNSSYAN MENYPAFPGSGDDGASPTSSESMSGGGEAKEWRSPPDAYQINLLPDVAEEPPSAIYPS KEYLGFATQAGYGSIPRAYDGWKSGHAQGLGEDVVGSGVNFDYGTPERDLFYQGIPQH LSDSPKRVGIDIPRVHPSLPLPRQPLQAHYPSHSLSSAPADKSPTSLVPQSAPANSGG FSFPIKVQQQHVRTRSVQGEPPSAMLFSPMADGTWGDVPEPAQQQMQGQMNPPPSAPT AGQFDPLDPAAWVRRGMVDISAAAGSGTPVPFDSGTSSRMGSSPASLPNNIGGFAPRS IGHQHFASGGSIPGMGVLGGQSLGALSENSPSTVSPRAWKSMTGGAFSLPSASPLQSQ LNLPPVTSNVTGPTNESPRPPSAITPAQQARQERRASIPSSPYISQSPRQRPMLLPGA GSWTGASLSPLRTIGSERGRRGSGVAVPESVESMSQGSVSGDEASSSGSSR L198_03551 MAKSIRSKAKMASRARKRQQSHYAVAEAQRTQRLSDKLLGKTDK NDEEIKEEGKEEAIEDDAEMKEEPKKISTSGLKGNRREQWRSARGLAPRPKAKLGAKK NSRRR L198_03552 MADAYLKSPAAASTLGGHARCPGPPSPNWTPFYLISIFKAKQCT PADNGHPHTPLFPHLDCPPDSPNTSLQHFPLLIYRSCLPSTLTHEEAGGFFSSVEVVK PDGTSPMSLIPR L198_03553 MLPANTDTHLPVPIPVAAASSSQIPPDISISPGGQSFNTPAAYL PTNLWSSAGSPTYSQILSSSLGASSESDPDIMKRPLDNAMQPLLETGPAAPLDNFSKK PRYDGSIDAPGPNRFAAPTATPMQGQFNPHQMGHQQQGNFGQASPAMGMSMLQQQGGA QGNGAPFGGMGMGLGGFGMGFPFGMANMGMQNNFQGPIVSPSMNPNTMTGNYGPAAAA AAAAAAGNSTGRTVYVGNLPAEASVDELLNLVRFGPIENVRLLPEKSCVFISFLDGST AAAFHADACVKKLALHGQELKIGWGKPSVVHANVAAAVAQSQATRNVFVGNLDPETNE QDLRNELSRFGPIDQVKIVRDKNIGFIHFLSIGTAIKVVTTLPTEPDWEGKRVNYGKD RCAYVPKAQQDAVRQAQTQAMNAIAAQHQQATGSPFPPFSPMSAGFNSFPTPNSAAGG AFPSPVFPGGGAGFSPVTPGFGDASQVGNRTVYLGNIAPDVTVEELCNHVRGGMLQHV RYFPDKHIAFITFVDPSAAMQFYQNAHASNLTIQQRRLKIGWGKPSGPVPPALLQAIQ AGASRNVYIGQIADFELFNDEKLRQDFGEFGDIDMINFLVDKGVGFVNFTSIQSAQKA IEGIKLKPEYSTLRISFGKDRCANLPRTNMPNRGPAPFTPKKADTPMPAVQPEDGALE ANIYDDGDAVLSYE L198_03554 MSWGGFKKAATRAGTSIMQKTGQVERTVDREFAEEEGRFKTMEK ETNNLQKEAKAYLDSMRSMASAQSRIAETVSLFYSTDRTSDGAMSAHSYKSAVDELDS GVGRDLDSPFRATVLDPIGKLNSYYPNINNAITKRSHKLQDYDAARSRVKKLVEKPAD DTTKLPRAQAEHDEARDIFNLLNDNLISELPVLVDMRVPFLDPSFEAMIRCQLNFATE GYERLSGVQRQVTSSPNYANGALDTQVESVLEEMKELSIYGP L198_03555 MSRSSYDRYLTIFSPEGRVCCCDYAFKAISSAGITAVAIRGKDT SVVITQRKVPDKLLDPETITHLFQITPTIGCVMTGLIADARAQVQRTRSEAAQFRYKY GYEITPEALAKRMANINQVYTQRAGMRPMGISMILIGQDDERGPQLFKLDPAGYFTGY KATSSGQKQTESANYLEKRWKTLESEKKDKDLDRTGVIEMAIECLSSVCATDFKASEI EIGISSVSSEEVHKDGQEGRFRQMDEQERDEWLIRVGEKD L198_03556 MYKERAKKSRSVMLYTFGTVIIAIGVTYAAVPLYRAFCGATGFG GTPMTDSSRFTPDRLYVTPETADRKRITVHFQCQSAEALSWKFEPVTKSVKVLPGETA LAFYKARNYGDKDLIGIATYNITPEKLAPYFAKVECFCFEEQKIRAGEEVDLPVFFFI DRDLVDEPALDNLDDVVLNYTFFRARRNDMGHAVPDAPEDVIQKSQGWENVPLAKKAE L198_03557 MGRKKIEIRPLTDERNRNVTFLKRKAGLMKKAWELSVLCAADVS IVIFSAAGKACEFSSKELDEQIERYFEYEGMIERRRAAEFAAMALAGEDDDDDDDENP RRGAGSKAKVGPNGQPAPTRSLKGKEVFKPRTVRAQSSRGEGRGREREREKRKRDKGG DRKGFIDGIVSESSETEEDDKRSRRRNGGSQGCSLRKDPRAREESERSAASHNKSLEG LQYALSMHASAPDAASERSRLRPPPPQQTDSYLASHTPLAVPQAPRLSSDTMPHRMSS TPTSAQVQTPGLPGSRHYAPSYGSYMPRSSFQPPPSAPYFPSLYPQTSPGIQFPGQPP NFSPQPGQVTMGPNGAPIHWDQSLLAKYAEFQLQQNHQRQQRLLLEKQRQQLQELGVP LDEKSLLDDIFGGVGAARHGHGGGSSSANAIGEGGDDGNGVEFVWPLGNNVSMPGGEE DGLSPAPKHPTTPWGFEGFDGLDELSGHGISLPSPVSAGAGDGRKMSGEEDALGKRAR LI L198_03558 MPHPHTDSSIPLPATDDSPFISLGVRHRSKPSSATTPSPKRSSN SMFNARKYTPLPTSSNGPVRKRTGAGLVAWKRWALLATVVLVILGLSFRRYGGQEEAV YNEDNTYTPSLDDTTDETEAIDYSSPPFRPEDSDIAAPHGDDESKEEDGSLHILPIGD TTNEDPSNPHDPTSSEAETAAEADADFTEITDETSGTDGQSNLPSSFEDDENPESTTA CTEPYGDKPLVQYALTIDAGSTGSRIHVYKFHNCGPSPQLEYETFKMLNPGLSAFARD PTAAAASLDPLLEEAYRVVPESLRKCTPVEVKATAGLRLLGQQESVAILDEVRNRLET NWDFTVHGETAVEIMDGKDEGVYAWITANYLLNKIGEGATSDDTLAVMDLGGASTQIV FEPKFPADSEQALIEGEHKYELNFGGKDFTLYQHSYLGYGLMRARRSVHNLVAFTWSF GQGEVQWDELSEEVEVPNPCLSRGMTRRVSLDPPGRSAVNVTMHGAVGGYEACNRVVE LVMAKDAICEVKPCSFNGVYQPSLLDTFPRGQLLALSYFTDRIKPLLPANAPGLLSIS ELTSIAKDVCAGPDAWAERWGSNPTAMDELKDRPEYCLDLTFMNALLGLGYELSPARE LMVEKKLKGVELGWALGAGLALVGKAELTCTA L198_03559 MDTDSQLGHVLTAIQTSRPVLIKVARFLLSECRQRSAPGTAQSE VQSTTLSSLFKPSLAGKRKRSVTHFEGIPISAISAPSLPPSLSSSPTFTPTSSPADFR LHSKSNDNIQGRRVVSLKRAMGFYQSMSGLSVGEQGGSWCSDDSRGDDDYKFAYGCSN QEQKPQIVDDSEGDDDDDIETDSDDEDDVVLVFDRPTW L198_03560 MDDSSDKISHPPPRVPKLELQDPETSPPTSNKSFHTVDQATSIG KDTESAESAVIDPQGVSLNTIAHSSSEPVSGAQDGPETPKLHHIPKDLTPHKRESKPE LGGDSLCQTLAVVPGGGIIMPMRRLDENGLYKKCGERVLFFSNPRNECIICSESLATI LDRHYEECKERGCEVHEFTCVWACTRDNQCEAIVCLDCAIDYANHELARFRPPACPEC DCLWPINLLRTQAEGYRPEHLYPIVPPSLDHLVDDQNNIEALQGGMDRFRQLWVRNYK RKLDEIKRDEYLWFLQMAYFEGGFEFEPSVEQQAVLAALWEASSPLPAKDLGPARRRS MGAFDPELTPLPRPKTYAHAAPPQPAPSRDAQPESPPPGARSSSLLTRPELPRQVQAE PSFNRQRMPLRGSRGYASFSAMPPTKQENKPSVDAQVDNRSDPRLSGGSIFERSVDPV VAVTPFLDTLGHTAERLLEEGQYSPEGRVHRHLRRISSYVDLAREQDVLAREQARQLD LQESRTKKKKPLLLKKIVSLPSMIFCKAPAQGAASRANHDPPVKIPLISISEFNSPPR VTTAATQAGANRAGSEMHRAALTTTANERPGHARSHTISPLMPSPDAPHAGPVERPSH GRSVSAGHNRTAIYASEALLTGHTPSTSTEHFINEYLKESEDGSVHSGRDEIADAAAR LEKVDENTATSGSASTVSESTSGSVKSGSVKPGSVKSSATSENGSTATSTSRTASSYK QATRTSSVAASAHIFPCTTATDGHADGKSVDTSASASQKWSVHPPPDLKHSASGAGSV LTMGDTCIGVTSSETSLATPNNLTRDPSVISLSALAGGPIVRSHSNESISLGASCHPD FGPELKLQLHSLRSRSNSSFNVSVNTERSSDGSSTTNIAIVEIPGGVLSGIDHYSCPP DETAKMAIKERKSGYFGGLFKRKQ L198_03561 MPPSFLRKKPRHSNQTPAPKNPPAIRHSLSLPDLTTPLIDTSSW EEVPPFTFSSAQGDSPVSPRGPGSPVSPLGRSSGTRNRKPSMVGGHGGEVQFHRPFTP KLVINSSPHNVSWGGDFRVSAAQWGRDASGKAQGQGLGRPDVRQSMASVASRRKFINL MISSLSAPSPEQLKPTLNPAPTSRPQSHTCLSTHSQDRILLRLIDTPGLELCPDEDIV GGIAAATALGHGVGVKGKERERGVKGLLRILEERFKYTLREESKVQRRVGADEGLVHL VIYLIDAREVLRPEEARKPTQEIDWSCVGLFDDEGLQDPDHEQTDTETSTFGPKLSSI EIDIIDRLSIRANVLPILSRTDTLTVSELEAVKAAVQRDLSAAFSKTPGRGFGVFGSD EESLSDSINIKEEDMEVDQPDPRPPTPNSIHSTASLSAPGLPWSIFIPSPSVSGGPEV TAGVRQFPWGTATVLEPEHSHFGLLLDNILGEYTKVLRNKTREVLYENYRTERLLAKS QGN L198_03562 MRCTSLTPFSTDVIETFFDSRLDLFNRRLKAQSSRLKNRAVELL PKGLRTPKGGGILLLDEEEEEDSDEKAERVNPADKYRRDVEREVDRIKVKLAAKVTHL SATWRSDQVIRTRDKVCFLFGVLSLAFTAFVYGRCPEWLPVAYTVQAAFYLPLRIYTY KKKAFHYFLFGDLCYFVNVLDLLWIWIFPSSTTLLIASYLLTLGPLASAIITWRNSLV FHSIDKVTSIFIHIYPPIVLTVIKHMYPGREERFPGLQGVDDWSWYGMILVAGGPYII WQATYYKFISIDRKTKIESGQRETSFHYMLNDKRGPIGKALKGVPVAHRELWFIFGQL IYSIIFMIPPAALLIHSANASYIFIVLIFAASTWNGASFYVEVFGRKFERELEKLRKE MEEASRTLTPGPGSTPAEQTSPASTYATISPSPTPPPEKEKRKIKKDDELANSPLVLP GARKSETAGEMEVPEMVLGKAVEEAVGESEGVRQRRS L198_03563 MRYAVLVTGPAGAGKSTFCASLITHAQTLGRSVHLVNLDPAADK FEYEPTIDIRDLINLEDVMEELEFGPNGGLIYCFEYLLNNLDWLDDELGAYEDDYLII DCPGQIELYTHVPLLPRLATYLSTNLNFRTSAVYLIDSQFMQDKSKYFAGVMSAMSCM LSLGISMLCLMSKMDLVKDKKGRTKREVGRYLDPDPSLLYEDPSSNSNSKFSKLNRAV VGLIEDQNIVSFLPLDVTNEDSVNTVLSHIDNMMQYGEDEEPKVPKDMDDGDFD L198_03564 MICLSPPSLQAIPEDSAFTPPSTPPLTPLRTPPDEEPMNSHADM EVVASDGVRLLTSCELLRSASSSFLIMKQWHEFGTLSTIHFKDPFIECSATITLFLHL ISSRTLPTPSTASFCDYENLLLFLKRYQCHHHLAEKVARCVQGWMEDGYVTASKAFRV GSLVGDDRLCKAAVEAGNEWTWAGESRASLSPPSEHSKRHHQPPGPNIHHDGIPGAPA LDITAMPFSFFKSLPDEYKFALMRATRNVVGPSVALDKTNWDGIAREFEKVLGEVKKT PGRS L198_03565 MPIISLRPLRLPPMGSTRAASFIKRASSSLADKTVLQELDERGF VAAITRQNLKKHVKSPTTIYAGVDPSASSLHVGNLLPLLGLLHFQTKGHQSIALIGGA TGSIGDPSGRSTERQSLTPIELSRNIAGITSQVRRFFTRGQEYLNKRGLQVQRKDEKG EIGRGVRVLNNMEWMREVSLLDFLRGVGKNARVSTMLSRDSVKNRLTSDSGISYTEFT YQLLQAYDFSHLHTNHACNIQLGGSDQWGNIVAGIDLVRREKEIGEEEVYGLTIPLLT TSTGEKFGKSAGNAVWLDEKRTPASEFYQFFLRTTDADVEKYLRLFTFLPLDTIQETM TAHESAKSQRLPQKLLAAEVTELVHGPLALSRALTAAQVLYSTSFADLKADAVREAFR GDKRLHNVKKEELEESGVGKVAAKYGLCASVGEAQRLVQSGGFHINSSKVTDHRQKLG AEDLIDGHVVILRAGSKRQVILYVE L198_03566 MFATPPPTAIASKEEGHATPTTRLPTRSSSSILPPDNTCIRVPE STPIQVDGLSFTLSSPLVSYQFHVGDDGDLIHDYFGPYTPDLVRELPASRNGWRGPLA RSRREFPDQGRGDFRLPAFHIRHDSGHTVSQFVYESYQVLEGKPPLEGLPATWGDTSS VSTLLIHLVDRPSYLRATLSYSIFPDIGAITRSFELKNIGDENVVLERAMSWSVDMPA AEWEMVQLSGDWAREGKAIRRPVYPGVQGFQSATGYASHFHNPFLALVRPESTETTGS AYGFNLIYSGSHATEVEQFTPGFTRVLMGLNPLHLSWTLAPGKTFTAPECAAVYSEEG LGGMSRAFHRLYRGHLIRSPWVDKPRPVLINNWEATYFDFTAGDIQAIAKTAVDLGVK LFVLDDGWFGNGQTHARVNDEQGLGDWEPNAQRFPDGLSKFVDSITALKTTAHDIMRF GIWVEPEMVNPHSALYENHSDWVLSTPGYPQTEQRSQLVLNVGLPEVQEYIISFMTKL LDSAQITYVKWDNNRGMHEMPHPSSAHQYILGLYHILDVLTSRFPDVLWEGCASGGGR FDAGLMYYWPQSWTSDDTDAYERLFIQFGTTLPYPASTMGAHVSTVPNHQLGRTTPLE FRAHVAMMGGSFGFELDLGTLDDKEKGEVRELIKLAERVNPLVVQGVMYKLALPETSN WPAALFVSQDRSQAVLIAFQVRSTISLVVPPLKMQGLEEEALYRVDGERYQGSTLIKA GLRVNWKKGSKGDYASKVIWLERE L198_03567 MRSTLALMSSLAAVARAATSVTGNAEGFAAGVTGGGDATAVYPT TTDELVSYLTDSSARVIVLQQTFDFTDTEGTTTATGCAPWGTDDACQVAINKDDWCTN YQSDAASVSVTYDNAGLNPILVASDKTLIGDGSSGTIKGKGLYLKNDVSNIIIQNIRI TDLNPKYVWGGDAITLDGTDLVWIDHVTTDEIGRQHIVLGSEASGRVTISNSNIDGEA TYSATCDGYHYWNMYFTGSSDQITLKDNYIHHFSGRAPKVSGNTVLHAFYESSGHAFE AGSGAYILAEGNVFSGVSTVYEESSYDVVYAVNDATGESACSSYIGRDCVANSFTNSG SFDLSDETALEQFSSLSNIASAVSVSDVTGLATSAGYGTI L198_03568 MPRIAARTETYDTIRECNRHYRAKRDHILHMLGKASYIDGSQFL ITFISPKGEIHSFQSTLLKEPFETKQKVDEGGGLLHMDSLKYHAAQVKQKLKQRREEE GKSNAQIVPGAKGKLLPVGSDDEDEDDVEDDDADDIDPDRTLVEPPTPAVASSSKLPS DQDKTVFTIQPDDIVPYYEKRFSSVQQEVCKQVAKAWIKVVEPKKQSRFPYNKGDKSK PKWWPKSIEHRAPDHLTKEQRLSLLIHMLRGNLAKVADLELSVATIMAWLPADKLEII REICLVARADEVWRASGKTDRPLVLTIPDSLREVWLAGETLPRYTNEAPLGAAAVRAA TEGAAVHAAAMAEVQAELSQAMSSASAPPVEFSKSSLVEKTKKRPSPLGMSDKLNARM PAPKRQRSTPSINYTPVHPNDYNWRPQGYMPNHQPPFTPLGLHLHDPNPYPPPFSHVP LGPQHHQAPPPHVHGHMGEHVPRYHHVPPIMTRHHSMGEPSPIYLTPAMASPMLEDFS NQQGEASSFMYIDPSITQPGSAGIDPSSQTSSTSLSAPTPTSAPPALSQPIPGSADFS RSGSQQGYMQQQQMEYLEAHTPQGYEYLAPTPQGDTGFERFYEGESFDYGMPVVSDQV Y L198_03569 MPLRPYTSRNVFNHKRGVPRMSWAPENLFNLWQRTSPDSPLKRE HDFTRTNATPFQLRFTAKRLIRGYHGDHIGFTKFSRWYMPEKLPAIHQAEKKSAASAE MGKWIEGRERAGGRTLDEKRVKKKAEDSRAPIGTMLFADVERRLDVAIFRVCFAQNVW EGRRYVVQGHVKLNGQVIRNPNVMLNPGDVFTVDPSAIVMLQAPKPKLAPAEEVESEE AVEGEEVSEIPLPQTASALASSSTYFRLPEFAQPHIFVPAYLLPSYLTCSAVYVRHPT ARANYSEIPSPYDAGGELMSLGWEYFKRAAPRMRNKTNKWVNPWGGFGKQ L198_03570 MSFARFGLRTLRSLPQSQVARSTNLISQSRLLSTEARKLIDDAV QSNPLVLFMKGSPEAPQCGFSRAVCQILDVQGVPRENIKSYNCLEDQELREGIKEYSE WPTIPQVYVKGEFVGGCDILLSMHQSGELEELLIKEGLAPPIPEGPESSA L198_03571 MSFSLPFKYINAEELAELIKAKPVSEVKDWAVVDVRDSDFAGGN IVTALNYPSDTFHAKVDELAEKLETGARVSSTSFRHTCRGPKAARIYAETRAHHYPNP STPQEIYVLRDGFSGFQSRYRATGVVPAFNQIETHPSLIQPELYEYANKKGIVIIVIT AYSPLGNNTTGKPRIVQNPDIIKIAEKLKKDPAQVLVAWGAYQGFSVIPKSVTASRIK SNFEDFELPKEDFEAINKIGKANYARANAPADYDLVWPINIFDTESEKQYKKAF L198_03572 MSNPPPVRRRTSLPSQSSKSVVASIDSPASRTAVFIKHGKYVLV GGLGCWYLDYPDVVKRTLEARHGWIRRVLITGLGLHIATIFIFLYLVLFLPWVRGFTP NYPKWQESARLRIIVPLLTASIIGGWTCLVISLSQAGKTTTLQSVLDAFKAVGNASLE QMEGREGMGIFSSMAGATALFTLTLGILGMIPAPSSAMKKRE L198_03573 MTVQPSEKGPIPVTCFTGFLGAGKTTTILSLLQQLPKDYKVVLL KNEYGDVEVDSILASQSNITGVSEILNGCLCCTSVGLISNALLEVQSTLKPDRIIIES SGSAFPATLALQIKELEPQGFKLDGVVTVVDCVNFGGYEDSSPSAQLQAKYTDLLLLN KHQIPNEREYDVLLDRLNDLNDETPKIKIGPAPSNPPKPEIIFGLDSKLWDATGKERK DWGVIGEEGKWHGDEVEVKGVYKGKRPVKHDHAHGEACGTCEKGEQEVETVGEVEPLE REALEKELAKLSFEIYRVKGLVRLTSPTKPYETHILNYAFSNFTVTPVPSLDEDEDLK GVSLRLTVMGERGEVARRARRFAEALGAGVE L198_03574 MVFWNYRLVVTTSPGEVPDGWRPTMGALDGLEVKRGTHTPRYCK TCEHYKPPRAHHCRSCKTCWVNHCPWVGNCVGFFNQGHFIRFLLWVDIATTFHLLMML RRVMAVAHYYIEPSLSDVLFLVFNFAACIPVWLCVGMFSIYHLYLASGNSTTIEGWEK DKVATLIRRGKIKEVKYPYNIGMYKNLKSVLGPQPLLWLWPQKMRGDGLSFPVNPEAG GESASDDWAGIVAPNRDLDGPRVGGGTPSSTAGGSGSGTNVGRGTGEEHHDCQYFWPP QDPSRYPNPPPRPSTASPFTYGDGFNPNLRPSNALRSRASRYHEHSLDPNDPNHTFEE GEGEEAYSSGEDRDNDSIRTSSSPEPYLSDYDEYNEGPLAPGERMTRVRRGSEGWEVM PVRGGWYAGGGPGVGYEEEEQGTWQEQEQRVWDDQAGEDGESWEGVRGSHRPWEDRGR YNIYYPEE L198_03575 MAPLLSNGVRIVLALSAISLIPSFALAASRIQVNGLNFTLSGPS VSYQFHVNDDGDLIHDYFGAFAADPIRDLTEPGNGWRSPLAQHRREFPDQGRGDFRLP AFHIRHDSGSTVSQFVYGGHVIVEGKPALDGLPATWGNASDVSTLQVYLVDQKSSLTA TLSYSIFPDIGAITRSFKLKNEGEANVTLERAMSWSLDLPPDDWEMVQLSGDWASEGR AMRRPVYTGIQGFQSSAGYSSHFHNPFLALVHPDTTETTGSAYGFNLIYTGSHTTEVE KFTPGFTRVLMGLNPLHLSWVLSPEETFTTPECVAVYSEEGLGGMSRAFHRLYREHLI RSKWVDQTRPVLINSWEAFGFDFTETDLEGLAETAKDLGVELFVLDDGWFGNTYPRTS DEQGLGDWQYNTERFPQGLEHLVNNITSLTTARGEAMKFGIWVEPEMVNPRSSLYDAH PDWVLSSSSYNRTETRNQLVLDVGRPEVQEYIINFMTDLLSNASISYVKWDNNRGMHE LPQPSSAHSYILGLYHVIDTLTSRFPDILWEGCASGGGRFDPGLMYYWPQTWTSDDTD AYQRLFIQFGTSIPYPPSTQGAHVSAVPNGQLERTTPLEFRAHVAMMGGSFGFELDLG NMTEGERGQVKKLVEVAEKVNPLVISGDIYKLALPETSNWPAALYVSKDKSEAVLLAY QVRSTIMTVIPALKLQGLEEDATYNVNGTTYQGSSLIKAGLKLGWEKGDYLSKVVWIT KE L198_03576 MSLPSSSSLPPQTPSKTSQECAPNINLSRDDSIDLDAIAAEPEE EAVHFCLLAEFDIDAGATLAYQYPYPMGTDEHQLAELMLPDGAHLRPEDWTVFYLGQT ASNAVDPLLSHEAASLRSGTSDSDHRSTMMTTGRATRGVAGGGLLYVLNCVRMKEDKS MRRGAMVKALAICTPNPYIGIYKPLLLLALEEYFNNPSPEILSRLYDSANAISTAGMP RLSRNERILLRSSERKDLFEEKFGIAEPGSGTQETFEIGSSEDHSSGEGARSEELHAA SMGHRKTLSSSSGIRMTRKGSSQSSRMLGTPSSSREGGVTPDFGMGEGGRRKGVPRDT HFFETEARFKKITVPIRIPMTIFDEDVGDYSIIELVQTFSQTLTPFPPPYHPHLHTNG ASTHPIILIFNALLANKRVMFLGHGLPANQVARMVLAACALVSGCGQVLRGFPECAFP YANLASLDILEEFSGYVAGVTNPRFEDLHMTWDVLCNLETGKVTVSKNMKSGSISTGS VGSRKSSETSLNASLVKVEDDNISGTMTPQPKMISTSRADCVDNQFMEEIAAAMASHY GESNIRLRLTDYLHRFVRLAAYQEYAHTGSSKIGYPTVHYHDGQLGSGVVFADEQMKQ REMWANGHRIDAWRKTNSYKLFSKDWESRTKRRAIEFDIHHQISRLRMTKNMSDSEAD AIFSSLANGVRTYEQVVELLTHLPPHGGGIMPIANGLFHQWLGVRENTVDLLLTLQQY PIGRIAVTAMNYFHRKTLAQLLERREMAYRLQRERQLEQEEGYGSPTDGYSGGFGWPG NTATPQSGMARA L198_03577 MSGKKSVDTRTVFRAPEEDLEMEDSVSAPLLAGGPSRRNSAITS EQLDLLEIEGPNSASRGGLMEAVTNMANSIIGAGIIGLPYAVAEAGFVMGIFLIIAIA VISDWTIRLVILTSKLSGKTSYTETMDHCFGPLGGYSVSFFQFIFAFGGTAAFHVIIG DTIPRVISYIFPTLSEHAILGLLVNRQVVICLCTVFISLPLSLHRDIVKLSKSSGFAL LSMGVIVFSVLFRSVAVDVSLRGSSLDTFSLVKPGVFQAIGVISFAYACHHNSNYIYR SIHVPTLDRFNTVTHISTGVSLIACLLVAVTGYVTFTDKTQGNILNNFAEDDWLINIA RFCFGANMSTTIPLEVFVCREVIDESFYKGKSFSQTRHVLVTCAIVFSTMLLALTTCD LGIVLELSGGLAASALAFILPAGAYFTLLFGPWTSRKKLPAAIIAAFGVIVLVLSCGL TLKHAWNGEGGKTQC L198_03578 MDSLPIAFGKQNRQPSTSTKAKKPRPKKANGGPSHSSHPQLPSK PPQSAQGRGSNQTELGQRGAAGSSWIAGAEKRKEEANGGAASSKRPRQSNGAGAGRYD AGPKGNHFSRGQNIGYLLAEHMFEDPWARLPFKQSR L198_03579 MTTAEVHEANLSKAMAVAKNLLKDVKPPIPDGEIADSVWHYWFD GEKAAAWLRSDREKKGEAPPSYLQPTPRQNPRPRPRTTLPIPAITPSTGDIEPPLTAL QRLSLSRRQSPAPASSGTSLPSQEDKPMSKLALLAQKRREAATNPATPSLPLRTPSRP STPATPPSLQPAASSEAGEKKPLSKLAQKMAAARIAREEAAKAAAEAKAAHGEDQMDV DEPSVDVVPVDDAVLSLFSVKSLPDPSRVVPSPSCPSSFFSILTTTCHPHEKVNGHLP PEPVSVNLHAPLVTDLSRLVKQFESAFGESPDEIVLKKRQGRAGTGNMDAAVPLTLAL YAAAKQKQAQAKSLSLPGKPRTQPPATHAKSKLAASQPNSPTVSSSKGSSKPGSTGGT PRVGAGKNGLVNDMEALGLSEEMTEAEKERERERYKEKVVISLKQEELIAKAKEAEEQ SGKKNVSLIVVGHVDAGKSTLMGRVLYDIGELTEKEKIANERGSQKVGKSSFAFAWGL DALGDERDRGVTIDIATTHFTTPHRNFTLLDAPGHRDFIPAMISGAAQADVALLVVDG SPGEFEAGFERGGQTREHAWLVRSLGVREIIVGINKMDVVNWSQDRYDEIVESLKPFL LSAGFNATKTTFLPLAAMKGINIVGNSLPALKEWYSGPALIDALDTVEVPARPYEIPL RIPVSNVFKGQTAVASGVAVSGRLCSGVIQVGDRVRAVPGDEVANVRTIEVDEDSAPY AVAGQNVTLYLSNIDPVHLSIGTVLCPTSLPVPLITRFSAQILVFDLQSPIIAGTPVE LFHHSMNLPATISRLISILDKGKVVKEKPRVLQKSMTAMVEVTLRANSSGRVPSIPLE TAADNKEMGRVLVRRNGETVAAGMVMELLE L198_03580 MSDMTESDDEQYKDHRVLASHPSQFKILLMPFISPAIYRSTVQA ARTRLPTATARSTAGITLPFALFSSSAPTNDMSSQPKVQKSEDEWHAVLSPEQFRVLR EKGTERPGSSPYDHSFDDGVYHCAGCDAPLYTSKTKFQSGCGWPAFYDTVPGAVNRHE DRTLGMTRTEITCNNCGGHLGHVFKGERFGNPIDERHCVNGISLNFKKD L198_03581 MAVGKNKRLSKGKKGIKKKVIDPFTRKEWYDIKAPAFFETRNAG KTLVNRTQGLKNANDSLKGRVLELSLADLNNDQEQSFRKIKLRVEDVSGKNCLTSFYG MDFTTDKLRSIVRKWQSLVEASVDVKTTDGYVLRLFAIGFTKRQSNQVKKTTYAQSSQ LKEIRGKMVEIMKREAEGSDLKELVQKFVPESIGREIEKAAKGIYPLHNVYVRKAKIV KAPKLDMSKLLETVGEANDANTGSKVIKSGEFVEPEVLTSV L198_03582 MLTRKSRSPRASLSIPSPNNNNNNSSSNSPLASPASSAGGKSLS SGYFPPVNRPAPKRVSKDEAFKNVKAMEGLLAAWNEYRHAVTLQGKAGRKLAGALRDM IGCMDKTEVAAQTMRPAAAMMDGVADLTIKLAKRVDKEYEDANADASKHFTLLAKESR SHDAYLGAIGKKHDKAEKAYRKASKTLSDTSNAHAGLQALKDTLSDDINRAHEDHQGL LGSKQAVLLLRLASSMGVVATSQFAYFSDSVRKAGTVYPDIEYFRALSDIRWHSALPP SLDEQHEDAVRAEIRLMKARVALGEMDIVGKDVWDGSKVTGNVATTKPASGEGKSVES KPNAKVAFETPPENAEKEVVPAPSVEKKPEPQSPYRKLAPSDLSKASSPPTATQHTRR PGLVSHASSDSQQSGRSGSGQAAAVIAPLALVRGSAEGKDQGEKKERGDMEQTVARAI SRYSDNDFRGYPDPRTPPPISTESHPNSADRPNPIPLPYSPTRMSVSQMTAAIDPSRA ARQSHRHSQSVSNTSSQHTLVHVQSHLPQHRHHPSISQTAAQAYKDEVYRQGERRAQG NEAAGQSGMTREEVVPRQSERRMQEESDNGRDRLGQYPYERVVERPRQSNRSRRVTMP PTYSEPAFVYHRPQPPISHEDFYYASSQSSGHGTHGSHRDRPAMLDPTPMYGETLYHR LPGGYPEGERVVERRPSGVIAPRPMRPLGSSAEMEEYRD L198_03583 MPPQQQYSQAPAPSRFKHLLSLKMAAEKQQQAADPVPTAEQVAQ NTQQLQMAASFARINANDTVFHKDFASRHYVGVNVEAMAKPVDQGPAPQPYSRPAPSY PAPSTPQQPQNYQYNSMPTPQNMMYTAQAALFGVPPACSPVAPTPVHQAPPQQPQQAQ QPQQAQQPQQAQQAYWDMQVKTEYNEPVYDQPPEPESIVSIQLPTRRIYYASSGDVPS TLNGLAGDGWGSADNGSGGQENGGHEGHDHGHGNGDDGLGGDGGNGGYGGSEGDGSDQ GKHGRGKGRKLSLACHFCRRRKLKCDGTKPVCDTCTKRGETCTWDEHVRRRGPGKATK ERREKAAREALAAGLTNNDSLHNRPSVAGPSSSYGASDLPQGLDGGMEHHHHEHHGEN PHGIPQPDPSHAHEQGHEHELNLDIDPTLIALSAVMPETLAELEVKADGEGVHVYESL EGQHNQGGHVQHQLEHEQGLSAAEMEAQAKAALDQLPPHVQPHLSHTLAPRSPEPEPE PEPKRFDEDDEDDLPALPQDHFEIEDLSAHVIGQKRGAEDEGLDEGVKRLKADEVLVS GGQEGN L198_03584 MAAPGHDYDSPDVLARMSALAIADTHYHPQSPVPNNYPTGRYPY YTPSGALDPSAYAGQMPPANFGYGAPPVELMSPTLQPMSFDTFSGPAGEVYGNQQDRN QAQGQQGAADGQRYRPQLNQQQNNPYFGYPDQRSFWMPPQGMYVQGGGGERKKDSYQP NHRHAQGPHRGGPQNSTNPSLGQYPGQNALQSALFSHATGAGQYGLPAQYGGLAAYPP AQGYASGYVARSSRRYDDTGVVRSALLEDFRLNKLKKWELNDIFGHIVEFSGDQHGSR FIQQKLETASPDDRQKLFDEIYPNAYQLMTDVFGNYVTQKMFEHGDQMQKAALAKKME GHVLQLSMQMYGCRVVQKALEHVLSEQRAKLVAELEPHILECVKSSNANHVVQRLINL GPPQSVPDSFIGHVEELAKHPYGCRVLQKTFENLDEDMKRTLLDEMHQCVLTLTEDQF GNYVVQSVITMGRPEDRNKVIEQLKGRIIQLACHKFASNVVEKAITHADPADKRALID ELVGLQPDGSNQVHRLLRDSYANFPLQTGLFAADPVQRADLLEIILPLLPPLRHTPVG KRLENRIAQMEADTAGGASTNTSVPGSAEASIAMRKSLSASTATDSLGGVAMSRSATG STVPTSPEHSVVTIRSPGKDL L198_03585 MASLLPSFRNSSASNTSPRSPSPPSSSHELSPELRTSADAPRLS PFASPAGLLIPWISESPTYSSPQPRSARSRSRSRGAILGSITMAGATGFIDESHPHLV GRSQEGPAEPMDIPASRVNEPSVGPSRSIPGCSSRVANVPEQSPIPTYETALSQSLTS PVHDVVSQPVSQSWTEDLNWARDYSVSANGGRQRQDIDDYFGLAPDEGEEEEESPVRG RSGYRNPHGDSAEATGRAPMPPAYSPEVGRDELQIVSSAHLSPDHPASGFFDAIATSP DGADPPPAVSPNDPGLTVGNKKLSVRIEEGSARRWNQNSTGPIYIKAGRKGKVKGTVY VGPVDHAHKLEVSILGFAKTSFYVRGQFSMIDTLPLVRSSLILFPPARDSQDDSLKRA KDGTLLMEGNSVFPFEVEMPSAYWKDQTSELPPTCNIQQSGLQASVEYVIRIKLARKG QLRTNEEIGIPVIYEPRSYIPPRRLRLLAIADDRHNPGWRTIDLKGGNPVCPESLSAP ISATLTLPSPFILFITPNQKLPTIPFHIHFHHPSGGLPLKVFSDPRESDWVIRLQRRV DVKVGGEKEVRYTELTCKVEVWEEGGEVIDLNALRKKKATEGSKPRRPSEDAESSANA QSKTESPRKKSLSLTDRLRRMSSTPAVATLSNSPTGISSIEEHPPVPSAPSSSNHEAV LGDGVQAHLATDITVHGRLDVQLPTTIATSDSMRKMVQSFNTPDFTVLYMMTVGIQPK KGAVKENFGFMYGRAMVEVVWGR L198_03586 MALSTSTYPVDAVAVSPNAVIAPIASWDLAGEVAGLFCDVRRAV GLLPTSTKSAMVAKMAATAYRWVENVPTSDINFKINGGNIIGETDVMWI L198_03587 MTHVADSRKISAFMSIKNGGKFLVYPSGSTPMREWASPDTFAAL LPDLFPYGCGVFEDPLRPKKDRRFAMHTTFPFICLNIIQRRQSSYQASLQTPLSTFDH LAPLLEAVTVDTLASIQAKLAKLKPGVRFNPETEAEKGATALLRKVTLMSSSMFGSVG SHRNMREELRALLRDSGMPSLFVTLNPADSKNFVVSVMAGRDVDLDERLGLGDEVKEE VSQRFSAASTYHGACAEGFHFMVEKFIDVFLAYGSPEGGIFGKCLNHYGVVEAQGRGA LHIHMLIWLEHAPSPLELRKHAKEDPEWAAEVGRYPPCDDDALAFRQRGEHWSLPLLQ PPPHLPPDMSEEDWQRVRQDVLEVLECGQLHDHSFTCFKHLPKLRRAQKEEVLQTHFN DDGTIDIKRTHPKLNMYNLILVAAFCCNMDIKFVGSGMMGMAAVYYISNYISKVALDT PTMFAAIEARFRRLQRNAVS L198_03588 MSLRAMALRRLPRYTPVRPLLARGYATPGSPKPSRNPPPPPGLE SVFGGGKQGTTSAPKPPGVTIPGGPSLPKKPDVGLPGLEGEENRPEDQKDVDEPRKNR LSEQLSGKAGKKVGTGGGGGSGGGGAGGGPGGQPGGFGGMTPNQLLMVAISTYALWSM SSPDDVRSKEITWQEFRNSLLARGLVSSLEVVNRSKVRVHVHNPIGQNGVQQPNASGT SLPSPPHGPAPYQFTIGSLEAFENLLIRTQDELEIPPSERIPVSYREEISTFQTIMHF APTLMIAGLLLWMARRGGGAMGGGGPGGGIFGVGKSRAKMFNKDEEVTVRFRDVAGMD EAKEEIMEFVKFLKEPQKYEKLGAKIPRGAILSGPPGTGKTLLAKATAGEAGVPFLSV SGSEFVEMFVGVGPSRVRDLFANAKKNAPCIIFVDEIDAIGKSRGKGGNFGGNDERES TLNQLLVEMDGFGTNEHVVVLAGTNRADVLDSALMRPGRFDRHIAIDRPDIGGRRHIF GVHLKPITLEPGLPAERIAEKLALLTPGFSGADIANVCNEAALRAARHGGEFVTEADF DGAIERVIAGLERKSRVLGKEEKKTVAYHEAGHAVCGWYLEHADPLLKVSIIPRGVGA LGYAQYLPKERFLFTTEQLIDRMAMTLGGRVSEEIFFGKITTGAQDDLQKITKMAFEI CANYGMDSAIGPISYGGREQQGEGFQKPFSEATAEALDRAVKKLVMDAHTKTTQLLTE HKEDVEKVAQLLLQKEVITREDMRLTLGPRPFANKDEMDDLIERELDRKRSEKPKSES GPTPQLAFKPTKID L198_03589 MPPARHLVLALATFFALLPLALAVKHEDFRQCSQASFCRRLRSI GAKQEAAGKSFQSPYSVADPIPSESGGSWNWHVSSSLYPQIQFELRVDILQQGDGIAR VRVDEVESSTPFERYNETARWVLLDTEPALDASASIKTSNGKSVITYGPSSSLSLEIV HSPLKITQLRNGKPEIVFNDRSLFHMEHFRVKDVEAQVSEGEQVVLGGDALDRSWFEE SDADAFTEKWKKWTDSKPKGPEGLSIDISFPGVQHIYGLPEHASPLSLPDTIGPNAHY SDPYRLYNVDIFEYLADSPMALYGAVPLVHAHNKDHSVAVLNLVGSDTYVDVLHDKEG TKTHWISESGILDLLLLPGPNPDGLFKQYALLAGGTPLPPQWSTAYHQCRWNYNDQDD VLTVDSEFDNADMPLDVTWLDIEYAEEHRYFDWNKKVFPDPVAMLEAVASKGRKMVAI IDPHIKKTDDFRIYSDAKDLDVLMKKPDGSNFEGWCWTGSSVWVDFFNPKAWEWWTRM FNLQTWKDSTNALFVWNDMNEPSVFDGPEISVPRDTVHAGGWENRDVHNINGMLFHNQ TAEALIARESPAKRPFVLSRSFFAGSQRFGAIWTGDNLGDWEHLAGETAMLLSNNIAG MSFCGADVGGFFGNPSHELLVRWYQAGAFMPFFRAHAHIDTKRREPYLFEEPTRGYLK DILRLRYKLLPVWYNAFKEAAVWGLPIIRPQYAVFPDDDKGYAIDDQYYVGGEGLLVK PVTVEGAVTTEVYISDDQPYYDFFTHRLYPSSPRTTLTLHTPLSTFPVLLQGGHIIPN RPRPRRSSPLMWQDPVELIISVGKDGKAQGQLYLDDGEGYGYEQGEFVWKKFELNNGK LVGRDHEESGKKGSAVTVFNPDNAFAQAVADVKINSIVVLGLSAKPRSIVFKSTGAQV EFEWEDGKAAKGKKEGKASELRVKNPGVSVVEDWEIVIQ L198_03590 MAFRPTFFSSFLHNHAPSPSLFSRNIRTFHARPSFTPRAVAIAR PHPSQSRAFSPLGLGIAASLSLFTLSYSLPSKAVKCEQTNGWHGSSAAQQLPTRANVS GEPRSILDEKKPQSIVNTYELSFGAVCGICAGVFVKKGAKALAFLLGGAFVFLQYMSS KSYITVDWAKIGSKYDSAFGTKTPTGVRGPTIGKVWGGFVDFVTANFQQRASFLAGLA LGLRLG L198_03591 MASSFGLASDRRKSRKAHFSAPGGEKRKIMSSSLSKELRQKHSA RSIPVRKDDEVLIVRGKYKGREGKVTQVYRKKWVIHVDRVHIEKSNAATVPVGIHPSN VVITSLKLDKDRRAILERKGSKNASAEEKKDVEMTE L198_03592 MSVHSTPPHPSSGRSTASPPQFESSEAYLSLIARVSRTESQLGA LSTQVAQLSDLVRSALPNRSGFAPPEPPKRPVFSPFDSDPALEAGGGASNTGFPGHHQ PKPSPHIPSATTPSALTNNENPPQDASISALTQQISALSTSVAQLQRLQHTQTQRQPS FPPPTQSVQPQQLGSSSLAGDRHPGPLSLGVPPRHPGGIDTGPLTMPSTQLPLSFGGL SPTRPNMNRSISSSVVQPEFQRHGHSHSHGHGPLGHHAHGQHGSSALARDSMARDWPS PGPGGMQTPGGQGRDGLLTPGGAPGGGIVISKWEHLNLKVDLLRSISKYGIGPPNKIQ TRVLPFMIKGSDIIAQAPPTTERIISYVIPALHLCQSLLQPTGPYAGPSVIIITTTVD QAMQCHKLVRGVGGPIGIRAGIAAGASGSSNLQNEIAQMQREQIHLLVGTPAKVNEVM GVRGGLQGDDTRLLIAMDQLIARNLYEHVLNVSKLLPPPRGRGGALTPGGPIPFSPGL TSPYDAGRDSPFNPASKTPFPNANGAQGGASRFGAPGQSPAPPSVSNGGPGGVDRQTC LFSNTIPTDVINFSQSLQVRDPVRVLVRREGGNNSQESVSSVTPGVNLKHTYVYLTIT GSAQNGNNAQAEVGPGTIGSGRSQGGPGQMNEEQTRAKEYKLNMLVKMLDDYPLWQAI IHVGSFAILEAVIWKLKSRNWEALYLTPDMSQQQKKAILQQWRLSLSGNGPRFLVVCD VNIKPPEVPWSPLVINFDLPRSVEGYAQRAAAAVPPSGGGGRQGQQGAVNGVIVSFVQ AAGGDVEMLRSTECAYRFKSAEIPTVFHDLFQH L198_03593 MSVYVGESRGELLAWLNDLLAPTVVTKLEQCGTGSVYCQITDSI YGDLPMSRVKYNARMEYEYLDNFKILQKAFVRHKIEKPIPVDKLIKCKMQDNLEFLQW MKKYWDLHSRGEGYDAQGRAGGIIPSTSTATRPSAAAARTGVRTAHVASVPGSRSTST SAASNAQVAAMQARVAEIEAHSESLLKERDFYFDKLRNIELILQDRLAVEGVSQEETD VMTKIQDILYATIEGFEVPDDEFLEGEEGLEPEEETF L198_03594 MLPPRFNQPQERPPSNPASHPDDAIRLTDDDAASSRLSATQLGY LPDPFVSLLYRAPMAHGAAPSGRAARKPPLINIGTHHRTWGIDLLVEQFLERGGKQIV SLGAGSDTRFWRLMSRDSPPSIHKYVEVDFPHLTSPKAQRIARHHKLSQYLSPISYSI AQGGTELSSSLFDLIPLDLRPASSSSKSIPSTLSAELLPHLDPSQPTLFLAECLFPYM PPEDSEAIVGWFGETFKDCVGVVYEMVGLDDSFGKVMRRNLAVRNLSIPGSVFPTPAS QAGRFQVSQLGEGRFDDAGARTLWQVREQAIDPKELQRISKLEILDEIEELRLVLEHY VIAWGTKGAGMSSISL L198_03595 MAKIVPPMNFGLVEDAGFYRSAQPSELNFSFLEKLNLRTIIWVG AEEPSDILQVARPSQGIKLYNLAPQTNHLPPLPPPPEPLIIQALSLLLRSSTFPTLLC CNMGRHRTGTVVGCFRKLQRWALSSILEEYRRYAGMKVRVLNEQFIELFDTDLVSITA EQATA L198_03596 MARITSVGMGRKKFVASAAEEAQAKTATDPQQQQVEKADKPANA ESSSKPKPKAGGTEPAAKKKRRGRERTKDETGKRVAIGEKKGADDGKKPWGRDANVAR KLVIGRANLSSKHAEDRIKRRAEQKNMNVTCFACRSMGHAARDCPNILLAATTVGAPV EGQESEAPQNEVKSSRRKGGKKGGDVTTGKCYRCNSTEHGLFQCTEPVDPTNPTPFAT CYICLASGHLASLCPNNTKGVYVNGGECKVCKSTAHRAKDCPDDKREKVKDDGEQRRG RFDVVLGVGQGAGADEDDFMVEARHRPAERPTKNKRHAPARNSERPMKRMREVGDEGP VYAEQGEIVRQPDEAVPLTGRKKVEGVKKAKVKAVAF L198_03597 MRPFALRSSLRAIPRTPRTTPIASPALSTALLVRPFSSTLPTLK KNKHGQGAKSPKQKIRVTEDDEAGAADAEGAGEVVIEEVVQKARGKMEKAVHWAKAVV FEGVERGRGRVTPAILDSVRVTIPDTPGTVHLNSLASVTVKGNTLFVEVWDSASLKQV ESAIHGANLPGISPQRMGGTTLKIPVARPTVEQRTEILRQLSQTTETAKTQIRTARTD GFKALGGRKANGTDAVQKLVDEMCKELDEQMVLAKKEFEKA L198_03598 MPFRTPRTTTHHHHHGVYRDNRARGLRAAINNPRTTHAGRDNAQ HELHAMGMRSTRPGLGTRIRHFFHLPAKSHNRRHTHRAHTTAPSTTTTTTSTHHRRTH RY L198_03599 MPAHPADPPVPQSARPIPTQNRNNLDLLSESSTGSWERGRMANN ARSPPTGSAHLPESLASASSPAPAEGGATRPWPEDKKLSRPNPATGRQAFPTAPHYPR QPREGYGFRPASGQTTPTNPAAGSVNYPFPSTSRAGAGLNAREEEDDDDMDHRPDGKT MAELQGEIREELVKDGLDHDSLVNAAKSVVSTGGSSGYIAGIADEHGLGWPAKSTHLR LHSTPEEKAANQQLLTSALRTVLECIGEDPDREGLQRTPERYAKALLWMTKGYEERLV SVINDAVFAEDHDEMVIVRDIEVFSLCEHHLISIGYIPNKLVLGLSKLARIAETFSRR LQVQERLTKQVALAVEEAIRPRGVAVVMEASHMCMSMRGVQKPGATTVTSTMLGCFRQ QQKTREEFLTLIRSPSVARH L198_03600 MSATTAVPTGGVTAQDDYRLPTDVHPKHYDLVVKTDLLSSPPTF SGELIVTLDVEENTSALVFHLDKELAVTHIAISTSDLKTTASLVIPKEQLKIDEEKER GTVPLDMLPGGGLKQGQKDVKVFFKFESELKSAMHGYYRSEGDADEDGKKPIYGLTQF EATAARKAFPCWDEPLIKSKFTLSMISRNGNTNLSNMPEISSKPWKAPSNASIDNTEG KTETSGSSDEWKISKFETSPLMSTYLVAYASGDFAHLESEHKSKLTGKTVPLKIFATK DQIKQAQFALDIKKWALPVYEEIFDIPYPLPKLDTLVAHDFDAGAMENWGLITGRTTA YLFDPKKSPLSAKKRVAVVQCHELAHMWFGDIVTMEWWSQLWLNEAFATLMGELIILE RVWPEWKPRAEFLKTHLQSALELDSQRSSHPIEVDCPDSNQIAQIFDSISYSKGASVL RMLAGVVGEEKFLKGVSIYLKKHLYGNAVTKDLWDGISEASGLDVAKIMANWTLKVGF PVIQVDESAEGKVTVTQNRFLSTGDVKPEDDETLWYVPLEIATLDKSGKVSVDHKAIL QDRTGTFDVANTDSFKLNASTIGVYRVAYSPARLVKLGQQASSFTIEDRVGLVSDAAT LARAGYGKTSGALSLINELGRDETEFLPWSQIGAALSRVVGAWWEQPEDVRKAVNKLR VKLFKPLGDKLGFENAEDDAPDVKELRELAISTLAAAEDEGTLKEIKERFQPFLEKND DSRIPPDLQRSIFINVIQHGGKAEYEKILDVYRKPANPSSKVDALYALCSARDEALIE RTFAMLKTEVKDQDLYIGFFGLGANRYTRHKLAQYFKDEYDTLMKRYPDGYGLVYLVK GAFASLTSKEDLEATKKFFDTKDISKYKLAVAQTYDSITAASNWVERDVKDVEAWLKE NKYL L198_03601 MPFRFGSKSKNRQRQASTASADAAHPAADHPRSSQNSPAGGPQD SHADDYYTGTEKVSEHGDHEGDHRAVGAGGGQSSGEQQVGAGREQRPQGGTEHGGKSG HARQHANSSRSRTPSPPPLRPTQEEFAMSSEDKFKAAHRRELLAMREIGRLEVKEQQA ADAAAAQRYDTAARKWRWLRAKKGAEFLREQAKREELEGLGKFVQ L198_03602 MVTYIDVPSAPGRLSYILSTPGKKVAATEIDPSFPTVLLCHQPW VNMYIYYPQFEDPSLNEHFNLLAIDLPGHGLNRLKEPLEGEITWPRMAGLFYEGLQAL GLKKVHLVGSEVGTLAPMHMAIAHPEIVESLTLVNPPGRTDPESVLLGYAEWFAVQDE VRDTGNPDLIDILSAIMLGFSTGQTTNLILRGLSDELAVFAKAKMFHGEMSSSHQEPP SKSDRTCQFVPFHGVFMPLLKDRFSAPSPEELSRLDVPTLIIENVVIGDEEESEASED VWVDIVDKVNALAASRGKPPLATRHTLVGEAISRFHATSRWTTLTHPELLTSLLKDFF VKTTSSSLFIHTESPLGRCQPRQNETAFDVGDIFNFLLDPSMASASQAEVKTWADMVS EMEQCGGVSIEETEVYVEVEE L198_03603 MDFPTLVIEDVVRMEGDEDGHDLIPVNKVWVEIIDKLNGLAASR GKRPLAARHALVGEGVNKYGAVSRWTALTHPGLVTPMIKDFVENTTTSSLFTNTESPL GRCQPREPEYPPDFGDILDILQESSAASSSQAETKTWADLVSEMQQGEASAVQVEVEE L198_03604 MVTYIDIPSAPKRLAYILSTPGKRVATEIDPAYPTVLLCHPPTA CGYYYYYGQLEDPSLNEHYNLLTIDIPGHGASRMKEPLEGEITWGRIGMLFHEALQAF GVKKVHLVGSDMGGQAALSMAIAHPEMVASLIMLRPNGRPEPEIVAMGFVEWFALQAE LIESRDLDLIDILVSILLEFVTGQMTNWILRDICEGLGDLCKAKFMRGEVIL L198_03605 MVTYIDIPSAPKRLAYILSKPGKRVATEIDPSFPTVYLCHPPWT NGYFYYPQLEDSSLNQHYNLLAVDLPGHGPSRIKEPLEGKISWKRMSLILYEAFQALD VKKAHLLGNDMAALAPMYMAIGHPEIAESLIMINPLGPPEPESDLMKLTCILSCQLQA ELMESQNPDLLDILDTILVKFCTGQMSNWILRDICDGLADLARAKLLCGEYEAYHRVF MALLTSRFAAPSTEELSRMDFPTLIIENFVPGEEDDPDSGIDVWVDIVGKLNALSASR GKPSLAARHALVGESITKSNSTSRWTTMTHPGLLTPLLKDFIENTTSFSLFAQTESHL GRCQPREPEYPPDLGDILQGSSAASSSQGAIKTWADLVDEMQQGEGISEVYVEVEE L198_03606 MGGGEEVWEDLMEGELGWGLGFDHLPSPTTKRTKKVGVTGKYGT RYGASLRKTVKKMEITQHARYSCPNCGKVAVKRSNVGIWNCKGCNKAYAGGAYTFGTP SAATVRSTIRRLREVAEI L198_03607 MSQASSSAEVPGSQQHPPPVPTSKPPPLPTTTALVVAGVTRKQN IACDQCRTKKIRCLRADKTEICVQCKAKASECTSEYIDSLANKKKKLDDDSSSSKRKR KKKEDESPRQAAAILAPAPHQRPEPSRNVSAETLRGHTPFSSIRGDMSAGLSIVPVNH GSGSESAANSTEDFVQIADSLRQLAQPEPSMPQNSSYTLPSQVPLPPTTIASFTQQPS PSEKQQRLFRYLFSAHPVLSGELGYIDTSSIEQCARCESDLWDEMGGMVWEEGPSAAH ASMDDDALDKLVEELIEQYFSTVHPRYPFVDPATFHARLYTPNTHPEGALPHPLLATV IAWGARMSDCELIQADREECSARGVQEEGRKRSRLVQVVSIRTREVCESRKIWRWASM DNVRALLNLEGVLGHINGVADYQATYASAAVKHLISFGYHSTKGVMTIKDSKERSDTI HIFWHVVSNDGYRAVFQQMKPSLLDEDYDLEPPGKNVDFRKVTYIDEPINELAWFSAV ENGASICRALALSLSSPLTHTRGIPLTLLRNFIHAADAYQETFHRILHLSSDWPEDFL QVIRACAHDTSYHALWLVVQRAVAEYGVEDEKEPGQGKKGFSGMGVGAEVEGVKKRIQ GESEHAALRIAATVAVLMENGYLRSDPLIINQPIYEAGLYLAKHGKVECLACIVGLKQ YSTTFPAIWSQVKELERVYENQVAQDPSVGQVGTQTEPNEQQKQARLEEGVNEIWQDM PRTFW L198_03608 MISHSHPYLLGSLTFPLPHLFSSIILLPAPPSNGSDMLENHPGP SFNVLALTFDIPNYERVDVIRGEDPAGREPDLEVSPRNTSRLGGGWDWGASHEVITIS AAPALPSPPPSPVYNTTHHRRPPSITTIAPPTAPFQVRPTFTVEARAIQEADDLLRRR LSSSQRAGEGDEGSAIWFG L198_03609 MEDPASSPSSSTFQLDSPSASTLLRTADTLEGLAKQLENLKDDS DASGGDGEVLRCCCGAAVGDEGSECRMMKDKQRTEDKLKMSGELGTALLQRYEVLEKK NRKEGERHQQLIEVKRTALAASVKRVHNLEKANTTHMQKYAEMSRKMEVVEKRYAEAM HTQTLTQQSLTHVRSELTNLRDTNARQTVALASRGGVEERLLDAEKRFEEARDLAAEE TRKLRDERRKVQRAESRIAELETQLRMATKEADAVREARAHDAQDLLANAKKRLETLH AELSEVNNGESPEHLPEHQRILEELVANNTLLKHDAAELSHSLVESRDEVRTLRDEIE SLRASIGSSRLASPIDQYPRLAHELSPRFSHSRTESTPIPGWNQSRLSPWEHSRKLST GIAGLGMGPIGEAEGSVASGDVRSTPVSPVVESPQQETRPSPGGGIGYMVNGVLKHRP ASARFSGDSRVMRNYASRTIGSIDETISQDEPQSPGSDYFRAADISRKRRSLRLSRQF TPSPNDISDYSPNASTMVDQSTSVDLPSPVSESAVPERKFESPKQASRRTLLLLTKSR GVQTDPIPEEKVTSERGRTQKITTASSSRDTSATGGSSAFATPNPEDGPASSLLVVVE HMSRILAKLRAADVPTLNKRLKKANLSGDVMHLSQTTLRSLQQEISEVRHHFRGIHNF GMIDPRDFNLLLRLMKDVFNDLVELQAVVNDVTITPAVAKKLQRAAYRAEEDEAAKAS NVSSGLGWIAAPITKFFVTPADAEGDAANDTSPGLAAVAGLDRGKSMTMPRKAVPKQQ AMASATATHVSVNFGGTGMVRKIAPAVGLSGPGGEALSSPVPPVDQRAVSGPATLGRS NGGLAPPQTIRTLRSVKSKANRNDLLGIFAGAAPRSSTPEPWTVVKPPDAGSRAARGR QYEEKTVRPFAMDRKKLSSAVDAVIDQSAIEEDDDVAIAGSYEPPLLERQLRPRGLSD SSIRSTTVSLARDFTHHIPAAAPAPNRAAAYGTTPSTSGSVFQTLSKKFYSFRAPETL SPEPEDSSQLGSTPPQPPLITKQIPSPARPIPRSPSRASSVSTTTSSTRGDRSSRQTG ASPVTASSSQTRFFGYIANSLAPGSEALEQGMEGEQEFVGGNFRQGAMLGHARLDSGK QRNI L198_03610 MSSPPNLNFPSSSAPAASPPPSDPGQRPIVDPLAFNDVHGLGGS IPGGGPNGHRSPNGSPGGSEAEEDGPPRGGRRGRGARAGRVDPDSIPRVKDTTGEKVM ESFVLFLENFTDNIALPDTPASGMPQAQQEEGKYYVNQIADLEATQRTTLFVDFGHLL EREEILARAIQSQYYRFLPYLRRAVQALVRKYQPTWLYHSTSVNTNQSVPTSSLVTRE FNVAFYNMPLTSGIRDLRMDKIGQLMSISGTVTRTSEVRPELVSGTFTCEVCRTAVYD VEQQFKYTEPALCPNITCNNRNLWQLNIEQSKFSDWQKVRIQENANEIPTGSMPRSLD VILRSETVERAKAGDKSTFTGTFIVVPDVSQLGLPGVNAEMMRESRGGRGEGGPASQG VTGLKALGVRDLMYKTAFLSCMVQNADQRSGVMDVRGDVEDGQDERTSLISSLTTAEL DELKAMLEKDNIYQSLVQSIAPTVYGHEIVKKGILLQLMGGVHKQTQEGIHLRGDLNV CIVGDPSTSKSQFLKYVCGFLPRAVYTSGKASSAAGLTAAVVRDEESGEFTIEAGALM LADNGICAIDEFDKMDIADQVAIHEAMEQQTISIAKAGIQATLNARTSILAAANPIGG RYNRKMSLRQNVAMSAPIMSRFDLFFVVLDECNENVDLHIAQHIVNVHRFRDDAIAPE FSTEALQRYIRYARTFSPKLTSAASAVLVEKYRSLRQDEGGPGKSSFRITVRQLESMI RLSEAIARANCQHEITPAIVREAYSLLRQSIIHVEQDDISFDDDEDEDGQGGPGDDDD GMDEDPQLSAADIAALDEAESSYLQGTSSSAQAQQEPSARSASVQIPEGSRKKLRITY NKYMEIMNLCVLHLSEVERETSTGVDREELIQWYLEQKEDEVESEEDLETHRELIAKA LTKLAKDQYLLEIRGDVREGLSGEEEEMGATDDSEKVYYLVHPQVDLTDLSSSIQ L198_03611 MEPNFSPFSLPLHAPTPRPVYPVSARSSPSIAPPLRSMSAQPTL DYFPFSTESSILLLPEASHPRQYWAQNKGHERKVRLLARDMGYEHDSLPFLPDEPRYA PANAPRRPVSRAEQRTPRPHSEVSPPRALSSSYPTRHTHVPLSPRHACTAPPRAASPL SAGVRPGATRQHPQGPRSPQRSPQLRLSSIQRPTSPRFHRPVSPTLPDLSPDSPEQYR HSTVSLPSPSPSQPGSPGTAKDSLKGILGWNGGEDDEGDERYGDVWRGVVERRRWDQQ VTSRSPVLQEPPKTRHRSSTLPSSIPRPKTPQPLSFPPTKTSFLRSLFSSPPMTPSSP LPPKPPSPILKAPPTSPVLAPLPQRFDVERVYESLRSAKGGGQVWFDEVEGVGSPTVE EEEEEEVEKVEGLKAQETKKRKTFPW L198_03612 MSDITLEQKCKLASTLVEQTPPGEINDVINDIRAIIADDEALMP HVLPALRAYNLKQLHVVEHPEEEGSPAHTSLLSEASIVPGAETERYIDAAGKRSFAFD HLTFTVSDYQPYQLPDDEEQFRAELAESLTSYTKNHFPSGYASVSCSQFPLNPESQPS EPSPAAAVPVESPKESSEPVAKATETSEIPEATPPAEEASASVPPVTDVVPEQINAGD LEPAPTPAVGDVADVRKEELVEPENLEKLDEIVEEEKEKEEGLGEAGESAEERPKVEG DEVPAAEEASPVVEEEVPAVAEEGDVAPEETPVKDVEEKLGSLSVEEKKQERVENPVY TLEVVGNRYNPSNFWTGRWRTRWTVDQAAGQVNGVISVDVHYYEQGNVQLATNHTASF PYPAEPKGTQSVASQIVTSISKIETLYHLELNDVYAELGDKAFRALRRALPVTRQKMD WDKVTSYTLGADLTKARG L198_03613 MQVDPAQYLDAQLATSPAELKPWWTKIKDQYERKLWHNLTVTLT QFVFLPGTGEYQIELFDNFITTIESKINALKLVEISRRVGREYSEPDRTLAFLQSVHS RLTSPYPVPATEDTPESPAPPAPAASAYALSLSSIAYAQLLLGDLPACKVSLDECEKL LGEQDSVEPAVNAGYYGVAGDYYKVKADYAPYYKNALLYLACVDVQKELSEEDRKSRA HDLCVAALLGETIYNFGELLQHPILHSLTNTEFDWIKSLISAFNAGEIGKFESLATYL PNEPILESSMSFLRQKICLMALIQTVFERPRDGSKREMSFQSIGEATRLPVHEVEHLL MKALSLNLIRGSLDQVDSTADITWVQPRVLEGKQLDILGEQFRVWSESVGKTTKRVEE QAKAAKTAVAVQ L198_03614 MSDLRAASPKIEDDDANVDEELALMQAQLEAMEAEKNALASASA PAPTSGTPDHQAPADGTGEGTPRASGEGADENMEEDGESTASVDLRSVYVGQVDYSAT PEEIQAHFQAAGTINRITILCDKFTGHPKGYAYVEFAEPSCVQNALVLDNSSFKGRAI SVKEKRTNLPGMNMTNRGRGRGRGRGGYRARGGFRGRVRGRGRGYY L198_03615 MATKSAASVVDWSKIYTGLGLDKHTVTALQSFRARHTAAINKNS ALKSTVPELDLAQYKSVLKDQQAVNLAEKVLGEFKAVDYDVSKWNGVVDAFEGKAVAA AKETVSKISAEESSLQATLSNIKDARPFEDLTVDEVSKARPEIAKAVETMVKKGKWTV PGYREKFGDLSMM L198_03616 MSGILQAISVITPLPPQPLSRDYDGFQVCWKMFCFRPSVFTFEA WAFGLLGLYLLAYVAGKALNVNRAKSTIQPYITLIRSQFSSTRPLVHSSPALHLLFAT GRRSVLALHTTISLYPIHDLPMFLFGLGRSFIEPTYVFEENVVFDFTIGHGADGVSDG TGVFAIVSKDGMKDVRSKRWDLTFTKLAETSAVPITHALFTEHSDLTDLVLKTPNVGV SDLLKDSDSLSVLKYLLVSDVAAERPARGPIAPKSKSRHVILSVHKPSSPAQVEAVKA WVQVALNLSDLLAKGPSVLFKPDVARKLIKTRQTVDASLLAAYQKEKLLDSGEPTAEE TAEEKRLAKKKAERAKMSEKELKRAEELDKKREMRKLQKKQMSK L198_03617 MLPLLALTALAATTASASTDMIQRFKQSPVEWIYPPPRSGFNAS VANYAGCGDMPAGSRTYFPMSGGQLSFNSLTVAADISILHVAQINPVSFHSWTASGVD IEDMSPGEFCASAPDFESSYEVGDQLTMIIMYQRQELMVLILALRRQDGLLRLCRHIT LVETSNYTAPSYTCSNTSSLLTMASGVSTSAGQEKSVYANGTSSSTSSSVTVTTTAGV SNGSGLSAAKGGGIGAVCGVAFAAALVGAAYALGYLPFKKNRGDLELVHDSDSMRSNI PLNGTKFNGKKQVV L198_03618 MLKIVLAAIGIASAVHAVEITLHSTGYSLPVADTHQTPTSVYPC GGISPGESRTAYPVTGSTIAFNSTYPIVNMDVLWVNQSDPELFHDFSTYTNSIIAMSV GEYCFAGPEFISLGVETGENVTMLVIYEASKDIFGYTEYNYACADLTIVDENSFAESS FTCANSSVIIDIAPLNESMILQGENFTGDQAREGNGETISLTELPTTSATTNSLGCCR LLPMRTGVPLRALPLAPLRLLLPRAHRAPHPRLLP L198_03619 MAPKPPASSARAKQPKAGPSTIAPVKSTKKRAVEQVEQESEDEF GDQGSGIDMSDDEELAEEDGEEDGEDAFPEFDSELEDGGDELELADSDADDSDEEYDQ DDEESGSESGYNSSDIEAMYESSASSVSSHDEEGQPISTDEKLAKMIAKNTIKPNDAI GTDAKISTAKEGVGRRVPSKFVKGSFMREYDEYEAGYGSESSTEDNPNTVGNIPMEWY DDLPHIGYDVNGRKIFRPLQGDELDKFLANVDDTAAWTSAEDKLMQQNVQLTDKELDI IRRLEKGENPDADFDQYQPTIEWFTGEGKERVMPLSAAPEPKSRFVPSKWEHQKIMKI VKAIRQGRIIPNKPTAEKPKFYPIWSDADQHNPHAMYMPAPQLPPPKTAESYNPPEEY LPTEEEKKEFAETDKEDRKEDFLPEKHSALRLVPRYQNLVQEKFERCLDLYLAPRTRR VKLNIDPESLIPKLPAPKELKPFPIAPSVQYRHPGDTRVRSLSTSPDGQWVASGSEDG VVRVWDLGNGREVWRWDLHAGAIQYLEWSPSREESLLVAGVSGKLAVLAPLALVSPHI AAATLTHANTAFASSAATTKQGAGKEVRGTEAIKWSRPGEKERERGVLVYVEVPGTVK QVTWHRKGDYFATVASEAANKSVLIHQLSRHASQSPFRKTPGAIQRVAFHPTKPHFFA ATQRYIRLYDLAGQKLIRTLQSGVKWISSMDVHPGGDNLIIGSYDKKLAWFDMDLSAK PYKTLRYHNKALRSVAYHPTLPLFASASDDGTIHIFHCTIYSDLMQNPLIVPLKILRG HKVIDGLGVLDLRWVAGKPWLVSSGADGEVRLWCS L198_03620 MTSHPPDLRPWRSALTSNSDAVILDLTPGAAQPVLATHKAPTTA DVTSGIQAAIKTTLEKANVDKSRIQAVAIGTTSFVNSLVERDASKLEKVAVIRLSGPF SRLAPPFVSFPYELRHVLEGPIFFAQGGLQVDGSEITTVDPLEIRAICAEIKRQGIVS VAVSGCYSPIDREIRQEELVREILLDEVPGIKVCISKEVANIGLLQRENATILNAALL SFAKLTVAGFQESTRALNLSCPLFLTSNDGTLMTCEQAAKFPIKTFSSGPTNSMRGAN FLATLGSKAKRKETALVVDVGGTTTEIGVLLPSGFPRQAASYHELCGVPLNFSMPHVY SMGLGGGSRVRKDASGKTTIGPDSVGYRIHEQGLIFGGDVLTTTDIAVAAGTSVTIGN PELVKKIAQDDIEAAQGRIKSMLETAVDSMKTSTADVPIYLVGGGAILVPDELRGVSK VHRFPFYDAANAVGAACAQISGVIDTFEDTSTMSLSEVQRIVEQRAIDKAVAAGADPE STVVVESEAIPIAYVTGRCRFYVKAAGEWKGSSASAADDAAFEDPKFDFTWDKKTPVS PVQAGNADRQIPAEDIVRSTSELVEYKPKVINGEWFLSEIDIEWIATGCYILGTGGGG NPRHVYLALREMMRAGSEVRVIDLESLDPSEVVSYGGNIGSPEVAAEKLMSDGASRTI RALLDFMKLDNLGALAALEIGGGNGMVNMVSGASNYLNVPIIDGDFMGRAYPTGWQTT VNVYAEDDTGSLVLPTAMSSGDGTTIFMTTAKHYKDIDRVLRGACIEMGTSASVAARP LSKNELITPLIRNTVSQSWRIGRAVALANRQAQIGNIGNILVDVLGGPKSAKVLFEGK IHSVGRRLYKGHSYGEIEIHALAGDDEDETGPKKERFRGVMKIPFKNENLLAETTLDG KTEVVAGVPDLISVLDAQNGTALGTPEYKYGLRVIVIGITAAPQWTDTPRGLELGALP AFGYDLPYKPLGEYVKPRSVVEEFDTTI L198_03621 MKERMKSGRITVGYVIISAPPCSAQILSIKLNRDNVPLPPSRRT WGVWSYAGFWICSAINVSGFAAGASLLSLGLTMGQAMTVCVITNFLVAGAVWCTGQVG SYWHVGFPMWNRMVWGLRASYFPLANRIILSFTWTATQGWLGGQCLKVFIGSMFPSIY TMKNTMPASTYMTSADFLCFFLFTIICIPCLLIPPEHLRRPMVTIAILSSLTAIILFI WSLARSHGGGPLMKPEGLALIGVSPVRGSALAWAMFHGISSTLGGVCAGILNMSDYTR FATKPRAPLITQAIVTPIAGVLTSTIGIVLASSASEFYPTATLLWTPYDLLAAMQTYG NNGTRAAVFFASLVFTLAQLGLNIPGNCIAGGIDLASLLPKYINIRRGAYITLAISIG MCPWALMSGATAFIAVMSGYAVFLAPITGLMVFDYYFVHKQKMKLTSLYECSPSSIYY YNKGVNWRAFVAWAFGVGPAFPGFLQSVGAKVTVPSGATKLYYICWPLGFCISGVMYL SLCTLFPLPGIGEVDEEDEFQAFGDASPAVDPEGVAEPEKDLTEKEATENKVISDQPT HVNVVGAQW L198_03622 MQPEAPPHTPDNPTSPARLFPHHTPQPADTPPSLRHRTPRHYHH HDQPAHINTVRIRFKTPHSDAEAGLDRIKEGWEFDQDVLVGDIKNRLSEGSLEGAGRW VRDGMRFVYRGQILKDQQKIKDALGADPSNDQVFTIHIVARHIADSFEYAAGSSTAAL AAVTRIEEPTYSAAQSAAYSSLNSFAVLESIHFILFLSRYNLSVILGLKPMEWHETSP PPIVDIEEARQGVISVIRAFAGVRMASEEGWEDWHIACSGISSEEMTVGYTDPAQRGV IENDIRSTFSSLVGRNWTDRYSRQVIETEIEGHVYTVQLPSMDQCSPEILARLYVYLR QLALVPLLNNVLYDAMSQQQQSQPAFAPTPAPTRTVPVPPILIPTPTLASYGTFLYHF FHAFYGRLDGILLRQLAFATIRVFFLFSMFTYSLKWTDPMYWVICAVSALWWASTYWP LFTLAWRSAWWETYGPLEDAIRANGERRGGPQGRDQAAPRVAERQIPTPRNHTSMPRG HLLARAHLATDRNLIAARRRQPPPWWQTQLALPIVLWVITLIPTYEAQRARIIRLRER AMRERALPAGGDTAGGAAGEDNVPTPEPVIPEGLAPVARRYYERVLSRTERIDWDEER EAQRAMGAGEDGEGGAGGRA L198_03623 MERFTQNPAELFRIVNIAVGGFAVAGGIGSLIKHHFSSIIIGIY EVLIGAVIIFLEVRPITEEHKALVHKYASFMHSFLGRGVFYLLLGVLMLNYYTILYVC GTVVGVVGAAYIALNFVPAVDAPSTMQAPSTDEEAQPVWQGPTE L198_03624 MSEKNNTSLPLPIQSTQPSPASTRHSSWKPKILLTLLFLLATSL IHPSSPAHKSGRHFLSGFAEQDPALKNDAVSKFGLGELQGWAKCPGQPKALYPNTTWE LTEEEKERAIKHYQEAVRIPTQSFDDNGEPNEDPRWEPFFEFQKWLKKTYPLVHEVAN VEYINTLGVLATIQGSDTSLKPLLLMSHYDVVPAPEDTYDRWTYPPFSAHNDGKDIWG RGASDIKPLLIAQWETITHLLSTGFKPRRTIILSHGYDEEEVFARRGQGHIAPFLEER YGKDSLLMVIDEGGGTQDDFYGEAFALPGMGEKGYLDIKISVGTAGGHSSRPPVHSGI GIMSQLLLALEDNPFQTKLTSASPYLTALACGSEYSTTFPSSYSSLLSSEGPKSYPKL AKALAETSVSEKSILGTTTAVDVIHGGVKVNALPELVTALINFRIDFSESVNSTQEHV KYWAEKVAKDNKLALSAFDGRPVEELEGKYLKVDVLGLPLEPAPRTPSEGGVWELFAG TVKASLPGPDGKERIVSPYASTGNTDCKMYYNLTKNVYRFGAASSNSGHNAHTVDERA SIAGHLVMIDWIHAIVQNADAYTGEE L198_03625 MAEQIDNKQASTFTPIHPFDMDTPKSWGSTIALMNWDTDKIVFS KRSLLEFLKCVIPKVVVPELIWLLRSADVTVNQNFTEIQKLPKYATFGKFSQAATDAA VADLVDAEIGDPTHASNVPSSKATVPRGKTGVNIFPEEYEEEDALSLAPPRDGGDGVD LEVERLEKLRIHVEKQKEGGATIEEVTKDASGNRVSNPPPGFKPTRKVTQAPGGKSSV GSILFGDD L198_03626 MLSAELSTINSQLMSHGWAKRPLRLNALSEKDHNDVVAVLFELL GASVSNLDVLETLNGRHRTLEYEYERLQKTANNFKTTNSRLDAEAQAWKFRCAEMEKR LLAEQNKTKELREENARGRKALETVKVAAVHETKKIQTCLDKALGQLAKVGDLGHKPQ GLVLLNPIPAGRIQPVAVTQFPLLEQTLRDLTDIQSSLQEETEAFRHVTVTTGNALRE ALAASMGQEPPQGLLQSQFFTSPSTISGSRSNTSQSASSLSHPNIAQERLQSLIADLR TRITDRVPQWSPSTGEAQENLTPEEIEERKREERDAEKQQRDLHDRIKDLEVELECAK RKEDEASKVVADYAKKQIQQEVNVNDSKDDFEMEKQRQALDQERRRYAEEAVKLREQR RRLEDERATFLEDKRQKEEEVAARLAAIAAVPIATVAETAVINQPIPKEHEVPKGPSG SNWFHQHRPHSPSPLSPQGPRVRTPKAHMAGARRKSMKTPLSRLVLEKAVRQKGKAMG ISELLIREKDEVSRASVLGAERGRKTNLGSSSSVRPGSPSRKGKEKAGESTMGNSVMT SFTLAKSRTSAGSTGSAGGTTRVTPPAVRALAGSSRSRTSGEKPAVPETGSMRTSTGS AGTGAARKHEIGQAGGVGPKTVGKKSVWRG L198_03627 MPVVPQYISVGSNRSSSCSACTSDGLLFFGAGKLIALWDSTSPR GVHVTLPGHKGQVTTVKLLPDGRLVSGDSIGDVRIWAESQGQWKSVMSWQAHRGASVS AIGAMSAKGHLDGVLVTGGSDGLVRIWRVGGSKPEEVQKIDLKGRLALDLDLAYLPGT QAPILAIGCTDRRIQIWTYASNIFTRSLSLEGHEDWVRCLQFTPYPSSSSTNDLLLAS GSQDNFIRLWRVSPIAPQEAAAPDMDEGLEMLDEFEKRLAGEGGGNTQISTKAHILGV QDGDDAIKFNITLEALLVGHESGLTNVHWSTAPTTLSTTPLLLSTAADNSLIVWQPSS ASISTDGIWVPTNRFGAIGGRGLAFYGAIWGQDGKSVLAGGWNGGWEKWVLGEDGSSW EVKRGLTGHHGDVQSIAWDPRGEYLLSVSSDQSSRIHAQCDLPSFPQPVWAEIARPQI HGYDMTDATFISPFRFASTADEKVTRVFDAPEGFVESLRTLGVSKRKRDEELRPKGAT VPPLGLSNRALQKAPVDGDKVEKQGQNEAIVSISHTLTTLPTEEELATSTLWPEVEKV YGHGYELVAVASSHSGRFIATACKATNEEHAVIRVVSTSKWESVGHALQGHSLTITRL GFSGDDERILSCSRDRGWRVWQRRSANEEDGYALLAGEEKAHARMVLDCCWAGERSDM FATASRDKTVKIWIPSSPDSSQWVSSASVKLTEATTAVAVVAKPSSDGYLMAIGTESG SISVHSVNAEGSEVAHLETLDHRDTHVSTVNRLAWRDIDGALSLASCSDDRSVRVFSV DL L198_03628 MQVTSLLRFSGVCPFLGHSSPATLRAMANTTHTNGSALTQSALG CPMMGPKLATISASRSYASVAGAKEVAQMHQSQKPASEQPAAAEPAQSSSTKAVHAKS VDARRNAAKAGAYDYQRFYENELEKKHKDKSYRYFNNINRLAAKFPVAHTASVKDEVD VWCANDYLGMSKNPVVVGTMKRTLDRYGAGAGGTRNIAGNGALHLALEDEIASLHRKS AALVFSSCYVANDACLATLGSKLPGCVIFSDASNHASMIVGIRHSNAKKVIFKHNDLA DLEAKLATVPKEVPKIIAFESVYSMCGSVAPIEAICDLADKYGAITFLDEVHAVGMYG PSGAGVAEHLDYEAHRATTDSPEPVKGSVMDRIDIITGTLGKAYGVVGGYIAGSSDLV DVVRSYAPGFIFTTSLPPAIVAGAQASIAYQRESIADRRLQHLNTREVKRQFKDLDIP VVPNPSHIIPVLVGDAALAKEASDTLLAKHKIYVQSINYPTVPVGEERLRITPTPGHT TEQIAHLVSSVNSVFEKLGLKRIADWKAAGGRAGVGMAEDLTPEPVWNNKQLGLDDGS APQMLSKGAKGAVKDKAVEVAQKRLTHLLGPEAGPALSASASASF L198_03629 MSYSTTSRDSNKPTRLYQPSEPEAGTSRAAHPYYVPRSQAPINP STIPPSPSFSLSPEMSSTAAHSSYSSSFYSSRQSFAESSQPQYGFSSSAILPPDLARV APPPSTLVSKGSYVTIHRWGPSRGTPGSFVSVTCDVDVQNPPLNAGHPATPPPSAGGR GTRTLRLLFGNHPVQTSAQVMQPEGYRASCMLEATVPSLAAMGRSPEGTGAQAVPVTI QVLSDDAQVLETVPLGQFTYASDSNLARKRSGEPLESTRRSPTESPFQRRPSGYISSP EMKQYDPLTSWSGASKSHNRRTSTSTMGSSSHSNRPSSSSSSMSQPSFMRATQVIGGA PLNAANTPYVSTGQKAILNLTGDLTGVAKGWNSEEWSARRRLVQFWRRQEGTTIHAQF QVVAQAEWASIQSSIVVSCMFWEEKNACFISSVDIIYLLEALVGTHFTVEEKNRIRRN LEGFKPITVDKSKPDTAKFFKQIMNLPNPRPRNIEKNVKVYAWDCLEVALQKIISKYS ASFPANQVEGMTSSGAPYRVPQPSDPASPLMKVYDPLSTSSQYSVPPSSHPGAESLYS GQASYSQLPSSRPQSSSSSTTQLLSNGDLSRPSTAGDPMGAPGQSPGPSSSRPGNSGV GLYFDMPQGYSQKQNGQYHMGSLSGNMDVPPSTPMSSAQMGGMYAMPPPHSQPPYTAA PQHQYPAPTLSQTQHMEGYPRQGDMGMFYPSFGSDGQEIPPPSSYGYLNRDGRLDK L198_03630 MADAKLFARGKTSELRDELRASGDKRDKGFMRKKTALKKIVANM TMGNDMSPLFPDIIQCMGIQVLEIKKMVYLYLINYGRIRPDELNRAISSFLSDCADRN PLIRGLAIRTMSSIPLPNIVQALVDPLRHGLQDADPYVRKTAAIAVAKLYASEPGKKV IEREGFVGMLRDLLADHNPTVVANCVASLVEISERGDDITLKLNVNVAGKLIAALGEC SEWGQIYILDSLLSFIPQSHYEAEQLAERISVRLQHANSAVVLTTIKVILYLMNYMED EGLIKALERKMGPPLVTLLSSGSEVQYVALRNILLIIQRRPSILQNEVKVFFCKYNDP IYVKLAKLEIMYRLTREENVSDVLAELKEYASEVDVDFVRKAVRSIGRLAIKISPAAD ECIRTLLTLLQTKITYVVQEAIVVIKDIFRRYPNQYESIIGTLCENLDVLDEPEAKAA MIWIVGQYADRINNSEELLEDFAFGFREEPAEVQLALLTAVVKLFIRRPTAAQELLPK VLKLATEEAENPDLRDRGFMYWRLLTADPAAARDIVLSEKPPISTETDRMDKGMLDQL LLHTGTLGSIYHKNPHTFIRTAKPRYLPDSNALNASSRRRLITPRGFSASAAVRSVAP PVVPARPVSTVNTTLQPQQPQQADALNSPISPIAASNDPYGSLIDLEFGSQGGAGGYQ TDGPRPKGAEEDLLF L198_03631 MPRSLLATYRLVTRSTLSLPRFAPALVSPRNISAARVQGIRRYT ASTESGEQVIYDKLKAQFPGSRLEVQDVSGGCGSFYAILISSPAFKGLSTVKQHKLVN ECLKEDIKGIHGLQLKTIPE L198_03632 MRNYKFTGPLRPVYPLSKKREVPAHIPRPDYADHPQGMSLSEAV RERTAKILTKEEQDGVRKVCRLAREVLDIVAAAVKPGVTTDELDAICHAACIERDSYP SPLNYVKFPKSICTSVNEVICHGIPDQRPLVEGDIVNLDVTLFHGGYHGDLNATYPVG KCDSESLDLIATTKAATEAAIAICKPGVPYREIGNKIEEIIKPKGYGIVRRYTGHGIN QLFHCLPTIVHYGGSKTPGRMEAGQVFTIEPMVNLGSANLEHWNDDWTAVTVDGRRSA QFEETILITETGAEILTRIPASSSSKKNKKKKKNASAAANGTATPDSSATPEVGTPAS EVAKGLNGLEVEASS L198_03633 MSQARHFFKTKAARDAVRLLAWVPVGVFFTRHVYSLATVSGGSM QPTFNPELSSKPLDKDVVLLERWSVAMHRYQRGDVVTLWSPQNPELLTTKRIVAPLPP LPPTPVRIPPGHCWVEGDSKYQTRDSNTYGPIPLGLVTARVSHILWPWIRAGDVLSSK GKSEGRVKRLGEEYRL L198_03634 MSTATKTKIAVAQIRSTGDPVANLRRSENVIRSAVKEGAKAIFL PEASDFIHPDKTHSRTLSQPLAEHTFTLNLQKIAKDLGVVISVGVHEGPEDESEQRVY NTHVLIGTEGELLARYRKVHLFDVELKKAPGPDGTEQPPQRVGESERILRGESITPPV QVEGLGKIGLEICYDIRFPEVSIILTRLGAQILLFPSAFTIKTGRDHWHTLCKATAIQ YQTYLIASAQYGAHNEGRTSWGETVAFSPWGEQLGKLRSVDDTPPPQKGEQGDQEVEQ LYEESGEYFITEIALDKVEEVRKQLPLAIQKRADVYGVVGEHAKID L198_03635 MSSTPILQCQDWASIRITPSSSSQHPLDSPQFSIPPSILPHLEQ ASKHLHASQTVAVPTETVYGLAASSLDPEACQRIYKIKNRPSDNPLIIHVSSLDMLRR VLPPGYVFSPLYLALLSAFWPGPLSLLFPSFAPPPPPAPQTNAIRMPSHPLALALIAH SNLPLSAPSANSSGRPSPTRAEHVYNDLNGAQGLGCIIDGGDCGVGVESTVVNGLEWK QGGGGRVDILRPGGLGVEEIKRIVDEVDGQEGKTEILVHGKPWRKATLREPPKPVLPL GALADKIVLPPSTPGMKYRHYSPRVPVFLVKPSNTFPRPSGVSPETPSHPLFVLQQIA QRISPKGAKKRMGILHFEDSPFITQLMSLPRDVELIAVSLGPSAATAAQRLFAGMLTL ESVPSPSSASDEKGGVDAIIIEGCSDEGLGLAVMERVGKAVGGGGLLGDVAKDDGKVV GSGNTFWVDVVEI L198_03636 MSPPLVTIYTTSLTSAPTVRKHHDLLRSSLKGWEIKYVEHDLVM EEDAKRRWQRAKPTGKVIGLPGYLVGGEWIGTMDDFEDAVETQSLVQFLKQDLDIPDE PAPASGAAPGTAPKQKSIQEVELEKLMGEMTNDDLDKLMQDLDVGEDAGTMGLRHQVQ AEAKKSEEAKPEKEEEKLERRPEEEEKPLEAKKGETEEKETNRLAIESTRKADPKQVS SGKSGYSLEGIIVDAITGKETAGLSVKPAEEHEEDDKEDPAPLTTKDVESVGTTRGEG RRTVVDEIKEANELEDKEEADITVAKSKSE L198_03637 MASEHLACGPRRRETVQPVIGVVFYSTFGHVAALAEEVIKGAEA AGAIVKPYVIQETLSETILGKMHAGSSLKPKYPVITPNDLKELDGFLLGAPTRYGRLP AQVDAFFDQTGGLWATGALVGKFVGTFTSTAGQHSGQESTHITTFPFFAHLAYVPIGY TDPSVGNVDQVQGGSPYGASTVAAADGHLQPTANDLKVAAHQGSYFATFVGTFVKGKN AITAAGNSAPIAAGTTSTEPASEVPATKEGESSAAPAVGAAGVTGAGAAGAAAVASKN TNSETPVTDSTTAPVTGDKAVTPESKPVDGTTGATAASTEKPVDGTTGSTATPAEKPG ESKPAAGAAATTADKPAGTKSAQKKKKSGGFLGCCGGSNID L198_03638 MSDNTQKIDETPVPIQLTEAPPAPEAPAHETQEKPAEDQPEEPQ SCEKPEGACCGGH L198_03639 MLASASRSLLRARPLVATPLRPQAFTAVRYSSGHAEETFEAFNA RYETFFKSVTDLFELQRGLNNAFAYDLVPSTEVISAALQASRKVNDYATAVRILEGVK EKVENVGQYKAYLAELEPTIKELGIVTSEGLYNQTL L198_03640 MGAFNIPIPQPSITPLATLLATLSNQTGLPVNQLKLVHKGAVLK DTSLTVSAYGITEGANLVLIGKGGDIPAPASSAPKQAAPVAKKPKQPETDSEPVLVDW IESLVSNLLDPLKPSIATFISYTSPHVTNRPAKIPAFEVLQKEHARLSELLLKALLEL DGVNIQGGWDVARKERKEGVRSIQGELNRVDEAWGERKRIGA L198_03641 MASAATEPATQGLPPVGEMTSRDYYAHFGIHEEMLKDSVRTLSY RNAIMQNPHLFKDKVVLDVGCGTGILSMFASKAGAKLVIGIDMSNILDQAEKIVRTNG FTEDQIVLCKGKLEDVELPVKEVDIIISEWMGYFLLYESMLDTVLLARDKYLAKDGLL FPDKATIFLAAIEDQDYKEEKIDYWNDVYGFDYSCIKEIALKEPLVDCVELRSVVTNP CAIRHIDIKTVTKEELTFNVPFKLKATRNDYVHAFLGWFDISFSCCHKPVNFSTGPQA KYTHWKQTVFYTPKTLTVSEGDTIEGTLSCAPNGRNPRDLDIIVDYEVVGEEGEKGRM EYKM L198_03642 MSAGSHSSTISSQNHGISLAASSATLVEPSESTPLLHGSESIKG NISQEVYSDCEEEAEGREVDVYVPGQATFNQTLLNVLGDLIGTGLLACPIAIAHAGWV FGPLILCAVCGITLWTLKILVRIIEKDRSMRNFADVARYGLGPRSEKWIAALFISDCC IWMVALIVLFSDSFEVVLPMLSSNQWKVVGLAVIVPLNFIPLRYLSWTSALGIISTWT LVAILIFTGLATPTSPGSIWHPAPTDLWPVHGPVKFGLSFGLLISGFGGHFLVPNLIR DMKHPEQGERVCEVGYGICIVVYALVSVFGYLMFGRDVSDEISRDLAKTSAFSPLMAS IAVWMVAINPLTKIPLGLRPLTDVVYSFFNLQPTIFVPKAHSSSASPTIPKPNPNDLP SSPSSSVSSTATLEDPHYLHALSNAQRHHDRRETLKSVFRALVAICLLAFFVAGALVF PSFETLLSIMGGGMSVVTSILIPIAAGANLWGWSWYSRSLFGISSVVCVIGLLCALLN NQS L198_03643 MFAPLYAILTLALTASVPTVATPIVSPDWRRAGAPENDHSLKVN PSSFCKGQVVNVSWVDTDVDAAPFTVQIGVGGYYSGVVWRERYENLTDQNMLWTVNGD AGDSLIFQIIDSLRTSAYLQNFIVRPEDYCTNATKMALSNNTSTEMKSDSSNSTSSEL PSDDDDDSDSPALSPNATSDDPAAESDTRRNSSSHPLNQAPSGWSASLKASGTGSASS SPLPVGTPTAAVSQTESRSSKPDGA L198_03644 MFKKRSRPASVRDKPRTEDVEEGQASGSATPAGEDEDNGHTVEE LILLRKLKKSQTHQGIDLEKLNRGADPADRAKAARSKTEQDIKGADAYGIQKGGLSGG GGGDKDDDLADETEKVKRLVRANNFTGQTNALDVDKHMTAFIENELAKRRGQAAATTS ENPEPYDPQAELYKIAEKYQQLETSKKKKVDDDEGNVTNSLGMLTSIPEVDLGMDNRL RNIEETEKAKRAMMELRKQEAAAAAAAAREAEDPGYAAARFYRPNQRVASDLYTSSSF DNKPHVSNRPETATDEQVYERFKKR L198_03645 MPSQPPKNIAIVGGGIAGVSTAYFLATHPLRNSSTRITLIEGTK IAAAASGFSGGFLAKDWHGSATSSLSAMSWDLHAKLAEDFGGKEKWGYRTVETLSIET DATSKSRKPSPLPWLPKGLVHSARPMGSHSTTAQVTPGLFTQFFSEQFLAQPETSLVM GTVQSLTTDPKSNTPKSLVIVSPDGDKSELEADLVVIAAGPWTGRLAEQLLGEKVGGR LGVQGSRAHSIILKTKEELSATCLFTSMTMEDGSMGEPEVYARPDGTTYICGGGDDEP LPSSPADISPSRSAISKLHKQSQALSPIFTPEQGVEIVSEQACYLPIADRGRPLVGKV RGVEGVFVGSGLSCWGITQGPGTGKVLAELILEGEAKSADISKLAP L198_03646 MSAPSGIKVPDTIHQSFSNALANPDTVRAIVFTIEGESFKQHAT VQPKGSHKDDIALVPATLPNLKTPASFAYRLDSKDAGRYEWLMVTYVPDDAGVRAKML QASSRSGLMKALGANNFKHDWFATSVNDLTSQGIHSHLVHIASPPPLSATEKTLAEIR EAEAVEAKREALDPEAAARRKKAIVGLGGLMSWGEGVGEALQKVSQRSDQGWIVTLEI PASNTAAISLLRSEACAPSEVASKLPPKSPCYIFYSHPTPATQASPFPAKPSTTAASA RNTFQGSQGGLRPVNASTVAPSAEDDQKKEGEESDQTSASPVQEVTEEAPSKGRVIFV YCCPSGSPVKYRMVYSTSVRSVRQDAIDKANVDIVSKLETSDPSELTESYLKSSLPSN KPTHSSSLPNPATASSNTTSAPFGSPARAGGNSGHAFGAPAPPGMFGQPRPIRPTGPI RSATTASQIPLPSSNPSTPTVESQGEDSQESIRKAFDAFGPRVGAGGGGGFARPRPAG RR L198_03647 MTQQPLRTVVVLGTSYGGRNASKLLADGLPPNYRLIAIDRNTHF NHVYAFPRYTTMPQHAPKGFIPYKYMLDPQPPAPSDPLTPPQTPPAEAANLPDDQQKL SAARSRHQFIQACVVKLSAHSVTIVRPTGDGKATEGQTSRSKGNMTYGEFDGPEETIH FDYLLYALGATLPGPVNVWQTVDKAAVGDERQLGTKKCGLRFMKLQHDKFEKAERILI VGGGALGIEYAGDLKYLWPDKKITLLHSRTRMMPRYDIELHLQVVEHLAKLGVEIVLG ERVMTWPDEPEILDGKPKIVTTDKGRTFEADLVLPCTGQKAHVSLMAEVDPSLISVTT GRILVLPTQQVHSGPIPSSAIDSTAEQLSKLNFTAPLTPPSSAASITTGSADGSTAPD YSHIFAIGDCAETSAIQAGHTAYWMGEVAVRNMMRLIDRREGGPKKDEVFENYKPGPP AIKITLGIVDAVIANGEGVKPNKEGVEDMHSMVMWPGLNAEGLNVME L198_03648 MAFADQFAVPAYILRHFDISASPWIDDSVAGSIEQRRHSSPGKK LFYDRLLDIAGINGPSVYPPRNPADIRRLLHSIDSTDLDRLKKDCYFYYLLKDFDAQP KAVVVNGYGEGDEMDLDSGALVRPGDEQVVGRAVQFAKKRCMPSQWRLFIDGYWSLDH GLWEDAVGSLSDPSISEINFIPEIVKALSSSVSTPRLASALLHSLLLTTQTELPFGTP ENEISVVATASAASLSEAFAIIRRAPDAQDQSKLREAVWCWALGAPKTSCGQGSHPVQ PKALRELLHIPLLPSEETHLIDFLSRPSRTITTTAISQLHDLVTLRLIHQGNYSQALQ LDKQLAGSAGKQEDKERRSEIVRGFISVLPEVHRRVLLADLESGAGKQMVNGNTEDVD MGWTDGIVDAPTSVSTPPVAVPAQPFASTPVRSASSSSLAHISRAAPQQASPAPERVS SPFHGPPRFAAAPDPSTSISSPRQTQAFSGSPFSLPPKSAAALAQKAAQEKKVLPKTV VNDDVEEEEALLRGSVRGKGKPSAKQGEIRRSARQSSKSLEPEELDENHPIEPIAEDI PWSPPAETLSRSTKRASSIRRETRATVSPPPVPSARRSTRARQSVAAVEDDAPPARRT RGASAHPEPPTPARSRMTRSVSRALADFSDDESVPGLPPRSTKKTAPASTRKKRRGSV AASEVTEDGDRATELETPRAKRTRRNVASPTPSAAGSEVGKGRKTKEVATRTPRMATR SRKA L198_03649 MVVFFTSKAVSPPVTIYMGRDKVENEELLRYGLPTDVWFHVDKL SSAHVYLRQPDGQPHGEWDKLPSALVNDLAQLVKANSIEGNKKDNITVIYTPFPNLKK HGDMPVGQVSFHSDKKVKRAHVPTRDNAIVNRLNKTKVEKEVDHEAEHQERLRLEGRK KKANAIERTKQEAEQRKAWEEEKKAKSYDNLYTDDAFEEQAAASEDDFM L198_03650 MDVQPNAIAGPSSEGALPRRRESDAPPMSPSSAPPVPSAIAVMH PSRFIHTHHPRRASLIPLGMNVISPVAPEHLRERESINPARNVGFQPNYSLDVYNALQ ASPDASRRDSVVSTTSTLTLSRPANWPPQQQATHPFYPPNWSSGPRRSSLTPSISAVA PPSPTRAVASGRSSRPLTSESAQSKTNGRDTASGFLYSAAKGDHHARRGSLPHIGHRN WAGPSQRSWNPTLPPSRGSVDHEDTLPSADFKFGSGPSSAPFSPFAGSPRGKEPKKQE DMSVFEQAEAEEAERQHHAFMAATYGADGQRARDRLSIGGQSGYSSGYSSAGRAGGFR RQSLMMWERMGLFRGSGDESAVSAPIGPTHSTHLMETSINDDDLGPRRGSLPVAIPGG VSRKESRREARVVNLDLSPPEGEDKEEDEEEARENIGEVVPIPKRPLPPLLPLSDPGP RLLPSTLALHRANHLLNARNLQSDPLPPPLPPSLHPPDPVDVTEFDIDFILAGSSAQL GGQPIGANDSVDGLGGPAGFGELSGLPAGTFQLGREEEDTFAKFVGQFDDEYGDRRGE WTFRSCRHRSASTAHPSHDPFDTSDPVREPKAEWESSGAGKYELFANGEVRSIVTGRT WRVQKIGSREYELEDVKPWTGGLQPTVEKGERFSLAGKNVHRDQGGVKLPYFNVGAFL PDAPSRPSPLRKSSGAAGKLRASMSEAIPQVRSRASGGRSDSESSSATLSGLSQSLSN GPKPRKESDRTRALREDILFGSGPGNTGSKKPHRDASKESESAEKKDRSLGGVLKRGL SNLKNSSLVSSEERRLAKEERDREREKVQAHSWSGSSSSSSHHGWSPHSQQARDAVKD YRMSAGNLDHQSHLDGKTIWEEEQSDRVRWLAGKGWDGVPDDAVAMIIPLHGEASVPG TPTSPAPLLPHQFPSGLPKGIPSGINLGDHVVPHPFFSSGTKQALLVWYVPFNSEHGD EPRPSTASSKSSSTSGGHSVTNETPLSGSLPKFQKLLRRKASRDGIKRDHSQGPGQAD GVPNANSPALGKDLKRSGTLGQPLPFRSFRVVARVVNCKEMRSDIEGQEGSTSFEPVE WSGNGGSSSRPSTDALQFAPNETPPDAAIAPFLSLDSPPSEDGVAVESPALPSGRSFP TVIAVCHSRSQGVEFVLEGLDRLGLCKGESAWGPTGYEEWRGTGLSEHGRELVDLLWA GCTAVMGLMGV L198_03651 MAPKTKCGNPLFLKWTEEIRDAAREKGSKSAETYNWACKSIALC PVTYARPRDLVCLKSVGDKLVSQLEKRWAEYCKANGKEVPPEPEKPSPKTTKAKDKAR TAPDDDDGLNEPPKKARKAPAPKAPKASKAYIPNQGTGAYGILMALVLAIDQPQVTTE VFLTKSEIIRTAQEYCDVSFEHSEKGTYYTAWSGMKTLVSKGYVYVTGNPHKHCLTEE GYDVAVAIRNVRPEFSHFKRDPFLPTSAANVAQPIAQAQDTPRNRPLSARDLYVGPSF LPNGMTTTYVPQTKTHSSPASRLGDFNAVATKVAASSGDRFHFWYICPSNKRVKAMTQ ARMRLDPETFVSLRRIEFRYAQRNHAFVASLRIVDDFASATLRDTSGKPTLFGYILET DAPPQCSAPMEESELRIRPPSRDSVPALGSSPLSASLPRSRMSLTGSREASFVDLADG DRVAKKRPAAEPFPLEAQLQALRKQQSSGSLTEADLARPAPSRRPNPYEALLNQGSGM SSTLARTSAVSGAQPRTSSLSALNAGPASDATAGPSRSWSSAALLPSRPADAPWANVR PSNNASVPMIPPGFEDDIVPPVDPPSASIPSFTLSNAIVFPPGSFDIILVVDSREVES KTSRDMIWETLQQKGLRVETRALKLGDMCWVARRKDGLGGEEDECVLDYVVERKRLDD LVTSIKDGRYTEQCFRLQNACIGHVYYIVENFKKAEMEQNALAIMTCKSQLQVHNRFF MKETNRLLDSIDFLVTMTNVIKSSYRNKPLHVIPSHYLSRPTYKPLQEHLKIAHPNLT FHTSFDGYQSLNTKSACVTLKETLARMLMSVKGMSAEKVSALLDQWETPRGLWEAMKM RNEMPDEMGAPPGTGKGKKKKTGKGLFFAEATAHEESRRKIGDALSENLWRALMG L198_03652 MALKEKPLLSRSHIAERICQGQQLIICHDRVLNTSPWAPYHPGG ALALLHFVGRDATNEIEAYHSGLTLEKMKKFTVGRVELGKGGWLPLTPPFALGLVKHP DGVNGHWAKEGDVTLGQQIFQQAISLDPTKAPIPSIPNPNPAKSDTEVITIEPSQLEP PGSDVDMAQEQRRSDDYRELKRRIEAAGLFQRPGPLAGYGTDLLRYAFLVFGAFYFYF NTIGWAGQMASATFLGLFYHQMAFVVHDAGHTEITGDWWWDRAIGMTVASWMGGLSVG WWCDNHNIHHLVTNHPEHDPDIQHIPFFAISKNFFNNLWSSYYKRVMWLDAFSKIMIP LQHKLYYVVLSLARFNLYALSYIYLFGPKPPRDAFFVYEVAGVAFYWTYYLSMLRYLP NWQMRFAYLLVSHVMASPVHVQIVLSHFACSTEDLGPAESFPSRQLRTTMDVICSPNI EWIHGGLNLQVTHHLFPRLPRHNLREASFLVKEYCKEQEILYREHLFIEGNQHVLSTL KDVANQLEILKKVADKEIDERMGR L198_03653 MSATKRAASPSDPDNPKRPRAETASLHSWLHPKAPPLLLSHSPP LHSSSSTFLAFSIAFVPPAHATSETTVAKEARRIVRELDVVSRVGALAMAAGEGAFED GEGRAPGKARAREPDHRMWACRSLCLKDGKNGTEGEDAYQLIESFDDDGEKFGGERIL KVLKEHHAVDVLSVCVRWYGGDMIGPIRFQHIATTVQTSLNSLNSLVHLRDLRQALTA LDEEITLLRVAIAETSSSQAKADDETPAPLIKPKPNPNYDAIDDVAKLERLVVARERA LAVLQKKQKASL L198_03654 MTAFNSHFVFGTTAAFSHVPPVISLALALLTSTPDLVISILLHK NNEENSLSIIEGTPQDVVSRLKLVLIGEKTEWNSVSLSYIQMMELSGGEYAKILAASL SITDCAPWPTPTVFIGDYTSFFFIPVKTKVEENFPHLKPAKFIGYNPQMAAEALLCDG AEENGSLRWVDKALAEFDSEAVVSLQANQLLNGKTKSGDDKIMPPEEQAARMIKAYRA CVTESKHVVKIPGWTPFHVSELWSLKIDWTQMNELAWFQWLSGRQAMAQVPEAWISCF PSSVFEPETIAAARKDEYITNGGKKPYFEVGWFERKPKANWGEGVKEFLDKYEAKSVV YISFGTVVNAGFGLPVIFDYLEQTKTPYIYACGNQYDSLPQHVKDTLAKSQAQGFCIA PNWVDQVGILSHKSVKAFVSHCGVNSALEGILAGVPIVSWGRRGDQVLLASIIHHKGL GVELLQHREGSTIGHATAHRPEVTVTGKPEDLKAELAAAFEKIGGPEGDKMREKANAL ATEIRAKRTGDWEETIKLFGQFGRE L198_03655 MTPYELNDESTWREVFEKVVLPEANDISFVGCPTRASKDKALLR MSTTQVSKKDIKAWKCASVHITREGSQSAMRYDETRLEGLRLDSLVDDISTIGLPDPK VHPRTKDEESS L198_03656 MGLVDKEGVLQQLAASNTFDTDWPTLRSYFESSLEDALRLFLDK GPTRPYRPPGASPPEPVSQGSVTRTETSSPASENPKPPSDTLLLSPSQSTSIIEPVYE ENLQPSTPGGLVVPPFPPIDRSRRRGPSPLMVVRANGIPSQRIAGSALETLDDRSWEE ETAIGGRKLVGWLDEEKGKKEVDRMRALLGEMEEPPFTIQRLAELFLHPTSQHSSLGK FIRAIEKSLLVTTPWEAPSYDYVPPTTFPEHVGGSSSLSSYSSPVSNSSSSFDADTTV PPGSTTPLFSPIPFLVRPSLDPAAASTSESGQLATTSNESAEGLMSPLLISEEKANFS ARSPTPEPEESNAEAIRKSDEAADLDTEMTPLSPEPADIPRPSAPGPLNDPTSPTAPS VDPGITSYLGRVDELDTGPIPTDSLEDAGVKRRASNASSVKANLEAELDRGNMVGGQG EGGNLAPHGMSEKPVPLSSTTVVPEEGAASGGEGRAIKGLRRSESERDLRERFVSGGV QESEGGDVDGGAKEDDGETSEAK L198_03657 MGNGAKAQQKRDRNAKDAKGAGVSQLKTNAASLTIQCDVCKATF QGTSKIPVLQQHVDSKHPKSTVAACFPKVPAVSA L198_03658 MSDRSRSGSEGAGDEIRPSGEDRDSSEESEEEDPEEARRIAEGF IVDEDEEDAEGEDEDPETRRKRKKEEKRRKKKERREKRAREEQAELSEDELELIEENR AMREGRPHKRVRMRSGSEDSEGDRPAPTLQDMFRDDEDRMDGDDDDDLMDFIEEDDED GVQGETEHERRERRRAEKAKRREQAKTRPELTGVDRSSWDEIFAVFGDGQDYDWALEG EDSLDLDEADEAAKKDLRLEDVFDPAEIKARRLQDEDKAVANADRPERHQIVNSTLSD NPVFATESLYPPPDLAAKWLAPKISLRTQYMFYDLDVEYPPQTADNPMPHPVYRRPEL QSEFEKAVSSALNMLFVQHLEVPYLWHYKRDAFSLLENQGQTSILFLERDEIWQVYSL GQRYRAIYERNQQTRQLWDKIEAQRGVVIDDDFSPDLLFEFCSASIEAAGEGAEWLQY HFATEMRAIRDEQALDDATKKLPERGDQEDIRRGPIVKLAEAFGLSVSKIAAIFTNPY GQPQSIVDPDRKPLDMAEEYNSPLFSDSAAALNAAKSIVIQEFARHPAFRQQIREFMQ AAAYVTVNPTDRGMSVIDQYHLYYNFKFLTRKPVSAFKNQPQFLHMLKAEEEGLIHII IEAGDGQLGDFISQLTSCCRSSDYGDVAQAWNEARAELVNDLTRKQLVPTAAKWLKEF LRMEAEEYIAERCREELELRVNVRPYATPDMEQGEIPSVIAITNGKGDIRDAVMTVVL DDEGNIRSQTKFDNLKDDEEKIVFTELLEKRKPKVAVIGGFSVQTARLRDNALSVIRE HAIEMLGQQPPIADAYGVEDGRFAYEMAQYEERLKEHLIPLIFVNDATAKLYMGSEEA EKEHPNLPLNGRYALGLARYVQNPLNAYCKLGRQIGSITFMEHHQKLIPEEKLLYHLE RGLTNSVCSMGIEINSCVAEPYQRAMLSYISGLGPRKADSIIYGIQKNGALINRMTFT DLGLVGPTVFENIAGFLTIETDLNNLLLEAENPQEQPDPLDLTRIHPENYEFAQKMCQ DALDLDVEDVADRHKSEVVQTLMLDDKRAKKLGELNLDDFAFNLQRQGEGNKRHTLGE IVNELIQYRADRRPAFYVPNDWEVVTMVTGETERTVGRGLKVTASVKKALTSRTFLQL ESGLEAVLERDYVADDDQAPVTNCEDVFKVRQAVKGVVIQAEPARFQVRISTRPSDIR QGFDFLHPFKEEQYTDNNRRETAEAAAAAKKQRRAGKVQRIVNHPNWHVLNAGQAEQF LASQHRGDCVVRPSSLGSDHIAVTWKVDEDVYQHIDVLEVDKPNEYSLGRILRVAQQY NYSDLDDLIINHVKATARKFDEMQMHEKYKPEHELDAFLKNYVQAHPGRSIYGFSIDS GRPGYVKLCFLNKPTKDGGVIQTWPVQVLPGAYSLSGAVVPGVTELCNAFKMQYSVKL AEQGHGGKTPGISLGKTPLHLGGRTPALGSRTPALGSRTPGVGGIPTRTPVHPGMAMG RTPVHPGMPMGRTPLHSGAPVSRTPVHPGMPMGRTPMHPGMPMGRTPVHPGMPMGQTS IHPGQGQPGAYGRPPPPHGTGRTPMHGQGPSGRY L198_03659 MTPLFLNTPAQYAELVNSVDTVLFDCDGVLYLGENLIENAKNLL EMLRSSGKKVIFVTNNSTKSRKDLKKTFDGHGLEASIEECFGSAYASAVYLSEVLKFP KDKKVYVFGHEGLEEELDEVGISHCGGSDPEDRTFTPPLNYADYRPDPSVGAVLCGAD HYINLKKITKAVTYLHNPECKLILTNPDATFPIGDGIFPASGAMSAGIVYAAKQTPVV IGKPSKTMMDAVVAHHHIDPARTLMIGDNLHTDIEFGINSGIRTLLVMTGVTTYDQIY GPNPSPVVPTYVIERAGDLVRMSKE L198_03660 MPPKRGGRKARPSQPPPPPPPSPLPLLPGDLSKQLQESVSQWDD SGTETLHTIISSLLEAIVDTPSALSAVPLLHTFVFLLKSEVDEKELTGVFEGVLDELD DAKKENFCESLVDAIEVLEDERETLSEGQTKQEGEDESRNINVLKYLLESNSLPAHIP NLLLTPDRLLSLNLHPMPRNPKALQSGLVKKNTSFFFKQRKFNLLRECSEGFSGLIVV LTSPDTLSNPADESDIDRHERAERVWGKIMRLIGYFNLSPPRVLDIILEVASCHVAYH WRFFLELLRCSPWGSAAVENAKGKGKEREPSLGWKEEEVKGIENVMSEDGDRVLSQVL GFKFGYFRRNDAGDTPSGVVFVAALLVKHGFVTLADLLPFLAPDDSEMETARQTWASS LASRSGPSNALTSTILDDDEPPSNGGSSKMDGGKKANSKPPPEQRMQFCHALLAIGDK ASAEYLLARWPWIAQKHTGVADLIMKIVDEAVDPIYQQICGKKDDLDYNAVAPDALEQ TQLKKTNLLTLFFPVPPETATKRFEFFYPDWSESLESWTNEQEIHEKGLRWMGLIRGL GGRNARLMVKLCRIGVANFERLRQNKQTAIDSLEVEPPAHELIDLLAPTTDELKPWLD IIRILLLPALSCSSASAAFDVELWDLLKLFPYTVRYSLYGEWRDSTCSANGRNPCLAA TNAAAQTTKEVQKALRRVTSTTSTGPSAASQSERHSARALAKQSHGNPVFVWTTAVTQ VKAYPNIGEAIVDAGRYMAQLSFDVATFVMLDTLSDDRAMRLNEMGTGVALWLERLSK FVGDFNRRYANMDLYPVLQYIINRLMRGHSGDLIILEKLMSSMSGLEPVPNDGVSEAQ LQAYGGGRELIREAFAATRINRAGPVEKVKNVKKSLPRLVNALRNQGLAMPIWIALAQ TRQAVVDKLADTPMKAMNLVQDTCHNAFIQFGDFLVDNLTSNEHVNLTPDLQQLYEDF GLEYGMAFQILRPRLNAGIEKSRQEEKAAVQARLDAARKAMNGKEAEQSATASTSGAT SPSKAEDSPLAPGSPVPSTPPMVSTPAPEGDDVVMEDGENSVSAGTVPVPKAGSKAKA WWPSALTSTMHQTRQLLPKDANDVMSAPFFVIFWHLTTSDISYSSKSYDTAIKAIQRH ISTVSSWRINTNIPGAKTKVHEQQDELARLRSRVEILKKESVTHGNFVNQTMKRRLKL ESGKWFGKSIVDKSLQRILALQLHQYCFYPRAILSPCDAVFVAKFVRLAHDLGTPGFS TLFVYNNIFNDNLAACIFSCTDSEARNLGRCLALILEDLDKWHQSEEVYVKEALGITQ SASGEEDESKRLPGMLFRSKSGEEMKQMTWQEFRNLYAKFHNALTRTLISCWSEAEFM HNKNAIIVALQVIKFFPLMESNGKLVEAAVKKLQAGEVGEIPSDLKMMCTSFLSGLSK RQTIRPFVPPSVFHRSAPVAQPLAARLSKSSLQAPSTPSRSLLANENGRTASSAPGTP VPPAPSVPSGPASSATPVVDQAALRQKVEESRRHTEELRARAALEERTIASSSPANHP IPNRPNLQNRMGSDAHNASLNAPTGPAARTPLSRPLSRANTPSSTMGPPDSQSEEAIR AQRAKRFQRPPPPPPSQTASATASPVPAGTDMKSATDLTLGKGVEDEDNTPGTPSPPA DGSATRGGTPTHPSRASPSATSRNRRDGSVESRASERSRRSGKRDSQREKYRDASRDK ERDRNAHREDGQDKSREAIESQRRRHEEDLRRARSDDPRSGDWDESSRRHSRRDDEKR KDGRDKTERRRESRRDSKYREDESGKRKREDEYLASSRKPDHETSSSSGRRGRDKYDD RDSRRDYSGREGDRDSRDRRISRRDDKHDSHRSSRNRGDRQDASDGRHRDGRGHSPAN EAEPASQPRRASSPKPSGAPSDGGPAERGPHSLPARPTTTSAEGGALHSPASQGVPSL SARLGGMRSPPPHMKNNSVPPASQGKTSDSGPAQFRGNNNLGNGNRANHGGGGWGTSD NGWGTRGTKKDEPRNEERREIGGQQQHGRESRVEEPREETRKEQVTQEESKKEGSGAD RKRALESKSISVTSRYSGWSLNNPVGGAREDSPGAAKRPKIDRNKNRPARNDGNAASR MLASGGVMKK L198_03661 MAAPGGGGAYSFSLTTFSPSGKLVQIEHALAAVAGGTTSLGIKA TNGVVIATEKKAPSVLLDTSALEKVAPICPNIGFVYSGMGPDFRVLVAKARKIAQAYW KVYGEYPPTKVLVQEVAAVMQKATQSGGVRPYGISVLIAGWDAHRGQSLWQIDPSGSY WAWKASAIGKNMVNGKTFLEKRYNDDLSLEDAIHTALLTLKEGFEGQMTEQTIEIGVV TVPTIEQMQEKPGERLPPTFRKLTEQEVRDYLSL L198_03662 MLPRLPAASLRAPSRTLTTSCARPAAAAASPKPPRITASPSRVY TPRKTYLWNLYSNVLHKSPLLLVFDHANLTAAEWSQLRRGVNAIKRPVKPWDPSLSGE EQAERTEIDTAQLQVVRSGVFQAVSGSSSSPIMPHLAGQRAVLTCTSLSPTYLAKILS SLSRTIKSLRRENAEGAQPMLNLVAGLVEGHKVMNQKELEELGKLPELDVLRAQVVGM LEGQGRSLVGVLGQAAGGSLVRTLQGLEKDMEAKEKPEEASA L198_03663 MPSDDTMGGLDALVAAASSVREGKRGKAAGNAKDAPAANIAMDM IDPSLHNENGDKLNPSALKSLLNAPHIRSYLAEYHANNPSSLSAQLFAGGAPTAQPAP GPPTPAQVTRYGRISRPSGLPPTPGRSSETGGNDTQLQLIKDALENVQGAGSEGQASD TQYEGLANLASSQAEGRFWKTGENSAAGAAWDGAETLQAALKDSDGQNKRPRVRLEPS EDKEEGNEPELPQWPLPPTGKGGRKAMPRDELLARRRARNKVAAQESRKRKKMHYGTL EEQLQEKDNVYAELQTHCRNLERELELTKRVLTNSGIPHPHFVPEVHAPLPPRSRTST EEPDAARPRSGRSAGSSAPTPGVHAEDYSSLASLSMVQSAPTPAPNPVSAPPAAPAEL AFSDLFNIDEDENDADFVPASSPGARESDSEDSEEEEVEESRPRKKARGAANSSSAKG KGKAKAKQSQAVNEEEIDELATPPPETPASGDEDDDEEENLFLPIEDVPIPPRDHATE EQTRVMEQAMKELGVETPEELMETVRKLVETTDHGGVTPAQVGTLTRLLALGQAQGLN VW L198_03664 MVFANIQYLIPSCFALVCALYPLPWHLRTGNIATLTMIFWMALL NIVHIVNCVVWADNSDQNAKWWGDISALITVAYNFALPTAHLLLARQLESYTSLRPHS PLYDESSRRRHRLFDLAAGIAAPVVGVLVHLSNMDRRFYVVERFGPQPATYWNAWGVI WMAIVPILIAVACATYTIMALVNIHLRRQQMLSLIASEASINRDQFYRLMFLTLAELL TCGLRAIFNLMSFHNGPQPMGHRGPPEHNLRMVESLAWDQVKPSGRLVLNLSFFTVVA CSYVFFLCFATSIETKRFYNGVLHAILPCLPEARQERLLKLGSVDTGFSHSAGTGTFT STSSALATSKDVDISLEEMLGSPRAGQDGRKGSAGSVGAFMYKAPSVKTGGSTYDEET ISALYLPPMLTEEKSECRADRDERADTEGDSMSAYCP L198_03665 MGIFDWFSSNSSVVPVNNDIPKPRSDATVNAPPPEQYRTVAQFV SESPAGTYIFDSRKNDDKADTELCRLNPNGGRSCVKVAMHSAALFKSMQALGFYCALP AEPTRTHMECNHLPVSR L198_03666 MPAHPPQPVIIVAFYSTYGHIGALAEEVIKGAEATGAIVKPYFI KETLPEEVLTKMYAGGSLKPKYPIITPDDLVEADGIIFGAPTRYGRVPAQVSAFFDAT GSLWAKGALVGKFVSLFTSAAGQHSGHEVRTAASARSFPFFAHHGLVYVPIAYSDPAV GGIDEIQGGSPYGASTVAAADGHLQPTATDLKIATHQGKYFADFVGTFVKGKSA L198_03667 MAARTPDAPQGPTPEALALSASLFTSSIAAWIPANFGVPKSEVD KSKEFDRAFKEERGGRLGLGHPSIDDPKRLAAFSAGGGGLASLSKKLGKEKKENEGAE QQKGKGGDDDEEEESRVRSVGKTKKNTAQDLFGGKKKKKPEAPQVHPLARAQALSVVE APAEATTPEFNSPPTTPESHVFPGHVELSPPSTPPNHMPTSSPGGSGIFPFQGPFALE SPQAKRLMNERKERKKRELEEDDEESGTEGQKDSKEEGASPQKSKSKTQLRRESRKKA KVAKGL L198_03668 MSAISEKPAGPSAFTPYLDTVANIFHPVAGPVFNTYASFHGWKE NMGLVQPGTVENLTRDVSSVHLANWMFDGARADIAKVISGNPAFQLTHSFSLGSSSRP AAYNFGVIFANAKSFLQGGMDGSGTLTMRANQTWSARDLTKVQAQVTDKPGHTMVQLE HDHLGDHFTFSWKSINPSPLDFTGIHMASLLHSVTPRLSLGFETVIQHPEPGMVALAN SYVAKLTSLPNPVAALTPTTPGVPSPFVPSWVATGQLQPDGNVQATYYQKLTDKVDVA LDFQTAIRGASMMGPAQRAAVTTLGAKYDFRMATFRGQIDSGGKIGMYLEQRFTPAFA FLVAGEIDHAKNASKFGVGIMIESSTMTQEEMIAAGMLTPV L198_03669 MATISSHSVDVDVVEEGVSGTSQMWTELYCPTAESDLAPGKARV QKIKGWLHEALFGYPPEVTKPPPPHLRDKLRKYRRILFLTGPAGAGKTTTLKLLAQQM DVDVDEWGEGVEEWGIGTVGGGGFVLTRDTERESSISKFASFIDRDTSSLSLSSSRTA TPQKKARARIILLTALPNLSHPKTMEGFHASLLRFCQRFSTNSCPMVIIHSDAGQSGR AEDSWMDASRDRGGRERGLEILGKGIKDGAWCQEIDFIPIAPTFMFKALFRILTLSPL PTASHPAKATLQLICQSSNGDLRAAINSLQMLCGGRGKIANGRGAARGKGKGRGQKDE GHVLRAVLDAVTRKEQSLNLFHALGKVFYNKRLGDPNQQEDENQETLEMIRQLPKDDD LPSHLKEFTRRKSLVQMETFIPSIPLDASSFALWVHQSLPSFCEEIEQVSQGLDYLVE SDAMRTDDDIWQSQPQTIQYALQLTLRGAAMSLPSPVPRGKAAHHKVVKPTFFSEWKK ERESLGALESAGRYLERRGVKASNGAVGGTWGGLLSRKEMICEMVPVLIKIQNLSGQP LLPVSAQPATLPNWTPVRTSAASSQSSQSRFTQSADELTAKSELAIEDESLEGVLGDW DEVPGHVVNEEEVTGGEEPKQGIWDDEGIRNEGEEEAQFIEDDDIVDDWD L198_03670 MGQPSRKPLQRTLSQSSRESSTGSSSTKAPASGSSQSSAPKKMS SLSSLQAFHFKAPSSSSQSKADQDRILMPPPSKSRSTSPVKLSTKPVSSGSTSSQKSA PAPKTGSKPSSSSSRKGKGKENDVIELLSSDEEIDEIRPASPVRIVGKRKRKEESGM L198_03671 MLTNITQSALFNFQSLLLVLLLLICTCTYIRGTAPNLVDRNREG FLGLFFKFARIGERLSPYVSLACIAMALTIMLGS L198_03672 MPLKRKGTGKKKKSARKSHQPEPPSPSPPSSRATSPTPTSPPAN PEPAQTFQPYEMTPTKESFDASLRRALVETHTVLAPLEELIHASGPGKPLELASPEQG TSNGVSISDGAPDSPSAKPEFSQAYYIAMALLPLDLKKYIEEVSAPDVDGLLDEDGSF HPSRLETDQPPQSLMRQISRSSPTVQQAFVTAYHEKMRKEAGPAYDLMGDVVGGMGMG TVMGGMGTMGMRPDMGLRAAMGGPKHMLGGVPTRAMSHNHSDGCCSGHPALGLPTSPA PPYRASAIDDNYSNQLGVDLGGPNFGSGLLPPPHMLFPAGSMPPGDEGEDEEARLAAI SERKKKKNGKSKERARMKKIEAKQAGRVAGDETEKASEEDEPQEASQDNNSSTALLHE SPTSSNTVFVSPSSAPEELIVTPLVRDTGHGMTQVQPKDTGKAIMEKVNSGATMKMPD MEVTAEPVSIDPKDTDTASESAATYALPPASPEILVSRRQGTMAGRSRTFAVLDKMPD VVPVAAPQDEPLPRRTTPEPTRPSLPTRRSSGTMAGRSLTFTPVEETPDPLEIAGESD GECADEVRVKDQVGYDDLWEEDDDSSDDVETSLAATAPLTVITEEEEALEEGEVREET GPTAGALEVIPEVSRVNSVTPLAGAKANAEAKIPEPPEKTSLGVSNGTTVTTNMSLEP PSTVGSPVPSDTTEVDDQPTTIYRIPSELSGRRNSEPFVSPLPTPDMERSLSWGPLKP VFRVQGRSEIGLPSPRQEKPVTRPGVLERTMSTPTGFGHDSRPTVFGERMAGAPGLRR NNSTGLGRWSTTSSASTSPDRSRRGGDMGSFGRPQSAHVYTDRSPGNRSPLLPDQQLP SDPSTARPDMKVHPLDRPWTLYFSDSSEKAESQQSAREYDSGLVKVFHAACIEDLFGS WKALRRAIAYSKGREIEPEGRPLEGGGGLGMWLMGDDTNFHLFADGIKPMWEDPMCAK GGKLMMAGDAKKMDDVFLELCLLLVGGNLEVDMPPLTKPSVCGAIVSRRKTTTRIEVW LGGRDVPDKRWVNDVHDKLSNFFPQIRVLPYKSFHRN L198_03673 MAEPRASTDEESAPLLHNDTPTTRSDTFARPQTTREKLFVGLAI LFLLLTSLFVGLFASAEQAYKKEKGKHNGGDGGVGWHTLTETETATRTTTEVAKPTGT PAPTVCLTPECVQLSATILSSLNTSVDPCDDFYQFATGGWQESSSIPADRGLYGAFNE VSDNNKKIILKVLDAIPSDKPGREATTDEKNLYKLKSVYSSCIDIDALNDVGEKPLLE LVEHILSSFGEFDLIPAPKAEDLKEENFSYDGAYDESYEVSKELKESAIEVEEMKEAK EHGTFPDLADKEPEELDAEKVKFSEDRRQRITKTLAWLHSRGVQGLINFEIEGDAGGE DSQIQSLWLYQASGGLPSKEYYDEAPILDLYHSVISGILTEIAKHTSVKKDKESKRDW AELIDVALEEAVAEVEDESWPWPWPGKGEPEPEPEPEPKPTPPDADEPIDERIDRLAH KVLQFEKDLVKAGADPENLFNPHFAYNPYPTQNVSKALPFLDIPTYLSTFAPRNFPES ITVTHPPYLKAVTRLVDETPDYVLSAYFTTRLALTYADALGPKTVIRQNTRKLQEVLK GIKKGTEENRQDVCLGWVDDIVGFIAGREFVREAFSPEAKEEGEGIIKSIVNAFNDKL PQISWMDAESAAAAQKKANAIIPKVGYPLLPNTTNPESLASWYGRVEVSDQDFFGNVL RSTLVEESRVWLGLGRQRSRNSWEMYPQTVNAYYSPPDGEIVFPAGILQPPFYSHAWP AHLKYGAFGAVAAHELTHAFDNSGSQYDEQGRLRDWWTKQTVKDFEERAQCVARQYSK FYVLDAEGKKVFVNGNLTNGEDIADSGLAQSYTAWKSSLSADAKSERLPGLDYSDDQL FFLAFARVWAQLTRPATAVSRVRTDPHSPPYWRAVGTLRNLEAFHEAFGCKAGSGMNP PKEEQCELW L198_03674 MSASEEYTVPGESSFPTHAEVDHYLLPKLATASSPAADPSLPLM EAHALASGLPSIAVSPLQGQFLTVLVLSIGAKRVLEVGTLAGVSTFFLAKGLPEDGQL DTLEVSEKHAQVAQDNFERIGIVPKPRVHVGPASETLRKMKAPVEGLLYDFVFIDADK AGTLEYVKQSLRMLRKGGLIVIDNAIRGGRVALTEAQDPDPEVTGMRALFDWIEEDDG RTVLANVTQTVGAKFYDGFAILTKLVN L198_03675 MATRSRAASASTSAYNPLPAQDDHTESSSSYPSSRTSPTPSALD QVPSSARVRSVENGYRVEEGLGMGAEGADDMPSGHSGHQHASIAMKRKLWWRNVIITG VFIAAWYIFATLLSLYNKWMFSPEYYNFPYPLFVTACHMGIQFGLAAVIRHFWHERFR PQERPTRRDYLTKILPTAASTGGDIGLSNLSLKTITLSLYTMCKSSTLIFVLVFAFTF RLEPYSLRLILVICLISFGVFCMVFNTTAVSIAGVVMVFSASALGGLRWALTELVMHK RAMGLSNPFATIFWLAPLMAVTLGLVSMVVEGWVRIFQSDFFSGWVAIETAGVIALPG SIAFAMVASEYFVIQRAGVVPLSIAGIFKEVSTISISAWVFGDQLTTFNVIGVVITIT GIALYSYHKYTKSISATLELDSSGKPIPSLSDASAPLIPPSESHEYAQAPSPSDDPVP LSYLSSNSTSRASQYPPLRESAERAREEEDERQLKDDFEGWDRPGGAGSDSEEELDEI EEDEVERRRAERQNVRGLSDTGRSKGWWGEWLDKSM L198_03676 MKLTLPLTVSLSLLASLAIASPTPLNTDNTLAAREHSGHLIAAR NVAAAAHVADYVLKRQEDAPADSGATTGDGSDDGSDDGSDDGSDDGSDDGSDDSSSDD SGTSDTDSGDDGSDGSSASATDSSADDGSAEATDSTSDDGSAEETDSSPEDGTGEATA SATDSSEGTEETASSIAEGSSSAAATTSANTARSSGLTRYNTTTRASSSVVGTSAAQT TGAASSSSASSSAGQLGAAIPAGFSGLSFLSLALAGGLGAARILF L198_03677 MRLPPELMLLVAKQLQSQHAFGTLSSLLRTSSGIYDLFAPLLYR NLFIMTDNAHKIFVGLEPHNFGEVIIEEKDEQKERELRDEIRDEWTLWPSVPIKLPSD LAPLPSLTSSTYIPSPATHRRKLALLAHTRSLTLLSLPTPPESKILLPLFPARSPVPL PLMPNLAQVTVENEFIAQAGDHLSRHWAGPHRASTPVPRHPFIDFLLLSTPPRLDALT FHFPLLTSALKQAFIDLREGPEDVVKRCTVGGTRHRRMQHEWSLFKEEILGMGLMPLT FHWKGERVYMDGVTCSAVPMPRKGVVTIKYDPCSCRCEDKVEGEPARDCANHVDAKKR AGQILETIKPTYDAVFAASADDIEQWAFIDVEDVEGGGGDDGVESQVRRTLGDIERAS RVAFSSLKEKTKSE L198_03678 MFILNWFWDVLSSLGLMNKSMRKALTLLHMLKNDRLATLQPTLH PTSEELAIGNVKFTTYDLGGHLQARRLWRDYFPEVDGIVFLVDSADPERFLESKAELD SLLSIEALAQVPFLILGNKIDAVGAVSEEQLRHDLGLYQTTGKGKVPLRDIRPIEVFM CSVVMRQGYGEGFRWLSQYI L198_03679 MSSPFPASANLQKPVCVFCGSSPGKLSLFTKAAQAVGEALARAN VPLVYGGGRRGIMGVVSQSTLTAGGYVHGIVPNALVQRAAESTAAPSAEANAQSKEGS GEEIVKDETQEKLTTQVVSTMHERKLAMANLSTGGFIVLPGGYGTFEEALEMITWNQL GIHRLPVIILNIGGFYTNLYKQFESSVQAGFVAEENLALLKLVELEGGAEGEDGRAEE WGAAALKALEEWNLDSNAGLKLDWSNKSTPKINVSSPTYVFSTLRYTSEQHAGSIPLL ETHLERLREAFTHFSTLEPAKWGTWPGDETLVTALNTALKQKDEQGPHDFRVRWVVYP GGKVEVQMPPAPKDSGNLDIPTEKSPQLRPVVLDPQVTHIARENQPEKDYRLYKTDQR EMYDAAYVRGGQSSTEHPEVIVHNGTHLLETTTSNIAILRSTEQRWITPRIGSSTPLL NGVLRRYLLEKGAIEEGELTLQDLDMVKKGQARLIGFNGLRGIWEGRIL L198_03680 MIAAAKLIDLLPALALLGMALTGLENAPTAALAVPQSREHHRHL AARLADNVAQQDKKRSAGAKRTLRRRSDGTICKAKNTESSTFTDVSSTASATASATAS AADLQVAAVDTDSSSVADVSFTDSVSSTQAASSTASSNSAQSTDVTGSLATVGTGSTT KFGLAWPNGDWATSTSPDYVGNYVGTKTSWYYTWSPFSVGSADQLNVEYVPMYWGVKQ QSDWWAQQSNWPSTVKNALFFNEPNQSGQCDISAGDAVQYWMNDYLPLRSSKGVRLGG AATTSAPSGLQWVQDFKTACVQYGNSEADCTSDFVPIHWYDVTIDGFKSYVESFHDGV GQNLWVTEYACQNFNGGAQCTDQEIWNLHTEMAAWFDQQDYIERYSPFGVMKDMQGVE QYNALMNPDGSITSLGAWYAANS L198_03681 MAPASTPASTPALLALALAAHLALILYAEHVDAHPLQHAGLRYT DLDWRVLTDGARIILSPATAQHAAGPLARRLGWGIGDPYHRATFRYTPLLPLFLSPAI LSNFLGKILLALPSLLIPVLLLSGPGPAPFWPTHLLWTLNPFTLNITTRGSPEAAICF LVVATQYFIRLGGSSGAKGRGEGRAALVLALAVSWKIYPAIYIPAIWKELAGRWGWFG LRVWRFGFIALVSFVAINGALWSIWGQPFLDHTYLYHLTRLDHRHNFSPYFYPIYLSL FPSSSQLSSAVITILRHPLTSFLPQLSLILCSSFIPAKLDFVFFLQTAIFVTFNKVCT SQYFMWFLPLLPPVLSHLAISRSQAILLVSAWVLAQAVWLASAYMLELQAQSVYLTVW AAGLGVFGASVWVLGELVESWR L198_03682 MRPAIQLLSALALATTAAIAGDSLGNSYAGAPTDGISVYAENVS QCGTANISWTGANPPVTLEIAEGGYYIGRTSVANLTTGRNDYSTEWLVDVAAGQSLIF QVVDADGKTGYVQNINVRDSDDDSCVKFGAANSSSSAAGNGTSNGTTESSGTSGNDSA TAVDSASTASSSSTSSDSESTTSPSAIEGSPSSVSSESLSTVASTSASADANSSSPSS ASAQAAGSSSSSSSYGGVVAVNDSSSTNSGSSSSTSSTSSSSSASRVAIGGWSVVGAV LSAVVFL L198_03683 MAPASKQKSNVALLTEGASYIQRAKKARKDQVEEIKFDDEARRE WLTGFSKRKKAKVEEKRSRAKEREKQEHLDERKKARKELKERAAANVKSVRQAMGLVD ESEDEDETNEAGPSSAAADEEEEFSDDDQIATVTITEDFDPTTTSSFIPTRSSQSPAP EETEAPAPEVKKVKMLPPSSKRAQKALDKKKAQSKDGKKVGRTTSMETKAERRKGKEI ESRKRGKKAALAMERRGGKTSSTRGKPKGKGRR L198_03684 MAAFYQPLSQSSDPSRGRSDTVSSLGGFEFQHDLLPLTLSGEDG TAHLVEEKHVELWHGVALVVGAQVGSGIFSSPGVVVQEVGSVGASLMVWVVSGVLAWT GASSYAELGCAIPVSGGSQAYLAYAFGPMVSYLFTWTAVSVLKPGSAAVIALIFGEYM SRLFSHILSTETPEWAITLTAVFAVLICSLLNAVSPTMGTNSAVVLTFIKICTLVFVA VLGVIALVKDGPGEGLKPSKVFDGTVGDPGNWAIALYSGLWAFDGWDSCCYVAGEMRD TNRDLPRALHSSMATVLTLFVSANLAYFVVLDPSVVASSNTVALDFGKASIGKFGAVV FTTLVAISCFGALNGGLYTTAKLIYAASREHFLPSIFSRLHPNRRTPDHAILLNSSLT VLFIIFGGGFRVLLNFLSVSGWTFYLLTVLGLLMLRVKEPHLARPYKTWLITPMVFCL VAAFLLLMPIFAAPWEAFAAVVFIGSGVPMYYLTARLRAQGDRGYAGETGWNAIQATF TDAWSSFRYDIDGLLSKRWERSQIERLDDTGDEQQGMLREEVEMAERR L198_03685 MSAQVPIPVFNSIEDIYPSDSAVVRERQRWTSLKNKFGEKFGGQ PVYIVRAPGRVNVLGEHIDYSLFPVLPAAIEQDILFAIRPAPVDEGDVPKVRLENSDT KYSYPGCTFTLTPPSNSEKGRESWDVGLKDAEGWDKYVRVALLECLEELFPGGKRVDE GKAPVGLEVLISGTIPPGSGLSSSAAMVVGSVIMFLVANNLTQGRTKADVVSLAINSE HRMGLRTGGMDQSASALALPNNLLHLSFHPTLLPTPLPLPGSLSLVITNSLAPHSLTD SAPEEYNLRVIEILIATRLLLNRWKLSSSFNEAPRPWLREVLGVWSGETDGEHMGWEK EREVVEKALGDIGWLRKDGGWSREEVIAVSGLKEEDFRKSYLEYLEIRADAFHLYERL HHTFSESLRVHRFVSLCQSLGASTKPSEPLSKSSLSLLGELFNDSHSSMRDTYDCTHP FVDKLQDLCLEAGALGSRMTGGGWGGSVVSLVKSEEVPVFLDTVRKGYEKYRDLDDQA WVEVGFATMPGHGAGIFVVGGSA L198_03686 MTIAPRPFQADTLEFTPLPADYQPRPGQENDGRYMLTVPWSQAK GWGTPKIAMRRPLEVDPVAGVMQYAVTCFEGMKCFKTDNGDLRLFRPNKNFDRLKRSA DRLGLPSDWDNKELLQLLSTLVSLEGPDITTKDGGNLYIRPTILETSGGFGVRGEALA PEALLYIVTTHNLGAATYNSAEEGAGLRLDARTDYRRAFIGGTGSYKLGANYGQSSSV VSIAKRPNYLMNLWLHDDKHHYISEAGGMNIFVVKKATDGFTEFVTMGLEDGLVLPGI TRESLIELIEDHAAGRKDFPVSGMPKQVRVVERNITMKEIISSLEDGTLLGMFGCGTG VCVAGVAEITYQEADYKIPSNPLIILIRDALTGLYKGRIQHDDWSYRVPQDI L198_03688 MVRESLAGPSFVFHHMLTAPVYSKYYDLLGVSPEAGEADIKKAY RKNALKHHPDKGGDPEHFKELTHAYEVLSDSQQRSIYDQLGEAGLKEGGGMGGGVDPQ DLFSQLFGGGGGFFGGGGGGRERGPRRGRDLVHRITVSLEDLYKGKVQKLALSKSVIC KGCDGRGGKAGAVQTCTGCQGRGVKVMLRQLGPMMQQIQQPCGECEGTGEMMNPKDKC KTCNGKKTNQERKVLEVNIDKGMKGGQQIKFAGESDQQPGQVAGDVIIVIEEKPHPRF ERKGDDLFYNANIDLLTALAGGDFAIEHLDDRALHVTIVPGEVIKPDALKIISGQGMP SYRHHDLGDLYVRLTVDFPTTIPTDVMPLLEKALPPRKALPKFGKKVHVDEVVFSEPN EKHARTAAAHDGDEEMEDDEEGGGPGVQCAQQ L198_03689 MAKILTWTMITQAALGIFLKLHVMERNVRPWVVPFHSVIGKTFP VLGWTQIMFGVATALDFCRGGNLGQCTAHYIMGSAFIGYAAILVIMLNLGGKWLERKK CSQEMLDSSVITAWGIGVLWWAGGMLGIFLSRKGKRSFVPAVIILMTGWGMSAHEQAL MISSKIHGLFGYALMGAGALRVVEICFVLNDQPTPSSGHVRIFQHLPPYLLVLGGTLF ISATDEEMHNADNLGIDHVTYALFDFSLSFLIYLTITFLVHLYSNSGRNSLESRDGDG GERGRETFDSEHEGHGYAKVSTDDRRSGERRRGEAEDGPEAFELEERESSNESEAVKI GGEDEVDWIGRGPGVSL L198_03690 MFRKTGKKVLFVTNNATKSRRKLKENLDKLGLGASEEECFGSAY ASAIYLSEVLKFPKDKKVYVNGEEGLEEELDACGIKHCGGSDPEDRDFKPPINWDAFK PDDDVEAVLCGFDSYINYQKLGKAMTYLRNPKCKLILTNTDPTFPTHGELFPGSGSLS LPIVNASKREPLVIGKPNKSMMDAILAHHKVDPSKALMVGDNLATDIEFGLNSNIRTL LVMGGVTKHEQVYGENPSKVVPDMVINSLGDLAVLARQ L198_03691 MSLWVDKYRPRSLDDLHYHDGLSSRLKSLAASGDFPHILFYGPS GAGKKTRIMATLRELYGPGVEKLRIDQRVFVTPSNRKLDVNVVQSNYHIELTPSDVGM YDRVVIQDILKEIAQTQQVDLNAKQRFKVVIINEADALTRDAQAALRRTMEKYMNNMR LILCCNSTSKIIAPIRSRCLLMRVAAPSDEEMNQVLNHVAKKERFSLPSSASSAILTT SQGNLRKALLVLEAMRMQKPDLSGDIEVAKPDWETYCGKVADAILQEQSAPRLLEVRG KLYELLSHCIPPTVVLKTISERIVDKVDDTLKPQIVHWAAYYELRMRQGSKKIFHLEA FVAKVMTVYKQYTLMGIAEDFE L198_03692 MPANPSLEAVSAANATKRKNAPRDDEDSGSESGSDVSMINVDFD FHNFNPDVDLIAIKRLLRQTLSYDEERIDVHPLAELILSEGIRLQAGSTIKTDGEESD PWGLVSVVDIAKNQEHPALKPFLEYLRSSVKSGSPLASSLTPSAGSSIRPALVFSLRM LNLPLPLIPHLYRMLLEELEVKEEGRFTHFVIWSRGYRLEGNEEGMGLDYNVVEKSSK KKKGGQNHETVALAAGSFPYHPEEEFTDKVATTTQTFPFKTAAPRDAESFGVEQFGRL TLLEKSKLVEAIKAMQAACD L198_03693 MSDIITPQYSPEEIERFNAELDGKTPQEILTWAIDHLDGLYQTT AFGLTGTAAVDMVSKISLSREETHLVPLIFLDTLHHFPETLELAQTMADTYLAPLHIY KPPGVSTAEEFAAKYGDKLWESDEGAYDYLVKVEPAARAYKELGVKAVITGRRRSQGA DRADLKVLEVDERGLTKVNPLITWAFKQVKEYIDKEQVPYNPLLDKGYRSIGDVHSTA APDPNAASDASERSGRWQGKAKTECGLHTNYFEMKKKFEAKAAAESATAAVELKQL L198_03694 MRPQNLLSLLLLLAPAIQAAILAIDYGAEFTKLSLIKPGVPFDV VLDKDSKRKISSVVGWKRDERVFGAEAKMSATRFPDTHYPYVKPLLGKTALPPLSIWP NAPSSDGESIVFPHPSAPSYISPALPSPEAAWTPTALLAQQLSYYRHLAESLGTKKES INQVVVTVPAWWDHAQRRAYRDALELQGMSCLAMIGEGTGVALNYAMTRTFPDYNPAT GEGEKEYHVIYDSGALSTTATVLAFYQTSEYLTPKSKTPINTTHIETLGTGWENVGGV LLDVAIQDILVEDFVKKSGKTGIKADKKALAKVAKEATRVKHILSANYEANTAIESLF DDTDYRSQISRASLEGALASTESLFSKPLTSALSTAGLSLSDINSFVLFGGNTRVPLV QTSLKSVLGNLEDRIAQNVNTDEAAVLGAAYYGAALSKQFKMKNIEVKESSVGDISIN GGDVFFPEGTVLGEKKVLTLPAEGDINLEFSQSIAHPESSHGTSGPQPILSVQVYDIE KALKDFTAPSPVVNLTIRFDPKGHLSAANAVLVSNVTESKDGGVAGAIKGLFGGKEDD KQQVEEVASEGGKEEKKDGKKAPTKVALKFREKHLGVRPLSGEEKRTTNARLNSIAAF ESAKASREEALNSLESYIYALQNHLSDYEPTALKDFSTPAEQTAIKDLVAKTFEWLND VGDQATERELRTKYTALEILERPPVFRYNEYTARDDAVADFQKAMRLAASFFIEAKGN WTEAIEAARNATPEDPATPPKYTKEELGAVDDMLREYTKWIDELMPEQLKLDEDKTKD PVIKVRELEEKGKNLQATVMRLTNKKNPRKPKPTTSSSSASSSGTLDTQTDHGPSPTL SEKEAESTTESKPTDKEPLIHDDL L198_03695 MAEDQTFDSLLDLLRRLPPTKVEDNVNVLCDLAPEYADDLLGNV DQPLKVLSDDGEGREFLGCDYNRDGDSFRSPWSNKYLPVDTQGPVPSPRLRQLEVALN SAFDTYREMYFEGGVSSVYLWDLDDEPQGKEMAFAGVVLVKKTLSPQANVPTAPSGSW DSLHVFECQERGRSAKYKLTSTVMLLMDTKTLAKAEEKGLENAEGKGNVALSGSMTRQ AEIDYPLPTPQSHVANVGRMVEDMELKMRNLLSAVYFGKTKDVVNELRSQAGLDAKSK EDLLRAELAGKLGGRK L198_03696 MDSEGNWGTEEQKYSKLELCREHELDPRDLRKLDSLTPNLVPVI LTRKTCILISMLHLRALIKPDKVIVFDSAGAQASGVQKRFKEHLQRNIKLGLEMKESG EEEVILSYEHRALESFFIATASALEEEMAFTRHIVKHLLNDLENHIDRENLKKLLHYS KKISAFQSRARYVKSSVDELLDSDEDLSAMYLTSRAQGRPRALHDHEQLELLLESFVK QVEEIVSEVDTTVANMVSTQEIAELMLDSGRNALLALDIKISIATLGIGTGALFAGLF GMNLTTHLEDHPYAFLTASGLAFALSILIIAYGLRILRRVRRVALSGRNPTVLSSVLG SASWDSSIAQFSRGFDPSLVDMRTELAKRAIWDRIWWRRGNEPKDKYTQIYRSRAGPR QQGSAKWAESLTMDQAKQKQEHKWANWRKASRTEWS L198_03697 MDDPNAPFQGYQYQPPPSHPQQPSQQQQQQQPQQSLYNFVQAQN LQSSNNHYFTGLPEPNTSSEMQKRSLSHSSRLDGRQASNENVVPSAQSARKKPAGIGQ SRKLSALEKLASTVAEVIWSVKAEGLVKDGRSSLTIKREIAHLCRDCSPPPHSHGHND EQKPEPQQSQSHQRVPQPPGPPPTSQAPVYPESNFPPSWPLLPEANGNQVPFGEAPER LQSINDAAGLMGPPATTWGTTATKEEGELAALNKFMKDLGVPNLPNDFLSFMNQLDNP TTANNLTVPSTSSGLPDIPSQSAPGQPRGLDKGKGKEMSRVDKYLMAAADQPNGTRAS RLAQVIKAKYDAGLLKPYDYVKGYERMNKWMESGRAAPKMESRAGSEIPESPHQKGVN RNGMLSIASIPAPVFGKSISPESRRRILAALAGFRPKFRQIARTLTNVDLVFVEEAME RWMLEYDRALASIHTPSCIWRRTGEIQKANQEFSNLTGIPASMFRDGQLCVYELMDED SAVRYWEGYAKIAFDPSQRSFSIPCTLHIPLSLARHRPRHLSAVPLPAPSKSAASAPP SLNVPDLALPQQGIYAESPGPSEGVDRGVNSIGREEQFREMQCMFSVTIRRDAWGVPV AIMGQWIVSDCFSNLAGLTVIPQPIQ L198_03698 MTPLSYSFFQTLFRNPQIQVNLQDQESGYTALHRALFSGNIKAA RDLLMRNDIDTSLKDTEGMTAYDLYNGTVNGTNPSQDNDGTDLFVWGVNRNFALGTGD TTDKVFPDPVHLLTQAQAAGREEPSRKFELVGVKDVVMCKFHTGVITTESQGNLSLCG FGANGRLGRSVHSQLALTPIPDFQATIVRIALGQDHTLALTSGGYILSWGMNRFSQLG YVIEAVERFGRDGDDSVQVSPKRIVGPLKKEFVKGVAAGRMASACWTHDALWTWGTNV GQLGYDKASNPVQVNPRKVTSMTQPVADVVFSDYAMVCLLESSEVICFHGDTNFKISF SIPRLLPEAFPFRPPQTTLKPTITKVTSSCVGFAALSSIGDVFTFSLPNPLEDLSKDG KHVTVKPQVVWGLRKNFTAVRDVAIGSDGTVIVCTHSGHVYVRQRLKSGSGQLKFRRI PYLQRIIKVATNESGAFGAVRVDARPIPIKVVGNVLEDDLGQLQPHFARLSNQMTAED FERMEGVRLRGMPLEEEDEDEDESANSVNRDTSLALKMCTILDRWRTSDVDSLFAWSE PLFSSDCHLVIQGLAIPAHSVMLSLRAPKIARLLAGQYQSPYLSLSVYGSTPAIVLDA CHPLVGLLLLHYLYADEVVALWDARVARIIQAKYTSKPIPIAGIKNDLKALAEELDLK PFESVLTYAAKQPLSQRTLSANLSSFFSHTSTIPSSLDSPCDVRIILADKEVSCASVI LRSRCPFFEAMFGDRDWTSGRKNREGSVTVNMKHLKWTSMKLVFRWIYEGVEDGLFDY LHQDTLDGFLDFVFEVLATATELLLDRLVLVCSRVIIQHCNTLNAASLACEAAFYQAT VLKHSIFAYITSCLETLLESGLLDEMDGQTLQELTDWIIHNQEAKTPVPRKGLLVKDA LSKHREWLLQQDIPAPVLKRPGSVKWRLKAAALSPVDLISSNSTPKGKETPKRKPPPS PLFSPEAGPEGVSSVDGIFQMDDDLPTPPSTAFDAQTSKGSRAMTPLNLGVPAASSKG AIWKSRTVETEKADLRSIMAETAATKPSAKTPSMPLTPGPSAAKATFPSLGSGALTPS KPMAIRGYPSSGGPWRAQEARKTSFTALQGQQQAGAATGISPSASTNVSRGIGSQAVP VPQRSGSHHIITPVKLQTPPSGSQPRKTKLNANASAWSTPATFAPPPVPSVSSATQGM SLIAIQHQELQAAEATAKLPTKTLREIQEEERIREQEKAQEEDFLKWWQEEEARVARE SGSGGAQSSLPSMGGRGGRERGRGGRGGKSKGGRGGGQGSQGGRGQEVSRSGPDGPAG PGSSAGKGGRPPRAPQASKAPGSSSQKGHNQVKETRA L198_03699 MASPPVPPLRHAVTQPQTKLEDDQVVHNTNIRPVQSRREVDPFP LHLARSLSRSQSQNAPSLHRPLTRHDGLDNIPPPLPASPQQLERMLSGNPDLERQMGV NDEDVGPPPEGGMEAWCCVGAAFMVLFCIFGFVTSFGQLKSYYLENQLSDYSQSDVAW IGTLQSFLTFAGSIASGRYFDSHGARTITIVGTSLNVGATIALAFCKEYYQLICAHAL FGLSGTIMYSPSTAVSGHWFMRKRSTAVGIVVCGAGAGGIIYPIALKKLFEKLSFRDS ILIIAGMNAVLMFPAWFFLKARLPPRQPPPYRNMRRPWKEARYTCLVAGSALVMMNVF SPYFNAPILFNSNNLSPELADYSIAILQAGSMIGRAMSGALADLFGVWTVFLCSILGS SISVYAFWVPPSINAATAVVGLVAYGAFSGAWIALVAASCGAISPTREFGMRLGMLWS TTSILALAGPVICGLLITSNGGKFEHAGLFVGSTHLVGAGLTVAPRFLEILRDGYRQL GGKSGESYIIKETKERSASG L198_03700 MSGAAPTDTISPPDEELIITTKELRAAHPDKSILKLLAQLKLDR PEWSVSEKRFRKAHSATSDGEGSTPGASQSAGTGGKGEKPLIADTGLDPSVDVASLAP KVEVKMFKGGKGKGLVAKDGLEMGEMLWQEEPWIVTSDPGHYPLLTQSMMCTQCFSIF DRPNPALSVPCSRCPTAHFCTRLCYSKAQLASHPQLLCPGENPAAEKLMKFVRQKGER GMEGVVKIVARWRTEREWSKGSEAEDMEKRVWKGMARISQKRKEAERREWEYVAENRM KEWREFHALLIGALNPPQGHYNHRNFQKLVKTRRNNPKPLTEKEEQKWFSFGSFLELL GLVGLNQEDSGGLYALHAHLNHSCTPNIQVRNLPKSYAPPSPSSLPCDLPPPLQKGDS FSNKLTILARQKIEQGEELTVSYVNVKMPRDERRKILREGYGFWCSCDRCEKEKEGGV TQE L198_03701 MNPLRSRAALPRPARLAQNLAPRISKRSFASKDVVFGNDARQGM LRGVDTLAKAVSATLGPKGRTVIIGQSFGGPKITKDGVSVAKAITLKDPVENLGARLV QDVASKTNDTAGDGTTTATVLARAIYAEGVKNVAAGCNPMDLRRGAQKAVDKVLEVLA SNKKVITTSEEIAQVATISANGDTHVGSIIAQAMEKVGKEGVITVKEGRTIDDEIEIT EGMRFDRGFISPYLITDAKNQRVELEKPFILLSEKKISALQDILPSLEIAASTRRPLL IIAEDIDGEALAAIILNKLRGQLSVAAVKAPGFGDNRKSILGDIAILTGGTVFSEELD VKLEKATPDLFGSTGSVTITKEDTIVLNGDGDKNLIQARCEQLRGLINDATTSDYDRT KLQERLAKLGGGVAVIKVGGSSEVEVGEKKDRYDDALNATRAAVEEGIVPGGGTALLK ASATLEDIAVDNFDQKLGVAMIRQAIRRPVRTIVENAGEEGSVVVGKLLSEEFVSADK FNWGYDAQTSQYRDMIAAGILDPLKVVRTALIDASGVASLLTTSEACVVDAEEKAPPA GMGGMGGMGGMGGMPGMM L198_03702 MAATFKNIKALQPLLDRVLVQRFKADTKTASGIFLPSAATQNPL PEATVIAVGPGAVNKDGQLTPTSVKVGDKVLLPGWGGSPIKVGEEEYHLFKDAEILAK ISE L198_03703 MRNDRTTATSRPPPPMLAPIPRSVPPPQAAALRTPAPIDPASIA GPSLLDSAAYRHAYSPDISSTASSPTSLPYLRDTPERSPLRMSEAHSPTIPAPNRSSS SLLPHLNSSPSWSSRSLGSDAPLTAPIPYTGPRSPLPRRRPSPLVLGKAKTSMDTDEG LELERSNNVGRQYSSRPATAGSVTSLNDDGALANELESLSLLRKTVRQNLIARPVDSP LAGSGSESGGSGFPTPERSCFPSTKGDSLTKLGSIDIKQVLERQATGQMLVVDTRPLG SFLDEHLPRAVSISIPTLISKRFQRSSVGSDSKGGFSWGHLRAFISTAQGCDSWDEAD EGKTEIALIKDDTDDVGNTLAGILQSLVGNDRVKEVEGGWDAIKNTPESRRILVAGEV EPAVTHNDPLLPPPKSAPPGETPPLPPVPSSPAPLRVSHRPSLPSLRPPGSNQQRDLP ALSINGGGNSKTIGRSTLGERRTPKLSLNLDRPLRSATLGSFDIPPTPGGFMCSRNKP LRSPGLSLNIPQPNGQSTASPSSLQVLAHEQSELPPSPSSFGDVKRLEGEDEDLVTTG WSNEATPRGRFQISDSPPDSQIPLSSTSSSSTTTTRLANPIAPFNVSTILPNFLFLGP DITNDVDVKKLQSLGVKRILNVAIECDDDQGLGLREKFRYHRVPMRDIVEENGVGKGM RDACEFLDDARLHSAPTYIHCQAGKSRSVTITLAYLIHANAWTLRTSYAYVAERRKGI SPNIGFVAELMQWEESELGLKVSGGVHGDGGGRKAGPGPAGDGEEKNNRYMRESLPPT WSASVDTYSRPPNLSPANGDGAGAEGDGARDNGQHDERQAVGDEREVRKNGVWVHHRR APVDRTTLQPGRRVSKAGLESLRPLNTTPINGAGHSSDDGQTKDPRPSPRPSPRLGMG GGHAMTPAGDGPLRWI L198_03704 MLPGEQRYVPSRRPSPTPSEGSGLPSLATHIQAPEAPPHAPFAS VPPGEELLLYSLNFPYDERERLRQQGQTALVQERHQRPSLDSPSQRPESMPSAPHQPS RLLPGTAPQQSTPPGQSPDVAPASSGGVLPWNYDTVRAYWMGYASAMKDIKAGRENAW GRLQKQGKWQEIKRRHAPYPHAPSPPRPPSPELSTLRQAAPSVISSLAGMRQQQGWRP PQQTPLDTVTLTPGRPRTTVPVPARQYPPEPFSPPAYRPPSRPDMHDMDVHGQRGGWG TYQPVYLPIEDQTVYPPPRDHLPAGYHNAPHAPYPAAPMAASPRVHPPPFSIGEPSHH PVHGDRFNVHPSGEGSTSEFRLPRKRQLISCYPCRKRKLRCDGRKPICEQCERRKVAS ECGYAESVKRRGKGKSADGRSEEEKKEDEADVEVEVEADVEADVETQAEGDESEVPVS VSRSGRYESRDSHHARATRGRSSSSSARPLATDAEIGEARRQSKEGDDEEMEQK L198_03705 MLSLKDIPSEVILEHLLPVLPLRDIASLSAVSHFFRNVSRDPAF WRLKSTSDFSFSPASHPPAPSPDWWRRVYLGLLNPRAYVWGTSTNGRLGGAENGQGVR GNGPHVYEPAEIAWDRTSQQVTGGDSLAADPSSKDESKVSGVVELQAAGWSFTARCSD GSVWVWGQMDGTGFAFRPFGWENKHAIIRSPTVVPLPCKAEAISTGRCHLLVLDADNL IWELRSWGRAYHHTAPALTAPVNHGSNSHPPHIVQLSAGWQHSAALSSKGTIHVWYPF TPAYRESLSADDDLNGPIKPPNNDGGDDDDDDERALRWGKVGPDVVHELPSIPDRPGL DEEDDKRSEYGGRDRGGHTSQELRALWSEYESTTSRNSQDDQRVVKLASGADFLLALK ANGEVWHISLKQDQPLRWRYLPYFSSPSITHITAQFQSITSYATPTFTSDTSALCHTR LEDDVPVSENPDILLHRPDALPILQDKQIIQVASGDYHYAALTSKGEMYTWGKGNNGQ LGLGDLGGKGEEVPPTKVEFDHDEDDDDDEGVFVFAITAAGWHTGALVLGNLDSTKSQ RAKVNTSDKKAVKAAEHGPSTDVWRGPEIRHLPLPRGRAGFRGRIGYAGRGLFQGIRG GHAPAAGGGHGIAGQEESQAGGGEASSGQDSSGGAEETTGEGSQQVAGTSAGGVEGQE SQQMSSNNHPLQQPSHRGLRGLPHFRVGLAGRPGNLARGGGENNNGVGFNPDGPE L198_03706 MLHPKKSEFSSPPWSPTPKRAVFQRLFRYASRSGQHAKLEQIAF NFVFPHPSLIPLMRDTPKKDVPRPRFPDIDDEDDDECDSPSNPDTTKSINDAASDTST TISNDRDWYEADEVASDSDSSYSEGYNDGFASDEHSPLPSPVLTPPICPWAHPVSADD PSSPDISMPRRKLPGRPGRNVGRQAYGKVGSLSAHLGSSPLRQEQLVNQR L198_03707 MDVDQQQNDPLVEKLLEIIAQLEQQPQSAALIRTQISLLHQLAM ADEARQAYATLSSLIMLQEDEWVSYLDLSIEQLGTPLNDAQGFCDVFERFNAALEDYT SLPLILKQVKLVLSCYYAGHPPATPVEDIPSIQVGDEITGLLDEDSTRLMLQSAVAHS NALLSESQQVWDLWISWEMGLLEAAPAEQRSEQIQQVHQVYNDRLRIPHSTMQQTTSD YSSFCSTYCNQEYEQRLVQATEISQEAKRKWSGEKRFGKTREEFEFQLVTGGDPSSEA PVYLEYVNWEANARAKPNAHGKAPNLDPVLTAALFERAVVPYAKLAAQTHSALNSVAL QIEEAEAKEGEKKKKSKKGKGRKKDEPEEEDVKGVLVEQKKMAEQAFRAYKDAEASIW AKYAAWADDNQGPEQGLSVRSRAVRAVPQSGTAWADLLQDLANLDVYFERALAIGQLV IPADRTTDLVEVFLAKAAYDARLSTPTVSLGAVHPALASITRGLEVISHINKSGDPSL KLEKFVLDWAETKGPQYLDQALLVLDKPIKSRASSYQYALLRAGVEIRRDDASAARDI FEDAIARTDLDWPEAVYEAFIQFEIVHGDPSTLLQTRKKIEKEQEKLAKRRAKEAAAQ EQYTAAVVQGAASGAAETVINDVVGAAEAGQEPVATAEAATAPAPGPVEKKEEPLKRD RENTTVLVSGLSKGITTERIGNFFSGCGDIREISILSNEESMHDDALVEFKFADAIPR VLEKDQKKYEGSPVSVSMLWRSTLFVTNFPREMDDEGIRNLFEQYGKILETRWPSRKY ADSRRFCYITMDSPTSAQETLVLQGYKIPGASTNFGLTVLISDPSAKTKRSDAANSTM FVGGLNNKSTENDVRGLFKEYGTIGHVKLGWDPVKKICKGFAFVEMSSEAEAKAALAL HGTQHKGKYLKVEISDPNHANKKPKDRDDDKAAEKRLRSVRLHHLPENTQEGLLQQAL EKIVPVKRLELFAKNGEALAELDSPADVGLLLLRTDDFIFGDRKIAITPPNKRPQPIK EAAAGESSTSSPAAPPGPPTMFTPRATRRALAKPRPTAVAAAKAVASSSAPTVSQGQS DFRAFVDAKNKQREANLQSSRESGEKRKLEEGDGKEGEESKKARTST L198_03708 MVRTYNFLAPSLHNNTLLDVSFNEPSINIAGVSSPGDPVVRRFP VKYGDHKPLTGVKRMVDVGDNRIVVADDTFQVSTIQLSPADAEEPEHPTILFQETVKA RSKDIWAGLVPVENGTVSALKSGHLTFHPFASESPSSSRSVPSPLACLASTPLAPTQF ALGGKEVDVSIYDVERTFASSSDTDMSDPKRKNNALLPGQTWQAKNMPNNYLKLRPPV YHLALSWLDSPDALVSGTKMGTIRRFDTRQRKPVDDWKVSREGGIASLTTGLEHEVFF ADRSNYLGALDLRTGKVLYSYSSLTATPHHMLPIPTFDPSSFLPSATRRIGFASISSD ASIRIHSATTPPSGAEKGNWGPEGKKGDIVGMVGGVGIGEAVWRGYGERKIVVKVNDG EEGEEGESEDEEEVWEGMDVYEDEGEGSDVVSDEESESESEEEAPKKKKRSKA L198_03709 MSEEDVTHTARGHGYKQRSQYPLTAAMVDGKPVTQHVEGGSEKP ALASDNSDDHHPLARKKSHKVDLISNTNAKLANPLGDLTDEEVMENAAAFAAANNLPV EAFRKGGVLAKRPHAFEKLAILDEEDKARLRFEVEHPYRSQTWQLYNMVIACSVAAAV QGMDESVISGAQLFFPSQFGIGEPNINPQYANNHEWIMGITNGAPYLACAVVGCWLTD PLNHYLGRRGAIAVTAFISFVTCIWAACTNTWWHLFIARFFLGIGIGPKSATVPIFAA ECVPARIRGSLVMQWQLLGYAADLMFYHVSDKPHITGLNWRLMIASAGIPALVVMAQL PFLPESPRWLMKKGRYEKAFRAMMRLRGNEVMAARDLYYIFVLLEEEAAIVRGRNRFL EIFTIGRNRRAMIGSTIVMFGQQFCGVNAIVYYTATIFSSAGFSEIDALLASWGFGML NAIFAIPGMLTIDRFGRRALLLFTFPIMALLLLFTGFCFWIPEGPARIGLITLGIYLY CIAYSPGEGPVPFTYSAEVYPLYIRELGMSLATATLWLFNFIVSFTFPRLLTAFKPQG AFAWYAGWCVVLSILILFFLPESKGYTLEELDQVFSVPTGVHAKYQLYNLKWHFKHYI LRSKEPHEALYKFDDDLVDDKEFFEPSKDGQVQHVA L198_03710 MIDHTANDTPYKSTATGNGYTNYTDSLVSDAPIKSTRDFKIYKS DGDGYFGPDVDGGQFLHVVNCDITFNPRSDDNKPVMAVWDATPLYTGSDPEGIVEPDC AESDGDV L198_03711 MSTDSLAVPTFDPSEHAHRRYNPLTGKHVLVSPHRTKRPWKGQT EEPVLAALPQYEETCNLCPGNERGNGEHNPKYTETFTFENDFPALLTEPLPSIDAQGE LSDTDALFASQPVRGRCKVICFHPRHDLTLARMPPQDIEKIIGEWKRVYAEEGEMLRL SSGEGYVQIFENRGSMMGASAPHPHGQVWTLSYTPDEPQVELTNLAQNTRAANPNHPV RGDGCACLLCSYAAEEVQQKERVVEIDEEGGWIAVVPFWAVWPFEIMVLPYKRHIPSL LQLEENEVQGLARIFQKVLVRYDNLFSCPFPYSMGLHQSPLPPNNPESDVAHIHFHFY PPLLRSASVRKFLVGFEMMGEVQRDLTPEQAAGRLRALPAEHYLDSKDV L198_03712 MDPPIVDTGPSSSPLFASTQPPTPSNPLLRIRINNMSSEQGSPI YTLRQHYVPTRFATAVPVGVVPHTLPVIRIFGTTPSLQKVCANIHLCYPYFYVPFPMD SSDPLRPERVVKLCQRFAVSLNHAICLALRQNPSSMGNSTRFGGGVDPKHLHVVSVML VKGTPFYGYHMGYSYYMKVSLANPARMYVALEQLRKPNVLGREWQPHEAHLNHVLQFM CDFDLYGCGWLDLAGGTFREPVPEGDPYESPPNLPRGTLGILNSLTIPDSMFYAPGLS PSKESYTGLEIDILPHQILNRQRLTPRLLHHDFVELLRQPLDPSEKLVPAVAELWEDE RRRRLLKGLSIGTAEMMPKGGGMDGRSKEELGYKVKKEDAATEEKPHFGGDWKISEEL WEILEERMAGERKKRGPLTFEKFAQKSASGQNGEKLMWDKWIMTTFEAVSALWPKQPR KIPRQTPKSKTQFGSVPPNTASSPTRKARFSEDDPDVAFDVPESSDRVHEDLEWADED EEANPFEVFAMTQASQQFPPQPELSQRVQPTNDQEDDEHDLYYPENEDERAGLGEGDD QMDAKQAKVHAEETEKIRATQMPAPHADGDDYDDEEMDDIFRQTVAAGLGVESTPTTP NKGSRWAGWTPTKRSGSSTVDAETRKRKRDQVVLDNAGLGDLSTIFDRSSVFLSPFKN PYDDRPQAPSTPTRAQSPATDLITPNSLIRNMFARNRQPSPFNSPSRRKTPTSRYNTA DVITLPESKKTPGPITSSPEEEVVKHGKTPFRPSLQQRLAEESQKSTQKGKAPTQEED DLFFGPDLTFEQIQAIELSLPPAGGGLYEPPRGTPARTAVPTTNAGNRVDGHNERGNA TTQGVTKQQASKGQDIEPTMPGVSPTFKDSISSHEHSHPSIGSQKELRPRKRVKLDDA VHEIGGPSPKSNSRPSQSTGISSSPCLPTMGKAWRFHLPPPTSEFVVGNMEEYSVSSV DYQEPFYSDPGDIPKPKILGGRMFSFKSDKAEHLEDFKFTTATLDGGKKKRGRSNSKE VHESSRTKKTSWKAGWEYSVMPPNARDVVAWCDKADDLALSLLERKEKKTSQLVHPTQ KNKYGVKFSQKKKARDASAEAQNMTVLSLEVFAQSRNQLLPDPEKDPVTAIFYCYQNE DDNLPDTTVHPGYYAGYVVIGELANPSRFRLDGVAFDVVESELDLINWVTENTKYWDP DVLAGWELHNSSWGYLAARAHHEFGADIMDDLSRVVSGRSGRKNDGYAAHHSSTFKVA GRHTLNIWRICRSEINLTQYNFENVVFHLLHQRIPHYSSSSLTAMWKSQTPAHASRVL KYFFQRAVINVEIIDQAEIITKTAEFARVFGVDFTSVMTRGSQYKVESFMFRIAKPES FVLVTPSKQQVGLQNAPFAVPLIAEPESKYYTHPIIVLDFQSLYPSIMIAYNLCYSTC LGRVEKFKNTNKFGFTDLKISEGLLELLKDYVNVTPNGMIFVKPAVRKSLLAKMLTEM LDTRVMIKQAMKGARGDKSLTSMLNARQLGLKLMANVTYGYTSATYSGRMPCIEVADS IVQTGRETLEKAQELIHSRPDWDARVVYGDTDSLFVALPGRSKDQAFKIGFDIAEAVT AMNPKPVTLKFEKVYMGSVLMAKKRYVGFKYENPDDVEPVFDAKGIETIRRDGFPAQQ KMEEVCLKLLFRTQDLSQIKDFCRQEWTKILQGRVSIQDFVIAKEVKLGTYSEKGVPP PGAAVAYRRILKDPRDEPQYAERVPYLISNADGRRLIDRARMPHEMLSNRSLGIDAEY YIRNLLIPPLSRIFNLVGADVEEWYDTMPRTKRAGKYERDGSTGTGRDGGPGRGRGRG RGRGKRGRGAATGSRIDSHFKSSHCIVCGGECLDALCVACRDDPSTTSHTLLSRQYIA ETKLADLHRICASCSDVPPAEKILCDSTDCPITYARVAADREMEDQADIGGLVEELSL EDEKQGTMIW L198_03713 MARDPPSRLGSTELEDISLTPSAVKAAGVTIIHPQLRDLILPLG KGRVYYPRGQNVEEMRWSDEDSVSKDINRNGSSRTAFRLDFPAVCLTAGSGLFACGGQ HGELFVCEHRQLNPRIPSRLHPSPRFQPFKLSTTLPYSRSINNSIILPPSWPREWARH SREKQLGYLGRGSREWEELEPRSGILGRAEHGEWIRRRAGQDRGSSSVDDEDDYLTDD QDEEMTEAPSSPVATYPNTLPVRYVSSRPLHPPTPSQDARIKARSRKREEPCLIISNN DCSVKFYSLRSEEDDRASVFGNAPHFGIPSLARHPPPQGYHPQMLTLEDLAVLNSPPI EFPSHLQWDPDIRQIDVEMGADGRPGRALYDVVATERFGRLNDTEEAWQARQLLEQYR DRELARGTSGLPRVAGRSHMSDGDNGGFVSISALLRANAQPGPAAGSGSWFEGGQGVS QQPVRSDAESERLDLPPADAGKGESRWLNLVSEAHFPVAANHSSLSPDLRHMVSVGDS TDVDIFEIDGSRQFRKVATFTAATDAGFSSAWSKDGRKFAVASQDGQVTVWDHRSSRP LAIFHTCAKSDTPSVPSFANDLGAAYTNSRASSGISEGPGDYQVAAEDGIVLRDPVTG TPRTGSSTSGKEAARVVKFSPEGSSRDLMVFSEENSNIHIIDVRTFATHVVLPVPHVP TETTDYNARNSPRKGVDGGTWGIAGLAFDPTGDWLYSGTEKTVVEWDLRKMNRGGEGT WSLR L198_03714 MSAQPEKVNVLMVCLGRSPMAEAVLKHQITARPDSFKARFDVRV DSAGTGAYHEGDSADSRQKLIGSQHNVPINCIARAVERQDFQKFDYILAMDQNNLETL LHRKPAGSKAQVSMFGSFDPSVPKANHGSHKTRAKEIDDPYYGGRDGFDKSFERCTKF GYGFLDYLETRG L198_03715 MSAPAPAPSASPAPSHHLKPVGIRNEQNTCYLNSTFQALSATAP LTALLSQSPLSPLRPLPDSILPSPEATPAEIPSLHPETLEPPLYKTLPLTRAFTSSLH EGYRRKDDGHRIDAGKPEEPMSLRVLLRAISKKHIQYDGFDQQDAHEFLRHLLNGMEQ EEVDVIKVLRPKGQTKEEKRRIAEKAQAEAEAADSETVDGTESGLQLDKGSTVDSPAS PARLDPVANAVPQENDVGIVTGQAIREEPTTEPATAQVEVKWGNGETAVEPLPEVVDG PHLVPFVDVIFGGLLASVVVCEKCKALSHTYEGFLDISLSVLDSPRVRKRDKVKAFAN RFKPRGTSNNRQGSPDIGQPPSPMQLLNSVVSDEDMSDSEVTAKEESLRKRRESLAVS ESDNGDGASGIGRASSTKKFGGLFRKKNSSSRPGSSSSSLNALPLDSEKSSRPQSPHI PQSTHQPHAQPHHHHNKRQAKPTAAQAAYIARILAPAQGQEANDPIARLRAAQSGTST PVEPAKQESGLERCLRDFTSVEVLEGQNAFACQKCWRIKHGRYLHHEATVKEEDESGQ TPAMSTSSGPPVGRRPDARTTLSENSVTTMSSVESGTIPFSPRVAPPSISIQTDVEDK SRLGRGVHLSSTASSGSYVRSRSPLGQPVDEEETLVEDMSHVNMDQSFTSQDSNSGSF ILPSEAGQTIGSDDEDAESDTASESDGLSDSETESEEEHDGKKKKKSKHFVMGRAFKR FLISKPPPVMVFHMKRFKQISSYSASFSSLRKIDDFVSFPEQLDIAPYLAPNRKDYKM TPTPNGAYAPFMDWPHPMQGPATDPVLYKLYAVVVHIGDMVSGHYIAYVLVDPEVMFG KEASKTVEGEGEQAEEPATPRTLPQDRKGVDRRVWAFCSDEVIREVNVEEVLRAKAYL CFYEKEN L198_03716 MPLPRPRLWDLRQSAHAQAHALAPGIVPAPAEGVDLSHDLKPDS EADKEKLAKALEKKSDASELKNKGILKGNPGDSLAGKKDELQKAMQKDVLDNGIAQRP HPEDLVSKGILSRKWLYNATVVPDEQNVADEAPKTN L198_03717 MPYGRPPPVLLTSTLVPISLLLILNPLLPLVRSHLPPTVAGVLP KSLLADGPPTLPALQASIGFAILAFVGGVWVVPRVGEAFVDKGLRGRDLLKPGGRESG PWIPESLGLPCASLYIALMMLFIPFPFSHLFQGGTLEVFPQRELTLYLSSLLSLLTAT LLGFIDDLFDIRWRHKLPIPIVAAVPTLLVYYSVGGVTNVVLPMSVAGWVKSVGELVG LGPIYYIYLVLLPTFTTNSINILAGINGVEVLQAFVIALSVILNDLLYLPIWSPTLLT WLDVTGKGVSEGRLLEWALGEVVDRHLMSLYFMGPMAGICAGFLWHNWYPAAAFPGDT FCYFTGMAFSAVAIQGHFSKTLILFFIPQIFNFILSCPQLFGLVPCPRHRLPSFDNDT DLLHPSRETFDQPPPAKTAITLRILEALHLVHLEYTPPSPDKPQYIKSTSNLTLINFL LVLFGPMREPTLCTLVGGVQVLGSAIAFGIRYGVGSWFYGGERR L198_03718 MSSTSASGPLKRKVVIMGSTSVGKTSLTQQYIAPPTYTASYYPT IEDTSHKIVKHKGVEYDMEIIDSAGLEEYSLFPGKYAVGVHGYMLVYSIASRQSFDMV PTIYDKILDYAGLESVPLVIVGQKTDLRDQRSITKDEGEALAKKLHAGYVESSAKDNN NVGVAFEMLLNEMQRVYNPEPEKKKSGWWPF L198_03719 MPPVCKPLPQASLVYITPSPATYLPLPTPRNEPQSHSPSGRQIM SFMGDELRKTKAAWNNVEYPAMFEPSEPELLSCTAEVCFVLRSEGFCGSRMVVALPMK LQALILLDPVARRIPFLPHVVACAPGNALAMSIPPVPNSTPAPARQSVIRGLAIVLVD ICVVLQSSPCADILFSEQGAVASTWSFCRWSEKRSYKIHLGLSLFPSLARVSLVRVNR TPIPSATQLSFAPLHLSSTTFFPCSHPIPTVADTLIATPRSSLPQQQTRPYSDSTSAP PKFELASACCRMGCVVGGL L198_03720 MTGRIDGIIILDNNGKPIISSNFSSHAPSYPSLHIDAYNAARKR TAELDPVLWVNTLDNGRTGMAGAGLCHSQRDGLCFLIPVGLEVNPLFGFSFLDSFLET LRDYLGQVTESMIKDNFDIVYMLIEEMLDEGHPLTMETNMLKDIVLPPSIVRKILNAA GITITNSSRLSTTNSAPFTAPIPWRKPGVRHNNNEIYFDIEESLDAIVDRKGNVLSSN VWGRINCNSRLSGNPDLLLSFANPKTMDQCSFHPCIRHGRWKKDSVLSFIPPDGKFRL LEYEASASTAKNQLPISLKAAMATESHSAGRFSLTLSSRVNSLPLENIVISIFLGKGA TSVNATATGDRRPLHSHVGKEENAEGFVGGGTWDFDPHTQVLRWRISSLVTTERSPTL AGSFTTTEAQPIISPSFEVNFSVQNFCFSNLRVDKLKVQGDVMYKPFKGVKMLGRAGK VEVRW L198_03721 MQSLSPECTPLKHRYDTCFNLWFEGYLQPALDGRPVAYPSATSS APSTPSCSSSATSAVAPPPAAQVNAEEERKRKPLITSWAASIARRSPIAPRQSQHAHF GHHGQAADGGEGAGLESQDHLQGGYDVEEFEIDTTGKTRAQIKAEEYERACGALWKNY QGCLQKSIKENESLSVLLQQAREEHPLKSMDKLEGTAWDPKTNPADVFGKD L198_03722 MEEIGIDLKIEDPTLTAQASEKQILLDSLPSGSEELYSTWKRLE AHREFLQLQEEYIRDETANLRRELLRAQEEVKRIQSVPLVIGQFLEPVDERRAIVAST TGQNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGADEKPDVKY SDIGGLDAQKQEIREAVELPLIQMDLYRKIGIDPPRGVLLYGPPGTGKTMLVKAVANA TKASFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPCIIFIDEVDAIATKRFDAQTG SDREVQRILLELLNQMDGFDQQTTVKVIMATNRADTLDPALLRPGRLDRKIEMPHPSR RERRLIFQTVTSKMNLGPDVDLEDYVSRPDSLSSAQIASICQAAGLQAVRKNRYVILP VDFEEAWKSVVKKSDETHEFCKYRLSLDATEFALTILL L198_03723 MGKRKAAKKPVAKKKAEPLSSVFKCLFCNHEKAVTVKLDKATMF GNLHCKVCGQKYSAPINNLSAAVDVYCDWVDACEEVREKQPPKQRAPRGPDPLTHGQA GGANFTEKRAPKRTNDDDDDEDEEQDYNFNYEEQEEEEDRRQEDRGKRRRVQQDTDDE ED L198_03724 MPRSSSPDIVPISPPPPPTASSSTRKFTLPKRNPLPSPTSGRRF PKIFREEPKGGRSKDKARMKAKEDRLKKRKEEFFVGKGKEKAAETIEVDKDEGEGEVF EEVSRARTTEKMMNSLGKSGNPITNSSMPQRSDYVVSCATGHQRSESRGPVVNQTYAQ VRTDQLQKQSRANKTMLFKGCLFYMNGSTGPKVSNIQLRNMIAENGGRFTTVQTSACT HIIANAGLSGGKTQKHLDMQGGRRSSRQGRVVRVEWVLDSVAQGAKLSEAGYTMIDNP TQPNLFTTLGAKPKSLQSE L198_03725 MLASRFQEPERASVVLPTVTCSSCSAPIALSSLGNHVCQPAPPM PRAQPSRPAQIAIPQSRQGVPGWNPSRQDPYSARPAFAGPSSAHPSPTEFAIPRRPSA NTLTPHSPNFPQAYSPQIKIPSPSSPFFPNSAGGYSSHASPEHMQMHMVDTTTGGESG MAGVGRRAFAAAAWSVRAGVSLAANAKQRSADNAHVQEPPQVRAPPQTTAWPQARPEI HRSHTAAIPQQREAAPLRVQSPASPPQRSYSAMDQRTSPLRGNAGRGVSISSSASSQS GLADLLSGKAQRLSSNKRDFFEKVKELNRSGSVLSRSNTMTTGKSNDRMVSSPVDTSF DLDDDYENQPSALPWASPDLDETPRLHIDTHSASPERVHRRQATDSSDTSSESSKSGR WGATSGPESEEVVTPSQSFDGLADRARGEIRLGQIGEEEEDVLGLMSKVSMSDQDGGR IPPSGSVSTIASSPRYPTTTVPNTTPNYKHSPKYSHQRMPSVKSPSASKLAPPSPSGS IARKQKSCQKCGEIVGGSKRFVKRDGIVLCEKDWKKLYLPSCRRCTLPIEKSAVSSSD GQLKGKWHRACFTCSDCDKPFADDDFYVLRGKPWCQFHYHEQNGTLCCSSSCRQPIEG ACIVLPGPDPQRYHAGHFRCDHRGSSSSSHPCKESMDEYYDVDGKRYCERHAGEMMRA VRRGGGRELKAEKRRTRLIDLSAM L198_03726 MSDSQSSVAGPSRNETSLEARQQATPRQREKAPQLDDVLLDETA LEQEIDLLIGQYLESRGAPSAARIWDEQILGKGADDGDWGAIEGLIARPGLLRPQTQK AFLYLVYRQQFLEHVENRESQKAFNLLQKRLKALEHYQPVPYDFYSLSYLTSASTVHD APTFRDWAGVGPERDRLTGVWREIIDSERGGEVGERRYVPPDRLKTLLKQAAAWQVGQ IERKRDSPVKISSMLQDYRPVELPNTLQRLIHGHLANIKAVDLIGSTGHYGVSGSSDC TLRIFSTEDGTTEHVLSGHTSRVWSCASSPSRSTIASGSGDGSIRLWSVANGDCRGAL AGDGGDVYSVKWRPNRDDQLATASYDRILRSWDVETGKQLRTFSGHSQSTLAVAYDST GNMMASGSKDKHVRLWDAVGGVCINTMTDCLGEITSVEFDDEGKYLLAGCKDNSNRLW DLRMQRSIYRYTGHQNTSKNLIRCTFAYSASLVIGGSEDGSVYIWDREGSTPANTLNE ASRPPALLAPTAYGPSGEPIAPTLSHADIPLRPPASPAYYPPRDVRATGASFSSSVAR SGGITVRPSKVLLGHGDGAVFDVKWKDGRMISAGEDGAVGIWGVER L198_03727 MSSYTLLVSGYRTDFSLVAFDPSTRDIKVLSDSPAPENASWVEP AAKKSTDGSRIVYSLSEAEKGKAFSLVVKGDKVTVTSERDTLGGSCHVHIMKDGSGIV VANYLGGSLIYFPVEQDGTLSATSASPLLKFDLVYTEQGQTPPNPERQDASHCHQVIE GDDGILYVPDLGNDRVWVVWRGGESGLSVKGWCQAPAGTGPRHATISKDGKFSPVLQF SSKHLYVLTELANTLLTFPLTDPTYPIIPHPDSGISIAPPSVPERYIKYLNAAELLAH PSLPVLYASNRLELDIGKASSGKFESREKGDAVAIATLSSDGTLEAVQHVRTGVDNIR AMQLSPDGKFVALAGQSGGGVEVYNVGQDGKTWELVANNEAITKVTDLAWL L198_03728 MIMVWIAKDPGARRQLHDYELNTTEARWLRSGKRQTDNKEMYWA KYETGGIVAGEHTSSWKAGDVVGQQVPLVDQSIRIKHNGNYLWITRRLSRRYNEGARV EIKTIAYRPHIIQNFIAAVNQSFYEKEDKELRIYHSKRINPTWMKPITRRAREWGSVI LPPGMKEEILEDVKRFLSDKDRNWHASRGIPHRKGIVLYGEPGTGKTSLVTALASKLN IDMYIVNPAQRGMDDAKLSTLLRNCPSETIVLIEDMYIDGLQADDGGEAEVQLDGAAS HRDAFVGGGGQPPSTVTMSGLLNALDGVSSQEGCVVIATTNHLERLDPALIREGRFDD HIHFTFLIPRQAHDLYVHLFPLEDFGPHTERSNFDFVNEKSEKSVVIFTDQADLEKHA SDFADAIFSTPNSINLPAEEVKTSKKITMAGLQSYLTGHKYAPIEALEGAGKWVEGYE ATQQERSRVRLTAARGSDYGPVKKTTPKKKVKKTVKAIESEAESL L198_03729 MPSVDPAPVKLDYDHFLSAEAKGRVRSQLRALGPYHSIPGMISF GGGLPHPSTWPVNAITLSVPFANKSVFVPGYQGEDPSKLYPLAPFTPTTKLSLQSDPL DPNLTRDLQYSATFGLQYFVDWITEHVKRIHNPPYPEADWQVHCTAGNTDGFDGVART LLNRGEYVLVEEFAFPATINHYASLGGRNIGVPLDGEGLDAEALNKILSEWDEEERGG ARPKTLLVVPTCSNPTGVTYTVPRKKEIYTVARKWNLLVIEDDPYCYLQSRPDGYDTP LVPSFLSLDVDGRVVRIDSFSKFIAPGSRAGWITGPKDIVTAIMRKGESSSNGPSGFA IASIAGIIQAWGSHEGLEKEYLPHISAVYNSRASDMVRHIRKYVSPETLETPEPTGGM FLWVRLRLENHPLLGKVDPEEISARTFKRLVDEKVLTVPSQYFKAGGGIELSKEEEAK RIFLRLSFATADPEQMEEGVKRLAKGLREEWELKE L198_03730 MASYFPSFTAPSPMALAAASTNLDDPHLEVTVTPSASAFYAGET FSATITIRNTRTPSHDAKVPETPLTVPPTAEVSTATSQTRPLPPVDARHPSDAPQLPR RLKQIGAGLSDIPLNGKKFAENAEAGPSGARTPMRIQATPRSPDLDSGYPYSPGANTT FRAPGPSSPQREGLMNFRSPEGWGGKENTMSKELGHQRRARSLALGKGAMSPQELVWA LGGQKTAPPPLPSRRSRDTQIPAHHPHSRKISIANPITAETSGQSEDNTPPPLESIIE GQASPSGRPRSSTRPSASRSNSQNDLKQGDRSVSQVSPNRLRRPSHNRTPSYQNAYGA SFMGIHIDTLPPPPSHPFIREREPRGTTTVLWAYTRLVGHFHPSNTYIPPDPLLPLRA ILLHQPVGSGSLLTPGGSSVSNPAGKSSSSSRWQLSFGTGAIGNATQPSLTGSLFGLA KELITGGGGGSLEEERRRVWNMKDLPVLESTRSLMGVDIRLKEGESRDFVYTMPLPTV LPPAHRGKAFRFSYDLVISLSASLPGGGHRQKSKDIVVPIRIWANVSVGHPYRTYDVL HPVIQTKEEGNVQNLEQPDMPSLPASSTPQSQEMRRQSSASDRHRIKNGDTRDSLQAY ASHLVDTLKPNGESLQPMSPSKRDARSRAASPSSPVFRIPATDQIEENTDLFVEGDEE LLDEVGHEGGCGEAVEILSRHSPKASYDINKDGHPVSVMTLAKTTYRLGESVLGIVTF NHPTSIFRVLKFSAYLTSHELIPEPLLPPPVHAGGPEQPNLQTVHAEYHTAYALSAER LAFLLDIPSDATPAFSLAAGEGDKGGLEWRIKLKFTVGVPAHGVPKSHRRSVDHSRKS VDGHAPVSEAVNLIPTKRHRSGDEADNAFYSALQSLNPVIPVSQAYRDAVGPDGGAEW SEMRTEMVECEVPVKVLAGNTAFLVRPAVFVV L198_03731 MAPSTPSTPHARGTGSEPGTPAPVIAPPGSYTVQDVTPGVKIYV AKPLGGGQSEQRRAEILSTRPKPKPSAFAPPPPPNAPPPDPRDDTEYYVHYVEFNKRL DEWVGGSRLVLDKEMEWPKAKEEPKKKERPGKAQPSKATPSRGSPMPSDSLLKKAANK AASRAGTGKAAPSKLGKAGKAGKAGKVPQKRRGKAEPVEEDSDDDEDEDAEGEDEDPD ADGDITMEASDGAIDPNSEVVAAPSNPQAAPPVFSKKQEIEKLRTSGSMTQSHSEVSR VKNLDKLQMGKHEVETWYFSPYPIEYAHLPVLHICEFCLLYYPSATQLVRHRTKCTLK HPPGNEIYRFDNISFFEIDGRKQRTWCRNLCLISKCFLDHKTLYYDVDPFMYYCMTVN DEYGCHLIGYFSKEKESPEGYNVACILTLPQHQRKGYGRLLIEFSYELSKVEGKLGSP EKPLSDLGLLGYRAYWQEKIVELLLDSDYEISLDEIAQRTSITHGDIMHTCQALQMIK YYKNSHIIHLSDAVIEQHKKTMSKTRRSINPDYLRWKPPVFSRAQLAFGF L198_03732 MVFETSNSTPPSLSIPSTHTVILFLYIYLNVVEIWWTLIWRLIC ASTFLLYRLLFNPEKKTVKKEFSPWKNPNANVVVSYKDFRRVEIPYKSYENKKVVFTR CSGKTPEKRILKGKWGEVHEEVKKPHAYHDNGEPCWDPECPEFDASQLRQRVLPELKK EDYLKEEAGFIRSFEDALIPEDIPREPAPIKPTRKRFSQHSVFIQTKLSASKLKAKWR LAKMRKEETRRMAKIEKSWAWEVSEIAMLKKDQADQKAEADAAEKLLAEARLPPQLPL FAKTGTEPGSHTVAIVVTPPMSSSTSLLTESSPSTSAPSTAHTTPVLSRASSMSSMSS FSTLFSSSTGPDRENKKRISFASSRSSAPVSETIRKAPCARAKAAKGARAV L198_03733 MSTPPTEQTQLLGTTQPTLAAYASGPASKPVEPASEHHNIAGLS PSRFRLVCASIWTASFLVAFDSTLVSTLLSDIGSAFNASTQTSWLGTSYLLSVCCFTP VYGRLSDLIGRRNAHLTGLTLFTVGTFLCAVAPSMYGLIGARFLAGAGGGGVASVSAI LMTDLVDLRHRGMFQGYVNLLYGLGAALGGPVGGWISDNFGWRWAFHIQVPLLVLNGV LIYTFVVQPSNRPQTWKTKLARIDYLGSFTLALAVASLLLSMSIKTSSTKASGEDYAF SDPLIWGLFLASGIFAILFLLVEAYWSPEPILPLKLLTRRTPVAIALSSFTMVTTQFS VLYNIPLFFTIVQLRTSSSSGAHLLPNSILIGAGSLFVGWVMRHTGKYWWLGVNCALC IVATSVGMLFWHKDSPEWLTWVAQAPGGFGYAGVLTTSLVALMTHVQRAGKGETAVAT SMTYLFRTVGQVLGVAVSSAIVQSVVQRDLLKTITGPDAAEIIYLIRHSTSSIHTLPP HYQVPAIDAYDHALSFVWVFNLVLSVFTVLALMLVEEEEMPDRQIVRNHVERADGSAF ERYE L198_03734 MGFASLVGELGMSQSTKILAATILGYTSIACWLCAQLPQVLKNL SLHSCEGLALPFLINWLFGDITNLIGCLLTDQLPFQTYLAAYFCIIDVALVGQYIYYQ KPQPVPTPRHNYAALTESPRQAVILPPNTAPLSRNHSSSGPLASPLTPGAQSAGLPRQ RSKRGLYRPTSSYFPGPDLTITSPPDGSYAAIYEAAIDVARAAERANARSHSRRRRLS RQNSGVLTGSERGGEEGEGMMESFHSDMSGRTQSTARGSPRVGVVPLEGDGRGRSLRR GNGMLSGVTSSGDLYAEGGDRFDSLPPPGLSADGRHHRHREPSKDSHKSRSISMVRGS GGRGSRRTAGITFMSLGLLIGWGGFRPDAGSDVVAGGSVLSEPSVSLGVRHPFVVSPP QTPDVFLLEFPHNHTTDEPSLPHPEAPFSFQRTVGRISAWSCTTLYLTSRLPQIWKNF QRKSVEGLSILLFLMAFWGNVTYVASILLNPSGGADPADNAHYLLESLPYLLGSGGTL VFDLTIMIQSLMYGSAPPVALPPAPAEWSRRRLASHRKRMRHVEDGYGGMSQSVSAER GERAPLLLTSSVSGLPGHGNRRQGTKRGRSISPTVHKRARSLAT L198_03735 MLATLLPAVLLLAAQSVAARTCYNGYGRPYHCSGLSYGARIGIG IGIAAGVLLLFSLCGFWRRRQLRSQFSKYRPPALPFNGQQQAPQGQNPYQNNPPPPTW NNNAPAPPPATYQRGSAGAYGASTTGAEGHEHGYEWEQARQQEEEERRNKTSGTNEPA PPGYDIATSTQNTGNAGTTTYAPPAGPPPGK L198_03736 MPTTPVRPNPHSATLTPGKYVLDPQHDTMATSGTTSTNNSSDLY ESSGVEFERGEEGGYGGRLEGDWSSDDETPHVEFPSPRRILRRYPRTPSNPYLPSNKI PPYIPIKHRSPTSSIDQSSDDNFSEVEDLRKSQDTLNDLRHGPEFKVFESSDEENGSD SGVRRSGGTRRHVKSKRRYNARRSMEKANRGQVVGCEETGLEDTLATRHQVMPALLTP GKSARYLHAKDALQISETRKPILRSAGSKRTLRMAEDDSPSTPDNLSPTRPSDYGSQR HPDPSAALSLHVDNPSDSSPRKRIKAKPSASSLAHMTSPWRPGHRASASGLRVPPI L198_03737 MYFPAAASLVSLILLPATLGMAIDPHSVHARDLEGRADLTVQPQ CNGGSLSAHDCNVALLSLGGGIQGAIQFLRVDATTNSSSSNGCTMTVTAADGGTAIDI SKGRLEQAQKAAIDKCGQQAWTVTATGGSTGGNLKIEQSADAGSNAAVTAATSGCSS L198_03738 MSYLPASCYSDSSPPSPSILTPGLPSSPQFPAPKYATTKGFPLL PPSHPLRTQLCSPHEQSPVSPLCTPKGHPIEPKSYPWSYPTKKPSPVLNPYTQDETTA SRLVHLLRIPRRLRPFLLLATCLATFSFILVSRTISGSSRASVFWPMQEGDFAKRDVY VQQAFNDHAVPGKVGSASKEVKVEPFKFENVEQEFAALMSFVTATTSNVMTHTDPALP LDPSLVLDFDPASPRARADLELVQSEINALYPLVLFGKMRDPRYRELKSLLSQVKISP PPLVIEVDQRRDQTVFIPTIARLLGTDDLPQITLQGKPLGSYEELVEMHTAGTLFSHF EATGAVEVKELKKKSRGERERERLENERVLGPAPVAAF L198_03739 MFGRSKHGATPLPVAQIAVLMAVRLAEPIAYNVIFPFVNQMVEE LGVTDNPDRVGFYSGLVESVFAFVQFFTVYHWAKMSDKIGRKPVLLLGLTGVAISGSL FGLAKSFWAMIVFRSLSGALNGNVAVIKAAIGDITDDSNSTEAFAMYGLTWIVGTMIG NAMGGTLSHPYERLPNWFSSFTLLQEYPYLLPCLVAAGLTVIGILFSLLFYHESLPGL ATPHRPALFPLHIDTGLSRLSSSLPSPFSPFSRSPASHKRQASMASLVSESETLVDSE GTQELLGKSRDGNGGEWTFPELMRFKKVRVMVATQFLNSFMQGAWGAAVLLFFFDRHN GLGMSASAIGLSLALNGLWTILCQILLLSRIRRWFGISMGYKVLSAGWPLIWLILPFL RNVLTATEKPLSPPEDDQYTHPLLYPETRGWPTAICINLYLSLASIVAISSSLLMAVV NYSSPDRTALGAINGISTAAGCMARVIGPSSVSALFAISMDGQVMNGRLWWIVMVGMS LINLGVCCLLENDPSGSTYLPKDIEEGLDVEMGGMSPSAGPGDTGERVG L198_03740 MNGDRSTSAGVSRQGSINNSLADAEAKKRRIQRACDVCRRKKIK CEGPMQSGSSEKCAHCEEFSLACTYNEQAKRRGPPKGQAKKCDACACRQVVLRALRPA VDLNEYVGPVPDRDDFDIVAYRETLRQQNIPPYPSIKPLQFEHRKESNGSASTSNTHS VSPAAGAASPSNQVLGHSPWKLYERDPSRPPDDDSDVEEEAAAQLSIANSMNQLEVRD AHWRYHGKASGAHLMRQFQDLKGQMGDDSNLIDEINQIKRLQFWQVPEWELVIANEGL HPLDYSTWPEKGLDQDLIDAYFDHINLQLPLLNRPFFQRQYDSRMWQSNHGFSRVCLM VFANGSRFLDDPRVYWPKEWSMTEEGQSRLAQDLDGTLRYSAGWRFMRSLLRMGRSIM QGPNLFEFQTQVLICNFLQGSAVPHLMWIISGFGLRSAQELGIHVRATLLHADPIERA LYNRAFWCLYHIDRYNCAAIGRSVAIQDTDFDADYPQDVDDEYWDTGDPEKDFKQPEG KPSRIAAFIQLLKLDHIVGAVLQTVYAINKLPEQKADIAAQRALVVELDSALNSWADN VPHELRWDPSRQDHTLFLQSAVLYVYHYYCQILIHRPFIPTPRNHRTSDLPSLAVCVN AARSICNITDAALRRGRQEGALPGRALNVSFMLPSWISAIILLINIYSGKQTTSERER AISDIKRCLAASRELEVIWRQSGKYTDFLTQLANESGMPTADKVNVSEKRTYSRGESE PTRKEGKGKAGFTSRESPAGDIVSPPETILPYVDKSMPAPPTPNSEPSENRDYGLFEM SGMLSNPPASNMPFPSGSQNFTGHMSTPVSNTPDFDSIFRSPSEASVFPMPSQTHPPL PPVHQSQSQSGFKAPFPPSQSNMPSFPSFPAASVPHSYQQSSIPQGQGTHPLPNLGHI PNPGDGQDAQNQFHGSLMGMNSFESQLLDMSTTAFGGQEMGAGDGDWWAQLFSDYM L198_03741 MDLSTILRNAKARKYKNKAEFAADLDLIWKNCHEYNSQETHPLR SAAKFMKQKADHHLEYLADRAERTKHLQTLLPPSSASTPAGPSGSLGVSGAAGSSRLR EGSTMGGDEDAAGESDDALGEGDDADGEVDASITDGEAKEAAGFREGGAERGEWGEAL GRGEDGQSRGVSVASSSRAGQANGLKRSPSAKRPFLPTTLDSVPALLRTPQSVASFSP VFPVAEPSWSDKGKAKEIISPYSPPSWYASFAGPSTSTSGTPSAMEVDAEDEDAQFEG CWWGALASDEALVAGLPAVPTMATPRPVRRKRLKPSLPVPPLPNGIANHSAEQMPSPQ KPHIPPRSRSPSPSPEKLPTTEAQDQSSLQRPSTESAAPSDKPISIPAIVRRTVNNLD SARSIAHKVSEFQRIEAEGGVLPPRSLSPPLSEQASIEEARQLRREALAGERQAIHDR RREGGEVGREEAVLTMRMCTAGLLARAGFEGANEGALDLFTRVAADHLERLGRTFRLL MDGLSHKMSPEELILHALHENGQVETRDIESHIKDDIQREDFKVNEMHKKMRHAFFDI TSAPVIEDDQMFADDGEMLLDGNFAEELGEDFLGLRELGIAGENGMSTLSVPQSLFYG RKKRPTDHGRGSNGKSDLPYPPPPPFVTLSPITISTTVPALLHAFYNARVESGLSLSE DDAFDAARAQIGSLGQVVIKGGKDAKFGNTDAKGKGKKRDRDEDEDGERKKSSAKKEP GVGKGNWTRPSKTEKTIKIHVPAMPQVNGSKYIADEEEEDAEGEEE L198_03742 MSQEASNSTASTAKEAPTHSIPKQAYTSIEYPGPVSHPSAILQY CSQETINECFNAPPAVRASLEVRFRGDEAGPPVRGTRSQRAKLLVKVVRRRKKTSGSG GDKPEGGVFKLETMGSIGSTVRFVAMADHHWTPDPEGPTASIIKALKNLDYNAILDYS YTPLDENYVEPNPDTSDPDIPFRSRLDLQPPPIFSTRAVPSTFNYKLPTTVVAQQTTH PITGAPRTRYVNTSRKTGYAPQIMQHEHTLGDVPQQPNEQVKQDAEKGLDKALLSRLK ELFEQRKVWGREALMSELTERERRLVKNNKAYVPSVAYVITTGVYYKCLVKYGYDPRL DPESRCWQKMSFYAHKQTIKNPITRPDDDEEVDRQKGWWDDEQERLIADGARPPLDPW KVHIFDGQVLNRERADYQLCDITDPFISKYIENTDHLSKTCSVKSGWYTFSYFTLLKG LVRAKYMYLWENGQPAPDEVCRPVLEEWEKGRLGSTRDEDEEEGMDEEETLNIGSIEP GREPEFESDSESDSESDVNAGGRGENGDRSDDAEEDQGDE L198_03743 MLPPRTPSTPPITRTRSETSARPRTPHQILSSYRFPPQPSPLGG TSLLPSLSPEPSTDYNGSLHPNAAPSTPSRVPSPAQSLLLAASSHDAFEATFTAHNVS TSKDLETPKAEMNEDELLAGEVVDIFQRKPKHHRRTPHPALTTSNSAPVPHAGTQMTP GVPDARRPSLISSCDSRSSDPEEDSAWGNWSYRMSPVSLLTHLAKDFSVEGADDDVLP RGRVLEGLMSARRDRSWSPRKESRQSGKEERRDSRDSNATSTESITHDYLADFFDPEY PESGDPFGSPPPTAKPLRRPVQSSALAEPDDRSTGDSLQSSRLLASVVGKHGRGAAQD EGGDEEDSGGEEDWEWDRVLAGVEGEDE L198_03744 MATRTYAALVPWRAVAIKQRVPQLVGLRTSKRLSTSATEVEERP LSGVKVVDMTRILAGPLATMMLSDLGADVIKIESPKNGDDTRSWLPPSAPIPLKNYPR PDLPPESAYFLQANRNKRSLTLNLKSKEGKKVIHKLIQEADVLVENYVPGKLEKFGLS YEQVREINPKIIYCSITGYGSTGPYASAPGYDVVIEAEAGLMHITGEKGGKPVKVGVA VTDILTGHYAQSGVLAALIKRGKTGKGSRVEVSLFESQIASLVNISSNYLIAGQEAGR WGTSHPSIVPYQVFPTKDSFIMLAGGNDSQFAILCSPAVLDRPEWINDERFATNQSRV EYRDTMVKKLGEVLSEKTTAEWCKKLKGKGADEFVYSPINNIAQTFAHPQAVAREVVE EVDHPRAGKIKLAGPAVSYDGSKFKLYRPPPYLGQHTDEVLLELGYSQEEIRQLREDG AV L198_03745 MDAHDLHKDGNTHFASQDQTQHRQNDANPLKRFNFPGAHSGARD PTKKKTASKRVFGLDPGVEEIDSVVFHDLHWWTADRDIIQLLEHLNITITDKDIMFME HKVNGKSKGQCVVDCHSKDQALVVYGWMQSNVFQGKAVLTTLAASILGNPFHPNNQDL PAPRPLSSAIHHTMNQATNSHGGVNFNRVNKTLRMNQAGLGNGHPSGIKHGHHSHIQP QHTPQQAHQHPHQFGMAGYPSPGQALMMGMFPMDPNVMPWSPQAEYLSDYSEFGHAR L198_03746 MRFQNTLLLASLFSALAAFALPQNENGGDDSSVSADSSSDGDSS SASGAADSSATSAAVSGSSDSTDSAAASDATGASGASGAADSTATGSLAVASGESSAV SGASSAAGSAATGAKAATTSGAASGSSSASSSGDDSSSSSTASSAALRAVGMPMGYEW FLGSGAMVVGAVGAGFATVF L198_03747 MIVPRWKYIGIAGATVFVLHLLASIHPTYRANTTPFKLNLLPQP DSWSGEAPPASAVVDSEILKGNDAALEGRRKANAVFVVLARNSDLWPFLDSMRQMEDR FNHWARYDYVFLNEEEFSDEFKRYTQSMTKANCFYGKIEPDHWYQPDWIDEDKATKAR EEMIRKKVIYGHSVPYRNMCRFNSGFFYRHPLLANYDYYWRIEPSVKFFCDLAYDPFL VMQDEGKVYGFTISLFEYIETIPTLWDATKEFIAEHPEYIPEGNGMQFLSDDGGETYN KCHFWSNFEIGDLNFWRGQAYSEYFEHLDKKGGFYYERWGDAPVHSIGAALFAKKEQI HFFDDIGYRHEPFQHCPQGDAHTRGHCWCDQSNNFDWEWYSCTKKYTEMF L198_03748 MASISAPTSIATFPQPHASTSKLPHVALSPVVGDARSAVAAVQG DGVWTYDLSTLRAATSFTVPPATTFTTSPISYWTTKTKAKAPAANDGGMDVDEPEDGT LDLETEILKERVTAVGVGKEIWVWRGEDGEKEVVRIKSQVNALHYIPSSSTPILALTS FSQFYLIDPSTTTAHSIMPSSKGELLTSRIIQGETTRLVLVSVDGKVEVSKVWVEDKR VERLLEGKVGEGELTGGDISEDGTISVLDKKGNLYSTSIRTLSSTSVPIHLNHPSVAP VVCSLPSASLPLLLVPTSHPNPSLLLTVPSSTLPSIISTSPLSSSTSSGTISALGVLS SKHGVYTIGVVLSHKHGESDASVGRSVLYTCEIALPAQGIGMGLLLGTKSKTEEYLSV GGADKKEKKSEEEKKEDRLVEAVNKALRAKDVAGATKAWRNYAASETVFSSKLVKAIV STIFGAALNGEGRPTGVYAVEVARDLVSKGLVNDSMWKESVVVDGLLPLGDWDTITAA LSSIKTISSSALVALIRSSITSTTPSTSALLKSILSLPPPGPTYRVDLHQGLTVEEAT LVLEVYNTWAAEHVEALSYGLTGWESASPIEGSDLPSLTSLVTHTSSLLDAHLPSFLS HLPSHETLSQLQSSLDPLLAAQNEYRQLRGPVEALLTLSRREAKKSEERAQKKGRKGK KAGKEEGKLPEEIVGKWKVEDLVF L198_03749 MAWMSSGRSNAELIENMRRSGLIQSARVAAAMKQVDRKHYVPEA TTAYEDAPQRIGYGATISAPHMHAHACENLLELLPENTPEGQEAPRILDVGSGSGYLA AVLHRISPHSRIIGIDHIPNLVAQSIDNLRKDGVQVLDKHTGQSGGVVVISGDGRKGS KEHAPFGVIHVGAAAPHMPQDLVHQLAKPGRMFIPVGEGSQAVWQVDKSVTGEITKKK LFDVMYVPLTDADKQWRG L198_03750 MAAESTSVGERGEETTGSTRASSPASSSARKPTSTLKRAGPKPS APPAKRLASGSSSLRSDDNPPTEQSQFAEEQQKGQAAHVGGGLGSWVSTSQAPQEESE PTSAGRSSPELSSTRHTCFSPYDIFGPFSAIAITRSFRRSGAGPREGSLIADNVRSSR LDPISSGSRDEDEESNYDDPTGEGTEVMPNPSDTWKAIEQLSRASFPKGEGCRISLDH STTLMDLQAMLARIHRGYTRIQASLEVAFMTGPIRSFRPQP L198_03752 MSDLKAYLAANYMSGPKADAILARSSEPNLKKKKKKKPKNEDYI GGSGTKGEEPSAGGILLKDEDESWIGQNEEDEDVDGPMVGKELATFKKSKNSWATVAD KSALPLPEAGPSHSAEDEQVQEEPEAPPVQMTKRRGGLRTYAQLKEDTEREKAAQRSA SPVGDDDRPDPTATVYRDASGRVIDMQQLKEEEKRAEEEERRKEAEKKEWTKGLQQRR EREERARLEREMADADVGRTKDDVRMNNDMKDEERWNDPAAAFLTKRKKKGPRRPKYQ GPWTPNRFGIPPGFRWDGVDRSNGFEKKFFQAQNTRARNEYEHNQWSVEDM L198_03753 MPLPPLHSLPLNPTTGQQPHPSLTTRNSGHPPPAGTSTGGVSRE TLKALHDVYWSDDEDDPDCLLCAEPLDLSDLNFKPCQCGLQICQFCYNKLLSSDARCP GCRRTYDTKAVVFQPVDWEEVKKAKEKKAKKAKTIKQLTGTGRRHLLGVRIVMKNTAY VIGMKIPSPGDEALSILRSNDYFGQYGKISKLYLADSKAVSTAVPSIGDDSFAPGIYI VFVRREDAARCISSLDGIPAPQGPPGATLKATYGTTRYCEAFLKGMKCDNSGCHSLHE WGGESDCFTKEDLETAFTRPTEYDARQKQASQSTPSQSVPSLMSKVAWPKPSGDDSQS LSSAATGLPSAASWGKGIGAKIPSRVGPPGPGAISRPTKISNVLPIKNNAAFPLPTPS PTIPIIIKEKKEKKSSAMARARSTDSSAPGSAQTSPKRKVPALTSSKPTAVSIPAPTS SKSPPAPVPVSAVPPARESSPVFAPESPEGYADEHMSGDSDAEPSSDSPAPQTPSRMA DSIPPQPLSDEPFIIHSPYPEPIIFTFPAHDKDFAFVLGLDDEELQRVQAQAEGYEPS PFSKTLEGLAELGVHAPEVPELFTPLSPRLDHYSGFFRPFEADENSPALSDNTPGPSE QLTDDHNAQRTESRFGFARATGGSTRTQSPFRRGMSDANMRDGWYRNEQQQQQQQPEA NSHISSLAAQLAGFGGSYDSASGASVSGVTDSAWTNDSAYAPSPALQQRGQQQTQQQQ YDVRSNGRFEQPLLPGQFMQKGMRDREEFDNSLLAMLQYGNSNQQQHQPIYTHQNQQS QQRAMFSPESASHSMHEEQGGPFSPLAYTQMQQAQQPQIHPDARQLMHMHQRRGQSPA PLGAHGYRRF L198_03754 MAPPRPSPSATSLKSARHVPLLSLAPPALALGDELALGSPGEDN NVGEIWNVITRAADLVKDGERLENLAWRHWGQPRRMPSFSHHDRPLSSSSQGSASSAS IQTPQETSYFSRLGPRHPHPRHQPPCQQRMTFGAALLLLVEKDEGNFKDWVEDAKRGI PCSPPLPTFSVPETPQRSSPENGVQIHLVEPTPVPSRAGSLGGNSIIPSMSGRDVPPA LKEDVEEEEAAAKTSAHENLHRVRIAPIDTERARGVSPRKKGKFFFQSSPSKGSGSDS PATSPHNSSKHESPPPSTMAPPASFTRGHPRRKSSGDSSAIMAVAKKSPATKRHVSLT TMRGKFQAEKRKAAEAIAQKHDEKAGNEESGWEDEESDWEDEEEPEGEDDEDWSDEDG DGAKSEEDISEPQPASQLKSPVRKGLSPIKKSPSPQRPSETQSKTRDPNRRRSNSRPR PGLATITQSQSEAGSDSLKRRTTWHGDRSNTAPNLAMSRQPPPVPAPAPVPKLSKKER QAAAAERAKVEARLDAQRKREMFAKQNVLGGMGTRPPSGLLASALQRGASMVDLPTVS QDRPPIKSSPTHAQLTSLAHSPHPGPSLLRSKSTAAMPVQSGVSVTVTGGHISQAAGK NAATGSSSESHKKTKPVVELESDSEDGDDDYLNTSHIHQKLAELDSKQEAKSKAKAEA GTQTSPATTASVNDAAPAQPAAAAASRALPVSARANEFGVVQPMMTPTTRRRNIIMAE MSESLRRNVVLEREKSSTGLSRILSGGSSRTRPPPSMVPSHHSAVNLTQYAQPEPLVE HRQPQSARNVNPPYPYSLQDQDPHASSVPRHQHSTIDQRKRPAPNVLAGGNLLRPLTR VGPMAAQEEAANMGSIGRTQSSGTLGNGGRGETAVPQPQAPTMIRSATTDGDGGQSQR ERREWKRINLMDTSYRIHGW L198_03755 MSIPYDETEGESDESSSKQSVAARAAIDIIDILDLSDSEPFQSE YTPLCNGWQHVDNNTTVMMVRNSPQVQNGRWIYPILRDRLGDAYIWATAFVGALLIIP ILIAVIAAYVVILCTCLFWALCLLLLVSLCQFTGSSE L198_03756 MHNHQYPSDKSLSNIFESAGSPSPVLPVDPAPAYSALPDRGTEF FLAPILNGKVGDIELETVDGKRFLVHKKVLEQETVFFHIYYGFVPVWRLSGQPQAASV DHAPGAHRPRENAASPLSSFLCLPKLLASHLSRSPAAANLLAQHHMAQVRTEPSGIPS SPPPAFDDIPPPPPPPKDITAPTPTASPYTWAVPETSLILTAFLSLIYPPGVISPSPT SLLTSLDMTGRVVRAALGYQSAKALSMARDTMADWVDSDPVHVYSMASFFKFGDLAKL ASTRAVGIASEDWPEDAKVLMGRTAAGRLLGLQHSRKTGLKEILSKPMEEDDHCCSCV RYPMARDVWQRMTEQLATRVAADSDLIELLNVDLRGGHCGECLVLLGRSVQRCLYEAK DLPRMV L198_03757 MSFLADLSYNTTLVSTITPTALHAPFYHTARPHIFSFISDKYLS IAAPILAYWFYSTFFHLIDTAQFPYFENRRIHDSPEMLARNKVTIWDVIKAVVLQHVI QAVLAWWWIEDDEVILQREIYKDHLAAMGHMAPWVADGTLLVLGRRTGEQLLKNHGEA MVRWLYWWGIPAAQMIFAFFVIDSWQYFWHRAMHTNRWLYRHFHSHHHRLYCPYAFGA LYNHPVEGFILDTLGAAIAEEVSFMTVRQATLLFTVSTLKTVDDHCGYRLWWDPCQLL FANNADYHDIHHQAYGIKANFSQPFFTNWDKILGTRMSREEADGKSRWKPVSGDHVLA QAPAKKLE L198_03758 MSVQATNPYANNGQLSSLEQDVLWEFAKLSDKVKRAAALSRNVA EAPNESLLAELRTLEKRMGLVLTLVQASVWAVIVDSQAAEEARQREYTEPPPEQSYAE GRSWEDSLMQ L198_03759 MAPRQPAKEQGYLSPSSTRQPGPDYINQDLADAVRDSVQIKSAA SSHRSDSRQNQGLLDDDLPRNHTHAGILLNTNNKPSSRSPALTSLDPLVPRRTASPGT AGNPAYHHQQYDAFPPSPDDDLDHMASVSTGQPALPSSRMAQLSNPFADDEIEPPVGR VRPPGAKDYAYEQDGTVQPRRAKFTRAHTGTASTGSAFHLLGSPESNSKVRPRRSLST DSYGVDPNVQELTAGQKKKLADKKGSRHADVIDTWDPTGMGSASESSAGPYDAAAPSR NNNAPNTKAPMRAFGKEAKPAAPPPPQRGPSSISLSAPAPPAKDSPKAEQAERRRPGR SIPGQRPGGGGLTGQYSTSMPTDGGYFPNDGGEPQDEASILRMEKQKEREAKRMALKA AWGIDTPEPFEDYGRSPQEGTIDITEELYSPESVSAPLPGGRPMRSPGSRFGPMSPSI QGEGGVPSPGSETAPSSNPRGGVVTATNVPPGGIKRTKSLMQKIKTMVRHKQDSDPTS NSASLESGSYGGTPGAGMAGMGMAERSRSMSAGISGAGSGDVSHDRPPFSPSSGSAML SPSLTDSPVVEETEFELRSGSGNQYGGYPGLATTAAPNQGGGDGLGLWKGERRAGW L198_03760 MRGKSGERSYSPHQREPSPMPSDGASEAASDHAPSPSTRSNGLP TPVTEKEKQRYPHLYGGKANGRAPSPKLSGPPSVTFLDSPGAQSPVGVAPALGELDFS WPAKEVNHVPAPLDFSEQKRQVPTNPSIQIVESPSSKARALRALQKEASLGRSSSASA SMSASTSQSGPGLRRKPSANAPPVAPVLPEWDDYWTRQGKKGSASGDSSLLDDGGQIK ETTQLKRKTSMVKKLRDKMGGK L198_03761 MSLHRFFAPPPLALAIHPAPTPKPRGVSDKDKREKLDPEVKEKE AAPPQKGKNVDANNSEKKEKLNEENPHTPVSDQPEPPTPAPGALEVPEKEDEKKKEES ATQAVKPEEAKHDKVPQTPSVEEKKEKPNKDDDKPHGPDDKDSEETEPVEPLILLSPA PERPLRTRLDLPPSMVYPPVYTDPRGAYYKYAKAIGNAPGWIDITKDGWLAPQWKERT EREALKKLTGEWEKELQRQIESEKKKTIKRKVPSNSEGLLLDLWNDLVVEDNHELSVK VFWDRYDWDSPEAVKHLSTDLTRPEKPAEEKQDGEDGDAEARPEVKKAVIEKPEIDWT KAGVEDVLSTVGIQCAYNTERSPSRHWSEPAAAFLLLAHGHFLIRLDQTLTWKPIEHI NKGFEVLVTSANDRVFGNMVKAQQAEDRKRREKEYRARKEKEYRERKAREKAEQEKAE KEGEKDKETDETPGTPKSDVQLRDGKPAEVRDIPEQSDGGQAIIAVAVNPLPGTGEDK ESPEKLAANKDARHDPDPELVPGPKPAEDKVEGDKASPKLGLDDINKAMKALDAAQKA DNVASPAAPKPKASTGKPPAKKETGPVFWQWSEKVEKWRWRNSEAGCHVVAPGGWEER DWVEFADGRAWLDWRAEQEEIEKEEIDVHDWSL L198_03762 MYPRSPQHPAYGPHAPYAPQQYYHHPYPQPPPQPHKGTLPPGHI LSVADQQVRIERYLSEGGYAHVYLTTSERPIYTPSRNPDKKGRWGEKGYTQHCLKRIA FQDDHVWVDVKKEIEVMKSLPPNPHLVQYLGHSHARLQTGGHEVFILMEFCSGGGIID LLNKRLRDRLKEIEILNIFTDVCEIENVLSQPLSSPPTPQRPTPLMFKLCDFGSTTFP TDRPPQTKLEADALAMDLNKNTTLQYRSPEMVEPMLGLPVGLPSGVDVWALGCLLYKL CYYTTPFEEHGPLAIVNAKYTFPPVPQYSPRLQHLIASMLVEQPVRRPTVFEVLRMSH EMSGTRPEVDYPIPSRSIPQHAPRPTKPHSSASSNNLLDMSDSPSRRTPVMQPSMVPS VQPQPQRRGRPAREGGAKPTTPGVASPQPSPGWQYTPKGQQPQPTSSAALVKPTPKVQ ITDTSQSFSRTAPSSAIAKSPSPVDAFGMPALASQKTTSSGFGDAFGVPPSGVRGMQT GASTMSPRFGQGVPSKKPSGFSDSFSNTSNFSLSSRPGVSQLPSGPRPPSSASTASTR TTRQQLTSSPSSMLSRQQTNQSSSQPSSIPEGDLSFESRYPSVETLDSEDGFTPPVPD PERLISPMVSPPSAVPTGASSSTTSSNLTQSNNFNTARPSQPRLFTRPSLMGNLTGGD LKSPPLSENREGLSKGQQARSTHVTGTAFRDQKSPAPTQPANQAPQGAEIDYFGPLES GDEKEPIVEKESVAEKAETPEKETEVKPKNLMDDEDPSLLQVPLLPGRSTSPSSASGQ SSPGKPTSLKLDATGAAQATGRSNIMSEEWSPLQDMRRKDAEERTRARERAEKEKRDA DAGRVTERTRSDDPEVDSSEDDEGPEEPNRFNRPPPPAKSPVASPRPSTQRVPSGDRT RPQSMFLPSTNSYSRPSARSPGAGSPSFASPPNTFVSQPSAGATGGSGLDRKSSINGI VSRYEDLTVSGNTTARDSSRRPVSQYGAPPADTRSNTNGLSRKPSVASKPMALRKSTA EIGQSQPSTSPTKPSQVSPVSPVKPKTATQAKPVAENNNHSGSTYVRPGMTRPVVKPK PTLNTTLSQSKNPASPIVRAQPPREQQSGGRADDRLEKSSSGSQSPEKQQPVNALIQR WNKGEVNNSSANISRVNRGGYI L198_03763 MSGQSDAASALFALIHDLHHTVTATIDTALDWDQLNSPPINYTL VRPLVLRYAPKLDEDKYNTNGSSIVVPPNQGGELGVDRSAPIPASGPSLGQVLYALMA NRIQFITLSAGDMSSAMVQATRAAFCELLAIRILRAYPNVQDEAALVGELVYSHCAFD GAPDQIWESLGEDRKDVEEMTSSALELAIVSTSKNLLALPLIQHLIKLVYSGQLIYSP ESSRSIISDSYVSEQVYMYNPYEAGWLDHSRLKVPKWRKSMEFASFAILLALFVATLA WRDLNHIAVVEIVYMVFSFGFMLDEFAASKEHGWSVYVANAWNAFDLSYIAIFLGYFV LRILALVSHSPKTSDLAFDILACAACIIFPRLVFFVIKENVVILALRGMVTTFLRFML VTIVAFSGICFCLWTLGRETWTVRQIVWLMAQIWFGSSNLGFSSSESFHPVFGPIILL SYAALCNVLLITMLIAILSAKFAAINQNAQQEHLFQRVVKTVEGVKSDALFSYLPGIN ILALGLLVPISWVVSARTLHRVNVFAIRLTSFPILISISAYERYSYHAKQSSWLGGGS EFLIASVFELGPPVAPEDSDSQSGFTTPKALGLTSLPTTDEPGTMTQAEEAKLKQAQQ VQKKKKKDAKKEKSYDSPLAKLFGRPSVFASSDESPTKAEAGGKSVGDKEKEIVENLA NKEEVEALKKELETVRESQLRMEEMLANVLAGKGA L198_03764 MDTQTLYLKPEAFKNGGAPRWAATGRTLAAALSPAAGEAAIWVS KKPSDSPNGIEKLPDASLSLSDETILFTSLNSFSQPLTHLYTESHLLFTSLQQIFADS GRWRLSSVGVGMGGGADAWDGKGNFGVQDLLGPPDAETVINMRRLADLYLDQLGDLKQ IPDIDDSLRERFAEAYNILNLAEILYLPVDGKGEGFIGEELLDWVNEVDVAPDNQLGN EIMSCQHAWEHPSFWPYISRSVLRGFHLPAASFLRSLSSHSHQPVQKLASLLAQHLSI FPRSSETRWRVDLEFLQAHRQWLSRFRSELATHLGGKGKGKWFEGIQDGQKWEGDFRS VVELMEGKMERVLEESGDWREALGAWGVLVDVDLRRDHLPEIMGLITEKIPVETSIQE HVVESALCSADVIKALMASYSLDPWLSAHLSDLLDKLSLIPDDEEHFDISLRDFFLLE YAQVLQTHPAHKAFWRVTCDYLAFAGEEGRGRLKEHLRRIDIPLERDIKGKNKETPVH ADVGMDVEVTPAETQPESIKLLDEVRSACTEFQLDDVWREISQVLATRLVHARQYGMA ATLALMARDGFALSRIAEKVLQSFVDEGPEEYLALVDTLPPTLLSEAPTALLQLQESA SAPLSFPSSNSISVFASRITFLSEFRDYILFLGQDGGREQAAGKVVALLTSGIAPTGF WGVLLVESISLLEDPEILFSTNETFELLRILEEVITNASFAEEEYLGQIALYLDHRSP KQEQGSKSGKGKATTHDARRKLEEARLALSRNLARAMVSGLD L198_03765 MEGKPATQYQAWKKLQSLHSSKADKLVLKDLFAADPKRFESLSK SFTNAETSILLDYSKNLVDDEVLSSLFDLAREAKVEQFRDEMFAGKHINTSEDRSVLH IALRNPPADKGGFKIAEEGVDEVHAVLAHMKEFSDSVRSGAWKGYTGKAIDTIVNIGI GGSDLGPVMVCEALKHYSKRDLKTHFVSNIDGTDMAEVLKLCDRETTLFIVASKTFTT QETITNAESAKEWFLETAKDKAHVAKHFVALSTNTKGVTAFGISESNMFQFWDWVGGR YSLWSAIGLSICLSIGFDNFQELLNGAHEMDKHFKETKLEDNLPVILALVGIWYNDFY GAQTQALLPYDQYLKKFADYFQQGDMESNGKSVTKDGSRVDYETGPIIWGQSGTNGQH AFYQLIHQGTKLIPADFLAPVETLNPISGGKHHEILLSNFFAQPEALAFGKTEKQVVE ELGPEQSKNAALVKSKIFEGNKPTNSILFQKLTPATLGSLVALYEHKIHVQGAIWGIN SYDQMGVELGKVLAKNILSQLGDAKDVKGHDSSTTGLIHYYQKNRK L198_03766 MRSLFRPFPGPLTSRQWFYLSFLQGVGAGIIDGGANFGIAYAMY HGQDEIKMWVLAKNTIAGDLGVTPIIQCLASMLITSTLVHTDLHHHAVAPLPYVWPHV EHLPDPRRLIDKMFNKSSRDGVEKEDTENRETPSPDSEEEEGRKGWAYYPKMLTRFIF EGTEANILLSPFSWKLFFIKAFLTAAQGAALGIVLGFPVWCLFIIVLGPLYGTDNMAA PHHWKWAPMVIKSVYGAVIGWITNPVIAALALGSQAERHLLVVQHDQEASIGGDGIET IIEEDADLEPPQFPPSSPSSNHLAPPTPTSRSYTGRPRATSGASSMYSSRSRPPFIAD CSDFPILPAPHYADGDMLAAPRSAPLLQSSRMRSLSQVTMQIGTPPRTAIPTLPASGP RETLLAPPSPSAGQTPSQLLFTPTQGRRRGLTTSTAHTAASGAGAGTVPGSPASGTWS YALGGTGGRAQRSKVRPRAVSSLSGKDRERVGGALWSGSRVAGVHLTPSMDMASVRDQ MGEQRESGVVASNEGGSKTPVWDVFGVVQGAEAEQKEDSPDSSK L198_03767 MSTNPEIMFLGSECNHPACYLHDFLPFSCPACHQSFCQPHFLPS QHSCTAPLPPSMVDRIAPTCPMCSEIVPFPKSMDPNEAVEQHILGGTCTGFQGGEERK KAEVKRRRDAGEVCWRKTCGKVLVVKMKCDTCQHMFCPTHRRPNAHSCTNQTPSPGSS SSGLVTPQLHVPNPSRPAGKSAMSRLLPPSMQPPAGASAKPSLPAKTAPSPKPLPATV VQNSEPATTGGTGQKMDARAAAAAAALKRAGQDVKVPFVKSTTQKRTKAEIDSQMKAL KARHDKGLLTKAEQVKYAELVGEKEASRRSGGNGEKGKDKDCIIA L198_03768 MVADPSQTIELQKSGSLHKESSIRSANLSAAHPAIPREGSQVHD ATSSAPSGPISTAREHPPMRKRDFGFLPIPQSRRHDPSKAVPGEFPFTWKMNALFASA ATIAVMNLYYIQPMLVAIADDLGVSHDAVSKVPILAQGGYGCGILFISPLGDLVRRRQ LVLILMLLTTCLTIGLALSKNVAMLSGLSFVVGFFTITPQVVIPWTADLAPAEKRATA MSVTLSGLITGLVMGRMLAGVICVADWRYVYWMAVGLQGLMSIVLWLGLPDTPDKKIG LTYPEVLWSMAKIWTTLTFLLSDPPYQYNSLQIGLLGLLGLIGAILAPFWGRLVDRVH PWSTQFLGIITNLISMIIALAAAQKSIGAVCVAIVLYDVGQQANQVSNGYRVAGIDPA ARARLNGCNLLALFAGQTSGTAIMTKVYNSHGWHPTAGTAIAFIGVGIIGMLARGPHE AGWIGWSGGWDVREKQQMSDKSANAVTEKLRVKKKDQMV L198_03769 MPLSTSPRKHLPAIRLATDTAPRSSTHTSRSHARRDRAPRLSRG GDRTQDWVRQVEQSRARGAMHIVDGDDLVSVDEPYTQPSCWSESVSTDVFDSLVDELN PSPSSLPSLPTTASIISANESRRYILQSDSEDEEDRRKNKKESSSRPRYNSLLSPEAP KAARKSRKSKDHARSVPNSPISPLDPAAPIMAPYDLPDEYQPPEPSPPPPPNLKPVVP VLSINDIINRHKQALGAAEKAVNDRARKEMGLSRKESPKKESLGLKELPSPTKGSPIK TLSPKELPSPNNGFPSPKKVTSPNKELPNPMKRLPSPTKEFPHRPHPPVPEKTRLDPI APSRTGQRSPGPIVPTQSQRMSRVETELDIPPQVPSKSPITTVSPPHPPLPERNNTYP RARAPSAPKAYHGLRTPESKPNAQDPRNASALQRSHPSQERPPVAKRFLPAPTANSSN TSLSAEEFLLGARIGQALLDQLDHDSAPSLPTTPSVPTLQSRRSSVDKPPGSFRRQRT LSRTSSTPSTTPRGPKRLSMPVTPNSTASHTEGEIHDHAKYLRSPHLNRTLSIPRQSP DPPLTVSWAEVGHPKGHPVVFFMGLGCVRYLIAFFDDIARAFNLRIICIDRWGLGKTT QVPQEKRTVYEWAKVVEKVLDDIKVDRFQLIAHSAGAPYALAVVERLGNRVRGTLHLL APWVSTDIDGGYKWLKWVPKGVIKSATAAEWKLQSYLLGKPPPLTYKPVSHNAAPVSY GSPKPSLEEEALYRESKSAQATPQTTPAKGPGIVRKASQILRPRSSGTPPGKDKHGQL GHIRSLKSLRGHSESRVTTLSSPSINTPSSRSPKSSTPPTIGMDDSDAESDAEHDFGF GEGFDAMSINLKMPAPPSIKFRPSYSSFRTTSTASLPSTTETSWPSAPTSHAFTLVLT QASHAESEPGTTSDIFYVILNSQSKIAGPLVDYTKVNHPTKVWYGQKDDRISEKAMRW LERCMGDVQLVVVEGEGHGLLSSVKVMWDVFESLGGEARQWGRIEE L198_03770 MSPINNVLTPSGLDQTVPCPTIEKIVNLFFDHIYPLTPCIHRPT FIIDLAERRDRSDPVFFALALNVLAATLVQIPRVLMGMDKDEVEHLAKLCLRVSRAKM AGFWEEPTSVELNFVVISYLESIVHLFLGNDTAHVTTTAQANQLALALRMNDESSYKD LNPVEGEMRRRMFWLLFQTDKSTACLLSRPIYLRLDDVADLQLPLEIDDEYITYNGVS PQPREQVSLITGFNVMTNLNRILNDVLFMQRRKTTRTVDEIIFDLQRVDMFRAEVTRI YFHMPDKYKLRTAYDSRTAQPAHDWESKLYSKFVEFFNTGGDSAYALNSLLVLQGNIL VSRQSLRLLLLQTRQSLLRQLAVITPMIPQSMGYEETADDIARELLDGLNSLPVECVA TNGPLLVQKVRFVAVHLMDSPNAGPGSEDNQAQTLLVQFLSVLSVIEGMYSFGKELTM Q L198_03771 MFSSFDRADDTSILGTGALFRDSPRPFDSAADDDDRRGSTDSMA SVKIEDSQRPAATMYATRSTNKKKRSSMPEPPVPAAQQSSASSKGKGRSSRTPTGPSN ADEDEHQSLSPPSGSKGKGKAGSHPPLTGFTSVENDDASHHPLCLQITPTLPSFRVPD ADVLRLIASGSRLPGEKAPHQLTSGK L198_03772 MAINKRKRRASQDTSIKVVIPAPGASLRDSKRAKLAVAEEDGEG EDEDAEGELDEGASEDAHGSDEGPEDAPAGTPLSQQVRQGLSSVIAEMGKTLPSPLDK VLTIWLPPTFAKKKENTLGHLLQQPSLTWETLVDTIHRFSENLLVPVQYPNPVPARAS FNIPPPPLPQRYPPHAIYSFCASLYDLLLNVQPAASGGKFDAERWALVQKTTQGGEWF SGVVDVQEASKLVRREGHSSLVDALVGESRLGHTSVVSLHAALGSSSVKVQKLGDSVI RRASLKVDKWKQMKKLRNGSFGSIATGVTIPAVGSKSFTPTFGFTYDSSSATASQGYF STAEAMHERARHNEWAKRALAHAKEIEEGGWQGIVKEGSEEKATGELSVEEILAENGE LIEELQAWQEVRIHRGVQEPTEREELIANELLSSLSRLAGHVPPTDLVPTLAGKIGWS HDAAKRHLSTPSPSIRGTLDPRRPHALHDNTTIRLRTGSAKPASGNGASAGPSASILT NGTPTPPVQSTPLHNSAPSYALPPTAKVNAMPPPLSPQYQSAPPHLLPLNSPHNLPPT VGYPPNRSPAPYRPPPPHTPQPQRSPYPQYASPLPTAPPVMHARSIPPPMPNMPNMPN MVNYMRPGPGPSNLRQSVGHMAGGAPMGMPPHMMYGQPPSNGYM L198_03773 MSAVKGNTALALNRVWHHSSAQNRVLGNYASRIAWVLMGKHKPT YDPAVDAGDYVVVENALGVRLTGKKSMDKVYHSHTGWMGGKKEVPISRLRERRPEEII RKAVSGMLPKNTFRDRRLDRLKIFPGAAPEHYTKNALTTWRDLSAKTGAAESSSQVSA L198_03774 MHQAIDFEGEVNLFHFYLLRSVGKGAFGKVRVVQHKHTKTLFAL KYINKHKCVKMKAVANIVQERRLLEEIDHPFVVNLRYAFQDDENCFFVLDLMLGGDLR FHLDRAGAMSEEVVRFYVAEVAMAIDYLHSKRIPSDVCHRDLKPDNILLDERGHAHIT DFNIAVHFSERRLLTGVAGSMAYMAPEVLTKRGYSAPVDFWSLGILAYELLFGKRPFR GRTNSALTNSILNETLTWPDDAPGKCSHDGMQALRGFMERDPNKRLGYRPGGGGLNDV KAHPWFRNIDWQQLHDKQVVPPFEPDSKRANFDATHELEELLLEENPLKARKRKEGQD IELMSPEMRMMEQHFKVFDYTKAQRRSYYTPAPQATSAQGVTNVPVDANGALKSPSAR PHTPSDQTGLVSKTGLDVEAQILDGGGMANIGGRGGWRSSELPDRGGQSIDANTARIH TPLRQSSTEPYPEPEERLARDKDMGNAV L198_03775 MPILGLKAPGPSAPAHFDLEPLIRPNILALQPYRCARDDYSAGV LLDANENAIGPSLPRLSSTLASDDASKVAAETLSLLSEAEIANLNRYPSPTHDDLKRE IAKLRGVPDENWVFLGVGSDEVIDMLYRVLCVPGKDRVMTCPPTYGMYKVTANVNDVG VLEVPLITEDGSFQLDEPALDAAFESNPDVKMLFVCSPGNPTGTLIPLPAIQKILENP KFKGVVVVDEAYIDFSPQGSSAASLVNEYANVCVTQTLSKSFGLAAIRLGYLLAPPPL IQILTNTKAPYNVSVPTASIALKAVSTEGAAAMSCAVATLNDNRQSLIDALSSTKGIG RILGGNHANFVLAEILGENGKPSNQKALEVYKTMAESKGVVVRFRGTEKGCEGCLRIT VGTAKECEEAVQSIASLL L198_03776 MANIHTVDIQHLKNGEVNLGTSIMAVKFDGGVVIGADSRTTTGS YIAGLANRVTDKLTHIHDRIYCCRSGSAADTQAIADAVHQHAQVYTSVYGQAPSVETV ATLFEKMCYDNKDQLSAGIIVAGWDKENGGSVLNIPLGGGLFEQPWAIGGSGSTYVYG YCDATYQDGWSEEETITFVKNTLALAMSRDGSSGGCIRMCVITEDKVERHFIPGNELP RFWEGAALVGNANVRSTAVAA L198_03777 MPPSLPSNHPKSYSPLPGESNNARASPVARNGAVFYNQAASSIA STSTVSGDLPRAGMALRNDSEETLSPGGSRKGKKRAVYDEGVDIGDVQRPSYKGKERA WDVEQQHVPLEDPPANGVQSYPPISEAEEEERQIQQHLSRLAAKDTARRLAARNSKML PASPAPTTSPRSSGSFSLRRPLSILTSAKRSSVMGSIDGILGRREEPVGELPMTRPQS SNAAYPNPYDSQPPLSPVPRPIASPISPTTRSPFADPFPPPPTLAGNERRPSLVTGVS TESPRAGARSLTSSPAISPTEETGFAYGGPTWRGGQAARGQPVEEEAPRRGPDRWWHA LCAWGDDLDGGHDVSESDDGQKGRTNPFE L198_03778 MSQYAHVPKSELDEGQIRELEEYEISQGPLSVLQQSVRNSSQVL ISLRNNKKLLARVKAFDRHCNMVLENVKEMWTETPKGKGKKPVNKDRFISKMFLRGDS VILGKAHIYLIACFY L198_03779 MARLGPEPRINSRYLNDHIGETVRLAAKVTGVSGDTATIQTSDG GTVGIHIPRDMHIQDEYVEIIGTVKEDSTVKAHTHIGLGKSLDMKAVNSVVDFSHSDI GSGVFH L198_03780 MSNTTDAAKGLVGNPLTPNFTPKDYGIFFTAGALCCTLSHGGMT PIDVVKTRIQIDPALKGFSLMKGGRQIVAAEGAKGLLTGFSATAVGYLFQGGAKFAGY EAAKKYLVELSGSQEAAVKNRTAIYLGGAAIAEFFADILLTPLEATRIRLVSDPKYAS GLVSGLTKIASTEGFASLYAGFIPILAKQVPYAIGQFTVNERCTEFIYNRMSPEDKEK LSATSKFGITLGSGIVAGFAAAILSHPADTLLSQINKGHGPKGSMIYRLGALGKQAGF SGLFAGLGPRMIMTAGLVSSQFIMYGWIKTALGARPGIEIHKEESAK L198_03781 MPYGYQLPYGAHVIVLFLSLLSSLVLIILCTFSSPFISGISWLR NPSSAGDTTFGSFGWCSPDYCLPNRVAYEYGQQVNRALTGGMMLWPIAIIFTFLTWLA VIPLLFVHDNRALRTVGNRTFFVIMMSTATLVTIVAWLLSIYGWSVAHRAFEIAGVET HTGSAMWLGLTAALCMLIVFVLGWPAEAWDGTSTRANGGAGARGLPGPPGAPGVPTNG YYHYKKTTREVVPRY L198_03782 MSGIHLPALGRSTRLSRTAFRPNQSVLYAMATRSFASTPAGIER IKVKNPVVEIDGDEMTRIIWKKIREELILPYVDVKLHYFDLGMESRDATNDQITIDSA EAIKKYSVGVKCATITPDEARVKEFKLKEMWRSPNGTIRNILGGTVFREPIILDKIPK PVPGWTKPIVIGRHAFGDQYRSTDFLAPGPGKLTLTYTPADGGAPTEMNVYEFKGKGV AMAMYNTEESIFGFAHASFKMALSKKMPLFMSTKNTILKKYDGRFKDIFQEVYESTYA GEFEKLGIYYEHRLIDDMVAQAVKSSGGFVWACKNYDGDVMSDILAQGFGSLGMMTSE LITPDGKTMEAEAAHGTVTRHYRQYQAGQETSTNPVASIFAWTRGLAFRAKLDETPEL AVFAKALEEACVEVIDKDSIMTKDLALAMKGKDMTRDDWVTTDVYMAKVNEKLIAKLK ASKA L198_03783 MRPSPSLLASASKPLPLSVSQASIQLIPPIPLYRRILRTHRLLP AEMRYMGDSYVKSEFRLTRSTDNPLHIIGFLSQWKLYLDEVESSVITPDGRKQGEPVQ WRGKKLDTDAFERLSKEQVGQLYELMHATKDVWKSPEQLAKEASTAGSSE L198_03784 MFSSTPTLRNGLSKDNSGVNGTFRNGSTLPPSNSSSHLSEDAYN KDEADMKSGLRVDTDFLSKAPSQYGDAMLDSSSSREYINAHSPIEPVVKGELACEGVA FNSRNDVELAVRGLRDLSSPSPPPPPPKSTNRPGAGAAPSVESESRITPSISNASLDE LLQRDQKTLKMVEEALERSEDEGDTLDLSRRAIDRIGDRAVDMFNCRVGKNQKGVWRL ALSYNLLRDNSIVDSFGKLSRLRYLNLKGNHFTKFPVAITQIPALEILDFSKNKLVSF PDDPGHLVRLKVLSLTNNEIVHLPENFIKFGALKVFKVDQNPIEWPPRSILGPLCESI SAGRSKGSLHDRKRGKEEDLRPWIESVKMWMRQKNEPQESGQPNGSLGYQQAEERSQP AIVSSASEMPLGPAQPAPRPWQQNQRSDSHDSTANNIRSTSPNVNSHARNESTASYMS PPSSSTDASFRSHARSPSVTFAQNTFLSAQSHTRGGSYSPGQSSVSNLTAKKSLPDLR LSHARIIEERRGDMGGEMATGTVSIMASMPSHPYRSSTSVAVEGDISPSPDLAPGVDD LTSLSEKRSSQDRVIDESRNSYFRRLSTLPTSTISKAIPVFLLRFIDATRGILFAISQ LHSSLRQYINFAVNERVAGTFKRVMEPAGVYMNRLINALDRFDAMSRRGTPPTAAIRN VLDAAKESVSVFGKVAAVLKMQVPAMRGNDIRYTRTLMVNVYGATAEMASSWKVMAGL MPEAKVLLFVDATGSRIPFNTDSSGGQPMAATGSFTGRTISPIIERQESHSPQSIRSE VPATGHDESLGTPLGHQGRRRGQGHPLSKERRHAGSYSGQDVERGMMMGSPLPEASPD SKEDEHHHERAESGTITLPSPEDEETSEYGAPNHAMAHMDHPHPPFAFGERGHHPSSS SGSSHAMSLASGFAYPRKLSVDVRPPTPASATLFDEDLLDVIETATEVAFTSWLRLSE DIGASPSLPNHGIHHQSQPSNASSRNIDMGFGHTSKRPSNITPKQHTELIHLLSVAEQ TTATLRESLMRLRANPSSLPTTTLPDDAQAFIKIVVKVSELVKAMSGTHSFPVAVRQT VGRLTQATRECAILIQVSSLRPGQGTPAPIPPSQSASSRSAFFSSRTDLGSEHSIDVA SSHDEYEDIAVPPSAGYAAVHHGLRDLQLPSKQAAIGRSRSANATVPGQGSLGSIVMP IPYVSGGAIGGRGAMEAPRSAQPGQVFLE L198_03785 MDSSFAIPAQHNAHTTSRLPDAQDMTRHLNRLAKHREANALKEL YKYMAVPGMITMAGGIPHPEVFPFETISATIYQYNAFPLNPPRTPEKEKKSLLSWLFP SKAESPTVSFTIPKYASGATNPLTIELSTSLQYQSATGPPALPLFLREYVSKVYKPAY ADWDVLINVGATDGWGKICAMLLEVGDAILVEEWTYPGAENTFVPYEVERVPIKMDGE GLLPGHLEDVLGNWDEETRGKRRPHVLYTIPTGQNPTGATMQAERKQEIYKICQKYDV VICEDEPYYCLYTGEWTPKDTKHERSIVAQRQIEAEMKEGSEGNQAFIDALPPSFLAF DTDGRVIRMDTFSKTSAPGSRLGWITSSPLFIERLTRATEATTQAPSGFATALTASMI QQWGFEGYIRWLRGIKALYNMRKTWLCDIFQEVFHLEFNESSSLFPERSRTVTCYSKR TQNAWDEKTGKRSRALVTFIPPTGIPADTSIAGMFIFLGVHFKEHPDYHALSQQGEDA TLTLTKKLWELLADNLVLFAPGWGFDAGGEHAIGGKGFGYYRLAFSVATHKEFHDGIT RFSEVLHQFFRIK L198_03786 MSSAYKQLYSVPIADRPPHGPLFSKVFFPIFFTLAQIGIGSAQL LFMPLLLVPFVGKRAFTRGIEWTKDGYGRLLIAITVLFGPTSFTFTSDVPPLLDDLVQ NDSQGRLQKINLPDRLVIMANHQSYLDWIYLWIICAYAGHSRGLVIMLKASLKNVPLL GWGMQLFKFIFLQRSWAADRSNLTLALRQLGKEAQSTDDASESASLLRSESGKRSPLW LMMFPEGTIINDDERPKSKKHAEREGIDDFETLLHPRTTGLLFCLRTLLPQIPDLQLL DITIGYPGVPFGRYPEDWYGLFSVFLKSVPPPTVHIHLHLNSHLTHPDCEIPSLSTKS LTEEGEMTEETGLASKEESRAFELWLRKRWGEKEKRLKYFYKHQRFEGEREVVQVRQQ HWYHWIAACGGGGLGTALILAWAFWYAVR L198_03787 MASPVKEPGRSLLTSLRPPAALPAPATAPDAAHHLHPHDAVHPQ PSGSILRRESSSFPSDHGTDGSLDGVSEGHPHSHDLNIPSPSGQPRHSALRFQVAQAQ SPRSSSSYTRPSPRELLDNDRVIKSDSESGDGYIEDEDSSSSRSGDSDPVSRSVFGRL AQIQRRHSVSEREEGKSDSSLDSASWSPLKQTRLPGESQPGKPGNTSTRSPDPDPDSE KSRSSSRQRPRGRLSDAGPRGGRAGSPMPEPQSAESDDGGLDELASRNPPTLSGAGWR SDDPALYEPRKRADKLQSGRLYNRRFSFGLARPFLHGSEQVPTTEDLFEGRSDGFTSF FRRASEHIPGLSPRPASDHDPLSPSGTNHSSPVPPILDDTPALARGIQANEYSDTRGG LAHRLEEALSSPSHSDSPHRGS L198_03788 MVSFQCDACADTVKKPKLDQHRNRCFASFTCLDCSKTFNSPAQY KSHTSCITEDEKYQGALYKGKKTQAPAQTFTEAEPPTTTEAPAAPPIHPSRMHLQSSA PTFDAPAPTRGGRGGRGGFAERGARGGRGGRGGRGGFQAPMVERSYGTAMNKLESTNG MRSWGSPAVTEDTAPAPAVPTPAPAPAFSTGQATQSEKPKKKKKGDKGGTGSKANSKR PKAESPEPASTPVEPSSKKRKLEDVEAAPEPSTDDAPSKTVKRLRKRLAKIGGGESGE MTLSEWVESVGKDDKRSYESEDIMKNARVVLKDGSWVLSI L198_03789 MSAPPSPRELPSPPPISAASSSTSSQPIFDWPPANADHVAPPDP FDTFDPPSSSSEDGFMSAANPAQSHHRPATEPSEHDERPLHSDSTAGPSLSAPTFSNP PPYSRTISSPLPSRIELLRHPHSGTPDLRHTQAQPRPSPVHSISEELADTLQSTIQTL LHLLPPHMLDNAKEQYSGCAVQIPATSLSALLTSMKGLNFLSAQAETLFNPSAIEEHQ TLQKQDFDVGELLQSVADMLSGDACKKKIDFVLFHGDVGLKHVSVNGDSGGLAYALGH IIRQLLAVASVNDTIELGLQIIPQSSSLPTSVNLSLSSSDVDWGGRRNSSVSDPATVV SEVDDQADGPLLCIFEIVHNIHQPAPGSASATPKAELNPFTRLAEDSAAAKPNLDTIF CQRLLQRQNALLRSDVQPSSPLGSGMPRRAYELSVLLPRGKPIIEPPPLSAEEQAVRQ PFSSLELAREPTLLELQEFTESLRGRRVAVHANLSSVFARHLTSYLAAWGMDISHMSI GDGDEGGDREKWFAATRSDSGYGGSVGTTPTGEPYHTTPNAAGQRAHFVIIDDDVVVL KKELSRLCSDLARPANKSRFPERPTMVSRTKSTPSIRHLGQHPVTKQARVVLIHFTSL TNYNQVRDAIASLVGSPVTTISGVCLQPEVMVIPKPVGPRRFLTALRTAVVQPTVDPF FSPIATSPRSPGGEYFGGHIPIPKERQTGFFDSVAEELNEEPSKENLSSQKARSPLGE VPPSAAQLVQTDHGLHLSLPTPGEIVATPAQEYFSKTPARNTAASGVVIQSPDGRPYG MFFEPPNKSERRGSTHRVPSETQRRISANRRASTGDELSVAPNSAPPIPQRSSTISTA ATEERRNSIGSGNASDRPTHSRKNSRRTNNLPATQQPVVAVGRANSRGRVDTVTNPAV SSKPGTPPIDSPIVHSPFEVSKESILPKLDQEKVVPEKTEQAERPEKVDKPKRALSAK AELQASIATSTTAIKASAKADVIVPPINVLIVEDNPINQNILSKFLRKKKINNQSAKD GAEAVEKWRTGGFHLILMDIQLPVMDGIAATKEIRRLERHNNIGVFPSTPAGEHSRGP SVGSAYSPSPATPFRSSVIIVALTASSLQSDRVAALAAGCNDFLTKPVSLKWLDKKIV EWGCMQALIDFDGWRRWKSSDASETRQGFSVGPQQAAKSLASRLRIERKNSRPLIPSA PKVSVQVPTPSPALTPSLSTTTSHTPKPRLPPLATADPAPFPSPKELGQSLDQVFEQA DQRLEKAREETGIKGSGERDQEASASEESTLIVRGVPKAAAEKPLPPLPNQL L198_03790 MPADARSADRVSNYNKFWEKKPIDDNDTHRANRLDQYTDVVNGY YDGATELYEYGWAESFHFCRFYKGEAFLQALARHEHYLASMMHLKPGMRVLDVGCGVG GPAREIARFADVNIVGVNNNDFQIGRATSKSAKAGLSDKVSFVKGDFMKLSEQFGEGS FDAIYAIEATCHAPNFEGIYGEIFKCLKPGGVFGVYEWCMTDAWDPSVPEHKEIAHGI EVGDGIPEMRNLAAARDALKSVGFQIEHEEDLADRPDPVTWYYPLEGDLRKAQTPWDM FTCWRTSKMGYTITQNAVWFMEKLGLVPKGTYDVGESLIVAAKALIAGGRSKLFTPMA LWIARKPAN L198_03791 MAPSQLAQLKSALSSAGLNRQSHSKKDKKAFKKGGARETDRQKK IDKLDEIRRNLNKFDERETKVKHDVGGRNLKGVTGRPSSSKQAGLEQRRKTLLPEHQL KDHRGTFHDRRFGENDPSMSIEDRMLERYTRERQRGQGKKGLFNLEDDEEDPFGGLDE GTALGGLTHGGRSVTDLPGDDFIAQGLGDDDDPDEDVAKGRIDKRQVSQVHFGGFEPA DDSTDLPEKKKSKQEVMAEIIAKSKEHKHERQQQREMDDDLRDQLDDEMADLQKLLAE APSAPDASHLNVNPRNRPPAATAPGAEAIDDGQYDQVVRSLAFEARAKPKDRTKSEEE LAKEERDRLEQAESRRVRRMRGESVSDDEGAGEGSRKRKRENEKPDADDLEDDYVEND SLLGPGLTREQIEDMELSGEGSGSEGSQSDGGLEEDGSEEDDDLDDDLDGSDIAMEEA GSESESGSGDESGVLSSKQKARIPKLRRAPARIEEIPYTFKCPQSIEEFEDILAPLKD DALPIVVQRIQSLHHPSLAEGNKEKLQNFLGVLIDYILIFASEPSPTLRQVEALAPHI TYLIKLNPMSAAGHFVNKLNVMQKNLARGLAQGNTRAQARTFPGLPELSLLRLVGTSW STSDFSHPVVAPAVLLMGQYISQSRVRKLGDVASGLFVCSLLIQFEARSKRVLPEVVN FVASTILMLLPRKKASISVQTYPDISVPSLSLYIKESPSDSLTTPVNIVPIIGSTGSE DGAELELQKCSLLLVALRLVDKLASLYIGTPAFTELMAPVKQVLEGSRTSTLPDGLKG VHKETLKTLSRTVTNSLVSRRPLALQAHKPIPIASHTPKFEENYAIGHHYDPDVERNA SAKLKALYKKEQKGAMRELRKDNKFLAGEKAREQKAKDEDYNARMRKQEGSITAERAE EKAMEREKARDKRKRGN L198_03792 METEPSRDRDYSFARLCDIKSPVTLKITSLEGKLPQSSHTEALN HAKVIRSRADTPIPDLYITCTLWTGESQHTLPFRTGWKDLSRGTKWNQTIILPVQYPS LLLESSVTFTIWDVQESGRCTAIGGTKMALFDHHRTLKRGQQRLYIHRGVEADARPNS TTPSEVLNREDDEMGRLESLIKEFDRGDINKVEWLDRLAFRKLEKAHLRETSKSKDMY LYVDLPKFDFPVVYSEQESLLSVPPAPVAHLSPTSVPPVLALPPNFLSSDRHLWRAYD PDAWRDNPVEIKHRKLLRSQRLGDAGKDLKPGPSDRDRLNDIFRLPPTASLSALDKDL LWKFRFSLFRSPRSLTKFLKCVTWSDPVETKQAVEKLLPLWGQDVGIDDALELLGPEF MHKKVRAFAVKRLERADDEELLLYLLQLVQALKFEHKYFTSSQRPHKSRAHQLKRQPS QDQAGDSGLSQFLIDRSVSNPILSTRFHWYLMIECDSRAPVGRMYAKVAYDFMKKLSQ SPEGTAQREVLRRQGVMVQTLSTRAREVRLSKDPRQKKIEKLKAYLSDPKNGLSSLAE PLTLPLNARVSVTSVVAEKSSIFKSNLLPLLIWFETAEPKRTAEDDSEGVVNISPEYP VIFKNGDDLRQDQLVIQLFTLMDRLLRKENLDLRLSPYSVLATSTSEGLIQFVPSKSV ASIMAEHGNLQNYLKLEHSDDAALGSYGIEAGVMDTFVRSCAGYSVLTYVLGVGDRHL DNLMLAPDGHFFHVDFGYILGRDPKPYPPPVKVCKEMVDAMGGTGSVHYSRFQSLCYT AFTGLRKNANLILNLVALMVDAGIQDIQLEPDKAVQEKFMLDLSEEDAIKQFEVLLND TSYLTVVFDRIHDWAQYLRD L198_03793 MALRNLASAVTLSTLAFASKTFLRLTTKEYKMWSLLPLNTYFPF TTPSRTCRNNRWTLGASDIMFTKPTHAKFFNLGQVIETHRGGGIFQEAVDRAVQLLQD GSWIHIFPEGKVNQQLTNPAGGLLRFKWGIGRIIMDSQVMPEIIPIWISGFDQIMNET RGSPRIIPRPGAKISITVGQSLTAEIQPLVDQWRQMAHQEAGTLGIGGQWSQDADHTG PAGDQQREIRGKGDLIDGKEEEVRIKIVEALQEGLRKLGQEVESAEGRFKHGLWSQST AQTSRRE L198_03794 MEVDSSATGSSAADRKPRFEVKKWNAVALWAWDIAVDNCAICKS HIMDLCMDCQANQGAESENGCTVAWGICNHAFHFHCISRWLKTRQVCPLDNRQWELQK YGR L198_03795 MPALETSPLLPSQGGDTPVSKPLDVLGSTRYLLLGSWINLLLVC VPLSFISESLGWGAGARFATSFLAIVPLAKLLGDSTEQLSMKLGQTLGGLLNATFGNA VELIVAIAALNHNQLRLVQTSLLGSVLSNLLLVLGMSFFASGFFFYESTFQATAAQAS SSLLTLACITLILPAAYHASMTDQAEDTLRTLVNDHAPDPPDGTLRGLLILSRGTAII LLLTYFGYLVFQLRTHSGLFEAEDVPEEEEVAAMDQWSAGGWLVIITILTAFSADILV GSIDETAQQYNIPKRFIGLILLPLVGNAAEHVTSVWMACKGKMELTIGVSVGSSIQIA AGMIPLLVIIAWPLQKDLTLFFANFETIVLFVSVMLVNLLLQDGE L198_03796 MSTVTTNSMAISCQEAVPGIWSNIIGPTHISKVPVLDGATMLPQ LIPYPKSLSVNIFPNSPKQSGHYYTTGQTECPADITAWGMSV