-- dump date 20120503_203651 -- class Genbank::CDS -- table cds_translation -- id translation XP_951473.1 MSRANSPAAPQGNNANQQVADNFGAAVRRPRDENVPPPPAAAQP PQIRQRTEERPNWTMDSKVRNVLLEDYAGLRNMTLHDFLLKKFLNTYNTENVAMDVFV QSPRDYILDARILERIQRLDEFEEYKELYNQFSEMKRKARYLDEKEISTLSQWEEKGT VEIREFVGPVARGRLDAAVIAAKRAEKRAVLAADGSELRGLYDSICNAKWSYVMSGYD EEPLGLKVFDGRPPRMWTKEEVDVSHVPKTMNEPLPRHGNLEIAVLTSQVGWPYTSFE KNPNDYDINHKKGVGYVFNSDVYIRRETLRVWHKVKERLNQWLMGEVAINPMFHVVIG TPGIGKSFSVGSLLLYKLLHYEASQLQIIIYVVRGKAYVFHKPIGGRAGYVTFYSNYE NAFTVVEQIVRDSSSGEDIKGYLIFDVDKDHHAPVKPPVGCAGIALSSPNVRQFHEWS KQNTASHIYINCDTLKDLEAIHISRWGKIAPAYGWSPPVAKEKIEREWQEIQGRILFV GPLLRHIGNLVSYDSQWTLIRGYINKLNDTYMNDYAEIFQNAAMWQTDKASHKLVRVV RVKEEELLSDTYRCRPLSSYTGQAILDFLRPWLLNKYAAMSALLSNRALTAHLFEKSG IEALSHEDTLIELARELQGLSFARNQIPQSVLQVLQEPRLIGPSIEVPEDVPIVAGAE IQYMKLYKPQSRSFPVVDAFFFVENQKTFVGLQFTISDKHPCSVGGLLKMKRYLRSYF QGWDNFSNDMVWEIIYVQRVDSEKITKPQPCSMTNREDGQDNEGEKRFWEQEVRQFSV SLSNHITALYVELKARGNNNNGVNNRGGNNA XP_951474.1 MEPTNAPAKWMCSFAMSRQFVLRLLKLLDRRNLTTMCSRQTHEN GELAGISPSTQQLLSTGISGLWMVQKAHHFVDSSE XP_951475.1 MFQLVSTAELNKACVSYRGGVSMCLRFPFCHCVWAHAVTLIENS GHYHRWVARGESEDWDYSNGFVVVCPVPLENIAANEREGKCHLAFHAATSMHHDFMLV ALRGKAVKAKVSFRFKEM XP_951476.1 MSGNQGRKRQRISSPEQSEALKEPSTTEQNKLTTVTITEETRDA SNDETVVSLLNEHEKLIKQTTRLESSVKALRCFMSNLTAMSGERQGGVCDDTECIHRV TLYNAADNAFSDEGLYEGALSSLCGRIQAKKLTITLSKGGKLNLKRVSKLKQLEELRI EYPRGKLVNIISLNNLDMLKRLCLRSNNVDNNDVCHLFSVGTLEELAITDTMQLTNIR GISRLTNLMCLELNSTDIDDTCIGEISACAKLSKLSVSECNNITDATPISQLAALEEL NLNSCYHITKGIGTLGMLLRLRMLDLSGVPVEDNCLKDLCDCGSLERLNISYCIQLTD INPLSNATAIEELNLNGCRRITRGIDVVWALPKLRVFHMKDVHLSEPSLDSVGTGGSL VKVSLDNCAGFGDMSLLSSIVTLEELNIQKCADIISGVGCLGTLPYLRVLNIKEVHIS SLDFTGIGASKSLLQLNMESITGLSNVEALANILTLEKLSLHGCTDIDAGIGCLGNLP QLKVLDLSGTNTDNESLRSLCLSQTMVSLNLSHCWKMTNVSHISSLEALNELNLSNCI RINAGWEALEKLQQLHVAILSNTHITDRGISYFSKCKNLVTLDLSFCNKLLDVTTLSN ITTLEELNLDSCSNIRKGLSVLGELPRLCVLNIKGVQLEDSVIGSLGNGKSLVRLSLE NCKGFGDVTPLSNLVTLEELNLHYCDKVTSGMGTLGRLPQLRVLDLGRTQVDDNSLEN ICTSSIPLVSLNFSHCKKITSISAIASLTALEELNIDNCCNVTSGWNVFGTLHQLRVA TLSNTRINDEKIRHVSECKSLNTLNLAFCKDITDVTALSKITMLEELNLDCCPNIRKG IETLGTLPKARILSMKECYMGDGYAQQCSILGNSKSLVKLNLERSRGRISVKALSDIA TLEELVLDHAREVCCIPSFSCLPRLRVLNLKYTDINGDATKNISESKSLRSLNLSHCK WVTDISVLSSLSTLEELNVNCCNAIRKGWESLGKLPLLRVAILSDTNITAKDIACLSS CKKLVKLKFFRCKKLSDVTVVYKIQSLEELIVKNCSGGLKGLNALGTLPRLRFLHLRN VSGSDISVESIGTSKSLVRLNIETREELTDTTPLSNITSLEELSLRKCGNNLEGVGTL GKLPRLRSLYLGLSRINDSTLYYICLSRSITSLNLASSWKLTDISHISKLTALEELNL RGCYPITSGWEALSELPRLRVLNLESTRVTTRYGGYYIRRCKSLVTLSLESCDMTDAS CLANIKTLEELHIGRCKELRWGFSPLFTLPRLRILNLICSLITDEDLREIQPPHTIEE LNLSYCEELNDITPLGRIKSIKKLHLRQSHDARRPTEGFRSLLELPCLSWVDLNNVYG WFDVSCELRKRRVHT XP_951477.1 MNQNGGSVGDTRNILNGWLNGAYRPMKRQAGRENENPLETGEGK SLEEKLYDTIYDAKLSHIIIDDGTQTLGMRLVDGMPNEGLMWKDEEVDVVPEIEEALE QKPERTKGVELLVLTSEMGWPYTGFARGSNSDIFIRREELRVWNVVRNGIELWRTQRV MPRCLYFPRSYLAIGNPGIGKSQNLGSFILYKLLHYDAEELPIVAYFCGVAAYIFEKS GGGGVGRVREYSKEAAITFMKNISSNTRGYIIYDFVNKGEQPPADVAPKWGSILISSP NERNFDSWFQQRERCARIVVNCWEMSEMRAFFSRVGPKLFPQATPVELREKWNMYENR VEHVGPSLRYVFDEAKHATREDDIDNEINSIKSHKRRELYIGVVGNKGEWRESDASHK LVKIVRACGRNNSEIYICVPHSESIKKRILNVVFSGIAEEWALVSGMTRDASVTGHYF EKNAIKYLCSPRVLTCFVSLITKLPANERARRTRTRKSILQHVRDDLLNACRPNTVSG DINWAESKGAPVELYVPCIPNYPVADAFFIVDEKQAAQASGTIGKKTIVLLQFTVASS HDTATDEFIHLLQSLLPTAEGGGHQNEATVEQLKEITEMFHWEIIYVQHFESTAMRSE QKCEITQGKAKHRSHQFVEGFWKNNVQQYHVQYEDNIVTKMLAVAAVGRR XP_951478.1 MWNSMRRFYTDGLHAARRFLKRLRGDEEGPTWTMNSSIEDVLLQ RFAGLRDMKLHDLLNHHFGETLGTSNVSVGVFVQSPEDYIVNAGFLGRIQRLDEFQLL RAVIYLPRNRIEDIHQWEENATAQIREFVGPVAATKLDSALRIAKEARERAHQTADGV ELKGVYESIYNATWGYVESGHNDLPLGMKVVGNSDGEPELWTEEEVNVSHTPYDLCDP LPRHGNLEIAVLTSQEGWPYNSFSIPEHAIQEEAEEPGDYVFDGDVYIRREVMRVWYL VKHRLDLWLGPGVNKKQIPCVLVGTPGIGKSFGVGSFLLQRLLHYDSELLRNIAYFVK GRAYIFFRATNDHPGKVVFYEKEKDGLDAVEAFVVEKVLGYIIYDFWKDRHQPIPADL PGAWPVIVLTSPDPNNYKDWKEPRGCEFLCINCYEEVELKAAVAWRRLSKLEESKITE AHIINLENEWQKTLGWIKKVGPLARQVLEGEKRYKGRVREINEALAEISRGDDSHYMK VLNSRVQWRQDGTTYMLAKLVRVVTENGEECRNRAVSIDIEQKLRVWANTACMRDNYL RKVFRGKEERAADEFENVGVYAFTMGNVVQTIVTHLRYLPRVGEEMDSNVSVLASGNA VGRVPTSLRQFNPETTGQDIEVGCFYRPVQGNFPVVDAFFFVTEPAVDREGRAITATT IVLLQATVAPTHHTTRAKVDKFIKAMKKLFGEWDELARNLKWELIYIQYSDSKPIEER QKCEPVGGRGDYTTELWNRINQFQVKMEGNIVKEITQDEPNAIAGGPAA XP_951479.1 MNQQVPIEGRGDIEGRRRENEEAARNDAEPPAVQQRVENNNQPR WGLFSSVEAVLLNGRAHPENVTLNDFLRRNFGRRYNVNEENNVSMFVFVLEPEEYIND VNALNRIFATTEYQVYQVFATAYPLLFREGILNLQRWQQADEVVKAGLQVNIRGLRDG ERLWIIVTNMLNDALNVALERAAQTAASAVELKGVYDSIYNAKWSYVMSGYDEEPLGM KVFDGKPQHIWTEAEVDITPDPANVDAEIEERPNGLEIFVLTSEKGWPYNGFSLCLRK KCRAICNHVYIRREIMRVWYIIQRGLQTWWLNDTAHRPPIHIVIGTPGIGKSYGVGSF LLHSLLHFNDGMLDVVAYFTDGNAFLIYNKKPGEEERVVQYEHVKAAVKAIDAVKYEK KGHVIVDISEKNETPPQNLPSPFWGVTILTSPDTAHYEHWAKNRGDRHIIINCDDVRD MKAFVAWKKLCVLSEEAPRDKTRQQLMEELESEWKIVEERIDMVGPLPRFVFSAPDYD ARLECVRKEARQLDSENGKKYDKIIGKSSDWQNDVVTHKLVKIVRVSSGTVNVESYRC RSLSVNIWNMLICTLFGILIVEMTSKEIIMSDESVGANAFERLALLSLLFPRVFKVIA NNLKYLRRGREIADRRSILNDMAPQQLRLIGQKALPAAQQRPIERCEHMVLYLPATGN EPVVDGFFFVEGRLRRNRDGTAPPTTPNIAVLLQVTKSERHPTTTDKVQKFRENIASY FSDWNTFSGNMAWEMIYINSVNGGTITRRQLCEGPPDRAGGEDGGPLGPQTFWESIDQ FQVTLKETMQAELIQAYRRESGMRDAPALMGLAGRRRAREEVVEGRGDVAMDDVEGGE DA XP_951480.1 MSRANSPAAPQGNNENQQVADNFEGPMRRPRDENVPPPPPPAAA AAAQPPQIRQRTEGGPNWTMDSKVRDVLLDDYAGLRNLTLHDFLLKKFLNTYNTENVA MDVFVQSPGDYILDAGILERIQRLYQFEEYKELYDQFSEMKRKARYLDEKEIYYLSQW EEKGTVEIREFVGPVARGRLDGAVTAAKRAEKRAAQTADGSELKGVYDSIYNATWSYV ESGHDTEPLGMKVFNGRPPHMWTKEEVDVSHTPETMNEPLPRHGNLEIAVLTSQMGWP YTSFEKNPNDYDINHEKGVEYVFKSDVYIRREALRVWYKVENVLNRWLMDEVIVDDAS NVLIGTPGIGKSFSVGSLLLYKLLHYEASQLQIIIYVVRGKAYVFHKPIGGRAGYVTF YNDYGNAFTVVEQIIGGSRSGEDIKGYVIFDVDKDHPAPTKPPAGCAGIALSSPNVKQ FHEWSKQNTASDIYMNCDTLKDLEAIHISRWGKIAPAYKWSPPVAKEKIESEWQEIQA RIRIVGPLLRHIGRLSSYNRQEGKVQEAIGKMKDDDMNDYAKYFQNAAMWQTDEVSHK LVGVVRVKEEKLLCEMYRCRPLSSYTGQAILDFLIPWLTDKYAAMSALLSNRAIAAYM FEKSGIEALSHENTLTELARELQGLSFARNQIPQSVLQVLQEPRLIGPSIVVPEDVPI VAGAEIQYMKLYKPQSRSFPVVDAFFFVESPKTFVGLQYTVSGRHPCSTGGLFKMKRY LRSYFQGWDNFSNDMVWEIIYVQRVDSEKITKPQCCERTDRDEGQNNEVEERFWKREV RQFAVSLYKHIIALYVELKTRGENNNGVNNRGGNNA XP_951481.1 MWNSIRRFYTDGLHAARRFLKRLRGDEEGPTWTMNSSIEDVLLQ RFAGLRDMKLHDLLNHHFGETLGTSNVSVGVFVQSPEDYIVNAKFLGRIQRLDEFQLL RAVIYLPRNRIEDIHQWEENATAQIREFVGPVAATKLDSALRIAKEARKRAHQTADGV ELKGVYESIYNATWGYVESGHNDLPLGMKVVGNSDGEPELWTEEEVNVSHTPYDLCDP LPRHGNLEIAVLTSQMGWPYNRFRTPRRAIQEGEEKPKVHVFDRDVYIRREVMRVWYL VKQRLDLWLGPELVEEPFPCVLVGTPGIGKSFGVGSFLLQRLLHYDSERLRNIAYFVK GEAYIFFRATNDHPRKVVFYEKEVDGLDAVKALVEEEGLGYIIYDFWKDRHQPIPADL PGAWPVIVLTSPDPNNYKDWKEPRGCEFLCINCYEEVELKAAVAWRRLSKLEESKITE AHIINLENEWQKTLGWIKKVGPLARQVLEGEKRYKGRVREINEALAEISRGDDSHYMK VLNSRVQWRQDGTTYMLAKLVRVVTENGEECRNRAVSIDIEQKLRVWANTACMRDNYL RKVFRGKEERAADEFENVGVYAFTMGNVVQTIVTHLRYLPRVGEEMDSNVSVLASGNA VGRVPTSLRQFNPETTGQDIEVGCFYRPVQGNFPVVDAFFFVTEPAVDREGRAIITTT IVLLQATVAPTHHTTREKVDKFIKAMKQLFGEWDELARNLKWELIYIQYSDSKPIEER QKCEPVGGRGDHTTELWNKINQFQVKMEGNIVKEITQDEPNAIAGGPAA XP_951482.1 MWNSMRRFYTDGLHAARRFLKRLRGDEEGPTWTMNSSIEDVLLQ RFAGLRDMKLHDLLNHHFGETLGTSNVSVGVFVQSPEDYIVNAKFLGRIQRLDEFQLL RAVIYLPRNRIEDIHQWEENATAQIREFVGPVAATKLDSALRIAKEARKRAHQTADGV ELKGVYESIYNATWGYVESGHNDLPLGMKVVGNSDGEPELWTEEEVNVSHTPYDLCDP LPRHGNLEIAVLTSQEGWPYNSFSTPEHAIQEEAEEPGDYVFDGDVYIRREVMRVWYL VKHRLDLWLGPGVNKKQIPCVLVGTPGIGKSFGVGSFLLQRLLHYDSELLRNIAYFVK GRAYIFFRATNDHPGKVVFYEKEKDGLDAVEAFVVEKVLGYIIYDFWKDRHQPIPADL PGAWPVIVLTSPDPNNYKDWKEPRGCEFLCINCYEEVELKAAVAWRRLSKLEESKITE AHIINLENEWQKTLGWIKKVGPLARQVLEGEKRYKGRVREINEALAEISRGDDSHYMK VLNSRVQWRQDGTTYMLAKLVRVVTENGEECRNRAVSIDIEQKLRVWANTACMRDNYL RKVFRGKEERAADEFENVGVYAFTMGNVVQTIVTHLRYLPRVGEEMDSNVSVLASGNA VGRVPTSLRQFNPETTGQDIEVGCFYRPVQGNFPVVDAFFFVTEPAVDREGRAIITTT IVLLQATVAPTHHTTREKVDKFIKAMKQLFGEWDKLARNLKWELIYIQYSDSKPIEER QKCEPVGGRGDHTTELWNKINQFQVKMEGNIVKEITQDEPNAIAGGPAA XP_951483.1 MNQQVPIEGRGDIEGRRRENEEAARNDAEPPAVQQRVENNNQPQ WSLFSRVDAVLLEGRVHPENVSVNDFLRRNFGRRYNVNEENNVSMFVFVLEPEEYIND VNALNLIFATAEYKVYKRFVSVYGFFEDEGILDLQQWQQADEVVKAGLQVNIRGLRDG ERLWIVVNALLNNALNVALERAAQTAASAVEIKGVYDSIYNAKWSYVMSGYDEEPLGM KVFDGRPPHMWTKEEVDITPLPANVDARVPERPYGLEIFVLTSEKGWPYNRFASRDVN IRKNNFKHVYIRREIMRVWYIIQRGLQAWWVEKTAQRTPIHIVIGTPGIGKSYGVGSF LLHSLLHFNDGMLDVVAYFVGEIAFLIYNKKPGEEGRVEKYVVSKNAITAMDALENKR GHIIVDIGNDLLKPPGELRHLSWGVTVLTSPDTAHYEEWAKDTGDRQIIINCDDVRDM KAFVAWKKLCVLSEEVPRDKTKQQLMEDLESEWKIVEERIDMVGPLPRFVFSVSDYDA RLESVRKEARQLDSDNGKKYDKIISKSKGWQNDKVTHKLVKIVRVSSGTVNVDSYRCR SLSVNIWNMLICTLFGILIVEMTSKEITLSDECVGANAFERLALLSLLFPRVFKVIAD NLKYLRRGREIADRRNILNDMAPQQLRLIGQKALPAARQQPIDNCEYMVLYLPATGNE PVVDGFFFVEGRFRRNRDGAAPPTTPNIAVLLQVTKSERHPTTTDKVQKFRKNMERYF SDWGAFSRNMVWEMIYINSVNGGTITIRQLCEGPPDRDQGEDGGPLGPQTFWESIDQF QVTLKETMQAELIRAYRRESGMRDAPALIGLAGRRRAREEVVEGRGDVAMDDVEGGED A XP_951484.1 MNQQVPIEGRGDIEGRRREREEAARNDAEPPAVQQRVENNNQPQ WRLFSCIEAVLLNGRAHPENVSVNDYLRRNLLDDIDLDQRLLRASMFAFVQRCEEYIN DVNALNRIFATTEYQVYKVFATAYPLLFREGILNLQRWQQADEVVKAGLQVNIRGQRD GGRLWIIVTNMLNDALDVALERAAQTAASAVEIKGVYDSIYDAKWSYVMSGYRREPLG MKVFNGKPQRIWTEAEVDITPDPANLDARVPERPNGLEIFVLTSEKGWPYDRFASRDV NIRKNNFKHVYIRREIMRVWYIIQRGLQTWWVEKTARRTPIHIVIGTPGIGKSYGVGS FLLHSLLHFNDGMLDVVAYFVGKIAYLIYNKKPGEEGRVERYKVPEDAVDAIAALVSK KKKGHIIVDIGKRLLTPPDELCHLSWGITVLTSPDTAHYEEWAKDTGGRRIIINCDDV RDMKAFVAWKKLCVLSEEVPRDKTRQQLKEELESEWKIVEERIDMVGPLPRFVFSAPD YDERLESVRKEARQLDSDNGKKYDKIISKSKGWQNDKVTHKLVKIVRVSSGTVNVESY RCRSLSVNIWNMLICTLFGILIVEMTSKEIIMSDESVGANAFERLALLSLLFPRVFKV IANNLKYLRRGREIADRRSILNDMAPQQLRLIGQKALPAAQQRPIERCEHMVLYLPAT GNEPVVDGFFFVEGRLRRNRDGTAPPTTPNIAVLLQVTKSERHPTTTDKVQKFRENIA SYFSDWNTFSGNMAWEMIYINSVNGGTITHRQLCGGPPDRDRGEDGGPLGPQTFWESI DQFQVTLKETMQAELIQAYRRESGMRDAPALMGLAGRRRAREEVVEGRGDVAMDDVEG GEDA XP_951485.1 MSRANSPAAPQGNNENQQVAGNIEGPMRRPRDENVPPPAAAAAA AAQPPQIRQRTEERPNWTMDSKVRNVLLEDALLQRYEVLRNMKLHDLLNQYFSNTYNT ENVAMFLFVKNPRRYIVDAEILEDIQGTDEFKTVKTAIDLSEKVEYLDEKEIYYLSQW EEKGTVEIREFVGPMARGRLDGALAAAKRAEKRAVLAAGGAVELKGLYDSIYNAKWSY VMSGYRREPLGMKVFDGRPQSIWTEAEVDITPDPTNVDAEIEERPDGLEIFVLTSEEG WPYNRFKTSDTERCEEIYKHVYIRREIMRVWYIIQRGLQTWWVERTARITPRHIVIGT PGIGKSYGVGSFLLYSLLHFHDGMFNVIAYFVGKIAYLIYNKKPGEEGRVERYKVPED AVDAIAALVFEKKRGHIIVDIGNELLIPPGELRHLDWGITVLTSPDTAHYEEWEKDTG SRHIIINCDDVRDMKAFVAWKNLSVALGEKVSNTAKQELRGRLKDEWETVKQRIAVAG PVPRAVYDAVGHGVRMEAMAKKIRGLDANDKSGYEDLFRSSVNWQTEKVSHKLVQIVR ARGNGVSGDSYRCKELSGHIRILLLWALLGITARKTAESQLMMGNRSAASISFESHAL FAIVNPQVLEVMAKNLRHLRPPEKLRGKQSILSSMTPQQLCLTGSEFLPTAGQQPIEK CEYMVLYTPSTANEPVVDGFFFVEGRFTMSPGRQAKKAPKPKTIVLLQITKLDRHPTT TAKVKKFRENIASYFSDWNTFSGNMAWEMIYINSVDGAVITNWQKCEANDDEVIPARQ AEQLQTFWETIEQFQVTLRDEMNAQLMIAFQKAEKAQGR XP_951486.1 MSRANSPAAPQGNNENQQVADNIEAAMRRPRDENVPPPAAAAAQ PPQIRQRTEEGPNWTMNSSIEDVLLQRYEGLRDMTLHDFLLKKFFNTYDTENVAMDVF VQSPEDYILDAGILGRIRRLYQFEEYKELYNQFSEMKRKARYLDEKEIYYLSQWEEKG RGEIREFVGPMARGRLDGALEVAKRAEKRAAQTAGGAVELEGVYESIYNAKWSYVMSG YNEEPLGMKVFDGKPQCIWTEAEVDITPDPANVDAEIEERPDGLEIFVLTSEKGWPYN GFALDDAEICLENFKHVYIRREIMRVWYIIQRGLQGRWVEKAEITPRHIVIGTPGIGK SYGVGSFLLHSLLHFNDGMLDVVAYFVGKIAYLIYNKKPGEGGKVEQYEDVKAAVRVI AALVFKKKKGHIIVDICKNAQTPPDGLPVHAWGVTLLTSPDEAHFHHWSKDKRDVGII INCDDVRDMKAFVAWTKLSVASGYAALDEEAQARIVEELKNEWETIKSRINVVGPVPR CVYNSAGYTKRVSQAKKMITSINGDNKSHYEDIMGKIADWRNDKVTHRLVKIVRVRDA GESVDEYRCRPLSVCIGNAIFFKLLAIDVEKMAIRQVTMSDESAAANVFESRALLSLL FPRVFKVIADNLKYLRRGGEIADRRSILNDMAPQQLKLTGQKALPAAHQRPIDNCEYM VLYRPATGNEPVVDGFFFVEGRLRRAPDGAAPPTTPNIAVLLQVTKSDRHPTTTDKVQ KFRENMARYFSDWGAFSRDMVWEMIYINSVNGGTITIRQLCDGDDPAEAADMWRNMAQ YQVTLREEMQGQLMRAYRDECWYRDAPLLAMEPAPQPRNEQAGAQGG XP_951487.1 MLWRKQCACRNPEHPTTGYRSVNDSLQLMEIRRTSTPLNYKLLR AYQFPPYEVPFPPHTFASQQWREGENVEAHYALYQTCLCMYLVSSDMSLTVTNMLPAL GIHERDVHKISRTLCTPWCST XP_951488.1 MFQLVSVAEVNKAYVSYPGGVFMCLLFPFCHCVWAHAVTLIENL GHYHRWVARGESEDWDYSNSFVVVCAVLLENIAANEREGKCHLAFHAATSMHKNYMLV ALQGKVVKAKVSFRFKEVQRLPDTTVRNYCCCVLQALGQ XP_951489.1 MSGNQGRKRQCISSPEQSEALKESSTTGQNKLTTVTITEETRDA SNDETVVSLLNEHEKLIKQTTRLESSVKALRCLMSNLTAMSGERQGGVCDDTECIHRV TLYNAADNAFSDEGLYEGALSSLRGRIHTKKLTITLSKGWKFNLERVSKLKQLEELRI EYPRGKLVNMISLKRLHMLKRLCFRSNNIDNNDARHLFNIVTLEELAITDTMQLTNIR GISRLTNLKCLELNSTDIDDSCIGEISACAKLSKLSVSECNNITDATPISQLAALEEL NLNSCYHITKGIETLGMLLRLRMLDLSGVPVEDNCLKDLCDCGSLERLNISYCIQLTD INPLSNATAIEELNLNGCRRITRGIGVVWALPKLRVLHMKDVHLSEPSLDSVGTGGSL VRLSLENCKGFGDVKPLSNLVTLEELNLHYCDKVTSGMGTLGRLPQLRVLDLGRTQVD NNSLENICTSSIPLVSLNLSHCKKITSISAIASLTALEELNIDNSCNVTSGWNVFGTL HQLRVATLSNTRINDENIRHVSECKSLNTLNLAFCKDITDVTALSKITMLEELNLDCC PNIRKGIETLGKLPKARILSMKECYMGDGYAQQCSILGNSKSLVKLNLERSMGFISVK ALSNIATLEELVLDHAEEVCCIPSFSCLPRLRVLNLKYTDINGDVTKNISESKSLRSL NLSHCKWVTDISVLSSLSTLEELNVNCCNGIRKGWESLGKLPLLRVAILSDTNIAAKD IACLSSCKTLVKLKFFRCEKLSDVTVVYKIQSLEELIVRSCSDGLKGLNDLGTLPRLR FLLLRNVRGSDISVESIGTSKSLVRLHIEMRKELTDITPLSNITSLEELSLRECGDNL EGVGTLGKLPRLKSLDLGLSDISNSALNDIFLSRSITSLNLASSWKLTDISHISNLTA LEELNLRRCYPITSGWEALSELPRLRVLNLESASVTTRYDGYYISRCKSLVTLNLESC DMTDASCLANIKTLEELHIGRCKELRRGFSPLFTLPRLRILNLICSLIKDEDLREIQP PHTIEELNLSYCENLNDITPLGRIKSIKKLHLRQSHDARRPTEGFRSLLELPCLSWVD LNNVCGWSDVYVELRKRRVYIR XP_951490.1 MLWRKQCACRNPEHPTTGYRSVNDSVQLMEIRQTSTPLNYKLLR AYQFLPYEVPFPPHTFASQQWREGENVEAHYALYQTCLCMYLTSPDMSLTVTNMLPTL GIHEHDVHKISRTLCTSWRST XP_951491.1 MCLRFPFCHCVWAHAMTLIEISGHYHIWVARGESEDWDYSNSFV VVCVVLLENIAANEREGKCHLTFHAAASMHKNYMLVALRGKVVKAKVSFRFKEM XP_951492.1 MSGNQGRKRQRSSSPEHTEALKESSTTGQNKLTTVTITEETRDA SNDETVISLLNEHEKLIKQTTRLESSVKALKCFMSNLTAMSGERRGGVCDGTECIRAV TLYHAADNAFSDEGLYEGSLSSLCGRIQAKKLTITLSKGWKFNSRQVSKLKQLEELRI EYPHGKLVNIISLNNLDMLKRLCLRSNNIDNNDARHLFNIGTLEELAITDTMQLTNIR GISRLTNLKCLELNSTDIDDSCIGEISACAKLSKLSVSECNNITDATPISQLSALEEL NLNSCYHITKGIGTLGMLLRLRILDLSGVPVEDNCLKDLCDCGSLERLNISYCIQLTD INPLSNATAIEELNLNGCRRITRGIGVVWALPKLRVLHMKDVHLSEPSLDSVGTGGPL VKVSLDNCAGFGDMTLLSSIVTLEELNIQKCADIISGVGSLGTLPYLRVLNIKEAHIS SLDFTGIGASKSLLQLNMESITGLIDVEALANILTLEKLSLHGCTGIDAGIGCLGNLP QLKMLDLSGTNTDNESLRSLCLSQTVVSLNLSHCWKMTNVSHISSLEALNELNLSNCF GINAGWEAIEKLQQLHVAILSNTHITDRNISHFSKCKNLVTLDLSFCNKLLDVTALSN ITTLEELNLDSCSNIRKGLSVLGELPRLCVLNIKGVQLEDSVIVSLGNGGSLVKVSLD DCAGFGDVTPLSNLVTLEELNLHYCDKVTSGMGTLGRLPQLRVLDLGRTQVDNNSLEN ICTSSIPLVSLNLSHCKKITSISSIASLTALEELNIDNSCNVTSGWNVFGTLHQLRVA TLSNTRINDENIRYVSECKSLNTLNLAFCKDITDVTALSKITMLEELNLDCCPNIRKG IETLGTLPKAGILSMKECYMGDSDAQQCSILWNSKSLVKLNLERSMGFISVKALSNIA TLEELVLGHARKVCCIPSFSCLPRLRVLNLKYTDFNDDVTKNISESKSLQSLNLSHCK WVTDISVLSSLLALEELNVNCCNGIRKGWESLGKLPLLRVAILSDTNITAKDIACLSS CKTLVKLKFFRCEKLSDVTVVYEIQSLEELIVRSCSDGLKGLNALGTLPRLRFLLLRN VRGSDISVESIGTSKSLVRLHIEMREDLTDTTPLSNITSLEELSLRECGDNLGGVGTL EKLPRLKSLDLGLSDISNSTLNYIFLSRSITSLNLDSSWELTDISHISNLTALEELNL GGCYYITSGWKALSELPRLRVLNLESTRVTTRYDGYYISRCKSLVTLNLELCDMTDAS YIANIKTLEELHIGKCKELTQGFSALFTLPRLRILNLICSLITDEDLREIQPPHTIEE LNLSYCVELNDITPLGRIKSIKKLHLRQSHDARRSTEGFRSLLELPCLSWVDLKNASV SSDILRELGKRRVHIY XP_951493.1 MVRETLICLDRADAQSSKGFRKVLGKFNHIFLLLNSFSQMFLFF CIALKFKTNWAAL XP_951494.1 MNQNGGSVGDTRNVLNGWLNGAYRPMKRQAGRENENPSETGEGK SLEEKLYDTIYDAKLSHIIIDDGTQTLGMSLVDGMPNEGLMWKDEEVNVVPEIEEALE QKPERTKGVELLVLTSEMGWPYTGFARGSNSDIFIRREELRVWNVVRSGIELWRTQRV MPRCLYFPRSYLAIGNPGIGKSQNFGSFILYKLLHYDAEELPVVAYFRGVAAYIFEKS GGGGVGRVREYSKEAAITFMKNISSNTRGYIIYDFVNKGEQPPADVAPKWGSILISSH NERNFDSWFQQRERCARIVVNCWEMSEMRAFFSRVGPKLFPQATPVELREKWNMYENR VEHVGPSLRYVFDEAKHATREDDIDNEINSIKSHERRELYIGVVGNKGGWRESDASHK LVKIVRACGRNNSEIYICVPHSESIKKRILNVVFSGIAEEWALVSGMTRDASVIGHYF EKNAIKYLCSPRVLTCFVSLITKLPANERARRTRTRKSILQHVRDDLLNACRPNTVSG DINWAESKGAPVELYVPCIPNYPVADAFFIVDEKQAAQASGTIGKKTIVLLQFTVASS HDTATDEFIHLLQSLFPSAEGGGHQNEATVEQLKEITEMFHWEIIYVQHFESTAMRSE QKCEITQGKAKHRSHQFVEGFWKNNVQQYHVQYEDNIVTKMLAVAAVGRR XP_951495.1 MNQNGGSVGDTRNVLNGWLNGAYCPMKRQAGRQNENPSETGEGK SLEEKLYDSTYNAKWSYIIIDDGTQPLGMRMVDGMPNEGLMWKDEEVNVVPEIEETLE QKPERTKGVELLVLTSEMGWPYTDFARGSNSDIFIRREELRVWNFVRSGIELWRAQRV IPGCPYLPRSYVAIGNPGIGKSQNLGSFILYKLLHYDAEELPVVAYFRGVAAYIFEKS GGGGVGRVREYSKEAAITFMKVISSNTRGYVIYDFVKKGEQPPADVAPKWGSILISSP NLRNFDSWQKQRKGAFIVVNCWEMSEMRAFFSRMGPKLFPQATHVELREKWNMYENRV ERVGPSLRYVFDEVMYGQELTAVDGELGSIVAGNKYGIYTKVLDNCGEWRDNDASHKL VKIVRIKAREGNSFDTYVCVAHSESIKKRILNVVFSGIAEEWALTTGMMRNASAIGLY FEENAIKYLCSPRVLTCFVNLITKLPANERARRMRTRKSILQHVRDGLLKVRRPKTVP GDINWAESEGAPVELYVPCVPNYPVADAFFIVDDEQAAQASGTTGKKTIVLLQFTVAS RHDTKTDEFIHLLQSLLPSAEGGGHQNEATVEQLKEITEMFHWEIIYVQHFESTAMRS EQKCEITQGKAKHRSHQFVEGFWKNNVQQYHVQYEDNIVIKMLAVAAAGRR XP_951496.1 MNQQVPIEGRGDIEGRRRENEEAARNDAEPPVVQQRVENNNQPQ WGLFSCVDAVLLNGLPHPRNMMLNDFLRRNFGRRYNVNEENNVSMSAFVLEPEEYIND VNALNRIFATTEYKVYKRFVSVYGFFEDEGILNLQRWQQADEEAKVRLQADIRGLRDG ERLWIVVTNMLNDALNEARERAAQTACSAVELKGLYESIYNAKWSYVMSGYHTEPLGM KVFDGRPQRMWTEEDVDITPLPANVDAEIEERPDGLEIFVLTSEKGWPYNRFALDYTT GRKVVFQHVYIRREIVRVWYKVEKDLKTWWVEKTAHRPPIHIVIGTPGIGKSYGLGSF LLHSLLHFHEGMLDVVAYFTDTIAYLIYNRKGDERGRVVRYEYLRAAVNAINKMKFEN RGHIIMDIRYAMQQLYTQLPSDVWSVTIFTSPNSSHFGNWTTITGGRQIIINCDDVRD MKAFVAWKKLSIHTREKVSNRRRHELRKEMEDEWRIVEGRINSIGLLPRYIFVLSCYE WRLKRVHDALETMKKSDEYSYNDIIEHTAAWKNNEVTEKLVKVVRVKGNVGFIESFKF QALSLMIRNMMMS XP_951497.1 MSRANSPAAPQGNNENPQVAGNFGAAMRRPRDENVPPPAAQPPQ IRQRTEGGPNWTMDSKVRDVLLEDYAGLRDMTVNDFIQKFVGGTFAVAEAENVRMPIF VQSPEDYILDARLLGRVRGTDEFKTVKTAIDLSEKVDYLDEKEISTLSQWEEKGKGEI REFVGPVARGRLDAAVIAAKRAEKRAAQASDGAVYLEGVYDSIYNAKWSYVMSGYDEE PLGMKVFDGRPPHMWTEEEVDINPLPANLDARVPERPYGLEIFVLTSEKGWPYNRFAL DDAEICWENFKHVYIRREIMRVWYIIQRGLQGRWVEKADITPRHIVIGTPGIGKSYGV GSFLLHSLLHFHEGMFDVVAYFTDGIAYLIYNKKPGEEGRVEEYEDVKAAVRVIAALV FKKKKGHIIVDICKNAQTPPDGLPVHAWGVTLLTSPDEAHFHHWSKDKRDVGIIINCD DVRDMKAFVAWTKLSVASGYAALDEEAQARIVEELENEWETIKSRINVVGPVPRCVYN SAGYTKRVSQAKKMITSINGDNKSHYEDIMGKIADWRNDKVTHRLVKIVRVRDAGESV DEYRCRPLSVCIGNAIFFKLLAIDVEKMAICQVTMSDESAAANVFESRALLSLLFPRV FKVIAENLKYLQRPGGLEEKRCILNDMAPQQLRLIGQKALPATHQQPIDNCEYMVLYR PATGNEPVVDGFFFVEGRFRRNRDGAAPPTTPNIAVLLQVTKSERHPTTTDKVKKFRE NMARYFSDWGAFSRNMVWEMIYINSVNGGVITRWQLCDGDNPAEAADMWRNMAQYQVT LREEMQGQLMRAYRDECWYRDAPLLAMEPAPQPRNEQAGAQGG XP_951498.1 MLWRKQCACRNSEHPTKGYRSVNDSLQLMEIRRTSTPLNYKLLR AYQFPPYEVPFPPRTFASQQWREGENVEAHYAFYQTCLCMYLVSPDMSVTVTNMLPAL GIHEHDVHKISRTLCTSRCST XP_951499.1 MFPFVFPFPSSFRWGYTTLVGTRFTSSFSNYEGNCVLFATLPLV ISPTGFTFRSRVYTTCGENITLSKTNNEKNGNTF XP_951500.1 MGITLANKKHKSEPSEQSAVQIQHHLEAGTCQLLTTESKTDEDP AMAMGALMEEKKRLEEEAYLIKSSVETHKNELSKLTGGEQSKKHMVLASIDYTHYDGP QNASEATLSTVDIDRGQFLAARGATALRKLHVTVGSSAFDVKSLCEWKFIEELCVSIR NGTVANVHYAVQLPKLKKLWLKGECVDNNDSRHISHMAALEELTISNCAKLTSVEGIH RLQNLTMLDLSRTCVDNSFMVELSMCPSLLALDLSFCYRITNAIPLSKIETLEELCLE DCRGIEKGIGTFGSLPRLRVLNIKGLRLTNACIGGLSGSKSIIRLKMENFVESRGSRK LLNIKTLRKLCVHSSNHTDCEISGYLKNCRKSFVSGAEGLLIDDRSLKTICASRFLVS LDVSHSLALTNVSPICMALNLEELNLKGCCNIQNGLDKLGSLPKLSVLLLSETTTKGE GISTVSNCKRLDTLKLYMDKQYYLNTKRWDRIKFPALEPDNRCGTARKDGIIGTLLNL RVLKLKNICLGGGYVSALAASDSLVSLTLKNCTGIGDVTPLSSIWTLEKLSLYGCSSV DVGFGSLGRLPRLRALNIGKTCANNYSLTNICSSTTLVQLDISCCEAITDIKPLTKVK TLEEVSLASCRNIRSGLYNLNNIPCLRVAILYSTVITNEEVRALKRCGSLSVLDLGRC DAFSDITSIEGFTNLEVLDLFAYRGGSICMAALCKLRWLRVLDLTCVRVSDDHLKKLC SGAKLEELRLCSCNEITNIEPVAKMKPLKILHLDQCKNIKRGFDSLLELPYLHLLSLP DSRISSRIMEALKAKHISMGPWKIHLGLKQYSVPCSQC XP_951501.1 MKYCEVQPQTGSRGIKRQRELEENASIPTKGSRATETRGHPVND PVGTSEPLQCTQCGFVSQSKGGTTPNTKIQHRRGHPLDSNAGTKRSRSITEQEVSLIW NTVTIGVNDTCCTQCRHTHVSKDSLMEHFGGMHRQHPFSVAKESPPPLRSFNVRGFHL HFTFVNRNKTSHSTSGSSIHRHQKHEICVERKHTHSNECEENPTHILVRSSLKCSAVT SGLRAKICRR XP_951502.1 MLIGMKYSAPKAIVISLHFPKSRFPYIGTHFHVECYEGDGPWKH LLQPAECWCSKLLTGKKCTTTARHRGMPRTFEVPMSVMGIHNRKRILNRGIFIFVPNR IYFINNREFLLTRRTCVYFDTTANTFPKKKNTCTMVGTKCSHLCTIKTSYSVEIKSLK ETKRKNQIPFEMNITFAPL XP_951503.1 MHFQCLCTGVATRRVYLLVFMSILALESGANCCARLTATPPPPK KSTYICAFVAPSFKSSGQRTTHKFTAVELVPAAHMLSRTFFAAQRKIPFYPVNPSNPL VYFDISIGSQKAGRVEMELFKDVVPKTAENFRALCTGEKGLGRSGKALSYKGSKFHRV IPQFMCQGGDFTSGNGTGGESIYGLKFPDESFAGRAGKHFGPGTLSMANAGRNTNGSQ FFICTAATPWLDGKHVVFGQVTKGYEVIEKVETNGSQSGATRQPITIMDCGQIEQG XP_951504.1 MASPSDSREILNTLGYGAALVVPAFVKLCLTMAVMALDDQQPAA DTGYRYRNDLKLPAARALCRLKEHIEKVQERVDQLSKMVEGNKSLGRRAMELAEEVKS EAAKSARGLSTVLSTHCRNPDENHHLPFSQNCDRSVSPIEPPDHKLKEEITCDATVES GANSTRGPSSRDMNNLVRMWDEVKPTASKGADGNSYRSKQSYEAAASKCKIDGYSTMP CTVSEKHWRSSFVAATLKLRELEVVVEEATGQTVQEVESKPQGIPRGLELGLEEATAG PTVQFLLGNNHTVYDCGTLTELSRLVSILVAVQI XP_951505.1 MLSRTFFAAQRKIPFYPVNPSNPLVYFDISIGSQKAGRVEMELF KDVVPKTAENFRALCTGEKGLGRSGKALSYKGSKFHRVIPQFMCQGGDFTSGNGTGGE SIYGLKFPDESFAGRAGKHFGPGTLSMANAGRNTNGSQFFICTAATPWLDGKHVVFGQ VTKGYEVIEKVETNGSQSGATRQPITIMDCGQIEQG XP_951506.1 MLVGVLVLYILCAPLAAVGSIAKYSKTVVTKRRLRGDDDTWTRY KPTPPGAQRLFDSLDENTPLPKLPIKTPFFSYDSVEWYVSPYGFLTLTAVPMCNSFCR EGLDSPLTGSYRFARRNISEGGDWPLIGLFVADLDVTNLAGDAGVYYYTLDGEENPTK VIVEYRDIPVLNCHADGNELLTAQVEISTDGVIVARYEKVPRCRGSVGIVLSKSQRDI VQFRQREMGLVAVQYTPVPDTCGERNEGSCSETCTWCAATSSCMSAPLAGEICPRVSS NSSLNHNHYYTVTAKTESGSTQGGQSGGEPLDTTGSSVKVPLRFEFPFFTKGVGKHKI NSVYILSSGVVSLLSNKQDCGPLKNVCSNGNYSYAIMPFVTSQTWGNSNAVVLRRESE SITIFITTRSFGELYHTYTYWLRLEKSGAITFHYLASTVAGSREALSGFFAFPPPMIG VARYGMGDNASMIVPSAVARPGTTVRFEPRNEHDDCGINGRYENGGCVCSEGFQGNFC DDCTSGHYGPRCTKCPNCQNGGVCDSGRGGSGRCKCASSFGGKNCEKNCGNKGCVNCN SVGGYCDCGVCRCHEDSGWSGPDCTTFEDPCLKFSLQGCDACMSQEEHGCAFCADGAC YSTKLEGTSLSHACSYKAETRDAPICQKVLQIAQSGTDFGYIIFFVLCLSAISLAVII SLLSRYLMYARRVVNVHVAAAAGGAPDYVPVRREREVVQAGFVPVNLPKGKKYVLGVP LKQISLHKLYKEQQNEGFPNKE XP_951507.1 MDSNVTYAAQLESAAEEVAEAKQYLIKLDRRQHQLKEASRALKK TPVLGDVWLLCSGGVFVRSELKYEDTLRYLSWKMGAGERDIEDCRDALKRKVAYLAEL EGPDNAIAKLYEGFELTPVN XP_951508.1 MNTEDSSLFTLKFLSVRAVIHSLRYLCSRDASVRFVVSVAGGSP EVRLTRVGVPDYSYTEVVLRAGDAGKKWKEVQLHDSNDEDVFSFVIHGPLLLRTLQMF ERSKPVKLGLCSDLTSIFLYSDGYERWVRLGVFHDLGPMLNVRQPISALHRVADLQAF CHIARFVRNSQDGTCVVVLGFDNMNSFIELRTTEAMVSVLLDGETLRRRYEGRAGKEE VTAFAEYASRVADDAVLSVGFGTDGLAVFQLKWGGPPAHCEESSAYLYFCAI XP_951509.1 MSLGSALAPTHNVLVCHSPELPPQQVRCVAECYGVVLDISSYHL VDNTDLVSIVQYRDPESVVLCYESLKAHMSQKGSPVKKVAWVHAKATSEILHKSYIRC PYKEEDTAPTNHAHGFLVAYLGELEDTVRSQFLCSVKADTNAVDFFVDTEYKGRCFLH YPTVEAAEETRRGFSMCHPSLRRAIHHCDEADYFNARKKQLQVDR XP_951510.1 MSILWLTCIVVVATNVASGRAAIVGYETEIVRAGDFSKGSYHWA KYIEGTGPNTRRISSFRDGIVHHEELGFMSPFFSSDNISWHVSPFGFLTSLSFPPCSS SCVRTTLRSLRKQSEQPKSSDRFLPMIGVYVADFSPFTKVFTFKTRYPNDERAESGGC HIVEYRSMLMHGCSSSEKSRLKAQVEVCSDGLIVMRYREVPKCGPVSVGIALSAREQN FTFIDSDLEDIVAIRYSPVHDNCSKFSTNRSCNDMHGRCKWHETTDECVDESVAKSRS PRRTRSESIPASNEYYDLKVSRSSRNVDYDDLQKHFKLLTVGKVVGLDLGFSFPFFAR DQPNLRTNRVYIHSSGVISLFSEKQNCKPVRNMCPNGDYSFAIMPFVTPQTWASRTSV EYSPLGKRVKGDPFCNDSHCLEGIAIRVSSRTYDLSLHKYQHIVYLDQSGAIEFRYSE GIETFLVLTGKEFSAVPPPYIGISRSGINDKNTIIVPPSLIGEDKQVRFDTSQSCSHC GANGRCVSPTGKCECFEGHIGKFCEECSPGHYGPSCIKCRKCENGGTCDDGRKGSGGC KCMGMFSGENCTTKCANSFDCSNCNLHGGYCECGTCHCYGTNGWSGENCSVFQDPCQR LSFGGCKVCLNDTANECAFCSDWMCYSKRLKGTMNEYHCPGNIFDEDPSLCLPLPQPD APMKENGIAIPLGLSLCALFLACVTLILLRFVLLTRGIVNFLDVGAAGGAPSHVPARR EREVVQFTFIPRAAAEGKKYVLGIPLKQIPLQKLYNYQEAQRERQNQSQDSPFTFTHS SDRE XP_951511.1 MRRHRVAVVSDFFYPGFGGVEVHIYSLGQCLMRRGHKVIVITRA YGDTCGVRYLTNGMKVYYLPLMAVKLPAGSVTLPTMYLTFATMRSIFIRERITVVHGH QNTSNLCHEALFHAGTLGLKTCFTDHSLFGFADVSSIHINKVCEWSLRNVDQVICVSN TSRENTVLRAKIDPQRVSVIPNATDCSFFTPPDDMKYKSWASKVENEGLTIVVIGRLV YRKGSDLFVDVIPEICKRHPNIRWIVGGDGPRRSQFQQMIERHDLMDRVKMLGSLPHS GVRNVLIQGQIFLNCSLTEAFCIALIEAASCGLLCVSTRVGGVPEVLPPNMLLLAEPD PSSIITTLEEAIASVPYISPWELHDNVRRFYRWDWVAERTERVYDKIMCTKSPSLYER LMNYASVGCVYGVICWLLCIGDWLMLTFLEFWFPSELIDIAPDFPLELYSRNREKLQV VGSPS XP_951512.1 MKHMPFREIAQLCCRLQSSQGNDTRIQSAVIDSIRSQVLDGSTL PLVMQRLVKDGNWKLALCVIKSHHLDKAGIRRDHNIWPIMERAAPCDESRSAMRKALI TLFASTCCFHRRS XP_951513.1 MTDSPDLSRPPVPPDYLQSDLLFQLLDCCQTQGSPHGIVSTVKH EDVPIVRIYGVTKEGHSVLVHCYNFEPHLWIRAPNRWLDVYSKTFVQELNASLDPLTH VSNTVVRIERHKRRSLMYYNPDGECDYLKVIVQLPQHIPRLRTLLSSGVMCVGAWEGL RAFPTFESNVIFPLRFMVDGNIGGCNWITVPAGQFHLFSPRTSTCQIEVCCSHEVLQS HEAVGDYLLIAPFRILSIDIECEGRKGLFPEAERDPVIQIANHCVNYGKEEDALTRTI FTLKSCGAIAGANVCSFETEEEMLVQWARFVKRIDPDFLTGYNICNFDFPYLLSRGIT LKVNDSFFYWGRQLNERTVHREKKFLSRQLGNREYTELTMEGRVVMDVMAVIQRDYKL RAYSLNAVSQHFLGEQKEDVHHSIISDLQQGNDETRRRLAVYCLKDAYLPVKLLERLM VVVNNVEMARVTGVPIGWLLERGQQIKVFSMLLRKARARNFVVPTVETTGAEQRRYEG ATVIEPKKGHYIEPIVTLDFASLYPSIIIAHNLCYSTLLPGHEVNNYESGMKEDTPTE HKFIRKEVFPGVLPEVLRDLLAARRQARALMKDVSQDSLEYKVLNGRQLALKVSANSV YGFTGAQVGRLPCLELSATVTSYGRRMIEETKQFVEKKYVGAEVIYGDTDSVMIRCVI NADESDTNKLQQAMDFGKEAAEAVSSTFPDPIRLEFEKVYYPFLLMNKKRYAGLLWTN TVRYDKLDAKGIETVRRDSCPLVASVISGVLNRMLIQRSVESAVEFVKGTIRDLLHNR LDISQLVITKSFSKSESEYAGAQAHIALVERMRRRDPASAPNVGDRVAYVIVRAAKGA KAYERSEDPIYVLENNIPIDTQHYLEHQLGPPLLRVFEGVLQDPSVLTKGDHTRYVSV SAPNRNAGGLMKFVKFQLQCISCRSVIQEGALCDACSVMGPDIYGKIVAKRNHYEAVY SQVWTQCQQCQGSLNQEVICTSRDCPVFYLRKKVQKDVHEQQTLLDRFGVVDDW XP_951514.1 MEAPAGRSIRQRQDLQTTSSVDRHVKDLSAIELYEQILLGCIRA GDDPNAAVKIEHFEGYVEPTFDLLHGAHVVAHQRQRLSDIYHEREHIIGTLRASPEHQ QRNAFDRLLLETEYWTGLREWNETTRSGSGDTTRGRLRRRLEATVGGKGGSEGMESEM LHLTESPSYIRGKLRPYQIEGVNWLLGLYSRCINGILADEMGLGKTLQTISTLAYLKF SHGLPGPHLVVCPKSVMGNWYREVRQWCPALSVLKFHCSSNIRPQLVRAHLMPCGNIK YDIIVTTFEMVLEEHGAFRKIPWQYLIVDEAHKLKNEEGRAHVTLGSINANYRLIITG TPLQNNLKELWALLHFLTPRLFDDSKSFDSWFDTASGQEDSEALSNMHQILAPLMIRR LKSEVSTGIPPKKEIYVSCRLSKVQRRWYMQVLAKDAEVLNKGSGGSSAFLTNTLMSL RKVINHPYMMDGGEEGPPFITDERIVKYSGKMLLLDKLLHRLRRDEKEGHKVLIFSQF TSMLDILEDYCSMRGFKVCRIDGSTSGYDRDSQMAAFNAPKSDYFIFLLSTRAGGLGI NLQAANNVIIYDSDWNPQMDLQAQDRAHRIGQKRVVRVYRFVTDGTVEERIYHRALKK LYLDAMVVQQGRASGGGNGNNLSREELLSMIKFGAEEIFKAKDEDITEADIDCLFDDD RKSRELNDAVRQQVQMSLASFKLGADETNIYDFEGVSFREGVESRLLHITLSDPVSQD ELHKQCSQFGDVIKVVLHTNLKEALASFRTTAGAMDAKDGLPYKCEFASKEARRVVPK EIITEYYNTEEKLGRGHRQREPVQFYTEEEVETIQKQKKGPPLKLPRAPQFKSHQLFN MKRLLELHATEVSLMVRNWERGINGTGNGTSGTGNGGADENTDHVKDAGNEVVGAEEK RNKDEGNNETTKVEERDDVKETSKQEGNGEVETGVEEETLTAVEREERERLMKEGFPD WTINEYRTLVGVITSGSVDISDYPAITAAVNARRCNKTVEEVRKYLTALLERGSQYIK NFARVEERIQRMQERRKAQEDELRAAKWKVESYEDPETQLTFKGRCNDDFDRKLFLMA YDVGFARQNWETFIRRMPESRFDVWLQSRDSGYCERRLRGLKAAVKREWQPPNDEDTE VVGRRRRLERKFPE XP_951515.1 MEFSTEPQVIGGTVELGHLLGSGGFGKVYYGYDKKRKMDVAVKV IEKELVEMFEIRAYVDREIEVMRKLRNRFVVRLLDSVESPTAYNLIMELAPNGELFDK IVNADRFDEATARLYFQQLICAVHYCHGLNIVHRDLKAENLLLGKDNELKICDWGLSR YTREAPMRGDRRIRFHSLAGSIDYQAPEVLSGRGYEGSACDIWSCGAILFFMLCGYLP FTDTSDALTKRRILNCEYNRTNRYLSSGASDLISHLLEVDPVTRYNTTDVINHPWFQT DLDPTLFPDVPRSPHSATTAAEASITSFAKQDVSLSVSRDDVTTAASSVDLQAIRRAF ATCNVNGTGFLDAEEVRDALIKLNGNNHISAEEVTAFMSNFTLDAAGRISEEEFVAGW TRNEELGKKYDVNHMAGLFHYDLEAEYLAEVRRAFDSIDVNHTGLITTESLKKLSLNC TDEEIKDFFNVVDPEKVGNGMLSFEQFVHLCSRHDLFKNHPIVQRLRRLEKIFVITDI RWMQSYTGTGFTVAGTRENVALHIKSHKALSTKFEGKDQGFMYGTYTVNDNVVLRVGL HLISTLPGYTRVSPYRIVGKTKDFHAWILQLRKVLRHEILRCEEDTLIKGKPELM XP_951516.1 MAEGGFNMPSEQRQLACARVRMVDGIVNNNSNLNIESHSAYSGT YTNGSIPVENNSRPLCDAEGGKTIVSNTRRRYTVLPSASQNLLKITDLRSIERYIELN KNHRFMDRDPPPAEVIPDVPFVRVCGGDEVLQMAVKPIHRRESALDVPLRFVAPECFH IPPLEDAPSYFPLARRIAALLKGAESVQPRWLERRPDLAASEATRGVVEVRVLKEAEV RRRAAVRAGNVLAAGIQFCTTASLHYNSGNMELARASFTKALVAFEAAGDVRGVALCH NLLGICHYRLQEYKVSLLHHKQQESVGGCYARAVAQINMGVCYAALGELDFAEAALED ALANARACENSMLETVALGNQGLTYLRMGNMRAAQASLEQCLERCSLAGDKSGASICL LLLGELYSLIQDHSHALFYFEHAYRVGGEAGCADVVDLARVNIGISRGTGALRDAMIL QAKRMGVKVGVKDVVSLLPS XP_951517.1 MLLPTLERLLERCGRPIFSNVEDVRMVMASLLDISAYVDRASTK VIAKPLRRFCHKDPDTVASVMEAVPIDAAEPTHGRRAAMLLRCLPKHSCDEVIWERAV AATLAGLKSRKWDLHDYRVAMAHAGRGGRHAPALAAAAEEFVSSSARTASQSELPALL VILTSLPELKRSPCLQVAADRIVQLSEILSPAAIGQICASVNKVSFRHTAMAIALQEE AIRFAEESDLFSAVQLFSFICQQEKEAISPDAVKCLAERVIEGKDLDQETVSVLCRAL RSIPRPHRPELLREIGEMMEFLGGEVKELLELPVAKGGLKGDVSAGDIQSFISKFLSL DGLLPADHDRPGTYMAAIVACVDYITERLEDIVSDENPPFSIIPHLLNINMEETRRCG QAIIREAAEQGIHFPTLQVFRFLLALGDHNMRDQRVYRHLRNEFAKTASDIPMIQLCA ALKCFVRGLMQNVETQSLDEQVEHELEKEDMDAFLRFCVENLRRGFADGMEVKCVMAA TESLYQLGYTSTEFYEQVARYLGSKCSSASASVNSSETATAVCLALGEDILDRHPDVH TFLLEVEKSGLKGEASLSPTEWMNKNDPANFITPLTEIQQEGWNIINRMVETRAADTE KLTALANEYVAILKSTRVDDLKYFFGVFEEKVFKQDRILKQCLDYLVESNAAVKLSAT SIGAMLNSLAAIRFTYHRSVKQFMIAISTEQWSEMDASPLVKIVSAMAKLSLRLPQVL VHVGDRLLDVYTFLSPLDTALVINSLQSIGYGNDEVLMMLMRHAASSARRWDEVSLTL LFGASGVHRLLRNVEVAAPLLEQAAGKTSSPHLRQRIAASLRRSALPRALVQSSTSLL TGGAHEVVNNPPLQLV XP_951518.1 MNKQSLYEGFKKVYNSVVGVKTTSSFHETGTLTPMEFIQAGDEL LHKMPVWSWAEGPENIQPFLPPNKKYLVYRGAPCYERAAVAGNDDADEIVEDDDDEWI TTHANRVLKATTEIAAEKTINWDDDDDDDDANNNNNVVVVDSSRKDEGDDDEDADRDQ TERRRCRLYDVYMVYDQYYQTPRIFLIGYAEDHVTPLTTSEMMEDVYPVNRERTVSID PHPFLQAACISIHPCRHAETMRRMIQHMKQRFEESSPETAKFVFPTHMALFLFLKFIS SAVPSIEYDLSTGIDI XP_951519.1 MPRVIIVAYVLLLSVSLSSLCRATSEQFIRAIGNSHESLVPGSA KVSIDDAAFLPIIPVVLKSLKNLTGNIKVPRQKIGGLELGEAEVHNITAGGMSINMEE SKRVVVAVWDMSAVVPELNFTYTYWLFQWQTCNGTARTEVSGTNSTLYFNLTIGSDGL VNETIKGVNISIGDLEVTTSMSDCPSMDAIIQLIIAIFKGSIKEQLERIVPERMNLIL RNKTEDALTSLPIAFMDDPNITEGRMELSLAILPNASSSRVVPPDDVVPLKQPFPDRD LAVISSFDGANNILRILRNWSLLNMTFPIPPAYNASLIEPIYPDLYKRCSNCFFRVVC QLANDVWVEDDGNGAFTFQMRNGTISMGLYSGNGTSVDALSLSVNTTANVKKFSVFDS AVTLNLSAMSVSLDVEASLIGDFNSTVLNSDIQWVLDVVVVPLVNAQPRGFVLPFNLT GLLFNVSTGAFTMGMNSAVISSLLNIFVGQRWRPVSGE XP_951520.1 MRKRKNGKDAHFECRVGIAIVVWNMVLLSVCLVAVLLKNNQHDT KGPGGELVAKVHFVVAQTRFSPGWCRMLVSSLFTNVTVVSVGNGGWYGHVRRWTWIEE YIRRGNMRDEDIVVAFDGADTFFTEVKFREREIQTFLQRSPRNPEAYSETAILQGVMS SPLIFATERGCYAPQAYIMLGDEDKKSDKRCEHMYEKMRQLASEGGAGGLAGSGVKKA YLNGGGAIARVWALKEALKVFFSIKRESYKWWCDQSMWVVVFMWSITRPQHVSQKLLL RRGIMSLDYTSRWFVRAPLKANFSSIIVHSGPNNWEKLGLQRSMRVLSWYRQLATPNG RQQAKEYLRSQMVWLYNPKRKKLSLKRFVEVCPIDKAVNQKWLSRPLRK XP_951521.1 MKATGCWVPLVVTVIGQLSCVVGAREPLVNEQEFGALCAMINFS QDLETQQLEESVKDSARHIGAIGLAVGLQSLSEAEDVENVQVGRQGEGGGGGGYWSFW EEAGTKLKGDKTRYIQWVEANFDILRKEKIKEAVENAHTCMKTNNNLWLELKMSPINK SLSDALSGSNKADVMIKQHLEAVEVCGGKGGQPSVWAGKSLIVDLLCICGGSPMPNDR QQACCEGCSTGANEDPWKPVEQSNTRWKHLAQRCKSIGTNEKLSSSSLSRATKVFLEV LKRSTAQKEGTKTFLGAASGEPQHGCTGHTQPGNGKCVQYPTEMVGNENPAVPWLLVL QQAATRIDKLREIEKKLKDILRNVESIKTSKLSQMPRSGISQLKDGTTCNKGRGQKGP SSGVECSECESQAECTHTGCNKREGNCIDIKRPPLASQADKISVPLWLFFLP XP_951522.1 MRLYKSSFFFFNYYYYFLPWRGDTSKANDFGDSINITISATGLQ RGGGGVLCKLPCTSKQCLLKINGITCFRLFCQAYHLFSAVIDCFRYISPKGTSVLNSS IIKTFNVVFFFNHKTEAASAESHTRLGLKCDALLHSSNGATSFIMLDLMRTQFNE XP_951523.1 MTMKPEMDELVPVPDSLMHCFQLESYLRNMRSHISRRLNELQQS VNIVWDEVRRNATPCSDGHQLRSATSQPRSFPSRTQRDVEQTTPTPVLNRTSSALTSS ACSLPQTDNRMLPTEQFSWDTSGTNVMSTFGREYGDDARDESALDSQTSVSERPGTKA STIAASEGSMDTAQVVDEMIKNAVDMLQNTSDMLRNNEEILKKAGEMPKSTEETLKMN EEVLKKDEEVLKQNVDVLTSYEETPRDKEEILKSGVEMLKCNVDMLKSNLSMLRHNAD MLTNVEETLRGRDEVPNDDKKEEEESLVVEETPKQQKDDGDDVPKIHEERLTPEVGMI KYFHQDTDARRSSDGSLRSSEGIGKGRRAIKKDRSVVVGKRLCKGLKDLPVRIGAAHK QTVEFPQKDGSSLDMEGEGSGKVEEVPKETDEDEDEDDKEPKEVAFKETVDVLKVSED VPEDKDDVKPATVEADITREGAEETKQSEDIPKGNAREDSEEDKKTYPLREEVLEDAG NKGITVNDAVGGSKSLLEIDEERFRRNEEMHQKNEKLHQKNEEMQQKNEEMQRKNEDM QRKNEDMLRRNEEILQRNEEMLRRNEELLKKKMRALKNREMRKATEIQKVIKAPRGDY KTTRLRQSPHPPRATSRLSSTGEIEGRVGREDPTMIFPSPPRARSVETAAILVDMRKM LREEIAVSLDEACSTITTKAREAAASVRQEILTELRDGCGEASTATYSVVRPGSTPKF AADEGEGQQAFATESETMQQEFHSTQETALEKKLMEHIYDLQERLALYEAERTEFRNI IRVLVQAHTKNSGSPNAALDCTAASSGPEPPSFVTEVAAVDPRKLYKLKNEPISLSSQ IPTLHHPLRCDRVSTGTETTLGSRLMRQKLQADTHDQQPWSQRGLGLSRAETGRGIQN NLRQGPSRVQGGLPKLKNNVVETETVRYNTSLDYTPSRYNRRQVESFPPLPYERTRPS GTHTGS XP_951524.1 MADLLELRGENRIWKLKRQRILGKGSFGCATLYEQADETAQGGG DRFVVVKDVDMQTMKKDEEMKALDMEVSILRRSMGHPNIVQFLDRYHDGKFMVYIIME FCNGGDLGQRIEQLQYRHKKEEESFVASLMIQMLVGLNFLHVDQRTLHRDIKPQNIFI FEDGTVRIGDFGVSVVLDQLGGVAKMACGSPLYMAPELCEERAYDGKADIWSLGVTLY ELMMLKRPFNSTSAPTLMRMISRGEYQLITPEDGYSSPLIDLVHSFLQKSAVTRPTLR RALRSSYVQNNLSTVPLSCLESKYYKQIFGEEIVQKAISAKKRSMGASTSGSGGDGCS SGSTNNNYNNNNNNNNNNTNAKTSVATSELDSWLEQSKGVTDALAEQKMSPQRPKSAL ARRPLLQPSSVSTRSEKTPSIPPICENSERPQSRMSFHKPSGRVSGGDEYEDDFESSG SSN XP_951525.1 METNSAPVASTKKGGDGVTAETAPVVKHKIVLLGDQAVGKTSLI TRFMYDTFDQQYQATIGIDFFSKTLHINNRATRLHVWDTAGQERFRSLIPSYIRNSAA TVVVYDITSRSSFLSTFKWIDEVRAARGDDVIIALVGNKCDVQEKREVSADEAQKRAD ENRLIFVEVSAKTGTNVKSLFRKVAEAIPVVEICEKGTVPLGKRRDPFLLTPSQQQKD GEGAGWREGGCC XP_951526.1 MSYVENVMARATKRKGDPFLRDGTRSLRFIRYYRTLPYPIRMSG IFALGFALGSIVEIFACKTHLYESVMANKDARRHDFDEFVVEFRENVENWQQQDAMRR ADAQGK XP_951527.1 MTSEEAVECTDALELVRCPSSNARVVPNRFDEEYIRGRLQEAEK IFEKAKTDVSRGLSKCEDWLGACEAFNEAGGLFASCGHPAEAARAFFHASIITRAFKD EEETTTALSLAVENMQLVEPLLAVNLMQKISETLKKGGFFFQAARCKRDSALLLEDRL GEPERAIELYREAIELYGNRSFMSSFSRGCMERITTLTVELKKYTEASKLFVEETAYA PRGRPKTRQFFLSLLCMLAEGFGDNDRYFDSLYYARKRFHALQEEERDFQHGKENRLV RKIIEANDNGSLTAFDTAVYEYRSSSTYVPDAVFENLIERCRKNLYEHLEQYI XP_951528.1 MATISRRDGRTAREMRGKELRTSDLSQFDGSAWYSQGLTAVTVA INGPTAARQENYRRCTTCIHVNRAARIPQEGGTGRLIMMERRERERCEDGELKQFLTS LVEAIVCLDRFPRCVLEAHVTVLMDDGALFAVASNAIMCALLDAGVPCRSTIAAVSLI MCNPEASGNEGEPEIFLDPTAVEESTDEVRSYASATFVLTNTGGEAVLASRVQARPRS SAYSRPITPKDLSRMFALAAKAAETLFVFFRNCSMALE XP_951529.1 MGKETREAESVENDDKRVNKKVKKETSSSSVATRKEGGEPHHDE EMSHPNFRRCTDRLETYIHNWMGQAHGVPSVLPTALPPIDPLPTILDMMIPEVNETSI TLTTMTPTLDITAIEAEGTGVAYDEVTLLHASTDPGDYERPGRLQRTLDHLEVIGLLE CCRRLHHRSARTRELRLVHSTEHIDSVDQLEVATLLRKPGESCNVGEDLYANENTSRA ARAAAGCAIAAALSVVRGEVRNSFALIRPPGHHAGRDRASGFCFFNNVAVAVRAAQRE LKKLQEGGNAAPSPRSSGGPCEPASTAAEPRVLVIDWDVHHCDGTENIFYEDPSVVVV SIHQHGSKRGHILRKNPTVVDNIVELDDLAALMDPIEDVKPELCGGDDSVRNKETDQE VAEGLACGTVPNERSPVKEEESTGGECKHESPQDDEEKKESSCRRQRKPVDYNKLAEE MANQDDELARIFGVNAEELARFPESGSSGSESDSSTSSESQERAGKLSGDSEGYSYSD EDSSNEPRPFYPSTGHMDRVGGDANPEAKGKNINIPWPTHNMGDLEYLQVVLDVVLPV MREFEPEVVFVSCGFDSAARDLLGSMQVTPSGYYLLVKALAAVCPKLVVVLEGGYNLS NVARCSEAVMRALLESNGSRSKLPRSRMLWCQAEELVQQVRETHGGYWQCLNPNSFDH MCK XP_951530.1 MPAPSLATRHFTFTHEVPADDVFELEENAPRRVPIACVTLQMVS FNRRCFWFHIAMTREGFSAPPPPFGACAVSFSGVSGDSAATTLVDDTALPQLGFKEAQ HEVGVGAPNPQAVFADSLARRLSRRLEREFTSGVAVYVACGIIGENQSDLLGTPAGYG SEVTRRFGAEVFKHAMDLICEEGLGKATAA XP_951531.1 MWRRHCCTSRRVRFPVTATHTGALSVGMVTFMFSQTENLHEPAA AGSRHNRHQHNYPKQALFKQLLRDVRGGDVSRNNNINNNNNNNDMGGVVFPIDQPVTA NISLLEQLGVMDLMEQRTQQLPTACGSSTCDAHVVCSSIASTTLPPASSALEPEALRY NFSTFTEACRAGVACHIPPVTVLRKVLDAVSSAGNKLGEMVVPSAAATILLKNAAERI QWKASKLQKFLDRREAHQEQQKQKASGDKAKETYTSGWEPFSDRGEMGRLQWALGEEK HRAYELMDMLPLHHSVGNHRQQLQDSYEMMSELRARLQLVSVLQDGVLAVDVLNKLLP FYAEQQGRAKQYTGGGSGNDTGVDYKKRVCDLGNDVGLAILAFRTSGDFTSACRLFEE FNAMAEECSQTMDQSSANENNSILNGDEMCGAATEGCSGTDVRNNDPIESSDAGDHTV ITLAATKLKLLVALSQCVGANAAHYAFVQNNVLLLTSQTDVGYFRDTWCTVREAACAA LNALARAAMDPIAKMEAAHMFFSRVQQSSAAEVCTSSSLTAALLSVASSARNAPVAVL LYNQLAAPNVRLAEVSENSAALLLSEQCALHDAARYFSLSQLDPLVMPSCVVQLLAAK LMLQQCDSEVIYTVLDLIKPQREVEPQCTFFLRLLAFYRDLQRCSRGWSGEENFAHAR NVVEAWRAELRGAGVSTAALTTLRLLRHIRQRIKSIMKEAEMKPEEKLVSLPETTGEG DKLLQCLDGMLTQFSLGWLRHRAATNRTPRFHLGVEQLKRVISAEQNSVTIRTVNRQR GSNASQPDGAGIIPLLPIVYETTIGAAKSRSIARGAFSTLYAVAVEGGSIRGGDAVVL GFSDYVQLCILEDAGMMSSVCYSEGNENGDGSSVASSSNEREFKFSLDSFNSCIPLIY VTDALGELMLQGEDGSVTSAVQPNIDISNFPLDTPVHHLVLQGDEVENWLSQWLTETH AFSHGVQGVFEKQMDLMLRTESVGIGATHEVEGEGAKMEGRGNDGEKNEERSRTLPSF VTTATVAMP XP_951532.1 MHSHLQNTFRRTVQLAVKYRAVSKCRRIHTGPIPQSMLWLAGAR ERHIKRGADTSSLTNDVCHTPQGSECARQQQQQREQHHKRLPCAKGSGPPTLADVPPL VLDPPFTPVGGETAVMERLHRMPVNGTDAERYVVSYNCRECSSTLFSSNDFTVASTLG SHKDGWPCFTAPASAKALQLRGLLQRSAVGRGRGAMAADSNRGDLSSSREFISSPLTA TLAARGLPIEGEMTRTTRSGRCELLKPKTWRETCLRDVNKRSDPALLEGCCSTCGNAV CRVVTTRGGAVKYVVNPTAVTAELIGEEEEPLSRQRN XP_951533.1 MRRGGARTLQDEKVLVQELGRLFHVARANAREQRVIKRAAARTE EGSASPSKPRTGKASEKKPKKKESNSKKGKKGKSSSDKSRRTRGPRRKTRGGTVWVVL KGGFTRVPTTSMTAIVEHNKVFRGRLSEQRRLAALEAAEAYVQTAWQQAQEAGLLLPD GSGPNSDEGLEYLREQYDVAAGILRREPVGARLKQVVESTISSSAAVGAADMTTAVPE TVYDRDQWADIITRENVILRRFSKSLPLETDNTEAVLAEAAEVPSKFYFQIAEPLAPQ DSNTLALTPNSSSADLLHGEQVIDVLPTKCIVRIRGSGGKKHTTIISTPKGVNSFKSN FMQLIRKEISNSKLPRGEHTVESKQHHQRTGSGSGTSDNASRGQPKRHNNRRRK XP_951534.1 MSQLSSPIVFSMESFTQAMELTHTYQPGVTQQQRQEAEQYLMEL RVTADGLNLAFGIINSEPVQDTCCFWAFNTIMHHLPRIARDVDDTKAEELYKTLFSFI YRYFFSSNAPTSVSGASLIGLTATSSSTVNCTVLSGVATGTRQRQSIDYLANKHAQMM VAGLQEFFPSRWRSFFDDAFELINRGASLQQHIRDSVTLYILRLFEYIDERVVSVRER SDRSRDQRARDMELKDAMREHVIPRATAFWHATLCECRQRVPELANICMSIVQTYIEW VDISLFFTAEWINLLYFMLSADTVRGAACECLCGLVEKKQVSAAKLESLRKLNVVDAV PRVVSLVPAPPESDEDVNFTESVAKLVREVSMQFLSLYEHITSNRNNYASWGGVSGAR GNHANMSHNSSSAETATSPPSLSCVRSTESLDAEGGIDCTNVDCRNFQGRQQQQHSYF VPDGHLSVEFLGEVQVALDVVVPELLRLLSIRHDVVVDTLIPFIQMYIKSSALREEQA AQLLRALYDHTVIGGVAENEEPFWMDDIIDRRKQMHNIMRLLFRDHPAVVMPHLREVV ARAASSASDPTTTSAEGFGQTGVMGQDKDLKSHGIDNISNGRGLNCEGISAAVGGSSN TASSPEEAEAALRYLYELGESFRMEQLRDSSNEFAQLIFAVLTSEHLPQHTCSVVHVS YFEVLDRYCVFFTYHRNYIPLLLQRLLLMPHGVMNSSPNVRARICYLFGHLVQVLKSS LVPHVQDIITALQRILAATEYLLPSNRRDLYEAIGILLSTVPQLPAAGATEGTAMTLH VVQVVRQNLRDASVVGNIACAEAVADGISFLTALVKGLRGGGGGGSSGSGAAVNNATY TSPNKNSSGSGSNACSESIKINNATDGNSVSESTGEAVVAEVFHNVTSDVMEVFSTWH ASPSVRDRIVQYFTQMAHLLPFDSMKVYVPVYTSNWLMWMEAVQELIKLLRFLLQFIH RSGPCVAEILSQLMPPLLEKVSAVGELSAEDDQSDIVSETMREQRDVYRQLFAVVHGA AQAQCAHVILFLPSVNLNALLVQLLTAIQLPGETELPKIALQIMTKVTATVEPCCTNN ESSGAGRHPLRNDCSQGSTGAAGEAWMKFMLNDALRVVFARMFSPTFDLKDAKSLLFI GETGLLLKALMNKLGPADASLSVMLYETFSPLVGESEATGFISALQQQPGRFSTEMKV RFCNMLKFARQHYAA XP_951535.1 MSASQDASMLGLFRGRHPAVSQRSISLDKEALKRSLTTICNDLQ GATIREAPKFLQDLRTFLDNTVEQSCMCVQDLFGQHVANNILFVVMNSVLRPALQQYN EAVTKRRQMAALGRVNIAEDESGTSAYSENRGRKLRTDFARPWRALIETVHHNLPASV VQQQQQTAAHSSSSSFSSMLIGTGSSSVGGGGGDTHSMSSGFDTAKHHHGGDGRDNFT SSSFKSAPQHVAPVGGGEGWGEASHHIWAVPPNHAVLPLLWKNAVPLNGFVSCALEVL QSEVLAPVCGQEFAQLLLHLFLFDGYLSNLLPKLIAKLTVRLLDLIDKTVYEANGSDT GGGTGTWVRGRGAPPAGGCGNSKKSNRGPTSHEMNDDGDQAPSVEEGTTYAAVLVQLF RAPHLLSAFMPSQDTAVAVDKPENNRKVTLPFVMQQLVNITMRRHQRPTCALRLEEHL LVAVNLLIKIARDDYPLTSGVPLDLLKPLCHFFYATTRRDGWRLEALTLLTTQVCASF SAVLGQNVRADIERNRPRAAHHHGNVLGSNSCSTTGNASNHKEEKSNAPLLRSQAKSS SASPPPTTSLICSPTAVQAPASPFSASTPPRTLVLLHETVLPFMRQLFTVPRSEFNFV SRRELSHFPCRPLASLFDFGAIVLYLACSTHDAYLALLFTSPGSRSGDGTSRHSGGDS GGKSGDRSESTGSNKSHDNGVSTGRKRPREGDEGIADVSDQVGFSHDPQPVSTVHPSN VILQLFRSLFFLESGRDAAETPVRTCRTLQGSVTGALSDSNLHRCGSASFGLGAGGHT PSSSTSSGGPIGAPAVQNNIKDSSLFMLHLLAQPCATLGFTEHQCTYLVEQGILPIFP YYGVQLESLLLAVLHAVGPRSSVVCQQELFRWLIRRYFPTETAQREEESHPKEQVYSL LSLLLERGVVGQCPFLVTTEERMRLHSAVHFSAITARIYAVVGGGALPSTPSTGFGGP VAMAGGISGSGVEGVLPLNQPLGETFSAARRTGVSAAGSSLLGPSASAAFAPTNNAIK TGIPVSLFKSMMQFLSAYHHYGATAAQITASHRVSMKKRVRDGCSQSLHGDQLMDLPT ASLRLSTVLSFVVAGISHGVCFFPHDDGLVWRQETDSPAAVARAAEAFESVLSSAEDH VLSLCLIITESTMGCQHSLVATATSGVKVTTASEAGTDSANFLYNMGGGRSVASLRRI REKLGHSRRLVGSAFTTPEKQLPASVCEAARGHWLLLYEFLSLSREEVLRDMQGPSTT GVCSGYDICGQYPEGTSEGSVLDVGGSRLRPGDTPAAVMEALSAEVVRAVTEMACSAK IATTSAGIVGTEPSAYQQQQGMCEETVALIHRKLCIHSIQVLHFILRLYRLGIWHLPE GKCSRQPGECTGAGVVASAKSDDNANLTGGCSDRLNSGDNGDSESEDLRVLLDFTPQQ LFEQPASVFQRLPTAPGRIYYAVMWLCHYLTTRLLRDSLTQARAVLRALLLLLHDVGT ELLSRSLALWEPRQTILRFVGATCRRLLNEGISRLASWKQTEITTTDSTIIVQATMRL SCRVLGEFLTLVRHKRLMLAAAEPLVDPSDVTAVIVDILVKNELILPLDRISGRNDAA EEGADTRAILKPHPFVPFVLASVDCLAYLLQLLRGLWGDMNARNALLALLETLFARYA HSMCESIFATFGYYALHTKGTATVAARYAIAPAHSAERCEGQQNEYRLSTTGVGVDEP EKELLCEEGQMLKRFVERVSDSAQSDIPPLTPQRQCAVISAIYGLLFLCDARVAEKLG RYIFSFFSPDAPYAVRQHTALQVRFLFSRFSDRAGAVMRDMIASAREGMNSTSGMSCA TSLRAVAEAARAVPLMEPRVVCKLLECWATHGFMHKDLILECLQLIGEYARLRVGGGG VADDVGTLLGSDAAELELAIGEGSKMSAQQLCHHHRERLLFDWLWSFGHPLEALPITC FGFSSISQLWAAFMPLLLPIVLLCCSSRGSNSVQAREAVEDEAAGAVFMRPSSLLWRL AIFHHRQQQREKTPIEGGEKGDEAVGQEPDPECTPQLLIECIVAHFPDVVARLLLFAS LSEKSGMGVEWSQLTGARVRCGHQSFMGLKDTRKCVEEPDEDAKLEEAGCAARVALEW LRSALSHRFASLMRYNADGILCRFVDLVGTLSPYIELKQIHWAVKLLCESIGDVASSN TQQAMTEMRELSRGSHPPSAFDHQAVHAFFSNDGGDHAYVLLQRLYVCLTQDLNRGAR RLLLVRMFRDIVGEWWSRDLLQQVPHMLHTVLRMCGNMLMTCPDVRPVVCEVLLHVWS VVVTTPATAPSAVCIGAAADEAFISTIMSSLTEESRVVSSTWDAMKRSRRASQGSCAD TPVEFINVDNEEEEVAVSKSIGVEQQQDEVRQQDQADMANSSGVSAKGPARRVARVLS QLENVILVSGAPASSFYDDVASAVDRDREAFVALIRLYRLNEQQRLRLSGAGSQRVPN STENVTIKTASVAYNTCCRAVQHLLTVVCSDTAGQSAQTTVAVGVSPVAVAAPVTVFQ TTNRDAQLSAFHLLRAICWCFIESGCESCVESLFSPTADIHSLLQQRSLDEALHHVYR QHLEQLYRLSFDADACNAHIASTTLRGWLTSIKKEDGKSINEGDPFSEGATVKVEALS DANDADEAPVRSRRKVGGSATLIRRTLQLFEKDGEEQRQTRHNRGNQHLEWTNSFVSL GDLAVFCRELSSIPRNISAVRTDGAVEQLNSLRSSLVWDALQLHEDEPRFLRLFLIAI IRQYKLQERLGTISTVLNCMLLPVQITPKGITGGNSSLTWFIEGFLPITLLHVILLKE TSVQREERATWSGRLEECLFKRAQQFPHTTRLFLRALNICRSVIMTAVRSRGVRSDAS DYNQRGQFALPEGRGAAEVAGGITFPPYVRSEYGDPPEINDMKDSYWLSDIDPLTLAA AAAACHEPHLAVLFTELSGESLLGRRKGIPSTVPDISAASTRGLSADAHKQKETSVLF PSVNPDVCRRGASDGRPRQLRADVAQAELALRLFNFRSAVHAILVDVKEALRLREGNY DDLPASASASSKPTLTSASAVGGTGAASRLAMPAQTGAAAEEHTTPWEEAFLLQHPMR RIEQEKSRGNWQAVLCLLDRLEHPVSNNSSRDYQTSILDARIVIEKANALLHLGDPGT ALHLLASALKRKFHTSFFGVVTGSNDHIQQPVQDATEEEERHENECMQLRAALAGALW RSGRWDLRFLQEDGYQQQRKLHDLLLPTTVTVDEGIYHALQCVRRGDRHDAHRWCAQA QQHLLRQLNPSNWRYIMLRAEALQQIEDCGKHEIRVGSARPPRWVGTSLAHVRLPYDE LQLLDSVQQQLCVVCKQPQWWLTHLEVCSERALCSNKPLLVRQWVSSYAELSTVVDGG VGGGSVEAHGSNGPDCNLKPVLQAATSSCGLPASVLIALVHARAEFACGYPHRAIAIL QNIAAPSPASPQVRASGDPQFSFLSPFAVGVPGLSFPKNPSLMPPVVLQLVAWVTEAR LVPLSQIVRDPFFTRCAIDDRTGYCSLQLARLCHTLTRDIAERLRSYNYRTMQKSMES LIHTRTELQQKQEQLSKSGVSREEKNLLRRRISGLIAEHACDEREFVEEAESYALYRR SALNGYSRFLQLSGHDGDDNDILAVFGFVSLWLHQDESYVRKDTSPATDNVISKAISN TPLHKFLPLYSQLVAQLGTSLDNKNLETLVHRVATEQPLRAVWPLLALANGHVFGPGV GDTGGKGASSGGVVHVVDEEKVAIARRILTSLKEPSAQPWGKSKSTGSSKKTSNDAED ASAFECRRKFITDAESLSEAYIQLAFHRADAADNVECNIPKTFMLISDALQDLSIPPP TLTVPATNSALSASLLRHEQDEQRAQVPRVMKYKSTFRTPGGINVPKVLRCVLSDGRT VQQLLKSQDDLRQDSLIQQVFGLSNALFEKHPLTRQLHIYTYNVVPLAPTVGLIEWVD GTIPLDRYLNSSSSKNPTGAHERYFPTEITSKVCRARLNEASKTQKHAVLLSLYAEFS PALHYFFLEHFFTPQEWLQRREAYTRSVAASSMLGYILGLGDRHANNLLLHVGRAELV HIDLGFAFDQGKLLHVPELVPFRLTRNIVDGFGVQGTEGPFRHHCQAALQLLRGQKEF FTTILAACAHDPLSRWAVSIIPPQQQQQQQQNAQQDEQDSNGSGANSARQQGVGVHRK KPTYADAERVLSRVMEKIQGYESGELLSVRTHVQKLLQTAQNTELLAQMFHGWSPWL XP_951536.1 MSHVKAFDPAAQARCDPYYKRNHMGHVVCTLCDVCCSDDNNFIK HLAGKTHSLQLERLERSANREKRLAEEEELNKEAMRRAEQEKATRELLLQQTSAPHAS SVTSFAPFGRPEFHYCTEHDPELYQTKVWLEFYFPQAVEGARPLHRWRSAREQEVEKP PNDDVVYLLVACEGYMTVGLKFPSKLPRTSSGLTSWGGYGNAGSDEEKGRYRCSWDPV KKLYELFFIIG XP_951537.1 MFVCVGGCRVFSSFSLYSSNYRHPLHCIGLNLFSFFVVVDVDVD DDVLLFYGGDGYIINMFFFFFFWFMFCSCVHHTIFTNIPRNYLNSSSSFLFSPQFIFS IFYILYCLLVVFFCFFFVFFSVFFSFSCIPSSLTSHISSLHYYVDWKLLSFFL XP_951538.1 MAAVVQRVSVTFEVTGLNAGALNTELSRFSTPALHQCFTSPEYG LHTHEHQKHRYVPPAISELRLGIVSDAEEMGAWGFSAPLVLEETVSSFYLSSEESTYS TSGGSNADYDNTPLLLTATAQVPASCVENTQLRYRLVIVDELLLQAAAISSLCPGAAI VAVENSRTQPSKTDLRRVNRVNRCDSGYYEEDGSEVPMFMARIDLRSLNLHFARASGQ FPFLSQLRRPNSTDGNPRGAPYLSLSMYGNDPRVRYDRKRWLESLPKKSRDAVLFMEE NGWKDTSRSNSPEDQENGDVVNPSTIIEVCDVYPVPSEGCCWCEGHVSSLPPMEQQIR MVKKQLSLQRASERGNASAPTSTTVGNTPSIPSQNTPVAALRKYPSLPSVSCASACDE GLTEDVVTAVTAFTQRGWCVEPLRLADPVAPMTRGAPQHTMRMWHTTVNLALLAGCGL QVEVMLPTPIYGEKERNGGTSVRKPSESASKKNDAQSKGENTESRGAAATGDTPETST ETPPAASEVTECGSSTGVTGIRWLRGFVMLQPPLFEQRTNGTLVMPVCFPEITVDSVS GQPTVTAHWHTLYLQYLLVYPFANPERNTLEIVRSVASRGRLSTKKLVGHRGLGKTYT RGPVEPVENFSFASNAESVVADGASPTKRGAGQRAKVPKLMVKLAENSLESLNAAHRR GCDMVEFDVMLTRDRVPIVYHDPLIQLQARGKRGAGTRNGRVNSFGSPPDEKSVGFGV SPSFIADSPMLQPPPGFESAICAGASTSGKSLRLKEYQMFMRDPPQFSSVPIALHQLT KNQLDVVITETFTHIKAGNRLRNLILQHWHQILRVYRNRVREELACKHANQVVEVAAG FGTCVPCTKECTEKHGSVGGNHAIRGCADINSESGGDDKSNSSTEKCHNHCPSVDDYI SAYNKHKRIISQEENVTNRICTLQDLFEGTAPSLRFDLEVKFPFQPIADANLFLQTDS FEVNAFVDDILQVVFAFADQQHSVDDGLGGKTQRFRDVIFSSFEPDVCLALRLKQSRY HVVYLCDTELGDDFKDYRSFGRVEGALQFSILMNLSGISIHAPSLCTDKQLGELKEIQ GMAERERGGTFSYPNGKGENTTHDGEDNDNDNDDNGAHVGISGRKMDEFWSNFDCSRG RTIVNYAHGKGQQVWTWGEMNMCERFRAAQSKGMKVDAIITDTVPKWATDGAAHGGMT VST XP_951539.1 MFSGNPYITPAEFIYASEGNVAALRTWLQSNRERVNLPNPNTRH TLLYTATCADRGSVVAMLVTEFNADISVPCGAIDNTCLHVAAEHCNEDILSFLLSFGI PPKRNALNQLPLDLARQQLGRHPSAQNCIVMLQMVEEQPDKQGLTSATETFNPFMSIT QPVSSADQLRPSAYQSPMSGGEFNYSDLLEREKQWLAVDSVAASSPGGLPSAQRVKKW YEGMPRDTRHLDRSKPLPYDLQELRRAYKGVFKFYETCQNYRAQGMLPYKYNGEMYYT PVILVVTKTHAGDRYKSGSESGVNPSTSDKDRTALTSSCVLDNSSGVRSSPYRALIDI KALAGLSINRRATYIDPRSGAIIPSSADAKVRSLVQYVSDVILANFSLIAPLTRSNES TKVVNNSGPLFYPFSHRREITTRVLRALSQFCDNAFTYDAVTCTAEGHLPITKVSPFS SASVDLHEPTVTSGGISKAAPLDLLEGDVVMKLPLRIKFDPNGDFTDAPRLYFPTALD SSPERRALQPIIEDSTSGKLDLRNFTSIQDWSTHGSLQAVLKEVQEKATDLIVKYCKE NPGPAHSASGSGGSFEPSENSAVTPALSPIAAGSGSSQHVRAHGHSTAETHVKERELS TKCVTCLDAEKDVLLLPCRHLALCSTCSVTYIERQMDGMLCPICRVVVEQAMQIYT XP_951540.1 MSSEEAIQEVRRIFTNNSVDQARDYLSRITPGIQESDERLKQLV GQSYRGLVAACDQVVVVEQACRKLLRLQKQMQEEKKQQQLENQYSQSRLTMPQSPRGV SSPVLRTISPHGGKSPRAKNVGEGKTQTSRHMGHSSSASPPVSEVVRSPLPHEATATL KGVCEETPRGGREGADDRQRDVLIYTQQCAALEGLLGARKLYDAAALLLQIRRERKQP HEKLAVESYEHRLKRAVRGLLREDAEQAAQSALSVANANRNDSGGGDLSLTSSTNMFT SSGGVEFMGRATGWKSDLSRALAHMTEVHKALGVLSHATVNDALLLLIDTIHDMIKTD LDHVVAALKGTSFTQAAAVDTNTDDGRSLRPLRRMEWLRAALELPPYLRSEGTSAPRV PALAKDANSSTEARVNARLVVSEATVALHIVQRAQHLFSHLVLYVASVLYSPSGPARE GRGTNGSRGGEQPDEVSAGELDAYVDSQRRRYALQGLLALRSKLTSQESNTEGDNETS GTGRAKSVRMSGSLAREALSASQRGGSIASATDDSEKKDGDSKCGSLDASSSMVGLNQ DGERRSRHRTLYLCEVMRQVCGMQILDPLPLQWGFSSFSSNEKIAKCSGVSGDETAVF SKVGHQLRAVQELALQLLLHVSGAGLLNDPRTISLQQHLARQQGKMLQDMLLSSAQEG SNLTVSGGAAVNLFDARKWGEAVRQATESALLKTVGAALKRADRVCGAILKHISEGKG YKSTVVASAEEQPPQQQKQEGPPSVTTFAQKVLQRSIFFSESTSFGLLHTDQMTCMVP CSSFGGGDENKRNVASAEKGENLNAALARGIMQCTAIKSKTTPKEGRLPANLSSKAGC RASGGNVNKDKGGWVMQTVETDAGSDDNLFSVDEGEEERTVAMEKASDKSEKGVTDAV DDDSSAALKFGSLYSMLQRIFEVERTWSDSHPTLSVLVGEWFTNILQQVRKLLKHHTG QLQKWEELNVEGNCFEAKVTVMAALNRISMLVEVLLQVLEVAAPRNVASTLPMELREA IVTCHTPWVKLLRSEWEDGVRHAYRRAFAPEHLFARSKTPLNSSATTLDPNKRTSCIN GQQLMLEYATCWSCSTPDGVQCESQKVTKHPTHVTPHVNELIFQVQHMLFKNGVNKRL RATVLPMVINELLDGTSSALLNAVLPWIREIAAVNRSGNAGKSGDSAGDNDELLFQVY FDSLYISGLFSIDGNSRAPTPSITAVLRTIEGWVNPTSWLVISPLLLQASDRLLRVTA LSFGSWNPKALEEVWTAKGTGDSRTSLKGERTVAGDISITKEKPRFPLLPLIFTPSKV LGSDIVDGGVTKTAVFAFNKSSSVAVGVRGDSGIIGGLSATSLQRGTGALVGSALGSP SKPTSSNRFDGKVKGWMGSLW XP_951541.1 MRSETRRRGRRCITVPMYMVLPALVMVTFLLWNNMSRERKNRMR GGHIGEGSHAVRGGLPGVGNLRASPAVFSFTTKLLSVLTAFVDQETDGMPASVAAAGG ATDMFGLPPNATPAAILLEYYETQLPKFNNELEKRLDNGSIKNVTHSDTYWSPTHVAR RGYGLEGSIFVGLLHHETPLVRMRRSVSLESVCAMTVHNLYESALWSVAIFTGIVEFL PDVMTAEESGGRGGHNSSRWPFPPSSPCIPVAYQSHTCAERYGFCPRDNIRLRQLRVS AKSRRHSKGSNMGGGEGNVKVSEKKENPRSRRAEDDYEHAGYRDGDASSGSDASGGLS DFTSIASQRYATLALYRGETYVMFLNAGVLLVPSWDVTARLMLLRLPSRRPILSQMAN IIQRDVVHRAWEEVVVRHVSNEGHRHEGVKDHGDQQFRDDQRGKERGGTEDYFNVAHE RNPSKKRSGSALYDLEGLRLHSSDGMEDKEKGDGNGESAAEGARGFGSEDVVEFLFRL PTEEDGGEATSGAEPLNYPRNGASIPNVRTRMPNSAQLYWMKKLREPLRKKLLTSMSE QNTTSYVCGVAVKMQHSEEGCRKVCDAKSDGGSSGCGNVENGEDVSATVSGGEGAKFK LRYESRRRLTYVLRDRRYMEPLPIHWCNEKNDAVCLGSRRQESFPSSLSQPWLSTDFL FTRAGAFFDFSHDAADHQNSHNNSSSGNAGNHVPLDPYMGFLTPDEEAVLLAGRLWTH GWDFYAPTEPIAFAAVVHAKGAGNGTSGNNKVANMSSKVRDARLRGLVRLNFVLFGQS NAARGLRSDGEAALKHVEKYGLGSRRSRESFLQHVGLRSSRSGRMICTQEDDFGCVQK NAKSPWKWYHCGEVCSGL XP_951542.1 MVVKSQPREGEMVNLPLKDFAKATRRMVELSGVKKSRLMLRVRP SSGRKTFVLKSTDGRTTLTTRVKNQGELKIVEEIVHDFVSRCTVSLTAPPPSAATGGG GGGTGVPNINAASPRQKSSGKETDGTVPAGGNKSGGKSAESGGGKGNTSQKQQQQQQH GGGNKKRKGGRR XP_951543.1 MHYASRGARRQNQLRLPPAQKPGRLRVLARSFRGVNDAVGDFTK RNNESPLLYALLFVVALLILLFIVLFVVLLCILLRSDGWSTIDAFSSKTHIVEDPDKS ALLEIRRLYDTIMRTGSDREATALLEFLSTYQRSMEARVSKSTHGDDENAGDNGNVGD SGVPQGKSKYASEKPRVSNGTSLSQRSFAEVLADLRETIQDIMTLDPDVGLDRAAFFV KEKFGASNDDRSQRIDSTGSHDIERGEVEAFEPFDDILYLQLLSFFAKEEPTRSISSA DRLWWRRKRISAPSVMEGRFYYPLRRFHRMQSFSPDTISTVAAWFDTMALDYYGEEME PFESSLQQSYEGAQVSNHSGSSPENPEIKEHAVSFSPSHTASIFVSVASFRDVECHST LQQVVHRATNMFRTYVGIAEQHNKSDPPCLSYDLFQPTLCPSAAIASDTASARAAFSD VLCFPMDNIRLRHIAPDAARGPTYGRYMTMLLYRGEDYVLILDSHTRFVYGWDSRVVA MHMYLRHPRIVLSHYPEGFEKELSNFTYERTTTVYLCRASFIESDGYVRLGGILVNEE NVNKFSHQGRVLRYAAKGFGRKPDADVSRPLPQPWAAGGFLFARGSIMREVPLDPHLP NTFDGEEVLYSVRLWTHGYDIHSPNRTICYHVYTRNDQPKVWNNNPLWSSLRLRSRER IQCLLQTREKGQTVPKVPVNTTDPAVTIDVDRYGMGRVRTVENWYRFAGLDPVRYTFD GRWCGKGKI XP_951544.1 MCMCVKTGCAQRLTVLHLPSETVDDLHSPFCFSLIFKRIYTFWI RLYGDPHKAKEAEEKTERRHMVVHRRSILRRGFYCSSNGLFSPFSVSQQRLHHAADQQ RRLEFQEFIRRESAPFTGCDPNDCTKQKQRQQCQGEENEVNAAQKRHVLDLIEVRPVP CALEGSGNSDANGQSGREGMKTNSGSARGMQPSNSFGRAQTGNSRENQQCHGRRNADD PHLRGKRDLHSLQLRAKRRIRVTKENQLGEEYRQALSTLRDVFAALRASAVRHATAQD GCDGPNQDGDGASRGGHDNGGRMMLSEAVRFQRLHQLRDATCRLTTSHFLQVPKSCVL EFLDLVSRIVTVVGNTPMTAHGYVVRQAVRALFIQSMGEGSSAFVRRSCGEAKAHYSS SSEPRQGTFHPVHYFKLLRVMLSLPSEDTLQLCNSAGTPMSVEEFCVRQLYGEGNGAT SSARGISSKLGSLRELGSVRAVRVVSWCMHSVCSTPVLSPSQRHSYTSVSSLPPVSEL RIPFGVGKGYTQTLLACFAHPVSSSSTGSSNHSTSRREQTYSEYANGTQLPERLPFSV KELAVLCSAIVYYKITTMEALTVLVSAATVCALRADELSGHQLSCVLLAYATLKYHGD LTRHVESIGAKFPPSRICSVGQEGQTNFYLLLGERACELGEGLHENDAARVLRALELS GVEHEMLRNSLTSGMRMKRLRSRALLAGR XP_951545.1 MSRSGGRAATEKGLGNANSSQLAETSGQSGYAHPFWYVNTQMPP EYWDYENATLEFNSDEPYELLQKIGRGKYSEVFRARSRHNGELCVLKILKPVRVKKIR REITILQNLCGGPNVVRLLDVVMVEQENTPVLVTENIEPADNFRTLMNSGSLTSFDMR YYMYEILRCLHFAHSRGIFHRDIKPQNIIIDHRRRKLRIVDWGLAEYYIHGQAYNLGV GTRHFKGPELLVGIRLYDYSLDMWSVGCVLAELLFRVFPLFRGEDNEDQLHQIASVVG TEDIMRYVQKYDVSLPRHLVDRALSTKYPKRPWKSFVTTRNIDWCDANGLDLLDKMLQ VDHQERILAYDAMQHPFFDPVRKVLQGDPQEQYPRRRG XP_951546.1 MEKDLDSLWDTAEDFIADGRRTEARGVLEDIVSTDVTADDAIGL RAKERAIYRLAEILSVEKQTDMLVQLLSAIRSFFALLPKAKATRMVRKMFDLILNSGA SLDRQMEVCRDMIAWARQEKRTFLRQRLQHRLAEVQFARNERQEALTTLQALLREVRR LDDRALLLDIHLLESHIYYSIRNISRARAALVAARTNANSIYCPPLAQAEIDLQSGVL HAEEHDAKTAFSYLYEAFEGFHQLGDQARQARKALHYMILAKIATNSPDELSALLSSK NVLEYKGADMVALHGVADAYNSQDTHLFNRIMQENKNAPFLEDEVLQRQLSEMYKSLL EGHLLKLLEPYSRVQISYLAELLKLDVETVESQVSQLILDKKLAGIVDQQHQCVVVFD EQDAKREKAKQKGDGTSDGSHGVSHSSAYHSGGTGTSGSGDVTSATTLYQDALDALEK YDKLVTALFDKANGKFDALVEENLAKRTAAKQVDAKGKKAKDEAGSGAEKGDGKKPKS EPKKE XP_951547.1 MGRASKDKRDIYYRKAKEEGYRARSAYKLLQLHEEFGILRREEI RTGVVDLCAAPGSWSQVLSNHLCGSQPGSAAEACEGDEAINSEASQRPRIVAVDLQEM MPIDGVQLLQGDITSEWTAREIIRLLNGDSSSVPECSDATALSTAGAINDFNNGRGNN VSEEGKSSQQRSDCGVGLMNERNNASECVDGDNNNNNSNNNDDRNGGDAGASTRPVAG RKADLVVCDGAPDVTGMHELDEYLQHHLLLAALNITTFVLRRGGTFVTKMFRGPNTPF LVAKAEVFFRQVTIAKPKSSRNASMEAFMVCQNYDPPASYQPSFERPLTQTTSCFTPA APALHLAAVDAQRMSSDNVNNGELHHSGVTDIVDEAYAVESVIVPFLACGDLTGYDAD MCYDRGESDVVLPPVQPPLQAPYIAISEAVKERTKRQRVG XP_951548.1 MSGLSLAQSRLREERKAWRKERPFGFWAKPREIKSVQHQQPNNV LTALAKSSAAPQTHQGSGSSQTAGDNSGGLDLLEWEAGIPGKPGTPFEGGEFRLFLHF SEDYPTKPPKCVFNPVLFHPNVYPSGTVCLSILNEEKDWRPSITIKQILLAIQELLDN PNINDPAQEEPFRVYTRDRQEYEERVRQEVAKHHRKRV XP_951549.1 MRRLTRRSGRLSGKGNGGSCLQMSPTHVGAVVTWALNRLMPLHT RTIPLRCSLPTPESGTTEPRELCFYETFELTEEDVHYLLLHEAHVKHGVLLNVPPQLA PNGTPPEVPEVIMPAAQLERMGGMKLAYEPTHLPPPLHTTGARQLVLDESFYTTPTKE KKATTTAVSHVSESTAASGGRGGASATAAGTALPPRLPPDPTMKFHCSACGKAFRLKF SADHHVKLNHGSDPKAAVVDGPGEGELLGGAVTITTAKVAKHSSSAASGTASRAGDSA TLDVKQQPDPQKELSAPGISAVKIPYSKAVLSLPDDELVDELLIDVWDAVAAQRDDVP KSNSANIFLPFASVVTGTADRRKEMEAVARPTARATPEGAAPGIKRPGAMAGGAVAVG KGRSGGQILPIRELIKKYPNPFGDSPNAAVQDLENEPLNPFLPEEELAAQLQVACEED TVVTPSACTTDVSTGSVIGKKGSLEKLKEKLRGTRPSMAASAAKRRFTCPICVEKQQT LQQQQSENVGSGFCTDIPSFRLLDALLDHVESVHGEELTEDQLRELYAKQRQSTLYPQ KSSTGDGAGSRETPDDSEKKEGSVGNTNMDELKSLPEEVRRVVPPAPVEQDALAVHIR AGSNALMIGRIADVQHGFLGAMTVTQYVLEVDGDERINSKGVTTPASACTPDPASTKA VEAKGEEGEVVEPEKEFIVIRCMGDNFPASLLKDQVKLGSRVLVQGTLRMNRHVDDVS KRLHAYPFIQVVPPLGYVKVVG XP_951550.1 MSSRYFAAAIVLIYLMGVTSADPPDEDDDAEETEDSGLVPETGP NTSDESAKRKDSVNSSLILMGPSIRYFAVVTRRTMDEITKAILEDLSRCFCKQFWIVR DAYGGPLNKQCDISLLEEQISFRKNLYAYGLYFGASMEWNTNAHRIRRRSSDNRHDPI KFPTKCMVSLAEVLHPITKRNDMLAFSSQAIFIGENLKNVPYKRLDPPCSIGQFCHES TISTIIMVGIGGFLICVVVFMKTKEDISFWIMTVTGKEEELPNEAVLQMGQSAARNTN XP_951551.1 MIPTCIAIRLTPVWICLLVHFADGKWPTPFQCLARVQDYGFSVS AFKRNPLVLVTHMFVHASDEHFVGNVMLLLCTLLELGEDCFQIGVADRGMWKSIKEAA GATAVLIIGGVSGVAGQLLFDYAQLKWKRWKGMFSVKGLIGFFHEEEEGIFSTLKSQF DSWVGSVTYSVGKHRVDTSFMCGASAGGYAIHGFGAAYRGTWITVWMAAALEVFKLAT ATLGGKPTSGSSGTGWGWWWGPGETVGHAAHLGGLGAGVLMGLGWRWWREHRRRHRFR RTLYT XP_951552.1 MFAPAKSLVLYKDYHKDAKDLLTKNYSSAQKWKLESKFKGPKDK LILNPTVTSDGAFSTDLEYTISQCGAALKGTYATSTSNVTGTVTYHYKGHKIEGVVNK NGNYEVSHEGNFQGLLSLHEKLTKKTLEVGAGTAIGPYCSVGCGALYNLGAKGNCDWT ASCRYARCGYTAAVRTNKLNTYTTSITARVPKCPHRVVVGAEVVCGRGQPWTGVVGVE ADCVLVKGNVLKARVNKKLEWAAVYIAKLIDNWTVAVTVDKSLKPGVLITHS XP_951553.1 MFAPAKSLVLYKDYHKDAKDLLTKNYSSAQKWKLESKFKGPKDK LILNPTVTSDGAFSTDLEYTISQCGAALKGTYATSTSNVTGTVTYHYKGHKIEGVVNK NGNYEVSHEGNFQGLLSLHEKLTKKTLEVGAGTAIGPYCSVGCGALYNLGAKGNCDWT ASCRYARCGYTAAVRTNKLNTYTTSITARVPKCPHRVVVGAEVVCGRGQPWTGVVGVE ADCVLVKGNVLKARVNKKLEWAAVYIAKLIDNWTVAVTVDKSLKPGVLITHS XP_951554.1 MLVCMCRTLLFTHLFYALAHKDSRLSFFLCLPTHFLFPPCTWIP LVKAVSGVNRRGRIVLKTMSGQPHYERYQRQCTAAAGSSPAPLSLPSEPHIEGPYSGD FGNPSEEALAAVRRAIGVVDSLATLCASSPCVGGEVNVCNDQVAEKVACLQIILSAVV SVADSQWVDLESAVHRRVRFLGLHGEVTDCTTPNSPKKSSTPSGGRAPPSRNRTRGII WNDETLMEEPPTARDEGFGEAKGKDGYPAAGPETNKGRLTTNIEHSDGATSGLMSGRR IGEETGRPLTCGDEMALSKGHDTNGKVSASETVDNLKVPEAAESDTQTELVDSPPCLD VQQGGCKGQREPIHCSEELPADGTTFSLCSTAGEMALHENGRGGDRTDSSDVGRSADY SNSKNVHRYHNGEVGDLQCWWGKQREQPRGEVLNGPRLPTDKLEASLHEPSPNAAAED TVESLFRTEDGVVSISGTDFCSKEERSMHDVEEAPHSHVSVSATVTPVTPVLGKEVSS SPVTRGDLVDESEGELKAPSQLIEMVDVGCAKSPMLTGQKDDGPLNRSMLSKITALPP TTRQCAGAINADHAGLKSSTLQDSYAGAASRTSCPLAAGVSTIVTDDDIGTCGGSTLT EATGASAGPASVSKQSGESTTSHPWEAHTAPPISVGGSTQRGREGRGQSGPADDADDK SGNRGREQPPSSCSAAPLPPTYARLFPASGELTEHPFEGGTCKATNEVTAAFQPQPLL HKTEDIYAPAFSTNVAGARGSRTLTGLSGSVPNYAQETGGEEPDALGGDRASHAAPGA GEARLIPYGLLLLRQVLQEAAVHRSRRHGVSDPM XP_951555.1 MVKPRSQGPRRPAVKQADNKSVGDRSKTAVTEKMKPSRLVGGTT GAKKERREGKKVSTGKANKVAATSASTVVLKEGGKPSGPSHGTGTNSSRRGKRSPQAQ TRRAAARVASRVIESNSRITAATNRMNTAREELALFDQVTQMPAFVADPFTAIDEHLS TAMDFLQPQTPDVGRVKRE XP_951556.1 MRETVNTYTLPPRLAVRGERRCSEIVLTPSTILERLEIIFPPKR AAEEVKVARAVHNADDINKRGPIPLGTRDSARSHAFITVGRLRACCQPIPWERVQLQR VSTSIQAECGEKKVSDFNSRITGGSNGVPKIFVTPAVYNIFIPPRFLLPDSQMTRRCQ TGMARAVMEDGGFLREFGGFRRSDKGWPHRGGIIASRNKSNSTKRHSEKEKKMSNHPV WLQHVAVLHHIPGLTDPGTETLNLKDFASHIRRQQRNGELSCPHCLSHQNSAADTPFR THKGGDNFSSGADEAEREPRFASSDSVGVGVWIIRPCCESTFFALRFNTGTDHHEWLC KPHTTLWPQTEEATIGHRTSVADAARDAVAPLLWARQSLCRTLRANFFPQSQAKGALM GSLSTILYYYASGTALTASEKLVVAEQLLETTNMQPQQGSRDHPHTNHLRFLSCGASQ RKESRNSKQLQNSGEVQSSDGVLKLAEVWAPLPRSSFLLRGRRVTESPQALAEAMKYG LLRVFW XP_951557.1 MSPRTSERILALGVRRLRIRSSSTASSSCNGVVEFSTCGGAGGP SYRPLAAMAMIANIQAVAFTDSRRSVFQNKKTLPSPHGRNITPDAAETKGRALFSDSN SECFPADLDPPSASQLDRILPSLNQLRDEIPSSEYVEELVRRQGVSTDLWSNGEKNNS ADDDDE XP_951558.1 MPRKRNPSSANDKEHPLIDRVSTEGDPQLAFDLYVGTNVGHVSS PKSDAGPRFHSLLEHEGEEPAKSGAESSAMGVEPAAATGKRKGTENLLDLMEGDGDSS ANNTTSLSLHQIGNVSFTYPCVDQVSRYREMKYDGEKTVSHDFNGTEESYRQGEEQLP LNSQESVTVDGVNTFLTQDTGITVSQYVSAFASNSTSPRLSPVALGALVSATPLVSNR AASCERAGSQVSVVLSPLSRPLSLALETPSSTCISVRGSSTRSSAPPQHLSRMSNSPT TYQQHFPLTRSRDEARELTVSTFTWNSSGVLDGGKEHGTSSMHSVDSLACIQQQRSLS THARVGSGESDIFPHPSESMDSDIRVEKGRDPSLKGNLASLNRSSPRDTQPLLDDVKA PSAPPVQIMHRPPTVPNRTLKRNYEDVALSSGGEGSDDFYEDFNDFADDGEGSGDDFG EPDSRFQSHHSMQHRQRLFAPLMSRWRTSRRCASRSSYKRSKRLAGGSSAAGDGWNLA ERLEQLEQIRVTNFEALEERKQHQQNQQSAATGTGDARAEKHKPRRAPHATSGSGSST NDDCTAYSPASMSPNVEKSKRSRTAAEVDLTITPRVGNQTGRSLRSLSTPSSSRTLDN NIVKESGKVSSDGERAACEPTGELNDVNEYSIVSYCDSSNRGTTGEASPDDDRYNDDH KMLLPALGSIEPEDHLPNSGGSCRRSWGSSKGTRSAPHVLLKRTPRSTTLSYHRTTSV VASLLQKLMVQDSGKISIKLNQSHSTLGLADSKELTSPSKQNEQQKRGRRRQFARWKD RTKNLATVSRKVNNSNGAAAPVVACVKTAGRVPVPASVPLEAPTTTIVSPGAPVTYAA TPHVEKSTEKHVPRNTPVHERGKDRRRDGCHFSPSRGESGTKPSQSLTFALVGQATKV GIRLAVSGGCNGNVTTTQSATPMTARPVCGRRYRTTTCSSNFQSSSATAGVLATANKR SSGCFQTTRPRNVAPGGNVAGPSPSSGSGGAAFFQSQATGIGSRTCGKSMQLSVLSCM EQHQATTRAGPREVSPLSIRGSPSAQS XP_951559.1 MYQRTRFLWSSWRDYPLGSRDRRGRFNMDEAAAALQLNPAYAAA LYRPLNYTFHIRGQLYPAQKGRPSRPGSLAASQGRMFPLYQRNDRLDKELFRLNSRGL TTE XP_951560.1 MLKVAPSELQFGTLAVNETPAYCRFCVQNADTHESLSVKLTAAT YSSCVRFQLCDDALLVDDDDADGGGMYGSWQCSDTLGPTPKFYNAAYDSINLIELLEL HPNEAREIIAIFSPDPEVFPNVVERTAPTLVSGTIQLTSTSLPRATPARSLTWSDDVG CVTSSCAPSPLLCAGDKGCSPLFSSQPTPLSRTADGTLKSKTVTSANRGFLHAAVRSE TLTLPFSATVFLSAFTVSVSELQATMAPSRTHLMGFKITNISPLPLQLVIRMQTMPHK NVELTVCEEDQFEVSLIGRVLVLDGHASMNFTVIVRTAAVSELAGSGAIMKRFCHRTV LQCDNLRDARNSELISVNVNVVPEHLQKPLVSVADPYLDFGAVYRGTRVVREVSICNV SREDLTVRLLNPRPPHCEGVLTLVRGARSEASGGKDIKSVKNSTPVKITDKKKSSTAV VGPYDNIVPADELVMAAQKGSLQVGVMYVASTDSEYKGTANLKFELDFVITGYESGAN SSRREQRVVVRCVATLFTSTIVAPLTNINFGDCPVGQTRRVTFHIENPSLLPTTINVQ LRSKIVSIEGVPARLSETGAPETVGEFSIAPQSSLPLTLRMTPQRVNPTYCKQLTVVN VSNPAEDRLILTIEANNMQPADMELHNEFYTCESRPLCYEDGVNKTRDGASGGSSIEA DERSGGGAAPLRAIASVPLFVAYRLLSRVNYPLELQLSTTGAEIGTFCLEGMPSADWE ALAVELHSCCCFGGEDTASKLAPERAEEVRDGVMRALNNHAMSVSSVVLEPRGSTTLY ACILRNSIGGVDAVTKEDGINIGIEHVELRRFMRLSYRVCSTRFELGGQRAKHFGEVN VGERRSTKVPITNQCNSLLLLRVTKSRSVMAGYIRVDGSDRNSIYFRIRPFATKELEL TFSPGLKGSLEERIQFVNILNPGNEVVITVKATVTKAETFDVSPDSWSFGLVSVPMLQ PVTSGVATNVRGSTLVAGKGNGGAGNDDSSRQVARVGARFTVSNTSSARRALRLKLDT TVSNSSVGGGNENAHCPLERFFRFEGIDVRLQLEMERGGASSGSSRKLEEKIEKLEQK LKIYRRKNKVDKVAAAVRQIEELKRALMGEVVDINTLEPDDGDTKSRDPQQQRLQDLK SPRETPEGEDEKFAASKVRLQEHGELLSMLLRDGIALSEMNAGESIILVLGITCTRTA EHIPAAQSGILTFVLYEANDTEASRTIPVDITLVRVEGEADIGTVVGGERPLAHAGKA GGGSSTDANLPGILPPTPRSPSRPGSDNPSPMQAPPETSGGAAQLTRPGPASQCDSTS FISLELGDVVGVSSFMCFPMISLNNCVVQERCDFTFYVRTTMDTSIVVLEPFRCCGPG VVTSGSYGGCMDAMVDACGAMDDREICKGSAAVSHMATARVVGEEMSALSGPCSTLRA TVRTLDASFRFSRRRGALHSRQPLSINVQCTPSSGGPQRYFIPVANLQDEGNVTYLVV SLNPSPVDDGCLLKVAPSTLSMRDIVLPCDTALLDVQSFAVRSHVGRPHALLIRSTRP ALVRLFEDAKCSVPLKNPVRCSFSEKLKVYVQLRPSEHSRKHASRVVTAGILIEAIVS TRGLDCGHSGDPIHGGQCGYAILAHSVVRVSARIGSGELVLRESFIDMGSVAPHCHQA QTTLTVWNPSDCFEVRARLVASMPLTGIGIPEITLPPGGKVDVPITLHIPTPGLVRES ISLHNLSCKQKTLSVRLNVLRLDEAISVSVVPYEVQMQGEALLHSTAVHPGCAQDGCG VVAFPTAAVVCGEGGVFRLHNPVVSTAMHVTNNSMRSLILIARSSAPFVFGHPDTTLQ LSGVPPYHEDGFVSMYLPSPPDSYPSSLAETETETEAEAEMKPLSHSYTRGRLLLDAR HTQAVAWTLTSTPPFTLKQKQRLLRHELVTVEVAAYIYVGQVVEETTGFPFNDMMFSA VRPLPMAEQCVLVPKFLLNFAVSEGRVEPSVIDLGVVVVNKGHVGSSPLTSSTAEVPA KQTDVLCKNEVDVPKLRRQAEISTATAPRAERKDGNNLNHAISIRLVNSSFVLPLNLS VECEPTVRFASNRVTVPPGQTVVVEAVLVPKLIQSEGPFRLSVYFVNEQNPENDMVVC VTGQYYQKSFRLSWDGVVDGRKESLSMQPLRLEDISTATSAVLSQTKITMTAVEPNIE VGVRAVVNPELEGVIQLQALQYDASSTLQSVIFGQVPLLAMAGNTNPNSDSVAGVSVN SGGAPGNGGSNNGSGLTAGAVGTGSNTGGITPVGADSGGALPAVGVAGSAGGPLNAGC GCAADNPAAPSVPGRGVVVCSKDHQQFRLRCVLVKEDFPVLAGVFFGQRKHKHTADIL RERQGLTFTKLEELKSQRSAVSQTMWLGTLHFSNEVTGGDEEVQIFSSLSAFCTFKVS SKLVLRPRCSLTSGSAVDGATAVAHVGTATVAPEAMYVYEGELVVSNLCQEHVVRLSI TPLLNCGHHDGVVLQCVSCEGSKDTAGMSADDASATGNSNNFASSDIGYGDGANLADV CTKNDSRASLPVSSSAHLPELPQTNVKSPGTASQSTVIMPISPQNTVRVRVLLCIDRA RNKSSVEQGAGLALFDEAVACSFAAVRISLAPADDNDREKEQQQKQQEQLTRQPFRRA DSSGVLASGNSGSVSYKAVKDNISEGEKGVAADREDTAVGNAAPPGSNPLDVTPDVKP DRPEILSSQLTRSHTVLSLSGNCTEVRDCTGVYTSSFSFSKDSVPTTDITITNHLSDG AVEYAVAVISQGPQPWLLLPVSTAVLEPGETQPLRLNILSTDAGSFVGHVSISSGITP GKLILLKLNAEVFLPTAGEGLFEILTSNGQRLSSGMEKDIFVGRLFGDKTHRARVALE IVNRSSVPLEFPVSVGKSMRMEYISAPGEEVSSAIMRNNISDTAGGRDVDLKTGPRTA KEADEEDSKQQEQRGAYNDGDRGSGNVPGASHPRCSVRLLVCHLHSVSAMRGERYFVV DPKSRLKVAFLLVCDHLQLPGGLSASGEAEVVLTCKQARDARYVFKTYFRVCRPSFSV QREQLFTQVENYTVALAVTNLNPQESLILFRTASPVLVVQVPTEQEGEDPGCALITIA GGATAFFNVRLDISRLASLYNWSKSGNNSGNGDDTANCDVDTLLQPLSEHGVLLNVRN PSEHVRVEFCFFPSTTVQGPSASSLSAAAVAAVYPVSQSIKLSRRLNCEKRLYRFVQE FSRVLSEVSEFLLPEIQEHASLEGFLLSPTVNDGTSGGTTTGRGSGFGNNWNNGDSTH GGAVGSGGSTAGAASHESVSGAGHPGRKDSLEGREEGDEDNRVSLLEDRMPSSQRSHC WRALHGLLVDLSWLVEELVYYSIMLSNSRAIEAYGVFLATSVSGHPLLRLWRQHRKKL PPALPLAIFEQFLEAIDALPC XP_951561.1 MAKGKRVGESKGAQKRQKKVLRDNVRGITRGSIRRLARRAGVKR ISGVIYDEVRGVLKTFVESIVRDAGAYTEYSRKKTVTAAHVVFALRKRGKVLYGYD XP_951562.1 MPFAANVVQNTNDHSHTGDKGNEGDIVKVGEGVSLQSSTLDIVK SVGEGSAAGEAPGTSFRTIAAGAMGSRAKGAWEVTSTSGKPTTSSEKGDTAGFAESPG NNPNFLRSPLQRVLVPKTSSRGTNRLDGRNPLGEGAVRKDGDKSSGSCVKPSVNGDNK CDTIGSLARRAPPGLTMGRSELGSARDEWPVQSPRGVWPHPALSGKSSPNFYGSPVNT STRAPFFSPLPAAALPPSNTVPGTLKRQLYNVDTANEEMMMPNNSFASTIVTSGSRCD NRDPAFSDCGEAGIFTPKRVGRDSRSATPTRWYNTSFHGNYAGGPMRRTSTAERGKLS AANEQNGERREVQSATVSPGLGPTTVEVYDTSISSRTGNAIKEQRSLSPNVQPACFYP INWSSCPSRDNDDSNAGDRISVPGAVLVPVDSDATNRDPQEGAPTNSCQLHNHCLNRT DSEWWFKDPTIFLLNVNLIKLLELREEMERLNEQRSVDRPHSAYERRGREASLHSSHS IMLAGMLEKLESIQFQIAYSILDIKNVLLKHHFAKWSNTGVRDCVSNVVSAWDAHGPA SKEFKEASYILLDLVSAEERGSITYTNVHRMWNALGTSRTASCAATAFCFVSLLCFVV VAAAGTLPGWVCAAVASIGALLMVSVVTITSVQLHYSSVSARVWGFSHNEMLKRVEAV QQNLYDGGEGATGVPVGYSDLVAVQKAPGSSSTCFQLGKTSSQGHMLPQQDAPRDDCA RDCGPLSSRNSFYSRSQLRIPNDTTGILRKVPSMSNVKCSSGASNGAISSGRSEKQAG SLRDSSPCLRRADRQENDWWNQPAGGRWNDDSFNRCSPMERVPVGHADNPLVAGGSGR EWQEALSEQKRECDTAVRKQIGRRQSVDGDRAAANVLAVPSEFNHGEERPRQFRGAQR PQSRGVDSEVERMLRDAASKAALNSAYVAPMNIFSDEASPGSSLLLTSATGMQGNLLG TGSDGLPITAFVYCLDDVVASTLLANLWNRSIYVMQRSGLEDIDMTYKSCAVQTKVIL VHAPDVDGAHLDVVLSWMKGGERLVFFFASSSEFIPSCIPKASQLVLPLTSHDIGRLF SSSLTDEMASKSLFGLSRNLQIPSYTLGRRLGGGAFGAVFEATMDDLNGRCAVKVMCL RGNKRDNGARNNGKGVRMPEVVREIEVMRMLNHPNLVRYLFCNWDGKCVSIFMELCPG GTLSDAITNGDIQGADHIISILRDVINGVVYLHDHHITHRDLKPENILFRDGRAKVSD FGTAVQRDSGLKNTRGTLAYMAPEVLLGEPYGKACDVWSIGCIVAEALGISTNCSNKC AAQDAQHQKQQRPVTDQQFSPTNQGLAELCERYRTMDERETRVFDCDDPTVCDFLQHC LHRNPEKRPSPKELLEHPLLNARCGSAVWEWVESVIARQRAMPLRRRTSTVILTSVAV NKGAGIGRDDDTDGADGMGGGEGGGLHTTYISRVASMSAASLQSISEEGQNSASWGTS FGDQGVV XP_951563.1 MPVIVVNLVFNPPEVSLIGKGLSTSIMNRLESELIVRTTTSRPV TASMGTEGPLFVQNPNGCPAGAEVWRLTIPNHYCDDLAKCAFFGSVISVMEGDGWSLV CSSATTTSGDGKEISRFFFSRRA XP_951564.1 MFTSSGCSGTCSGPTNARRLYFMLRVCAALLWVPHCAASWWVAS TNQEVLHVLIFHVLAIALTYYLAVYKSCGDYLLIVSWAIGVLSRSTWVTPKAGGSVVI HHNAAPSVLHLSTQNNNFSAADGSRDVNGDGDVNGLMAAVSALSSTAEGSRLLWLGVS MLNGIIGGALCKLKDLDEDRYYPTLDLGARLYVVVPFCTGQWFYFLLRNGWRGILLEN LTIIIVLAIVGYTVLTYKLLKKHTPLAHGDVIGCFCALLTTSFGVVFAAVLVGNIFFT VLVIPFLKSLFSLNALVSSLGIVMEIIVYEII XP_951565.1 MVHFTVYYGNNEAITFNAECSLGSLLCNMRERLMPPGASYPCFE LLPLSFVLEQFRPPKVANSAAASATQHHDGGGDSPVVTGRGAPFTGTFPFMGVPTRPL ETRADTFLGGPTSGSPTPSSAASASNGFAGLLNPTALSSLHESYVLLGCRSDPPAWQH SERGGQQNSKRGATVSIADGPPDSRRVPIEVFKRLGTADATTMEQRQTTLQHAQLIAA LPLQGGNSATRAPTPPPSHLQTSADTPLILAQNAGIYAGDITVASWRKAFSQYLSTIL EVPSSGSVMEERLTVTDSCVEVEETMKGSEEGKCGIKLPPAAAGAFMTPVPLVTGYDV LWCGLRGEHVRLQEALDERLFADVDTKRKRSKKN XP_951566.1 MSEEHLAFMRSMGITTEDILDPPAHVGSKSLERSGSSGPSLLDN YLDADMCKTTKAVILPANGSQCKKDSFLKKVFAQNDGPKEAVAKRAPPEQLKDEGNKA FEEGRYRDALLSYSNGIDALLESDTFGLKEYKSRNVHNQPHVGYGAGAGHSSNSRRDD PKLILLAALFSNRSACYLQAAKQIGTEEAFECALRDADRAVELRPVWFKGYSRQGDVL FKMKKYSQAVEAYAMALQFDPGNNNLLYSLREARQRCCSKVQEDIRLSKQPGGGSPAA APPPPPLYDTTKGNGGCGASNVREGSMGRRAGGEQLKLNARKLWSELKHEVEASVNQP TGDNYRLEQLRLYREQKEREKNGCSSSGSRDGLHHNHNGYDNVPRRNVSSGDTSTFCG KASSTKLRLGEIPQEFSSDAAAAYQQRLLEDFRRRKAR XP_951567.1 MSEEELLYYTCIKNTLHAALCIGNYPSRTVERHNKPEVEVSGCA EGDNEGKSPELLLNRLHISRSEQERCLIEASINSVRISFAFPKMDPLAELIARKYVSF LAQRGQQFRILRKKPIAGYDISFLITHEEVEVIPRSKIIEFVITFLMEMDKDITLLKI NANERARRAARQFFQALSTN XP_951568.1 MVSTRRIAEYKSTATCNCLLSGGISGGWGQFDVVVGCYELSEGS DSSSSHQHQHQNGESNIHVGSLTGLMCERREANQNDTNFVLRERTDGAFKLPSASSLP GIFDLTYSNNNNCLMASCTDGALWVLELRDAAVHESVFPVFDTMLTSCSPFNSETGDQ NKWFCTAHKGDVALYDAGMKRIIRRLDGHEYDAWCSATTGAETGVSGGDDGLLRWHDV RMGGKSTVAKMQFDAGVVSISPISTCGTSATTYSLVGSYDEHLYLVDLRSAKRPLSSV HLGGGVWRCSRQLLEEKLTPEAKKAMNYYRWVQECNALAIPVMQNGVALVRYDITSNA EGNFTLLGHLQSSAGSAASDEELPNNALFYDCAVLPGNICSDAVTGDVIGRTIAACDF YNRTVSLWNINDV XP_951569.1 MAAHISEHMSKRGPRESLLILSLGVLFFHLLSSYVEETVFYLPG FHHTGLLSFLQVFIMAVVSYVRLCRTLPMEERGSARVGLWRRLLNSRKVPLRTYVIIS FLYISSAYLTNEGSRLLSYSTQVVLKSAKLLVVWPVRLIVIELPLRWGDATTSEGVSR NGDVVCVADVVNEKECESTCVLVSAEECCDTPSDPAASVAQSSDQSSPTSNDVFSTPI NAPHDGLDMTVNSTAACVANSTQHSREGLWSILKESFPCFVIVFGVVLFMRAANASTT AAVEAVGDKMENHEHRVREICGVVAIIVALLCDAGVCVAEEKYCFMAHGASNEEVMFY IFSISSCNGFISLLLSGRLADCLHFMQGQPYFFPLVLLASICNYCGAYFIVSITSSYG SSTSTMVTSVRKVTTVLFSYAVYLRPIGAAHVVGLLLVTCGVWQFERIRRRNDERA XP_951570.1 MTVATAPTPPDLSKPPADKLEIHTQTTGNDGGSSSGAAKEVFVT PEEVERQVKLGLLLVQLGAAKENQIFGPRGLMTPPRDLLVINRYEDSKEARRPFKWYD PISWFLSGPKPSPMFWSPSPFRNEEQERAVTTSPTAASGTAAATQTPEIGPATSLAPA VKKIRAIPPVDMRPLGDDVHLEAAVRLDREKLLKDEETLHKMLNGVEDTRHAYLVPAQ ELQCEDEVLAVVQCYEKHVSAIQAASGSGMSNSGKILSEGAALELPVIADVLQCGPVV SLLRRCAEGVVGAYSQKDVAVQL XP_951571.1 MTLSLHAFPFSTLGARFFFPFLCLVMRASSANARLVQRHERQKA QSAAKASSARFNAKQSRFQLRQEEAQVRNEIQKEPLPISSAVTAPNRDQTSTFSRFDR PPARASTAMVATTNTTTTTTATFGLAPVRRTTNANAMGHVGTRTSRRAARFRLEDAEG THSSAVGDNNMPEVGGLLGTVRRPRQAEVDDDASGDAGRKKTRVEKFQEVMANSKEHR AHLQREREQRSVQTKAIDQEFNNVLHLLERRDKVKEDREAFNAAGTPEVRALLQSFRT NHVAKVLSLRSDGSYTVAPLSAPDEKAANVSTTTATAATSVTAEGTKQETTSFLDSAD MELLRKVRSGVAEREVVPGAKESDIAMGSARPSRSNVVDEEANAEADEFDRMMQSMRL ETRRAIAGDRTLTEEEQKQKLLEQELLEADRGAMPTISHNREQLTRAELLSRGGDFPE MGDDDGSVPEGELEDNLDISTAYDSDGASQRSDDDGTGNYDGKEAYEGDDVHQELGVT GAGAGGLGALEILLSEVETLSRSGNGTRSARAARGEAYHALLIRLYRYAQSHVLHTAQ TFRALLIEAQRSFLRHGSLDRATLLLLHTTSRIFPMTDYRHAVTTPFFIFLCSTLLQM KLKTVAQARDYAVLAGLLCSCMLQGGKFCAEAIIAPLNLIALQVPRSVLEPARLQGIC VPFPLVGRGDTEVALLLTNEKESLSAPTAHDGDDNVKEGDGTAEAKAANNEEDVDEPL LEGTLAVLETDVSAERIVRYAYRLLSVQADALRNVPAFEYCLAAPFRALHERLTAAAI TSTAKRGKGKSSSDSKVMACWKPSPLVQADHEALLEKLTQFTSDARNRRTPLAMRNFR PRPIRQFDPLLHEREDIGGDANMSTSVLKSEVRQMKRELREDRKRVVRHLQAEANVER RQRERAAAEVEAQRERKYRELMGSLQAQQHIMKTVDGLQAKARSKKRRSISGLPGKAE DNTDGGGA XP_951572.1 MSSEHAPVVASRKTSFRATAAGIAGVMEIGLFHPFDTVAKRLMS HHGPILCRPISASFCTVNEIIFRAKAHASAWEKLLYLYPGSTYAVGYKVLQRVYKLAG QPIVRDHLKCHYDRGFDNVFGRHSRLAMEAVAGSIVGGGEVLLLPLDRLKVLSQTNES ALGRGVVELVRREGVRGMYAGTLVTAMRNVPGTFCLFGGAAFTKESIFRLEDFSKASF FQNMCASGVGACLAIAVTNPMDVIKTRVQNKDPGSTLTGLVVFRKLLREEGLTALAKG ITPKIIASAPKLVFAYSMTEFLLQLLEGEKRGVVRH XP_951573.1 MSRRAEALGALNPQQQQQIIHDEHPRMIGVQNELLETSVSEQLS PLAGFLVRTLNRCGPLTLKALAEAVRQEPFGNPLRSSKGGGASVSGGSVNEAVKQVSS PIPLPVTAPSDIVTDAAIKEIMTRLLLHRVVQHDPSSSTYSVALGYGLFLRTLFPVVV QFFQRNFGETGVTILMVFYQLAVVPWEAALRLATERRPALGEALRHCAREMEKLGLIE FLPDKLPGQAATASPSNAGSGTRVEGPGETVAADACLQELCRLHVPNILFEMLRDAIH RHLLAERFADGSGSNGGGSVASAIVEAFTASARCRRFSERVSGFPAARPPSSASMPLR ALLREVPHPEPTVTEVLNRMCRAEGDNIMSCGGGAGVDALEGPYRFRYDAAVEAMQRD CCERMVFARHGVLGVRLIKLLLQHHHMEDRMLAEEAIATLPRTREVLHAMMRDGYVRQ QEVPKTSTLTDRLPKNSIFLWGCNMESDLLPTVRLQVAMALRLTITRLAMERNNGVGP GTAMPTPMNIVASATATINGDVHPTGGSYESKHAVAALESSATALMDMMLVLDFY XP_951574.1 MNYTAARKLQERRQRREEKRRALSLVYAQLQAIIEAGRGSKDDE DEEASEAPSVSMQQNNIVLTATTNVTGGLGRPTASAMRRYTNKDRRLPTIFQEQRQTT HNIDLLCTELKGQISKMEGEFGRRRRALQGSPLGDVRNRLVSRSRTPLTTTQLQKYKG RSDSPQDGDVSGGEGNDGNSGGVETGPRRGDIERVRVAAADEGLRDDQSVSDYAAEPD IPMYSPGRTLRDEVEAMDDSIKKVSQCMASMMLLEEMDSGNPWWESAMLVREMKACNI RANYHVRCVLKWIVRAQEHFLLEAVSKNSQSARCSEEMVYMREQVGLFSQRIEQSRKL LTEVEAEIANLKSSLNEATVMRNELLEQLLKEACESNMLPPGSTNLQSAELVMLLEYV MWPVSLLSDVERVKAWVQSQDTQLDV XP_951575.1 MSDASVNDVARVESAGANRLQEIAQFDEVRQDNLNFEYYERQFQ EVLMSLENDEVLAAFRTEYASLYHSFLKSHDGESRLLKKCIELQADIDTCSSKLVTAD ELSRGDKNTIDTLRIEIEKTRKKIDVTKEREAALKDKVAALRRELRDLEEKSHLQVEL TSQKAAMQSLQRTLETVTKERELQALQLSSLRQDYSLLEKRMERLREVKDSGDMELQK MRSAIDEKQEEADVHKALRLRKEEELRELRQDITQRAASVQERQTAIEKLTEESSQHN VDTKRTLDEINRLSQVYQQLSQQLQHVNQTSQVCNEENDTLQRRVNDLLEALKAAEVE LKSVNRVHKRELKVLEAARRRNAVATNRRAEAEANLSALQMELRMREDQLAAALVAIE AEEKHIALLLNQRNSLHRDILNTVSRKQQQIIFLDERRSTLHHLEYELHAFVEHAQQQ NEKIFKLSRECDSYENVIQTDAVHCANIMCEVQLRESQLEELNQNLKDVDVRLQQQQG LLEAMVRERSVYSKHYIQLCSSVAEISQGFKSVLMQIKQIQEEIQRRERRRRVEDAVI EKLSIQQKNIAGRIARLQRLTEKRAHSVRQFNYEVNRLGEIAVQGEEEVLRQRRRCHA VQKERDTLEYQVVQRDSELLDLYKKLQVQRTVLDRGSEIYQGRLQTIQHLQQQIGQVS GELARLRKFASRLPELRVKVNTAARDLRREQLRVEALMQECVRPMNIHPNHQLSWSEP EVYALTEKVNHLQRELVTRHAELAEKEDLIRSREQSYLKYKAEVARQVGPEMAEQIAV YQGNLAKKTGQMRAMMQSLKYFREQTEMYQERYNELHATLDRLAEEYIESRQRSGYNT TQLSTRDGGRRSNCEDADATSAEDVYKGFVAPPRSTPSEEAPRRLTGSASTTEGVGTD ALQPQHSSPALPEAEIQKVVVEEVVEEFGGADVASAEDVYKGFVASPHSTPSEEAPRQ LTGSASTTEGVGTDALQPQHSSPAPPEAEDGEAEIQKAVVEEVVEEFGDEEDQTPGEE GK XP_951576.1 MRKLGSGGRGVWANSLTTKRTVQVGGYSAVSLLPSTAPLCNGLS TLFGVQRRFQSSVTPWGGQQGGGSGSNSNSNTQQQQGRAWVNPQAVPPGEFLNKYARN LTEEARMGRLDPIIGREEIIRRTIQVLSRRTKNNPVLIGEPGVGKTAIVEGLAQRIVS GEVPESIKDKKVFSLDMGSLVAGAKFRGEFEERLKGVLKDTIESQGKVILFIDELHTL VGAGASGDGSMDAANLLKPSLARGELHCVGATTLDEYKRHIEKDAALARRFQSVLVTE PTVEETISVLRGIKEKYEAHHGCLIKDEALVYAAVNSHRYLSERRLPDKAIDLIDEAA SRLRLQQESKPEQLDSVGRELVRLKIEAEAVKKDKDELGKAKLTQLYNRIEERQKEYD ALEERWKKEKAMFDRIKKRTEDLDVLRHHYEQARTEGDFAKASEILHGRIPALKKEID ADKQATRTENFLTHDSVTADDIAQVIARATGIPVAQLMTGEREKLITMDKELRQRVLG QDEAIATITNVVRISRAGLHAHKRPLGSFLFLGPTGVGKTEICKQLARFLFDDESFIC RIDMSEYMERHAVHRLIGAPPGYVGYEEGGELTESVRRRPYQIVLFDEFEKAHPSVSN ILLQVLDEGHLTDSHGRRVDFKNTIIILTSNIGADAIAALPEGCPSSKAMPSVMQQVR QRMTPEFINRLDDIVMFNRLGKAETRKIVDLLFAHVQSMLDDQEIKLEVSSDVFEWFG TNGYSPVYGARPLKRLVQSELLNRLAIMLLDGRIREKETVKVEMRDGEVFVHQNHDAV RPVDAADLGPQLTE XP_951577.1 MLPKQQMGGSVCNASIETVNTEATDPSEAKKIVLNAGRSEKVNV YVPPSTLMVRDIQEQIPAEYFQRSMWRSFSYLSRDMFQLFLTFVIMYNFVLPMLDSSL LNAVPPVAWLSRAAAWMIYWFIQGLNGTALWVLAHECGHQAFCNSRRVNNAVGMILHS ALLVPYHSWRLTHGTHHKHTNHLTKDLVFVPVQRSAVGEAVEEAPIVMLWNMALMFLF GWPMHLLVNVGGQKFDRFTSHFDPNAPFFRRADYNNVMVSNMGVLLTLSILGACSWSF GFAVVVRWYLIPYLWVNFWLVYITYMQHSDVRLPHYTHDHWTYVRGAVAAVDRDFGPL LNSWLHHINDSHVVHHLFSQMPHYNAIEVTRKHIRDILGDLYVTDAKPLLKSLVHTWR ECRYVVPSEGICIYRS XP_951578.1 MREGDRTTRICVTLTPKVFSVFFCTFARVCPSFFFFFVFNFVSC FCGCEILGERRKKRNRKRKRKGGEETKWCVCVCVIIAVSFNSFFCVLSFFLSFFFCFF FQFSLSPFFLFCFIFHSVHYSNDSGMGEGRVKALLFIIIFFPFPFPFPLLLLLLLLLL LLSFGGVVVLLFLPYCGWSKV XP_951579.1 MSSRCSSRGSSCQIVEDVTVVRRRRVSLSSTDSEPFVKRVRTEA SPTGASVYEADDADSISSGDGRCGGNRACPPPFVGLNDTAEPPEEAVNLYGKNGEPLY EIPLECISGVHVAVVTALFPHRPGSRVSTSTSTCGAAVAATASTGVKFHSCHGISNTT EGNIYHNSSDKNYSNNNNNNNINSNNNNNNRITNKDIESSVTTVSSGNSNNSWCMVVA DSVPGIFVLDCGTRVCLPDGAVVGVVTAVMGPVNACVYAILCNGDVFSRLHASGRLEA GTGLHYDLGAQHIISNPAEQCDTTRGTDASYVNDEELPFNARPDFSDDEEERRWKMER RAKKAEGHFPPSVSDDDLSEDERVEVDWVKLAEVEDYLQGIRDAPAVHNNSFCAGNAV GNGFCPVSVRVGVEGKPPEVKQVSNTVRVVVPSWIAR XP_951580.1 MFQRRLVLCSRLVFAASDCCLNRATSFQPFSQRDEEDLIRVVKT KPRHVLKHVRQQVWRSRKRELHFRNTVTHLMIVLQEFLRKQMIDPANASQIMEGIMEE CVKYSQHDMAHLLFRAFLRFRKYGCVITVDALRHLFESYKENDSSDLMLQLANEMRGD PALRALCVAAYLFANHLEEAETLQEGLAFSDLTRDDIIALIEGYDKLNKTEKIMDVLK NVTEITKNDGGAKSVRLEPDDLDAIFRSFFRVFHRRDDDTAFTEVFQAAFRGSVKLDS QTFAIILRQRMRHVTCMEEITAVEQELQEFGYTPDVTGNSILISAYARLVHFGDRGSE ELMLAKVDTLLSSIESRLRQGDPDMDVSAAHIRAVIRGYGAAGRPESIKSAWARMQCK GLSDDIRVYNELFKWFALMGSVKDVMGLKEEMDAAGLHADSQTYTWILRALGKYYPRH VEKLYDEMTTRHVRPDTQLYTTLIGIFGDVGDMARVEAITQEMMRREEAGTLQLTPVT FAVLIRVHAADLAKAEEIYRESKKRAMNDHPHVQTSMLHVYANHGEGSEGLDSFLKEI PTWTTDVYNVLLNKYGKACDREKVEELVRQMKEKDVAMNDVTFGTLITAYARWGNGEK VREMIQLLKEHEGEVSATFYSVLASSLSRMGDMEGVSNAWDDLIASKLFPDTEVYNQF LLLYSRQHNAGKMQAVLNNMMKHVPPNPVTATTILDMLGKSGRVAEMESLFEDMKSSA DTMPTAVTYHQMMNTYAKTGDIVKMEKLHAEYVEKGHNNNAVTYNILADGYGRARRLE QMEEVFQQRRKAEVPMDDLGYCIMVAAYGRLRVKKEVLRLYADVSSTANSHLFTRKVT WAFIDAFCRCSAPEEMEKCVEVLKKSNEGNVLSGGDILALIPYYCRLGDMNRVEKLRL QAEELKAEMSYSALNAMARGYAKNGQFEKTVETLHLLRDRNWVPDASTALFLSGAFLK AGLHEQAQQIVQWRRQYAKHAGEEMAAGADV XP_951581.1 MEIPINVSESVLVDDDEEQCLKNLSWGARLRWYLLCTALGFFCS AMGYVALSFGVYWKYSVLNTLGSLISLGGSFILKGPRAQLRYMFDDYRRAASAVYITS LILSLVVAIYFKSFFLCLLCGIVQYVALIWYSLSFVPYGQEAVASCIRRVTGL XP_951582.1 MMKYLLVFAIIATRIPALLVIGSEDNRVPGDKKLTKEGAAALCK MKHLADKVAEERSQELKDRTQNFAGYIEFELYRIDYWLEKLNGPKGRKDGYAKLSDSD IEKVKEIFNKAKDGIAKQLPEAKKAGEEAEKLHTEVKKAAANARGQDLDEYRQKSTGL YRVLNWYCITKEERHNATPNCDGIQFRKHYLSVNRSAIDCSSTGYEENYDWSANALQV ALNSWENVKPKKLESAGSDMNCNIGQSSESHPCTMTEEWQTPYKETVEKLRELEDAYQ RGKKAHDAMLGYANTAYAVNTKVEQEKPLTEVIAAAKDAGKKGAKIIIPAAAPATPTN STKNEDSASTEHVDRGIATNETQVEVGIDADFDSLLDATEAAEVTRRHQRTAMIILAV LVPAIILVVTAVAFFIMVKRRRNSSKDVDTGKAEGGVSSVKVVM XP_951583.1 MMKYLLVFAIIATRISVLLATNGGDKHVKANKKLTKEGAAALCK MKHLADKVAEERSQELKDRTQNFAGYIEFELYRIDYWLEKLNGPKGRKDGYAKLSDSD IEKVKEIFNKAKDGITKQLPEAKKAGEEAEKLHTEVKKAAKNARGVRLSEGTNSSGLY RILDWYCFKEGENAGKSDNCDGVKFSVHYETHRRRNVIDCSSTGYEENYDWSANALQV ALNSWENVKPKKLESAGSDMNCNIGQSSESHPCTMTEEWQTPYKETVEKLRELEDAYQ RGKKAHDAMLGYANTAYAVNTKVEQEKPLTEVIAAAKEAGKKGAKIIIPAAAPATPTN STKNEDSASTEHVDRGIATNETQVEVGIDADFDSLLDATEAAEVTRRHQRTAMIILAV LVPAIILVVTAFAFFIMVKRRRNSSKDVDTGKAEGGVSSVKVVM XP_951584.1 MMKYLLVFAIIATRIPVLLATNGGDKHVKANKKLTKEGAAALCK MKHLADKVAEERSQELKDRTQNFAGYIEFELYRIDYWLEKLNGPKGRKDGYAKLSDSD IEKVKEIFNKAKDGIAKQLPEAKKAGEEAEKLHTEVKKAAKNARGVRLSEGTNSSGLY RILDWYCFKEGENAGKSDNCDGVKFSVHYETHRRRNVIDCSSTGYEENYDWSANALQV ALNSWENVKPKKVESAGSDMNCNIGQSSESHPCTMTEEWQTPYKETVEKLRELEDAYQ RGKKAHDAMLGYANTAYAVNTKVEQEKPLTEVIAAAKDAGKKGAKIIIPAAAPATPTN STKNEDSASTEHVDRGIATNETQVEVGIDADFDSLLDATEAAEVTRRHQRTAMIILAV LVPAIILVVTAVAFFIMVKRRRNSSKDVDTGKAEGGVSSVKVVM XP_951585.1 MMKYLLVFAIIATRIPVLLATNGGDKHVKANKKLTKEGAAALCK MKHLADKVAEERSQELKDRTQNFAGYIEFELYRIDYWLEKLNGPKGRKDGYAKLSDSD IEKVKEIFNKAKDGIAKQLPEAKKAGEEAEKLHTEVKKAAKNARGVRLSEGTNSSGLY RILDWYCFKEGENAGKSDNCDGVKFSVHYETHRRRNVIDCSSTGYEENYDWSANALQV ALNSWENVKPKKVESAGSDMNCNIGQSSESHPCTMTEEWQTPYKETVEKLRELEDAYQ RGKKAHDAMLGYANTAYAVNTKVEQEKPLTEVIAAAKEAGKKGAKIIIPAAAPATPTN STKNEDSASTEHVDRGIATNETQVEVGIDADFDSLLDATEAAEVTRRHQRTAMIILAV LVPAIILVVTAVAFFIMVKRRRNSSKDVDTGKAEGGVSSVKVVM XP_951586.1 MMKYLLVFAIIATRIPVLLATNGGDKHVKANKKLTKEGAAALCK MKHLADKVAEERSQELKDRTQIFAGYIEFELYRIDYWLEKLNGPKGRKDGYAKLSDSD IEKVKEIFNKAKDGIAKQLPEAKKAGEEAEKLHTEVKKAAANARGVRLSEGTNSSGLY RILDWYCFKEGENAGKSDNCDGVKFSVHYETHRRRNVIDCSSTGYEENYDWSANALQV ALNSWENVKPKKLESAGSDMNCNIGQSSESHPCTMTEEWQTPYKETVEKLRELEDAYQ RGKKAHDAMLGYANTAYAVNTKVEQEKPLTEVIAAAKEAGKKGAKIIIPAAAPATPTN STKNEDSASTEHVDRGIATNETQVEVGIDADFDSLLDATEAAEVTRRHQRTAMIILAV LVPAIILVVTAVAFFIMVKRRRNSSQDVDTGKAEGGVSSVKVVM XP_951587.1 MMKYLLVFAIITTRIPVLLVIGSEDNRVPGDKKLTKEGAAALCK MKHLADKVAEKGAEDLKKKTQNFAGFIEFEQEKVDNWLEKLRNRKQYSDGYAKLSDSD VEKVKEIFDKAKDGITKQLPEAEKEAREAERLYDEVKKAAQDARGQDLDDDTAKSTGL YRVLNWYCITKDNNKDITHNCDDGIKFRDHYLSVNRSAIDCSSTGYKEDYDWSANALQ SALNDWENVKPKEVKPESGGNDVCKKDESSESHPCTMTGGWQTHYKDSILKLKELEDA HKRGKAAHDAMLGYANTAHAANTKVEQEKPLAEVITAAKDAGKKGAKIIIPAAAPATS TDSTKSEDSAPAEHVDRGIATNETQVEVGIDADFDSLLEAAEAAEVKSRHQRTAMIIL AVLVPAIILAAAVAFFIMVKRRRNSSQDVDTGKAEGGVSSVKVVM XP_951588.1 MWSRLLGHGVFVLPGDMAPFFVRLHLDSYFTLCFRFPCNAKARG HYVRCRRGRVAGHIRVHMTGAVPLSLERPTRLASSQKSVALGRSPEFAREGNAVQFLH RDEAGCLRSLCWLTDVGVQSRPSREVFRAALTSLDREILPLVRAPKCNAVETSGSQIV TTP XP_951589.1 MSENPAQSYPSGGRETEMAPVVGEVDISKPGQGYPQPPKSTYVP PGQGFGDGASGAPGSENEWETGMITAPCKDCCFCLGSCAGTIFCAYAQREALLLSDFQ QYTCFGGMCGQCSCCVCHGFEKCCMGTEVFCCPWCAVFANRFMVLQHYGLQESLVNTV VIAAACFLPLLLLLWDPRFVPGAWLALQEMILGCLLTQQQHQMRVQGYPRRVEMV XP_951590.1 MVSKGLQMVGSTRRKRIVLVVFLVFAVLFIAWINKQPKRKELTF QQRRQQMHLTEVDNDEYLTLVPEKTIKIWESSKYLVAAGIPSIDNKERFRRRGLQRST CWTYGGVATRRNDFAGDLIPLYLLSPHERNDFILSDSVRAEAQKNHDIIVLPTFDVPS TNGKVIGELQSWGNEVELVMSKKTYLWLKFASNFFGTATYIMKADDDLFIRVPYYLSS LKLMPKYRLYMGWYGLTPEVFVDRWVPFIAGYCVTLSRDVADGVVNYSPLERLVNTPY SESNIEDFREMAMFNEDVMVAVTLGEKVGYSDLVTADIGRCHYISHLRRRLSKVVTEK TMVVHHIKEKDYGYLMKLFPNNSETPPPVVLQWKDKNFARGKC XP_951591.1 MHVGDGTQHHEEKKKQNHSGAQTGSEAGNKWPHGEKESRGVDEA TPSCARSARLSGYSQPLEERLFGSNALMETPNDFFTANRRSNEDDCVRIDDSLVYSSV HRSGQSFCDYHRMGNYRVERYSHSEDHRSLETQFDQNRSEPADFIAGLYRNSGGPLSL YSSRLDIALNSMSEQPPIADRPTASHDLSPVSIPDRADRKGMACASPNDLTLRVNTSR VWSSGTDFAQASLHSVLTNTPGEALDMPVFPSFSELGSPYRNTASVDEMQQAQKLQPT LSGIVMYDGQLRGRKPLYQAYGFQSNPDGDRHDPGLHHSSSVVFILYGILLASVINVL FLVFNDLHHEENKLCNAEGRCPCLLTDVLKYVVTYGLHVYVPFALFPTALFFLTINSI TEDRERKRRLARMHCYVTSDDISQHAHDNVAGDDFEVSEPYGTRNESAPTRALRWDLP PALSTLASNIIFWVVVLIRFGSFSVLDTRVVRPDKLAFIYDAGLVLALSAPLAAVAKY HGCFRLVVPFMLLDAFPLLSPPIIAPIKVDKGMEHIFHPLLLVVIERMLWYLSAAVMP ETTPVGVKITLSSSFAAIYTLFVLTSAVSLPYDWWLVVSTVMAVEIFIFEMLFNTLLL EFTALRLFTVAVACVKRQPPQVFSIKVSDPVNISTQVRWPSLALALCGVSPVLWLPRW DRVLPQSCCDGLIARELVPFVVPFILAVTAFTLSYLLTAMIRMRYDRLRAPLIARDWF LALMWGWYVTCTVPFALSALM XP_951592.1 MASVVAFLFPLIVHQPTPFHVFVSLFFFFFNGLLGSTQAVAAVA LVWKRMSATPQLGGETDTSGDVRSRVGSQLLLRKFPLLAKARAYAQETPDDPRAECNL LCYGSDVYRIYSLTSAEMDGSGVIFSNPQKRQRTDDEPSNGDGGALLRSIDDRVALPT QLGFLTLLENELRRSETALRSAAQKSKTPEKETTDDNEKGKKAKNRDGTVSHSQEGGE GKTTQNDSGVERGFPHHKEEDRLILEAIRKPLDGGMRSAGAHNNGSCGSEKAPGGDQN SSRGVAGSGKVEERLQWEIMAESIMSRSEVVAGTEGTGGDAVRSYLRRVAWIEQQKGR QSLTNSAAETAPHGEQLWSNMTEAARVQKEIMRMRAQRKQFHRERKKGE XP_951593.1 MVSRDSRYIHRRWYLEDATLTIQQPKLELLVDFDDPSSFAFPAN LPPTLGMRRAAAVATATGGLSGVMGLGSEGNLLADWREIGIPPFTEE XP_951594.1 MAARKAGTARPLLQREGEARNFDSYKLYAGASFAVGVVIILCLM KVPRAENSKDTVSTNTGSLSVGCQQNCSAPFGNVLGIYNGVPAMSNCNSDSCTAELWN TVKVEDIRIPAGRVDPHAVPPIYGMQWQCVEYARRYWMLRGTPQPATFGSVDGAADIW DLKDVQLLNGQKRKPLLKYHNGNATSANSKPRVGDLLIYPRQPNGFPYGHVAVVAGVT GDRMFVAEQNWENTAWPGPYHNYSRVLNLSCNPNGTACTVREKDNVTVQGWVRYE XP_951595.1 MEREPAVTSKTNRLSSNEKDPHDVPETNDGAINGSFNNRKRGIK RLCSIAQAIFAWTFGVLVLLFISFIAFGVLYSGIRYPEGSGKVEKHCLNPVGAILGAH EGVFSYSNCGATENTTTYNNVTVAGTSYQSGLKWQCVEYARRYWMLRGTPQPATFGSV DGAADIWDLKDVQLLNGQKRKPLLKYHNGNATSANSKPRVGDLLIYPRQPNGFPCGHV AVVAGVTGDRMFVAEQNWENAAWPGPYHNYSRVLNLSCNPNGTACTVREKDNVTVQGW VRYE XP_951596.1 MIPSFAPGTLVWLKQDRFPWWPGFVMDPDEVRDITLPEGSDVWV CCLPRDSLTLSAANSEDEGQIRYFLPDRDEGMMEEGKLDASCAVAIEEAIQLYEEQLK AQAGGTNERRVRGVKTEFADGGEQKAVEAPQRRKLSLRRGASKHVEERRKEDEGRHHI SRKETKSEESGQRHRKKRKIKTLRDFGGDSSESELAGGSSDDEYGKRTERKRGREDGR DLPNATYDTVGREGSAASADDDVAYVVDMLRKSRHDVTNATLETTGKELTETYMECLN GDVVEVSIANEERIVSLLSSLASANVTLKQLIGTKIGVAVGQFLSDGFPPHIVRFSKG ILDYWFRQLPEEVQKQLLAKRALGTTPVGEVHEDKEETEKVVDGAEQ XP_951597.1 MLQERVFHINDRVWLKTGANTWWPAKVTSVTGVEGVDGRSSETG TSTVTVLTYPGTQNKATYKNVDSHSSAITFFEPSSEKAVTANEDLLQAIRNAEEDKES NALRFEPTHSKNTAPRGTSQRAFSTSGRAGSLNNATQQTAGGKRSREVSRMRQRSTGS HLSPASDDALVQWKKDIDEATDNCDGALLTSTLLKLASVSVTLRQLLRTKIGVSVSRA LSKKDLEEQRSLATCIISAWTAKLPEETVRAIEEYNKYEQEAKKRGGTARSGGARHNK RRGTISSSQTREERDVATPSTSESVGNPITIGGGAQPQFVDRVQKLLLQPGDPHSFSV RSDDLRSVAEKICAEVTRSEDRMYLLEHLSKPGLSEIRRRLAMGELSGKDFLELSRWE LMTQEEKEDAERRTTEKLRNLEETERSLLHTTSLFECPECHGRECEWRELQIRSADEP TTKFIKCIKCKHNWSEN XP_951598.1 MSSTKCAVACKIMTPLCNAASKVQARSAKKLAALTDAGIQKTIS EHNANGTDAAVSSTKRYLAEQRQLFHYRVVRFFDECHYITSGEYFAQYTKVNLIWDLR FLTKLVVLFLIGTVLGRQSIFPPIDPDSPLVEALVTKVNPNY XP_951599.1 MADGSHDREAALFLVLSEPEAMTVGQWLKEVAEKHLMPPVVHAM LYNVSEACGKSSSNNNNSNSNGRAASGGSEGNGCFQNALTRVLNEFHHSGKHGVPMTS MRVLVVALFWDGQSESAKAEFARLRELADETNKQASDPQVNADKANNSGSDGKQKLPS LIGSNVHIVLQALNAKKSNEKLVETLDAVVCSLRKKLQSACGPSEHVDPTRIDAAASR RVDKVTVTQKEKGSQNGTGDGGSGNNVIGVEDLSDSAVAGRVEEGQGECFIVISGTTM EEFQKRVWELKASAARIGVGCSPVLAEPREVQTSNSGTKTKVFAQEFLVRQGASVEQH IEMRIAMCGNVDSGKSTLTSVLTRGCRDNGRGSARAFVFKHKHEAATGRTSSVSENHL GFSEAGEVVNYVVAGAKHSLGGVSLGEETPNDGGGGGGGGDGPIGNKPVTINSHQLGQ EVAAKSSKVLTLYDLAGHERYLKTTVLGMTRNIPDYACVVISANNGIQRMTKEHVALC LALKIPFFVVITRIDSTPENIRQETLASVHKLLKVPTVRKLPYPVRRVDDVVLSAKNL RNDRITPIFEISNVSGEGLQSLVRFLNLLPMRKDWRNARQLPREMVIDSTFFVAGVGT VVGGIVTQGVFNVNDAVLLGPDASGGFRTVQIKSIHVKGVEQQRAVAGCDASFCLKKE KRRGIRKGNILTDPKHPVEAYWQFEADVVILYHSTTILVNYEPVIHSTTVRQSARIVF VEKEVLRTGDRSLVRFHFLYRPEFMKVGQQLIFREGRTKGIGTVTNLIGPREGSLLAK RLKHKET XP_951600.1 MDNGCDVDLSTAQKFFKSAASVLEVADSRRREQEMKSSHDKTSS SGTVSSAAGISMEERNGWKEAATLLWRIMRENKLQLLSQVSGDACCLLYRLCEGLLNY ASQRLTNDGNSSSSATLLERVTSLGNDHVLSFIGAFCTPSRCTKLMNGDSDAGNDLCI HMHDILINQQHFRWMTAVFQDFCSAAQRAYAKQTGLASSLVCTVREILGILEECSMTS ADCARALMHTEPKPLVVNLIHGLRIRIAHNSLIRPHVYDDKPLQDDNGMLTSSVSIAP TDPSLALPVIFQNTESLERLGKGELVDLLTRGRGNVYDELNRKALEVALIVVEMAAGY ESPTVITALCLIIELSARSLMPERKDGLPVNAPPEVRIAMYENVISAWRGLCKLLHST KGCSSSTPLGARRDAFLRHQLKHDMAGSLKQLLENCAELLSADPTLFRVVTLQCTPSV FWAKSYGGACDESLGGLLGNSGAEESLQNSQLGSELDSTWWYNNKPFITITSSITQVL KDALETAIMSTSLTEHIVESLIQITMRSKDAFVAVEGHCVVQCILSLSLKCVRRSQST STPMEAEERLVLLTLLHRVTAALLHLYGKLRVQPRPCDVADIIELPPLLLKHLDTMES NEERELSRRLMSQATALWYTVLALNVDEANIKRSQEVLPELLRNILDDGETSIIQQIL VREHRSGADDGGVSASSSGKGELVSLSGNQQLEESDDIVVHLRGVLALLLQRNPRFLS ARELEMLLNLVSAKGELLTRLGSASLSCSLSHSEVYVVLEELIRGASPALLLDLLSFL SSQLTSDGLAAAAKYERQVWLFKFNCVDAVIVVMERVLKISNQLKQFKQLIRQLFCFL SVAEPVYGLGAHLSNTNLAQVFSSSLASIKSVEHIVFIVQAVLDAAMSTYDSAGNASS KLNTYGQLSLPHPNCCLRKPVFMELLPPLLRHMHVHASELENDVLRAVRDVLRATAVV RCDALLDWAIEMGHASLVPYLELDATSAEQRLPLVSSGDAEDLKAFWTPILSNASERS EMRFSWSGGVVITVGEWPKKGFSMASCFRFEEIYPRVNIFQFVGIDGTLRSPTCVFLL GGESVHIEYAGKTVQLSEPGMLKGLAPREWVHFHIVMSVAHTVSVYFNAMKIGTCSLP YFHSGSQALIHIGLVNTVVPNALYSIGNISLWEEELITPQVEAHLAGRSHEAGVCKLL PCEVPREISGSRSVSPVGDCVAHFSPCEVDDGQVLLNTLFRSAEGCSMITAKAIGGCV QPPRCWIDYKSFFSNRGGLMHLLRWIGKSKDSAELERNTSLLCTTLRCTANASATDFR TYAMLHYYLRRSAHLITPAVCDSLVHLATAEVRAGNEFHPFIINRLVFDHLLGDMELL AAMPLESATHVTERVGKMFDVFSCRFADHNVQYIRPFRFVDGILNSLSYSRLSLPFTV LNRVISNLKHIIIACGFETNLVSSFMTAAAVLTPAEVDAQSKQKQRLKLPRARFTQIQ ASHKTAYNVSLAILRCLIECSRVSDAFLSVFSRLVDLNWFVVCVSRFAETTCVVYATH LFLGALRLNEELRAEVMQHPATVATALEPHSFSEDLLLLLLGHSVGADGFLDLLHSSK SLHLQLDSALGSSLHDSDTAITPIFFRLLMLHLSASLTRPLLFRPGPISQVGGRRLLR SFRLFKYLHLAVVCSRLMLGIYVKRLSHRPTGAVPDACSSLWWNPTLSRDSSNTHLPE AAGGASEHWAQAKDSSLPVVTRSLWHRPSFIVVGIATYIWRRVQRSRYARLLRNDIPF LLIDGGGARGRAQKEEMCGTLFILKTLLQISMQPNAFALMTRSPFQVATLAFFGSFLR KEDVMAKSGELASAMHDFGCREIMRLRGAVETSALQKQEVDTDGKKGSAADSIFGILD LKTPLYADSEDNAYEDDAYEESVEGDDCQTQNGKCCRSSSSMESGIRKVPPTPQENVL SGIGEVENVALPCHMSPSLPLTNLPELSESTHTHDDVPQSGTVVSTLERSDAAPPTLI SDPFESVRSLDIRKTRFSPFARGFTGFEERDGCELEEEEGNEDLLLAETIGSVVRPDV LQSHSLASLLEPAVDILSNIVASSLQAMPARTAPANPYSYGACGYLLFQLILITGTMA NEEEGASVLINFFMHQVLELVATRKSPKTQSALQLPPETGGRMKSSLPLSRSTESLAD NGQRVDECAASVPMENEMNGGIAYAGIISNIFAFNVCAFNDLLVDLLSFHVVELSTIA PYFVRLLLYARVSWNLSDLLEIRLQIVKICVAAINRKPVSEMSLEEMGLIHTMLTHVL DSPWPMKPLMACLIGSLMRVYDDPSSKDTMNPDSRKRKEVITLCLRRIALVYQGSKDL KKAVTVNSLASRVSIYEGFVSALLSQDETNAIVAFDVYISSNTSKLKAVMSGRLKMKV ELAVNHFLKKRSFYVNQMRLFNESYNSTAKLSNGYSVAVLVQAYNGRFSSRVGSIARL QPAQLHWLAVPRSSLSRGDSHLSEAFRIDSRHKRSTILTASTFFANAESDDMKGVSAE DHITILNTSSCEPQVCHISALIPPVAVRCRPYVDCGSVPFIDPRCKVTSSAAVLLQHL LEPNEVLRYISNGFRVNGIHVAPCLILVTNVAVKVFSFSRITEKGDVFLYDYEGNGSN NLGGDCDEETDEVNASSRGGEGGVQKGQKQRSHNTTRGFAVSMTNKLQRFLTDGGTKG RRRREHEEHEDGTKVAQSVRQATGHPCKSLYWCYLVRNIRSIRVGLYMHQDTAIFLDV MYSDGLMLSLVDPRQSMNTRARDEFLEVLQEVIGTQRCTIHDHGKRISNMRNMLAGWG GRSVSTFEYLFFLNRAAGRTILDYNQYPIFPWVIADYRSSTLDLSSDSTYRDLSRPMG AQTKERRRSVEDLYQQMMEVAQQLGDGGVAAMELTQPFHHGTHYSTSGGVLYYLIRME PFTTFARIFQGGDFDVASRLFDTIDGSFQSCVNGPADCKELTPEFFLDGSFLVNMNRC NFGTKSDGTAVDDVKLPPWAKDSAQVFTAVMRYILESDRVAHSIHHWIDLVFGVCRRG KLAVDCHNVFQRMTYGEEVVKALKESQNSRDIDVIVAEVDNFGQTPMQLFQEHHPPQS DLRLLVSGSSESNPTASLSSGIFTVVSTSSTAKKGDHRYKNSTNTAGSNSSFACNNSI ASTSSFASRTVTSKLHGARDPSPKVHLMMEFVAAGRQSRFTLKDLTPGSLMTLPVAAV AFCNTQREPVVGFATTRSGEIACCYRYLIPVDDEDYLICFDLGADTMIYIDLKGGDLL STMRYSTLLEPSANISCTCVCCRETLVAVGSTTGTIYCLRPSMDSGVLTLSSTLCHHM HAIAGLTIDTKYGRMVSFTVSGNDAPIVWCVQQERVVMLHRLNVVHALGHLFVDEDDS RVVASVIDPLTSNTIVVTRRHLLIFDSNGDRYGVGSLSATEGTKTPMQGNGKLHGAVH SFDGSCTAAITAVTPYNTLEWAYGTQLLLTGHEDGSISLWRAVRLPPDGVTHGNIVSV THHAIIVDGSCQANLGSVTAMQQQQWGEPAFLIGYGSGKVKALSFATPYGGKA XP_951601.1 MPVSPAAMHLIMRQVQDINSNPTEGIRLVRSDDLSELLFEITGP EGTPFAGGSFQVVFHFDEGYPEVPPRGVFRTKIFHPNIAEKGDICVNVLKRDWNPSLG LRHVLTIVRCLLIEPNAESALNEEAARLLMEDYDAYRRKADMMTKVHAIKSGRAPMES QRPFNGVDGFQENGGVTSSGKCNDEEENDSNNAVGGGGLRLSLHMNNVDNKGTLGELP ASSSLSLSSSAAAAAATAAKKAVEKKRAALRRI XP_951602.1 MSYTEGDGNPPGECPQTPRVSHTSFLPPLGTSSSEGRDVEGESL RDYTMTYRSCPTESYGDQPTEPFGQFSRPLTSWEKLALKPVSTCPTYNVRTVVHVSEH PLWSKSLKTRLDYLVGDALRKLGTRYSNNRGSIIRPTSFGGCTSVHTQGPPVNYPTAP SPWGNTFCNHGIHISDNATETRVASSASSGWGPSVFVPGRASRLSADFANHASLLDEA RFKRRTILVNGEWKSLGPRELATLRLSYIREELVARETIVDEEHLEAVKLFSQHLGAL HLVDSCDKESEYGEPVQKLLQDTMLNGLQAINYREEINRSYVLEEENKAFQPIWDLFV FVHRGMLLGSAPLKNYLRRWVYLTRGRKERYRVSLQQLHEREEKSRSEIMEEHASLEQ ELFSHLLSTMETLFRVELESFQLLTQVAQVHAWLFMQSQVDVYPKLYGIEMTRRLVCG RATGVTLFRELVLRCQNDRDALALFEEHGRTVLRCAMELDDIALFSEEIKRRSIEEEE NAERAKKHLFVFALMERCHAREVEIIEACEWDVDLMRKFREGCLAIGEKHSERNSELK EGHGEEKIEENLATNSVKTDG XP_951603.1 MSLRRFYNEVSVADHVVKACAWTDSSVDFVYGDGTVLSFCDEMQ TFVAIDGSMGARSRLDISETGDEGKGGDYYFTALTLSKYEKKVSEALQIYNYYSPHPR VISGLTVTPCEVWRHPGPIDSLVVAVDRRLFERCGSRATLWCALRRVSLTLHGGRMTF SVRWPAPAVERSGARSIFVRPTDSRGFITGTVDSLRAFHYVWMEQTFPLIDPPREWIR MLEVALQFDEELPADHTPAEAAALATEDEECRMYYETTPRTAQDHKPCRFSSIRYDHV HALIANPQMQNAMCNGQIRNPRERVVWRYDADPMGRVPHAIYWGLGVCETKDVRHSLC LPEGRKASWPNSGVNRENTRTVDASATSGPREPYFVAGSVLCMIREDESVALVEPIGE SYVVHHWRRSGGYKVYHQSADGLLGLPPVIPPEQHEGPLSNNGNSQLPPEDPSSPPVP EGEYSDGVVMSRAGGSLLYFSSVLEEAPFAVAQRGRYLPEVGGSCIEISQRNIAAARV EKQREMFDEIAGFDLRFALANGADRIARSATACSTSGLTIGPISPSDAAEAAIDRTQM RMVSDDPAINAAATAGSVVFLTSAIDGIGTFAALTNGTVRCHFDDRTILYLIPGGDDK EENLVATCLFRDATQCSIRLSKCLNNNPMFQYVAYALRFRRFVRLSPEARNAVVEGTN ELKLQFLEETEWQQTNQVERNLQALFERTRELLDGSDKLCRTNRSLLNRDDSTYFT XP_951604.1 MPPKGPKAAPKGAPARKGGPPAAMIAKLKQHMEKQKEEEERLRR EREEEEKRLREEERLAEEQRRFEEEERARERQRRKEEERLARKMGTKESRNDVLERMA AAGFIVPDVEKVREQQKKEREAPRPKQQKQKPTQKQEEDAADERAAKAGHESDEDSLD LPVEDDGEVTEPTESDAEVDEEDWEAMMERDDRREQRKINNERIRKRRAEMVEERLKA KEARKRAKEEERRAKEHVLESVTKLRSPICCVLGHVDTGKTSLLDRIRATNVQGGEAG GITQQIGATFFPRESIVEATADLNQKYQHQLNVPGLLVIDTPGHESFTNLRSRGSSLC DIAILVVDIMHGLEPQTRESIRLLREKKCPFIVALNKVDRLYDWVAHKDMDIEQTLSL QKPNVRDEFSTRLVQVKQELLAEGLNSELYYHNKEVRKVVSIVPTSAKTGEGICDLIL LEVQLVQQFMEGKVTYKDDLQCTILEVKPTTGYGFTIDAILINGELHEGDNICLCGQN GPVFTQIRALLTPQPMKELRVRGEYIHHKTMKAAMGIKIAANELEYVIPGSHLLVVRP GDDKEAVAKEVMKDANSITDQLSPDGVGVTVQSSTLGSLEALLSFLNKMKIPVASASI GPLHKRHMINVLSMKRKSPRHAVVLAFDVEISDDARDIAKKNDIDMFEAKIIYHLFDM FTRYINEYEKREKDKARAIAVFPVQLTILDDAIHNTDPIILPVKVKCGQLHPGTPLAF MRGDTPHLIGRVMSLERDKKSITVGRVGCECSVKINSGESGMTFGRQFDKSDELFSLI SRPSVNAIKLFKDELTEDDINLLATLIKVLKVPPR XP_951605.1 MLRARLKIFSALNGATSAFSRAVAPLQIATRQQSFSAAAPAASG DFSHITRNTVWGLWNEGNLFSLSVPELAFFLQEHCRVANVDPRAKKSALVRQVEEILS AEQQASATVPQEDNPHAIVVTDYDRAEDALEEADEYGDWGAEPGFEDRRELDFMELSP GRMGERYDPLSPRAFQLLHSETATDVGIASIDPSKLPGQSKVKNALAAIHVAPNDANK MRFRMAFEWCLMNIWNMNMPGELNIGAGKALYYRSVAKQNRNVMPLWTVQKHLYAQHP YAWFAIASESNVAAMESLAAALNMSIQQERTTSYKVTIRRMAEFFDCELNGQLKCTMM NKPWDRFFVSHYIRSKMPDLRYVVRARHPIKKRIADAYLEADILRSTRDSVQSVLSPE LGDVVYCCERVVRKWAKKTATGVTLQLVETKRTPLIITKAGDEGERLEYEWIVPLPQQ AERIDIAALTDELWEYGNKLAAALEEGMEELMVHTMTAVSAY XP_951606.1 MEQSPEQQQQQQQRSQSPRPEGAAVLRLYGLPYSIKEEKIREFF GSFSLADEEPIVFFVEGLHRGTGFVRLRNAEDAALAINRLHRQNIDETRYVEISTSSE EERQRIMEQQEQSNKACVLRLRGLPFAATEDDVRTFIESMEGVLSIDICRDMDGRNTG DAFIELASEEDVKRVKLLHSKAMGNRYIEVLPSTVYDRDAIMRASSQRSRRGRRSGRG YEISVTDGDVSHHQGHESMMVQRIIDPFVPTTYEGYMQPLGFGGQRPFHHQYHNNHHH AQHHSAQMQNYYHNHQHHRQAQQFHHYSPHNNSFMNPRGGGDGRDVRYSMNNQPYRRF SDERGIVGGGGIVAEGPSAPYPPGAGGAPPPPFLPVFPGQSSLVVARQPSPFVVRIRG VPYSASEEAIAEFFAGVKIPPQGVHMVYDERNRLTGEAFVEVEDRNDVLLALDRNGAM MGTRYIEVFESSPAAMQRLGTAQMGMMSYPMVPQMFC XP_951607.1 MAFGTFPPQVRRRVVVTGLGAVTPLGVGAQSTWSALCEGKSATR ALREAPFFFPSSIDSDRRLTTEEKGKRREELLAAMPCQVAAPVIGELVSTTDLPNFAP TSRETRATRFALHAVREALIHAKLIGTDVFCALPSGAVAHPNEAASDNSKRSSAPERR IASSCSAERIGVNLGVGMPSLVDVCDAAYNLFADPAQIRYNSISPLFVPKILGNMLTG LVAMTYNVHGPVGSSVGACATGGHCIGESASWIQQGRADVVICGSAEACITPVAIAGF SRMRALCTKYNNRPQEASRPFDKDRAGFIMGEGAGVLVLESLEHARERGAHIIAELRG FGTSSDAHDVAAPRPDGYGAKLCLRSALRDGGDVPAACVAYVNAHATGTIGDDLELHA IEAVLNGTDGSDNKSAIIRNSPLLVSSVKGGIGHLLGAAGSVEAAVAVMALHEQRAPP NVNLHVPSFPASGAIQLVQGTTACAFKGEAVLSTSFGFGGMSTALLFTQF XP_951608.1 MFSCCLRSLARGREPQVLGTAVPSFYNFPYRAQDVLHLYRDLLK LIYQHPPQERADLLFRLRNEFHSRRHLSAPKLISAAIRRGEGILNVQRGLMESRSVRA RAVASRERGAQSVDGLWDQLQIVSGHMLPGLRNFSASRGLSRGSYVRQATTQAVYSRR K XP_951609.1 MLSNRTLTEEQTLIRRHFIDFFESERYEEKYHQLVQEMMAASGS RLLLDMGDLLDFTPTATGFDTTAGLGLNQSASLGACIIREPGKYVPLLELALHDVVLR QQPEYLKVDYRSRAVHVGFEGPVGTVRSPRELYAQHLNTMVALEGIITRQSSNRPRVL ETVHYCPETNKFSRKEFRDQLTPMIDSRHLPTVNVMPKTDMEGNVLRTELGLSTFMDS QCAILQEAPERAPTGQLPRTVELRFDDDLVDIVKPGDRVTLVGVYMAYTTSDNKSFQS IVLVNHVIPVQAFTMYRRVPSIEEKLFAFAAKCTQTDGPAGVLNSLSMAVAPTIYGMT NERKAVLLLMVGGVERVAHQSHVRGDINVLLVGEPSTAKSQLLRFVLGVAPLALSTTG KGSSGVGLTAAVSIDAYTGERSLSAGAMVLADRGILCIDEFDKMSAQDRVAMHEAMEQ QTVTIAKAGIHASLNARCSVLAAANPIYGFYSVHHRLAFNVGLPESLLSRFDLTFIVL DKHSSEHNRRIGRHILRNHMTAEPVEIDQNITKTVVDSVDSVWAQSGRQGGGAGGGLD FRMTTTSSGETIVGVDFLRAYVQLAKLGRPTLTEASQQLVSQHYVQLRAEQQEGSKDG FFVTARTLEAIVRLATANAKLRLSSTVDEDDVKSAMELLRASVHAATAASQQRAEDNK ATAMEKRQGAKRSAEGLTNGAGVDIISGAGNTRRQRQEVEETAAGAVASPAEEMALTT AESSKEITLSRVSNMLRLIQRQDRQPAVRLSDVHARLGGSLSMEELRQAVSELQGDSF VYEAIGEDEWVQFI XP_951610.1 MNRSSSTSVNKDNVLQLTYYEIFSLDEVAESIDLQALHRAYRRF ALLFHPDKDASPEAREAFLRVKLAAETLSDPIKRQEYNEQLRREAHEQREVKEQQREC GVGEEAIMAEMILRQKEAESLAKSAAANKEAAEREAAAKKMINELTNALTTPFKQMET ALVSEWDIDEGLLDMKVNEIRLLLQKLHNLSCHREGRKGGGGAMGFSSGSEALPTAVK RTREESGVNITA XP_951611.1 MNESNGRGEREMPNCIQVSYEEYNFPGVFTAGTTIHIETCGSDR GSSRRSSKKSASTTRRQRRKRQQQLPHEFLWFTNMEDDSLSAPTGRHGQSSGKARPAS LKTPFAAGRGGAPYNKKLRKKNMQRHQQQQQPCSFLFDIQPTPTAESETISDFYISPA HQAVAESSAPWNPVSVSQGNSDGFHFKFLGHGGITESSNLQPPPSDQVPRDNKSNHRR HKARTKKSNSRRGLNNYSDDDSPFTSSSDELSVAVVCGGSRPLAPMPAPSLLPPLELL PASSMDVCFETLEQCFAEVQLDCDELL XP_951612.1 MWDHINGVTRRLKDIAAELASPQFEDEEVLQQGHLHNEVNTGVS VGQGGGAQSERSPSARKSSGRHIAGQHTDCYSGNNRCDSPYTPAGSAHSNFSAGSFIR EVASQQRGSHGNITSSCERVAPPTRQTAGAGAYKAPPIRSLEATETPAVAVPAAPSKN RVAATSSSLSAPLTSLPERDMSNSCSGVTVHSPPFVNAARSGEELHKSTSGGDRDASD NSKCVEQKAVHSEEYRDNSLQETANTHEGNAIGTSGDIQNWMQTQATATAAASSNPQA GSPLSAYNTIDEQTPLTEGRCAKKKKLTGNSGETPPQETDSGNTTTIYFPSEHVDYEL AEELTAMPPSFSRTSDESARKVMSEMSQVAETMDHDKVKLTVERERVKELLLEGKRSL EAALREKDEVLCRCQDQAEQMTRLVVELATARQQRHHRGDDEGGLELPGGTVPDATGS HNEQAVILTEEVELLRRQLQEAKQSQSEAIERLKITEREEYDRKVAEFIKGRNDREEE VVRELQSKLNEAQQQLAILREEKIKLVEEQQHDKKRLMDAESEVAGLSSRLASSEHHI VELQGVIASSSKKGSDNDSASLISALTTRKQELESENARLLHTQKQLEQNITNSLVHA NVEFPPPQVGGTPIPFFDIVNLMVNEFNRLVDANKQAYHIQMEMERTYENARMVNATV SQQVNEAWKTIGALREELSLCEQTIAELKQQQLDEAQEHRNTQTLLASVTNELQSLKE EQSLDVSTRGFNVSRSDEGATGSNHDKTGGTQALGSGTGVSIEKLRRLEDDIETLRSV LHERDEELLRSHQATENLQQVLDTFTLNKSRDIEERTAELQVEIDELRGQLADAEQRI RGHQKEVDEIMQGYRRELAAKNVEISALHHKHLELRRALGDTARQLNGESLIDKRVIS HLTVNFIHAFVAGKPESDGMLKVLSGLLNWDEPTQEKAGLIPGPTNPKPGQQQKGGGG SRFIGGIMSSMWGGRRSQAGTSQITKRDGGKPTAGIAELWVDFLMRESDAGMQAAVEV THNVKDTPAESSGVITEDGGGKVGASTT XP_951613.1 MSQRVPRPLRLLNQSTLPVGPHGVVKSRTSPLVAAYKPCGLPYF AKSQLSGCNIPDNGSNGSVSDYGGSASRLFSASPQSLPPVSPADVSDSLLTRLTDLLS PGCGRPRIALPLIHPALTRYASADQLNSITAQRKGTTLVACCPQEFMFLRNCHRLNLV RYVYRVLCRLPPCVSVRVRQSIQQVEVLKEASGRVFKNPYVRQFAEERNSGEFGGNES GILLGGFLPPCAQPQPLLSGGFYSIQDASLLRHGTVTGYLSAVHMPSDMHCAIEQQER LKKLFLVPPTAQLSSGPIMTSQLQEERNCNVCRRMSLQGGDVLEAHPWLSDDCRLRVS LDVRATCPGRPVSQLGEDKGRTVSRLIGKPFRLDFRLVKLNETCELGLYEVSTNDMSD EEIKAVFAAANLYVVNDYVNDRSLAEAMTNVTQELHCVPPSKLANLPLAVQHSLRKGP PEELVAGPLLLHSLPEHSMDEPTQTGTEVATQLQNHESRGLYGYRHRLLLEALARVDG GSYTRVVQLVFGSGLECAAVHFPDSAHEPTVDGVQHLLQRMEGDELTEAHRRSVADRL LYTSYGTSSDCETYSTLLLPQHGKEKEGNVLNKGMGAILPGKHELVTAWSSGRLTGFR SEEVSELVCVYCGASGHVWSVCPQGPPLFPGTAKSDDSSCEKVNNNNLMSLTAASLSN ESAVITTLDEVVDAIAAGNDSPHGDAGIVSIPNVAPTQEFNPHAWRRNEQRPKLHRSR ARCAYCSGKHHISQCPKLPGGDSVDGEVPCDDQTVRIDREQIRANAESLFCIKCGEYG HLYTKCTRIPEGLHTAVNCPICLLSLRKVHHSPSQCPRRVTAPQDYSSSGLPMRLLRN GSDGERHKKMSGYVKKRGGKGSAVLLSDSFLSQKGR XP_951614.1 MSNEKYDRQLRLWGHAGQMALAESHVVVLGATATAVEMLKNMIL PGLGFFTLVDGSFVDADTLGNNYFVELSDYAARKPLSEVLVKRLCELNPHSSGTACVQ SCVEWSDSFISSTSGGRDFVGRFPTLIVATPRLPATHLRRLADHLKSASLRSIPLMYV QTCGLSGIIQIQDRERLVVHAEPKQEMRVADLRLFNPFPELRSWLDAHDPRDEVLYRT DPAGYGHLPWIAMIYHALQCLRCDKENAEFIPCSKADYDTLRTAVSSLPYLSDRPPDG VHEAMENCRMILNRPMCLSESLEQLLRDPRANGHFIDWSGGFPVSGVPPITWAVLYGI NRFIAENNGVPPFCGFVPDINTTTQWYRELRSIYNNKMEDDCCVVQGYAMEAINASNV MGGKFTSDTAASTALLVGEKTGLETEVSALTRSLVQNIWTLQLTTFSPSLDIDSHELL QRESFERYLSDCVDASEQFTVMLYAALLGARCFEEMFGRWPGLLDSLNGRVHYGDKDD TLLWMSDGEELIRIVGERILNESGRSDKVVDECLMRKACMEVARCGCGEPFPTAGVMG AAAAQECIKLLQHRRVPIQRPLVFDGYRSCFWVAEAQ XP_951615.1 MSSSRGSFPESLEGAPDTNAEEDEVNLHDISSPPDLANTRKGID ASDCVTLNDERNFETASPPMDPKENETIEAIKSSDTTETHQVPGSICGTNTENHIGEI KKKSYGTDNVTTDAAETVEIMEVSEIVEADDATETVEAMEVAETVEADDATETVEATE VAEAAEALNATETVEATEVAEAVEALNATETVEATEVAEAAEALNATETVEATEVAEA VEALNATETVEATEVAETAEALNATETVEATEVAEAAEALNATETVEATEVAEAAEAL NATETVEATEVAETAEALNATETVEATEVAEAAEALNATETVEATEVAEAAEALNATE TVEATEVAETAEALNATETVEATEVAETAEALNATETVEATEVAEAAEALNATETVEA TEVAEAVEALNATETVEATEVAETAEALNATETVEATEAAEAAEALNATETVEATEVA EAVEALNATETVEATEVAEAVEALNATETVEATEVAEAVEALNATETVEATEVAEAVE ALNATETVEATEVAETAEALNATETVEATEVAEAAEALNATETVEATEVAEAAEALNA TETVEATEVAEAAEALNATETVEATEVAETAEALNATETVEATEVAETAGALNATETV EATEVAETAEALNATETVEATEVAETAGALNATETVEATEVAETAEALNATETVEATE VAEAAEALNATETVEAMEVAEAVEALNATETVEATEVAEAAEALNATETVEATEVAEA VEALNATETVEATEVIEGAEVTISVDGTESAVVVEESEIVYQADTTRDDSVVDGAITS GGIEVDNDAKAVDKNKATHEAVEVLKDLESERAANNTEAPLAEVTGVETDAIASAGAV DDIKTLEAPSGENPPVVEYDEVVNTVGSAGVSGGARGEQDIAVVSSREDDVKNTEGSG FPERKETETSATTPTPLHSDAGVRAQTAGPGGMAKELALPKDASADGPKRVESAAVNP SEKKDLTPNVGKEPQRTLEKSKGDKPAKQEVLASAQHQPAAGTVGKHVRRKRKGLLYE NYYGPHVIQRVRNPLNLTPAEEYSVFRARNGVSLNYTGPIHVVEEIHVGCAYCGCPVD AVTRVEAGKLHFHPQCIRCKLCGANSISDAYFQVTNNSVICSECSVRGLSENVAKEEA ADDTMFTSNFRHKFERAIRRFDVNSERRPRLNVNAEGIIPPTLTLNIDHERGSSTNSK RLALIHRQQYYSQNDNNIICLSPPESPSISPKKN XP_951616.1 MKNGSSSGNQMSTTGGHRRGGSDRSAVRGSHVRRGKGEGRRRST DSKKEVEAAIHVLDNGVDRTPLPLQRAGSGAPHDPRHPFSQNTSGQITTSVSQAMLPD SNSIFQSSALNASADVVPIPSTGEGANQSQVGFLTASSSLTSISIDHRLSSTTTGSAR AAGSGPLADAPPHTSWDGHGRGDATQVLTREQRREWLEEKTTVVLHETPTIMLYMHQD EVVPNDRPTELNEVLERNKAYAELVEAKRVDEGTRFQVKGSWTFAPPKKSIHSAIQPP SKKNSGGLQVTPWMLRDAFAHFAENDTNDEDQEGTADEEEEEVELVEDPSDTGKDTGS ERTTDPSNASTSQQSVAWMFSDTIMSTLRVMERVVVQNTMEEVQLSYRGIVMDPEACR VRKEEAATSGLMGPDSDDMNSATTDDNKEAEQQNDQQTAGVDDSAAAVAQKAEVPPVK LPEDVRALWTFRSPITKRREVTCMAWNCKETDILAVGYSAYHDEETQMLDAPHMFHGG IVCCWSLKNPLAPERVIQLSSEAGVSSIAFSDEHPSLLAVGNTEGRIVIYDIRKDTNI PAIKTTLTSGQHTGAVWELKWVARRKERGEFLLSISGDGRVVQWAVGKTIERVAPDLM NLKRQHGAASESAFAAESSGVLNGKKDGAPAGLKMPTQRRRCEALFSRQCGGMCFDVC PADGSVYVVGTEDGSVHQCNKSQTENYELDYAPHSELVYRVRWSPYSDNYFLTCSADW SSRLYRLGQSAQVLTFDSPNQDAVQDVAWSYANSTSFATVSAQGSVEFWSIAESIHPT SRVQYVDRRRLTAVLFAEQDAPAVVVGDEKGDVTVFRLIGQYYSSMNLSLEEQERELE DVVRKATT XP_951617.1 MLLIAVTIIVALLVAYYISTLFSAVRGTTRRRSRSVLLVGLTGS GKTTLFAQLVARKRVQVRTSMEPNRGVMRLASAAENEDPTAGSESSGVTIIDFPGHRR LRESLMRALEEVKKVVFVVDAVTIQDPHEGAEAVAELIVAVLSSTEFFGVESVLIACT KRDELTSYSAKAVQKLLEKEITHCLSTRHGGVQRLESIVNASGVAVGSGNKSRRNAAT HGCRSHELSLDEARKFSFANFQVPVQFVDISSFVGPEDQVYNVEPVREFATS XP_951618.1 MLRHCIPRLGIAKTVIKDNYSLSTLTNGLRVLTCDDGNGVTGMG LFMLNGAKFESPDNAGAAAVFEALPLRDNQIYTSREISQALSGLGNAFKVTNNKEALS VILMLPRYHQRDGLELLNAMCLHPTRNEEEFRIAKEKTHERTLLYDRDATSVCFELVH EAGWNGKGLGHSLNPKKEELDKLTLEKFTAFHSACTRPERTVLAATGVADHKSFAEEV EKLLRFNNADVAVQAMPQLQPGYYPYTGGSRLVHRTEAPESVNKFQEKSLSHVALFFQ GVPINHPDYYNISVIQTLLGGGTSFSSGGPGKGMQTKLFREVLNREGFLHGLECITAW YSDGGLFGLYGTAPHQAVVSLLNVMIYQAASICQRVSPTHLEMAKNQLRSQLILLGEG REQLLSDMGFNLVVHNHIITATETMEGTRNITLDDLKRVCADMIKKPLTFTVYGDTTK MPSHESLEETVRKTYEKLN XP_951619.1 MRRLETISSHVGFRRFCHLQRRGDAVVLILHHELLNALTVDMRA ALLHFFNEADNDSSVKCIIIAGEGGAFSCGIDINDFAASLVDTTKENGVRIPSLPSLT TRIEQSDKVVIAATSGITYSGGLELALAAHYRVASPTSVFCMPEVKLGIVPCGGATQR LPRLIGVRAALDIISTGRKVSAKEALRLGLIDHLTSSPHEERRDQDVNGVSAQGPFCC TDPNGNLESAIEAALRLSARWTKPRRISCDATKLGIMLYNSILFRRVGSEITKKAPKE VSAPLQCLQAIRAATNSASFKEGLAEETRIFKQTLHSPEAHAMQHLLRSSYTVLSDTL PTLPVRAGTGLQQQRLRKLAVVGCGVVGIGIVIMALRAGSQVVLLGEDDNECEYALHV IKSELPNDALGYNISADCVNMYLNNLKVLPYHGDLQTVLQDVDVMAECIVGDLDTKRQ VFTMLTDLCPPHCVLATCCSSLELREFVKVSRRPEKVVGMYFAPPVHNVPFLEVTRGY RTDHTTLQRAIHVGRLFHKATILTRDVGFSIISRIFFAILYQALSMLEQGAFPVDIDR AMRHFGFRLGIFAMEDLAGLQVVSQILNSLRVREGCSGVRSPCPPTDVFTIHRRLVEM GHFGQKTGRGWYTYEEGDLFSSLAAWRQRLIGSASNSTKNTYGVWSKGGASLMRRPYQ DRDVELLILDVCREKKIMRRDISRKEMIERILFAAVNEAAMLLREEAVSSSSAIDIAT TFGHGFPAWRGGLCYYADKFGLPNVVHRMRIYNKTFGDALFPLPCEELRQMASSQQTF RSTWP XP_951620.1 MKRERSFSNSPTLSAQKGESFPSLRSEDRSEFAAQQQVLFDILR RTSLAEQKDREICEWLDDAYVWVKECIENKNFSKGALTELRLLVLGVLHTLPWWRVFE SRETMGLEVYSRDGYRHDMRKITRWHDRIKVGFERVCLLLMEQSVQAVRGVLEVAMKP FRIRSPVADGAQRMVPADTIMRLINAVAVNYDGTVVLDVLNTCVRFLVPRWRWGEKRH HTISIALFLHLALEAHIPLASPFSGGEISMLGHSANGSARCKEESKLGGCGYDTQQTS SRDASLCRSDGSSADAGSFASPSACHPQQQRHSTGLKAPFLSSSDADLSDASQSMRSG TDDALGGSISGRTSGVARLTDTFVQNNRMLLYRNELIQFVLQWLLDLELSLQAHDDEA RAVAGQHKNPTQGWGADPNGSSTLPSFSQSEIRPSETVYLDILRSCTDLVFHRLAEDL IRQRSVGVCGSADWWRELLTFHLNSVLMVESPRCLIYLAPSLALLGGTEEATDMYRKF IVLVTKGYQPVQPNAGCSTRPAGSTRVRMAPPTVGHLLVPKAQRTRAALHVYPLFRFM REHIHNVEDIRKQLHKCLLLQLERQRVVLPSATSPSCLASPTSINPFAQVLFAQIAAL SELLELDAAEEMMSQVSGCRALKVLLDTYLLPPETNASENGVNSPTAGISPHGGNELK DDVPGKKVRRRFDLVKMEKVGLLYPGLMNDCPWDWRVCQGHGQMKRF XP_951621.1 MVVLDMLMPQVLNHVSIDFLLSLFFLIYTVSINAVCMPICQVQV QRHIIMKSVAFHFLNMPNEKVTTGQCDATRFAQSTHIKTDPCFMATSHGNWEALLKSG NGADHHNTGISAGNMSAPHKYRPQRPVFLSQLLRAPRVGVSTVMIDGCPCEAICFSGR VVRCERVTTAESHAARDYTAMLVSDNTGMIAVIQYDSQLKEHNNECDVTNAAHGACAP HGEGARSLRKDDRTTETLAAEAMLYGDSDLQDRPELCDCNCEVQENDYVFVVGRLTFA DISKDACRVVKGMQDFISSTSNNVEPRKRTALPVDSCGGVTCVRGTVRRIDDVNEIHY WFLSALETHLRLVNGKTKRPTATPATEEHLSHIS XP_951622.1 MSSTPNNDVEQMYQELTQAFSPFIAWKAAVPPHQGKETTTQGFI SENSFDGVSSIMQQPVGTPLRHLLPRSGSPRTITCTHGTSKRNVVISDKSDHVGRCDE ASAYGGNSFSGKGDRHNGSSAIEERLTHILRSHRTMAESIELDNASASIDGLNEEIKH LTTMEWSPHAGNGGDEVNGSFPTNISSSSSSSYSCWLCGAPCSNALGWLNAPNIFRRP IVARARPHLLQANDRSTTHSTTISLLTLCRRCGDVCDSLLNAPYEEVLRIANEGNRMA AARTPLFICFLRSYKSLIHFLASEAKLDNEGAETFAECPLETRVSILQRMIGMVESYV RVEGHASCDGNVRGSIKTPSDLEERHLYERELEWLRKQLHMLGYTGLSHKNAVEGPPP NGGNGVDDHEHSTYGHAVISEHQWTIPELGAVTSRSTSLHPNERLSPLLDSRQCRKDT LIVATPQDGQLVQEHEKRRQELVAEARERGSRALDKMIERAVTYRLKRIHNHDVLVSA SSATADGTRCHSDGDSGEGKAVTAATATNVYPKNMENKEGVQPSVFLCGGKDADNILM QYFEGKLDKVPRLTIYPHEVSGTFRERAVTATSPSVLRDSGDGQLQMAQTRKEQESIL TMSNDLPFCLHTVDNGMIEFAALSREELMKRLRKEIQRRGEAERALRESNAQIAVLEK ATDHMSEVILSHRMNSILANHLSSMHQLHRSMVQNCVEITVQKSLFFADEMVILMRQA QNWFYSRPIECQLGQSARSSDTITSEEERDGREGDEEETEKVRRMNYGDSARTSDGGK KEGIQGRTSVVRDVPITQFPVSLHLKPLHTRTSPSAS XP_951623.1 MSPSKGEHLKLSAGDLIDNRFEVLEEVGCGNFSKVYYCFGTPKA GGVRRQPVAVKVVKKEYKNDAYFEQEMLQILSQKKNGRASVCQMLEFFEWKGYPVFVM KIYGPSLRERRLGYANGVVTREKVVQVAHSLLETCRFIHIDCRMVHTDLKPENILLEN ITTSKSSIGDNWVVCDFGSSSLWRMDHLDSDLITTRPYRAPEVVLGNPWYHPADMWSV GCIIFELAIGRRLFDVRDDLTHLQLMERCLGPLPDLFRRRSKNSRSFFDQNGNFLRDC DDVILGRMRSRTLAELLPGDTELCDLIASMLVYDPESRATAEEALKHRIFKQPFILSN STASNKRSNTPARGGNPIKKLATPRATSSIRVKAIVGSGNAKEAQNGQPAVRIPVCDK VTPTSSHNKSIDGDGATDTKWSRPIENVNRNFCEPAHAPGLPALEQPTSTRHPSLSTV CGKSVTDSKHISQQPMEVETAYDRKPFETSVAMLQSSKSNTSSVVISSVPSFTKEGER KKGRNGPVATLRSGTDCDQSSPQNTLVELLPTTPSAEAGSQPGMTSSPGRQADVSCGS NPSPVIAHPTKTIPTKEKECVRESHKELSISRDLECVPPSKRTTYGKETVGALHEYQL GTGAGTDVNAEASPTSTEGALTTFGKTPTDAAEAYERLASSTPSTMKGKESSKRKQRE PVSMRSPSKPGHVSATVFDTGRMVTEAPFPPHCSTVVEPRGDSSTNKGVPAPFLLSGE AYFVAFPHVGGPVVHTSNETILPNIAKDQRHHLAPFTPRQQQQRRYEPKTLRSPPPAS VGQPTLQRINTVYATTSGNKMFPNEMYGRGDAGRPSNRQSSTPTTTQGRSSTVSASEV GRNSRQNSTSLSESCKNLYQLSRLQAVPAFKAKDHPSPPQQVAYVTGKRRIVNSNSQV SVSVSAQGSTGSSAKAPRKSVGAKEMPGDTHGNRQSITGSRDVRRRVVSSYSTVNEGN IRRKGTSAQQDNMDERVNLITGNIADFIGISSARGKTKPSMEGGSNYHPAHIPYPPSS EAHGKRK XP_951624.1 MAPIIHKNLTAPELVEWALKLEKDSQLTARGALSVRSYAKTGRS PRDKRIVNTTDVTDNVDWGSVNMKLTEESFEKLKTIAKDYFATCKHLFVMDCFAGHDE RYRLKVRVYTTRPYHALFMRNMLIVPTLEELQSFGEPDYVIYNAGEAKADPTVPGVTS TTSVALNFKTREQVILGTEYAGEMKKGILTVMFELMPRMGHLCMHASANVGKSGDVTV FFGLSGTGKTTLSADPRRNLIGDDEHVWTDRGVFNIEGGCYAKAIGLNPETEKDIYEA VRFGAVAENCTLDRRTHEIDFNDESICKNTRVAYPLMHIDGALSKAVAGHPKNIIFLT NDAFGVMPPVARLTSAQAMFWFVMGYTANVPGVEAGSARVARPIFSSCFGGPFLVRHA THYGQQLAEKMEKHNSRVWLLNTGYAGGRADRGAKRMPLRVTRAIIDAIHDGTLDQAD YEVYPGWGLHIPKRVANVPASLLNPRKAWTDLKQFNETTKELVTMFQKSFQDRFASRA SEEMKGAVPRYVEASRL XP_951625.1 MFSAGDARRYPGFFTRTWTPPPENIGHVRSNRSASSIQGGLTHE TPPLLTPRLAAPINVRGLAATDSIPRLNNPLPSPTGLLTNSALVGMSREEPSIMPLLS SKQTAPMGMHPTIFAGRRGLADMSEEERMEYTNRLEGDMTHVHNTLSRAYQLRDDYKN EAARLHRELQDKNHRFDCLLREHSACNDVIYRCKRENEELRQKLDESEGEVRQLRDKL VSVNSQGKYVPSGGERHVGRQEISALEEKNKKLEEELLELTKELERERECIRHHAVAA EMGKSENTSHEEELAQSRYLLQVTRTEITDLQQLLRKEREDYEESLREAIQARNNLHQ QNTALQEQKEQLQEMCDEQHRTIEDLTSQLLQRKTEQAVQRGAPDTQMETTDENKTDT NTNNDDEVYRMLELQQHTLQQQFFLLRREGEAKDILLQKASEEIFNLQNLQQQLEAAL QKSREHAAELTKSLSHTQNQLQTAQERITEDSYVINNFHHQLREKIQISGSISGEKNI PQGGNKEESIELVTRETQMPSRSGNDSQYITANVQHEKLNQPQKADSGHNATGNNKEL SSAQNDEYEQAIIKHKMTEEGLTEVIEALKTELQHTQKCLREAGEENVQLTNKLNAAG ARGRSTSTTRSGSLTPNDTEGSLRTYNAGLKTQLSSALAALTQLAEQHDATLARATEM EERVSTLEEELRTAHSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTT ELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDL GTQLASALVALERLAEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVT KLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRV RTSQSDKDGNARAALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEE RVSTLEEELRTAHSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTEL QHTQQRLREAEQEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGT QLASALVALERLAEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVTKL TQLEETVSRLESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRT SQSDKDGNARAALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERV STLEEELRTAHSTTKKMSAERELHVTKLTQLKETVSRLESYGTTPEQTVAAFTTELQH TQQRLREAEEEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQL ASALVALERLAEEREAALEKATEMEDRVSTLEEELRTAHSTTKKMSAERELHVTKLTQ LEETVSRLESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQ SDKDGNARAALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERVST LEEELRTAHSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQ QRLREAEEEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQLAS ALVALERLAEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVTKLTQLE ETVSRLESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQSD KDGNARAALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERVSTLE EELRTAHSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQQR LREAEEEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQLASAL VALERLAEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVTKLTQLEET VSRLESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQSDKD GNARAALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERVSTLEEE LRTAHSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQQRLR EAEEEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQLASALVA LERLAEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVTKLTQLEETVS RLESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQSDKDGN ARAALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERVSTLEEELR TAHSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQQRLREA EEEIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQLASALVALE RLAEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVTKLTQLEETVSRL ESYGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQSDKDGNAR AALVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERVSTLEEELRTA HSTTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQQRLREAEE EIIQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQLASALVALERL AEEREAALEKATEMEERVSTLEEELRTAHSTTKKMSAERELHVTKLTQLEETVSRLES YGTTPEQTVAAFTTELQHTQQRLREAEEEIIQLTNKLNAAGVRVRTSQSDKDGNARAA LVSDVAVRNADTDLGTQLASALVALERLAEEREAALEKATEMEERVSTLEEELRTAHS TTKKMSAERELHVTKLTQLEETVSRLESYGTTPEQTVAAFTTELQHTQQRLREAEEEI IQLTNKLNAAGVRVRTSQSDKDGNARAALVSDVAVRNADTDLGTQLASALVALERLAE EREAALEKATEMEERVSTLEEELRTAKEKLERSVEEISFLKDEVLVSNRLLVDSVSSL NGKVGDSDGAVGADVERLSRVVDELHAQVSATKRGFEEFYDRRSEGCVTELIVARRSV DRSNDARRRLEERNVRLEQDLERKCLEVVKLQKECQRLEQFVRAKDVRGAHSVLGVDG SVDVSSVGAEPVDLEAVDLAQFLQISSLHADLMLCRKTCRQLESNQEELLLSLEQNSS QSNAYLEDLDEIRQQLVEMRQQREELIAERRTLTERVDELGRERGEEVSRLKQQNNSL SAQLQASRNKLSALEASKREGELAARQQAEELAKAFSLMEAQVQTLREEVASTSGSPK RQSGSSRQKAVVEGDEARIRMSQARVTFLEKALQRKDEEVQRLQDELVQKDEQLDQYE QDAAKAAQDAENASRKTLQLESAVQKLQGDKKGLEDELRYAKTRVVTYGGRVSSEVAQ HSSPPEQQIRGSPVLGAGRTTRERVSLSVESSHHSRITEQTQRQVRQVMDIRSTRKRS RSANAVS XP_951626.1 MHTSSLQLRRRGAGIIGLPNVGKSTLFNALTCSQQAKTGNFPFC TIDANLARVPVADDRLRRLATFSGAHKIVDVEIDLADVAGLIEGASKGAGLGNKFLSD IRPCTILLHMVRCFESARDGFGTPTPLEDVCTIVNELVLADLEAMEKILSKQAKIRKV GDPSLVICQRVVSWLQEGKPAADMSLKTDEERQILQQYNLLSAKPMMFVLNTDESTIA SGNRYTHMVESALGSERTCRVCASLEEQTSQLNSREERLLFLKEYGVDTPHGELLLRR AYKLLRLQSFFTIGPQMAHGWTTRVGATAKEASGEIHSDMEQHFHRAKIMAWDKFIEQ PNLEAAELKMAYVDATYTMQDGDIMIVEHGASR XP_951627.1 MGAALCTDVVPFTLDDIILIPQRVSKSHLAYDVSPVAVDHFSKF QNNLKAFTFRHRDSSASVNGAAARFDPSHVSALPMDLSQAKIELDDTRVYVLVQVQRQ PVSPRNAPTGKATLGSPNMFTPRTLEYPLKDCDMNWRWNDVSPSWASVLSDVFTPRGL ATPFSTNIGKPPASLSHAGARLFSRGAGCGTECGDGYNYYYSIYILNGVRAHPSLAAV AAVHAGRLEQALINSKETLQCLFFNCNPSRGEKEAAACGREDSLCASIGDVVGSRRPS RRTSFMGEEYQRNAILRSLLSLRKPAPTASVLGTTPTGGRSQENSSRGKSKRQEGAVL YSFEQYQQQKLKGDPLPLPTTKLSNCLIPPITGARPDVENSARKLPPLETIRSKQSVT DAGGAVKESPLPFTARFPNRTANSQVGSERGPSSAASPCLTPKSSSFMSPRFPVISAL RLDGALRQQAGDGGLNDSQINPEHDEIRITEEKLQKLKALSPEATEILPWLFVGGEEA ARDRSQLLAKGITSVVNTVAFSIENAHEDIFSYLRLNVSDSPDEPIFSLFPVVNQYIE EARLNGGKTFVHCHQGVSRSCSFVIAYVMWYEGLCYEKAYDYVRARRTVCSPNPGFYV SLLLWQDQLARPVLDKAYAYVPYPDYLFPFSYRLALVFRRQKEQQNGSEEGVHIMNQS VRVLDDKCDEFAMDPRLCYGFLLSGCPTPGGSQEPCTVSHFFVGPECAPAIGQQAKEE WEKFVKYSFYHGAVKTTVNNKGECITYTPLPPLQHPVECLLTPAEVVSAVDSNVYKRG ETSCGTLRRLTITLVHDSCWDSLLSRSDMVELFTKYVAEVKQQKQAMSGHKRLREKEQ QRDLGKLDVGKSKSAPTPREPTGRSFAASSARVGSHRSLQPRRNEPIEHTVESYQEEK NEGVAEREAGGTTPVSHEMEIFAYPFTGTPVRDIIDATDMEAERAYVIILPRSSSGFE QDGRSSPSHRVFIWIGSRCGIEADEAMDAYRASLKSGNDVRLATGAVLKNPVEEVVYD GEEPDELLLALD XP_951628.1 MTQSAQSTTLFQRNKHIYIQEEDKLKDILSDEAFHEKVISWLES TITELDLQFEICDQKLAELQQNISVESGNYWCSKTVIKHCNLHLDVKNISSSGADTEQ HATIPEVVHAVSSLQLLKTQPFITPKQKRFIEQCEAELSSVVFEPRELGFISNALRVK LREGSNARSLLGSLAEFQNTIEVLSPDVDKCEQLLEASISNGEMALAEEISERQLKIY ERILQLITDQYPIITNHYVESRVNDRRQRWAIFRMADKDITAVIEGKNRQIEACEEDL LKIKEQIENYNNDDTHQRKRYETDRGLSDEFLQKNREQQQGVWNRMFELAREMQQCQV ELSKLAQKRRKEIERRLQMEEREAGRRSGHESFLKAVSEHAQKLQNIVTNAIKAKDLA TSLNNFVLDGCDTIASKVDKRQNTFSEMLRAIQSHHFKRYSDYYLAASRYLYRKERRL MQLDEEIRDNEIKKELHTESLDVNAKKYVEANKILLLKRRELGHQIVAIRANLDKASE DIEPTLSSLRFAGVEYVHPSEIAKKVNLNRHSTILDYREKVTPSTTFDEKVKVEEEKT LLQIRAAIEQENSERASYRRTQLPMLEAKYRTPLQRQVGALLDRRLNDTPSAGSPSAS YPKAVTHPLPGHQKVPGTHGAGGEEPDRAAATLSAKPSHLVSSRRNSLEEMGDGVGGD ASTASHGVQLGINDVLRVEGRSLRALYPYKARAPDELTFDEGEVIVCVSRAQEEGWLK GVCNQRTGLFPINYVAPCDEDD XP_951629.1 MQNPTIYRNSEAMRGSSGAIHERDTAPHIMSLFAELESHTHKPV VMYRKLLHQAARQLNDEATANMELRKEIAIMRKNEKRNEGRIQKLEGYISKLRKRVED GEERINLIAKGARRTSVPVAPSRSRGKRSPTSRNGKAASHGGVVGNTKERCVPSPAAK HREGVVTSSRATSQSPFVSIRSYEQDGEERDETAPSLSLKRRSVKQSRKGGYDDTRKM VAPVRREGILDKILIDVFVLLLSEEPSESSLPDVGKYGLTEDSRTRCEAVFSAIVRLR EEVAVTRRHNAELMKIVRRKESMRMLTSAAAEEQATLEMLRGKGCSGIDEGEYLSSIT LTTLPYGESNGKCGEKYRAAKNDGADYNTLLHTPSVSNVRRGSEGSGFSNLQPRNSEE GDGDGRTFNDLSDTVLGALINGGEHTKVNKWERSLLSR XP_951630.1 MTKSALADTKEEPHVPFGEIQGYTPCGVPAYSNGHDGFFSGERS IDGNLFCGFKYQCVEFARRWLYEAKGLVLPDVNWAAHIFDLTEVHDASTATPVPCVKV SNGTAAKPVADSLLIYAVNEDAPWGHVAVITEVGDKWVRIADQNHRFHKWKGTYSAEL LLKHEGGVWTVEDHAAEGIFVPLGWVTFPSRPNRNPKEPLVLHESLYFKQPEKPFLRR VVFTPENRKTDWLDLTNEAEAEFYKTFGKEATRGGVYESCYYLMNRELYLNCVRYGTQ LHSFFLEATKQVLESDDKLRRFRIPEEYWPRIRHSWKTQPHAITGRFDFVFDENTQEF KCFEYNADSASTLLECAVIQEKWANSVGLDDNATRSSGKFMPQTLVRAWEMTGLKGRV HFLVDDDGEERYTALYVMEKAREAGIDAKLCVMFDEFHFDEKGAVVDSDGIPVTAVWK TWMWETAISDHQAAREQRGAEWKPTPKDKVRLCDILLGNNWDIRVFEPMWKLIPSNKA ILPIIYNNHPDHPAILPASYELTDELRRTGYAKKPIVGRVGRNVTVTEPDGKVLAESD GNFSNRDMVYQQLFRIPKRGDYYAILGGWMLGDTYSGTGVREDKKLITGLESPFGPVR IQM XP_951631.1 MKRFGIWTRPSLGRRSFYAGLDDSRVMRLRRSNTTLNKPRGRDA FSLAFEKRIHLLPLTQVGDLYGLPVPPSAPGESSGGAAEETIRVLVVDLLLLEDVESL ISFPASNPLYYEDWPTVSDNSEGEVPSDRRSGISSSLALGESGFSLPSSNKRHLRQYG DTTLLRNSFVREAAHGVSAQFHTAICINPFIDVDRFRREEAQVEMISAYRNILYEAAE VPDGRADVIRIPALCCDTCGPRFYHEIGKLNQQSLIKGFHRIGSEAKETLMLNPKFIV EVYVPLPFLQQFQRAFLEDAWETPESTLNPGRTALYPGLAPPRSLLPLEGWIGKRPEL VEAIETEGRSLMSGVKYSLDGKPIEEREVLAELRVFGREKEQEEMLKREEETATQQGL EVAPNGNTVAPLRAGIDQN XP_951632.1 MGSRVTVLHIIMAERASTQSHALPTSTQADPSLAASTFKFLVTS DNHLGYQERDSRRGDDSFTTFEECLRAARLEHEVDAILLAGDFFHDNKPSLGCLARTS SLLRSYVLGDKPISFTLLSDPKRNFPTHPVPLANFQDPNINVALPIFMIHGNHDDPVG GTSSIDILSTAGLVNYFGHTSSLDDIVVEPVLLKKGDTYIALYGLGNVRDDRLHRCFR MKKLHFVQPKTEPGKDWFKILLFHQNRGVRSGGNMKCGIYETMLAGHGMDLVIWGNEH EQQMEPSPSEGFDIIQPGSTILTSLSEHECNPKKYGVLEVRGGSYRVTGFPLRSIRPV VRRTVELWRDNPGCRTLDAVEDFLRSVVEQMIEEAEEQVSRIPDDVLKFHPNIKFPIM RLAVDFTDPDSTTFPQPNINRFGQQYMDIVVNPSELLRPIKPKQVPRVASSASATGGE APVVPVPRLNTSDIRTKVAEVFNANARDACSLLSESEVSAAVYAFAEKGERDAIDERI CELLSKCQKSVWVSMRRGESESILKPESIYEEVVRHKKEANKRYEELSRAAEEALQRS TQGNELTERLRADTELAASMDSGNFPRVGGELADGAALGLLSATAPRRAGGSGATNNG EGANDALSQVGFTFPDFSNSLSPPDASGGAATRGKRSRDELNVFDVATERDTNEVNSH PNASTVKGGGKAVKSETSAPKPARGRKPKRPVDGGVSGLPLFPSLELTRDPVPDENFL LPPVVGGGVKGASTSSSGMPDNY XP_951633.1 MIRRRVCDGARLAPNIRATFSAARYQSGLHTFIRDSKPSNFSSV RRSENANGDATASPGATAGENPASSGDWASHMQRELFGEVDPLGGQAHKDYYRDVTRG YSPQYAPRNFANGGAVAYPHIQSPYEYEEAAHRRVWLDHDVDRMREEFTQHRASLRSL ASAQEREELLRSRAAEYQVANTVHESESVHPIQQLYNSGGTSRSALKQQAVADRYSIA EQHSPLPLTTGVDRDALDEAQRTKDRILNDSFTAENLLITHGLREKEKHDFTILQRTV RIPFQGYDMDRFLAQQKGTPYGAQQLPPNVVPSSMEEAQRTLRGSSATATPLVDAVAQ KVYARNTVVDRPAIGEQLTEQIINTMRASRTTAEQQREEERAQRFGLGRHGALVQDGG PDQRTLKKHINDERIVDAMLFQQNAYRKTPADEHWNPYIRRSTENGVGHLLQNKFDIM RREDRLSKGEQDLTERNTIHYGVPIQQIVDEFVFRHRNARGERPLDYFKPFPNFRALR LNRMYRDVEGFSLMKQRPEFLEWELFTRYRQHHQQRRRLALLHGLEPVANETAQERDT RRHRLDEICERTPFDEREMHVNDDEMKVSVETLRSWFGVYMLPSPTVVNAVLGGSASV NLHLYHLADEMGTADTREHVLSGRYLNRLLLLESYQNRVGRGFMNHVVGRAPEPVVPH EQPQEVLRHFSAEERAMYEQHVKEQTSRQLGEWERAMKRRRWLTDHQQYGHVVSHGLE TSVVDLSHTETGAVLTVSTKAYEQEIEAVRMKTNATIKVDGMVYNLLPNSERRVVPLT VQLDSGEKIDMTSEDFDRCELEAFPRNLNHALNYGIANYAYNRGNYVETQDSIWEEQT ASGQEGWSPATHADGLREGLPVRARRPIFSSSAEQRIAGGPQRAVIIQYHHQPFFNPE PRLVKVAFQCDGTIMEVPISDVMIWQRRYHGPERTVGDESRRYNPAAMRRYVDVTDPF NEKTSNTEHFLDKYEPKRNADTVADKYRTTKQITEIDKWTRYDSARADNYRPLSISHR RDYIRMGYIPRYTPWEWIAIQEADQPLIAEQIRQDNIGTSYFFSLNRYWRYKASPHGY IRHFENEVRDLLQYVDGVTPWKQAQKIRTYWEVRSHHPMPQFNRPEVAMHRNTVGLLP AHMWETDKKTGKVKSVKDSVRDYQTKTPYPKWVQL XP_951634.1 MLEPSQPQAGKPYPSNNPSQQDPFMPQLGSSNYVQYSPMGSQQQ VPPQGMQQPVQQVPVNDSVYKGVHPIAAFFHIFFKIVALLTFILGGIFSSNPILIFVI TILFVAADFWTTKNVSGRLLVSLRWWNEVHEDGTSKWVFESSPDVEQCVHPFDKWFFW ATTVGYVAIWLLLVLFNLMSLSRLPMALFGAVLAGSNMVGFLKCSQDAKKKITQYMVS QAVGRV XP_951635.1 MLPPISVQSPCFPILSLVRRFDLSSSGGSDGIVLQKVGVPPSSA RNVASFSDRVDLVLFPCKLGDPAQPIGWPQTNQQEFTVMVNDRFITSEFPRCPSRSAS HRTLAGMPLSSFLPKGPDGGIAAEAELKILVVRRGKWTATFLLAWCNVIEPEVIIDRT IARLLREPLSTPAKANEWDSASSSASAAESAAGDSDIDVDSGWVDDKADNTHNSGGRN GRKSADAPNLPNGTAGDNGVQDGEAVVTLRCPLSYGRMKIAGRGNHCSHLTCFDLLTY LSASLQSNSWNCPICDGPVFIGDVCIDSTLQAALEGLDSNAFSVVLFGRDHKEWRSVE GATCGSDEESVDGCEVMMVEEEEEEINQQEKQQSECPSLPLTT XP_951636.1 MYDEKVIVMCLFFFCCVCVCVCRGNIYAYIYIYIHFGGGACQEA IAVVLSYVAGVNDLHMTAPPLYISGVGFALVLRFIHFFLHYPSINTPHTFLYFTLLFF FSSTLFYPLTPLAYEKASRSTFCVSALLLYGCQGAVSEVFFFIYFKISVIVWSSQRPF LNFPIEKDSTSPSVYFLVCLFDCFFLIVEYTFVCDQMVMMGGAGVFVFWLVGTLSGCL KGCEGEEGGRGGRERKKKV XP_951637.1 MSTEATNENLQILLTKLMTDRFCSGEVNDIDACVQNFVPQASDG SWVDQSIHRRGMKKCEPYREVARRCLEDEKKQKMVFKAATEVSTCREERRKLEKCRYA GGDCEQEALEVLYCGMVGLIQRQRGRQEQRR XP_951638.1 MWPSPLILPPFFLLFLPLATHEVYIRNLLEVRNRYFFLLPRQHK HDFYTYSLQHWISGNDMALQRRGAFLLRQIRTEFSLDDMKKKAKEINRRNRSILQKRK RFAEPYPYSKAQYIDAFVQAQSARLAREQRLQELSTREKSLLTTIESSNPSPIIRNEG RHSLSCFSSTAGANTPVVFGSWRDGTTEVERACNFMDRNFHCIMRGATTPPAFSTPSL RRNLPMTLACVQQLLKYAGGDGPQGNTGETWSNRRIKTFNRTFASCVESACLKERDAI LKLMGVVLQTAGERDWAVALQLYNKSVLPYFERHWIQQNHEKRREAVSFLVAVLCESI RSGCGGSMCGRCFNSTHTHPPYKAIEKLVKASREPLLPVAAAPVFSVLHPSDDTNAAR GGSQTKATWVDAVKLVQCFQPQGQARTYLPSLAWAELLRAIRRLGGSLKEVQMVVDII TNPTCTKHGERHMHDTRIWNAYLASSDWHHALDLFSNQWKHYGVKETAETSAALMESL LRDGEWERSLDVFRRLQGKEGQLITFTSSVFTAAFRALEQQRDWNSFASLLLDSERFL APLDISHDWELLSKLQAQRKQKGTPRSTDEIELWRTFVNELRGFNNVTKEMQLAISNA LLACDPVKQREMQGEVEKRESLITAEELYSIM XP_951639.1 MSHSSRDDGDCNQLAPLQNGREVSVWNLQTHEGFSLESVSGPNH EDEEQEVSLASPRLEGTLSSLPARDPPSPLAFVHQRWNSIRGSPRATSSALGSTTTSY ISVSGRSRVTPASARPRDSRDNFRAVAATLVFTHNSSEHVRRSPPLDDTLPLPAVRQA DGEVSVCRPNMNNSSASSLSAASDEMPRPATDLQSSVKFYDGCSGAPTQEAEHLNLCF TRSSLLASRRFNVMREGSTPFSDAADAMCGAQSSEKVFGIGAHRGVDSEHAFRGGAIA APGRELLLDCFRPGYNSSTRDVATGVVEYKYIEVQPIVAVRSEGDNLVEDEDNGCCCC RFPSCCTFFCSSCCCSRSSHRKLVKGTDARVCLGQNPRTAELTGGGCIVKTWNFINVF SGDISLMSRVFCLLNFTAALSTIVAGGLHLLFTFDEQRSRVSDDSVCNGIVLLESFSP DMCFAFICFAMNSLVAVYLALHAVRCENARSFFCHFLTVVLMVGCFVNYLFSRSSSTS SHSIPAWAIVVFSVNIGLLSTACCLYVPVSRTFAHYLCAKGTVREELLRRRRQCMCIM SCLQADVVTTLNSGVAALCLASGPIQVIGGAFLVVISTTASLLFIPMLKRRAQWFIVV FVLVAVATTGFNCYVASHGVYEYFITNALNVYEVSPCYTNLLQYCLSGDFTQLRHSHG LLRYGGGGTSYGHTNEIPFTTGLSREKHGPFNISTECCLDYGRCRLLDSARFYASGVI ALLVVLVAIVRLVLVKLWIHATVENGSDVYMIPLAWARNDTGKELGQPLREAVK XP_951640.1 MIFGSEPPLYLKPVGRRGLRRHEADELQHYPNYVHPVCQQPDSA AYISEPYRLHPALKAVHELRHQQNEGRERQRIIRANRMMRVVERNADLKVRRDMEFER EQEHWKKVAGVSTRNEPSNGLDTVTLQCRTAEARQAMEYKEAVGKSLYYARQKQLAGR RDVHGYNIISGEPLKPIIVPPLPPPISTAEDSHSHQ XP_951641.1 MAELLRKKIEALKSRKAAAEEPVVTPQSSSTTAALAPSSSLPSP STTVTAAAPAQVKSTCSVPLANLPPAFVSPYTAVVKYRDTVKDPLCAQFTTSVAVELF KTFIQQRHRGNVSVQAQKRARSEDFGATERPVRSKEDNDSAKNEEEVQQQREEGYQHQ HHNNSSGEGESLSGVGSGGDGINKNIVHFTHPPLCTVVSYFLPYLEARCFTHSDVKDR EGKTTWASEAPDAPSLLQGYVTSLHKNVKSSVKAIRVSGKTRPPCRSVNEYVPVGQIA HGVYGVVYRATEANGSSSSGGGRGRKFALKQVKQRWLEESEVGFPPYLLREFDLLLRL RHPNIVCGREVVLLDKKSNSSKASKQQEVKPATGTTGREVSNEVGRHNHRTDNVQKTN EEPTASGNDDGLPSSCNQGGDKKEEEPPQIKTKLSADKMKDVYLVMEYCPYDLKAFIY HRKGVAALLHLSSANKHPDAPRCFLSRVKCVMQQLFTGVAFLHDHRILHRDIKTSNIL ISSTGEVKLCDFGLGRHYREGQELTANVVTLMYRAPELHFGITDYSYKMDMWSLGCIM AEIFLKEPLFRAEEESRHFAVICDVIGIPTEETFSGLYKMHEVTRLMRSLKRYNRENT LQQIFSRSNHSGAATLPPSGMDLLNRILRWNPIDRLSAREALEHKFFHEDPLPCMPEE LLAPLPTTVAAEQMVGVPSQREGGAAVVAGKSSSETNCAIPQALESCEGGDNEETCGF GETVRSNAESPTADVLRARASNIDEEVEVEVRRR XP_951642.1 MKRVLVLNVDSFVGRHVCRQFYDAKEYLVDGTFYHHQSTTEAPT PPKMEELGATRSQSSGLCGTTEVQFQETNSTGINEVVPTVRLLNGCPPDATVASGADS AAGKESVHHYVNDMRPYLNAVVPRHGEINDEEFRSQLLTYDIIIAVLEENAFEAECAI KILKGTHYNVEKTFVLVSNVFTWAQTDMRELENRRAERRAAREAARLQREQERANREQ STEDGDETEEEEEEEEEEEQLEVKVWSDEEYRRRYPDTRYHGWRALEHAVKQANSETL HTYVLWAGLPYGAGEDLLRDHFLSAWRHQPITQYGDGSNYVPTVHVKDLSRIIFLVGS SYDTLEDRYMFVVDQGNNTQKEILDGIRAYIGGEIEVTEPVIDRDKQVLDEIEERKTS EELKRREESINESIKNYMEELDTLAREKAISLKRKRELEEAAKQRSMYKSIMNAKRCT DGEEKINREKEELNSHECAVEGIELKMIYLKMELSQKEFERDLVTEYNRLRSLDQQVR EKDKIGLLVKGNVEEYDNKVAAPEIAMADIRLYIDEPHGLGNWFSALNVRCESGAALQ LLGESDWVSLGGFVANVDKVVQEFKSERLLCPMRLVIAGPPFGRVPEISRILANLFDV VHLTIEDIIIAFEAHVAKVREQMVTILLRRRARRQAAREERIRRERQRRLLERKERLR AKREAARGGNGEDDDDDEEGEEEEEEEENEEEEEEDFEEEESEEGAATQLDDEEGEEG QGIPGGGLVSPSPKLDGDENIIPEHQLLNGQDEEEEDEEESETDSNADTKARQLAQQV DEQERFEIATLREEYRFCTKLLNLRLLNGEFPQIPKSDEEEEEEEEEEEVEVNRRGRR KSKSKTAVNTSPDPPPTIRYFDEALAVMVRWRLRQADCKNQGYILENFPRTVRQARLV FLAYTPEQKEQQRPKLYRNSAERAQPPKPCDFAFPLPSLEELDDGRRREMLNAMDGKS FLQSDDALAPTNDALFPDCFIFIQASRDIAEAEVSELKQDIAEGGDHKDPHEELTHFL TNYRLDAPPTESLLSWYQTVVVEKPEEGEDAPAGGGWDGEEPIGGERPPRSASIVFAP MFTPESAVQTDCEEGGGQVVIEDGFVEYCNVLRERVLNNIGLSTCGEVIESIMEETKS ERSLHRSLERESGALSHLKRGFKTFLRIDSSREKALVEEERCVLRYFEAKEMFTEIAG LEDLPSELYLMRYVLPSLTPLMAEVVRLRPEDPVAALADKLFDYKRRTEI XP_951643.1 MQSPKFGRRANMMKKAGESETSLKSLMGFATGTKHHRPRPKDEV VAKSNLRSLDDGVDEENRHQAESRQKEEVKRLVELVAEAPIGYAATLPKLAALDISNI WNDFLQAVHEDYDMSALTACLSQQLDDEDVPWNPDMLLVQLTSDMLDAAQATEGGSGL CASPDGREGAGSVDTSMAAAGEARRRRKQLLETAVEAQEESAARRRRQGGPALPDDTA APSAPRAFATGDNVSGGHS XP_951644.1 MGHQNMLHNINRTLRVTLVDGREMTGKMLLFDKFMNVVLADTVE TRKETKKMKDAGISPQRKLGMILLRGEYVVAVSVLKDNVSEDKAQPANFESATREKLA GAKRKRD XP_951645.1 MGTKSKKKAKTRLDAYYRLAKDQGFRARSAFKLVQLNRKYDFLS KCRVLVDLCAAPGSWCQVAAKHMPVGSKIVGVDLVPIAPIRGVKTFVGDITEEKTKKI IMTYLKREPVDCVIHDGAPNVGGVWSRDLFEQNSLVLHAAKLASKLLRPGGWFVTKVF RSQDFHKLMWVMKQLFDKVEATKPLASRMESAEIFVTCAGYKAPKQLDPAMFNPQKVF SDVEEEKILTPSGALVVPRSNVPAGYEEFATVQQHVASFSEFMSSSDPKAFLRSHHEL RFTTPEEKAFLKSKHSKKELVYLCGDLQQVGDADLRRLVRWREQLLREQARELKQRAA SDANAGDIAEEDEEEEKDDDKFDFDSAEGVAKIARELLELRKKQSKEMKKKQKKIVDR KLKQIKGLINYDPNTSAEHMTDADGFTHDADGVEGSDVGEEDSSEDGEDDEGFTVGKL AAVDEADVANILDKHWEEPDERLNDPLNPVMNANLNLEKDWDVGSDEKEGGDDEQYDG SGRLVRSIQLVEGEEDGVDVDNYGNYIPAKRSARVALYEEGLSKPGAEEDESEGEDEG EDDATRHKRERHQTTLDAAGKQSKWLRHHVNIEKVLRDTFPMPRSDSGNRRKKSKRLE EDRVEMSAPGPLRDDTAGSSTSTRKKMRFDARVDDDDDDMNSVSDLSDGRFSDDRSDL EVNVGRVDSRRKRAIVPDIGKLTTQELVRQQRKQTLKENAEKRRQRGNSGNSKDTEFE EVPVALTDPEVRARTLAIATKMLDPRGRREILDASINRYTFNDDEDLPDWFLKDEQRN CRVVLPVTAEEVEAQRARFREMNARPGKKVMEAVQRKRRRAQRMLRGLIERGKVDPRT REKANNLSVRKLMRSQAVKGNGGRRKNGPLDRKKMGELRRDKQRAKRRK XP_951646.1 MLTGRQKVVTKGPGTAVRAPLGTLYRVYGFVVVPANGSSAIGTT NPSADVTSTAASHAVERGTVYIVNRNTNETSTCGSSGSTHPSCHDGGTHINEYDESPS RSLYEDAVRAMLDTPLGDVAVRRADDGRVTVRDLAWSGSIDRTVRSTVWRLLCDCVPP APASAGRQQTELRRKREEYEYVMAKCCPITITDFLQPRGRASQSDWSQQGGGSLMEMH LSPDDRKNLSQIASDIPRHTQAVFRHTKTVSALARCLFFWSRRYPAVGYVQGIDDIMV VFFSVFLEGAVVEFNACCCCCDGGRVLCEEQLPRNEHGGKHIHTDDRIKIDGDKVGNG GYGGGGGYAGDEHTVKKSVRGSGINTFRACISPSVVYTDDVAKFGEAMERLPVEVLRT AEADAYLCGAFFLSWLQDNFVEGQPGIRRTLALMERVLIVLDPGVLDAITSQGITLMD CCFQWLHCLLARELPLRLVVLLWEKYMAVLNSETVHDFHAYVCAVLLTRVRDNVIGQP VDVILNFLKDPLGTRSLQLQHIPDDESKCSQGWLENLVAEAWQFYKGHPPSMLA XP_951647.1 MAATTEVTPEHVLQLTAPSTGFLCPITANTYNIEFYSFVVRDAD TKQVMFEVERDASTYPSVASLAQLPEEQQQAARTIFYRFPPSMLRKQRVSATLLFGVN GDKPVPDLRMIERHYFRNTLVKTFDFKFGFCIPHSRNTWEAVYDVPQFNAEWLEAIEK GTNEMTSDSFYFADGRLIMHNRAFYDYSAPEEEFTK XP_951648.1 MTFLAKDLTVQRVANPPPLPSLQGVMFGTLFSPHMLVIDADGNG KWGKPRIVPFENLSLPPQTACLHYAIQCFEGMKAYRDSHGNIRLFRPDRNCRRLLDST RRLCLPGFDPDELQKLIEEFVKVERDYVPSERGYSLYLRPTVIGTGSTLSAVAGSAAK LFVIASPVGPYYPSGMKPVRLHVEEERRRAWPGGVGNVKLGANYAAPMLVQREASELG FQQVLWLGAGEEVQEVGAMNFMCLWRPSANSNEVELVTAPLDGTILPGVTRDSILSLV RQWGEARVSERSFTIHELTAALREKRVLECFGCGTAAIVSPVEALSYKGELLNVPCPA PGASLTHRVLKAITDIQYGDVEHEWSRIVGTTS XP_951649.1 MTFLAKKIFVQRVANPPPLPSLQGVMFGTLFSPHMLVIDADGNG KWGKPRIVPFENLSLPPQTACLHYAIQCFEGMKAYRDSHGNIRLFRPDRNCRRLLDST RRLCLPGFDPDELQKLIEEFVKVERDYVPSERGYSLYLRPTVIGTGSKLSAVAGSAAK LFVIASPVGPYYPSGMKPVRLHVEEERRRAWPGGVGNVKLGANYAAPMLVQQEASELG FQQVLWLGAGEEVQEVGAMNFMCLWRPSANSNEVELVTAPLDGTILPGVTRDSILSLV RQWGEARVSERSFTIHELTAALREKRVLECFGCGTAAIVSPVEALSYKGELLNVPCPA PGASLTHRVLKAITDIQYGDVEHEWSRIVGTTS XP_951650.1 MPYPDVYERDYSSLSQPIGFGAFHLVTLVMTGILFCFALFSFFC AMNLISDLAEEFPTRAGKVLRVLVVAVMGAHVFIMVVDRMNFLCSLVSCITNGLYLRA LRHFPLLPLLQPFTWFMGFFVLLESVLWLHLLVSLDAPSEVVGYGNMFVFMIMLWLVP TGLILSVEVGPCGISDGLQMLRPPQGTKLPADQSGKRATIVSSLRKYFFSKS XP_951651.1 MAIRAKMARGTGVKRTVRKKKEEPVYYQPQKPFLHLCRGYVYAP ETVSFDFYVAVPLQQLQNRVSIYDIVLRSVLYRPQPVQAGETDSPSASTAAARSSSGH AGDKTPRLIVHVESLTIHPESVQEFSYKGGVRLRSTVVGTLANVHYGMLVGVVEEVKY MDTRVVQVSCERPPWVSTPGGNPPRATRHAQLTARCFREDSIAVGSKVVLAIEPGTVQ CMAARQPVPFSGVFKLCVAGDEVDIVEEESSLWGG XP_951652.1 MESNKRVTGRNDAVDMEPRENARENRKQHQRRERQKYDEESSDV VPSAVAVPHLLGTEPIQLTDGQRRCRREAEQVALRKERKYYRVNRFDRFIILLFFILI PSLFLRMLSFLTSEWIVTARPKNHRAVGIITTCFLSIVNVCVEHGYSNVHLNLIDALS GRVVCEMSPTDVARLTTSMWALSGLQLCLNLLALAVMLRISCRPTRSCLHAVVMGLVV IGILLSACILGLFYLRTKCEKKGCVAHHLAPPQCTVAYGWGYGLYVAALILDLVAMLT CICLLSYNAGLQNRVTQRHNGTVQSEAPASSAEAPEASEALNDQYLTAASLGIKIEGA DDWVYDSKSDFFYSFKLDAFWDPVQRRYYHRKLKSWLATPDGRVELPEAIADV XP_951653.1 MPSGFFQALRYSTNVGEEPYQKQQKQPQNNKGASMVCPRIREKQ LTSVVTEGGCGSEITAQLQLQLFRIYANVSRQREWFVFHEANARALAAEAEKLRRRFF PLEPSFLEGLGINAFGYTTQQLPLLGGTGAMHEEITPCATTAQFPVRQKENEERGGEQ KWAGEYRKQDAGANSSSHKPLNMVAANHDTNAFGLTSGWSGGEGDMFDSWKSGEPLCH LAPDYLLRLTASWIHERFSPVPTNPHVCEKSSHASAAGTVVESLVSLLLPILRSLHNL VGAARWRTNSTGDHRAADSSAVVDVLPALAKHLFYLLHEVISCMSLRAVPPNALNDEE RAEGEHILFYLLQEWDLLLLLLCSDERFPLGDNGLFPPQHSGHGPVAASVSAAVPQSI VIEAFSLLSTHYLQITERR XP_951654.1 MTGKDGKVLVADLPMMYPKDLLRFEKEGGVWGQQYRVRRQQLTL AVGAAAFSTLLGIGYVRRRRNTGFVVFSTFTGFTVLGFCLGATLSPIIYPNVASNRET SMMRRVWWAKECAKHWDYSQMDGNSWHAAHPHDRLPQQLKGNNEECATA XP_951655.1 MQQYTLRVAGLADGTADDAVREFFTRFGEVTECSVAGTEATVSY KNQDDYAEALRMDGCEFDVGVTLKVEAVEKTTEDVPQADGAGAGSAEDAAGEVSNGAN RDGLSDTGNTEESNKQKRFRDDYKVAVRHLPDDATEQQLRNMFQSAGTILDFFLEPRR RYAFVGFDSREAVEAALKMTGTELNGTIINVELKRTVSRESQLEMKVVVKNLPPDVTE NSIRNFFAAVGEPVDIFIHERKMFAFVGFADESACAAAIQMDGTEMDGHRVQIERRQR QRCFKCNKEGHVATQCRGEPTCRTCGRPGHMARDCRMQPGSYDRNRGGNMGAMGRMGH APPGGYYMGPMGGDRRGDVGRRPPYNDRRVGGEYDRRRPRSRSRSPSYERRHRRRSRS RSRSRTRSRSPKRFTRERERGRDRSRSPSRRRYPREGERPRVRDDRVRD XP_951656.1 MTVLSFFSFLFLLACVISVEIVCPYTKVEESFGMQAMHDFLLCG KRACFDHLSSPGVVPRTFTGPWFVASLAMLPFLCDVNYVVRFLLEPLKYVVAGDVAWF VRLVEDVGLVGSSEELFALFPMLASNMCRVTLGVVTCCALGYVGCGIDSAAKWEKFKS TSSRVAAARCPVSMRHVSSVFYLLCLSQFHLVFYATRPLPNTYGLIMCTLACGATCRG KYYWAIALLSATSALFRCDVLVLLAPYSLFLLLRGDITLLRGVAAGICSVAAVVIFSI GMDSYLWGRLVWPEAVVLLFNTAENQSWRWGRLPVYWYVLVALPRSLLFLYPLWLTLV CMGWGNIYFALHRLSGAGRREGTTSEEPSPAAAHCALWVFLDTSERYADLLVPSSLFI VLYSLLPHKEVRFLMIVFPWLLVPLAAAGTRLWDECFARPLSSCTLEVTKGKGIVQGR ATLVKWRNEGANLRSRGDGKGGFNVRPRWFLACLTRRSVIASLIVLLYTVQLATVLLS VYLSADNYPGSEALRRLHHAVEKDVRNSSSCLSRRLTTRTNRSNSISVFIDAYAAMTG ISRFQKVHKIQRRNPTNSTFATAGASQQVSDRFVKLLTFPFRALMSVGRSIVVGDTGL HDEELFGTGNVPSPAVVSGGDSSRTEVSDAFSLSILLQRRGLEMQYIKEEIRFDETND LYNSEGIDYVISRYSQRELHRKHGEYNEIAVVHESNRLHMLKLRLMQLFWLRKRHEVA DQADPEGKPFLIALGPRC XP_951657.1 MLRACGVLQLRARPKTVCVEPGSNRLPEALVVEKARDIFGRPEF PGKRVLHNWRFFIKAGKAATGPPVGQEFSKLGLKAMDFAKVFNDRTKPHFKEDVELIV RIQVYFDKSYLFTIEPPPTAWFILRALRKKRRETGPVPLRGHYCALMTLEMAYEIAKM KPLCWGRPEYPLLETRVRRVVGQARRMGVCFIGVDTPYSSPVKDMTEQQYTEECERYR RIHMEQYTTLRQRELEEAPLIERLHRPNMSPLTDEQIEEGLRDPCLLDTLWRASHPLS PYHRDLRERELARRYLNARGWVKDMTPEEMRIVFMNYRLPEGEKRKQMDEAAMSGEVY WTRDGAQL XP_951658.1 MKGGGGIVCFSQECLYFPSPLTDASIENIIELSSLLVRTEDPCE NVVAFKVLSAVRNRYGVQPSVGLIFAGECVRVKFMLDIRRLRRLAARRGGVEEGAVEL PDAGTRDEVFVDICVVPREVMAPYESVSGFFGGDCSSKGPSGPDVAAAFWKQRGRVQA RERNAVRCALRCIYGELGVPDSLVMKRSHGKVEGLVEGCETAAVEKAPAAQPQLGSAV RNAGGEVSPPSTALRSRHSPKGAGDQTAASASPLVYSPVNCASGTVPSGRCSNGYEAA VGEASRMTNVIAPDSGREMYSLLSVFLLYKVPFPVCCILLFLSFLCGIIE XP_951659.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCSLHVTAADGDDGRVI VNVKEYFSMDNFAFSRHGKYFVSYNNAEAYCRDNGAVLPADQTEAAHQSLQDAMKDVL TGSDAFSYLGGDAVYSSGGAIVADKRCKPGDAASSRHCVYRWNKGLFAKALPDGHGVA FWRGSYVKYAFAAPMNGYPSFWGSEYPKHGQLYTLSWYHEDDDVTTWYDGREASGTIR WTSQNFETKSQDYVVVCEVHDSISTTTTTTTTTTTTTAPASGEVPEPTVTKDEEVTVT TVSLEERIDLLIILIALISVVSLIVLLLFLLRWFCDYNVKKEIIPMTLREVVGEPLFV LEPTPFVPPEGFAAPPLALQYYNQMMTGQSY XP_951660.1 MSITVDTMSAQPLGPYRQLHNFTSTGELRRAVMSKEDEYSKFER EIVVEVNELRRDPARYADILREEATVGYPYVRADPKTQCCPEMTLEQLKVYIQDFKQE HNALEESIASLKKDWNDAQLDMRERWNHEDAERAKKLRRANIRKRRGSSLIDDDYLDR RQRAIMRMSEEFKSQIKEAEERKRYVERSCMWATEGATLILNCAQWLMQAESVPLLEY SRSLTLAARDVSNGHQSVLGVVAAAERPHGSPPKKGMRRVRASTESTVKGSQVPTSTT CAMSELSEHTNSMAKLSAGLSGEGSSDSYKGSSECGTDGDSDDMERRQGHFNSGRNSY PSLSLSQTGAQRMDQSLLALAEESESLKQTTVSVYGKYGHLSGALRGIQMCNAFTPRK MVLQMLLAQRLPEFLLLAPNVNAEAYEFPAGSIRNPLLWKDGRLLGCGWVRAPDGRVS LTLLLATNFEELSLIHEQRDFSLPQIHRIINSNNNNQNGDDSPQSQSRKKELLSGRVV VQLQSSLFVEVVEPTSHPIFADRNQHVVRVVVRACMCDVDISATATSTLDPVPMVPLL DKELILVQRRLDDLNEVEILLDVRSARMRWSSQPLLVHIFERPRGLGAVEPFRNVGCI RVTPTNQCSLVQPEQNYGSLVRYQQHHHCHQPGLHQVPADGPVVRLPSALLQLRCACP IDQTEEPCGWPLIMNEFQQRGATLIEPLCGVWTTLNHTQRVAVRVPRNDHLRCAVDTI STHLYREERAMHQEQRESSQSQLKEQIRRAKEELAEAQEEEKEGIETLQQEIAALQKN MVRRRGKELANLKRQEDALQQKVNELRDRVSERQDAVSDAEEELVLVCRRSAARSRRL KMLRREYSRLRDLTDSSKCPRVEVALIADGYSTFVSSDVTRLDVVDDACTVFVGEVPL VRGFCGRAVLLVDGLEAVQWDVRPGR XP_951661.1 MLRPSSLCRVAFPVHRDTTKTPRLVKEVGSWLYKRETVESFRAW CKANNVRGSGNVKVVSTMHYARCLRAARHLRPGQAIITLPHTACFNFLVVAKEMYGVS GKGGTVSHNFPLEVNWMNYDERCSFLRSASMSELTTAGWMCRIASLEESRFTPYIHWL LEDTRGRDGVANGVSRERGEESGLVDHYFSEMATDACEDPEEFLENLFRSFAALHLRA VPIESAAIRLFLPGTNFFKAKVDEMFVPTLMPLIDAVPQLEDGLHNTAVEYFPFSDEE TLLKQCKDLVLSEGGTNEMRELRRRCLAQEQGAGAFFALRALCPIEEGDYLYVRGVPK LGAPEQESMTVRVMEANRLLNNE XP_951662.1 MEERKGHDRTLVKRHAFGVKADVSGCVCWVEEGTLLYPIGKTAA MHNLNTNTQRFFETSQRSGGITALAVSANKKFIAMAESGAAPQVQVFDTVTRKRRKVL TVPDLEGDRFTALDFSADGRHLVTQGGAPQWRLFFWNWERSKPLASTSVVADFGLQEI CEAHNESDAAPGSLSSGARTTAAQSSTTSMSHVTCVTVCPSDPLLIGVSGFGFMYFYR YQEGVLRIQPHISFARERTSNFLTHSWVGRDRVVASTQNGELLLIEAGVFRRILPVPP STTEGAVNPAVLAIVPTRNGFIAGSDQGTVAIYETIGSANESYAIVYNVPVPSEKPGE VKSDDLGVASRPVATNKLRASIISDGQTEGEGGQDAPAKPLFVINDVTQGKISSTTES SPTVSGKGIRPAQLRLEAGRPEPRKDNSVVHLCIDQTEETVAMVTHGGQILAFNFASD WSKVSAEEPPTVLHVCQPFHIGGIIGLDCSVKKPYLATSGVDQSVRIWNTSTHRLETC EYFTSQPGALAIHPNGLYLVVCFPDKVRVLSILWNGLRERRVINLRNTTDVKYSVGGS YFAVAHGNIIHLYNSLTCDVHGQLRGHPQKINCFQWCATSPYPTDNSIISSSLDGIVI NWNISEMRKETEYADKKHQFRYITADDRTLWAVSEPTSIAMDVQWKSTLHEMDRYTTS DIAANAAVTEYEFVESKVTSLLIAPKQRMLFGGMDDGSVKFMSFPLQVGVQEVPIVAH MGPVGRMVLSHDESTLYTISSDGTLFIFDAREDGRPLQRDLGYFSDDVLVLASEVEDH DITIESLRHTTEKLRTDIESDEKRRNHEQNTRLRERADEFKNELDTLDAEYEALLNAK AEQERAFVAVKLEKEGESAPQLEGLEREGQAEVQRLEDLCAELQHKLDQSTFVYEREV EDLLAEIQRHQEEDHDRFKDVVSKREKGLQKLEQQVQRTKANNAEVRQRLELDTDAEV MNVEKQNQAELNAIRERYLRMKGEGAIMRKNTLRMQKETDVHNSELQVLDNAKATLTE QLSELNETMAQLHQDIDERDAIIGEKERKIYDLKKLNQELEKHKFVLDYRIRQLKSQM EPRQREIAREHQRISERNVELDNLHGNNIALRQNIEELKAELAQQQQQIKQTLSHMKD FETYKSRVKRDIGEIAPAMQDAAMLRDVVERLYQRHVVARDGQRAAQVGQEIKDEFKS QVEYLSTSVEALSRKCEADQEQHRCEVSAMMMENLTLIREIHELRAELADLRNVSVTV ADEGKRHAMLEERGKQGPPGRVALPTGLAGVKRPELLWELEENRKALKSIRDHVESLQ QMLASLAPPKSAIVSLPPLV XP_951663.1 MYGRCLHRLSRSAPGVLGRQWQSAFPQGTSTKGGTNTDAHIFTR SASPIHMYANKENAWKDYTDDRFYGAKRMKEYWVRMMYDRGARYYVLATLVAIMLAGS KLWSLQEKQKRLLGLIGPKKKNYRSRLTVVLDVDETIVSYGDKAFRMKAGLVPRPYLA ELLDYLSFIDAEVVLWSACSERYMQQVLNVIDPSGIRVSQCIVRNRDWFTGDNYYEKN ILWLKRPLEDTLIVENRPLSVRNCNANAILVDDFIRAEYMDTGKDYPSNDNALRTLKA IIQDLHESGLPVKEYLADAKRRNKDIKEIPCHLAFRQLPDELARGVFYFIGEKYKHSS AS XP_951664.1 MLLLPPFLIMTNLLLSCYAYYVELQANRAQRYGDTYRAYCDVGM FSCTRVFTSEYRSISRLVGLPEVSNALLGIAFYMIELMACRCSLLLLWLSACSCVLSV VLLFVLVFILKDLCVVCCSMYAINFITFALAVRWRSQTGRVHVD XP_951665.1 MLGSGKSSGVVNEASNLKANQNLTSAIEEMNTKEYIRNRDLNDV VPTHRRQVEAMMNKGNNNNGKVGLPAYDPAAEKEKERKERGQTHIEDRNDSDDDGELE ELRKRRMAQMQQHHAKVAEWRQKQHGQYREISQDEFFSIVVREKGGSDDVCVHFYHKD FETCRVMDSRLLELSRMMLSVKFVKIDAEKSPFLVERLHIKTLPCCVLFHNDVAVDRI YGFEGCIGEDGLLDKELLGERILRAVNCKQEECE XP_951666.1 MVRRGASAMNRQRPGVSGTSPELGKGFGVSMVDVEKVFAVIRFI SQHSSSEAVPSVSCNISVGEERSMAAKKGALDSSNALLSSTVDQALERYRMAVTNVPV QLDLVESTRSDLRNVMLEAYADRAAEVNALWECGTKIPLSPGAEQPLFLQGNMYQVQS MHEVVPGLFIGSYHPASNKILLQQRGVTHILCCIDVLPRFPNDFKYMTVPAQDAPNYN ISIFFEKTYNFIESAIVGQCSSVLVHCGAGISRAPTIAAAYLIRKLRMPADSVIALIQ RKRPVASPNAGFRQQLKKYQRDLGVA XP_951667.1 MLRACGVLQLRARPKTVCVEPGSNRLPEALVVEKARDIFGRPEF PGKRVLHNWRFFIKAGKAATGPPVGQEFSKLGLKAMDFAKVFNDRTKPHFKEDVELIV RIQVYFDKSYLFTIEPPPTAWFILRALRKKRRETGPVPLRGHYCALMTLEMAYEIAKM KPLCWGRPEYPLLETRVRRVVGQARRMGVCFIGVDTPYSSPVKDMTEQQYTEECERYR RIHMEQYTTLRQRELEEAPLIERLHRPNMSPLTDEQIEEGLRDPCLLDTLWRASHPLS PYHRDLRERELARRYLNARGWVKDMTPEEMRIVFMNYRLPEGEKRKQMDEAAMSGEVY WTRDGAQL XP_951668.1 MKGGGGIVCFSQECLYFPSPLTDASIENIIELSSLLVRTEDPCE NVVAFKVLSAVRNRYGVQPSVGLIFAGECVRVKFMLDIRRLRRLAARRGGVEEGAVEL PDAGTRDEVFVDICVVPREVMAPYESVSGFFGGDCSRKGPSGPDVAAAFWKQRGRVQA RERNAVRCALRCIYGELGVPDSLVMKRSHGKVEGLVEGCETAAVEKAPAAQPQLGSAV RNAGGEVSPPSTALRSRHSPKGAGDQTAASASPLVYSPVNCASGTVPSGRCSNGYEAA VGEASRMTNVIAPDSGREMYSLLSVFLLYKVPFPVCCILLFLSFLCGIIE XP_951669.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCPLHVTAADDDDGRVI VNVKEYFSMDNFAFSRHERYIVGYDNAEAYCVDNGAVLPADQTEAAHQSLQDAMKSVI FEFEAVSYLGGDAVYSSTRVFEADKRCKPGDAASSRHCVYRWNKGLFAKALPDGHGVA FWRGSYVKYAFAAPMNGYPSFWGSEYPKSGQLYTLSWYHEHEDVTTWYDGREASGTIR WTSQNFETKSQDYVVVCEVHDSISTTTTTTAAPASGEVPEPTVTKDEEVTVTTASLEE RSNWHIILIALISVVSLIVLLLFLLRWFCDYNVKKEIIPMTLREVVGEPLFVLEPTPF APPEGFDAPPLALQYYG XP_951670.1 MSHILLLGDSLTEWGFECGWASRLSNVYVRRADVINRGLCGYNT RWILSILKNDESRHHLLPAYAPRPLFITLLLGSNDCATGGQAVPLHEFKSNLRAIIDL VRKHASPVGGIFLMTPPPINVEKWHKRLQREFGADPSTCGRSLERVLSYRDAVLQVGC VEKKAHNDVHVVDLYERFLGKDAESPNVAKGPWCDYLSDGLHFSETGGALVFDALMSA IESSPHSAQIIPGNVATQLPDFMTLMK XP_951671.1 MLKPPFIRQSAVAHARCVVPVEYGIAFNPEGSKPSLKSHLFSEL FHVTKGRSDAALSVSFFLYNSLPLRSLIREGILCDIIVATGLGASAVAGLLDTPPPFP LVPGGEENTRHKATATSEEGGSWRLLCGVQREYALFVRDMVGGCRLGRGWHAERSEKK YEFFWTVQETWDCATTIFTYWLRQDNILSVHLLLPLACAAAAEDTTGGPLRKSMQLLV KRGAHGGVLWLLWRCAVSPDVENTELLESATVEVCRAPTGYLFQFPNDGSELDALDGC LFGENEREERLLLSLQNILPISCIPSEAERFSPFWRLLLFEMVRYAHFVLARTDVGTR TKVGALQSLRRTICSFRRHGRVLPILLLHSALVSASHASVRGDDVAGLESPLVSAAAG RFGPLVYREVLLLDAYTLMTVGHVGETAPDVNAYAKEKTSSLLSRCVAHLNALERSAP AVGCDRDAIGLHRGARAVVDRRLREAIRISFKLFLRCGAYEIIERHLHDCPSLGGSWE GGKALVLLGRYSEAVEVFTHFLLGARRHFAAGLPHYIRDLMLEAVEGASRRYCSESGF EEALVGMFRLYDLTRAWKFPIPPAVAFSAVAHGCAGANDSHLGYATPYECLQLLHALL HRHTSVETYRRDGLLSKVVELYVRLLGECRSGEATSDHFCLLSLLDRAHPGLGARRMA LQHFLSLGFVEGISVVLLDVVGAPRCPVTHLSPLHEMPLASLESLNHRVTTTEMGEHT DKVLKAVQSALEFRRYVGRQRQPWRCRSCTRWNRRGASTCQYCGTLELAVIQCRGCGG FSPSNFPICLVCGAETLERGAGVGCDALPLDGCTIFPLRRWRCGRCSHTNEPQHLFYC ARCASPQPTMEEAMARTAFDCRVCGRHNPLGMLRPWCSLCGTLCTAAASGPPKTLWRC VECHTACPWLLTHCHGCGATKSASFTRLDTPWATRQCTRCGATCPAWSVVCRSCEDVL EPTERDPGRRDIDFTEGGVEPAYTSCPHCGSLYDGKEAVACPTCHAPRPHTACTLWVC LKNNCLGVNLRKGSGEGLLACLHCGAAHDAVAVATYYTNPLRYREIRSCTDMHPSAAE QKVGGAAVASDLHHTVKEVEDISGSGNHPAGDGDGVSPGGAEVCLPTALLFPLPLGPS PCASCGTFLHLENLAGVCPACNHCNQRRSLPSSVWPAEQEIVHRLRLKIVLNAVRRAA HGELSPSTALKLLRSAVAALQRAEEAALPWRGCDLSRGLRCGIRPRVPVLEDGTVHSA VRSVAEIIQLACLLALEGKPNNVVDGVPVVSWAHKRPWVAIALDLVDLMNLTTDYDEL GFEVLSQLCELVRPMQKAHIYRETRWAYLRYMKLPRQWIVNDVVCPACLHRKAVPRES RVVTEVVGTNMNDICKCKRHTTANSAANSGGSKCTTAAGGLLPLHE XP_951672.1 MDFMNTSETASSAARTAAVGAMTTDGVSRQLPSETRVEVLQRTL QLLRQEILVPYFEPVERLRVTNNRAAYVHDIVDALHRSAFGRGTEV XP_951673.1 MTCSVVPPELRCFRHILHIYARNLPLKNAGDEPGAHGESKEAQE NDRRCISSYFVISSAPKTTNQRGSEAAVDAGVAERASTDGGRCIYMSEVQCDTQHPVW QHIPESVRMQFASLTKFIFSLYSCTTHLKDPRPAPDTDLLLYEMLVETSHVQFVAPSM TAADELPQLPCHVDNGLHSFVLLLRCSDGVFFPLHQGMCAEREDSAEAQISLFKMAAP TAWGAGGSVTSSHNETRNGALLRRIDSLKNMSVGDLKACSTGTLAWVYLNEFGSARAK LQKALMDKADKYGYLGGEGAVVGAQHAVLKARLESARERQRYELLSLEKLRREYADER RAALSLYTQLETVEQKLDQERMEQELSISTVQEQERKHASLRTQLGRARYYRVVELRE LFPIRCAGNSLHTTAAGTGYSICGFRLPTLNQSEGVSTELLQEWGLSLGCAAHLVVAL STLYGCTLPHPLLVCGGRSYVLRRPVLSPEVVCSPGSGTGSDVRLPLHCCRLADRSIM DAAVLLLLLDVASVAREAGQDVIATRAEEAARTVDGIKLLGDILQGLLFRPPSPTGSA VASPNDQGV XP_951674.1 MPPSHTLLNRRGQQFSSRMHVGAKRKRVEDNASPVSSLYRPLCR GLSTRGSGASAVSCPSVVVILEKAGLLLGREGVVDAYDRAGSTTIANASLRDVRPDIV HQCLLALFDSGLAQEQRQLRVFINLFSRGGRTVEVSPSLRPPRTLRRFKGLVAALLRD GVVRSQDGEVLLQVLPGSLAPVLPYGAEVIGLTNAHSAPVRTPTQLARAALENPVDDK LQGGLKGVAGFFCICCSEDGSLDGVDYVTQQVCLSAYPMTAHVMCSRLCEGFSRAAAT GNR XP_951675.1 MCAFCAFTRGVLGRRTFLRTRLRRNIYIPSSTIRIVFMTQEHGS EEGTLNALRTALSSGKADSIRTLDENAVLLHHKQAVPELLQLCNSVGGSHSMSLLNTA WKLLLSVAALKDVTAGLYCKILHFMLSQLQHHIFSHDWSDPKQIKLTTFVASYLVSTV RKHPSFAVRDEAFVNSILDLHITTVFTLVTTENGEAAQQLQKQVGVRLLGTLGALGGT LGEQQVGEDGGWSPLLVKCLRTAPSEVATSLGDEVDVDSITAVAAMTAMLAMARSMVP LLEKPASLERLLEVSLLWLPDMTVVLVEQDSGACALHKRVSQLAKELPREVYYAGRGH EVEAMESSQELDLGAQLMLLLRSTVRRSVQLDQQDGNLEKNGSGFMCGVLQRFRVFSI LLAALLVPNDTSAARVLLVWEAALHALPGALRRTLGEELLLLGEGQLCALRRSHTLQH DKVETLRRFLNLLAVLTAEICRLLRVPPEVLTGTRNDSTVGSVPPEGPTFHRMVSILY HQSRVYQWWWEEMLVLSGNERAAGAAVSATPPGTAVSALHDELQSAFSHNEGDQKQRL CMVLTSVPGKLVEILRQCNHTSGSRSINVVPLFSVATRAIEALYNSADSSDDVRVCAR FVAAEIVAVAGLLQCGDSAYSTLLHRLVQISFKPLESGSDLHGSRLSGEPADRSQCVE GILRYHAAVCLYLFAQRETSVPVAELCPGGSVATALRQILEGKGLTTGGDVTMHSCEA LLAPQVTVWQMLFPHLGRVPTSETKAIKGGSQGSQLTVDASSDSSHCSSQSTPGRLLL PLQKCEETLRLFLESQESVGRSLTREETETLTRVEQLVAAAAVMLRPDIKSNGQGRFV SSEGPSGPF XP_951676.1 MATRGVAPPPPVVRVPLLEPTPTSVTAARSLWGKPPALPSTGSI LTDHYQTAHPGRVLESVACAETAILDSAARMAHIEELLRSVHKHCDAPTRETAAVAGA LPQSECTKAKCRTPIGTSLEQREDQRHRGKGLRPPSPRPPRRDESPAKPGWNRRTPTP RKKPVVSDPVTEPRRVTRSSSADNPRRPQQEGLKRVGSTGSDAHTQRVSGKSYAAPSE SVRRPSNRSAPSNSRASSVVVSLARKAEGTTTLDSVGREPSVIDSRLDFMQSALRDAQ ERATRAEARCEELEVAFHALKLQHDEATTRLEERQSQLVAQVQYLLQWVRQLEEAILV KGEVLLTKTECVDGAGVARGSQNRCVTEEANSGSLLAPGGTRGKPVHQETGAKGVTLR VPPTPPMALRLQHK XP_951677.1 MDAVHEAHLEKILERRRRRNAPNAAVTISCSPPAGAATDEQVQA IEGTETRRASSATSPKDLVSGNRPRAQEAVDAVPEVTRTCNSSAHSAEPTRGGAEDDR CVPCLVINVSTYPDSDSNRRRFTMLSTDTGYCSFPISPHGNPRGNGNCEGSRWEAACH RTNSLYSSVNGERGAINTVTALYDEFPIGRTVPQLSYSKPEACFNPSGENTNDLSMRR FGSPGRCVVRLVHRQPLEEACVQPLRYDSPNCTPVSRTGHADSLAVCPIFSLDTPRRG ERVQHLQNTISRDTGKLEGDRLQSGELFLEAEEPKPLPAPLQVSPLPGAQGGVGTVSL RPNALTRLPVDELRLTTYAPVSLLCGGDWFYKWSSRAGTCSPRWVWVDIVNLFLLWAH WETFETTFARKIRLDHITRVCYKETCESEEVECRSPTRAPRTPHTLLVKTSRRLLRLS TESKSKADIWCKALANLLSHLTSPRSQATMSSDDPVAAITQLDDQSAHVV XP_951678.1 MMCKGLFSKLPPNIVYRFLYANTGLKSCCWKAPLTAQRRTFHRS PQLHFELNPSQQMYSMEHAFEEPTFRFICEGIDIDYKEEVRRALMEVLPRNPSNFVVR DDLPQSQGSSVQRTVQLLRAIAKKRLLSFAQVNSTPQLFCTFYEVIAMCCTPLALLAA DHFTFAAMIALYGSKEMKALLLDHVDSCHIVGAIAHRELIAEGVPLNTEARYNRGENV FVLRGSGKFAVVGAVCADWAIVTATLTLNKDDNMGIHAFAVQLREGGVLKKGVSARPI NGENEAMTAAGVGVLHFENVTIPVTSLLLPSEIVDGKLVFAGGAATLTSVQALTRQMR LASGALYVGTLKRSLTNIVRYVAQKWMVGPDGRRNYPVFGVQHVQSPLVKLLCTSYVY MTLWRRVLPAFTKPEAQPADYEDEMKLAGTLYFLTDNLSTLKSFADDFMDVHSSLRST GTCDVLLTVQMRRDGLDHSSLIREVAFKSVVKNVGTTHWGWWLSSCFQSFAALDRFVK NPFYSPRIADLGRHRIFFSHKHYREKKRLRQSREIERRKGGSEHQWYDWVMFRHEQVV HCGEAYMEMFALEVMMDETQACTDPRARKILRDMGWIFALSRQQDRLDYFLTQQMMSP SKSCILSSHLDNIVTVMAPQCVNLVDAFQVPRALRAPCAAEDMESYWTIPGTDTGIQR GDKVLLFGDRKPFGNTKAEQEKMEESREDFDLFHGLAGKQSFAQEK XP_951679.1 MSDELGVKELADLLADHEPMDLVVPSDPSEVEGSYDEVYKKEQQ EREESRKHKRRKRSRHGAKSRIKHSGGRKSDGVNPRVRSKFVIDAAESGETESDDGFV VGSDEDSESDVYGGPMAMREGGKRHIFLEGEEDMTAEEVARAIEERYRSGRKKANRTE ALLSSGIPKGKLSSLRYASHLLPQDTDPKVFAVKCRPRMARVLVARLVNKCYAFRIGR NYEKRKVDLGIISVFCFDHVKEYIYIESHRKAFVENAINGLVGLFRSNISLVNPSELM QMMEHRPSEDKIRVGSFVRLRRRQYRLDIAQVIAVDSASRRVTVKVVPREDFVGKTCN KPEVRMPQRLFVPNLAVGAHNRGEMYAWGDLIFDGEGYLHLSVSLSQVISGVKMEKPT VEELAAFFSSDLNRVREAASHFASNGRGSAGLRIGDMVRVVSGQLRETVGTIENIFLD TNTVALSCRVPMRGETIKLRVELPLCVKHFTEGTHVVIDGGVHAGESGTVVKALGDVV HVFSDRATATRELVVRADDCHRSNLVGSFGHTSGSWKLFDLVMLPDSSSVACVVRLNR NDVCVLTDRMETRYLSTTQIKPVLTGRRQTTDQLANMITRGSEVIIQNDDSSPYHLDG QTGRVEQVFNTTLFVRVKTVKENSGLVAVDASCVLLIGGRTTTKQIQPAKQLPTVNRN PHNASRADLSVPNPRMTSEDWAGNSEWYEMDIE XP_951680.1 MQRDADRMKRKKEEHRDEEEFGAFRFADGSMYEGKFCRRESNAD GTAKSQFPSAHPPTTRNAQQQELPPPIPFHHGKGVFKDAGGLVYDGCWVEGDMTGEGL LRFPSGATYEGSMYANSFWGTGTYTWPDGSRYEGQWENNKMHGKGTYIDAKGKRWAGK FHHGQGVGLLAEVSL XP_951681.1 MDLFGAYASHAQAVLCTPVRDKYSILMEDDKIRVGTSSMQGWRS TMEDAHAIHLSLPNLPLHISPHDAAMAAVFDGHSGCKTAQFAATHMARWITSSESFVS GNVENAIFEAFISGDAAIRRSMPHEQSGCTGNCIVLVQNNLYCGNVGDSRAVMCRGGV PLPLSEDHKPTLLREKERIKKAGCYVRNGRVNGILSLSRALGDFAFKDHHLKPEDQAI SAVPDVLHVKLTPQDEFVVIACDGVWEKFSNERVVKFIREEVGDHGDLSLACERLMDS CLAPVSAAPGADNMTVIIVQFKSSFLKRVEDGFADDHSSNVKL XP_951682.1 MPPKKKDDKSQESLTVLLGRPGSNLKVGIVGLPNVGKSTFFNVL SKKGVPAENRPFCTIDPNTADINIPDDRFDKLVQLNKPASVVPAQVHIRDIAGLVRGA SHGEGLGNAFLSHINECDGVIHMIRVFEEEDITHVEGELDPVRDLDIIFSELVLKDLQ VVNGLIDKLTVIVNRGLDKTKKNDLEILHKVRDHLEKGEQVRCYQWNNKEIDFLNTLQ LLTAKPAIFLANMSEKDFIRQRSKWLAKLKEWIDKHTGELLIPVSAEMEANLLNMSSE EAEEFLKQNKTKSQVPKIITSAYHAINLIHYFTAGPDEVKCWTIQRGTKAPQAAGKIH SDMEKGFICAEVIHWEDYEKLESEAACREAGKQHQEGRNYEVRDGDIIFFKFNAAKGG KK XP_951683.1 MSGDGSDGWGDMVLPGVFMLRRSAALPRKLIGPVSDGDKMEIIA YKIEEIEKYIQKLKESNANINEFLNDEGRNSGTRHQTLSSNDISTLNADGDDRVLLEA LAENAALISSKTRELEELKALLQNNCCACACRSYSSTDGGSGVQEPSVTHFTGDEEES SVNDMSIARFSL XP_951684.1 MNLKELLTFLNICFFEVYGRRRRFLFVDSSGISVKAAWFSYVVK YSMMQSGDSCNQLFGALLLSRASGLNEVYFYEESSRLLVGFLVCRLFGAVDGVVGGVS CCYRKRMYLHQAVKLLKEWANEAYFGFLWSERCKSTFSHCCLHGVESILLSCGVLAKG DCAFLAQLISNVVIQKKNIYFLTSDAHMLEVSQCLLSSVETQLSEVYVEDGVFISVNL PIESRMLLEGDCREGGILLFSCSLEMRGAVLEDFLTLVGSIGVSFLGSQRLMCCELKE LLFDMGIVSLERLSLCVCDAIQHATGATPFHTEHEFCAWAEYAGSAKRGFFKVGMVRR ATLSREGGLWIYGKNSVATIVIPVGDPITKLALLEVCEKAAQYAYEVYSGSMYTNGGV VGICCLFLAEYICRRLPRHGSQNAYLRHFAGAIHAVLVSHAICLNPSLVEPPATYVQE VEKIAEDHAKLMSSMSYANSVVGQYRSGRSYVSSGHMVDCEEFRRSVRAVELALDCVS LISKLKL XP_951685.1 MLISASFVSYQRMIVAEHRVTATMKGVVDVMLEQLPRYDTKAVY QYDESVFHFLVENELVYGCVTGVDHTKRVVFEFLSRIRDFFKKEFAGSDRRYPRPSAI SFSACSKFGAVLSDNMRSFNETNSTDKLGEINKLVDDTKHTMLGNIDVLIDRGERIEL LCHKTEVLNTGSRAFQSSARSLKWKVCMGKMRFVIGTFLLFLFFATISFIFICGTNLK CKK XP_951686.1 MVVTLVEPTKWANLIEEYPDTLYSSESAKNVENVLSKTSTRRHQ KVLFNAAKPFMPKMIHDCIGTSILNSLVKYGTVTTVDGVCKIVFESCEKILRCRCSPE NQRIESLGSLLERIVYRYDCLGNYRQNIIEVLKSLRPSQLMGTPVLLLAAARLLIQNR DFASLVLTNSEARTEFFSASLVRTNRGVVSAFCKILLSEDALKDGEMTGLCSAFIFDS FSGLYEPKATLRPMKDITLLLASCGSIDGVRNLGKSLARWPDIHGRAKGDDYAKIVAH ILSRLPDTDSGLPLVKAVLHSEEDINDRLRCRKSSHLHLLASIAEKQSYVQVLSEALG TSVEKKLASAVVQYRRVTKPRVVSTKELLSRKLADMRKGSGENDSARNVSKRFREW XP_951687.1 MKRVAQSALIARSCGTFLCSRRCSLLEHRTKGSMFMKHLDLYAR RDPQLAPYLLREVDIEYKRRCRKVSFCAWICVFTAVTAVQIRMQSEQLHYMRLYADCV EAEQRAKDEDGIRRRKALVALMNVAKDAFGRNQSWGNEDQEKALKEL XP_951688.1 MDYAAAIKQQAEDLQDELKDLALWQEEVARQHEVNKMKKSATFV TNSVPPIRGTVPSLKEAVLKSAGVVEKEDPVKKQKDKGNELFQSGKLQEAVEAYAVGI DLDPEGPMAHVLYGNRALCYLKLERWSDAERDASSCVRLNRTYAKGYFRRATARKQLG NLKGARTDLEAVLALSPNDVSATNEMSLVTKMIRADRDGAEPVTRKKIVITEVDDDDD EQCVGEGAQDKTASPSVIESNTGRHPNTVGDQVVKNEIAELERFRAAQRDAQEARSRE RETKLQYKGRTSSRVEIVEEINNESKEGVVVSSSPLSLNNGVTSASAQECPSRELAAV STSGAAQRPATFKDKKMNEPVVPRMLKPTVKWTKDTLKAPKSFTEFERVFLDLKDDEE LLCFYVSVIPPGSMQVLFGSNMTPDILLGMLKAAGRLSGTSTVSFLKGLCTVKRVRDI SLFFDDCEKKVVEEVMDVVVSCGASDEDVSLFRRQLGVI XP_951689.1 MQARMVKETKYYDALGVPPNASEDDIKRAYRKLALKYHPDKNKE PGANEKFKEVSVAYECLSDVEKRRRYDQFGEKGVESEGVGIDPSDIFSSFFGGRRARG EAKPKDIVHQQPVPLETFYNGKTIKLAIIRDRLCDSCNGSGSKDPKVSSRCVECDGRG VKIITRSIGPGFVQQMQVACPRCGGKGTDIKEEHKCQSCRGQQIVKDKKVFDVVVEKG MQHGDSVTFQGEGDQIPGVRLSGDIIIILDEKPHPVFTRKGDHLLIHHKISLAEALTG FTMNIKHLDERAISIRSTNVIDPQKLWSVSREGMPIPGTGGTERGDLVIKFDVVYPSA QSLSGDGIEPLRRILGYPKQEEPAPEATEHTLAVTYVDLDREARRRRTAANDDDDDAG QHVHTGATCTQQ XP_951690.1 MPGVNVMSYKPLGGPVLGVTRMLQMRNGYTIPQCGFGTYRMTPT EAGAAVEYAVQAGFRHFDCAKAYCNQFAVGDGLRRAISSGRVKRDDLFVTSKLWPTDQ HPENVEKACRETLEELKVSYLDLYLIHWPVAWRHSPEFKTEEDKYPKHDSGLPAVDER VKLTDTWRAMCALVDKGLVRSIGLSNCSEKHIAEVMGDGDLYAPVLNQIELHPALVQR DLLNVHRTKRMLTASYSPLGMPSRFTSPDYKGLLTHEALLPLSEHSGFSVARLLLNWN LDMHNVVIVRSTRRDHIQSNAKASLYTLSDPVRMILDRFQDREGTVRTINPTNFTHSG ESFFS XP_951691.1 MASKKGGKKANGEDLEAAILRWFECEGEPATVQSLTDALGSKFG KQLVQNTLEQCLKEQKLLAKDIKKARFYFLASPAPGSNDEGSDVGSHKIDIVKQLRQL RNGVSMLSSELSVLLQAQTSSKRATTIASLVSECAALRSRLQALHVIAGKESSCVSDD VSLLIHRYKRARELWRDRKRITVCVIDAVLGDSCGPQELEDIFGLSTDEQMNLCLSGT ALTLPATVSS XP_951692.1 MDEQNSESSDVGGLLEVLEECALGDWDVSADELLLKSLMTMQAK LSARFDSIRGMVDSLYDEARTASIQLQNAMNSFAVLSQRQFVQNRVSAEDEGSERETE PSKSDEGESEDRERGLGDVEVRVARMCQECVDLSYRVLKKRTMPLLDEDSSLSEYGSG DDESEGSSAEVRRPSCSENLFMSPITSRKFLSDPYGGYFDNTVGKADFTSGGRRRLGG STGRMGRGSRQNPSTRLGGPTTVRPAAPPPLPPTPPPVQMAKAKGTLPPPPPPMPTGK LKAPPPPPPPFASIAGKTRAPLPPPPPAPTGKTQAPAAPPPAPTGKTQAPAAPPPAPT GKTQAPAAPPPAPTGKTQAPAAPPPAPTGKTQAPAAPPPAPTGKTQAPTAPPPAPTGK TQAPAAPPPAPTGKTQAPAAPPPAPTGKTQAPAAPRVAAQTQKQQAKKGVAAFLDSSD SSDGSEAKPCAGAVVRPGLAAPSLVRENSTLPSPAPVLSMKRPPAASSGKGKVALFDS SSDSDEENSGVRAATFTEKDDQQFPKGGVGAGDLARKGSPRGVPSRATSGSRVGPTDQ QKLISPVGSPRSRRPIFSSSSGSSPEATPPRASIKQTGCRGAALGVSSTAQVGGGNNQ STTAKPLPTTFQSVERSVPASPPPPEGVRARSEAAPAQSPDAAANVMPIVKTETQPQG DDKEQHTAAPLKVIPNVAFSKRKISDSDSDN XP_951693.1 MPWNLQGRVAVVVGASSTVGRQVASRLADEHRMRLACVSRRGCG SSLPSGCVAFKADLTVAPESDTVMKQVKETLGPVSLLVNCAGVTLNKIHVRCTDTDYD VLMNVNLRGALHITRSALRHGGMLQVGDGSVVHIGSLVGCMGNEGQVIYSASKAALSG AVKSWAREYGPKNIRFNVIAPALIEGEGMATTLTENQIEEWRQRCPLRRLATVTDVVD AVVGVACCPFISGQTIHVDGGMW XP_951694.1 MHSNYLNPDFFFVICFYSTFVSLLCRHCWCKTIRKRMRLSVSGR SSARSGMSSDTRLPAAVLERETKVINYSHSTSRAMAAQLENCVRLIRGYQASLQYCNE EIEGALLSLGVATTHSLISSITSVMEGLDTLSGVCRAMHEGYNEEVRHREDLHTSLTG AYISLKELHEATENELREVVAERDRKVAAFGKAVAYVEAVVAERMLWQEANGYNCAGL PVVEMSFSESCHEALRKFDDTVSEAQGEGHSNAESLLASTDVPQTLAKRWDNVENLRH TNGLPSARVLYVPPKDEVELLRNVLEMGTGDRALMWDVQQRERALQDSLTNIHVFGHS CLKALHSMREELAEFRQWFLTIDRKCEPIVVAMRKLGNGVCGLSSASDKNLPH XP_951695.1 MERYKLRPYPSARTPSSALYLCVDQLTERELAVKVIGKGNPSAD RRVRRLLHGVQMVARAGPHQNLIAVHGVYDSDGNVYVFMDYAEGGTLLNYIKRRGPLP EGVTIQVVRQLLEALAHLHGRGVMHRDIKAENILIREPTEGRKPLGRVCICDYGFATC STPTNECVGSPGYCAPEIALIGITQGPKADENGYCYDEKCDIWSLGVTTYAMLSGQLP FTGGTPTDVFKSILRDSVPFSSPTWGNVSHEAKKFVLYLMTFKASNRPSARAALGHPW LVV XP_951696.1 MFCCISNCVPHEPVVSRSSGCLYERSLIEKYIAEHGCCPITGEA LHKEDLIAVRRTPLTGATFVADETVPALLAKLHSQWDAIMLEQFALRQQLTQTQQELA QALHQYEAACRVIATFLSDGKAEEDTRVVEQTESGAGDGSSEGNRVDEERIVLPGEVV RELEEHDSAQRQRRRTRSMPTTLATLQCVQGFTERNSVHLGRGACGVSRLVCGNVFVG LDNAAIIRCDVRGGQVQTAGVGHEGAVRHVVAMSGSCGDGNTSNGTLRVISGSDDASL RFWASEGEVLVCQGVVRHHKGGIVGLRSLTSGRLFLSASSTEVGLVDAVRLDSVVCVN GATMGFSRIISLDAHPYGALAAIGVEGAGFCIWSTAYMCVDTTVSLKGKGDVCSIAFN GDCFTLATGLRDGKALLWDLRNLSTPFREILPEATALKGSALSGAVCTVAFDDYGKYL AVGGCHTRLYDWAAPSEGQQELCTLNTGPQSVAGILWDVDAQGLVSCSVDGVVKEYRS T XP_951697.1 MSEFPLVSPNVDSDRPPLGAAPRFFLGESNFSVYEEMARQLKEM GWCEVRSKGWLPTCDVILGDRFTIPYPLLRCECLPPTSRYSGSRWLNYFRGSHRLTLK ASMARLLQKADSTCGEWMPRSYVLGGDQERRKDDREAFLEHAVRDPTQVWIIKPSSGC KGKDIVLTRSVAELEVFISELDPKCRRIYLVQQYVQRPLLYRGRKFDMRVWALLKSPY TIYAFTKGSCRTSSSPYDPDDIEDYLVHLTNHCLQEDAPEFGQYEEGNELWFEEVGAY LHEVYRKRVLEDRILPQIASIIIRTLLAARAELQVLENEPYQCFQLFGYDVIVDEGLS VMLLEINGSPGVASKYLQPLVREIIKLVDGGEALNEWDVDAVGFVKLWAEGDELPIGS T XP_951698.1 MSGGVNPTVRLSATAGGGTGTAGNNEQAPQPSDASVSKPQPIMS RAARRRAKKPPVIVTPQMREDARERLRELRKYHRLLKSNGARRKLAKLRAQKRQRDVN PVDEVCARFDTDCRPRKKSRRE XP_951699.1 MNEEPPQMGKLLLEAEIPEELLKDKTPQEQNIIMRGHLTQRRFE QNETPVVRDVVRQKVKEDVRQLGLKTYPAQRRAQTTNQLNVMREMHQATKFRNPTAAP LPMRLPPLLGKMLRRQNSTGAKSTLLAQDGGASAVNPDAEVEKDGKRLASAQGQGDRV NPSASGRKYFLLEDFDSTDFELHTPEEWVALGEACGGTPARSRYFIKTTNGTEWRPCR VVAYNESSCCYRIVWECDGCSKWTTRLNIIFDDESELKWLERVRQAEAYRDETEAALR SRLYIEQLDKSILAPMDQVQMERILSLVARRFPITTLSLVDCCTREVEELYYYSLKRA QHWREMVSDPEERKRAHLLGLPPPPPGMVTETYTESTKELRGTIDTPSPNFEAARQFV AENLFQTHLLLNTTIHSIHSQWGEYKSQLFCLTQYGKGDTPLQLRLFSDMQSHRGIAT AEKLRNEWSMNVRYTILNKLDLHFKFYEDDINRYNGSRMNRFVNLVNIMMTSQLRELL VASLQEYADFIYQYRTVPLDGDEEMEELQEDIEEAQHWVRRQNEWKAKLRADAEGEQE RLRSGGASAGPTGSSRRVPPKAEEEDADGEVPFVWRLGQFRNSIPYTRRVVEMTMNTR GLQPLFILNLVEEDGRVVFFPTLEEVKLRVRGVFESCFEHADRIQGMGDQLFPLLQLP QVILQPLGPQEPVVCEARRLIDKALEDNVEAPMQLLQMYQTFEYILRLDPNDLVEEAN ERQLSLHDFDWTFERLTRDKERIVHRTHNHVKYEMFFIDCTGIKTTLITKATNVQDAL MEALAQRLRGNCTSIINEYNMMSNRMAVEPRTPEELQELRDFLDAIPGRQEQINVVFD TVTSGFDLLFKYRYDFSPEACYDYHTAYEWPRLLQQGLEDGNFRSREYRTLLMQVLRD NCEVLTENIVRLANTVEDFTHFGDDARADQYYEHAKALEQRIREHQAQMQLYNSHETL FALPQSKWPQLKAIKAQLEPYYVLWEVVSHFQNESERWLNTRLHALQPFEADRQLTDW AKKVAVVSKKIKEAEPLAVAKRIRENIAIFKPNISLLYALRSNLQATHWKAIFQVCGV PREKQNLGAGGSESNDSRPFSDFIKLGMLDFMPQIESIAAVAQKSFELESELMAMETE WKKLLFEIEPYQDTYKLKANDIMQLTLDEHILKTQSMLGKPIVRQAPALQARVLQWEK LLDKVQCTMDEWFKCQGTWAYLEPIFSSADISRSLPKEKQLFVVIDESWHKIMEQART TPQILTRCQDELLLRTLVENNNNLDIILKKLQQFLETKRMAFPRFYFISNEELLQILS DSKDPYLVQPYLSKCFEGIKRIHFADAHDILAMESSEGEVVRLVKTINPNDFQNRVEL WLQGLETTMKETILDQLKQATADYVQRKKRAEFIRAWPGQVVIAICSLYWTMEATEAM SKEGTVGLTSYHEKCVGQLDELIVLVRDRNLAVVERCTLEALVVVEVHAKDIIGQLSE KGVDSPKSFDWLAQLRYYWEEGHLQVHQINASLRYGYEYLGNTGRLVITPLTDRCYRT LIGALHLNYGGAPEGPAGTGKTETTKDLAKALGKYCVVYNCSDQITAKDMAKLFKGLS QSGSWGCFDEFNRIEIQVLSVIAQQVAVIQEAIIQKRSEFIFDGAQIRLDPGCAIFIT MNPGYAGRAELPDNLKALFRPVAMMVPNYAMIGEIQLYSYGFLYGKELAEKIVATYRL CSEQLSSQDHYDYGMRAVKAVLTAAGRLKRAYPDEDEMVLMLRSIQDVNLPKFLTQDV ELFKGIISDLFPGVNLPEPDYVDMHNALVKVCTTRNLQLTPYFELKVRQTYEMIVVRH GMMLVGYSFGGKTKVLHCLSESLGLMEAVGKERRTRLFTMNPKSVTMPQLYGKVEQSG EWTDGILPYRFRLAAQDTSTDRKWLVLDGPVDAVWIENMNTVLDDNKKLCLQNGDIIA MSKEMNLIFEVQDLAHASPATVSRCGMVYVEPDSLGWRCLIDSYFNTIPEQLRKEEAA VAALRKLVDVFLQPMLDVARREVKPVIPQGALVAVSGFIKLFSTLLSSLPSAEETAAA AAVDPSTLERNLLMKIEGWFLFSLVWSVGGCLFTKDRAIFSNALHTLISSAASEGLYK FVLPLMENKRSFFDFRLETEDGVRFAQWIDYVPSLVIEEETEYQDVIVPTSAQLRFSY LTQLMINSMHPVLLVGDTGTGKTIMMKALLKSLPEDTYALNMIQFSAQTSAGNLQRRI DGSLEKRRKGVYGPPINKRMIIFVDDTNLPQVEEYGAQPPIELLRQFLDHGAWYNHSK DGIEYRRLVDVLLVCAMGPSGGGRSEVTQRFARHFNSIAVPAFDEPTLQKIFTTLIDW ILGKGFPPALRGMGSALVSATVELYETLVDKLKPSPEKSHYTFNLRDVSKVFQGIDMA NPAKITDELKLGQLWLHEVSRAFADRFTEDKDTAWFFGEVCKLSMSHLKLSLDSVKTA EIPTLFSTFMNEEGVYEEITDAAEARRVLEGKVESYNALSGSGELDLVIFNYVLIHVA RICRVLHQPGGHLLLIGVGGSGRRSCARFAAFLQECDYMTITPTKDYDHSNFLDDIRT LLLRTGRDGYTTVFVMADTQISSENFLEDICSLLNTSEVPGIWDAKQDKEAYEDAVAA LREVGKELGRPDSAEALQALFTERCRKYMHIALCFSPIGSALRDRLRKFPSLVNCTTI DWFSDWPEDGLRSVAARFLSKVELTERERAAAEEMFVQFQQQVRDLGRVYFDEMRQYT YVTPTSYLDLLSTFARMLCEKRTELTAMMHRYANGLTQLKKTEDQVEVMQQELALMRP ELAKKQLETDNLIKEVELESKLAEEQRAIVAVDEAAANEQAAAAKEIKDASQQKVDEA QPLVEQAQRAVLDLDPKALQEIKALKTPPQGVKYVIEVLCTLLGGQYKPKAVRDALTG SVTVPYWEHAKVTLLTAEFKSTLLNAYPVIVDTAPNEQIEEVKKKMTNDMFKNENIKK TSVALLGVATYIRAVVEYYKQNKIIKPLLAQAAAAQQEYDAAMESLNRKKEELRIVNE KLEALTNHLEAVKKDKQDLEEKVNDTDVKLTRAKKLIEGLGGEKVRFAGESKRFEEEL KYVVGNVVVSAGVVAYMGPFLHKYRERATRTWLDMCKKHNMLVSEDFALAKFVGSPID IQAWKLQQLPSDSFSIDNAIIVKTSGRWPLFVDPQQQANNWIRNMERANDLIITRPSE ADCMKVIRNAVSQGQPVLFECVEETLDPTLENLLLKRLTREGNLMVVHLGEPVVYNEG FRFYMTTKLPRPHYLPEVSTKVTLINFMITQHGLQDQLLQRVMMSERREVEEKKQALT LEAAENQANLKTTEDKILAILSSEGNILESETAIEELDSSKVQSDQIAKRQGEIEAME RISDRTRSLFVPVANLGATLFFCVTELANIDPMYQNSLSSYVTIFQEALQTSEASEDV EARNLNIKLTFQKSLYQRICRSLFARDQLLFSFIMCLKVHEVDPRQLRWLLTGGFEAD EGMTPNPFASWLPSQCWKLVWRASTQLPELKSLQDMVREYESFFRSYYEEPDPFAVEK PEAIAQLSDILQLIIVRCFRTDKIVPAVTAYVHHKLGSFFVEPSLYTLDEVVTELEAD PSVPLVLVLSPGADPNVELDRLAEQRGMSARLSKLSLGQGQGVFARELIEEGVQAGNW VLLQNCHLYQDFMPELSRIIENYSDSTAKMNLHAQYRLWLTSLPSETFPISILQNGVK LVQEPPKGLKSNLLQSYLSDPVSDQTFFNSSNKPEAWRRMLFGLCFFHAVVQERRQFG PLGWNRMYEFNDTDRRISVRQLHMFLEESDEIPYEALLYLTGQCNYGGRVTDDWDRRC LMAILSLYITPMVLDDDYAFSAEAPEYFVPPFGEYNSYVEHIQELPLQQPPAVFGLHE NANITKDERDARNLLEATLLTQPRDSSDTTSKLDPKATVKEMAHHVFSRLPVLFDIEQ IQQRHPIDYSQSMNTVLLQESIRYNRLLFIVRRTLVDVQDAINGTVVMSADLEEVFNA MYDGKVPEVWKKRSYPSLKPFGSYVNDLVERLGFLQTWCDEGPPCTFWLSGFYFTQSF LTGVMQNYARKWKIPIDKLIWQFTVMKEETCEEAPEDGCYIYGLFLEGAGWDPVENAL CESKPKELFVKFPLLKLVPCRPQDLPDSPVYKCPCYKTTDRRGVLSTTGHSTNFILVI DLPRLKTTEESHWVLRGAALFTQLPF XP_951700.1 MRVVYQRFFPVLLLLLSLEHLCSRHACADEGEEGGVNHTVGGNL TGTHSYRSPSLLSIGDSLVTVSETWDPSDTEQYVDVITEYSAHYGAPAVTQVAVRNDT LDFHAVYKHKEDRESTMHPTAVAIDGMIFVLIFCKNIGASGNQTGDAVIMPHVAKGVV LPGGATGNVWVNWTQLMPIRSLLPKVIEGKRVSMFFGGGGNTIVMTKGTLVMPVQMVR TDDQRFATIIYSSNNGTSWTVAKGFTDSGCRESSVLIWKRKMLLVARSDDGYTKVFES DYMGDVWTESLGTISRVLGNSPDRRGPGNQGSAITIPLGNETVMFFTQTTVSNSSDSN EGDINRIDQRIWFSDGSRMVKVGHIYWNDHLSSSRSYLLYSNNRLLCAYEMGAEKAYA ITVRSLVGELENARFARETWARQDAYISGICSSAKESAPCASGVPVDGLVGLLSSMVN GTAWVDAYFSVNANFVGGLAGPAGLTFEGTARGGRWPVGVQGQNQRFHFANTHFTLVL TLTIHEQTAPRAPVLVTRLYENGSYIDLEFSYTDDKRWHIKYGAEYGSTSGQWALNQE HQLAFVLRGGTIAVYLDGKRMPTMARMLAGNGNLLNITHFYIGGYGTVKQSPRDRLTI RNAMLYNRPLKKAEIDKLFAAKSGITAATKGIEPLTPKWNHKTKDLEPQSGDGRADHA FRTPSWALPLFVLHLYSLFM XP_951701.1 MSMDTRMVNFGFTRAALLCGLLLLLTAVCPLHVTAADNGRVIVN VKSYFSMDNFAFAHRGNYFVSYKNAAAYCGDNGAVLPADQTEAAHQSLQSAIKRVITG SDAFSYLGGDAVYSSGEAIEADKRCKPGDAASSRYCVYRWNKGLFAKALPDGQGVAFW RGSYVKYGGAASMNGYPSFWGSEYPKRGQLYTLSWYHTGDDVTTWYDGKDMSGRIKWA SDNPDTKTENYVILCEVHDSISTTTTTTTTTTTTTTTTTTAAPASGEVPEPTVTKDEE VTVTTVSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVVGE PLFVLEPTPFVPPEGFAAPPLALQYYNQMRTSE XP_951702.1 MSMDTRMVNFGFTRAALLCGLLLLLTAVCPLHVTAADGDNGRVI VNVKEYFSMPNYAFIRRRKYMVDHESTNRYCVYFGAEVAADQTEAAHQSLQDAMKRVI TGSDAFSYLGGDAVYSSGEAIEADKRCKPGDAASSRYCVYRWNKGLFAKALPDGHGVA FWHGSYVKYDGAASMNGYPSFWGSEYPKRGQLYTLSWYHTGDNSTTWYDADGVSGTIR WTSRNFDTKSQDYVIVCEVQRYVTVAADNYTTTTTTTTAAPASGEVPEPTVTKDEEVT VTTVSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVVGEPL FVLEPTPFVPPEGFAAPPLALQYYNQMRTSE XP_951703.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCPLHVTAADGDGRVIV NVKTYFSVPTYAFIRKGRYAVNYENANYYCVFFGAVLPADQTEAAHQSLQDAMKRVIT GSDAFSYLGGDAVYSSGEAIEADKRCKPGDIASSRYCVYRWNKGLFAKALPDGWGVAF WHGSYVKYDGAASMNGYPSFWGSEYPKRGQLYTLSWYHTGDNSTTWYDADGVSGTIRW TSRNFDTKSQDYVVTCEVQTDIITTTTTTTTTTTTAAPASGEVPEPTVTKDEEVTVTT VSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVVGEPLFVL EPTPFAPPEGFAAPPLALQYYNQMRTSE XP_951704.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCSLHVTAAADGDDGRV IVNVKSYFSMVYRAFFRNKTYFVSYENAEAYCVENGAVLPADQTEAAHQSLQDAMKRV YSIFVAFSYLGGDAVYSSSGVIEFNKRCNAGDIASSRYCVYRWNKGLFAKVLPDGHGV AFWHGSYVKYDGAASMNGYPSFWGSEYPKRGQLYTLSWYHEHEDVTTWYDAESVAGQL VAASNNPETTNKNYVILCEVHDSISTTTTTTTTTTTTAAPASGEVPEPTVTKDEEVTV TTVSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVVGEPLF VLEPTPFVPPEGFDAPPLALQYYNQMRTSE XP_951705.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCSLHVTAADGDDGRVI VNVKEYFSMPNRAFIRDGEYHVSYYNANAYCVDNGAVLPADQTEAAHQSLQDAMKRVT NSFVAFSYLGGDAVYSSGGVIEADKRCKPGDIASSRYCVYRWNKGLFAKALPDGWGVA FWHGSYVKYGGAASMNGYPSFWGSEYPKRGQLYTLSWYHKDYDVTTWYDGKDMSGRIK WTSKNTATRTENYVILCEVHDSISTTTTTTTTTTTTTTTTTTAAPASGEVPEPTVTKD EEVTVTTVSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVV GEPLFVLEPTPFVPPEGFAAPPLALQYYNQMRTSE XP_951706.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCSLHVTAADGDDGRVI VNVKEYFSMPNRAFIRDGEYHVSYYNANAYCVDNGAVLPADQTEAAHQILQDAMKRVI AASDAFSYLGGDAVYSSGGAIVANKRCKPGDIASSRYCVYRWNKGLFAKALPDGWGVA FWHGSYVKYGGAASMNGYPSFWGSEYPKHGQLYTLSWYHKDYDVTTWYDGKDMSGRIK GTSKNPATKTENYVILCEVHDSISTTTTTTTTTTTTTTTTTTAAPASGEVPEPTVTKD EEVTVTTVSLEERSNWHIILIALISVVSLIALLLFLLRWFCDYDVKKEIIPMTLREVV GEPLFVLEPTPFVPPEGFAAPPLALQYYNQMRTSE XP_951707.1 MSMDTRMVNFGFTRAALLCGLLLLLTAVCPLHVTAADGDDGRVI VNINTIISMVNRAFIRDGEYHVSYYNANAYCVDNGAVLPADQTEAAHQILQDAMKRVT AASDAFSYLGGDAVYSSGGAIVANKRCKPGDIASSRYCVYRWNKGLFAKALPDGHGVA FWHGSYVKYIFAGPMNGYPSFWGGEHPKRGQLYTLSWYHKDYDVTTWYDGKDMSGRIK GTSKNPATKTENYVILCEVHDSISTTTTTTTTTTTTTTTTTTAAPASGEVPEPTVTKD EEVTVTTVSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVV GEPLFVLEPTPFVPPEGFAAPPLALQYYNQMRTSE XP_951708.1 MSMDTRMVNFGFTRAALLCGILLLLTAVCPLHVTAADGDDGRVI VNVKEYFSMPNRAFIRDGEYHVSYYNANAYCVDNGAVLPADQTEAAHQSLQSAIKRVI TGSDAFSYLGGDAVYSSGGVIEADKRCKPGDAASSRYCVYRWNKGLFAKALPDGWGVA FWRGSYVKYGGAASMNGYPSFWGSEYPKRGQLYTLSWYHKDYDVTTWYDGKDMSGRIK WASDNPDTKTENYVILCEVHDSISTTTTTTTTTTTTTTTTTTAAPASGEVPEPTVTKD EEVTVTTVSLEERSNWHIILIALISVVSLIVLLLFLLRWFCDYDVKKEIIPMTLREVV GEPLFVLEPTPFVPPEGFAAPPLALQYYNQMRTSE XP_951709.1 MVAYASAASSYHAGVLVTSVVLSFGLGLTVWWLASGYRGRMRLR SRVVLVRFVKQVVDDWEEFCLREVEHWLAVRSPEGNIGEDSLFSNHGAKERGEVQDAL PFHGPMRSMAYAPGVDLSRWLQLWNVGRRLCAALPEDMVLLERLGYIIKYTAFRRLAT MAEARYYAAAWRSAVHGSDTKNGKAQIRRPRIPPWLVGHRPFWVVPLPSPGVGQFLCL PLSYFPVEAVLTSGAEETWVKDLADVLPLIMGQLSFKFLVDGLRTRQARRVAHATIRR RYPPSWKRLWREIDRLFNTFAAPLAKSQLERGRMARQRFCREKLYEGVELVSGDGPDM EEGMDGIFSVQSSEKVEGVMGRDVLLRDANLTRKLTLLLRFRTLSHAVRIAINLWAPR YNVFAGWMLPYVLSSSGCLEGRGAVLMDFARSVLWASLSAAVGAAVRSFDDVFRWKIL VMLRDEVLHEVNVKIAVADEAFLRRCSSEGVGRSGGNPLARSFEYAESTAARMLGYFD CEQKKYISLIIGVVTAMLRQEVDVAAFAAAASLLNCYEISRAIGRWCGLLLDKSVEHE IARMGEEPLPAEPRYGLQLLLEVLAEENDGTTGTNAPVEGDAPVQNGSRASHSRYFLS LIACGGTFHVDWPVGPLPTHKKAQTDEVAYLRRLQKLFDTVVSGERACTLSCTSFICS PNDGALSIARGLRENAACVREFVLKERVQHALERNTVQTVPANSSEKLHAVNGGAKAA LDAEEAALLARPQAMNRIPSTLGFDDVFSRPPRFILRQLGLDTVFAQRAALSVRRAES FTITMDLSDPISRPFHNVFRQLLLHLTEFIETLLFYSLLSYRSNLTSIWGVWPTWFTT RWACDGGATIAAEWSAASLLDRVSAYRALMRGNERVELDHPFRLTQQVARYMPTFVHD ASPHNYSAVFKARRGLWRNKIKHVEGIQFDDAGRISEGFHLRKGIDFRGVWFVYPELH HCATDGSLQPSLANVTVHFPATQITAILGRTGSGKSTLLSLLKRTYDPVAVVSLKEGH VWEESEGLITVLQRCLDTQLPQANEGNCENVVTIDDIPLTCFSTTFLRQAVGMLEQTP FTFKGLSFLQNISLFTPRVSRDECVAAATLCRCKEFIESRPLGYDDKSKELSTGEKQR LALARAILVGRHGLGVCLLDEPTSHLDGHTAAYVEEAIGNLTTIQQPEVTVLLVSHRL STIRYATHVVILDGGQLEYQGPADERKLRSNSFLRSAAGGQDLQIVRSAARPEGRTQG NVKSAIYADGLLKVSSVDA XP_951710.1 METDVVRPRIVVGLELVGTASLLLVLARLVFFGIFSFFIGYSKR CNRHFTYASTEKKGNAKGSPVGGPAKRTRKRKEHNKEKMSFRRQSFRDGCQTGFVPGD YDISHGPPHEGGTWPHGHKYGSQRSMSCRSCGSGVRLPNNSFLMSRAGGYGQRESSFS GMCRASQYGNFATYGMMGSGYRSFGASGFGAVDGGSMYRGYQDSMPVQKLFSSRRDRG RVPSQVGFFRRPSQLSWSNSSNYVQVSKSLYGIDGGVSKEPARSEVGRKFSVAGEATM SANGLGEAKPPQALGSRIAEKFAGKSHSLHPREGAPVRKNSPFAVDARQARTKSPASY DLADAEDFHIMEGHASPVCEATRAIVETVSDGSSRTIITAESNNVALDIPLSRTVGEV VHPLARVGSAFGEGTEDTQEQTSVASKGETSNTYGRHRRPFLKRSSSLHRPRGVNAVN ISIAEFPEAEEVQDSLEGDILNTRNSTGVPHDTNRPRRNQVSEACDVLHPTSNEETAG GGEKFASLRPNTNRGSHMQAGESDIRGKLATDGCTGCGPDIARTQSPGSQGELLCDGN TRAADGATPVTETASIKESQRRTRTNEEQRHPKDLKTNRIKTVVLVEKVPSTSTPLLV EGNNISYRGSKHEAHEVVIRNPSSTNFYSTTLNGVKDAVCDGYNASVLSVEAPNSDML FRSPVWPYLTRIVRGVLQRCQTTADSIVSVTSALGFFHDDKVKDLFDLNGGRFETMQV QPSPIYGPRIPQLRYTKVTSSMAFDESLNKALSRASTDPILSTMTGGVLVALLLVKQS RIVRTESGESTCDVLLSSLVFASSGSGTHPYDSAISRVKNEYCMLFHLVLGGPSHTCF LLSLSTADESDEEVNGKEIVENLLELHRKMHSTYNYPLRNGSVTRFVKYVMAANKEGI ERLKHEEDPEKKNRLKRYVQEQTRLLNDATKMLKDAEAELRARANQPR XP_951711.1 MSTVKAAAAAPTGSILQCRSSAPKSKMGENEEKRGGASEGTTHT QNLVTVDTAQTSQPSATRPPAPTSGLGQVIPISPPNGQLGSRNTVPPQRQGLFQGQGV MGNMQEPAAGGGAYWGTMGGYTYGVAPTGSASNAFYPSARNGGCVFPPLQGRMAGYPP AVPPPPSLFQGQFPSGGRGIVATGCGARSVCGYALDGFARGGRVRQHTTGTSAHEGAV CESVHGPALGDVSNPLPYSVYGEGHAFPSLPGRLAGHLPQRRNSFQQQGSFHSQRELG DLHASAAGESIYGGCGYALSFRCFVPGTSDTGVCGSAVHDSSYGNRNGISQMGSIYGN GVGRVPSPLRTSLCPVIATIKDHVPLQRSGLRGGNVVAVGAAKCGGEGRSADELKPVL ASPGRSLASQSECSPAGDDSSAQTLVLENYNIHSLVILDKDEGPSDKVVVTVKDPRTV VFRRSDGGEEEHVNDECLERSEASAYVNSVLLNDLRLNWIAGRTSALLVGAGRNCGDT SVTFAGDFLQRCLESVEGAKEKCKYFDVTITLGMMESSDRILDLLDTEKKGYERVQLA SNPVYGPCLLGMKTKKATSSKECMEVFNSAMQLVDNPKHLIVGFFVLKQLKKTANGGD VYLSSLCIGLAKEEVSRFAALKEKDTSEPHRLFRYAIGGGSVTVSTLILSQCDTGASD SLDVERRMREVKNTPPRIGSVLRCVESAKKEINRQQEKMKDMDSTGKEPTARLVSRME SIVRDAEEMLANPITTAPKGYLIV XP_951712.1 MLPHSQPLVLPMEPSNWAVMPPTGVEMPMEPGTFEDISTAGWIG PRRRSFCGPQTEEEWEDLMIHRSSLRRESGQGTGVGLSGSGCLLGTDDPDRDVLCEYY GDMANRDEKVLSCGLRGQEGRRVLGNKPLRQRPNGPMRERTNYGSLASRRQRVMEEGG VWGDVEVCCVPVEPWHPMDMVCLGEERPEDVCVLRQTDTELYGTGRAFATDGKP XP_951713.1 MMRKNLNSNDVSQPHSTTTLDTNPASYGTVRNPLHSVVGPTGYG AQPPISSPATWVNNHSAAFAAQGLGPHCGAPGGRPFPVVRGSTNRGDSYTELQCPVAG SGPTNPYGNRTAIDKLPGLEGGRFDCGGAGQRRGPLLGVSGDLYGVTGISNSTSRGND RHNGSMYGTNPTYSKTSRTGSMYGMGSMYGRTSRIGSMYGMGSMYGRTSRTGSMYGMG SMYGRTSRIGSMYGRTSRIGSMYGRTSGTGSMYGMGSIYGMGSMYGRTSRTGSMYGPR MEYGPIYGVGGPMGSMYGPQMGYGPIYGVGGPTGSMYGPQMGYGPIYCVGPMYGRPSR TDSVYGPQMGHGPMYCVGGLLYNKAFQEGDPGRGPTVPKSAPCNSSTPSAGVTTKPDA EPDREEKEPRVTGGKKPTTFTNKGPAAETAKKPCDLDSGNGKVKHSPVAQVPPDNSVR TLMVVSSEAGPQVTIKEEERKVGVASSGEEVVYYEGDEVVHGDEITVEKSALLQMIVQ QVELGHNTALILSDSTPQQTLSACVTTSVMKSILAALRKGETENGTKYEVLATAADVP TIATARDLLAVESEAVKIARYGSNPMLGACLMDLQETVLRDDADVDVFVQEALKKSSG TAALGVMHATLKQIRKTSSAAPTVYVSSFVCLFVKDGVEHLQDLLDMNGKVVPLPLAK SVLGGGSCVVAVVGASGKDVAVDAKILSNTAALRGVKNTPPRSGNVARFVEFAETQFT ECKAAIERSKEAAERERHERTLRRLEIMLSDARKLLSDPEETRAKVYPPSRESVKNGS VENDGGNVRNGASIEDDRPSAATLAPAPASADSLTEPKEQEVIGAPAPAHTTEPWEKT AKIIVTAGSGPSNGTAEVVAGGEKKTDEVDEYIQRTGVRPVDSVIAKRMEGILTQGYN VALLSAEMVPDEVTGDQVTWSLVKAILKGALSKKDGVTNECTLQMSILRGDKVVLDLL GSSEKRQLVVARSPIFGVTVQGATTKVVGSAVQVEPVLEAALMEAKDAFKDSGYIVFT AVLKQVTAADVSVSSLFVVSAPDMQPFVDALEKKETHPHALFAHALLGPCSCALLVSY RDVDDNVLKALDAHREITLKNNKQPGGSVRKFVGVVEQSITAAEEKLKSVDDAKERER HEQVLRDKKQALHDAQKLLEKPNETQPVAYVPSISQESGGADSTVRVVAVLTDKRDGK KRNVIAKDNGFTIKTSVEETEFPADEVVRRIGQAAKIRSSSVDFLIDHFVKGYNVALL TADVDGFSTGENLLEHTVRSVFTKKNADGELFVVLASIKADCCSAKDLQRDGATYDQL QCASSPLFGPCVADAKFELATTPDTLLRKVRSAIQVCEADKSTLLCLFVYKERKPADG DVFLSSFFFFLAGSRVDIYTQALEKRQKERGLFQYALGGPCLTAFALGLSTSNSDVET ATAFVRLAKKVRGSKIGTIRTGGIQRFVAFSTKALEQLRVLQEKAPESDRGRLRESST NVEKVLADAEELLRNPEGRAPPLYKQER XP_951714.1 MSDVEGSISFSNVESHILASEAVMQHQEVVDTFSDGWNSPTGVH VTGNNDRGSIVCNTAEWATGSDGLDDGDLGRRRSSIYLDAKDGDGPVSVAQGRSTIDN VALTVDTRPSDLAATLEGENYPYYDLDYVCSYAFQSEHADLNSHDYGLDAFIHGMRLG PWPLQSTVTTSPPRVLDGQSNRLAQFGGLQSSLTVASESPLRNDVGVLREANSLQGKT SPNGTSERWLRWSFRAFDGKCNLPQGTTRGCDTLGVSESSLYDVDMVLGLLCTDNGIP GVLSSGSCSEGHTQKASEICMGVMRRGDSGSNNELMLFPRHDDRGTGALTNGTSGAVY QRCSEDIIGAPTGVVNAQPLRTEEGKASGNVELTMVGDVLPKTFSCPSAASDFVGAEG PLGDVKEGTGLSLPSKALPISAILTDRARGRVGTPSCNSLVDSLIGGMEYPYFSGLKG DSNLIISSPLPSRQSICTAEEGEGAILSAEGVSVGMERECEQYLQSHISCSVGVHLRC GTELRSINPCIARCGDSRPGSTCRSASCSLDKNQGVGQGVCMGGSEQLSIQKRSREKA VQGPGRMNGSSISLVAGAESITASQSFVRPPAAVVSRKRWHPCSELSTGGVSGSHTVK VAEDGMCLVEGGSSPIIRVSRERQSVPSNRVRLIVLNHKTGTGQNDVQTAVNLRGEST LEVCPPQGLPHFFSVDEYLECTDDNDMCSVTLAELQGKFLRGMNVAMFVAGSESVPLS GWCAVFEVMNHVFRDINDECELFMSVVLIRQESARDLLSESEGGVRTLSSSVMAPWVA FENVTHMKLKSAHHFITLLSRGLEVADLKHNCVGSRVVLSVMLKQIDVNDVILSSMLV TGGATPNDLDVLLREGDQSARKLFHEALGGPYFTVVVSSLCERDRDLLQLLSAQHKFT SVVNQPCTVGSVSRLIDNAEAEVAGCSTDDQIVLERKQFLLERIALAKHIMYNPKGLS LVVDVEESVRLEKRVHCGVKFDKQPFPGPQSSSVSACGGDSHNAASALCVEEGQSSKQ RQEPCPKFFSEAQWLKPSSKGDTRVTLMGGAPPSSLPQRNHYGEGKTPSCLPCVTAAG MPTPRDPRLERQVRPSSRRLSIPVEPDQASYATTCSMSDPQRGEGLPSLGPLATTCGM ELAPSTQRRAHRCFPSSASSDHTTCAYSQRLFSLTPARGASHDAEVSCKIDTPGVPDA EKVRTSTTPDAFSCPGISTKVKYDPGLSLPSLKAARGPPCSMTSCFPFDPILTGPPGV VEPVEHCGISQGPALCRQREQPQLPDASVACSGGSPTLTCKQVAHASQNSVHTDIKKK QISDGGSDSSARSAEGSEDDVLHSAMSAPSKVRTLVVVDQGCGHVIGAGNDDGGPFLR TGGSHEGYEVDEVVKWGDGCGDVPSKVLGELFDVFVLGCNAAILTAESGSSTVSSTVL RGLVRNVLSEVVDASGLQNSNRRGLLSLSIVKLSGERMLDLLDDSSEPERLVIAMSPI FGPCVHNARRFPVSSCSGFDSLLASALRRAKNAGVDDGLVFVSVVLKQKLKADGDVLV SSLAVSLAGENVDLYTSVLNRVSRAPRALFHYALGGPCYTVALLSIDVATTQTENMLR VQKCIGEVVNRPIHRGSIVKFISGIRDDLVPSLREKFQGSNKCEPSDNILYRLEEMVR DAELLLENFESNDPRAYLSDKETRSPKGCVSLLETKARTGDEGRVHSLLFFEQRLLSD GTAGVQGNSVFTRAGAITRRYGVDEVVLRGPDSDSNATLCSRLLDELVRKFMSGHCTA VLAADSHNSASTPLILRKIVYLILQSTKAGVGCGPVGGDLLLSMALVKNGVTVDLLAA EESEAVHRFGVEISPLFDRHVRGVSHRVVADTEAFDRFLVGAVEHVVPTLQAEDPGLM VVSLKLTHHVEEPVHDVFVSSLMVTTVFDHVSHYSDILSNRSSELTDLFKLALGGPCF TIVALGLCDEDDDAEALLSVQTKLSQVRNLPSHRTSALRRMDELTKTIQVLGVQLQEE ESEEEKAQLRVRIVRARRFLLEVESLLKNPPREMGCVRAYKSVH XP_951715.1 MSDVEGSISFSNVESHILASEAVMQHQEVVDTFSDGWNSPTGVH VTGNNDRGSIVCNTAEWATGSDGLDDGDLGRRRSSIYLDAKDGDGPVSVAQGRSTIDN VALTVDTRPSDLAATLEGENYPYYDLDYVCSYAFQSEHADLNSHDYGLDAFIHGMRLG PWPLQSTVTTSPPRVLDGQSNRLAQFGGLQSSLTVASESPLRNDVGVLREANSLQDKT SPNGTSERWLRWSFRAFDGKCNLPQGTTRGCDTLGVSESSLYDVDMVLGLLCTDNGIP GVLSSGSCSEGHTQKASEICMGVMRRGDSGSNNELMLFPRHDDRGTGALTNGTSGAVY PRCSEDIIGAPTGVVNAQPLRTEEGKASGNVELTMVGDVLPKTFSCPSAASDFVGAEG PLGDVKEGTGLSLPSKALPISAILTDRARGRVGTPSCNSLVDSLIGGMEYPYFSGLKG DSNLIISSPLPSRQSICTAEEGEGAILSAEGVSVGMERECEQYLQSHISCSVGVHLRC GTELRSINPCIARCGDSRPGSTCRPASCSLDKNQGVGQGVCMGGSEQLSIQKRSREKA VQGPGRMNGSSISLVAGAESITASQSFVRPPAAVVSRKRWHPCSELSTGGVSGSHTVK VAEDGMCLVEGGSSPIIRVSRERQSVPSNRVRLIVLNHKTGTGQNDVQTAVNLRGEST LEVCPPQGLPHFFSVDEYLECTDDNDMCSVTLAELQGKFLRGMNVAMFVAGSESVPLS GWCAVFEVMNHVFRDINDECELFMSVVLIRQESARDLLSESEGGVRTLSSSVMAPWVA FENVTHMKLKSAHHFITLLSRGLEVADLKHNCVGSRVVLSVMLKQIDVNDVILSSMLV TGGATPNDLDVLLREGDQSARKLFHEALGGPYFTVVVSSLCERDRDLLQLLSAQHKFT SVVNQPCTVGSVSRLIDNAEAEVAGCSTDDQIVLERKQFLLERIALAKHIMYNPKGLS LVVDVEESVRLEKRVHCGVKFDKQPFPGPQSSSVSACGGDSHNAASALCVEEGQSSKQ RQEPCPKFFSEAQWLKPSSKGDTRVTLMGGAPPSSLPQRNHYGEGKTPSCLPCVTAAG MPTPRDPRLERQVRPSSRRLSIPVEPDQASYATTCSMSDPQRGEGLPSLGPLATTCGM ELAPSTQRRAHRCFPSSASSDHTTCAYSQRLFSLTPARGASHDAEVSCKIDTPGVPDA EKVRTSTTPDAFSCPGISTKVKYDPGLSLPSLKAARGPPCSMTSCFPFDPILTGPPGV VEPVEHCGISQGPALCRQREQPQLPDASVACSGGSPTLTCKQVAHASQNSVHTDIKKK QISDGGSDSSARSAEGSEDDVLHSAMSAPSKVRTLVVVDQGCGHVIGAGNDDGGPFLR TGGSHEGYEVDEVVKWGDGCGDVPSKVLGELFDVFVLGCNAAILTAESGSSTVSSTVL RGLVRNVLSEVVDASGLQNSNRRGLLSLSIVKLSGERMLDLLDDSSEPERLVIAMSPI FGPCVHNARRFPVSSCSGFDSLLASALRRAKNAGVDDGLVFVSVVLKQKLKADGDVLV SSLAVSLAGENVDLYTSVLNRVSRAPRALFHYALGGPCYTVALLSIDVATTQTENMLR VQKCIGEVVNRPIHRGSIVKFISGIRDDLVPSLREKFQGSNKCEPSDNILYRLEEMVR DAELLLENFESNDPRAYLSDKETRSPKGCVSLLETKARTGDEGRVHSLLFFEQRLLSD GTAGVQGNSVFTRAGAITRRYGVDEVVLRGPDSDSNATLCSRLLDELVRKFMSGHCTA VLAADSHNSASTPLILRKIVYLILQSTKAGVGCGPVGGDLLLSMALVKNGVTVDLLAA EESEAVHRFGVEISPLFDRHVRGVSHRVVADTEAFDRFLVGAVEHVVPTLQAEDPGLM VVSLKLTHHVEEPVHDVFVSSLMVTTVFDHVSHYSDILSNRSSELTDLFKLALGGPCF TIVALGLCDEDDDAEALLSVQTKLSQVRNLPSHRTSALRRMDELTKTIQVLGVQLQEE ESEEEKAQLRVRIVRARRFLLEVESLLKNPPREMGCVRAYKSVH XP_951716.1 MMRKNLNSNDVSQPHSTTTLDTNPASYGTVRNPLHSVVGPTGYG AQPPISSPATWVNNHSAAFAAQGLGPHCGAPGGRPFPVVRGSTNRGDSYTELQCPVAG SGPTNPYGNRTAIDKLPGLEGGRFDCGGAGQRRGPLLGVSGDLYGVTGISNSTSRGND RHNGSMYGTNPTYSKTSRTGSMYGMGSMYGRTSRIGSMYGMGSMYGRTSRTGSMYGMG SMYGRTSRIGSMYGRTSRIGSMYGRTSGTGSMYGMGSIYGMGSMYGRTSRTGSMYGPR MEYGPIYGVGGPMGSMYGPQMGYGPIYGVGGPTGSMYGPQMGYGPIYCVGPMYGRPSR TDSVYGPQMGHGPMYCVGGLLYNKAFQEGDPGRGPTVPKSAPCNSSTPSAGVTTKPDA EPDREEKEPRVTGGKKPTTFTNKGPAAETAKKPCDLDSGNGKVKHSPVAQVPPDNSVR TLMVVSSEAGPQVTIKEEERKVGVASSGEEVVYYEGDEVVHGDEITVEKSALLQMIVQ QVELGHNTALILSDSTPQQTLSACVTTSVMKSILAALRKGETENGTKYEVLATAADVP TIATARDLLAVESEAVKIARYGSNPMLGACLMDLQETVLRDDADVDVFVQEALKKSSG TAALGVMHATLKQIRKTSSAAPTVYVSSFVCLFVKDGVEHLQDLLDMNGKVVPLPLAK SVLGGGSCVVAVVGASGKDVAVDAKILSNTAALRGVKNTPPRSGNVARFVEFAETQFT ECKAAIERSKEAAERERHERTLRRLEIMLSDARKLLSDPEETRAKVYPPSRESVKNGS VENDGGNVRNGASIEDDRPSAATLAPAPASADSLTEPKEQEVIGAPAPAHTTEPWEKT AKIIVTAGSGPSNGTAEVVAGGEKKTDEVDEYIQRTGVRPVDSVIAKRMEGILTQGYN VALLSAEMVPDEVTGDQVTWSLVKAILKGALSKKDGVTNECTLQMSILRGDKVVLDLL GSSEKRQLVVARSPIFGVTVQGATTKVVGSAVQVEPVLEAALMEAKDAFKDSGYIVFT AVLKQVTAADVSVSSLFVVSAPDMQPFVDALEKKETHPHALFAHALLGPCSCALLVSY RDVDDNVLKALDAHREITLKNNKQPGGSVRKFVGVVEQSITAAEEKLKSVDDAKERER HEQVLRDKKQALHDAQKLLEKPNETQPVAYVPSISQESGGADSTVRVVAVLTDKRDGK KRNVIAKDNGFTIKTSVEETEFPADEVVRRIGQAAKIRSSSVDFLIDHFVKGYNVALL TADVDGFSTGENLLEHTVRSVFTKKNADGELFVVLASIKADCCSAKDLQRDGATYDQL QCASSPLFGPCVADAKFELATTPDTLLRKVRSAIQVCEADKSTLLCLFVYKERKPADG DVFLSSFFFFLAGSRVDIYTQALEKRQKERGLFQYALGGPCLTAFALGLSTSNSDVET ATAFVRLAKKVRGSKIGTIRTGGIQRFVAFSTKALEQLRVLQEKAPESDRGRLRESST NVEKVLADAEELLRNPEGRAPPLYKQER XP_951717.1 MLPHSQPLVLPMEPSNWAVMPPTGVEMPMEPGTFEDISTAGWIG PRRRSFCGPQTEEEWEDLMIHRSSLRRESGQGTGVGLSGSGCLLGTDDPDRDVLCEYY GDMANRDEKVLSCGLRGQEGRRVLGNKPLRQRPNGPMRERTNYGSLASRRQRVMEEGG VWGDVEVCCVPVEPWHPMDMVCLGEERPEDVCVLRQTDTELYGTGRAFATDGKP XP_951718.1 MSTVQAAAAAPTGSILQCRSSAPKSKMGENEEKRGGASEGTTHT QNLVTVDTAQTSQPSATRPPAPTSGLGQVIPISPPNGQLGSRNTVPPQRQGLFQGQGV MGNMQEPAAGGGAYWGTMGGYTYGVAPTGSASNAFYPSARNGGCVFPPLQGRMAGYPP AVPPPPSLFQGQFPSGGRGIVATGCGARSVCGYALDGFARGGRVRQHTTGTSAHEGAV CESVHGPALGDVSNPLPYSVYGEGHAFPSLPGRLAGHLPQRRNSFQQQGSFHSQRELG DLHASAAGESIYGGCGYALSFRCFVPGTSDTGVCGSAVHDSSYGNRNGISQMGSIYGN GVGRVPSPLRTSLCPVIATIKDHVPLQRSGLRGGNVVAVGAAKCGGEGRSADELKPVL ASPGRSLASQSECSPAGDDSSAQTLVLENYNIHSLVILDKDEGPSDKVVVTVKDPRTV VFRRSDGGEEEHVNDECLERSEASAYVNSVLLNDLRLNWIAGRTSALLVGAGRNCGDT SVTFAGDFLQRCLESVEGAKEKCKYFDVTITLGMMESSDRILDLLDTEKKGYERVQLA SNPVYGPCLLGMKTKKATSSKECMEVFNSAMQLVDNPKHLIVGFFVLKQLKKTANGGD VYLSSLCIGLAKEEVSRFAALKEKDTSEPHRLFRYAIGGGSVTVSTLILSQCDTGASD SLDVERRMREVKNTPPRIGSVLRCVESAKKEINRQQEKMKDMDSTGKEPTARLVSRME SIVRDAEEMLANPITTAPKGYLIV XP_951719.1 MAVLSEDVLLYLKEKNIPMLFEQIVQNIISDAPERPMSYIGDLM RRGIPLQIFIAGPAGSGKRTQCKNIADRLGVVLISSGQVLTRGVESGSETSQLAHSYV SRGERVPDTLVSMIMKDRLSQSDAREKGWLVEGYPRNAQQAQAVEECGVIPQVFILLD LPEDLSFRRLEHRRYDPATNKEYHMLDNPPPAEDVALCERLVQRDADFHESIAKRLAQ YYESIEGVKKHLGAVIEVVDARKSVEDVERDILAAVEKHRFR XP_951720.1 MPSPSHSLILHWHSFQLRFHNGHGSFEYNVGRSAGGMPLGSQYF SHTRNTAHPETPSTLFHRCSFLYCAQQCRLVGISLHTLYFHHLRLTTVPTVNATVLKG AEGKQKEIQNSGRPRSRTQRFKTPTKTHTSIYLYSSSGDQRGVQTPIK XP_951721.1 MPCSFQEASKRFDRLHVLSLTKIRDRCAARRSAPYNSNSPVELA NHIALQLFYAKIFFLPCRFRGASQRPNRGTLLLVVKIAVLPKKNTYNGLRQNFSPDKR RKLLHLIRGHEGSAYAENLNEIWKGNVEGRPNSRRQRKLFSMRVKRQGNNLGVDERSV KKNCAMAVCGCWKGGQLGRSMAKTLLCVAPAVNRLSP XP_951722.1 MLLSLAFRLLHSTFFPRHRVVRARPGFRLFGNQAPLSLSGASPS LKVATPPFQALGNWYFLLERVLTLASGSLRLVHECGQLLGGLPGFEAKPPAAAPRKLF GGFTLDFPRLPCSRFFQGE XP_951723.1 MEETKLCPFWVSRVSGLATESPSALTLSDLLHCNIEDPSEVWTH VVLANYLIDLEWVFDMATCLQLSNCHVMIVSGEEGLAERYAASPLAGLLGKERVEIIK PKLPLPFGVHHGKLILCVNSKGVRISVLTANFIESDWGKKTQGIYVQDFPRLVTSSAS SNSMGSLQALRRCRGTRFKEEIKRYLSCIGAISSTTGTNCIPLSLLDEVDYSGACVEL VSSVPGCHRNSDAYRFGMGRLQEVLRAMQISSPSGENSPTLVWQFSSQGTLTSNFLRS LERVMTISTDNTPLPDTKSPTVRIIYPTEAEVKGSFEGWHGGLSLPVRLRCCHPYVNE RLYRWGQRPYAEGADRGRNRAMPHIKTYMRLTENGDGLKWFMLTSANLSRAAWGEWQK GGTQILIRSYELGVVYGTDSFINPADGGLFSATPSKPIPVPSSIGGDGLVRVKIKTLP SESDRDEPTLFLPYNPLNPQPYVSTLQMQQREHRHTGHSCVSQLSSLDVPWLVDLPHR GKDCLGKEFRDVLEGSNRRGEEPDPLRSGGCCVGALGEKRTNRDA XP_951724.1 MGEADAPSGGQESNYLPVAAVVNSGSASGSGAHRGPRHQRERSG GNENTENVPPLPKTGLTNASFVRLEDPRDIGEATSTEILSEPDDEEEHLVTYHGVKLN GDNWVDVLRGSRAVFDDALGADVCDMLALPRSSVRDVKVGADGQSVMFGVRHPFSLQK DEVNKALESCRFTSTLKLYDLQTDSGPLETDSPVLKASESAPPSLRDEESSQHGDIKG ASSVKKIKPRNHNIGACSITGHSASVEVLYGAIYDEDAAVTHHRVKFNGNNWDSIVHH KGMAIREAFDTDVSNALGLPRGSVEDVTLAPDGLSMSFSVRHPASLRRKDVNKILAKC PFENTWRLYESKKSFNNSRVASFVLRSSVNAPPSLRAEEDVGVVLGDWDANPPFEFLV PATASQMTVVRPPNAASGECNINSMPDDILINTEGEGFGTVTRHEVKFEGDNWGVVHE KKRVAFDEAFDADICGALGVPRGSVEDMVLASDGQLVSFGVRHPASLGKKDINKALSS CPFENTWKLYEPKKSASPSELNSPVMKATKGELAPLRAGEVVGPTPLEVGGPRDLAAD GATAKTSGQPVDVETNVLTRHRVKFHGDNWGVVHEKKRVAFDEAFDADICGALGVPRG SVEDMVLASDGQLVSFGVRHPASLGKKDINKALSSCPFENTWKLYEPKKSASPSELNS PVMKATKGELAPLRAGEVVGPTPLEVGGPRDLAADGATAKTSGQPVDVETNVLTRHRV KFHGDNWGVVHEKKRVAFDEAFDADICGALGVPRGSVEDMVLASDGQLVSFGVRHPAS LGKKDINKALSSCPFENTWKLYEPKKSASPSELNSPVMKATKGELAPLRAGEVVGPTP LEVGGPRDLAADGATAKTSGQPVEREDGCVTSHALKLEGDNWGAVYEKKRAAFDEAFD ADICDTLGVPRGSVEDMVLASDGQLVSFGVRHPASLGKKDINKALSSCPFENTWKLYE PKKSASPSELNSPVMKATKGELAPLRAGEVVGPTPLEVGGPRDLAADGATAKTSGQPV EREDGCVTSHALKLEGDNWGAVYEKKRAAFDEAFDADICDTLGVPRGSVEDMVLASDG QLVSFGVRHPASLGKKDINKALSSCPFENTWKLYEPKKSASPSRFDSLVLMTTKGELA PLRAEEEAAGPLPDASDAFPSATAAVSNLLPAKAIRSRYFSSGECNITTSGATPIALY GALCDSDTVVTRHRVRFNGENWDLVLQRRRAELDDALDADVSEALDLPRGSVSDIEYV PDGLTASFGVRHPAAFRRRDIARLLSKGQFANLWKMYPRSRNIDTLGPSLSSLKASEK APPNIVGGEVVPPVPNFCQSRAKVDAAGLPTRASDTVTPSDYTNGQQSVMKTEKRGSV VDGGDTIITCHRVKLSGANWVEVVETKRAAFDEAFDTDVCEALGFPLGCVEDVLLGPD GHSVTFAVRHSASLQKSDVKKVLRLCPFANTLQLYHSRRTLPSSEVASTVLRATEKEK PAPTPRSHGSAKPSTVKVAASCDLEGRGADGDPAFVEVFNGLISDEDTLVTRHGVLLN GENWLKLHESKSAAFNDAFDADVCEALGLPCGNVEGIKLNPDGHLVTFGVRHPISQRR EDINRALGKCRYQNLMKLYNSRSAVYSCVATSPMLRATETARAALATQESISQPAVSE VANTTSVGVAKSRNLLDGSAAASNSASVAGLHAFLSDQPVVTQHGIKFSGANWADVIE GRAMFDDALDADVCEAVGLPRGSVEDILLSSDGRSVAFGVRHPASISKGDINQALDNC RFVNTLRLYNMRSAVYSCVAKSPMLRATETARAALATQESISQPAVSEVANTTSVGVA KSRNLLDGSAAASNSASVAGLHAFLSDQPVVTQHGIKFSGANWADVIEGRAMFDDALD ADVCEAVGLPRGSVEDILLSSDGRSVAFGVRHPASISKGDINQALDNCRFVNTLRLYV SRCPPVVYEASRPPLKAAEAEQLSLKAAETKRPPLKAAEAEQSSLKAAETKRPPLKAT EAEQSSLKAAETKRPPLKAAEAEQSSLKAAETKRPPLKAAEVGRPPLKATEAEQSSLK AAETKRPPLKAAEVGRPPLKATEAEQSSLKAAETKRPPLKATEAEQSPLKVTEARRAP PARATEVERPPLKVVEISSPTSFVAGPRSVGLVNPPSLVDTGIIGSSSASFDILYGSL KDEGAVVTEYRAKLNGSHWRVLLQHRFLEFEEAFYGDVCDALSLTKGSVDNMELDSDM QAFSFGVRHRRSLEPSAVLRQLGEYHFSKTREFCDSQKRFGVVVDDHDQLLTLEIASK QELPEADDGCDEDLRHLQTSGRSDLPSSPSSPLCIKKTNTRTMHFGNTGAGAVRLPKT RKYEAAPANATVTQHRVQFVAENCGHVADETREKVPQALNLDVCDALGISWKCVEEVT LSPDGRLVTFGVRHSPKMSRADVRSALIAHDFPYTWKVYEPDTLVEFESGVERTTAEQ KSQATPKATSTSSIYFSPSSVPPFVRVAEPQNFVKDSFTISNTASLEVLHTAIGDGTV VTKHRVKFNGDNWGAVLEKKRAAFDEAFDADVCDALDLPRGSVEGITLGSDGLSIDFG VRHPASLHKDEVDHFLSTSEFPAMWNLYEPKKKVLSSIVMGDTEERETTSFSTSLPQR ASPVSAKEFPSCLHPAPGVASSSVPMDRADGASGSGNVCHLYRVGFVGGFWSGIVDKR FTAAVDCFMRDAAVGEGLVPRSVERVVSLDSGNVVFSVWMEHAAALSQSEVCTAMDNA PFPSMWKLYDDLINEGVVGRTTTLHRVGLVGSEWAHVANVDLVKEVFIQDVAEALRLS PEDINVNEYTVNDKLVIGFYVSHSHTLTEVDIDDMLANAPLSRVWGLCPVPGTNSWKA AHCKGSARSNSGSFPHTEPLRGGRFRDLSPIPLSGGIPAETPHDRRSNSQYRRSLGPL QGVVSPPMRRYDGHNQHSGRFYQANKRSPGQFSPPNQPVVNTKGVHAMRMSPQSGRFK AGSARGGGSLIAGSDPVRASLPRVDLLKVGELLALMRRRQLEEGSTRLPPLTGRAQPY PSCAPSTVKRYVGSK XP_951725.1 MMRQNLTSDSLITDTLRKAGVPCDVVGIVETVAGACRAIAAGLR NDGVTAAKSKNNFGDDVLSVDVMADKIISEALNSCQHVASYVSEESPSLASTAHSGKA TYSVSYDPLDGSSIITSNFTVGSIFAVWPGNTPIGLTVRDMAASVVAVYGPRVVLFVG QEELGVAEFFCGADGEWKLAKRVWAGVCTPRTATVTAAGRGVKLKATVFSPGNLRAVR HLPWYKQLITMYMQEGATLRYTGGMVPDVCQIIVKGDGIYMTPASPQHKMKLRLLFEA APMAFLIHCAGGRSTTGLTNMMNVRVVSMEQTTPIALGCARDVERYERMCRGCSKL XP_951726.1 MEDINSEVGVQPTYPTREELLSKIMWVADVTDPALYLEQLHGAF EDVIFSRVQEEVEKEEDDEGLKGTRRLKTVADRMREEKRKEVLRRELMELQDDTSVDE DGSAGEHTAGDVDDDLNVDEEFLEESDFMDDREFLSWIHRNDALPREDQAEMVLKEDV PAAAGLELELHRVGLREETELETREALPERLQAIAERERRPRLQSRKISPSQSYKIRL GREAFWIICQLRGAGQPLAHNTSICALAMLPFSEVENHPVVKAVEYALKKMTQEFLEP AHLMLYHQTALQPLLCALLETSPLVEAAERSLPVASYAYSTRTGRRQRPLISDSYVGF SARGDERAIGFGDPAWDKDAQRSNGDAAAALDRMDFAQRKPCFIELGRLLLRILELDV LCHRLEWQRWQMLLKLGSSTEPDVGQEGAALRQMMFFSAIEADFWQSFVSQHVSGSNG RATRTIKSLGLESAFEQYTIAGTQYQENLRANAPIRVCPPPQSVSLLEWTSRVGASLN PPRCGETVLWLFNQAITEQFTRLPVLRTRLFNVFCAEGDLIVSYKNSKKADDVYPLAS FFVEHSRHYLDLLERERQGTIDLFYVLNDELVRGVAKFGQLYDPSFNNAVGISQRDEW LTSRRDVMELVLIRLVEGLTPKVKAELSQFASRCAHTQCAERFGLLCAQGPYERTWLN IAAYDDEALVWEPEWNVVEALPRRPAGLPVTSSYGCKPPARVCAVYGGEGGLAHICFI DENGLLVGTMRWVSCALTSESGRTADLLQNIQLENQFDRCNPGVVVVGASSTASLGLM RSMQRFLRERAEANLRAHVPIVWAPVEVARLYSGTTYADSEMPDADSLSRTALALARY VQDPLGAISVLFDSRRTALRLSLGRVANITSEQEEQLYLRLCWEMSLWVTACGVWVDH CLTRSNSTALLQFISGFGPVRARKLQQLLFLRRPINKDECEKMITNAFGMHVAQNAVS SLRIGPPMDSDREVGTGEDGSLKSGRWTLLDQTLLPVAWYSTAACIACAALKRATSPH VITIRRTVAALLVADFMQRPADERRGCMDRDVRVQEITRTMRLEVRSTWSGLLGRREV EFVQDEMIASGQSFMRRPYRRISNRELMTYVTGITYCTNQDAPFLHRGVETMSLIVCE GEYIAGVVQSVRGKLAAASGVPSIRLTTSRGLNATISADDIDDETMKREFLEYEEALS ALGEEGRAIGPPPNRPQWLCRGALIQGIVINCHWERCELRLRWCRPRGGEPDSRRMQK KSAARRKTRESRADVGDPAVSDGDGTDIGRHYLSYTASVRDATSCNSSLRTERLDIDA RVFATKVSRHSLFRDVNSTKAVEFLQNKKIGEVLLRPASGHRNKAVAVVKIGEWSTCN WLISEDRRSNGSIYYRLSDQVEKREIEFNDVDEFLTNFIAPMVSLAQDIRAHRRFVGS TRDVLGALDAQQKSAASRTGTNGSNFIAYVFVEAEQQSRPSFYRVVTRVGTRERSFYL HISDRYIYIRVPLRTKDASAEGSRAGMVLLKCRNAEHVSQVVKELVHRC XP_951727.1 MGRQRALFLLGLLQRQHPEAHKNLTREVERVEREARSDGDLVRL RESCRVVLHLLNEHREFLPFVAQGCPSCLSAVLSQVGKGGITDNAGDADRNDGDESCM EDIDMLRLAALLSTSGSPVDALADGVSKSLEPMTRLVFSTNSEVGELALSLLGRAVRL SADSERLAGSLLGNEKFWVRFARAFFGDSSRANHLDKEARLCTTSSPPSVLASVLGLL RRCCMVSRVGRDASFRSLLVRVCTAVTLKNDARLREEAVLLLYTLRITSSTDETECLR ECIAVLAPATPGSEDDCANVARNGSDPGFVDRLVGDRNIMYANSLMNALISLQKNQFE SSARLRDLERIAKLLFDPHVPCGAAAALVLLPGAVTSVFAAASDVEEKISAFACALFS SAIEGLEEMLSSPMNLGATEQEGALRESDICSYRRCLVEDFLSLGGMVLLEDLLLDYS SGVVSTATRLVRSLMRFSSGTRNLVLASRCFPNLYKALEGVSGESNISESLRCGAEDG VCAMLHIALDTFSLLGSDTAWVLPESAVGCVSMIATESRNNVSVLAAALRTLSVLAAS HPMAATVVLDYDYINSVLGMEGDAAVNSDGEEAKEPWVLNDTDAAYICGSFDIMSAVV SQEPSCVTFEWIETLIMATKRAQHWQDEVPRFARPLWNVVVKTVTISEEGRTYLLEDT EFVDVLLNTMASCCSYMTRGCESERIRHSAENIGTDNKVVGTPYGDCSSVVARLLPLL VRVARFLPALQQSQPLEGACDLVEALAILLSMRGAEASVDLLVNVALFAYDNETTLIS VFDLFVKVGLRASQELQQRALASLSVLEGADPAVVEGDMRCAEALGDFERATAALGAL LARCRAGEGSDLDNEVVEEVSALILAALKAPSDHPPLLFALPPTGAPPLLSRHRRILS STVDLLRSGHQIFDHTAQQLWCEHQVFQRVSRFFGAIRDSEGAEELLDEICDLLSIMY GLHNPWASAEDSGVESSDYTPSCDVGDLLVFVVEYILNERLSRSSSCRSLFTRLCECA PFRHGMLAAHREIYHSSLEVVSNCSGEAEEREVFDRWINEKLDGTVHATVA XP_951728.1 MTTASVSQSCFPIMSFLHVPGKMSQPLWLMMGTAFLVNVSRRTG TAACPFGSADASDGVDSSAHKRRRFLLTAKHTFVPWQFTDPQRLKVPEEYRRTRFVIG RLYIPDSEGKAVASLSVDLKLVAMHPTADVAVLVAKVPTSVASDAAGTASRSAVSERF DAHAELERRANAVGGGLTLAPEDPQKGSVCSIAGYRGTGLLGKLDTFDPDLLDRLSET ERGQLLSSLRCVEGQQEAAVARVKIFHSKGMCRAEEGSCFHGMSGAPVLTDEKTCGGV LYGKHPDDANSVGYVPVRSFDGFVWDSVIGK XP_951729.1 MSGEEPTKLQRTESGARVIKTKEFLRTSVAEGPFCLLDSAVKNG TRVFIQSRYNKSLVATVVAFDKHFNLVLRDAVELTMVNNEQKERSIRNMFLRGASVVF IVRLPQSTV XP_951730.1 MVATEQSRRGQDPCGDVTDIRKPSSIEAAEPSDYSSSSGNRGEG GRESRGIWAFKRASLVSVPQTPKTHQASWSTSNREGRGGGNRHRVSWHQHLDPHPNTG ASVDDGATFWKVPRKVSEDPEDNMSTTHSISTSVSDPVSPSAANVVVKSATAENICVG ASTDGEMKAMPHSMLLDGEVWEYIYLRKSSELRDAFASDIGDVLGFPFSTPIEFTPTR TGILAHCTLLYPETMKTEELYERLSRDKFPAMRRLYQTAKREHMITSCSTMYTTNISD RPISPSPEDMDGRRGSMQMMSSATSTNHKPEEYCLSKREESRDATKEDATGMDTESQE IHYSRFTERSMSRISMVPADIREAGMSKSHVVTSRAASPRCAKCLDQSMSHISMVPAD VREAGMSKSHVEPSRAASPRCAKCLDQSMSRISMVPADIREAGMSKSHVVTSRAASPR CAKCLDQSMSHISMVPADIREAGMSKSEVEPSLAASLKCGKCLDQSMSRISTLPADIR EAGMSKSHVVTSRAASPRCAKCLDQSMSRPSTLPADIREAGMSKSHVVTSRAASPRCA KCLDQSMSRQSTLPADIREAGMSKSEVEPSLAASLKCGKCLDQSMSRISMMPADVREA GMSKSEVEPSLAASLKCGKCLGQSMSRISMMPADVREAGMSKSHVVTSRAASPRCAKC LDQSMSRISMMPADVREAGMSKSHVVTSRAASPRCAKCLDQSMSRISMMPAGVREAGM SKSHVVTSRAASPRCAKCLDQSMSRISMMPADVREAGMSKSHVVTSRAASPRCAKCLD QSMSRISMMPADVREAGMSKSHVVTSRAASPRCAKCLDQSMSHISMVPADVREAGMSK SHVVTSRAASPRCAKCLDQSMSHISMVPADVREAGMSKSHVVTSRAASPRCAKCLDQS MSRISMMPADVREAGMSKSHVVTSRAASPRCAKCLDQSMSRSSTLPADVREAGMSKSH VVTSRAASPRCAKCLDQSMSRISMMPADVREAGMSKSHVVTSRAASPRCAKCLDQSMS RISTLPADIREAGMSKSHVVTSRAASPRCAKCLDQSMSHISMVPADVREAGMSKSEVE PSLAASLRCAKCLDQSMSRISTLPADIREAGMSKSHVVTSRAASPRCAKCLDQSMSRI SMMPADVREAGMSKSHVVTSRAASPRCAKCLDQSMSRISTLPADIREAGMSKSHVVTS RAASPRCAKCLDQSMSHISMVPADVREAGMSKSEVEPS XP_951731.1 MSRISTLPADIREAGMSKSHVVTSRAASPRCAKCLDQSMSRISM MPADVREAGMSKSHVVTSRAASPRCAKCLDQSMSRISTLPADIREAGMSKSHVVTSRA ASPRCAKCLDQSMSHISMVPADVREAGMSKSHVVTSRAASPRCAKCLDQSMSRSSTLP ADVREAGMSKSEVEPSLAASLRCAKCLDQSMSRSSTLPDDVREAGMSKSEVEPSLAAS SRCAKCLDQSMSVGSDFVSVLLVGGVNEVGNDRSGFQRKRYPVSWHKHCDGPSPLRSL CDDGATFWKVPRKVSEDPEDNMSTAHSISTSVSDPVSPSAVNVVVKYSGAENICVGAS TDGEMKAMPHTMLLDGEVWEYIYLRKSSELRDAFASDIGDVLGFPFSTPIEFTPTRTG ILAHCTLLYPETMKTEELYERLSRDKFPAMRRLYQTAKREQMITSCSTMYTTNICDRP ISPPPEDMDGRRGSMQMMSSATSTNHKPEEYCLSKREERRDATKEDATGMDTESQEIH YSRFTERSMSRISMVPADVREAGMSKSEVEPSLAASLRCAKCLDQSMSHISMMPADVR EAGMSKSEVEPSLAASLRCAKCLDQSMSRISMMPADVREAGMSQSQIGTLPATIPVYC PQLLERCMSCASTILADVREAGMSQSQIGTLPASIPVYCPQLLERCMSCASTILADVR EAGMSQSQIGTLPATIPVYCPQLLERCMSCASTILADVREAGMSQSQIGTLPATIPVY CPQLLERCMSCASTILADVREAGMSKSEVEPSLAASPRCAKCLDQSMSRISMMPADVR EAGMSKSEVEPSLAASLRCGKCLDQSMSRISMMPADIREAGMSKSEVEPSLAASLKYG KCLDQSMSRISMMPADVREAGMSKSEVEPSLAASLRCGKCLDQSMSRISMMPADIREA GMSKSEVEPSLAASLRCGKCLDQSMSRISMMPADVREAGMSKSEVEPSLAASLRCGKC LDQSMSRISMMPADVREAGMSKSEVEPSLAASLRCAKCLDQSMSRISMMPADIREAGM SKSEVEPSLAASLKYGKCLDQSMSRISMMPADVREAGMSKSEVEPSLAASLRCAKCLD QSMSRISMMPADIREAGMSKSEVEPSLAASLKYGKCLDQSMSRISMMPADIREAGMSR SEVEPSLAASLKYGKCLDQSMSRISMMPADVREAGMSKSEVEPSLAASLKYGKCLDQS MSRISMMPADIREAGMSRSEVEPSLAASLKCGKCLDQSMSRISMMPADVREAGMSKSE VEPSLAASLKYGKCLDQSMSRISMMPADIREAGMSRSEVEPSLAASLKCGKCLDQSMS RISMMPADVREAGMSKSEVEPSLAASLKYGKCLDQSMSRISMMPADVREAGMSKSEVE PSLAASLKCGKCLDQSMSRISMMPADVREAGMSKSEVEPSLAASLKYGKCLDQSMSRI SMMPADVREAGMCKRGEAESLVVSSNSREVNGGVKNLSSGNSSASLDGRKFVCSSGKS GDYSASFGDGGCCQIVGGAEAGGVVVLEEFRDIPSGAGSNLPVPVDEVPGGGVRMSSD VDKSVSGGLLEVLKNCSSSRGGESFPLVAVQALASRQSENRQPVRCSFNSVAEGRYYD SGLLDERNDWCVPPRGVMQGAVGSALCLVRGVGAVNFDGSPDISVTSVASSVGDKVPS MIRGEQRRASTNSALPDVVPKVADPPLEAPVSLIEGEKVSVAWESQFGGSADPKERFL DLSTTPQHENPSRFLSRMGSLCRWGLGSQKAKCEDSAETLKRWAQDSTKYLIGVQEPD TVAEELPSLSDARGMPPMVKASSSSDKNFSQLGPFDTSNGDHKGEELTTLRRWSKSNQ GKSIAGSGTEEPRSIATRNSDPNLPLLRIRKSASGSPLLPLATGDKGLAKWEDDGVTS LPPIISQSANTPLGCDAASKRRVGDSYVWDIRDVSMSSASPNTSYLDIEAEGEAFDIF KRLLHDIDVASRAISKPVQALRELRDGRKYENSS XP_951732.1 MWRITRLCCKQLIRDESLVSLPPAVHRLEVYRNAVSVDCEERIC AELGLLLQREGHTAFAEGSTPQQKIVKHTYLELHGAHKDFTEVKSFQRKETRRLPGLL WSPTLVSWMNEVVPRVRVFPVGVMPDTARVVEHNMTGYEMHMEHPTVGCSFLYLSLLS DTVLTFDDEATGRYGEVFLPQRALMCCSGEIRWGWRFGEQSREVHTFVNASGVRRVVE PDLRLSVQLWKFTPQLLDARLLQDRVEESMKQREAEESNEVVETIAVDRKKNEEEHKG SASSHALFSALAEKGASGTGPLGGEYVEGRTQACKGVEGKSMTMEDIGRDYNTYKQQF QNVHGVLQEMKALQDAGQPINDMWLRKRILDGQKDDNHDAQDGFDPNDVEGTWDRVDA KARFYKARLKGMDYDGTANSNSSMPDVTEDAPLDMKKTIQKIAPLVKDGDKILASLPC GQ XP_951733.1 MSLSTLLYKAEVRQGLVSRNAGVWLNMCWCTTQEVAEVLSISRL RGDVSESARKTADERFMQLHNGSMNIGDILTEVAVNRGVCGGAPSDSLQLAAALALEP LVTKGRWCEGNGFNDKVRVLDMLLGFLSDEAVMSSGNATHTGRVRVSLCLCLCQIITF ESPTPYWNGVLSDCLASIVSDWSGSQYEMIDNDSEHIFKSFRHIVVLQLSELCASNTA LWWYMVSTLSRCLVEGSSSFPVGDVRRHFSFSVVLRLMRRRPRVSDTHEGMRPADSFI FGPAFRQLIDGSLQQAVALAGSDRWSHVAVQQECRLLLDISSHLISNAEFAAQLFRCS VDLLVLAEPTAYDTIAASTLVAAVAARVDSALECMSGVLQAFPEVSLRFDAGEVTSKL LNCMAGAAAAARGDECDDDDDKEAELLGMLREDYVVPLGCAGGDTTSTAGAVLELLVE ANPQQLSTIVDALCNCCSSAEGLLSLLRSAHWRAWAAALRCCCRVCEEGETSLIDRMP HVEDKLMLPLAQLLHESTESMVSVELIDLLSLCLVRTTQGALGCSFLALLDGVFHHCN SVFGLATGGTGIAARGGGSSQVALLSVSVYAVHRLLSRCGESVTSTLVAACDVQLWLE RSLSVLASGGLLAVYCGAYAVKTLLSFAPRTASHYPALAAAAVDSACRHCGIPDASSL FAGLLTCLCDHKEGECALAGELLSVVAHHCQLATPHRSVLLRSTSDYVLRASKLCLEE KSRLQDGVESNTCQQCKVLQLVRCFPLITHFTVSDAPHDEATTRLLSSCFAASSSVLF VLDAIDDDVLADMGSSLIALFHASTGANRSCTTLSTVCTAMSVVGLVNPSFFNDGAVL SAVLTLFKKEVEVAPRKGMDYIGCCLPVALSCVRHPQQLSVIAGGDLTPAAARGVPVA SAWLVMFGVWSSISPFADHFTSLYFLAAWHRLLLYAVSVRASDANRLDMLATSAPCCC VYALPSLHVKSLPARRLAGCSIAQCIAAGLSLVVVRGQRAAKSQMLDAEIRKPLYIAR RYKALSGLTMHESGADIFLSMSVPEGASWLLQQMCDAGYEAEVNMAMLFAADC XP_951734.1 MVRMHGNGHGKAASALPYRRTPPTWLKSSSRDVIDAVCKLAKKG LSPSRIGMQLRDSMGIAQVKNVTGRKILRILKHKGMAPEIPEDLYCLIKRATQMRKHL ERNTKDKDTKFRLILVESRIHRLARYYRRVKQLPPTWKYESSTASAMVA XP_951735.1 MRRFTLITRYFPLFHPSAGCFQSTEKKEQGNERHRKWSCDSPLD ETCAEELEKDASQRGFMRRDSANSKVYMEETLPKPERISGGVAVMNLPPMEKERTEFD VYQRSTRDYTSQVTPYGTVGVGLGAGFGAREDKRLGFLRPRKLQPFGGESSIVPKYDE NGMPIDESLTREQLIKKRLAYMKSFEGTTMSHREHFLLVDLDFEKDAMIFGTTREEFE RNVTRLKQVISEYSRWERTDNFYLYSTIVLKILTAWVLLECIQQYYELQLFSIHYDDF VEATEETLNSLAYDLERDMKRAREELIANPPDFRPVVEAIRREKRRLLDEDAKRVTKE TPVVDSSGSEEAKERNATDGVSLASQLDDIIPACIGGDGCPKQPLEGTVVPLETSALN RDFRDDDALRPHPMDTTQEKLYLDSLRRREAQKEMRRLQDQAVDAGPSVGVFGSFWQW VRGGGNVIITPLLQEDFTRLSYAASPTSIETLRSIRRILLPRSEDHIQVVREEMLEYK REKELRCIHHSSDQE XP_951736.1 MNRDKGKFPQLPPVLVQCNELESYLRTLNDQLAERLGCLWRNVA EMQGVVRTLDIHVKLIRTFLREHAVLTLSDKLFEDELVFDGGDAVDSFLPEFLRKRFN ESATETELSRSSVTDESEQSNDIRERFGRINRICKDRFIRQKERNEEEHRLQTERMDA CRRVEAMCRALEASQTTHMDKLERVSYWLYPIPEYENFYSENASGEGGDELLRDVRGQ TKGARVVQELHETIRNAPIFLEFRRLLLRDVSERLAGALDQHSMDIANSNIASVCDCE GFRKGKRKNAGAVGSVDDGSISNPPDSASLAEQRLRVRNNGYIHISEVEALLNARVEA TPVLNKGNDTNAKLIERRLLERIENLEERMAMYEAERKEFRKILSAVVDAHRSRHGIG MSLREAVLSSRSTRKGEHQDGHSNFTLYEISDPRRFAAKGTPPGSKTQASSSGRIIGS SPSPRGDKKAIVEPLNPLVVSGEASYRTVDTSTVTRETSTQEDINMTANQAAYLSYVM GKKGCRDVENLPPLPYDRKTFK XP_951737.1 MSLSCGPRTPYMPRMERFFLLLLTGQALLCHFHQPAAAVVGLQE ANMTLEARDWSQDSAVLDTSVKVVTSTVCGLINSTEEISIPNGWCVAFLTPMAPGKLT LNIHAETSNVRDFVTVSDTFRYWVGDDSKDLMDRITEAVNRELGVQYSTLSKLSGVYS SPCRSSKVNEMLPLCNSTRNCKTVLSFEGLKSNVTEFLCARVPKPCEKHVQTEEVWGG NVEIIIEGVKNSMEIAIDLVAEVREGNFSAERIELLQDERAAQLFASNELKMYDYPLI DEKKCASGNDLWLLLIAVPLILLLFSFRYIFGIGRRSGKHIERNAIIDDEVHGQQMGT ACLPGQFQEFMYVDPQQLNGCEGNEFIQEADQILENQYTPQFTPACAEGDGETASCPG EEHTEDNAIYEYGTEQQFGAGGDRADHV XP_951738.1 MAHSDRQCTNAAQTALSDAVESARKHNNGFVDPAHLALVLFKNE DGLASRVLRKLNAGTVLEPLAARVGALPEQRPAPAVPSPSPAMVVVLNTAEQKRIEWG DSLIAVDHLLIGLFECKEVEAIMKAAHASKKAVEGALLELRKGKKVTSEFQEENYQAL EKYATDLCKLAEEGKLDPVIGRTDEVLRTIRVLSRRTKNNPILIGEPGVGKTAIAEGI AQRIVRGDVPDTLLNTRLFSLDLGALIAGSSLRGEFEERLKSVLNEVKESSNGVILFI DEIHLVLGAGKSGGSMDAANLLKPMLARGELRTIGATTLEEYRTYVEKDAAFERRFMP VYVTEPSVEECISILRGLKDRYEAHHGVQITDNAVVVAAQLANRYITNRFMPDKAIDL IDEACANVRVQLSSRPEAIDILERKKRQLEIEAKALERDKEAASRERLKLVKADIQRV EEELQPLVSKYNDERQRIDELQEMQSRLDEKKNKLERAVRDGKMDLAADLQYNVIPLI QDRIRSLKEDIERQKATLVQEKVTEGDVAAVVARWTGIPVVKLSQTDRERLLNLSMHL HRRVKGQDEAVERVADAIIRARAGLSRPNSPTASFLFLGPTGVGKTELVKAVAAELFD DEKHMVRIDMSEYMEQHSVSRLIGAPPGYIGHDEGGQLTEPVRRRPHAVVLFDEVEKA HPNVYNVLLQVLDDGRLTDSRGRTVDFSNTIIVMTSNLGSEHLLNPEETNESYEVLRE NVLAAVRSYFRPELINRLDDIVVFRRLRTEDLRGVVDNLIAGVNERLKSSGFSVLLDD GVKDFILEHGHDANMGARPLRRWIEKNIVTEIGRMLIAKELPPNSTLRVSLPEGGNKL TFGVKRGLTSDEWE XP_951739.1 MFGGVKWSPQSWMSDTRSSIEKKCIGQVYMVGAHNAGTHGIQMF SPFGLDAPEKLRSLPPYVTFLLRFLTVGVSSRWGRCQNLSIRQLLDHGVRYLDLRMNI SPDQENKIYTTHFHISVPLQEVLKDVKDFLTTPASANEFVILDFLHFYGFNESHTMKR FVEELQALEEFYIPTTVSLTTPLCNLWQSTRRIFLVVRPYVEYPYARLRSVALKSIWV NQMELNDLLDRLEELMTRDLEDVSIGGVPSKMYVTQAIGTPRNNDFAVAACCGACPGS HPDLYSAAKHKNPHLLQWFYDLNVNGVMRGERVTIRRGNNTHGNILLLDFVQEGTCTV KGVDKPMNAVALCVHLNTNQTARS XP_951740.1 MMSPPETLMVANSDRVKMVDMHPKEPLFLCSLYSGIINLWNFET QALLKSFDTGTGLPVRCVRFIPRLQSFACGTDDMQVRVFNYNTMERTRTFQAHDDYIR GIVAHETLPILLTCSDDMTIRQWDWSRDWALVETYEGHQHYVMGIAMNPKDPSTFATA SLDCTVKVWSLNSPVSNFQLEGHEDGVNCVDYYPGGDKPYLLSGADDRTVRLWDYQTK ACLQVFSHHTANVTAVLFHPSQPLLFTLAEDMEMKVITTDTHRLVLNLDHSRMNRGWS MTTKKHASALVVGYDGGTVVYKVGDDRPVFTMDSNGKILLVVGNDVRRVDAKGIPADV TDGDVLALPSKEMGTLESRPTAIVYGPSGQFVAALGESDFTILSSLSMRSKAFGKCMS FVWGPDNGSYAVMLSPREVRICKNFKDRGAISLVDSAERLFAGPLLGVCTASSLAFYD WATLSFIRQIDESPKAVQWTENGEFVAIVTDSAFFTLRFNSDDVVDYFDAHDTTPEEG LDFAFEVVEEVMESAKEVLWVSNCVVFINQAHRLCYYVAGEINSIAVVSRDQYLLGYL PKENRVLCIDKDLNITSYLIPLGIIECMTAVARGDLVAVQALVPPLGAREKLLVARFL QSRKYLELALEVTSEDDHRFELALQLGRLTMAEEIAKQSGSVGHWKQLADVALTKGAF QLAKEALCKCGDSNGLLLLYSCVGDMEAISKLGDTCVANGRLNIAFTCFHLTRRYADN VDLLCRTGKLAEAAFYARTHCHGKVDEVVEKWKLGLVRLPRVSEAIANPTAYPNLFPN MRMNAPAAAVVEGSTIKFENDLAATKNGSAVLSASGVGVGVGVGGDDDEWGEQ XP_951741.1 MCKTDALFFFFKRVTATLSSFCLYMICYRHKLCTVRRPLSFSFF VCLVMHISLLLLLLPRICICAIYFPLYALFFFFFPHDVNLKLCGPLTVEGNLGLHMFS GLQRALTVVGSPLRYCRRFHHVTAVRFFARVSGDWSCPCGFSNFASRSVCFQCHRQKP VFLRAAGETYETDIGVARFANYKRGDWVCTCGSHNFARRETCMLCCAPCPSGGGKAEA KRARLLPGDWICPKCTTHNFRGRKECMLCSAGVPVGVENATNLSNETTSDLKGKENSE ESQQPPWTCVACHTVNVKADKLCEVCGASRTESSRSTYSSVTRPDDWTCTGCSFLNFS SRVKCKNCKALRSSGEVETSEAMWICNCGYKNFKDRSSCRECGASKESDS XP_951742.1 MLTFPGFVPLGEKQSVQAACEARRRYLAAHLVPLYANTNPTELW NNIVKYREHSGTDSNDCVETYEELRISYKGYKSMVYNLVFHMTIEEDKAAPASERESR KRLYFSHPFLSPATFLSFPRAEDGTISAVPMYAFAAKRLLLYRLRIKLELTARVVPMV LQDPVSKVAGQLRCPPSASSPLSNGLTQDDIENFLVELVPNLRLVRDIPPWMQPYYLC HASRKFMFMCDTRRTGAIAIDTMMKSDVFSELLRMYESDAQDAITTFPEGCTVDVAAS HLVADTGVDDTVAALVISYEGEGNHPDDMYTVKALEEETVLRVRRSQLYWNPGSTEFL TQDVLSMDNWFSLPLMGRIYEHYTSLDLDGDGVLSIDELARYCDSSFTSLVVERVFEC HVPHSGKHHVMDYKTYLDFVIATEHAATLPAMKYIWSILDLEGTKSYVTVDTLRGFCK EVASELIANGLMTDISAQSILSEVIDMINPKWHEWVEFDDIVRSGHQATVLPILLSYR NFYAYDCREQTAAEANDEYA XP_951743.1 MVNYPKKKKMHCPDERCNAHKSFKVVQYKAGKARLYARGKRRYD RKQSGYGGQTKPIFHKKAKTTKKIVLKLQCSNCKSIIQNVLKRTKHFELNDKKKTGNK DPTW XP_951744.1 MLRSLLLRGAAVLRGLPSVKKKELKGMVKAKKAAKLNAKGSSEP VVKTKEKTKPKAEQRVTAADKQRKQREREAERLFLQMVREERKRRRLVIRAAIRKAVS KEKEEESKLVRHYAANKRKAIAELQRLKQEDVAASVSPAPPRKTTRGRRAAKAADAEA ERAFKKKIESEAEQKFLLLIEELSKKRREELQNAAALLKKQKEAESAPPVMAVANEAP LAAPEAAEAVEALAAEEMATTKTKRRRRVKSETQPEVVAAVVEEGNEEEIIHAPVIEA KGRRNRSPRKEGPKTVVAPAVIAPVVEGEDLLPVVVATAAEEEPVIKDVTVEAVDDVQ EVAPVEKSEVKKTPRQRRSSPKAVKLPSVAAPVTPVVDHTPPLVAYAEVPATQQQQQQ QQQQQLSHAFSLAGFADAPLVPLTGPTTPFVQRGLTGVPSFDIPVVPLRANPVETLVH NTRGVVPAPPAQFTLNIPAAQPATTPQRITNTGLLRL XP_951745.1 MHARINIRNAVFVPLGISICAAAVVIAARALLRERARPAVLLDC ERKAYEILEMLTRATIKTDGHDSKQTECKDDASIAIFLNCNGVESIHVRTLVFNHNIM QLPSVFAVHCGLMKNSHPDHLLVCDIWNCLMALMNCSIRDILLDDGVALCILDDVKAE EVLALCMLLRGKYSIARYPPAAQADVVVQEFPEELYLGLITAYNRKRSLQSGITSFWK LMCSSCSIPQLVTLAATVLFSPEVILKCILGMHAFVHWRPIYAAEIGKSLNGDVSPKL SPFRFIIKQLMGLPATFVSMAVASTFAFESLYCIRGFVIQSILSDIITSIHIDAYLAL ATADYDPSDDWLLSRCVSQALWQASGSVMDELQSRVPALLEVMHGCRVVFSFPVVSLV MWLGTQWSNFLYFLNESLYMESQFTKSQLASVTNIATSTYAGNGEENQVSPTCSFPVQ NSLTSSPLTLLQKQKDDGIKCMLSSTDNKMLFQQTFSHPSRHDKSSVQYGLVLFSMVF VESGRPLGYMWDLLTQHVIWPLVPSVFSGCVVDALKRKLQAGGHTCAVSVRRLGWIKD VIQNSPRPATASPSTCVWQCRQDYLQGFAALRLSGWELMAATAAAAFATASERNDRYE QCGRDVLHGLFQMADTLPLLLVSAVALRFSPNFFTQSVLDQTEIVNQLRSIQHWCPLY HAFLGSFYDECGMSEAQVDEAHLRRHLAFSGSSLGCYQVLEGLQSVQRKIDRPVRGIV PPSLDGPWSVEFREVSFRYSDRHPYVLRRVSFVVNKGEFLGIAGYSGSGKTTLLRLLN RTYAPTEGDIFVNGVHISQYPARMLRRRIANVWQEENNLRFIEDLSIGGNIALGNLWD CSDENICAALSASRALSFVQKRSSGIHAPLNVREFSGGEVERLCIARAFVKAGSAVGL CIFDEPTSAIDTITEGEIFDTLRLREGNNLLRDSTIIIVAHRLATLKHADKIVVLNEG MVAEVGSWETLSRTGPHSCFSRMRQSQQLPHFVK XP_951746.1 MAMLGFESTAEFFVYLTFIFFGMSVMNVTNAIYSNYDYFSEYYK FAQRNADAISSNPSFWKHMFTYYNVVVFTMQVLLEAFMLTPLGRRIPISWRLIFGLTI PMVEIIVILVIPEVGGSEDGAIATMMIVAFVGGISKTLCDSSNAALAGPFPTKFYGAI VWGLAVSGLMTSFMSIVIKASMDSSFESKRVQSQIYFGLVMLLQVVACVLLVLLRKNP YAIKYAAEFRYAARKDGATGEEDDTDFKGRGPADENRYPDEKDDKNVLNADIDPDKMK DTDQVEGTTNAQQMLDASVMVVVKRIWPMLLSCFFVFFATLLVFPGVFFAVKGSMDLN NFWYFPVAIAMFNLGDFLSRLVLQFKQLHVSPRMVLIGSFARALLIIPLSLCVSGAIP GVGVPFTVSLLWGLTNGYFGGLSMIYGPRTGSLTTAGQRSLAAICINVALLMGLFAGA MFALAVKEGLPK XP_951747.1 MTRASLPVIDVSPLFGGESAEKERVSKQIDNACRTWGFFYIVGH PIQQEQIERVLDVAKNYFSLPMEEKLKLDIRKSKLYRGYIAFGAEDPDDAKVYGYEGF NMGHHLPKHHPEVMAGVPLRGPNIHPTQVKGWAEEMENQYDDMWALSLVILRAMALGL GLREDFFDSKFMDPMCELNIKHYPSPTTTGKKSHLLIEHADFCAITLLYQDGVGGLQI RGLSGELMDVPPVEGSFVVNIGDMMEMWTNGQYRSTMHRVVPRSGTRYSMPFFCVPNP NVIIKCLDNCHSEENPPRYPPVRAVDWILKRFAEVFSLAKPKM XP_951748.1 MAMLGFESTAEFFVYLTFIFFGMSVMNVTNAIYSNYYFFWEYYK FAQGNEHAVSANPSFWKHMFTYYNVVVFTMQVLLEAFMLTPLGRRIPISWRLFFGLSL PMVEIIVILVIPAVGGTSEGGAMATMMIVAFVCGISMTLCDSSNAALAGPFPTKFYGA TVWGLAVSGLMTSFLAIVIQASMKDNFESRRTQSQIYFGLVMFLQVVACVLLVLLRKN PYAIKYAAEFRYAARKDGKTDDGEDENDAKGTGPADEDGYPDEKENKNVLNADIDPDK MKDTDQVEGTTNAQQMLDASVMVVVKRIWPMLLSCFFVFFATLLVFPDVFVAVKEGFP THGGWYFTIVVAMFNLGDFLSRLVLQFKRLHVSPRMVMIGSFARALLIIPLSLCAAGT IPGVWLPYTVSLLWGLTNGYFGGLSMIYGPRTGSLTTAGQRSLAAICINVALLLGLFA GAMFALAVKEGLPE XP_951749.1 MTRASLPVIDVSPLFGGESAEKERVSKQIDNACRTWGFFYIVGH PIQQEQIERVLDVAKNYFSLPMEEKLQLDIRKSKLYRGYIAFGAEDPDDAKVYGYEGF NMGHHLPKHHPEVMAGVPLRGPNIHPTQVKGWAEEMENQYDDMWALSLVILRAMALGL GLREDFFDSKFMDPMCELNIKHYPSPTTTGKKSHLLIEHADFCAITLLYQDGVGGLQI RGLSGELMDVPPVEGSFVVNLGDMMEMWTNGQYRSTKHRVVATDKERYSMPFFCNPNP NVIVKCLDNCHSEENPPRYPPVRAVDWVLKRFAEAYGKDYSKM XP_951750.1 MAMLGFESTAEFFAYLTFMFFGMSVMNVTNAIYSNYNFFSQYYK FAQGDADAVSKNTSFWEHMFTYYNVVVFTMQVLLETFMLTPLGRQIPVTWRYVFGLTI PMVEIIVILVIPAVGGTSEGGAMATMMIVAFVDGISKTLCDSSNAVTTGPFPTKFYSA MVMGLAVSGIMTSFLSIVIKASMKDNFESRRTQSQIYFGLVMLSQVVACVLLFLLRKN PYAIKYAAEFRYAARKKGTVCDFDVKGTGPVSGNRYADEKENKNVLNADIDPDDMRDT DQVEGTTNAQQMLDASIMVVVKRIWPVLLSCFFVFFATLLVFPGVFLAVRDSLTIKDF WYFNIVVAMFNLGDFSSRFALQFKRLHVSPRMVMIGSFARALLIIPLALCVPGTIPGV WLPCILCLLWGFTNGYFGGLSMIYGPRNGSLTTAGQRSLAAVCINVSLLMGLFAGAMF ALAVKEALPKTE XP_951751.1 MTRASLPVIDVSPLFGGESAEKERVSKQIDNACRTWGFFYIVGH PIQQEQIDKVLKLADTFFSLPMEEKLKLDVRKGRLYRGYTAPGVEEADVGKVYTYETF TTGYHLPKHHPDVMAGKPLRGPNIHPTQVRGWMEGMEGHYRDMWALALVLLRAMAQAI GLQEDFFDSKFMDPLCELNIKHYVPLSQTKEETTLLIEHSDFGVMTLLYQDASGGLQV RDSSGELMDVPPIEGSFVVNLGDMMEMWTNGQYRSTKHRVVATDKERYSMPFFCNPNP NVIVKCLDNCHSEENPPRYPPVRAVDWILKRFAEAYGKDYSKM XP_951752.1 MAMLGFESTAEFFVYLTFIFFGMSVMNVTNAIYSVPDFFSDYYK FVKNEEKAKPVNASFWKHMFTYYNVVVFTMQVVLEAFMLTPLGRRIPISWRLIFGLTI PMGEIIAILVIPAVGGSENCAIATVMMVAFVGGISKTLCDSSNAALAGPFPTKFYGAI VWGLSVSGMITSFMAIVIKASMKDSFESKRVQSQIYFGLVMLLQVVACVLLVLLRKNP YAIKYAAEFRYAARKDGKTDDGEDENDAKGTGPADEDGYPDEKENKNVLNADIDPDKM KDTDQVEGTTNVQQMLDASVMVVVKRIWPMLLSCFFVFFATLLVFPGVFFAVKDGLNV KNGWYFTIVIAMFNFGDFLTRLLLQFKQLHLSPRMVMIGSFARALLIIPLSLCAAGTI PGIWLPYTVSLLWGLTNGYFGGLTMIYGPRTGSLTTAGQRSLAAISTNVSLLSGLFVG AMFALAVKEGLPE XP_951753.1 MTRASLPVIDVSPLFGGESAEKERVSKQIDNACRTWGFFYIVGH PIQQEQIDKVLKLADTFFSLPMEEKLKLDVRKGRLYRGYTAPGVEEADVGKVYTYETF TTGYHLPKHHPDVMAGKPLRGPNIHPTQVRGWMEGMEGHYRDMWALALVLLRAMAQAI GLQEDFFDSKFMDPLCELNIKHYVPLSQTKEETTLLIEHSDFGVMTLLYQDASGGLQV RDSSGELMDVPPVEGSFVVNLGDMMEMWTNGQYRSTKHRVVATDKERYSMPFFCNPNP NVIVKCLDNCHSEENPPRYPPVRAVDWVLKRFAEAYGKDYSKM XP_951754.1 MAMLGFESTAEFFVYLTFIFFGMSVMNVTNAIYSIPDYFSVYYQ FVKNDEKAKPVNASFWEHMFTYYNVVVFTMQVVLEAFMLTPLGRRIPISWRLIFGLTI PMGEIIAILVIPEVGGSEAGAMATVMIVAFVGGISKTLCDSSNAALAGPFPTKFYGAI VWGLAVSGLITSFMSVVIKAAMEYSFKSRRVQSQIYFGLVMLLQVVACVLLVLLRKNP YAIKYAAEFRYAARKDGKTDDGEDENDAKGTGPADEDGYPDEKENKNVLNADIDPDKM KDTDQVEGTTNAQQMLDASVMVVVKRIWPMLLSCFFVFFATLLIFPGVFFAVKDKTTV KNFWYYTIIVAMFNLGDFLSRLVLQFKRLHVSPRMVMIGSFARALLIIPLALCVPGTI PGVWLPYTVSLLWGLTNGYFGGLSMIYGPRTGSLTTAGQRSLAAICINVALLLGLFAG AMFALAVKEGLPKEKK XP_951755.1 MTRASLPVIDVSPLFGGESAEKERVSKQIDNACRTWGFFYIVGH PIQQEQIDKVLKLADTFFSLPMEEKLKLDVRKGRLYRGYTAPGVEEADVGKVYTYETF TTGYHLPKHHPDVMAGKPLRGPNIHPTQVRGWMEGMEGHYRDMWALALVLLRAMAQAI GLQEDFFDSKFMDPLCELNIKHYVPLSQTKEETTLLIEHSDFGVMTLLYQDASGGLQV RDSSGELMDVPPVEGSFVVNLGDMMEMWTNGQYRSTKHRVVATGKERYSMPFFCNPNP NVIVKCLDNCHSEENPPRYPPVRAVDWILKRFAEAYGKDYSKM XP_951756.1 MAMLGFESTAEFFVYLTFIFFGMSVMNVTNAIYSNYNFFSEYYK FVKKQEKAEPENESFWKHMFTYYNVVVFTMQVVLEAFMLTPLGRRIPISWRLIFGLTI PMVEIIVILVIPAVGGSENGAIATMMMVAFVGGISKTLCDSSNAALAGPFPTKFYGAI VWGLAISGLMTSFLAIVIQASMDSSFTSKNTQSQIYFGLVMLLQVVACVLLVLLRKNP YAIKYAAEFRYAARKDGVTGDRADGEFDAKGTGPADENRYPDEKENKNVLNADIDPDD MRDTDQVEGTTNAQQMLDASVMVVVKRIWPMLVACFFVFFATLLVFPGVFIAAKTGDT SGWYFTVVVAMFNLGDFLSRLVLQFKQLHVSPRMVMIGSFARALLIIPLSLCAAGTVT GVWLPYIVSLLWGLTNGYFGGLSMIYGPRTGSLTTAGQRSLAAICINVALLMGLFVGA MFALAVKEGLPK XP_951757.1 MDKAFLISLPLLTMTAAETNPNCSIQDHNNVYIGELVKKLKTAA SLPDVNVKKSKKLKIAALLTTDRKKAAFLQALSDLHLSCKRGDDDRALQTLIGLASKA AALAHMAGTSAALTKLATLKKKTDTNAFTTGTNSAQRKRIAVDGAKTGINTGKCTIHS YINAEAPAGADKALSNWQHSLKVVKPDTTAATQGATQAMVCETTNGQCSSSSSGNTAG ISSGTLYKTDPAAKQQTGKVKPSATTKEWVFHSDKIAHQAAQLDTELSDALTTYATTT DSCEPYNKLDNNNFILRVYKIAKGDDTATEIPQAERNNIKNIVESSYGKDPTTYEETI WKGVKATPLTKSNSGRPSDTKLDSITTLNDLQQIEAFIEASAAIKQNERSSASTSECK AQIETASACKGKEKKNCNSNCEWEGTEDKGTCKPKNEEEGVKVENDGKTNTNTTGGNS FVINKAPLLLAFLHMGQKLCQTFFLR XP_843642.1 MQATSLVLVVACAAICAANRASAAAANEGDNAAAYATLCSAVAV LSTPYAAPSTPEINDALLAEAQALNFSLHHPEAADELAQEGKDSMDKLKKGGKAQKLA TEQSFADYKNKAATAKAMAKERPYARVKKTLNNGFLTTQIKKVIDDIQATVDITQKIK VQEHTNTANSKMNKAVYGTDEPNDSVRVVDAAGATTRDAVCGKPGNANAQRRAGKSLK QDIMCICALLTSGQGQTACANLSPALDKDPTGGTDLEDDWKKLHQTCLARYHKNAPTA DRIRAVAANVQHTIAQSTANGNKITHILGKTNRDPATNGCNGDVGDNSGCCVYYGRGA GATLTYKIEWAEAMEEAAQAIKAAEQAAAQKTELLAKLTLLNTTLANLAKAAMEAQAA ASPTTERAPQQADTKTAEDQEKVCNAAGNDENKCKDLESQGCKYDENKPADQKCTLKK EVKEKLEKEGKKDGATATTVKCSEYGSQDKCEEVNKEKDKPVCGWRSGKDNEDDKDAK KCRNGSFLASKQFALIAVVFDCLVAF XP_843643.1 MDCQNRAAIALVQWKTATTVAVALLYVAVTASASYEVLDFTTWP AHCSLAVTLRKVHGGMLTKLESQISYRNKLEEMEAKLRIYGLKGATAEEQTTVELLAE TAALMRVSKQNKEQTNLQTAVTAVGFASEGAAAISSYLMTIDSLTHNTQTYCLSDSAA ELSPTGCRHGKSSDYEAGNGPDNDDIVDSGFTKIAGQTNTANTGKKSKCGLFRHEANP ESNGGIFITAASSKPSFGYGMLKIEAEDQSTGLKLSGIKARAGDEDQKFWSSCHAAVK AAQDMQDEPPLKVDQTLLAVLVGSTEMQYILKLEAAASQQKGPEEVTIDLASAKKTYF GSDNNKLEPLWTKIKGENIVDLTNAKGTTKELGTVTDTTELHKLLSYYYTVRKERQRK TAEQVEKLETELADQKDKSPESECNKISDEPKCNDEKICSWHKEVKAGEKNCQFNSKK AKEKGISVTQTQTVGGTETTTDKCKDKKKANCKDSCKWEGETCKESSILVNKKFALSA AAFAALLF XP_843644.1 MNGSMALSLVLLVASSLSTRVGADSAEATNANNAVTNACQEAEL LQHLIEETESRISTVTTAEDGITEEAQKFFLGAARSAGTDRHWQYLALNLAATQIATN NKAKNKATAATLKDVLQTLLRRQAQVELYHKMSDGAEHKNGISKAKGGQTPLLTAGSS RMCVVEFAAKDPNSQRCRKPVSATKDIDKIRQNVAAADGIKGIPDGAFKRPKITAIAE ATGSPDGDNPTASQPICNSGGNGNAGSNNVLAVSAIKLDNSEATPTKATISRPPASAT GCKEPEVAPEELLVTQAAVAHSLCKLQTAIPTKAATIGQLTVTALQDNPTVQEIAMLV TGAPNGASDTNTMKAAVKTLFGESTETVQKTYLEPLATVSIQFKVAGTSKEGTIVSFA TDSGYSEALAYLLGQDFKASKVKQAQATTAAKPEIEKCKEDTEETKCTEDADCEHKGG KCKLKEGVKVEENEGKGTNNTGSNSIVINRAPLLFAFFLL XP_843645.1 MFSELGIALLTVAAFANGAKENVREFSDLCVLYSFLARPVPVPV IHGTDGTKSTPVTSVFQTALNEVIKLNLTVIDPKMSAVLADDKKYDKAAKILESQDVK GYFDKLSAETVQTMINEYPTIKPGEKEDKNFMKKFKVPVSESTATNVRPLMANLAAAA MQIKSELDTKLASLSSTRTRIRKLTVTAMFGQAVAENPTQPITADTQLDTLLTAQNFP WSDSAARDAACERPESNSAGPGKAIAADVVCLCSEHKNAASDSCTDTATSDTDNFGVG SGMKLKAFNRWKQLQTKCKEQNPAKAVTLNPTAITAALSAVFGNLGKNAITQASVTGK AATPVERHSYLGAYVVGGTTPTTCTSASWDPGSTAGKGLCISYENFLNKGKQVPWAAA VLDVADELHNLEDANADIITLYSRVCAIKKQMETLLLMGELLTPAAGPVLTTTTLKEK TVEEQNKFARFNSNETDCTTNGCDYDKTKKECKPKPGTENTAPPTGTGTAGATASTGC ARHKDKTECDADKTGEKQNCAWRKGKEGEPEPEKELCRNGSFIANKKLALMAAAAFMC FLF XP_843646.1 MKAITTTIGTLWILSAHWTKADTNAADNEPDFTVLCSVLDVATG KPALNDIPTKWRNLRQQLTDINISLSTGDWTKHLLDTDGNVQEWTKAQQAGKLPEDWA TEWPAWAAAAKRSAKGGETHDKLQASGIPSLDAEQRKEAAQLIKHLLNSTQPLMDALQ GVETAEAATTAAALAATLDQSVYGDKPEAHPGKQASAAVRRTATRGICASGAKIGTSQ ALADALLCLCWTDTGSPPQGQGSVCRKNLGNTLTSTWDTASATVNAAYAQIKGACKLQ TGHTITAANIAEALAAVKHKIAQHKEGGYLGKYEGDFSCDGKNANGVCIKYADFSDKG NKAFEEIEWVKKLRSVETALRKREAAVARVDTLTTALETQTAAAWLIPQRAKSSQQKR EGVSPKQHTSTGNGNKQQADQCEAIKKATECKEKQPNCEWQGKNDEDGPHCKVNKTHI TKEAAQTGTNRGNEETTTDKCSQAKTSDECAAVKGDIPKDKKSVCGWIEGKCQNSRFP VNKKLTLMVSAFVSIAAF XP_843647.1 MSQLREEKSEKMRYSALHSCLWVMFLLLFHALNVDGNSKGAIQG AKWKPLCTLTADANKVYNRALKLQILITDYTKAATKLAVEAVAAAQKMQNAEAHAAAI GLVAEIREQLKSKQPEIARCYKVAVETPAFIGYTHGRIAEFLEIMGHNRGASNYGYLA QLSSPTSPATDAATAQLSSCKIKPHDITEGPEETEVLTKKGFVGMDHGTGLVDGVLTS SCNEHCVLTSATATALNSDTGPQEDIPFTNGYILKHQTNTARSSQLINSLSKQAKGLP NKGQNQQYVRLWDKYVQLEGCETSFKAGFSKPEAQTLKQSVAVLTALKNIIDNESGEY DLSKDGEQIKTVAEKLFKHGEDNYPEKLYKEAQAKTLRKTLMAGNTATSLTNLETPID LQIAQMYYTQRKIDGFIAKLKEAEEQLSKDKLGDYGAAAAEHACNKLDGEQKCNTDKK CSYETASDGTNKCTYNASKAKANNVPVAPTQTESAKKTTKKCKGKKKDDCKSPDCKWE GETCKDFSFLVNTKSTLMAATFLSFFKIFHPLFPC XP_843648.1 MLLASSLAVTLISISSQLAEANIAPGANAAPFSALCRLMQIQST GAPADEHPGDIAALIAELEAINMSLSGPKWQTKFVKAEESQVNWDDGENKDRDKHPHW KNEWSSWAAARQAIKKGTAVAQKLEQNSFSKIQGLHREIARLKIEQALQKTKKLQESA AAAATTLTEATKTEVDKDLNQAIYGDDSGLGGFGTNGANHAQYKAVTQCDSDGIAQSK ATVAYAMMCLCLEAGGATGAKPCEASTKTGNWNGADTDLAAEFQKVKKLCHTTKKATV SADSIRSALDHVHSLIKIVSNAGYLGHYENTGCTGTSNQGLCVRYATKLTDTADDFHS LHFVQKMEEAAKKLQQRQAALDTVRTAHRAVGAELAAAWLLAKEQQVKEQQSQVAASS PSHPSKPATEAVEVQKKECEAIEKDTDCDSKPYCTYQKDSADDKKCKFNATKAEKSGV PVTPTQTGGTENGKTDSDRCTKHTKKEECEAENKNVKAGEKAVCGWIEEKCKDSSFDL NKKFTLMVSAFVALLF XP_843649.1 MHTIFPAALLAGYVVAFVAADATNTLAHDSTLWCHDIQYLEAIL AAIDKEMNNRQKQLQVDAELIAKWKLAEAAAEQWHERRKYTALSVVIATLASKNSEAA TEYLSAAAEAKKAINRRLEALQLVARTTSALKQTVTGAPQAASANAACQGSTEYASEA EFTCAPPEAGELKTAEVQTKLHTATHIKLTSFKALNELVAAPKITITATAGTTPFASP GQTPGTCQTSGGQNIAAGTNHVQYAVSSATVLKTQTPAAQPIDSDSERPEEPTAKKAT VTAWLDIKFVTAALKNLKTAYNQKQIDLSQATHSTLAGLAGFKTVIANLFVGAEPPAN NQETEYNNKIADIIKQTYGPEPGDFKRKFVTELANQDVKYTKAGNEQEKKLGALSKGA DLSIALSFIINKRHESQLQKAAKAVSTVPKQNCTGKTKEKCKDACEWKGTDDKGECKP KDEEGQTNAAGTQGAETEGKDDKNNTNTTGSNSFVIHKAPLLLAVFVSIIYFLKISAQ F XP_843650.1 MKYCEVQPQTGSRGIKRQRELEENASIPTKSSRATETRGHPVND PVGTSDPLQCTQCGFVSQSKGGTTPNTKIQHRRGHPLDSNAGTKRSRSITEQEVSLIW KTVTIGVNDTCCTQCRHTHVPKDSLMEHFGGMHRQHPFSVAKESPPPLRSFNVRGFHL HFMFVNRNKTSHSTSGSSIHRHQKHEICVERKHTYSNECEENPTHILVRSSLKCSAVT SGLRAKICRR XP_843651.1 MKVVAVELIILYFFVICIGGEEEGGKCRLVADVGGFAHINVSLC YLHCLSNALNKLYTDGEQRLFVNEEVYANASRILDDMEGKTGESTTYLSVFSSSMGEQ NDKLEKLISYGNEMGDLVAKVGGLFAEVNESVREVRNELPNTLIKANKYYTAIAVITK TVWNDVKAVGLDSDMAKCSRQEFSAVENFEASCGDQTCPLENSVTESSLQKYKDGCLT ITILNGSVSECLNRLRDNLYRSGAVQSSRKILEWRGEDRHGAFYFQLTLEIQQIFSTL ITPFAAGKPPFALLDMMANITSLNSHFNWVHGNFTSLLLDIGVAGNVSNTNSTI XP_843652.1 MKVISLFLAVCLTVLGSLIGEVVGQPSGKAMTLKGAKTLCNLSH ALRVVSTEILKKHQNATEMVDKFREWRHRHGVKGRTWKAIVQGLEKIGVVNGSDMENI KKTYVEMEKVMNNTDKAIQLMDTSFLNIVRVSYHVVNASLSIGQVLRDLVVLFEQTKG DKNDWCCLVKEKSAPSENGCGSDDAGHKAAKAPDECNMSVIKDMSDDGVLAALDKYKT DQRVELTDNNDTKCWIMGTEDVANGGAGSFIVGTTGGFVTYRKEAAVTIMSSDTVPKL IINYTLVRNGYESIAQQYRDVEPTLTSFVEYENKLKELLTQSPMVRRYFRESGKKRKR SVDDDDMIDEEGFTMRKQHTLIGLIFFAAILVM XP_843653.1 MRYEIVFTVGLFVTVLSPSFQGDLDAGRWTTESVDWSEITDYIG DGYKYHNVGEFETLCKIYRITQAEAPQPSFKNREKEGEIVKKLEEMVSEAEAAGANKG SNKSAKSTTAYQNIEKLFEKAKALKEEMEMNRTKALNAGRSAEENMLRAVYGDAVDVA RNENKTLEQAMRGNKSLLFNSIDNAGTSCGSYGDKSVGKTLINDFFCLCVGEAIVITE EIKRKENLEEDGGNNNIYNGFNCPCRDEIRRPENGNWTMMAEHCDGDGRICDPAKIKY NHTEAWDVISKACVYKNVASNVKTLKSALAQFDALVNLEQDEYQVKGIFGYVRTGKNK NRTCTGHTAGFTCVSYNHTLENGGIPWYNRLTNATEQLQEMTKYAKEADSHLHELEEY QHEAEEIYLEVKLGGDAELWKSSQGKGDDEGGNAEVNNDGLTHLNVETGGFTLLILSL ICVF XP_843654.1 MVKISWVTECPVREEVGCPQCLMKCAVPIEVLPCEHVLCSTCYR DLSVCPLCDISVCSRRPAFRYELDNTRFARCRRRSSVATTFIGSEDCSGSVDPVKLTK RMGGKVPSKIYEQVLKAEESNWTVMDLENCVDLSRIRALSGVPTLEEVNLSGYSKLKT DLEHIMTLSNLRKLTLDNTELDDECVVEISSNRILLHLSCNNCHHITDISPVAEIKTL EELSLSGCKNIKKGLEHICALPNVRKLSLRGTAANDACILSLSGSTHLADLDCSECMN ISDIKALGKISPLEVLSLEKCINMKEGLEELAAIPNLRELNLASTCIDDECVIKVSTF KQLVHLNCENCLAVTDIQPLAKMKTLEYLSIGGSRNIEVGVRQVCGNPKLTGLNLGGV VVRDVDVMFLREFEGFVTLNLSGCARMKGLYALDGCTRLRTLILRGCKNVKDITLLRE CKDITTLDFTGCISLSDLRPLRNCGSLKMLNLSECARLKHLTGVEECKKLATVEMIDC KTLEDISALRGCTNLETLNLCNCGGNPDLSVLGACKNLKTLRLTGSSKIDDFSMLSGC SNLMTVELNDCVSLREVWLNGCECRNLTNLYLSNCENITDLWLDGCGNLRTLDLRNCT RLWYIHGQRDCRGLLTLDLRNCGTIRNGIVEFMNLPRLCVLYIDDNNADRNLVEGLMD RGVDVEVTDETHACYVKRMDDEYM XP_843655.1 MMLGFESVSEFTVYITFIFFGMSAVVVTTSIFSIPFFFIEYYKY AQGDPNAEAEDQRFWNNVFTYYNATTFLVEFLLTLFMLTNLGRRIPLAVRLGAGLILS ILAVFVVIMVTIIKTTETGAKVTIMLVGVINGVAATLCDTGNGALISPFPTKFFSAVV WGVAVCGIITSFFSIVIKASMESNYESMLTQSRIFFGLVVLLEVVSCILLVLLRKNPY AMKYAAEFRYAARERTNACENKESGASNGPAEQDEDSVAIDNNTTKGNVMTVTVDPDT MKDTDQVEDITNSQQMLKAKVSVVLKRVWPMLAAGFLAFSTTFLVYPGVFFAVKTDVP NGWYMTITAAMFHFGDFLSRLLLQFKRLQPSPRYVVVGTFARVFLIIPLVFCVRGIIG GTLLPYILSFLWGLTYGYFGGMALIHTPRTGSLTAAGERSLAANCAVIAILCGLFSGS MLALAVKEGLPQ XP_843656.1 MSRFGAWCVLILFVLTFCLVHASTSNLPLLTAHVSDCPSFGGSN FLSTSEGKRQLAQYARANCTEVKKEWRIRDRPGNCTVCIGGLGCPWTYTCNRALLAVY FGLNVANPEGSEVKENGDTNPEPRVPSGPEARDNIVDSPDSAPSPPGEKKRIGSPAGK RDDSTENKPSQKVSQSDGNYAPAKQVAGSNKKVNAPTESEGPSRPAEQQGSISEQPLR SIYNTIYSVLLFSL XP_843658.1 MSLAGSERAHLCVHGLTENDEQDALRHLLSATGSSQLTDISDEV VVKGAAKRKNQAATQCANSSESIKDKKTQRSSEVQQQKPDGGTVGRVKKSSDVPVKRT ASKVVPVPKEVEAESVAFQADSVSHEAESLPPIAELVHKKLIRPLTEVLCINSLTRIQ KLSWMPMVDKTRDVLVRSETGSGKTLAYALPLLHQLLCDCDTRPLKRDVGSVIIVLCP VRELVVQVSDVLTILTRCALFLTVGGIHGGENRHKEKARLRKGITILATTPGRLLDHL KATSSFRVEELQTIVLDEADRLLDMGFEKTIREVMDLLVAKKRDAHQTGGGDAQSSFK RVLVSATITAAVERLSHFALRDNIVRVGETEDTFSIPSSLRQHYVLVPTKHRLATLIS FLRSQLDAGAQRLIVFVSTADSAEFHYYLLSRLKSPFRGKTNSGRSAGAPQKNSNRYS LKKRIGEANRHIHEGRDEDVVTFDDDSDDEDPTEVSELSEKNAVLDVNIFKLHGNMSQ VDRASVFHAFKHMDSSVQPSKKGILFCTDVAARGLDMPNVDWIVHYDPPTDAPCYIHR IGRTARIGNTGDSMLFLMPHEEGYASYLSNFLAKETKLGSMAKGANAESGIIEERKYE SFLFYLTKLDPKANHMWAQSTATLERAICRLVMKRHSNEENVNDESTGRTDDITRLAL FAYQSYIRAYAGHSRELKRLFFNSDALHLGHVAQNFGIDKRPSEVRSQLQGLIKEDRK VARETTSVVLDDGSERPRKVLRTEVPHDDRYRSTVVQKQRKVTRDWYENKKKLEGPTY KHQQFTEFDA XP_843659.1 MSISPAENDWFSFLLDQSWVAFVILGVFLVGIKIYNMRQREEGR KLLTEAVKLELLCQQIRRSKAQSERGKKDA XP_843660.1 MLTMMRGFLLVPLLFYAFFTTGGRAVNVQLPHQITSRMSGEWGI VVQTPCRPFIIGETVTFTDGTAYMQSVNVNFTGALEGTVEHDASGLRAFAAGADEEAV KHYIICGERLNALPTEYRPGFEGEMRMTQLVEYVGHFSKPGNAASECGTIQPSVFIRA IGSDARGLKSDSWRAKQTLQYVELEFVANSLTASCVHKKDVTEDEGSQGSTNRRNAGR RRRGHRVSRGVGAGNNPQGATDQRAYEGSIIIGLTRRKPPEKGFYEQYSMSIFFGVAM FVFRIVQAFKSYRRESSAAR XP_843661.1 MNSRTPYEKRKGDNKTKTKGKGEMMNHQRPEMVNPVGTMVSGVL NIALLLAAFTAVYIVVKSNHGVDLFSMAWNDFLRPNYDDDL XP_843662.1 MNEAVVSVGGVRKVLNTLQRKHQWLINDARLFYIGGQWHGTVQS LIVEHLSRCRELKRPLVQHEHVGNVLHPLRLEDEYSRKERFLQSLFDEADFNVSADPL FYLFSGSCCNIGVQEPVGNNFSKACELPLTVNEAAAHVRRHTAHQSMSDRFPSSVEPH LLEGGLSDKSAVVCTPVVFLRELFHSCAADVVALDFSGPHEMGMFPQNSVSTVSVLQD VRDAMTLSSYLLKPSGALLLRFPLCMVSREKGSFLRLMKCLTWGFQVSRRCVDGEYIY CLGCRKVAEYPAAVEHRLRPSRRAGGAEKGTGRRNFRNNVKRSYFAALMPSFTNAAFV KEPMEGSAPRDSGPARNAKMDEEAFFAVSVEMMEKGLYNHKPDE XP_843663.1 MLSTPCPFREKSDTCNVVKPESRARKCAEAVNGSTQGVSGDNSW GMSWPSLFPRNPEGLKPGEPLIKNNSPSFSNTRESVTRPRRPPPKPYDREHNSDSEKG CIGQQKACKSSPMPRLPGSSFLQYLTNSALRETEGVEVDERGPQQRRSGSEAGTLSEN KTLVSDGISSPPSRVTFPVSMKMFAREMAKNYDKLCRALLDDDGAIFDDNERIEIADV LRNIGGTVSKICIAAYDSETNLDAYNTVSDAMVSRAQLHEIRVKQLTEEVEVCVDAKR EALADAARQLRNERAALKNILLQSNCCADSSLTSQITSTEVGPSGNGSDSAAVAVAEQ PAKIFGEVQKGTKTSGSSNASDPYLPPVVYRSGGRSTPESSLNSSIASRESQTQDCVC NLIAQVPLHELANELLRSRRQTLFISQLGNQCTAANELRMMLMQKEMENFIRVCSLLE QDYLFKKDRLLGSCFTQAPTTPTTRSCGVPIT XP_843664.1 MAVITNTSSLNGGFVSGSFMFLNDIFLYYSTSDLIWVGFMSMLM FIIMLWLSNVVVPAIRMDRYLANIPRAPGGLPVLGHALELLEGSPSSKMASWSLRPWK AKRENTVAVTKAGTNRIVAFTVFSQRVVYINEPALIKRVLLSNQRNYTKDIASSYKHF MCLLGNGLVTAEGHKWRKGRLMLSHSLRIDILEDMPEMTMRAVGRIMEKLRTVGSGVP FLDLNEEFRHLTLQVIGETVLSLSAEETDRIFPTLYLPIVHECNRRVWEPWRAFMFFS DGFRERRRCLKRLNAVICDIIQERWRQRNEGSQKDVMSLCLSQVDALDNNMLLQLRDD VKTLLLAGHETSAALLTWATYEVICHPEIRDKVVEEAKALFDPAHCDRTMETPEGVWG IPSASAVRSSLRWTPAVLRETLRKHSVVPLVMRVAVNNDKWPASETGLDKDVVIPAGC SVAVGIEGVHQRPDIWEDPGSFNPERFLDVAIPNDTNSPPTGEKYEKRIDPYAFIPFI NGPRNCLGQHLSMMETQVALAYLFLNWDLQLHGAVSQSDTEINKELQQEVGRPHKFLI PIVPGNGLKVVGHPRPRY XP_843665.1 MENYQLLNQLGDGTFGCVVKALHKSSGQFVAIKKMKQKYYSWDE CMKLPEVVVVRRVHGHPNIVKMREVIREKNELFFVFEFMDGDLLGVIRRAKQMQSYGS GTSGPALAYPKIKSYTFQILQSLAYLHRAGYFHRDMKPENLLVRKDPSTSAQEIVKLA DFGLVKEIRARPPYTDYVSTRWYRAPELLLQDRCYSSPVDIWAVGCIIAEMITTRPLF AGSNEVDQLHKIMAVLGSPNESVWPNGMVLAKKIRYNFPSINGVGLERVMPPHVPPHA MDLMKQMLNYDPKRRPTAQQCLQHPYFNVGVDEENFAPTNVPKQMAEALKKHTSGPQS APSGAVVPNSDTKGDRPPVGATTAVPKAGGPSNSPPVVPQQNSYSPSNESSDRKPDVQ PKFGSNVKTTLANMKTSPLPPEAKPGKFSPSNNKEIPSRTVAQPPPASNNDELDLDGL IDDFAGELKTLGLHPQQKQHGSTSLQSNATPGKDITNCGSSGSQRKSGVDFAKPPPME GGMVATLLNSTRYKLSSFQSSENKTYTNSLGKAHPQGNSPATLASVGPSVGSQAVSPS IKALLAKHREHNQGSNS XP_843666.1 MLRRSVPSLYSTIVAPIGKGRVVPLNFSGPKPVYQPKSFVTQFN VLGMWTISNMIPNFIFGALLIAGAHGGLAGAWPPDPHSLHP XP_843667.1 MLLYFIVVAVCAVAGVADAAFQPSLALFDAKLNETLSITTWRNV DLGLRSTIYAGPNPARLFALHAIVNFSNYGTEEKRVLKVKKFLFLIPFCTPFNSSSDA APKVDSCSLLHFGGTILLRFRGGWESHFVSLLRKDSVLGPTNKEAVRRFISVTGGSGG NNSNLTFPFPIEGTASSNLPFFGGGPRHDAVQSCNELIDECVQASSFRYTDSYKNIPL ESFSGRIIHHPHNPLSWNTPWLLRISAALPPDSACEVDILVVLRTPADAFHFRMWLTG SVTGSLFLLGGCLCIFFSFVIGTKELGVRLWRAVPLEGRQRTVLFEEVEGDDLPCFPI LRILAALRRAGVEVWTELLLPTLRTLLERIRRLFRRSREDEGVILISADEETLGAGNT REGGTRGTLAQGTDGNNNNNNNNSVDDDDDDGDDGESGDLCRICRCTDPVEDLFSPCA CDGTSKYVHRQCLEKWRNTTTNVEHRRVCAECKTPYTLVLECVPLSPYGSARHPVCVP TCCILLSYVMRLFLILVVFCLGGYYLKVCMYIATGFDGGILWSFHHFYHWVLGLYFVI AFCVNLIGLEYVLRDFPKAWQQLLILLISVGVVEIPLNYVGQFFVLMLCSTDVQLEVS YGVGILTASLFYSQVLPSVYEGMESLLGVREVVAPRNADIV XP_843668.1 MQGYFAVNPPLLPAGWQIAYTANGQPYYLDHNTKTTHWNLPETV GYSFGGYYDPVMVAGGNRGGRGGRGGRNGIDQGKRKTKMCIYWEKNGECSWGDRCAFA HGPGELRASVGPDSSQMQQVGHDVPPMA XP_843669.1 MEEEEETRVSSRSSSAGDSLYAAPFPRSTIINCITNTTQRSCIP TVYGAAAATTTTTTYNEYNKASPLRCESSCKDKEPQSERRLPVNSLMQVGMEEQQPVS QASSPDRPYLRCAMPYTPSPTVQEQCRRKGKVTLSSRWRPYANGAVHRYNVASRMMPP RDPNEEHRFNTLEEMARRRANDSKAYRPTKIALPTRGPTNLPLVVRALEEDPREVADK AKQAIQAEVPVPYKIMEKKLLFDATVGTVKPYVLGDINAHKRRRLRPGKKDLSMPQSA LTQDPLLVFVETMRYQRPALGIYSFQV XP_843670.1 MMQRDTALWSPNVLTPGGGTQTGSTNPNGRGAATLTGGASPATA TAGTNSYPYLASGSPSVLLSGSTNGMGGATTVPMPTTASTHERSQELCKYYVNGGCLR GAQCQYLHELPDERHLDVNGYGYILNPNVHNAQKTIPLAASNNTTGTVQVNGGAATTT SSLLVGLTPGGNRQIISSKGKTQAHLMVGVPYQIPTTTAKASPPKYRPPEPFLEYNLP PTLALPLKTSPEDVARQLTCAILQTGLH XP_843671.1 MRLRGQQQFLFSPAVGGQCSAALRCVHVTFSPKVPLVTSPLSTA ARFFARVSPIQGTIDSPNDGFVTKDVKIDINPNIGGAYARGVFARREIGYGREIMNIP AFVMYISDSSNQSLRDQVLVVTKQIFSKLVLGTPEEQHYIKHRVATLMSGGYSYFTRE RDVFEFAEEVRVPGPEGVLKNGASYLLSGEFSSYDLQKLPLIVEFNRYDVEYRGRRGI CLFPEAQYFNHQCQPNVEVTITYNNLKSNFYLSARTIRPVREGEELFIDYMPGNTMPL SRLALAMKKRWGFECTCVRCKSRGIGAVMFLFVVVLIPMVAYLRSVNVRRVQNKQRGV XP_843672.1 MSVLSEWRREWTTEAHAHRQRLTKNALQRNLREDVQRRVTSSSV SSSIAVVDCCWSRGQCPCDTTPSVTTALAPYLFCALEDGVVCVINTSNFAKTYLFEDD SLHRREKERAGRKETTPQHDTQRDRLVAIDCFPLQQKPKREVTATKQVAGQPTVADSE VISLLCTVVDGFVCTFTIDAVTISRARSHSLKASNVCRAIKLPADTDLSDRGSWKTFS IRFSQQGSRIIVLATSTGPPTRNPTAFSNTAGSRAGLIYTTFLCVLKRQENDANGVYA VEKQWTKVLPPNGDTTTELLCVTWWDDEESVILVVWSDGTVCLMDVALSTIAQSVMFS SAEDLRPGRVVKAVASPPSFQGAPASRTSTSTPSAVSATVTSGTSNCSRPTGLLAVVV DENIIVQFAVHSSLHKNEPTSSEEPPLKTQKVESPSQGEMDSPTLTLEPGPQTHCSED IPIGDIAIFDCLLPHTLCVLLESGALLILDGTTVEILYQRQLSRLFPSKVTRRATSGA DGGSNDKRSGNSRECSFLLRPKDRPLTMCILEHNTAVILRAS XP_843673.1 MVLRRWFPLLGVHRVGYTHPSTLPVPCAQRWDLRLARARIFQEY IEEKAPGAWQLEDERSMSPEFKTFTGYPMRDMRPGYGQNLPDYIMKKRLPNNTHYELF ARRDIPNEDNAMYGKYLYDMTVHGTSLPSTYRMHKDINKAQRNDRKLSGNRFKVLCSS GAKNPPSGWEPIPDATEEEED XP_843674.1 MSGSGHDQSTDIFSADGRVFQVEYACKAVDNGSTAVAACCKDGV VVAVEKILTSRMLEEGSNNRIHAVDRQAGICVCGVLPDGLAVVSRARGEAENSRDIFS TPILGSLLASRIGEFMHVYTTHYAYRPFGCSVIIASYADDGPQLYVADPSGAVAGYYG IALGKGKTVAKTELEKLDFATLTCSEAVEKLTNILHEVHDKSKDKIYEVEVAWVCDKS DRTFVHVPKEMVPHPTPAS XP_843675.1 MAERRQPRVLGAQRTVSEVLGPKPVDISDIRLLIDRCVGGAVDS TPTLGELERVLSYFARHNSGYIRAGVDDLHILASEILNNNTRAVMVFGEFGSSFGDVE MYLISVVVQYNMSLEAITINAVNVSDDAVSMLCEALTKSRVSFIDLSNTPLESEAGHS LAALAHVNPYVRTIILDDTLVAEEVLDEIDVACQFNQSNFEANGGVVDPGGTAEVARI RHRIRSIIRAKHKKIIYCVPHVLGTCPDGDMCMFSHTPMTSGAPDTNANLHERIVDVF AVGGGLNKLPAPPKAGASWKNPEELGEHTLRLNLEKCRPGKASRKEVSDDGGMLRKSL MITVIPVALVAASVCALRLWKR XP_843676.1 MSESEKIDVSGARNYKSISAARKAFETGDIEMSRMEHQKHIYKE VHNPSASDYVKSVVFGGLDGIITSFTVVSAAVGSNSSVASVLIFGFSNVIADGFAMGF GEYVSGEAERDNALSERRREEWEVENAFDMEVDEMVQIYEMKGLSHEDATTIVNIISK DPKLFVDFMMTEELGIIIDTEDTHGPKKQGLVMFLSFMFFGAVPLLAYLPGKGKGIDG VFALSCFLATCALIVLGMLRGYLSGVSMLRSAALMVFNGVVSGLFSFTVGSLVEHALR SSIEV XP_843677.1 MLNAGGAFSGFWEWCAQNGIVSKKLFIRQSMQGLMPLLSLHADQ PIGAGDVVLSVPYLSTLNAQTVRGDLRPVAVPSIRVMCRFLQRRGVDISTARALWLAS CLACATRLVAQGHEVGFAPLLSSSLLPKLPSPFVEAYVGGYPAISDALAGVADEPVRR AYLKDFETQVRSQLRATHAILRFNQRRHPSRIPLTLLPTVDELCAAYRTVLQRSVMLP VDCVPSSPGDLADLLEEKQGIDVLPSLVPGIDIIRSPTGYPPVTSVAGNSSGTPIAGD ESNAANCSLHTCVQSDFISPGTRRRVLLETEPLSNRRVVVCSTKEIKVGEELFLSYGS XP_843678.1 MSGAFGCGSYRSVVAGTQNVPRRMTFYPSAYELIQLHKAHREVI RHFYVRDKIFDNKFPGNALANGLFKFVPNRRENYHMRELMESIRRRSIWMHRIKQQRE INAKVVENMEVKYGKKAAASMLCFTTPDSNAYFAPHRYQDVANSWPNYWQHPSVNHVV PKPRWRRHRELGGITRVEDPFAVQASDY XP_843679.1 MGVLGLSKLLYDRTPGAIKEQELKVYFGRRIAIDASMAVYQFVI AMKGFQEGQSVELTNEAGDVTSHLSGIFFRTLRMIDEGLRPIYVFDGKPPTLKASELE SRRQRAEDAKHEFEKAKEEGDDEAMEKMSKRMVRVGRDQMEEVKTLLRLMGIPVVQAP SEAEAQCAELVKKNKAWAVGTEDMDALAFGSRVMLRHLTYGEAKKRPIAEYHLDEILE ASGFSMQQFIDLCILLGCDYVPRISGIGPHKAWEGIKKYGSLEAFIESLDGTRYVVPE EFNYKDARNFFLEPEVTPGEEIDIQFREPDEEGLIKFLVDEKLFSKERVLKGIQRLRD ALTKKTQGRLDQFFTITKPQKQVNSEASTAGTKRNRGAVALPGVLQRKSSSGHKKAVK K XP_843680.1 MFAWLVGATEFLTSLPVQTNEAKEVFTRVFVGGMNVSVVLPPSA LPTGESFNPSILVGQRSVCRNGSSNAHAVCTVFDAIPCLDLEVISEQVDCLEQILPCG VLFLGVMLSDTGGYSTIQLRSLLKENPRVNLLFAVIWGDSAVKKCELLQPGGRVELKV TTAEITFVSLPCYLVSPLDKLPLVLRARGRATTSSPIVDLRSSRLLADCDIWNLYKDI YAVQLGAKRDLDDELICVHVTFAPQHTCGLDIYRSIVSFIDKIGEQPQVRILRVCSLR NPALIYQWAYIHTTGDATGHTREQWRQVRELIEDGAGERLSSSRVLLEPHFTSTKMSV DKTSGEQQLLAAAGPGNVTDGDGRRNKGDYSLHVAVLVLISCAIGVLSWLLQTP XP_843681.1 MTLASEASLSGILKSEWDGLPCSGICKQRSVKDHCEAKFSRYSL SSISRRGGADFETSSVDSTDSGSFGESDVSPLRASQFRGRDNRGIGYIPERIYSATIG EEEEEETSSYYSSDNSADFQPLHFSLVVRTGRAVSAQPEAVVYHTDEDGDSYEGTSVS DDYFNISICRGRAHPAQGCFQEKVYEAVESGERIPGTTPPEDPLQTTCIKKLIKRLRD PDVEVTPEEFEDVDWDNPSFKEQLYHLKQVAAYIEAREMKLKTAGNDEMEALECIDKQ IKEAVNKIPEITISNAVSKHDGDAGTVVRKHCVMRGITIPIAVNEWIGGTCTVVSKPA GDLFCREGCPGDVKESVLTMPQIRMVESRTKVLPSENRSYASVMVTTLAESPRHIRRK RVNKNHVSQLVDVIFDDFAGEIVEEETSEMRRHRSGGVALNEHPNQPAEKCSRKKRRK HPPNRNISLLDDVVASELDSLSLSVIRHESCDKKTLYPSKRRRAHKVNERSITFSDLE VNEGCEIPETAEDIKIIPSLGTRNATKTPRKDRQGQSRRSKKGVSRSSFSLLEVPGEF AGEIEKRDIVYKVYPTRTTPCKGHGDASKDSVYVGVLGGSMEIGDKYYSTSLNHSAVA SSEKKRRRHRPDGLRMSRQLKYSFVPDEAMIEIFELDSESGLVGEDATPSAKGGVIYE GSASSGRRLMNRSGSLVLPEISSTGRSKHCVEPRRVLNRSINLTRLPEVVGSELPDTV VDGVDTTKSVGERA XP_843682.1 MQRSQLARKFATQVGAYSLLRGRCHAVSTWKVMATQAVTIPMYL PVRFHSEGHAAGEEAGRTGQYLLNKDDVLTRVLEVVKNFEKVDASKVTPQSHFVNDLG LNSLDVVEVVFAIEQEFILDIPDHDAEKIQSITDAVEYISQNPMAK XP_843683.1 MQTPLRPTIFPEGIEGSQTADSSIKVACGRDSSGRASGSPPDRE RRLHQREKQLSFGYATEGYNNMIKLVEHDPLLKSGGIIPLSPPDVNKGSKRVWDVSLR KWRRALHMFDCVFIEGEDENKKTLESVIEDQRLQWVSTAYSGRRKEDRVKVSASTITN AQNSPHVCKKLHVEECLKVILREESCYEPVGNVVPVSASSLLKGADISPADAGIKIFV APSPQNQCKQTPVRSGAVCSRVAPRCLNFDSSTPMQSKVPLNGVQEVKHLQSCVPPQP KENKNIWVTPTMWVDDGARTSPFTSIYQPPVLEQVSEVQQTPLNWNLFIPPQTYVCTP QPATAPGIVFDKFTPNTAPRRLTILRDSNTKSRGGEVDVLKRNLFPNSVMSPSHTDSL EKVFHPNTPLTRSCVRGEVPEIEANKPTGADCAQQILFTE XP_843684.1 MLGLTISRFDELQSAVSNGDVVAVGRLLTSYNVNMADNERRMTL LMWAVCFQQHEVAEMLIRLGASLYPRDMFSYNVIHHAAWQGDVRMMKILLCSMSTSSS SEDETSESTSSRTDTFRFRPGVRSLVDLPHPYNGRTPLMFAALKGNVEMVNFLITQAG AAIGAKDLEGNSVMDIAARIGQKAVVTLLLSKCNDDEDMCFNKARRSAEDNCENASTL QQLNEFNDLKTTLCQDWLPQSLVSLS XP_843685.1 MSDDGEENNRGKRENVSFPHAQSNVVSNLDGNTLLNDSLVQQSC SPTESKEDVKTEYDSFVDAPVIPLTEKFDDNYSQPVSTVNPRDDSIKCEMDVLPASSL TSVCNRKVIHGEKVDNDRVKIVRDWLLMPVLSQDSDFEGDGDDFIDTLLHIAEDKMYL YGFYERLGQTELVTTRRINNRKRSRGSNGISHATGNQGAQAPVMATSPPQVLPFSFVN WLPNSGKGRCDPERVHQQTISSDAILCSGEKYTLCRYALSSGKLRKECADPYQFLTAK LQEIRHCMEEELMGDAKNSNEKKTGVTDY XP_843686.1 MKTLHLLLGLMHCDAPLNHRVKGLTILKRMLSNVVNYPTECKYR SINIASSTWINSITPAFHVFQLIEWLLGLGFEQSVGDSFLIFKGSSLDCMANACRDVS LLLYACEVDAPSNTSGSKRCVDDLVPLLRVVSGHNDQCGSNTVDTSQAIYTALQPSVS DETWKVLKNRLRLIVLECECERQNQPRLKEKLCPPTLAARNLEAWTSLCELVRFINLR HLEEGLAAVNMERFESRGVRKVDTRGGEKGNINNLSNLVAARQKDKLSLQNSGRASID QEVQAVGTRLIVDIASCIEQISVLEGDQGLVRKDRLEAKRLLEKFGEDGDVRYLHLLR EEWSQRLEEKKKIQGNSFVYFK XP_843687.1 MPREIITLQVGQCGNQVGSEFWRQLCAEHGIRHDGVVESFASGG DDRKDVFFYQADDDHYIPRALLVDLEPRVINAIQRGSMQRLFNPENVYIHSEGGGAGN NWAHGYEMGDTVQETLFDMIEREAENSDSLEGFVLTHSIAGGTGSGMGSYLLENLNDR FPKKLIQTYSVFPNQSRGGDSDVIVQPYNSLLAIKRLTLHADCVVVLDNTALNRIATD NLHISSPTVEQMNGLVSTVMAASTATLRYPGYMNNDLMSMLASLIPTPRCHFVCTGYT PTTLDTSNIQSSVQKTSVHDVMRRLLMPKNMMVSTSMKSGCYISLLNLIQGDVDPAQV HRSLERIRERSPNFIPWGPASIQVILSKKSPYLDTRHRVSGLVMANHTSISSLFQRTL KQFDLLFNRGVFLEQYKRYGPIKDNLDEFKHSRDVVESLVSEYKACESSDYIRNF XP_843688.1 MLLPLSLLQSHSHATRNCTYERQNVEGVVDTNLLRDVGGCVVNG VAFCEAPNSVQLPTLFFPRLCAKGSNRMRRKLKLRIISTFEFGFCRPSLRYLSCVWNI IAVVFPRRLYVLMIHRELADSIAQLERDLRIADVNGSGFVSNAGFEKALLLNGGSIGH IEALIKQYGSEEHGEVHYARFLKNMKCLASGSAGPSSITCGGGDMPNSVASQQCQETG LASPCAGQAVPPLKRCPTPHRCPMDMVCCRERICDRCDENILTSTPSGQNVCIETHKG AVCTYELCPNCAHPLPVEMREIVADRKCCVAKPTITDTPNTFTTSTWSCSVMEGKGDK AKPMSFFSTTTAGCTDAVVVEPVQNNRASLNQGERQKSLVEYGKTSCAVGAVEVVVSP DAWSAGSDRLIPLREVFLVISHEYCGEVTLQSVWEAFKCRGIDVPFVELEILADSLGL RASRTEVECCTSGTCVSGARTLGVVDFCLLVARMRSTLIERIRRSSLWGSVRTKLLSN QIPRIGVANASVAAQKQIGNDDRDPNTAGRTDGMHMEQPVALDACSSSLPAPSRSSPA SEAPGDCKQDGFPPACLSTMAVESKATFERTLGGSQKAKDGCGTSVAVASSVAEGVEP TACANGESIATQGCWPSSSNLKFAPPRPESNGYVYTNVQQMHLQPTEGHFMTPVKQTS GSYSLYCCHSRCGCPNLACHNLPQSELDLVAGGNQSKECTDILGNLVAGGRGLYNRCG SQPTVGIRKYTSPDGNGQCDGAALGCLASEGTPYTSCRSVPEAASMQLYVPTNPLRPP CECDDHEGQQGAAGPRGDPEVAASACAAEEGTRISNHSAVCPTPDHCVHHTGGENDEV ASVAAPPAVVPPVVAPTVETRVGPSTSSQPNGNRKEQRELVSATSGTRTCPVSRDEGV GQKSAKGPRSASADKKISPYSYLYKACSDSGTRGRSCAACDARHVPGPSTQSSAPKGL RERKRATSIGPVQQPVTQPKLFKEGDQRVGKASPEPISKDALPKLRGRYPNLLSHCSR LDPTHSGCVSREQLREALHLAVPSLAAEEVEALVRASAEGGECEERCNYVSLTNRLLD MEQTAQQNGQGCSSDKRCDGMQGSIESSAGVGGGTDKLTTGNVANGGRSRIHRLLQNE LVNASGGDRQHLLNIFFAQDDTRTGYLEESVFRKCLVQLFQRGRRELSTCLLDQYVRL CRTPFERKTVRVQTAPACGKQATHEIVSPPSSSEERAARQRVRSIPKPLWAVLCDYRY MIEELKV XP_843689.1 MKAVQRVCSDARHLYVFGRVKDFFACGDAEVTERLTIQLDQNFS DGSPNFMVVHDMLEGCGANTLLFTFQPNPDDPGIPDDVLCVVNPQKGKLPYLTGRVVY LVRPDNGKPINAKEASQVVQDITMGSLDGNLLQSFEHLLMEVYTPMFNKLSKWGKNTL AERNRFIVHLLRYADRISELQQLQGERYELRPVNAETWRLLQTGGLNKRGGINDPGVV HCLTTTVEEWIKAVYDVVQEVPNYENELSEEKAGPKTEIQLWKSRLAKLRLVEEQLEA VEAPRAVQYLREVKSPLLQRWVEVDAALNDALSEAQENVKYLRSLSKYFDVLYSSDIA QIINMLPSLISNIRMMHTIARYYSRRECMTALFFKVTNAMVLSCKSAINPSGVRSRIW ECGQSPESLKELLHQLHLSAECNKAYNKEYKKAQEILASKDGKQFDFDELKFMGHFNL FTKRVDKLISVFSTVQQFVELKAYNIEQMEGLIPRFEEYLGHLRGKTTDILDIHDNNK FDVEFKIFETRIAELETSMQVAINSSFENITSTDNALQLLAKYQRILKNEAFAADLES KYLVIFHSYGMELENDQKTYERYKTDPPVARNMTPVAGAISWARQLLHHIKSPMDKFK TNRTIMANTKDSKKVIRTYNRVSVALIEFEAIWVDAWKRSIESSKAGLNATLLVRHEG RLYVNFDLEIMQLIKETRELMLLGGIEVPAAARMVLMQEQRLKTFYNELTHLVKEYER VVGRAGSTRSKIVGVTRPLLQPNLDALDAVFLPGETTLTWSSLNVDTYLERVQKAIED LDILVTKVNDIIQHRIQVNLKLIASTLLVNLFDDHFSLDQFVQVQEKHIRQQCEVMDV KNREVEGAVEDVVICILASQGVTYSTDKLDNVQRDRIATLKGHFNRLMFKAILTSTTR SLNLLKKRVGTRNRVSFLFVEKPFFDVDVQLINAEPYVFLSPSLDEVQKAINQCATAV LSCSKYMTLWEGLGDDALSFFEEIAKNKEIVKVVLLLTGGIHGLKKQVMEYLNHFHKY EYLWKLDMNEAYDEFNSNDPTLDDYEQRLQGYVALHDEIEGLTSVFNIGSLALHNKPL KADLLRKVIEWKELFSNKLHAKAQLELDSLTHKMDEEAHQLSLPIPDQEKLEDLRVLM NTLQDIRDRESLVEFQFYPVQQAYALLQRFNVPIFKEEIDRVEDIRFKWRKLHALAER RSDEINNLQYGFKKGLTQEVQKFGAEVIAFRNDYDSHGPMVEGLKPQEAMERLKRYQR QFDDKYRKWITLMAGEELFGLPLHKYPELVKTKKELELLDKLYTLYINVLHKVDGYND ILWCELDFDAIAEEVSVFVSQCKRLPKSLRDWDAYVELKKILDDFMELQPVIQELKNP AVVERHWQEIMRVTGHKWRTDPDLFKLQNLVDANLLRVVDEVVDIASSSVRESEVEAK FRAQEVLWKDQELKFSEFKHRGPIILKGDDTTSKREALDESSLAINSMLSSRYCAFMR DTIQGFLQKLVKVSEIIALWVEVQFTWQYLEAVFAGGDIMKQLPQEAKRFAMIDKAWQ KIMNKANETPNVLEFCYENELLQNLPNLKEQLDECQRKLSLYLEQKRNLFPRFYFVSD TVLLEILSQASDPQSIQPHLASIFDGLSSVRFERVKPKEAGAQPYFQIVEMISGEGES LMMREPTPCVGNVEDWLNRLCSGMTDTVREVVKASVMELGTLLGNTNYLGSIIERYPA QVSLLMLQFFWTADVTECITKGIMRSRGREAATSRAKCDAVKNFLVSLTTSPELERQP KRMRTNVETLITIQVHQQEVFIDLQKASIKELTHFDWLKQARFYYKPERDLTIISIAD SDTEYCNEYLGVKERLVITPLTDRCYITLSQALAMYMGGAPAGPAGTGKTETTKDLAR TYGKFCVVFNCSDQLDRHAMGKIIRGLSQANAWGCFDEFNRIDLPVLSVVAQQVSCVL QALKQHKDKFIFIDGQVTDLMPGVGFFITMNPGYAGRQELPENLKILFRGVTMMIPDR QTIMKVKLASQGYSLDDLLSKKFFTLYKLCEEQLSKQRHYDFGLRNILSVLRTAGAVL RRNPGKDEEDLFMRTLRDMNLSKLVFEDIELFDSLLRDMFPGRQFVKGSHPEIEGELA KVIEGKGLQLWGPWISKVLQLYETKLVRHGIMVVGPAMCGKTQCYDVMTETLSRTTVP HQQLRMNPKAITAPQMFGRIDVSGDWHDGVFSSLWRAAVRHAKKRNVWIVCDGPVDAI WIENLNTVLDDNKLLTLANGDRIQMTDTMKCCFEVENLANASPATVSRAGIIFISDVI LGWKPVLKSRFCATVNEEGQILPVDVVKRCNTLLAEKLLALFLPTEGEGGEPSLTERV ATFYEKECSIVMKTSIAHLVINAFHLTVALGEEVGDGVPVSDALALKIFWFSLSWAFG GVLESKDRLKFDALVRELYDGLPPSTEGTVFDFSLNCKSGQWEHWDGFLEKWKYPGDD RLDFATLFIPTADSVRLHFLMNCNFIQHRPALLIGVSGTAKTVTVEKLLLKIKAESET SNFRKLNFSSMTTPQNFYNALEDMTEKRMGSTFGPKNCEQLTVFIDDINMPEINEWGD QITNEIVRQVVESSMVYDLSHAGRRKELRGLVYMAAMSHPSGGKNDIPNRLKRHFSIF NVPLPEESSVQQIFGVIFEGRFCNDNYARSVQDIAKMLTQMSIDFWRAIAKKMLPTPD KFHYFFNLRDLSRITQGIMMAGMNDDPEKPERRSTSKPWEVVTDAVTLIRIWKHECSR VFSDKLNSVADKRWFDDNVQDCVVQHLTPTQYRDVIEQVREPIYMANYLRDPIIDPET GEIAETAPRIYEPAPSMEVLQDRLLRAMKAHDEQPRVKQLKLVLFEAAVKNVSRISRV LSMPRGNLLLVGVGGSGKQSLTRLAAHVNSHDYATLVLSKGFGVNQLFDAIREQYVMA ATKRPVTMLFTDNDIKHEIFLEYINSFLSNGEIPGLFASDQRDSAINDIRPVMKKDPF VKFEDMNETLWKYFINRVRERLHFVLCFSPVGDKFRTRARKFPALVSSCIINWFFPWP KQALLDVSFRTLKNFEMATEDKNKKALVELMAEIHSLMLEKSEEYLARYRRSVYSTPK SYLGFIESYTNVYTKKFNELNEDASKINKGLQKLHQAGEDVRVMRKQLQEKEGLLQSK RKETDALVKEIEIRTAEAEKKRMEVEVVKESVARDAAVVAQGEAEAKKDLEAAEPALL EAIESLNSITSNDFTTLKKLANPPALIKRIFDAVSVLLHRPLMVPGAEEVKGALWITD SWEFSGRQLASDSSTLDVLRSFGENQKDFINEETCELLLPYLWMDDFTQERARKASGN IAGLCTWVRSMYKYINIAKIVAPKREKLRIATIKLRVANKKKEEQEEELARVTAEVDR YNQQLSEENAKKQALEDDATRTKQRMDSANGLIDALSGERERWTQQSNDFKSLIERLV GDVALSCAFISYCGPFNSEFRHQLLYKNFYVKCKDLKIPVTSDINVVKFLVDETTIAD WQLEGLPADDHSVQNAIMITTSAKFPLMIDPQGQALNWIRKRTERMQGKLCQLSDRTF AMHLQEQLDLGLPLIAENVPEEIDPMIDPVLEKQVVRSGKSLIIRVNGEEMSYNENFS FFLTTKLPNPSFTPEMFAKSLVIDFTVTMEGLEQQLLSHVIGREKGELNEESAKLSED INSNEKRRKNLEDRLLKQLSESQGNLIDDVVLIQTLQETKDATAEIAEKLATALETRK RIAGACEEYRPVATRGAVLYFLVVEMSLVNHMYQTSLVQFDGIFDGSIQRSEPHPVTA KRIQCIIDYLTMAVFKYIIRMLFSSHKLLFVLLMACKIQMKVGQLDATSFDVFLKGGG AMQVDRSKPFAWIKDKMWANILAMSEQLPRSFKQLPDLITRSEQVWRQYIESDNIENL PVPDINEKLDSFERLLIVRALREDRAMLAANLYVARTLGKEFSEAQQLDLQGVVEETS GLTPIVFLLSQGSDPTTLIEATAKSLKKKIFPISMGQGQEEAAMNIVSGAWHNGDWAL LQNCHLGLPFLLQLEERLRQQHLPGEKKADIHEEARLWVTSEPHKLFPIGLLQMSIKL TNEPPQGIKAGLVRTYSWMSQDYLEMFRRPEWRPMLFTQCFLHSVVVERRKFGPIGFS VPYEFNQGDWNASVQFLINHMTTIGEALKNPVNRDTVCYMVSDIQYGGRITDNNDRAL FKAITEFLYDLRITNPERSRDMKIANDTKVPLNVMTSTGGLHNPYPCEITEFAPGYGI PLFDDINKHREFIRDTYPDVDTTEVFQMHSNADITYRTRQAQEALKTILDIQPRDAVA RGGVTREEKVLSMADNFLRQLPANWVVDRKLHLADKQPLSIFAGQEIDRLSVTVRTIR QTCTNLKLAVAGTIILTPALQDALDYLYAARVPPSWVAVGWPSPNISLWFAEVLRRYE QLDGWARNGRPPVYWLPGFFNPQGFLTSVRQEITRSHANEAVPWALDKVETRTEVRSS EYRPGQEPKAEDLKTERGEVVIHGLFLEGAMWDKANKRLKDPPPGDLFRELPMLLISA INKDAPPPHMNAPMKPGQLKERQKKQEYYRCPVYKYPTRSDLHWIFDVNLPVAEDDAY WRMRGVSLLGCTD XP_843690.1 MRISCSCLNKSLVSTAIPSDIIERAGTNLPGIPHKYRVCRAYRQ WLKLAVLCPHSLLCELNEHAQMNERFVIIVRQKFREGEELRDPEEIAVAVQSCERSLA MFRFLAADGARRRFPEAKPRLNLHKMGFMEMAKINYTQMAKEYWNTYIMRRW XP_843691.1 MRRTFSLFTTLVRLGRGPENVTEEASNSLLEALQKHGYCYVQHP FIQWEILDQVHRDSRIFFERYLLDLPEEKQRTRKEKFSKKLIAPRKPIRAMTPYELES IKTSSGFRGYYRYIGAGGVDDAIECFSVGREVQSPVELREPYYRLSGWQRDEYLPLIS RRNAWDSLLTHPKDGSGDPNGVHAFMADYREMILAYYDLCSEVALDVLRHISCGLGVR PSIPQGGPDPDSGYDLEYFTQFHNKLDFDLQAKYYPRFGQGARTRGGVEVKGVQSASN PKGVKVLRRKTARGQPLVNEDEENAVLRLDSHKDLSTVTLLAQDALGGLEVWDNESEQ FNPVPVLNDALLVNAGLFLEKWTGGLLEATPHRVRNVRGGSSRCSVVFFCLPNHDARI EPLLQRDENPSLDAEEGFYAGDLMPAAP XP_843692.1 MDFLDETVIHPMTAFARNSRMLVRKCQKPNYSEFNASAMATLVG FVVMGLLGFFVKVVFIPINNVVLGA XP_843693.1 MSAIKRSLNVGVVGMGNMGVPIARNLGFKARSAMYLQIHSRALS KAKRVCDDLSVDGATCAMRIHDRYSTMTKWCDVIVLALADVKASRHALLEDNESLIMN ARKGQIIVDHTTVDAETSRECAHEAARRGAYFLDAPMSGSPRACFNGQLLLMVGGDAE TFQKMLPIFHMYADNVFHMGESGSGTAAKMISQALVASHNAAAAEALSMAHALGIEDQ SKLVQVLDASWGSSTMLRRNAPLLQDLIRNPDKTPPTSAVSVDRLLSDLAHLDASLPK RGGEDEPFPVFDAALRSLAAASDAGMGDRDLASVVHYIEAGEAELRNRTRVPLGGEHL TGRTAATEANSSVNETPSTVNGSSEVPNEFGVEDFY XP_843694.1 MNSEEGRHHTLSSFLASTLESHVRSIRQEALTVCINHAQCELLS RRLQQVYNTLRKLPNGAPTPQLLTVFASADMLVQRMRHGGWLLLLERHLTCRALFAAL FERIPCVWQLQMTDSWLGEDLLAVRADEQVDARVYLEKLGGWADVESRMDRARGSFDS SSGEESDTGETRGGAPPDALTEQDVIDMFRRQTLSRWRVPLEDLTPPGEDVPPPPYAD SELHVFSLYNGYRYGKTPVLLYQLEQTAKRTIPPTTVTNFVQDMVARARWCHPNLVPF TGAFTEKVPFLCQPDDDNDCDPDVDTSSQFSGENSSRSQSANGCGATEEDVTAADDGG VDPSSAPVLDLGYMIEDMKYLKAELLNEESPYLTSYVVREVDNYIGNETFKTLHEVLF VERRPFTVREAIAITLQVADALQYILMEEGNMPEEVLDAWTVVSPSNIYVCPITVPRR SQHECCHEPGEFGGSAWPTCDDGYGDIQEEYDHDMVAPPFCGSSVQQRRCVELFAPGG WAAMYNPPVYVDGGPYSRWRPHPHASCRPTYAMAQLLLALVNNEPPYRLLTKQEELAR HVFEADNMNDDDNVSVSVRVSIPVGSIVPRRLPVALRKLCSHAMHLRDPHRRGEAWDG LSLSDFRNQLWESYISLSNSMDTAGMLPGMDDDAQATVVPVGERVEMGFSRESSVTRE RAPGPTLDDYGEFPSRES XP_843695.1 MGDAFTKYATGSNSCISPRTAGGDSNYGSPPPNSKAPAMPSYRT ETAVIPPSTPRVKGACHDNDVKEVEPPTATQQLQKVRQMFRRLDSHNDGMEEALIGLR SRIDTFRSLLATCLNGTVKELRGELRILRESTQFLVQDFAGDLEQCRRIIMRRLQGEA NRRGYDPPATRSFKKDYSMEGGLSKRESSWELQQQCGAGRGEQSVFPGWRELEVREDL LEAQQRCTALEKKLKQQREAHENHLSAMKDMYESKEYTLKRRVELLERLVERDSEKLR YILSDDSKDGHGKWGKRGHFKEEQDNYYSSGDDDCDEVRESSRLHTRSKQSLKAPAQK LLKKRRGGLVKEHESHQSQHPRQGPSDSWTPMLYDDVERRVRAQLLYGRQNSPNRPKG GGSGRDVGGPQKGGECRNRLEERRLENVARRLLNAVGEAKAKQKWPQADDEADHRRVG PWRTSNDVSTVARGLWAENVLQKRAARQL XP_843696.1 MRRTCCATTSAVLRSLVYLRPHGRAKPTTSGSGRKERQFSTTTA RCESKGWHPAKLGMDGFTDVAYNTAADTFLERVESALETIGDTDTLEDVNLAGGVLVI ETTSRGTFVLNKQAPNVQLWLSSPLSGPHHYDMTTSATGSVEWRADADGHSLEERLEK ELSDVVGTEVSLSSGAGETE XP_843697.1 MLVEEQTGSVVDTVDRTNPPAEEPTPQEALQEQRSANALQSDGD EPEAEAEAPVQHQRSDSDEIQNRNGVESEEAEARGHDDSAAVTLYQKIIEGGEWSELL SVSYDAVQKTLHREAVEATNLPDTCIRVSSLRADGNKLFVQLVLSLEENATPDKVQSI LDDHPFDETRALRARHENGPGEEDEVAAAARDECDVGDINGNTSKKEKKSAKKKVKKL PKAVASLVTDEASEQAAAAPQNRRRTNYKEAPTPYYKAISGAAARAAKDSRSTSKTRR TRGAADVNENPTSSATPRNMTVPPLSRSAIAHSVSATRALSLGDRISAGRGPRTPRTG RAPSIHTPRVGTNNLIHRSTVQRRCFGSAVVPTIPTGPIAARPSTASGTAVPLAAGRA ENKEAPMRAGRRRNRRSVPPQHVVFVEMSYRKRTELQAMNAAAVVTDEEINEESIVPA DAAGRMASGSTSPSRRPFNKGGSVESAATRPSGRGAALTQDAVDAEGSAADSNARRLT GRNVTDTKGELPTEVKEDAEPDNRRRVGDEASAPVERDSEQEPEPRADSAVAPPTVDG SNRASPHASVNRSETVEEKEEVREEQASEKESTA XP_843698.1 MFSGSEGSVRTDDTNVEPPPIPKTRGPLLFEGVRLAGGPPRRTP KRSCSRYFSVTRKTTSAGTDECEHAPPGFSLVNTPSTQDVSPSAKMELPCSAAAHYDD DDDDDDIIPSTAPLPTPHPVMHRVLATGQPNGVSRLLPFDEYVVEEVTPRKCSSISAD TSGAAPSTPQGTELREVTQVEEQSPLPAVPEMCESPTRFTLGPRLPVNGEKVRMDFYF LQQEVDAWAKPIETTADVEWHKQHLQETRVAMRSLLHELEVLIAREAAEHERLCIARG FSAMESTSDGKCTYAGETDVVSKTRGKEWKQEELETLEAWRVEKGALLAQKSKLLREH QELQYRLRSHLSGSATGRVTPSPNTSVSRSNKSRGDEGNIGGMSTPLDNGVAQLRLEI SEMNAKLKKLEDAYKVLHDNQQWRQGEFDDEYGALCRALATATAGEINNRTALQDTIR EAEGVRANCLRQGDASLVSLMERGLSEAKAALKCHDTEQYETK XP_843699.1 MPAKKKGGRGKTLNIVDFNVDYIPEDNLDWAVVVPQEKTQEQVL EEKLAKRDYSRTANNVLVESGGFREAPSEQVTRRRGIEDLEPPYVAHFGNLRNGTTEE EFLRNFHEDVVVTSRLINQDGKSFAFVEFKTPQALLIALAMDQSLVKGRKLYVDLATP KQVERLRGHSGGLSRQNAGQPQQNPALQNVNLTRDAFGSTQPNEREKDLGSRSGFGSR NDLHVLSDLSRDTLGTATRPNEGLLSPVGPPDFSSWRTEAPTAQPEFQPTLRENRRSE TDLDRRRGRGGGGGGGVKGQSFGSLANWRDEPMVQAPPMVTAAAGEGNAPPEEGGAGR RNRGPPRSSAAPAEPCKWEELRR XP_843700.1 MCELDILHDSLYQFCPELHLKRLNSLTLACHALLDCKTLTLTEL GRNLPTKARTKHNIKRIDRLLGNRHLHKERLAVYRWHASFICSGNTMPIVLVDWSDIR EQKRLMVLRASVALHGRSVTLYEKAFPLSEQCSKKAHDQFLADLASILPSNTTPLIVS DAGFKVPWYKSVEKLGWYWLSRVRGKVQYADLGAENWKPISNLHDMSSSHSKTLGYKR LTKSNPISCQILLYKSRSKGRKNQRSTRTHCHHPSPKIYSASAKEPWVLATNLPVEIR TPKQLVNIYSKRMQIEETFRDLKSPAYGLGLRHSRTSSSERFDIMLLIALMLQLTCWL AGVHAQKQGWDKHFQANTVRNRNVLSTVRLGMEVLRHSGYTITREDLLVAATLLAQNL FTHGYALGKL XP_843701.1 MFICPLCVLFLQTSCMRNAPLLLFGAGGTLGGVKKIANPKTIPY HSWVLSEKSRVLLDSIHHPLVTEYFLRLNMRRVGLEQQQECADLASLHTKVGDTSYNH VMWNIQYRDELDVSERLSAHLLKINDNIKLKDQLHSHETLSDDDRDILLMVEEDVREL VEKVRDMDSDVNAVMTRRLNLSDALGSCTNTWSLEVAGKAGGEEASLFASELLEMYRA YATEIRHWSVDVDGGEGDGEPVSSGGPAVGGNGMKIKVKGEGVYRNLRHEIGVHKVQR VPVTDQDGKMQTSTAVVTLMPVLDPVSVDVHEKDCNIEFVRGSGPGGQGMQSSSNAVC LTHKPSGISVKCHQSRSALGNKELALQMVAQQLLVRRVKDQNSSLHETWCNQWSSGER SDKMRTYNYPQNRVTDHRLGRDYSLGTFLDGGAGLVGLHDDLNAIDDRQQLVRVLLQH IESDFNCVT XP_843702.1 MAQEGLKEVTDSPYFLKKWLAFIDYAANDLFGGPRPLKAAHVIN LQKGGTLFFCLWLMKKSGNYSATAITYTALHGGYGLCWLLKELVFPDPKWQKHITFAG ALTIFASVLGPYWYIVYNAIIRKAERSEGALCAATLVYLIGLVMMMCSDCQKYFVLKK KKGLITDGFFSRIRHPNYLGEMMIYGTFGFISNHVGSFGVLAWVWVGLFLPFMIQKEA SMSRYSEWRAYKSRTGFLLPSVLPPKQKTTTVE XP_843703.1 MTYCPITRKELERYLPNFDPLLDPQIGEWVLVTPERRFAILGPL LQFVQEHAIKPIYPFLDNSEPSSAPVTTFNFCKEDSPKTLGDPSKVFPTLSEVWRRYR RRRFHISGMDEDGIGEEEENDDTILYLNPRALLLTPCAPAVFGGSDFIEGLDREGERV VFVNWKTGETRTDSGVLKPAPIPAWAEDVAEKAAMEWESWKKCVIPPSSTRE XP_843704.1 MRRACVHFARAIKATQNLTHLEVFTQLQLGIHPPLSTQRQFLQQ HFDVEMERRGITETKLLAMDGHLCTQLLRQLLFERYLSVPFRLFTFDLEFTGPPVFGP DGPTEDIMEFGFYSPAHDKVFSCLVRPSNGRCVSPEVTTLTHITQKMLEEDGLPFRDA WAKVLDFLNTPEPQELPGAEKRLLVLSHGGKLADVSLIKWTLEASGMELPSNIIFGDT FHLIRDAHRRRPVTLDKHPPTWGLSDLVQWLRIPPTLPAHRAGNDAKMTWDALYHTLE RYGDEDLTPREQLVSRFFDVEAKQVMSQAGIRSHAQPDGTLENDTSYMEASVSDSLDL DFDEIFTPDGKQRPEVSEDRTDDVPDGSKGESHSGASRGKVRSGKRNGRSSSSGGDRG EEFII XP_843705.1 MSFRELRSFAETMRLLGYPNLISMESFRNPNVELVADCLFWLIK RYEPSAEIVYEIEREADRVFFFKQVCEVALAKGRVKLNIKKLYQSDGNAVQEMLILAN VLKKAMNTTGLEEIDHATLQQVIAQRNVQDAKRVQQLCSDLTNDGSSLFFLIEEETGQ RGERQRVLSRATEVGEFERRLREVLKDVTTQVEQLQASIANLSADETTLEQKIESKKT QLERTQKRLKSLNAVRPAFMEEYEKHEGDMHSQFVIYLEQYRNLEYLEHELAKFNAAE DALLEEHETKLRVMRERLRREELNALRGEAGRKRGSRSENDGAPKIGGQGNGPEGNGS SAGPRVPKASGNMRDAALEGSSGSDSSGDSQEEEHIRPQGALGRPRPTAGPSTNPPPR AGPDGYDDSNAGGRSVRPGLSVRGSSPPDRNMRGPPPGGAGGGGMSDVDSDSSDDDDD DDDDSDIDTSEISIGSDDSGSESSSDL XP_843706.1 MQASSTADCVATFKLVLVGDGGTGKTTFVKRHLTGEFEKRYVAT VGVDVHPLTFHTNRGKICFNCWDTAGQEKFGGLRDGYYIEGQCAIIMFDVTSRNTYKN VPNWHRDITRVCDNIPIVLVGNKVDCAERQVKAKMITFHRKKGLQYYDISAKSNYNFE KPFLWLAKKLANDPNLTLVEAPMLDPNVQPLTAEQLQALQEEARAVENVVLPMGEDD XP_843707.1 MSEALIDEENFVPPTARVGLSIVRTHRRFLLRHRHFVHQYAAMY RARLEALERRVLKAVSAKVAAGNVVGTFPQRSRVLELGIGERALCVGVAYKEARLLPR FLDEYQKELVRIDAGEEEDSYGGSEAVSCSVPLRANSRGVNPTPGNCCEGDARNQSHL FNICGEDDEVFMEDDSGRVRLEGIPAAVVCTGLVLGVDGTLLENGCLSVNCYAIGDLR EVYVPRTLPTVSSPTPCYVGFVCGLELCSAQTQTDAATNGRTMIELLVDYLSGTLGST GKVVQPSYISRLVIGGNSIAPTEELRLKRKVKLDPSDHVKLSDDKQNNGTVTSASLMR ELDAILARLADSIEVELMPGDNDMTNAFHPQQPIHPLLLPEAARRSSIGFVTNPYEFV AFPSDDEENHVERSGADATEGGTIFFVSSGSNLNCVNRETRFDSRLDAMSLILQSGCA CPTAPNTVFSYPFTDTDPFVFPKAPHCFVCCDQPEWETRWEPLATFDPTRDTNGCGIL LNEEMEGQSQEIESDGAGVRLVCVPTFAQTGKLVLVDVNSPTLETTYVDFASGLRNPR XP_843708.1 MSGEADSTPGIIAPGPELRAKIERSAASLAKRDKRKADEMMAKV LASQRREEFLYFEMTHVFYPFFLQKLNEYRAHPELIPTDKDGAAKGTGAPGTEGAVTS TTTVKAGGIVRREGGTRRSEVLQQAEVEAQRYLEDPFPSKYSLDLLHGTVDIPTTTFN YMTCTAQYVAKYGDRFLQDLTARHRNNAAFRFLNSEDVRHEVLLKLIESYRRILHFDS DEVEDRLERYHSVRYIIDTVCKEKVKYARAAIARQKAALLTDEELREKLEWNVFTVVQ NFSASDLGLDAPMPEAVAARRRARFEAPNNVADLPVSGGGDSTKDEHFGAPANGTFVG EQDASREPKPPEGMMAPPTFSPTFISSSLIQPADQPVANSNCRKRTHDDVDGNNSA XP_843709.1 MGKYAVVVIGPAGSGKSTLCCTIAEHYATKGRSTHICNFDPAAE ELRYSPSIDVRDLISLEDAMEGKGLGPNGGLVFCMEYLLSAGEQWICEQLGDHAEDFI IIDMPGQVEVLSHVPAVPNFVRLLQRVGYNVVVLFLLDALAATVDAGKFVSGCTFALS SMVCFDCPFMTVLTKCDLLPPDVKEGDLEHYCYCNFDHVNLKPLQGRWQEMVRTMASV IHDFNLVSFRPMDITDTAYVSNICQQMDEVLQVVDEAEVNDRDLPGPPGEGDGDIPGE DVMW XP_843710.1 MFAPFFRSLKSNAIAQRGLFVAKTSLRQYRCRLFCSPSAVLLCS FSRDMPLSISQLRTRLRSQLQDGCPRMQSIDTEGQLTLTLARSRRPAEQEEALERAES LWRELEDSASPLPLTSITGLRVSLCTSMRRCALVARKQELAESWTRRFANLRNLQPMD FTTGANVAAGRVRGWQHGRPAEETDQEEMSGGVVDDDGAGAEGAGRKSGNKETPLQKY RQEVMMDHPMMQLAFKRGVHTPGPRYTGD XP_843711.1 MSTDGSEVIDDNKTVRSLFNGLAQRYKDTVLKSETSIEQDENSR SLIPHLEKLWYMMGAMGVVSPNEQLDDISTNALELLWTPYIIADLYQRLQGPMPTPAP VTPGETNEVAGAAGMTREEALSRSHHWYDVFFRWAQDCELVDERTLDTYRTFRADNRT QRVELSRKRRELEVVLLQYEDKVEYLQAKRKRMKELMAEDGDEISESNGDEEEALRGR ALARLRWSVYEACHHLQLSARELEMLTALSPERRQEIANNHQRTLDAVRRGELSLGRH TYTILPGGLVAPGGPFPVDRINSTVMSAIASQESFRQKVVEELMINRNNPTMTLAEFA DAEMADVQRRMEANADAQRLQQEEDELLGPEGVEERQRKRDSALADWKDDHPPIGQTA RGNYA XP_843712.1 MPGYPTGLLLGCRMSSRPRVSWLSPALASHQQLDAGIAARHQVD RKSIKKSKRPFENYFRSEYRCSPSPSRFTLRPGGRVSSYDAVKLMTDPRRNWSPFWGR VVGNVRTQKKRQDVLQPAPQQFGEDSLDQTIQRFLELRFQWDRGDDILAPSLVPPSLY SLTEHCLPYACDEQQQQQTVSDCVELDGGGAHSSAFRARGRRKCLLVPRGNLGSSGVE TIPSIVLDAARGPLVSSPTELSLNLRWKATNREATSVSEVLRLQQCVGHVPVMGMTAR SLLLLLKAHATAEVENPPLAVALAIAAAEHYHEYTPTECLELLRCLRRVAFVKGLTQL SHSQVNVVSLYRDSRHSQMFFAGYIGDAAPFLVERVWSRLPEHAQRLCRRRLFLDFID LLALAVEMNGWRFPCNLPNPASSATYASKYLFLRYTLQLDEAMMTEYVRCVADATFSA PQTELYTNVGGQQQNSRQLIASRCDPDDSETLFTPLITWLCVSFLARVTAEAVGDVLR HTEISLKQHMKLAKDYQSAAARGKSKLQRLKAERDLQQFLDSIALVRPRHHVYQCIGR RHPRKMRLRVIPLEAFPAISRHTGLPFGSASRHAHLSAERRCGSFEHDDWTFEAVVAR SALARKHIERAKDALDWAAMMLTNCAVIARHIPTPAKRLEACGPKHTFVFPAASHLPS SIDAASNRLAWCEALVRSRVQEDIDSLFLHIPWCELEEQLQPFLDSEGKANGIESKEG TQRAGKVTTAGDDGTSLLDAYFPFDFLDNSGGTGDCRQVPPIEYDDAEHTKHPKTAQQ DQNSLEALQVSIEKFQVTVAELIRAQEDYFLIL XP_843713.1 MDQPERLVESLVDSSWVVCGTELFADELKDLEHLEEMDEKLASQ QQQQQHRSEVVDGCDVLRESYDGSAWSQERRTTSKPRKSPTFSKQKLPDRPSSRASTG GQAKEPSSICSTAASVRVKTEKVVNVAENRGQDLYKQMLQALKQQIRQVREEADLRRS ATRRQLRFFSARNVDEYLPSEILRGAPEKHYQWRSEVSGLDYVTEDVWRRTPHQDDAA SSPSPSVTDSRSALTILQTPYGSGTSYPAICDFTIDPINFMAEINVALEKNHGVRIVT KESQPPAEEPDPSMLLSNQLCEMLEHQPGPMMDLKEPTWFNTVLPPIASKMEEKWVVD NSEGQMPWSGVGPTKKVKGGGVERLQLAFPPLPARAGQDSRVASGGTATKRAAVGTTT DGCNSSKYTGAGRSAIGDMDKLVRCSATDDTVRLRAKAGTKTTRTVRFTNHCTRHLAM RLQPATHPWLKYSIVKASAGKPLAASSGLCTALDKPVTFFEYIDVMITFEPQTVREPS IAEVLRIGYCVELNIRSGEGAQWHFLEVTVLCDTQQPEFRLVPLEKVADRREEAADTS AEDSSRTTRVNSRASKKDYITTCEFPCTFVFHSFTKYFQLENIGSDAIISLGTSSSCF KITSPLEEDIEIPGGSTVEIAVCFCPLSEAHYDAEQLFVTVRDERNGPVLAKHTFNLR GESALPHIDLLRIGPMELSPFEGDECSSVPQYFLPETTLGEEVVEEVVVRNNCPIAVE YFWQVATVGGGPSGAQLQITPCRGEFLPKQDTRFTVTLKPSVVEPFAAVLNLFLEGLP RIPIEGELGRTVDSCGPPLLPPQNDSRMAELDATKGVLRLLSRSRMVPALPEPEQLRR LMDPCGALKNIMKPQSSECSGVFASGFYLFADPSPPRLMLIPDRFDEGLECLINGENA RRVVLRNTSHRLLHFIFDPTPQECPVGVCFPATYDPETVEVRFEPRAGRVPPQGSVPI TFFYTLKEVGHHSMSVNCYIPELFELGVESSEGSPPLNLVTEVPCTHALHLSVTGVGP TLIASTHLLDFGLIEQGRESEASFTVTNDNPIPVSFELQDPKKCEPPRFVFLPQSFRL GVGESVEITVYRQAVDITEPQTFFELVVPNGGSIAIETRADIQLQTLVLRETVVNYGV VPNGSWTTQSFVVSNPFASDIPYTVQAVDVPPNIMVQVPPSGVVRAGCVDVQIPIRCA FSVVAAGGGKRAVVSFKNMRTTQEILVELCCEKISELTVAIDLIPVPKVSEGTCCMAY TPPILPLNLPEAPEMEISSYIESVLRNLIELEVLKCCVPRKSRKESFSAAVDDAVTNS VSALDAMASASGAGGTGELVLRPYCPPVHLRALLPHGEPVWSELVVLRITNLTGCHST YGVNCTQYGFNQTLVDMRRSPTVGRATSKSMTMTASSIAATSKNRGRSRDGTTSRRRD SRRTFVGSPDSRHPFSAGYADGDDTKGRKAVVQPTFWCKNLDGSEQRERERRAALVGA QEALLDGRGCATIFGGPTAGALNPFAESKLPLTLSANLPGRYEETLKVHCGNMPPVHI PVSLELRGRPVLLDSTTAGLLVSDGKEILLMPSVLAGVGRSQRTLRLVNRLPRDVNVS FKIFLTNVTFSVFAVDDNVEANAVTLHLGPVTEEDHRRESEGKGEVAAAPEKFFLPAM GSQLVTIEYAPDANFVGANCSERKWLGGVIITAEYADTSFNDAFIIDEFYRIHPQYRP IDRVVKKSAREGDAAWTKSLLRPAAVLKVRQPVITRPGLIKKSTIIVPELGVASFSKR SGASRRRSLPICSDSFLGDHTAFSDDTTDSDEEGGEASAVIRQTETGRAQRHDVLRSS TSLEGERMELLSFIEKRRQEMMEHSRRYFVPIELELQALCGVAQLTVEPSENLVRFPT CVDGQRCTQTVLLTNRSCAVMAFMLDIPSPSFKLLKARLIFSDKDYKQLEVEDEETLI CRKALESLKWCGVEERQKARRVVSLGQSKENTNKGCTQIVLEKYPTQNQRPTSRGSAS TTGVVVNKYQLFPHDSLQVVLEYQSQSKAERDALACEGATVTGELNILYLPADVAIVK QQQSGAVEGKKPFHPPPVLELKQSIPLSLSFLNPSVTSSPSFLWFFPGQQIHDGRQQP SYVQKLRLMSLHPSPLSFKLSVGSTAANIGLVPARCNATPALGCLSGVAGEPRKAIRQ LTLADETHNVFTRERKIREMKCYIKDDNPPAVGGVCVEGCAPQDGKGVTRELQPRSTP YDREKLLVIEDASRFTITPMEGIIPAATRGGQPGVFEILVEFKEHANMRFEAAFDVLI GTHHAKASSFFLRGDSRETEI XP_843714.1 MSLHVGNGLQQMEKKQCVSSCLLLTSLHVRGPLPLPSARSLVAS VCVGTSSITTDVLQPVMSDEVSGSSVPLSPVFQRASSTHQRLASASSVIGLEPLRIMF PLIDDDACHGDGGSGSAQPHKYEFCIGLREADSNALLGVAICTRSGAWFPFKPCGGSA RITFRYCEQMERKNRILRHLQEAQLYPTSSDTKMQSDASCKLEASSSFLAPTASSVLS ASTSFVDGGGPGKGSRPTHSGLSATDALAVARPHSACSSPNFPLLPATDTKVKPENEH SSHIMSVPNVTTEGPRHLASSNVATSQTCKKEPRRNYHCGLNPISVNGFFTTSDPCPP GGLREREGQPRSLVFSNIHVKGFFPLSLTGSWRLEFLIPHPCIPVTKHTVTVPATFDT DGSSALRDTLTVDLPLFLRGEVQTRLRATWVDSNNLDDITEVPTVVEFDPIVIKVGGS EVCQQERRYHKLVRHSAEKFTLSELGVQLLVALKYFAPHREGQNGRPTSAHSDARLWR SAMAWREGEKDADAVKTKGNGLGKGGDGADMDVRLPELQTAATPDGKNMSKNSIFTEE AMSAKALSFGDNASQSNAHRSPPSKEVPPPRRASKEEVRNPGMGHFSTLKPATNAQLV ASMDDLVPCYTEEQRRAVFALYDTNNRGYMTQEQILHFCRAHVALFDGHQNDANIVRL LQSLFPRMPRRRMNATEAAAKATSSYLLQSRKPCAPALEQRLKNRGLQTPSGRPTSGQ HTAGGSPQGALGLPRRCITYDIFEVIALRLAGM XP_843715.1 MSYPHNFDHQAPPLQQLQQQVPPPLPHGSWAPQPNQGPGVPFNG VGPGITGAYGAASASGNAPTAQDWYYNQCPTSASGAPYSNGTNNFAYTPEMQYQPPHA QQQQQQQQLSYKIPSAAAAQPSFPGLGATAASSPHTPATVGQYSEHPPAARHHDVSER NEHRGIPCQENLRSFPAPQSRHSADSERQSTGPPPNYVRPPPRRAVDLVKAPNPNECV PPSSDSLMSNHSNLQFPSGADANISNASVKFFRPTMKWVPASANLVKDSRVPFGAVIA PLCQPVDPQEEVPCVSGYPPVRCQRCRAYVSCHARFTNMGRNWVCPLCSMCNDVSEEY FCNLDNQGQRLDKMQRPELSRGSVEFDVDAYPEYALRNSDDAALEARPLHYLFLLDVS VKAATTFLSDYVDALLRSLHEMAVQYPECRVAFITYASTLHFYNVRHPRMPQMIVSDV CNPFVPLPFTSLCWLTLGTELDLVDAFLLRVPEYASDLCETGCVLGAAVEVAKLVLSG QHGGRVIITAHKAPQNGIGAIKPREQHVLYGTEKEKELLRPLDGYWQTIATTCAKEQI SLDLHMFADEYCELVTLSHPCHVTNGRVHLFSNYDSQTDAVELQAVLDQALLEEAGYA GILRVRCSTGLRVQRYHGHFFSQDKHDMDLVHVQGSSSFYVEFAHESKPDSSSAAYFQ TALLYTTRRGNRRVRVHSVRIPIASSISSIFDGDTVAVLMAYIHQVISNSVNKGLKYA REQMHQQLLQLLTSYRRVVSQKSTSVLLMPGSLRLMPLYTLCVLKSDAIAEGTTVRID DRVQKLFHLLTVPTHQCLSYFYPTLYAMHSSMEETFCGAINPETGDCVMPPRKRLFYE SITTDGVYILCDEQARSVYLWVGSAVTPEISLELFGTANSAEVGRSVFFDHFGERLRN VLWACLNRDGRMRRLVILHQKDRGEEAFFKQLKEEGEGGTMSYDQLLLKLHKAVGELA L XP_843716.1 MDTRFHCVYLLTSLDPQCEGAHYIGYTVNPIRRLRQHNGELVNG ARRTKRNGRPWLLLMCVSGFGEDRIALKFEWCWQNPSKSTRLKSHVSQLRCVHKLTHA VGVLLLLLRTELFSRLQLTLHIFDREHFDKVISQLLSALPTMEPLVETSLLRVESTTI EQFQTQYMNDGQWGAAVDYGAYFMRAPPGANVDVSKPIVGGQDNTLRCGVMHNNLCEE EVIRQHVKERTLLESGHYPCSLCSLPLRAPYFLRCSRTPFCRLRAHIVCLAMWFIYDS SQRGGDPLVKDAGPAEVAENSNDAACQVNENCYSLSGCGPVVGGIFNHDAYTDRRDDN SPPSPPCPLPTQSSQADASLAATSLPLVPRAPCDCPLCDEELQWSALVYDLKRRVAVE KRRAERERREAMDAEFAQRFQRLQSESNKKSTARERGALEGVRRKRRRRGEVAAKLTQ QTAVRHEPTSGASGDRAHSAPPAPSCGGFDEIPANVTNPTAPLHADVLQVTEFNVDEW LNH XP_843717.1 MIFGEDIGPQDSVAVSCGSTNMVNDTPKEALVLQRRGRWVMKLA VALFLSGKDLQSEGELSIMHSEIWSYAALGNEAVRRGYVPLGTPVAGAQSVWKRKLGE LWLEKGFEPCMIQLEPYFQQEFERLLAKGILKPQSGTEKDSNCSAVRPVDTPEPARLS SRNNGAVEEFAKPLASLYEASLRYLRNVGMKTTASTNGWVSAEELYSYLKDRKHSLIV KVPDDIVSECDVLPTTPARLLVVLSQLDLIGRLQFRWERSSKVDGKRDGERMSLGDEQ VECSVSVRAAWAHKDSSLASKVVSDHPKMALEKALEIFSPCREIYDFVESVERWEVAA KLHGREIPTFRPFLMLAVGDSIRARIPKDPVRRAAALLASKVTTKSAFLSVSRSFLGL HLQRPVCVVHSNIFEGGAMHLRQTAGHGQPPRGVLLLHLSDIVYNAISNVDTKRGALF VKSEILYCGDASSVRSESIKSLCLETEEPKTCTEPPSTSALLFARLAEKSITFNVPAE SPRVSSPTLANRILTLKRPTPTTNATEFLCGLRSLSHGMGNFAREAFFKKWIEDILAL LRARNNRNGSDRSNSCRTPTEKVLSITPDCVYTDFSLIIAGKQKKDNSRPPGLRSLSK ELINGIAEGGCDPLYIKSKQEGTIVVYFQSTVSDERDVTEIFFSSPLLLLRSSYTVGD NEGKQTEEETSVANHRSALFLRVDKSSDSFAEGDTFRSLTVVELDREQKKVAEALRSD CNTEVTLRSVELCVDEWCLEVLVPLEKVVEEYIFAPIKQCLYGRGNSESPFLLLSEAD QAKLEQSLMEQLLLAVTPVKNEALEYLGDAVLDFVVAQEKLNSWTGGPIVDATCNASL AKCLFPQLRNYFEMKLGISNQKRQADMVEAIFGAVAMALWVIPRRKVLESSTDTTVTG GGGGETPAAGGLLPFAALLSVTKALMDALNITTQ XP_843718.1 MPATTSIPPVTAPGTGGEASSFNVDELIQYVLQGKPLSEPQVAR LCQKAREVLEKEENVHTVRAPVTVCGDIHGQFHDLLELLKIGGLPPDTNYLFMGDYVD RGYYSVETVTLLLLFKIRYPERVQILRGNHESRQITQVYGFYDECVRKYGSANVWKLL TDLFDYLPLAAVVENEIFCLHGGLSPTLDSLAHIRSLERVQEVPHEGPMCDLLWSDPE DKDGWGISPRGAGFTFGADITEQFCHNNGLKTIARAHQLVAEGYSWAHSDKLVTIFSA PNYCYRCGNLAGLLELDEHMNKCFFQFDPAPRRGEAQVSKKTPDYFL XP_843719.1 MLIPVRCYSCGKVVGHLYELYQELLNQDHTEAEALNALRLSRMC CRRMILSHIDLADDLLPYSVPVVGSMPLINPVQGPVPRRQ XP_843720.1 MSINFSAPYLVTEMEEKVVTSLPSGDTASGSGESTASPPIVISA AVPYTEEEKKLLTEQLRRHQRQQSQGDDDSSWNETTLPFISSMVEKVLQLAAQNRESD STKDSGSGDENKPQEKANATDDVAGADAVSKVDTFVEDNDYVLEQLRLQPWPFFTLPR LCEILADPYKYNSIGDKLRGEKLQSAIRRCVLVSAPLNCDVRNNSS XP_843721.1 MVEPHLFCGPEFTLEVPSELPLPVEPNILLQLQSELYNLLTPLV RHKLHATWGTGTGSCDGTVPPPPCELCFVEVVESSPVPDPGARENEKDNVSNEKNEAT AKLVLRIKDSRAASELFVIGLRNPFITLPHGIRLHNRCGGSAVGTAKARATPVEILRK KELLRSRMNFDEDLWELLQGSLTSEWLLALEETYKQHKQQQSSNHEAVTSLRESQRHE RRVESLLHYCEQNVLYIGVMDEEGKATPFLLAIGAFYKLGLTRHDALVHFARHHRRTI RALALFIARYTTAPEELEPFFTPSLTDEVVVACAEDQQVTCSMRQLAEDLLLHDEVCE AWPPTLHPFWIEHTVRPMMLRVEADRKRREELFQERESGVATEKEQGNRTGSGSSAPG GSGKGVGVFGFCNIMELHAYVREKQRVLVPQRVLSMLSKPRGGDSGDRDYADDGDDDD DDFLIKVRVEGDGDAEQNALHHQNKRGIDTKQPKQGQQQLSVRQGDSRKRRRQGTTTA RSLAVTGAYRTILTLLGRTEPFDPHCEHFLQF XP_843722.1 MADASALEELRKERQRRYAQLSRTEKPRIEPCCHCNEPPYKERV CPVTGVYHNHDKQKIVGGSVVNSNIVNSSELMKAIELSRVRWAPSRTKLVRVDVTSLN LFQSFVRQSGWAVQRCGILYGKYDSAESTIEVHAVYEPEQEGGLQKFICLRDSRVGTV DRLAERLGLRRVGMVCTHQARDPDKMVLSGYELLLCAREQSRFGDECVLLTMSPSITT GRIECQAWQASPQAVHFYRLGTLTEKLDADPRNSTVVTGTADGFCGDEIPSHFVYSSI SLEVAQEQTDDKGRPQVITKAPSKEIDTRWFTSYVAVDPFDSHVVKNLFVRISRPGMD PPTITNLRNYLKDPKRADVPILQKLADFHVLIFLAFDVFSGVNEVLTIIDAIKENSLA PLAEPHVKTLQLLIES XP_843723.1 MSSRTLYDQGVTQAQWGEQLLHTKPCVNIQLPQPEHSLHREASE AERDKALKSALGMLSAVLARSSGNTKAIVDSPAFVAKSVELLLQLLCTSSFPSLPHQH NPSASPAPLSTVPPIQQQRWLKIDFCDREYFVDSVCRNVRTLVELDCGPHLFAELEQC LKGYVTSMVELLTSSGEDGVTADVLFVRLAHAWGHYYLAVAELQEVWVYFDRWYVFKT HAVKSIEGLAIEILREGLLLHPWLLPRAQLGYLDCLSRDIVVHANSSVENSNGDGWNS RHELRLFTDLCAAVQVYFLRVEPEIVALVGKFYMEEADRMWSAGVPAGIFFARVEQFL LECRERVRVCLVSYSLPKLESVTQSSLLLTHGVAFLERDFANLAMEKKYDCFRLAWRL LASGKYVRLGKQCNAVFRAYILQQGLLVMQRFAARSVERDVFGTVKAMIELMHRGETI ISEGFPEDSATFSIQLRDALTEVLQGHQMEFVEQLARYLDWVVRGSDTSTALGQSDHS ESKPMATADGSSDVGGVLKLLDDIGRIYSLFPSKDIFEKLYWRDLARRLLHHPRGTPC VDVEGHFIQILREIVGTDAAKFEGMVNDLMSSQELNERFRLWVVNKHTEVPPLSPPPT SVANEEDDQTETPQPKAQHNNEGYEEEEENHEAVEAALAAVDVKLNVLTDGYWPKQTP LSTELPPQLRVLAKSMEVFYRKCFAKRRLIWLHQLSSAVVKSAVGNSRRQLSGTLVQA NVLLALQEIIDAGMHSEQLCVSVGEICGQLGLDISLPDVVGAILGLCHPKFRLLLRAP GSGTDGSNQHGSDDASGYGSSVGVVAATLLGTDILRINHSFSVPSQFCRIPFLGARRR GGDGAVDERAADTDGEQTMEDIMKDRVHVVDAAIVRLMKQRRRASHEEVMEEVPKLVR FPVTASAVKESARRLTERGFLERGNTNEYIYIS XP_843724.1 MWFTNITKDIGEITSSFVEDTRNLANIVTRFATEVTGGNVLIAG DEDSRLAQQCPLSDTDIASFQRNSMVFLDDISEDEKASFDVWAKESEFKSQPLPQRRE GHAELLPGSRYEKLATFRQQLLDTNPFVKKRYAAFVLSDERRHQKGGAEAIEHKRDEQ VDTAAEHMEKDEIAPLDETLRITEDVFFDRYFFRLSQLRLRLAAERKQREEATKKEEL EVNGVDQWSKGGLKAFTQRIVEAADGLINWDDENRERSGESQRTKSECETQENTIAAL QSVVQALQSELWSEKRKLAAVLEVARNCGLSEEQQRLLREAAQNEEHSGNAPVRSSVC ELELTGSGRKSMSVRSKGGDKESRGGSVDCKESRKNEDGADGNVKVSSKAASEGDNNE SSSGVQFFVDNDGWTNVK XP_843725.1 MLLCKQPGDTTIFATATVDHISLYNLSSVSPLARELSVTPTPDR QHVRIIDSTNEAAADLSPYGNQPCSVINTIVHNACRRPFVPIWRVPFSQVRGSGISGG EDEANRCTPLSLALSCAGGAQRLFVSESGSGAWVGEGMSFSALSVPSEIVTTSAYVDR DTRAESIQYTKSGIQGNDIVTSGWAYRDPTLLAIGRHRGVVQLLRVEGSGSHPSLHTT VVQERVLQGSVTSLDWVPNSDILVVAARRRDEYGCFAEVMDFRSREVAIRYLGAPPGV TPTSSRASRQQQPLRCGVGIASTGALCFAEAIACDMSQKYVAAAGSNNRHDVLQLWDL RMSSRPVSAHVHASLGYTSLSWVSCATPTVVSTTRKRGLWMYTFTDSQSQGGDDSDDS GEEKERRQRLLPSEDRYRLHTRVPAACAASLGGDQAVTPTTGVETSQPGVAASPHKGS AHHRAGKCDQVQNGQHLKLPCLVLLDAASGELYPQVALPRKTMVTMINDIPVWSAGPN VFRFHRDINELLDDMEDDSNLQLRKQKDQHIPDTIAKEPSASAGSLSEGEFEEESGEA ERTGGNLLDLLKASVFMNTDYDETTLASLSTTLPAAIKERPNIPTVRRPLLSLRRLRE GFVPYSHQVFSVLSHEMADREAYALFRYGWYMQRHIHKGKTITPASSVVPSLLELLRK KPQVQVTKVILRAMGWCIDRDEEALMLQPLDSPTTVRESSRIGVLSPNIVPQTTHQVS GRFHGLPLYCHQRPLASEKGSTMFSSPSASSESTEYAADVLSQNSLPPFESQVAPSPE VEFCDRVGAASPEDAVERRVAIHVCMGYLSEAARLLSHYSDLRPSYANVALILESAAQ GHFPVANIVAPAGCSYWMHLCVELLGMYAHHSSIGGADQGNGERERPGSGWSSASSHV AGRKFFTCFTRRYPQMPLPDQVALATVLLFPYASADSQEQLASGDNKREESWEALGDI LQEMTMMQFDSSLGCSCSLFLSAAVEPLDSEYTSVQRYVDETGDVQTPVVYFALYGST KSRSWRLWNDAYRTQLNNGGHSILRSIHDLACSKMSQMREKVNENFKSSEYNNSMSFP LAGRDGVVPLASNFVGRTFSGFVGAPTASVISGTEGRPKSKRLTGTGGVVLRCKCGCR VPTFPANAEGDAVEPTSTAIKTASMEGSQQSLCKIPDCLTPMCVVCSEPVFRQKSGYT FEESFTWCTVCLHGGHWMHLREWFVKHRTCPAEDCSCRCYYPLNERCGV XP_843726.1 MKYFACRLDDHNGFGLLIGHTLSYFTARRATTKGFSTATPKSAG SGTDGEDAFNFHVQYTVTLPHVFGFAPKCFSMANYSLYGVDMAIIGGSNGVLGVVVMH DGSIVYAPDRSPEVMNANNQRSATTTSVWALSCAARSLPSVRMLDTASTLKQVAGATS SPPFPQPMPLADRGVGTVTWIQNDALVFVGFTSGQVEWYRVTTRIRQPESKEKSSELI NIGTVGRPTRSGCVTGAFPANGDMFAGMTNPSGANHRTSDSFPHHQSTWVNRQSRREG APQLGSKEEHKDGWFVDICSHYSSNYFAETGGFVTSEYFPATGLVLAAAERALYVFTR KQPETTLCCVPRTGCTYISCHPSLPLVACLGCGNKTPNINGDPGEGSCALQVLEWTDE GEMCPVTEICVLTPSSDSSARCCSHLNCSWNQGAELQLAVCNMKECAIQLLNLSRAEE WVHCETVPTARRRWYEIIFNRELHHPLRSLVNAEFILPRVLEQDRKLAKPPAFASDPA AGCHERKGSHPRDSLETKRGSTATLSKEAPPALLAPNPVQDGEGCLVKNTVAGDERST QSRTSEIAPCRAVPSVSTVPHVIVVNTVGEIAVTPLHTETKVAFFGLNSIVAGIGATV CAIKTPAKETSISGCCAGAPTATITLSVPFPSRSVPVTAREEQARASMADTIDIEEHM RKRLAAGFGVPAAANVEALTKLNGTSAYDVDERVLFSYIAIMEKVGLVRCDGPVPSIV ELLTLRGAPNTTQLTDMGLLPHNTAVVCRSSTSQNDSRRLLLLHLLNWIPSRSESPQR NQQTPATRADVERAVVVDVLHRQRLRAATFLRQQLHIDSNYSIVAGLIENYDKVASAM NDCAGEVREPFLQRLSPWLRVVFTFEADKMAVYKNEALPFWDRVAIALILEHDTKLLV ELLRNTFAPLCNMVQELLLFGGISAKTCQLMQTIVDCTGDFQLAACLFARIGVHATAT RGTVREGESAFNRNGVHDDSSWFKESSTGRRDEVNTVANYWALWSGAYRSFLDNEREF VKRVVFDQQCQNCSKLLYSGEHGTRTKIEVLERSNSTGHAAGGPVTTLQLPVANQRRC YVCSSSVRLGPLMARSSYAHCAVCGHGGHIHHLQAWFLAHQKCAAAGCGCHCAVDGHV S XP_843727.1 MRGTVLIFGRKFSWNAVVDEYGHRLPQCAGLSSTRKRLLLKDWR YFTSTGSEESLTTFFRSGHKCTNSLEDGDDAHAHVKGNADVVYNDGQQGRKIHRALRG VAEFIAATGTFDDVCAPGERGYSDVSLAVEGLKRARLAVEYTGTVLSLSNDRRDGMLA QALSRNRRSDEEVVNQQHLRSHCGEVLSPLEVVAIAQWCAWHVRTSLKGAKHHQGTPT KSQGDAETARCIVSVLTRFLSILVEEGLRRWAVDSVACELELSDLVCIVTEEALLVLR DNKKACTSVKFATPLPTCSVGCSATSEQTALMAEHLKPGMRTWEDLCFGVKAQEALLC SQRERWQRALRLLLDVQRWNVLATTKNCTTSTEEVLTNECEGKCQLLASKALNILSMI PHGVVQTLQYILAQHGQWRLCMMLWKRGIRLPSGDAPTCNETEEERPPHSLLLHPAGL NTVITALALVNGRPSKHSFTAATSSLLCATATAGGTEGVDAKLLRLLLHLGVIPHRHF TVTEFATLLKDAFGLHLQSSHVDSILSPAAVWRCSEGLPSHMREVLCLMCFRVVARSG LAHTLMLEDLYVIICLSYRTVLSQMCRPMAESSTPSTQRGRENISNCAVPNIQGRCEP SRNGLLTVGLAAATRRTAVFAEEDIRTITEAFLSCSSRLLVPSERAKSFQREEEVYAG VNYTDLHLYRTLVNVRIGRNGSTAMLFTVSSMLTRLLTVMPHVFTLGTVWRGALCALS TNYQLDACDHHLAAAASVFAEVIVGTARTSNKDALVDTLVYLAPLLSPFAIGQIVKGP VGRRLSWTHCLALLPYAPCASGAQRLMLRRIVKDCDVEGMSFVYSLLRMSTPPLQLLP QASPAVSTDVCTLLLLTERSWMRALQAYGRFDLRVQRACAPHIVRLIVTAGAWLPHTH NTGGDANCSHCLNGFDSLLHTALQATEGSRVAEEVLQLSLKRGLWAGGLAFHQRLQHE HSGILLGNGRIKTCAAMLFKGLLSHTALAHTIAVALKHARAARWAEACMVLLEDDGSH DGAEESGSVTHFGVTSLHPAHWSSLFRDKVPPQLSHLAHTVRYSMLCCPGLWQRALKW LPPQALPFPVHHQLLLARVSGLPIGVAAACDAVTPKVETTTPLVGPPLSPMSLVQVAL QEKLRCSKASATVASQAEVERTLRVLRQRGQWEQAVLVYEHSLACRCFPHSASATVVG ACLPSWQASLSCFAHMSQRMRPDVATASLALQACRRGRKWKIALRVLQQCVLTSASRS AGEVVRTAPQLINYAIQAVLGAGVWDAALNVVRQYGQTMSPLLANTILLTYVRAERYD EAVNFFYGCVRRGVRLHDQSLDLVVAASQAVSAEYHDLARFVGVMASTLEDFCHVHGV LLQHVLLVCRSISVPPHALVLSFSCDQTGVSASMVSDDVINATDSIW XP_843728.1 MPPRRSFVFITNIPDYLLQPLAVGNNNHHNKLRASRDPRYERLR SFLSTHTSGVMHVMHLETRGYALALYASEEEALAACKTTITPKYRQCEYPPMVLRILE RPRPAPLQSVYTPTVTIEGETILKEELANTRGLELVYRGRAIARWCPNTLSQQDCPFG ASCNWIHKCAYQQTVRKRPRLDPVSQQQQQKQPMSSEELTRLRHVTHNTKCGEEQLVP TDMKFDFSVYVPVAYKEAVALVDSEGTGGSNRLVDDVIKRVEAACSAYSGPYFVKFAF CGGAPWDWSLHNTSLGLSALRKRAPFPTNGAPTPLERDIFTQQLLYHMNQMNRFDTIA LAVHALAKSPKVRRALQRELEYDQSLTSCNDKGDKDAVSLHLCVRPWLFLPTVGVEAT VFLEGGGEVLRGIVQRKGAVRLMISHALLRQQEEKQGMDFSRFAVLGSGQDLEAESYL ESEIQRMSLLVKRSAQRLQQYIRQQRDRFPPGAAWCVHIAVSPPPLVPFRPQQYGSGV EQQKGECKQEESEERVTSKCVVLSLQEYQPAYEEHVALHGELRAGEVMWNTRKHGYVA LIPREMLERLRLESQVEEKE XP_843729.1 MQRRKRSRTHSVGDEVSKNSGSKYVPQPEKIASAASGDTLERED SIKLSNTVLRSLAIAPDVSGIRCVAVHPSEREIVLARENGSLILYAIERFQNVTHFQM LRSTGGRKSRTITRLRYLTRGTGNSKGISTRTAPLLLASYLSGQFVVYCGDTLAPLCV HQRTGGAIWDFCITDHTVYAAKADGSWHQLHVEYSSNVAGAAGPRAPTVPALTLKRII PKVPGADRAISVCCSRQWGIVAGTDDAGNVVAWRLPRPESTDDRDEETINQDNRGGEG NTGTAGDRPQSLTEHEALWTSRLPEGMALCCTISTSSKNAAPVVAVGTSVGDVVIFEA TRGQAVKTFTHHKGPISSLVSCQEYVSGNQCGVIYASGWHESLRSYRCSVEGEWRPAE VKRRTHHHETSELALLHRHQIILSASRDATVMYSPTQCLFSAPAMYLHTTCQKLAFAK ERNVLLQTRSGRIEGFCTDAALRRWSPLFGYEVKGKFHLQGLWCDDSLGHIVFSTDER VSLLCCRWRNGLEGSLALKRIEEVRSLPAKYGIIDCCFVNTKTNKSCYLLFDDAIVQI SLTKAYPVVTTQLVQRDGDPDEIDICPTRFMWLENRADVGEEEGTNHTKLMVYGRRGW LSCSTAVDGTIDTSTFTVHREVMQMVESVPHLSQSQGRVEHTIVALANGERYVAGIGT SQLLQLPRSLPHDTRFVALLPPRRRRNDSGNEVSEGLGSGPRFLATFSRGLLWVTRNS WCMLSRCSAEGAFVLRGNKKVLIVVRNLEGTLEVLPPCWRLRRFGN XP_843730.1 MCSVAPLASHGTGCPVAACIVRCKRHLSAVVCRLRKLKRLRSGR NVTTFNANFYEAVAEERRYGAFTDGEHFDDCTLHLRRWMLRRVTCAPTFATSVTGSVE TNEVLTMWTKLSDGGVVWNILQKEQQCYAVHFIGELSSDFVKELFNCTVDEYRSGSHN GVVLCIVQQLRVYSEACCRPEDFPPPLYELRAAALRTQVLHQCGSAGAFPTSTKLGKR SENGSDCFTFSELFAGMGMFRVGLERIGGKCVFAVECAPHARSVYHANHHLPRRNSCG NEALPATRRPVPLVGDITTVPSHYFPHHDVLTAGFPCQSFAKAGSATGLRDTKGQLFY EVVRVIQSAKPKGFLLENVENVLHVGGEDGGEANASEESQHHLKFILDALRSPSPDSN EALTYVVAYRVIDGALVTPQRRRRAYFVGIRADLPRANARDVGAVLDDAVRQLRAYRD MHPEAPRCVRDILDSTGDTSEMGEFNQSLVSLRLTESQWAAVQRSVTFRRSPSWRVSD LNGLARTLMGSYRASYQLYSEFVPLPDGGPPFRFYSIRECARLQGIPDWFSFNVVCYP LSLEKCNGRSGPSHGSDKGCERCVPVGAVYKLIGNAVNPIVVECLGRALLSRILK XP_843731.1 MPPKKGGKDTKQAPKKGKMENLNKGAKKAAKKWSKGRTREALQN AVMFDKETMDKLMKEVPKYKVITPSIISDRLKISVALAGKGLQHLCRQGLIRLVSCSS KFRVYTRAATA XP_843732.1 MLTRFRSAVLRGAVSITGARAASTAPVADHKGRVGHVSQVIGAV VDVHFADGVPPVLTALDVVDKLGRDEPLTLEIVQHLDAHTGRCIAMQTTDLLKLKAKV VSTGGNISVPVGRETLGRIFNVLGDAIDQRGPVGEKLRMPIHAVAPKLADQAAEDAVL TTGIKVIDLILPYCKGGKIGLFGGAGVGKTVIIMELINNVAKGHGGFSVFAGVGERTR EGTDLYLEMMQSKVIDLKGESKCVLVYGQMNEPPGARARVAQSALTMAEYFRDVEGQD VLLFIDNIFRFTQANSEVSALLGRIPAAVGYQPTLAEDLGQLQERITSTTKGSITSVQ AVYVPADDITDPAPATTFSHLDATTVLDRAVAESGIYPAVNPLECASRIMDPDVISVD HYNVAQDVVQMLTKYRELQDIIAVLGIDELSEEDKLIVDRARKLVKFLSQPFQVAEVF TGMTGHYVQLDDTIDSFSGLLMGTYDQVPEMAFYMVGGINSVLEKAKKMAEEAAELEK MRRARVAQASS XP_843733.1 MLNWWLIVLIVVCSLLCLAIAIYVLYYFSSEDDHEGSYLTKVII VFGILLAIGVVLLLPFDASNARDPTVGSKYVNTLNTDLMWEIVLWSLAVMALVVVPFT VFFYEAYDPDDESFSKQCGQAITLTLIVSFVFIVITAVCFLSFGTALVPVELYEALPQ IVDDIDRVSYNTTSDKDKFEVHVSIFTYVVGELCLVGWIAFFFYAGVGLVSVPVDLIR GFINRPKPISGSTFAQEMAVIAAKGDTLLSVALALQNEARGKVPRSMRNKISFLRAET HVLEEEQEELIWNYKKVGGSPFIVYGKLLIGILSVALSISWVLQIFLHNTFKIVPFLS TLVTALDEVFPLFGIITYGIFAFYLVWITLEGQIRVGLRFVFFQIHPMKPHDTTLNSI VFNVGLLLLTSYAILQFTTRSFNEYIPRTSINALMNLYVMNLKGIGVAVEWAQFCLLG VSFLGLLFVLACPAKNAAARPKKPNYST XP_843734.1 MTHNVMCDDVLPQLNLPDGVTRWNANLLEEERRLRNSDGHADRI ILTINTLGKRSKEAQSLNTILTSVPRLRENRDARLYLLCHGGRGVGILKIGVKRLFVV PPSHAGLMEIEPVCVLDFFVDTSNQRQGYGKILFEHMLAFERLSPGDVAIDRPSVKFL AFLRKHYGLVEYTPQSNNFVVFHKYFERHQQQRRGVGGSGRSGYQHCNETTTQQLGTQ SGLLEDINQTHPAPSYALRGVVMGHTGPPLDLTNVTQQQKPYHQPFATGRKTSYELQY ERYLQSQNCRPTGNAGYGGGNGPASSAEVRATNCQARRRTSPTRSGVPYNIINGSTGS XP_843735.1 MPRPFGVWAPATTLAEYRARIPGMSNFKLRWVFGARREVYYHPE ALAHFKDHQQYKDAVDTVRAMRTSDKFFGEGVKLPHHPLIKMGVILSIHGYLTYCCLR YYYGTHVPANNPTWRKIVNKEWEEAINNSPWDHMSHVWQYSDQYASSIGEAAALGRRK FYIPA XP_843736.1 MEAPGGQLTIARLEIRRFCLQPEELVQRCAQPSAGSVGGSVQLY VSFTTPRGVEISLQGVAANQQTDEKGVRRVQCAANTNLMDVSFVVTQLKTDGIQKDGC ESVKSVMCQVWYSRAEQQEQVMKGGGGEAKLPVNNLVDGDWSSFEDSPPSSITALPHV EDEEKLEEKAPAAVESHWEVLADVSLEIMKYGVQTVNFAMGDVAKEYTSASLQASIFI EPKLLAVEIEEAAAPLATKPPVETTQPGLPPDNNPLSLDHGTAPSTGFAEERCGEATS APSGRSTAVGTGEAPVCGAGVVEVPKGNMEAATQEQADTMVVVLAEQPKHMGARWLLL LDMSRWDDCEPNGSDELKQEKVNVATQGAGDATSRSVVEGGILFRLVLCGADANVLAY GSPFSGSLCRTSGWSDALLAVGDDIVACSVVSVKLQMFNHNAMPNCQTDAEATAEPLQ LNNTSREAAKAWVTVASSQLVPIASLLASLKESSMLPIPLTAVDLPSAVAPPTEFVSV NRGQTISAQASLVRVPAVVGETKSVRHWMKTIRIATDCFFRKSCQTLDTVAPPSEISL PKECEWKQSGTGWMCSVELNVSAVVLQKVVPYVDAGAAWPVTALSVSGGCEILLEETK MSDIFFSAYTDNEWSETRRSPVSHFDTVVQATVNETHPVVLITLHSSVSQEVLFGHAV FAPLGLRQDVGHVWLPLQYKNHIGYHKHGEDDSSPTVGWVHCSYICVFSSAGASSSSV TAGNEEVLQQPVLFPSPLSVPKYIASPCLTPALRWNSIEVCIVKASDLRIDVNSSSRC SDGKGGNDGGAGGNVEGFLHASVQLLPKSDLNPFVTPAASYEYPHWNSTCRVGIAAAA THLIVQVLVGDGRGGEGKSVGIVDITRVATSGFWTTASCDGVWLPLVSNHSSGGERRY CGSLFIRWSFGCVGDLPKEVVIGMGAALRPTWSNVGLPSASTAHNNRFDRGHWLRLER VEVQLPWRLWHMGRHCEARWREVLGLKPTDMLPDITLRLQSGDFTHESNLVLLSAGGF GFCLREAVFLPLTDNFNVVVCFRYHGNTLASETPGGKTTAGSEICNTVTVAEGELSLS AQDRVDLLTYRQQQSCRRIFMRVHPRSISPHFNIPFGLLTAEVTSSVIKRNDEIRWTW PQPHIAASVELQLGKVFSLRRHDSKDWLPATANAASPRWIVNVRETGSACPEQEGSNK ERVTGILFGASPRAAVLRGGCSTRSSAVSNFGGLSSQHTGDAAEKLYLHWPPAPPGAD HRRRPPPPTIELLLSRIVDNDAVDVGGDSKAAPKIEKESTVNVGVVTLNLARCFSDSS GSSLYELHHPQTPPTVAEGRPPTIGYVELHYLHNFAQDNNTNRGGQETDKVEQEQHDR CSRHSLLLLTVVGARYRERGQISAAPVRSQRDGKEGVSVKTILTSFVVGVGHMSWHTS KEVGMTPHFGSTMLFERPYSHTGEDADGERNVVTVRLQMLAESSSRAKLGSPDREVGG KQKTCLRGEQKEAEMLPTSFALLHLNWDTVPAAVMERMRGCWLPLFTAAAQIDGSYHL SGEVLVQWSWFDPSYGFWRYALPLSVNQISPMDCTMASVPQMLAASEDHVETPASSFP SYLSIYIDEFTFSHNVVVETPRKDTRVSLIVSVCVNGERGPLSPPSLTASSWTLRCTE GEADSLKVCYGDCTGATPFETEGKRSRWRERCILPFCFPGDAQQQISFQLRHGWSPFS TVGEGWLSWKGTPSQGTYAVPIFVSDASCRNSSDDYQRCGVLMVSVEQGPLHLNSMQV TTTVPPPSSNEEVQFDDGKGPQRKEKHSGKHEDHHATVDGNPSFIVLVDLRVDSVEVD GWNVLPDDGNCLELVVLGDALYNNGKSKQTVRLETACSPFDGGATGWQGLICVQNSSE LEVHLYRRTQTVPGNSVEKSVRHRMISGRFVLNLLPSEDGGLCSNSLCVELRQRPMRP MTADGSGSWNYPVGQMHVTCRPLLHSGVLYDPRNVFFQRHLGVELLKLKGVIHMQTEG ERARGRRNSKRGRGPLSYVSLHCGAVTVKSLPFTLPSHRHGTFKDVESNDGIDCSGAS TPHFTERLVCSPNGATEVRVSLYLENDAKERNDNAVEASPIHNPSETGNAEDDEVYYT NVCLPVGEGAVKIRAPSRDEDGFKSGEVIPKDIWVPFNSADPGRNISALLRYKWFQKV TFGTWLSCIGWRCTSAPLHRPSLIFRLRFLGGELCSLPLDLFDRDLPEQEPTSRHCTV AATAVNVVPSLSSHWSFSYIQLPTIWPLGGRVERVELHDCVTDGFSLLLGVHEWDAVD TQLLTELEGPTVSHDSLEAVGKFPSASGAGHWLSFGEHALLFARSRRPPVPTRSKRLF VPFCWWPVVAEFHLGSLDCSGGTVEAGRLAISCEVILGRWHKNGWVWEENSPTTREAY ASQVTVDACAAAVQATWRCDAFDEETFIRQFSVDELRQGRERYAVRTKLVCSVVPTCR FSGSETPSSDEQRETPTTTYVLEAVSAVDDHLANTSGRVSLKLAECGAHVNEATAGAK VEGSLTLAWSLKHDELALEGTKGESTKVGRILHQRSVSGKSNGKDREEKETSKVTKEL LPEWEVVSVEVRNLSVVQDPSRQAPEKRESFDVPVVVQLLASERRRHNRDGEGGAQSP ESRKKKSTSPRVSSVFALASTNATPAPGVRQSLLRANITPNWRLEAHEWSDHRKVLMM SLWQMLPGSDACAYALGSLRLDSVVRSAYEQFSSGTPVAEAARGLKEGTSLEQDLVVT DWLPLKRSDLLQEYWGLARLQLRPNVKAATVADASEKNMSRVYEHLPICIELLSASID SAEDVSRYVQEGRLGLLFVPGCRDRKEGRPLYSSTLLCKAEREVNAEREIKGHGEKTA EGENQDGNFSCSLMATTSIHTLLLSGEVQSGQPASVDGDWLGLFVFLIDRAHEGERIK NKHSSQLVEIVGKGDWGDVLAVQRLHVGQIPKDQPRLSLFGESKENAPTFLSGEVTAT FNCESFSVSLRLHIVMLPQATEAVSTGVGSMSDVGGTSPQPLRGNSVFHCIAEGHGEE NSLLGNDGVLCMVEGTVYKITEDRTVFMWNARDVSHVRRPSSCSSGNAVALAASRGRP RWVQVSESKGSPQRHSGKRGYGRCMGLTVWAYKYGNDACFLIAECASHHVITLSTLPR HAPEPPPTVQLPRVRNRRSRKRRVISEGSVIAAPTPPSCGSQLHCYNLQQRRWSVLKT EGSSSGVSDNCGAAATPSTRRIFHSTVEVEGKVWLFGGCKLRGEVCGASLDRVGMRVA NRVLIASEAALSNELMYLDPSMRVWQSVQPVPSWHDLPSAGTGPFHGVARVSLGLLPP PPVACHAAVHWMGHMFVFGGLCESSSISGSLEPTAELYMFHATHITWWSLSPNKSADL SGRFFECKGNGGWPAARYGHAAAVVPGHPHGAFLILGGAMKVGIECSSQRTNALVDSG RCAHSELLWVYYPALGFWQNISVPLGVPLTRRAFASLQVAQLSSASCSLYAVVLDGGC DAAHVETWLQRRRSEKDEQNLLKKNLNGLLGVVSAFVSAPSLGTAWITLGEECKQHIP MTPAVDALCE XP_843737.1 MRCTMSSPLFRLTRLSVVITIAFFRNVHAEEEDDYYRVLGLDAE HEDVSERDIKSAWRKLSKKHHPDVAGESQRVMYQRIQRAYEVLGDRRRRKIYDILGTE GLKKYEKPQEQGRHQSIFDTFFSFIGGESGGNADRGSDEELMLLVTLEDMYKGAAHTA KLPRIKICRKCRGTGARSKDDYVKCPHCGGGGRVVRRVQIAPGFIQQIEQVCGQCGGG GRVVRRKCPVCGGHRLVRGSSSVSIDIEQGTPNGYKMTYEMEADQQPNKMPGDLIFTI VTIPHPEFARMSSGKEGVPDDLSTAVELTLKEALLGFNKTLKHLDGRVLSLVETGVTK FGQIRKYAGEGMPRHHVPSERGNLLVLYTVELPKILTEEQRKAIERALD XP_843738.1 MGRHHDVSTATVSASSKGPLFSLTGRGGEVKRARELIASIGGTV TSNDNKADYLVAVKGAGRRKVEDFCNARQNNSPTDSGNKVVLLDMLEEWSSNGSLPKR RVRMEDAELTNLFSAAANERARRSYEVPPSFLKRSRVTLDAEGSVVDESDNAVLLKCG PTRSQLGKMTPSPSSAATVETVRCNDRTSPVKNGKAAGTTRFRPLLLDDESPDDQPSR HQKMATEMSGKVNPMRLAFRQRPKPDWDTYFSDPSVDCGVLTTEGSTTSTTFHHPACS SKSIGSQPKVKHTRNEAISDGNIAKQKKNGVLLLFDTNKVNSNGRSSVPPKSGQRQRG TASIHSCSVGTKTASVLSRSAGSAKIPPRQLSTVQQKKKEEQSISHASRNAPEGDRGP RIECPTGTSASLCRVVPTVRRANEDSASSKKAATSGASRMPPSLPPRLQAASKSSRTD GVVTTTDGAVPPSTRQSWNSRPSSAPAAPAKQTGVAARRSTCSDPLLKRVRQSIYCEG ISEEACAAVLQQVVDRACPVNFDSIAGLDTCKRILQETIILPAKCPQLFTGLRRPCSG LLLFGPPGNGKTLLAKAVANECNTTFFSISAAAITSKWVGESEKMVRALFSVARALAP STIFIDEVDSLLQARGAAQEGEGSRRMKTEFLVQMDGAGNDTQMARVLVMGATNRPFD LDEAVIRRFPKRVFVPLPDAPARAQILQKLLNTVETPNTLSSEAWERVVKLTSGYSGH DLRQLCEDAAMIPVRELVAEKLRKGENLAEHAHNALLRPLTLTDVEACVSGMNPSCCP KLLNALEEWSKTFGSK XP_843739.1 MPCATKGEASPPVTTPVGRDGCLCVVTWQTAGRMSLQTCALESA ADALVFLRNNLPGIALCGLWVDMQKCSEEEHRQVLQLLYPNMQPSHVEAVLANDMYDV VELQPTEGEYVVGCLSCSPSHGGPFPAATAMSRTELGCEEGVLCSFVCSERVLLTLHT APFAGLAELFRHVLRDNSQWPMSMSAILCTLVCYTCELSLPDPTALIGEVDCIDEMML LVAPGKRDQTDMLRRVALLRRHLSALNRKLQEKAKLISEMTGPAMRTTFVSRELHLGY MYREALEGLSQVLSRLECAQDTLDHANLNFMYAITMRMSQTSAGCDRQVMIVNKIATI CLPAILVASLFGMNCKVQWVADDCDSLYPFWTIVALMIVWMAALLFQPVRDLIREKGG XP_843740.1 MLSYHRMVFFAYFLSLIFESSPSPPPITSLRLFESASQCVGKMS YIIGHVMKKTVFVLVFAIVAASKSDEDDNDDDFRVPTCEQLLPRWRDDLMCCAEKVRD KNTWCCVNNVSKSMWTALEECAKQSPRDFTTYPCSCLSQQMIEANGWTEEKERSIKNA EERESQ XP_843741.1 MRIYFSFIVVLLTGTVESSKAGKKGSQILNENEFKTLCGFVNLT FEIQKLASEGKPTLGGLKPESVNESVNTILYGNKGSTEIGFEGENDRKDYCGDRQNRA HKYAGKSLIKDILCLCKPHRRQGQNGAGAEDLCFSGNKWYKGGEVWSNKEQAKQHWEK IRLHCNQLPKREQHIQNQLYHLKEKVQAVLRTATEKKGRESDQNIRLGGHQATEVKQC SATTGKGNSVCLMYNITSGTLTNHSIPWLKHLEELAEKIPNDTRTNLGTSENDVETVP NGVGDAAPSTDRKINEGIRTNDDNNNNNDNDNINYKHNDGNNTEYNLEDPLMNESGTN TSAEPQTRPLWSVNGNPNPNPLKILLLLLLN XP_843742.1 MTSASKKSTEENSEEVECTNSSRKRRMRQRERNIYISECHGIKE LSSLVRRGFQQIMTARGLFISGCPDLVKLDDGTSGEEEGGEYYRQLEEVQVSRCPRLT DLSGLGKCKYLKVVDISDCRKLENIGELKSCRTLEKLALSRCTGLVDVNLRGFGKLLS FDIFKCANLTKICGLFGCPILETLTVEECGDVKEMEVRTIRGRVETLRIAGKRAMENV GFLCLFTNMKKLALCGCVGTVAEVLGEEAGGLPRLKWLELIGIRMERQMKDKPGNGVA ATEEGNNAKRVLPMIVQNE XP_843743.1 MATQLNSDTVTDSFPKSGEQQSRSDSGLGERMNAARNKGWKELD ISYFKEVEDVGNLCEVAGLFLEDLNFSWCTNIRTTGLEKICTVECFPSLQRLYLNGTG TNDRCIDKLSRGNLRVLECGYCRNITDVRPLERNETLEVLSLRGCQNIVHGLEDVCGR WLINLRELYLSDMVAVTDACIEAIGNSKKSLVRLECENCERITNVSALGNVKTLKVLL LNHSKNIAEGVSNIFEISELQDLGFRGFAKITQVNLMPWQRCASLTTLDLSGCKKVTN LNLGGECRKLVCLKLSECPQLREVDITGCESLTALNISGRWHLKVVKLNGCKELKSID LSVCPHLEDVYGVCDCKNLEIFNLCFCSRLTKLELVELEKLKMLNLCGCKDLEDIGSP SRWGKNLVELNVSMCRKLNYMDLSGRVKLEKLNLSQCDSLVEVNLSGCQNLSSLLDLS NSRELEILNLCNCGELPALNVDGCVNLQILILSGCRSLSTMKLSECNNLRETDFSGCA KLSAIKLSSRRGIKLVKLDGCIDLMSLDLSECVSLKDLIGVSGCTQLKSLNLSGCSRL ADVAALKDLKGLVRLNLSRLVEVVDLSMLTGHEDLEELNLSQCNALADISGLKGECST KLISLNVSWCRSLSAICVLSECCRNLTTLDISGCWNLDDMSVLGSLKSLSVLNLSWCS QLTDINMLAGLNCLAVLNLSWCNQLVDASVVSELESIAILNLSYCCELARLNLSGCIK LKCLDICGCVSLKHLTGLRKCTNLESLFACGYRDAAEDVRDEFLNIPCSATLHIDEST DREVIQELMGWGVRVKTI XP_843744.1 MRAINLCTVLLALVFPATVSGDRRIVNGDEFKTLCGMINLAEAA LGKMKEAQEITKGAARIGAMYLEMSGDRDLNKTCEGAGKRNCALHKVFWNESKKELAT RGNTTLLSVGGLSEREVVEIRKKVLSVAQIFQNITKNRRWVLKASDLEKGINRALYGV PYRPQEIKARSSDRRQVCEQQLSRSQKLKASVSLSRDLLCLCAPRMNLGRETQLCCSR CARGDNRAVWRPDEDAGERWYFLKEQCSSMEGPQEGFNKLVNDFRDMINHGVDGCTGT TYVFGDRRKFSFWRLWILPQEAPGHRVRYVVRGRNRDIKNIPWMRELLKVFNEMRDLK DYENDKRTLADAIEKLQKEFEESNRKIGNAKN XP_843745.1 MRAINLCTVLLALVFPATVSGSEWLVNGNEFKTLCGMINLAEAA LGKMKEAQEITKGAARIGAMYLEMSGDRDLNKTCEGAGKRNCALHKVFWNESKKELAT RGNTTLLSVGGLSEREVVEIRKKVLSVAQIFQNITKNRRWVLKASDLEKGINRALYGV PYRPQEIKARSSDRRQVCEQQLSRSQKLKASVSLSRDLLCLCAPRMNLGWKAHLCCKE CVRNQNEGMWETNKNATGRWHFLKKQCSSMEGPQEGFNKLVDNFRKATYGGEDECRGT AYTLGGGVGFSFWRYPIMAHSLAGHRVRYVVRGRNRDIKNIPWMRELLKVFNEMRDLK DYENDKRTLADAIEKLQKEFEESNRKIGNAKN XP_843746.1 MRIYFSFIVVLLTGTVESSKAGKKGSQILNENEFKTLCGFVNLT FEIQKLASEGKPTLGGLKPESVNESVNTILYGNKGSTEIGFEGENDRKDYCGDRQNRA HKYAGKSLIKDILCLCKPHRRQGQNGAGAEDLCFSGNKWYKGGEVWSNKEQAKQHWEK IRLHCNQLPKREQHIQNQLYHLKEKVQAVLRTATEKKGRESDQNIRLGGHQATEVKQC SATTGKGNSVCLMYNITSGTLTNHSIPWLKHLEELAEKIPNDTRTNLGTSENDVETVP NGVGDAAPSTDRKINEGIRTNDDNNNNNDNDNINYKHNDGNNTEYNLEDPLMNESGTN TSAEPQTRPLWSVNGNPNPNPLKILLLLLLN XP_843747.1 MPCATKGEASPPVTTPVGRDGCLCVVTWQTAGRMSLQTCALESA ADALVFLRNNLPGIALCGLWVDMQKCSEEEHRQVLQLLYPNMQPSHVEAVLANDMYDV VELQPTEGEYVVGCLSCSPSHGGPFPAATAMSRTELGCEEGVLCSFVCSERVLLTLHT APFAGLAELFRHVLKCNGSEAERHSRECEDSVVGIVKDPSSHRSSFVPVAMGTDALCT LVCFTCEASFPAPASLLSEVGDINEMVFLISPGEQDQTDLLRRVALLRRRISSFRTAL FLKEKLIHQLISPAMRLTFVSKSHPSAVAYKEILARVQKVSEKLDDARDMLNHANLNF ITGVSMRMSQASAGLDFKMNILNSVAAVSLPINLVVSLFGMNLKVPFMTGEGSTLIPF WTICAVFVVWAGICLTPLFRRAQRGLKNDPIAPYE XP_843748.1 MSDVGICTLLCGGFVGTIFLWRKERTFALLCMKDIEKAWECGPE HLDRNDPSTFRRRLISFVGSTAVSWLVLEYLLFKEARDKTSVGPSAVIHFLFCQEGNV LRALHVTVSTALATLALFSGALLEKGIALFQQDADEIFLRDNVICPTGEEIFFRALLL QILLQRRSVTSSIVISSVLFAISHTHHIFPSVAWEYRYIMGNNELQPGKQLVCWRRAA KKLPGLYLCTFACGLLTGYCYVVVGKRNLPSTIVTHALCNFIGPPTFDFLQEKTWLKR LVYGGAYCGGIAGWYIITSTVARRST XP_843749.1 MSSMELADVEEEIPLEIEDYSCNTLFEEVVKEMEQYIIMEFRTH PELQRFALTTNAVGEGKTRCTRGTDNDDDNREMVLGRRFTINRRSAREAWSDNDGEEI MVEIHVHNFEQQQQQQHPIVRLFAVPVFFLVRNTATSLHHSYSESSFYLSLLVCAVSR AMRVSFSLYFERDPLSLTSPFYYANGTVPCFVAVGDPSQLTFMGVAPPALVDAFTSNG GAALDTTHATLHQLFVHLVVKCRFCCTAYPSTPDCCRRVIDCLDVFQLHLGKQSRVRR DTFEGICVSLQRKFKLMPPRRFSMNPALPRITSSDIRHGELALSWGAALDNENDNILP STMRTTFPFGTGTAPLRGIYFTFQWNQLRDTEARDDGARASNLNPFTPEAKYMLEDKL NITAVAIPKDESDLNHASCKYLSKYYETVMQYISQGSGSENSPNITDDIIGLADDIMD RCGGMWKDRNSPVSPLAMFPACKGEFKSDVRNVYYPGSLLCRFACACARDVHDIDGVR ALWKVIVQRLKALLDDSEDRKGSLQKLIATIGIPAVDEINHGMPLIIQKMQLLVICSR RMLEKNATVPADQPAQDEWGSANEQGSPKLDGSQDGVEDVIHRSNDSEFYGRCTKRVK RLITNGEVLVEPQPLPVPPYTTDILLAKSQEERDPHSHSASSSQHSPSLVLNEGLFND MCLFLYVNRSHEGRVVRFADFVHWYSPRDFVSPPHSPATGTTETGGPTKPDFEVDIND SAYLSERMQRREDNTWWLLWEQAAPRSPEEIIEQLFVPEEQAHDVVNWMESELQLDML LLETVQANFSNALHPLLSHRHVETNEAIAAYVMQKSEEVTSSIKDLFYSDPLFSNVET LRTTYSTAIRRVEEIETSVCVAIAIERTLCTLDVNCQMLTSPVTRGAVTEEADHEALR EFIAALSSPQVPKNSDSALTSLRLRTGSLRWDVWHRCRVFERFSEDERSPISTYLRAT CMAERPLNTTPCFQQMIAETDEGGTFRVALTLAEEVL XP_843750.1 MKKNCSLMLSEDVQQKRNSHQVIALFIDVMHGDVVLNDTACRCV ADAIAAAYEMPLRHIVGQDPELSAPLRGAINVYMAKRDLRSVPEILSMSKDAATQYTM VAEALSVQPMTADLGKAMLEEVLYLTEHVDLQRFERLKSIMNSIVINLMRHAATEFQQ GGNDGTQAVFWLSVLRFISTPDSEDGVEGQKKEEKRNKVSEGKSSPEVIGTEPSIQPA MTLTEQDLQLQQTRSFRGRRTTSRSAERITPPSNAEGSPPLIETPTPSRGTEEKESPE SEASGSVPRNNNNNSNNNNNNNNNNNSNTPPTVALETEGVCSSVEMENERRNVPVSSA GSPLQQRCTSEDRCKPLISRDACTRTYFLCPETKRYKPLCLRGFFS XP_843751.1 MSGHWEGYGTPTMSEGSISPCIRSRSNSRGVLVRSNSPGCGELA IVPPQFELKLAMKQNDPFLYNSCQSSFGPLSVPTVCPTCHRPLAENQMDDAPPTVGER QLTPQYFRALAATVTRRPLSICDSPSLEAKFQQRLAEQGLKKYVPEDEIRGKMGVTEE EEDTWELPDEAHGATAVFSSALDENSRRGGGPETSPPRGDNTSGDWGYYKRYFREIQK LGSGTFGGVYLCQHVMEGVGLGYFALKKIPVGDNTSYLHKVLSEVRILEEVKQHPNMV EYKHSWVDTAQIADFGPPVRCLFILMEYATIGSLDSYLERHGSALPTIAVWYFFLSAV AGTAHLHRKNIIHRDLKPQNLLLTGRSEEVPRVLVSDFGTATLLSEVSYERTGGTGTV EYMAPELFECVPGTKESYIYSHTKATDVWSLGMILHYLAFDGTLPLRLSNGEVVLEVE NRSHYARPPEMIQLMRLMLHRNPAKRPTCEELLESTVVRTIQRSFKKASLFTDILPTA ATLPKRRRPSAVLTTPLLTPREGKDSQARQLRVNWVANNTAKGELEERKPTPCLDSEV GNEPLPLFLPKAEVPLMLQYETRGSHGETTEGAQGMQKPLMVECGVQTDYVKIVYE XP_843752.1 METVPESLNVIDPFGVLDTPLEARTYMTGRQYIQLTYHLGEKLG IKNVDHYFNLPVGSEGSETEGTAVEAEISPNDDISCLLDQLVQNESNSDDVSQSNVTS SFLCNPPLCVHFVREGAMKAIGNPKSLPTVACKAVGEKLQGPPHVHCLFCLQTGDTGA DTDTNSQGQPYVQAADLRHCVQGHFTEHMNRRNAYLNFLEEGAATLPEARQELLECSA DREGRHTCFIASAGSAACPVVVSYCAACDQMAPLATFLEEEDECTSCETVEQRPNRSG TRSVETVELILARLLLACELRVMLTKERGAEGSGNISLVQEESYIFIATPFLFGEEEE ELSEEFETGADLTHSSVILPAATVVETAKGPCLCLLKEEGEELLQLLTELPPYRINHA VVGFVMQWQSASAADERITWALDQGKRKGYKFGVQRRLVYVEDTDEWVIAHVLHNPEV SPSHGRSAVDSERSFGDVRTRELCTITKMIPLSLMEQFIRGGRGEDDGAKNKCEDRSI STDVEVEDCPYGMEARTINYFAQMTALAKAMSLATSFM XP_843753.1 MYHRGYGGHYRGGRGGGRGHSHWHNNAPMERGGHMPSNNEGAAI SSGSTGFSPLMDFFHSVEGRNYGELRSLTNETYQISENVRCTFLSIQSDPFAPGSQVR LVCPCTFSLEKVLQTTDLAAANPCRRVAAEDFILRSFHAGYRNGIPRRTSGAVQVLRP SQHVLERSTVGLVKAHQQKSGMQAEIEIFARVKLPGHGRRIDGHGAIDIFYNELVPLL EQCVVGLNEEDLHQHVICVHDQEVLRSNLLGAGYVAFVANGAILPRDAGNSDKPLRDN AVPFQSPKSLECSFTLPHSGKTITGMGLPPGLTLIAGGGFHGKSTLLRALEVGIYNHV PDDGRTYVVVDPTAVKIRAEDRRSVHGVDISPFINNLPFGKTTNFFVTADASGSTSQA ANIMEALELGSQLLLLDEDTCATNLMYRDALMQMLVPRAQEPITPFVERVADLSQNHG VSSIMVIGGSGQYFPQARVVLVMNAYQISDCTKEAKEIASNSSLPALNPPGDTASVFI PDVNRCFDPDGSFTTVRRRRGREGTKVSGIGTESIRFSEETIDLSMVEQIVEEGQVNA IAQCLALLYDGEPRIVPEMTTKGGALTQLPSPGGVCEIQRGKFNSNFSSMIAGCCSHQ HDKRLELRTPSCYLPRGFTSATRHIEIGAALNRLRTLRTVTAKR XP_843754.1 MQPPQTNPQLAGMAQRDVVVLAERQQLISNEGFNYCMRRCITHY GEDSIPYHPGEKACLDRCVNKIHNGLDLSCTIRKEFEEKIKKGDMPYRWMKEVLSENE XP_843755.1 MQPTRRCDDGCNKRRRDEEHESYRDSDTSSGGRDWRFDSNSRAR HLTRSSNSESSSPSSYSSHSSTSSGSSSSRSTSTRDKHYPKFTEEYAERFKYDEEPFI CVDYGIVSSQGQERHQMVRRLPPLVPLEASPLPRLEGHTPNLVLYGKYFFIRALGEGT FSKVALCSKRGTGELYALKIFRDEGTYRRACLDEIKVLLALSRPAGESCGRRRMGRSS SSERTYSAVSARRRSGRTAEKCLLEDLRHMCATAATSYQGKIGRFNPPVEFIPHYNHH AIVLPVLGVSLLEVLACIRKELNRSVGKGLEGKQSESGSGTCSRSSGSDDCRTGALEK LRGMSERSVEVTHRGMPLELVRAVVYNILLFLRHAHQRGIVHTDLKPENVLFEYSDTL PTRMKIKKFEYKYESPDEGDVHSSRAYETAQPTIQEEVLAEVDVPLPAVNTVRVIDVG SAEFLSKCTAVSKLDNVTPAFYHRIHTTHYRSVEVLLGLGWTTSADMWSLGCMIPELV TGSCMFMPRCDIEHLALLQHIIGPFDSVEGAISNKGDQGRNNEVLNKTIVERVFSLGS RFDKFFDKHTMKLAWPDPNDCITPPSSASSSQQSRYNDTIEDIYYVASRPKLREVLGP FPKLLDLCERMLDYDPLRRITAEEALSHPFFTSPV XP_843756.1 MELRQWVESRYRHPVVVVLASRTADEAARRNGMDLINMIRPFAV YDGDLFARLTDRADATLVRNFGVRLTRAQCVREVGETTLLHHLRDLLCGQSEVELALG EQLDRALQCLMKKGGGVLTDTRVSAELGSTLLERSHPDWHRQFVQNYVYSVRCSHFDT TDHPVGFIYAASTNASGGVEGILKEFNELKRGQEIGEIWRNMPCMEEEMHSHFLLLHD VTEGPGMDEVNRMFAAVQSSLGHANCALVKLNSISNPQNVKNMDPSVWTDANPSFMDV PSDTACRAVRYSQQLESRSGSPASAGGGGDSASSRVAAEPLLFPRVQAMFGKWPDGTA KVTGCYLSPENVRDLRAVMHHYLSQCLFTFIERKLRSLNTTVNERRTTTLGKMAAWFR NKNEVNKSKTESWVAARGNSRAMYLAGSLEMQMRRAADLSLALADYDAAANFYRLCRA EALATVETRAFNKPLIAAAQEGIGICHFLQGRLLPSSLTPWRAGGTSSKNNSDCRLEV AMNDYDACEMNVYSLRVALLLYEFCRTRTPPLVDRTTALLLHVQHRGMVGRSKLQIAV LQELLAANALFLNPPQCAGMRIKASLPNNFPVHAHVRTYMKYCIAAGDAYCSEDMFQS ALRCYLRALFVYGSVGRCGSWPHICEHLFMGLSQLYHQLENPVRAIAMMAVAVLLGTP KYSNPKTALSSLKTFLEKQQEVVGELGIGVCPHMVVPAIVENTVRISVNQYHCDEITT QQQCQLGGEAVETEWQKVEDKLRSHYKDQLTGSPIGTRQWGAELSKFRQSSKRDGSGK KSMRRYTVNQEESLQVSVKLRNPIGSHLTVENMCLLFVSRDEPAKLCRSSESQTIEFA AGASRTITLNFTPQAEGTYVIVGFAWEVMSSLGHYYFATEGASGAASTEGNTSSSNNL GGYVAGMGGGLLLEHAMNNATPEVESPANIEIVVGPPQAWVTMRLEPELPSVLRDGEY FHTFVVVTNNSTHAEAHNVTLQRSATNAQVLWFEDFGLERNIEAEATFNVAQVLHPKE SVSISLTVRGQLGHGASPRCRNNIFLLVGYLGGPPTTPGRQDVKATPISPTPSNATVP YVRLHRFVRLIAVKRTIILSSFVLEPVNLASATSALQLTVANVSDTAEQPLRVVRMTA IHRPRWYVAAIHTGALLENDAAGLVVPSGGSFCLPLSVADKATLSRGKAGLGSAVGSD GVADTAPDAQPTAFTEEEYISLTVTLPSPDKCAQVESKISSSVIGSAMSTYFMRTSIR GSGTLGEIAANDGFVFYADKGPDGDQQKVPRVDPVTQVAIGEPFTPICVAVAWMLQDG SGRCGQLFHFVDPVSYLISGGPLSSKAYELQQEELCEALVENRSLHPRQAALLYHVEA PHSVESTKELPDAALIPITVHCRSLSAHVLLVTLKAKTMPAAGIDRSDSPSLLSPTLM TFVGKTSYSFLLLPHENHAVKFTAYVFQSGLVQCNAFHVSAMALRLCPAGVDTSRWKS GMSEAAMIRSLRYSSSSTTSLRQAESFLGELNTGSNSDITELPASMRCDVQTSVFGYA AAAIARVSLASYSPEAVKAAAAAASARMKEYRDDLKRYEESCKSFEHRRSALSASGRV PNSAHATYHPAARLLCEGAGN XP_843757.1 MAEATESPLQCSTNSLPHKSVSPFTPAASARHQVTVADGKITLL HRIGSGAFGELYVGVDKRSNKKLAVKLEKRNIAHPQLCYENKVYHLLHSGGGNALGIP RIYFYTTESTCNVLGMELCGPSLEDLFNYCGRRFTIKTVCMIAHQMINRIEFVHSKGI IHRDIKPENFVFGEGNRTHVLYIIDFGLSKPYWDRRRQVHIPFCEGKSITGTVRYCSS WTHKGYEQGRRDDMESIGFILVYFMSGTLPWQGTTVRDAAQKVAVIGEKKNATSVREL CNGLPNELLQYCAYCRRLGFTDRPDYDYLRGLFSQLARKQKGEQTPQSAASSILAPAA PQTLSPPAAPKNRTTTTSPEPNVSWAPDLGMDRTIVSEYDWMFDWFLKRRSEVSGVPW RSHSFRRQ XP_843758.1 MLLVWRNFPSFPSIIVSLCKKCVHCTFPSNSPLPELITQTLKSI LFQRKRKREREKKKKKRKEKKQIQGKKKMYIVALVFFFSPPPHVFPLKYYVLDETFHD ILFCLFACLSSFFFTLGLWSFFFVFYWFRRCFFITCFFSPSFPPSSQFSCAFFLFLLI VCF XP_843759.1 MYGIQMHSSFLFFFISLLLSRSQGSFFLVFFSVFQLCFARERNK TNILYSKCIPVKGDGDKRRQQQNVCVIIIIVIIIIIIAYLHVSYCFVLFFCKRKEKER ANQRGGGKKRNEQENNFFVFVCVFDNGLKWKELS XP_843760.1 MTMSPSTRAIPFASVSVFVHVICRLDAPSPTAANREREVREALL KLGVTLACAEHAADLIVSVSENETAVGRTEASERVAVTRACVQECIDRLKKLLANVKI VGPKAHCSGGREVTCIGRSEGTAANRTNTGGEGVQELTHQNGITNRPSVQIEAVRMEP GSESCSVSHLGDIKVVVVETLTDVPYLAEFDPSECGTTACWFGGLEDVSDVPYMSPSE EPVRIATSVNQDVVGPCALSSEVPQPTRSECGTTTPLSPCSPLGEIRSSSNFEQVDSR LSPTPILAGLTDGASRTGGNCTSEVVERSGSGSLLPCTNVAAVTAAGDLVATPFLSVT AGTVGTENEDRVVRKRKRSSGATASRPRECKRALDKGSTKRKRSGSTKKARNAVDVGE PAAEDPLQPLQHEIVYVDDDSLDAPPSPPPDKQSKQPRKRKVKPYVSSSSRRGRRYPH RIFVSCHDEEMERVLNDVVCHIGASAVDYLFGRVEKPTHFVTEPDSELTPAVLLARAL GIPVLTTQWLQDTITQGKFPEDLENYEHPVFGKRSAPIQGPSPGTDGTTTLSQPRTAL ATNLSTNSATRCFESDTGCYYNPFLFGVTVFFVSSPHSPLTEFFAEIVRVLGGTVTRL PTCRKLSVIVNLSYGAISAPEEETFKKGEQTSSRVGSKRSRISSALPQVDELVLESQA LSFTYPNASLDISNGRKSGMSRVDLNTVLCKDLQNVLKTCQECRSDTVPVVSVEWLIH CIMQGRVVETSPYTVPTLTDDTTTILKTCVASGLLDSAEALLQCVVGKS XP_843761.1 MAYSTRVIRKVEPSSTHESLALEWAFGLHNDYKANIHNLSTSTT ERVVFYTVGHVGVIYDAIQNTQKHLMGHRHMIVASACSRNRRFIVTADSGSTKSSDCQ DACGRSASGNHSTAGGAGEKSGVERYNNESAVIAPEGIYSSNEGRDATMIIWDVQTAI PIRKINTGEYGGVVACAMSLDGMYIATLNRTVPQEIMVWGWTADADTGAEVMRNGADE DPLLHDSMAPEYRHLIAAQDEQISIRFSDDDPHLIVTNGQYRVLFWSWAEGKLKYYSP PIIAKNFKVPIGHFTQTVFVPGTTMACSGTVDGDVLLWEVQQRDRVTKEQDKTMLKMV RVHSSGVSFLTWSNGYIVTGGIDGDVKFLDPRLRLVAWFEDLKGGAITSISFDRPSGT AATAVNELRREFKSITQKKMVQVGTNAVGDFSASDFMVSTSNAMIIDVSANAFHAGVP ELLRGRLVVQGQENGVHCIAAHPKLSRLAVAGHSGGLQVWDYLLKRVVMIVVFRGVEI NCMAFDPEGVWLAIGCTNGVVKFLDSANLEERKSIKPKRPSSITRMVFASSGRLLATG DDTGCVSLFWYEHIQGNTSKAMGWDVVGRHKTHKGTITGLQFGDDSGLHRLLSVGEDQ RLVEYDLIDSEPETGLLVRSAHKIAQSSTPTGFLWMDEDGIISDVSRRPDAAHTITNG LLIANSGYKISAYFSDWSRQCVKTVLAPTFGGPVTEMFTVPTHPGSDKSSLFYATKEK VIGFIQLPLEGDPCLSMGLLAHAGPITSVAKSYDGAYVFTAGGLDQSVMQWRVNGNKI VPEEASKISASVAAEGNGEVPLDHLIAVVEGGREGEFMREIVDYFYYAQIRLQGEETT AKRELLGAVPFSQVPNLFRALGYYPTEMELGRLTYEVANLYGPVEESVDECDVSSIPL KFSQFMRLYVNYRPIFGISRQAVEQAFLVLGADALTGQISRDVLFKKLTTHGEPLQQT EITAALRSLLGEDVKLDDIQDTITARLFAENLLGFEDYDAMAQGDDGGEEEMSLQ XP_843762.1 MRNSAGYLFGSYSDGQITVTDYIPCTHEFEGDKFPQWCLDEFKA RVDVKKHYNSGVAVIGWYVAGAPEPGGEAVFKRWCEAPGVIFARGGLKSQALMLLARM PCDGDMTLKWEAYITNNSIQEEVHVCERKAQKLNVTIAAESPSMNVLLAEIASKALYG GGTPHATSRITSLDLVARGAEMMELEGNSGNNKRDNNRRDGDRPVEEALMRVQNGMMQ DISNAESALAGGNNNKEGNLDSAAIVESYKRILEEKGKQGERGDFITESYTDALAIKY QMFVLRRVLNDIERNNNPESRERPHHGGGIQGNRPNRGNNTR XP_843763.1 MVLFSTYRSSRLVSKEFLHGPVMRFRALGEYYFQRAWNGTLNWA LPGEYRLYAVMIPFIYFYHRWHNDHTLDRDHVEKAMIMRWGGTLEDVRKLSAKDQLRV RCFTDIEKLYSAYGPKDTYLQPPGDTLPGKDFYRKAGGAQAHH XP_843764.1 MLQKISAESASSYNAEFDPTPPATVEEMHRQLDLIIEACKRLED LKTSGVRLTHREVLKLRKAYWLAVGETQKLRRDNSSRTGSLDGLETSETSTVDRPLSS GSSVQVNCSDDMGLKRQDAKALSCDEELVKLEKCVEERPEAHTFDVVHDLAEAMNTGW RIFGIPLERRLQTLAVSVFNFFAYVSLSLMLIVVMMVNQVTMVFVILYVLYIFTIGRP KHPLKKKDALMSLGIWHHFTNYFPVRLVVPQKVRCQFDSNKNYLFIYHPHGINSFGAL SCFMLDTMNLRTILPGIRIHLQTLKLNFYIPFWRELAVAGGCGDASAQCIRDTLRKGP GECVALVVGGAKESLLARPKRNEVALQDRKGFVRIALQEGTSLVPVYGFGENDVYRIP RFAESNAWRRVEGLVRKYTRFAIPLVKGRGWFNYGFGLSPHRCPITVVFGEPIEVPRI PEPTAEEVQIWHAKYVEALQRLFSENHTVFAADSKGLVIR XP_843765.1 MCVFSSPFWCLCCNIGSITLVGSRYAGNSVASTIMYARFRTRSK FYFRPARPALAYNVDPNVMRRPKVKRGLLKGTYSDETVDLRDRERLELLESMRHPRER DFYQDHTYHNQWLRRDLEKHQKQQLAARYKYFAPDFEISPWIWYPGDIVEVVSGEGIG QRGTIIAVIKYKNEIVVQNINVQDVVIPASESRPEQIVQREHPISVTRVRHVDPSTNE ICNIEMVKVRNKETGEMEEKRMSLESGILMSIPPVNDELEVGDPLKDTPIQDADEATY DREAEQAVLVDKRLEAMEEHFVQSLKHSYEFHEPLRRKNAEDMRQFQTDVIDMACAML GERLLDTVNASDTSSLPAEWQEAIAMHVEEIEAEMEEVAAKEMAEAKEGVATENEQHD DDLDDDEDELDTSEESVKHV XP_843766.1 MADVLDRGSTSEDATGDTLILRLPQYFPTTVKECTEETNQFFTC FEEHAVMKEPTDRITAKTSLMFCQKELRGYMTCMEKHRNVDKTKFWWKFW XP_843767.1 MLRSLFGRPSLAVLNNYGHMARPASPLLCSQRGVFADRVRAGMI LKVDKRYYRVLANTRSQKGQNAASFNIKLTEVGTGRKKEVTAGHGHDFAEARAERVRL LFSGFDDDDMACFVYPEHSSDAGKEVNIPGDSLPELQQKFLCCGMPVDVLHIMPDDDE SPNEKDIWSEVVMPSNYTYTVEKLTLKGMYKMAAFVECDGIIGVNDSVQVNDKVKVVI KPDGTAAFGGRAT XP_843768.1 MAKGKGKKKAVVDVFARLGKFQPVGILNTNEAIETADAEVVDTV LTISPPIPRVEVGIGLQFRCSVPILEGDVIQLSLPGFKAKPTVFTAECLDSQGGLLPT YFQGFWTGDGVRGDKRASQKQTVLLKCVRRIEMDQHVSISIPFALGLVSPDKVALNAS KFKIRGDVVHAQDGKILKQVILSTQEVKKRPVIEEINEYKNLMLVMDKAGDLEKDDQF AGEELSVEELDHITESAYARCPYPVGFQWHIAVEVFHEYEECGLLLKTLMEGAISSVK KRDKLSLQREIAKNLGLKVGAVIVFQDVLNMLYGSLYPNFSSPVLLVIRLLTMEPIDI ARTFLVDPPQLSVAQEIYSYFRIGDAEGMKKWEYTASVLLLVLHRESPSAPPHTARPP LFYGVKELPQEELRYLRSIPDGDWYMFPCFTMVRPNVNWLDEEAFAVPDSAVLFEIHD VTDAVEICDISMHPYDREWLLPMCSMFRVKSITAYDDRNGLTHVVLSSIGCLHGSVKD AVIPEDDQAVAKVVAKKLRGEMLEVARRSRYVAIHSYLTVRMQDRLRLNPATLVRAQY VDHYFEVKRSSQVKSTIEDGSVNWQVCTNPVQMIDPVEGVIKHAMWESMPRRFALLTE HSFLSRTRHKKTFELNGITLDFVSFTCDYGGKGPRSIRRLVRKRVSHEGPLPVLPELV K XP_843769.1 MAERLQTITFRSSVSTITEFLGFAIYCVLYQRGVYPSDSFQQVT RYGVQLMVSVDEELNSYLAEVLQQVIKWVSQDKLRKLVLVLVDAETKGDVVERWVFDI ATQGLEAVEGVNSKSDDTLRKEIQAVLRQVTSSVSYLPLLHRPCYFDMLVYADPDTEL PTGSWELSDPRLIMGSSEVTLRSFSTSFHSVSASVAYRDGS XP_843770.1 MVVVGMNRRFLATSAWLGFSNGMTRNLHTAGTVGRGNFFAYLSL PKSPDLDAADVQRSYHKLQRRVHPDLANVQDANNSTEPPGGVVGSVTTSTTTDVANVD DSMYANLSYETLRDPFRRCKYLSRLSRAEAVKGRPLDPLEEEMLMSDDDGRNVEDNKR LQDAGENMSLPEEFLAEMMAANEYVFSPEETNEYRDRLVILIAHLEERHMECYEATKA QWDAEDFQGFRRSVLEWTYVRNILEKAKDCLGNINEAVPKGV XP_843771.1 MYTTANRSYPDLLRSKNKERMNRIASRAISFSRAAYKGSSRVET SGEASNSEDEESCELEKFPAFVDPTEQQDHCIDPIIALKIPRSEYRNTKELELCRKNI TRLHTNVQYLENLDTLVLSNNRISEVSFLLPPKRTADGNSRAENDAAVDDGVETLWCR PRGCHLLRSLFLSHNMIWTIDGDIPRLRHLRVLLLAYNRLANLVAVSTQLKRLRDLRE LDLRGNPLCDELGYRPYLIREQPQLELLDRRLVQMEERNTVVSQLVQKDRELGSMCEL GNILASSAPLQRRSIDSSIQRRSLQLSPLQDGRTQGLPTVAHTDPRFFSVTIGKEPVL KTLAFFKTFTPARQRADDPKVVPRRAFRPSACELYLGKSMHRLGKQKALREMREAREN EMKREEVVETHKRFHLVWAMSGKGMPLCAEKLGAMSKQQETSQNAGNVEQTNVSPRNA LMRRRASVAKQQAPDTTGKWAVKQAAPAPVVSLDRIQLPNLPPQLPGDTDHSVMYDAL LHREDKVEKRIWGKPSCLPNPHRLENMKNLLDKASNFLTASQKKGSVGSSAVAFDHSQ LLCEIQQCKDCTIPEPWEGDETSTSIVVTRDRQEFLCNAMMVLLLPSELSAIESHLMA GMADSFPHPEHQRGKKTSRKEKRALGDAARLPAGSGALLQGIATASASQRTQIIMAAT NVEFGLPDRNTTRRLMNMLDFDSGLKNISLQNLTDAFANAYLSRTVETLPKPTSEMDD KCTASVTESKRNHRSPSAGRSRSDRRSGRRVSPTRSESRHVGREAAAASATKQPVLTL NTALLDLMLYLPFVRCRLEYFKEECDAAVKELGDLGDALPKRFSKLQAASLYYERLQE AMRLSGMSEESALNNFVDIEGILRLNAQEVGSYFRSNTTPV XP_843772.1 MLSQYLRRRVSVVTVDGRYLVGVLHAADQLMNLVLTSCAERVFD DEAEDSTDPNDIKNNRNGTNGATPPGGEMREHSLGVLMIRGSDVVCVASVNTVEEAKL SRKLWRGRNLPVVERVARSATASVKGG XP_843773.1 MRRFATTFSASSAALGSRTVTSLTVRDALNSAIDEELSRDKTVF VLGEEVGQYQGAYKVTRGLVDKYGTSRVIDTPITEHGFAGMAVGAAMNGMRPVCEFMT MNFAMQAIDQIVNSAGKGLYMSAGQLKCPIVFRGPNGASAGVGAQHSQCFAAWYASIP GLKVFSPYSSEDARGMLKAAIRDDNPVVMLEHELMYGETFKVSDEAMGEDFVIPFGKA KIERPGKDITMIGFSRGVSLCLKAAEQLAKSGIEAEVINLRSLRPLDRATIIQSVKKT GRAMTVDESFPICNIGAEICAIVMESEAFDYLDAPMERVSCADCPTPYSKNLEVASQP QVSDVLDVARRILS XP_843774.1 MKREKRQKGKGTQSKAEEKQPEERPLFEFWWTHRTLTVELKSAL SNPYVEGVIQSCKDEVKARARVVVDTTTSPRAKRNAEGWKSTMTLAWHKKLVEARNQL LSAFTEAQGKCEKDKVEGSPEKQASVVAVSRRETIALHQKALHIVLDVIFLEWKTHFD AAGGGDETANRFSALVDCLLEGIAFTHVNHWICASSSGEDTLSQDDLLGALGHYLQNH LKITFVEQIQLLPKFVQRMWELFHVELLELEETMLRSCGTHAVSGAEGETGDAVKDFE VRSLVSNVTKVLRKLYVETSARKSTKDLNNNEGNGYVVVATSSMAGAMVQSLGRWLPV CGDFLHSMLTCFNALKSEGSAGGDSHGVSAHKCIDGDKDSKGTECPKTEGMLPAAEAR GLLRGLQQEVQRVFVLLEQLDEFTPWPVLLADIASRTFHAARERVQPTDSEECRDNAN ITKGFREHTAMRAFLSWHSRAVATPLLSSSNAMVSRGTPLTLVEACSKALNGIISSAL DKTSVPSDIHKRALRVLLVHRPLATLQHLIKSLCATGAEADRCDFEAIITDRGMSSAS RSTAFSEATLDADDVAFMFFPKFFAVANFIESSLASLCEDVKSVLNSTAAGKDSCVAD HTVNTSQFVERLFAAALEESIITSGTGSCSVAVSEWLMFLGFVASDSPLFEKTTIQHV RLAARRFFAPGLPMMSCLHRLIRQRHQYGLVAFFLPPLRAIGVDHSTAKDAEHVACAL RYPRCAEVAYLEELPRGYYSRQPQRLALNAKNDALQFFHNILMTPGSVKKERDGDDKM SKSGGEKEKEADVVRRLFVEIVAHIGELVMARLRGVGEARLLGPTGAGCVVVDAMTAF FMGVMRLCNTVTYWDVSRRQQVQKAIVEKLLSRANVAREGPVLPRLALAVLLQGNVAY DEEVKPHIPHAWCDYLCSMELEDIDSRANVCAMNLLRLCNRNYMKSIPTKTLLACRAM LEQPTTKEKDISTQEKLDTGISASVKHDFDALRQRAANNAPADVQQQVGPVPTDSMVE EKKEVANTTTDTSSATLKVEEESTVSPTTGAQAEAPIAVTGSAINKGSEAPASGDDKL LNAVVKPYMDAVITVFVMRARTSVADAVLTGIAVKKWLISCAGNLESRALLNAVRRIV LQANAKVLHATKMECTALAAFLGVLVDEIVLPYRLNPCRAVPPHGDQQKQPPTTAEAN VPARTEGTQNNWIHDVLPASGKDAAALLQTLIMDTTRNIARFLGENTSLGDWKAYTLT FHMRRVIGLVRRNKELFLDAVKKRQQTLGIILSTDSTHPVNLLASVECPLLERMTGPA VSAEQLFMVSPDPKTSVAARTETQGSAGVDGRTTGSSKRKRDRENDHEADSNHDHRHG RLRRRGGDRARDRDRSRERDNDRGAVRDYDRDREVSRGRERDRDANRDRDRHWEWDFD RDEQDDEHHGHNRRYRRWRKRDRGQ XP_843775.1 MRTTTHGSLYWRQLAFLPGHLNTRLASHGSCGTGSTRNYSFIMG SHRIIGGDMITSAAFVTPTFSTRCRLHSAVGGGTNTDLHQHCEQHSFEGGASVGTKPT NSASAKSTEQEEAVNNLLEEVQALLSRPVPIGSLFRALSADSKKTLVKNKVPLEQLLL QYPRNFALYQQGALRNRTIFCSPPHLVPANARPMVLENTSHISASTYAAGVRSSSSPS SPEAPASSPLKPDDINARVLQHDPLSEKQQRLNTVLQYIPNEWSPFTELGIPEEVRVR CMGKPGLKAMQFFERYPQYFEVRQQGRGEHTFHVRRSMALQRSQEPQHTQ XP_843776.1 MRTQGSFGREGGNFRRGDGRSGGRGFGGGGGGGSGKPPTDAPQR RALINQTFDGELSEGTRVVMRDDTKVLMSVIKHIPQNGAITIKSLNAQLSPDVQEAVS EKHSGLKSFLEQRKQLFVVKANPSDGVLYVAATPLAYQKLTARERQRETMQEMLGLTR RGGRGRGGRPMNGGGGGFRGRNGAGGGRGGGGRGRGGGGGGEDRGRNDRGGGGGGGFR GGRGNGGRDSGRMGRGEERGFGGQYRR XP_843777.1 MEQPSAEFLNSNFEYLASLDPPGVVRQLPPLHDGGGLLPHDFQL SVPEGAIGQKIVPSIILQVNEVADVSLPLAQRLREIAPTGADTEGVCTEITEPGSLEE TLRSLESKDNSARHHGRRQRLLRLVLTDGFVRVFAVEDCRRGCDALRTGVVLGSKLRL FAPLRLLNGVIILESEKTELLGGFVKEIQSFWEDYAVKKLHELSGRPGRIAQASSATN MNTNTNTATFSSAPAPRIAGDTNHSGVAPGPTQQQHQKQHQKQHQKQQQRQQQQQQLL EYRHQTNEGVSGPVVQPSPPAAASAQPTPPTRPAARLTPTPEPLSHPRVTQEYFVPAT PTPEQPPTATPPFSTAIGKITDVMSDLTIRDGENCSPGEPPSFSLKVLLSVQQPLTPS LDVGEDGAIVVDLGNAWLQRALGMNVASFRSLTSSQLPNDLARINQLVDEVGRTLENF GIAEFHIRRRLSDGILEVVHVRQLE XP_843778.1 MKVTVISGSSSEVVELPSNAGLTDLKKVYKPRVDIHRKSFKILR SGGDKNDKSAYITLDAKRALTEQGVKDGSEVVYKDLGPQVGYRTVFVVEYAGPLAIML AYAARPSFIYGSSIVKEYCYTQKLYIALFCAHFIKRELETFFVHKFSHPTMPRRNIIK NCVYYWTFALGIGYALCSPYYTEPASPTLVNASAVAMVIFELLNFAVHVQLSGMRKGD GDATRPVPKGILFSLVSCPNYLFEILSWVAFSLGTSMLTSWGFTFAGLVQMAEWAVKK HKNYIKTDPSVRNKKAMLPFLL XP_843779.1 MRGNLITGMDKITGDGCNDPSNEANKKEPTLAIDRWMHQSGAQN IVNNLLVDIVINRPKNVMEFIHTWSAPPMAQFGLSRTCSAVLPFDTMARGRDEYKRGE AVTNEGGDEAPLGGSSGPRTDDDSKFDLQREIEPTPSQLLQMFPEEESSPYEFLKSDQ ELESCFSLRQLSSTCGSSFTGYIGRGNYGIVVPAKRKSVVEVDGVCADSTATSRNQGS DCVLWNGTPTGASIKARRVAIKISRVQGKWTLDESCALQTVTSATHRLETDVRTVERE LEQLKRQAAGVSEECTEGDESELSHIKNNITELEGRLHVLRTFLTGSLFVVKLVGSVM YSIKRDALLFPLELYPTSLGDCINKRSEIYKVSSATGCPFPSHDGTGGTGATPRTLFT VREVQHVAWSICHALYFLNNVCNLCHLDVKAENILLSKPWPFGDAGAESLLSSPLTLK QVLVEDFSFPRVVLTDLGLAQRLGSPISQLGDFSTMAPEVYWASASAEPRYEANRVAT FAASCDMWSVGCVLLRMLNGLEYAVWDPAATFMAMEENFLSPALRHPEAWPSVLNDFV MRCFERDPRQRMTAASALCHPFFNLDVSS XP_843780.1 MYRIAIRRFGVASVLRCPLADVLIPKTPTVAVWSCVTVHTLRWK SSNTTIILPSRGAASSVSSKSGGTSSCLTVGGAVPPAAVGTSAHPGGNGINPGTKSAA LLSASGTSNGGGASGKSSGMTTAVEIGQGSNRGRSARKGKEDVIGLKKVKASSSKSVV NRSGASTTNTATAMSTGSSSANGSHCTKSATGMVVSQTLGRRRASVKKQLRVCVLNSS YEGTNSVTAEMDNYHCSPAHRVRKDKNRYSFTEVAVRKDDAYRQVRELVTSNNFDVFF NLCDGGKDEKRAGIDVLEALEEHNAAFTGTDSRSFEPSKIDMKVLVAGAGVNTPNFVL LDKTEGLAKKCRHLRFPVIVKHLSGYASVGINKDNRCDTLDELKVKVGTFLKEFNHAL VEEFIRGREGTVLACADSGSPHGVKVFRPLMFNFLQNEDDFAYFDKKWSMECNDEACS FLPHNDPAYPRIIDMARNAFQHIMNGVGYGRVDFRIDPKGDVYFLEINPNCGMWYSEK DGGDFADLMVVGDKSWDHDRFVDNAVNRAVREQKARRPWYFISHDSRGNFSSKASRTV RPGKALFSDAAHTVPVIAQAVYKLGEEDPVVGCVILRGDRLHQAVAIRHSCEPNMHFL QGRTLTLVSKRTINSGEELTVDYATLCDERMPPFACSCGTRNCRSVIFPSPPTPRVLE GRGVRQMLREKKEAWNKQKADREAERMLKKRSSSGRNGGQSSQHAGAHSTASNSSASS GSSAPGGSGSSVNANNHSGSDSSTKGHGGKK XP_843781.1 MVSLNRKQKFQLAVPATLLLFLFVYVLLGGGSTQKSKRHGMHMD FNPLEHCNAPMDSVVGYSSDVPSMSNCHRHWLSETYAYTTIGYPHEVMKKMPYGSEWK RAPTGLCWTADEFVARYLLHTRGIFVYFGGSRHDLYWENLKFFGSSGMHRLYERINFE NKVEVTTTKRRKRHTPLVGDVVVWDSDYKAYFPRGHVAVVVKVEDDVSAAGGEAALRE LKKERRQPSLVYIAEQNFDNKNWEGRNFSRVLKFTWMRGDRASLEDPDGPPLMGHVRV GKLLEDASFFGDL XP_843782.1 MGPLVVVSPEVEEARKRASVYFAPCVGIQATPAKLPSGRGAPTV IVCDPVSEVDGTCMVVVRLQREFQGAVEPKNSVSGFMVVAEWVAVFPPSYPAAPCSWR YAKDGCVQGSEHDSGGGANRCCGLQSFVLEEAISKLTAPKLSHNGGCNDTVENAADYY GKLRYGCSRLTSLRPVDASGSSTEVSMDAGAVGRGVEQATGSLFLSGFSFLCTWWGAL ELDESCLVLERDCVHSGSTTALYEESNGWSLRDDFVGHFEDFMGSNMVLRPKRQFAAA FMPNGGILSWGRSDRSEAKGIDDRSASASLAKGRPHPDATSSGGFSSSSPLFNRGCGN TECGDGIDGNTIGLTGVAGVFSHGPDSQSVQGRIFLTNSALDLSVVPKGVSKERRMLL PSLLAPENILHTKKYTMNDVCLYDDKPHSALCKNAHITRNAKALRGVSLTFRTLSHIV KGALVVQTPLLCARKLLIPALAQSVETMQAQRQPFWAGVVVCCVVLPSILSEEPAFPD IAKHLIHPVECYRCVSVVSHVFFIMDQPVKFREVELVMSAIETAYCNATLNQQLHMAS RSSPINAYGDSGSGGPGTVGAKDKDLSPALLPTDTCAICGLSLLPGGQGHGSGGAEGG ATPPPSSKRNGCLILRCIYCGHGGHMSHIMAWWNDQSVHRCPRGCNCVCVY XP_843783.1 MAETRKEEWSEPEVPGGRSEVVAGIKRRREKLELYQSASHKMKA LMMNSAGIRSGLGANNELPVNPIWMKFPTRCVKPIHSVEEMREKLHYFSHSDDVMVVR YHQENCTACNALDKTFEFLCHQSADRYPNLKFYEVSKDATPQLTRNLVRYPQVKGFSG GHWTDLEFKPPAEFREELYSGVEREVTRRKREGQPVTALEAEEMYYSSAGPAMAQVLE ESVVKFYCKAQARLHNYWKQVSVRRAWFFRKFVEPKVDDQVRDEWRLKSVFGEKLSYG PEPQMEEF XP_843784.1 MSKSLPPLESSSRGGEAARVGVYASHGPAATNALCVVTPRSTVN SNLRPQSAQRFLETEFTLGPLQDQSLPPYNDLEDPYLAPYWARREMLIRETAERREEM RRQKRLEQHRREVARRRFEERRQRELEELACRTGFATQRRVEAEELTSPKETTSGRKE VAKKRQRTVSSRATGSKKRAVATVPKPPKQKRPDTVGQRGGRPGACSSQGKGKTSSPP KNILLKTIRETLNSDSSRPATPQKGKGAASPVGGRKSGGGLTESSKHGAVTEDEAPIS SSVAEDSATGKGSTSSVAQPSEAKRESRPSTASPQPASTEQSTSKEILKDGGNSRPAS AARPSSASASASQKAMQQDTTDAEDGKDTQSDRSRPSSRKSERSGREGREKTDDEPTA DTTKNDYGDEFEASESGKKDPDTEDQKDTESERSRSSSKKPEELTKDDADKTDDEPTA DTTKNDYGDEFEASESGKKDPDTEDQKDTESERSRSSSKKPEDDEQKDEYTEELDKSQ TSEKKDTEAEDQKDTESERSRSSSKKPEDDEQKDEYTEELDKSQTSEKKDTEAEDQKD TESERSRSSSKKPEDDEQKDEYTEELDKSQTSEKKDTESEQSRSSSKKPEDDEQKDEY TEELDKSQTSEKKDTEAEDQKDTESERSRSSSKKPEDDEQKDEYTEELDKSQTSEKKD TEAEDQKDTESERSRSSSKKPEDDEQKDEYTEELDKSQTSEKKDTESEQSRSSSKKSE SSAKLEGDKFEYGDELERSPSSEKGEAVQQVVEDEHVNDQDVDELDSDAVKSEAVDEA SGADAAKDSADGASSKESSSKRTSRSSSSVSKGRKNASSSSSSIGSEELSGNDVARAS GTGEDEIEDEA XP_843785.1 MSMTESSTRRALGSSGVWMNNSYSGANHPKFDTSLGTGSPKIDI STSQTPIRNGASSTTSVAATMSQQLRSPMVTVHQPYRSIPPSVFGVESELERYTRNPA ETAVPVTSVYKSVVSRTPDSMARCGYIPSQCSSQRSPIAVLDGGDIGHAGDMCGGDVT HSATPHDTAVAGGGTTNGSSHIVSTRVLNNNGNKRMATIVHLGNDADCAGPGVYNASE PLQCPIALRMVEAEEKHLNYSGVELDPADLNRGDTTERARRLQQRLRQVQYGKETAGY ENYIRAVPSRCDREFRNPMHPMTPRPEYDCSKRTFDRYLNVWRRQLHLWDDYDADNLA PQYTRIGIPTLGRLGLERVQNAADEQSCGFLSFTPTTAHGVVGTMAGTVACTPVVTRN QRRDVSVSMPSASHQRPGPYAVGGLSAVGGPHGPSMGMPNVCSSNVCGGGGGTCSIPN TPHTPYYGSTHSNSSHMPPFLSVLAQPTIYSPHWADSSRQGSPIQHGAQRSVGYSYNS TSGCSAPGNGCGTYMDNTNHCWNNVYAGGYHSPYGGHRATPHSSPNHHHYSYYNGVGV TNLAPPSPMCVGHEGWGNSLRQQRQ XP_843786.1 MTNNKKSQQEIERLLRRTQEEINSYDSVYTKFNNSTSPQREKLE AELRREARKLQRSYDLIKATCPPSDSKDSKGSVEPWMQKLHERIETFKMCEQAMKTKG SGKDGLGTGALSEAQQEGVKDVEAWLKNTTDSLRKQVQLSESDAERSGRGNHGRNKNS VSATTSTRTQKLKFHVARLELLLKGIANGDVNPESVYGIKERVEAIKRGDTNGSDDDD NNEDEDLYAQFGFDEQLVSERRRGGSTVGEDEDAASPNSRNPNNKLSPVRMGGTKSNT PSSSAKMSGPTSSDARKGPGSATANRMDGAKRLGSGSPSPHKGNTSITSTVGGRDGLH DNWDENADFPEDDMDKMNDDTFGDDAMAMVGTGSLADMARATSTGSRLGDWEKGRPAS LVSPPSTTSKKSNLEQPAAAAPSQASGMASMSPSSANTAATGETRQLSAAPASHVSPA PVSTAHDDFLDRNTMLQLIDMSLANLPHTQDVDRQRPFEPSNPTVHVPFFPQQVLPAL ASPEIYRTFELETLFFIFYYHQNTYQQYCAAGQIKERSFRYHTQLNTWFKRNGPPKES LEGSERGSFQFFNFEEGWNLEEKEDFVFDYKYLENELR XP_843787.1 MLFDLAPHIDPKVLQRVAREKGYTLPVSEDSSEQRRQDASADLH AGLPLRFLATPEDVKRAYLPPPEGFEEAYVKKTDGGGLPEKRTTQPVARKRVRSGEGP AQVGVSDSATPAVISAAGATEVNTNVSTSVAANTLQANFDPSFERTNYLGRSFLEPPP SLQPRSHECRLPRQMKGSCDKHAAGIQQLQWLPPVGHLLFAADLKGEVRLYETFSSRR QCIASFVAHSQPVKSLEVTPDGETMSTGSVDGTVAMWDVEHGECRGVLTNSERLPCVQ HLHHPLDPTSLILVALDRKVVLYDVRVSYQKYQREYTGHMGTIFNLSLLSGGKKLLTT AEDKTLRTWDFRVPVQIKQIADVSMHAITHVVRHPTEDMLVAQSLNNQALVFSDGGGG QVKLLRHRVFSGHTISGTRCQLAFSPDGRYLSSGDINGKLFIWSWATGELLRSFSAHT QTLVSHRWHPVEASRVVTSAWDGAIKTWA XP_843788.1 MERTRINFSPLRVSSSPARRILSDIVFFSGGRGGSAVFRPVLLM AQRSAGVNLLSPLAKLGQSRDLAADIKAGRHRNNKEVIASFEKIQTFDEIHYRKSDRP WELVPRYAKKRVSDLCGLLSSEDRMEIEQAIDKMQSLCEVDMYVVLVPTVGYTTPRAF ANSILFDWGIGEPRGNGLLLLIAQSEASVQLVTSPAIEEYFAEHFLQAAVKEIFQPLV REGKASYAVVQLVYAIARQAQEMHTLWNRGFLALPTRNKVRFAAKTAAYGVTSVPYLL IGIIFFGLCSTVLVNQIIDTMCPTCYGSMHRVRDDATLQSIMTRGQYLEFSNGCAHYR VWKCPRCADSSRVTLTSRDLHQSTRCLQCMDCNYYTCTLTKEVQKLPTKEEDGLKQLL YTCENCRIGREVLLPLLRPIDTTSEANWYDFLIDRASTHKKTGIKL XP_843789.1 MAALLPLVLSASLVVVAVVLSILACTVVAGSNVLPLFSLLLSFI TPLPFLFFGRSESTFDDDGEINGFVVFLSGALAVSAPSLSIVLYHTGYSSLGAFLLSL GSQVTLLGAAAFLQSGERQDEEGNYDL XP_843790.1 MEGPPPVCFRQCSFTYGAEPSTLHISQQCFSDYVWILVTEDDTC VPGVVLRFDPHDAGPCVGCESLNNLPSLPCEYLLGVRDHALTNILSSAIAHAIQSVGE QRPLLLCVSAAKTAKRLQTTEERMSFVRMVREKVVALAKGETC XP_843791.1 MSAEGLSRFFTENLTEEGRSDLNQWEAKTLEFAQRVNRDGCEGV AFITSGGTAVPLEVHAVRFVTNFSSGGRGAVLVEELLARGWACILLRSKTSQLPFRRL VDNMTTEQFFAELNAPQKSCDVSAAIEAYTKYKSRFLEIPYYTVVEYLYLFSLLTGTL SHRAECLRQTPMMLLAAAAVSDYYIPESRLSHHKMSGGDGLTIQFNNVPKILDLISEE WHGSSRAAPRYLITFKLETEEEQLKAKALRNLGLYRCDAVVANMLQNYRERVLVFWNG EEQNPTLLHRSPNGSVESLMIDHVLQRIKNHAKGCGMQYKLEGRRR XP_843792.1 MSTGAELLSKALQLQHTRCETYRLWDAKFHCALGGALSASDFET AVNNSIIAAFRCVSLSFRELQCLCRDSTDDACRFLCVWIDELQELEQRHYNISVQFAQ LVVQHCTALPLHSSTSQTDMCQTAANEEAENSGVENGSKEVQGTADVSENEKSTVANG ERNNSSEVICASLHDRQLCELQRIIPLQAQSVLNYVTCRDDFFPSSSDDECEGDQTGG DGCTSNGADSEPGDLLVPFHHKSGVVATYSPGEVKKRCATFNAAVMPLWRSKLRLRQQ LQEKMDELQEEITSASSVFP XP_843793.1 MASVGPVKTKTVTLKELTPPIPSPEKSACKGAKPDSNHMALVPV KPSQPGSGKLITRTRLQRDSLISQQQRDALVVSSFGIGTTRHGQPDNTTSSIKDGFST AAGGVNCASVVVAQSEKDSVRFHLCVDALAEGCVNTFIHLFHLSHRDPVCVDQLAQTL FTIPDEKLVWVKSQLAAVEVLRRQSEFRDVCERCQLLADYFESERDCDEAAWHYDVAL RIAMESLDRPLEQEVRLSFGAFFERHKQLRKAIALFEEVYHLAMALNDVETAVEANYR LIRTYLSLSAELKDTNPKEAISFLERALDMSQRVKSSKDEADSLHALGNIYESMGDFR RALEYQKRFFEVARAANLVEREKRASLCVASMQERMNMTDEAVHSLQCALELSEKAAD IEGVYRATMQLGQAYDSSGDHEKALMSYRANFGAARKLNNSDLTDQARVALGFALGEH YLKHAGGGRGYVPIVCDDVKAQLEWMSNGIL XP_843794.1 MDEKPRLIEHTSACRGTADVLILSGFPWYVTEGQVRKYLTEIHP SNIAPLTVRLYTNPANGSSRGICFVEYCPKQSNTGGASQGIKNEFPERKQKGEAEDIA ALMKLRIEATAYERHYIRVLLYRLTNRNWDRGGRLPDLPTDPPPLATSRGGVLEGYGD EGFTVRCSALLGLANTVTPNGIASMQTLRKRFREEAAKAAMPNKSDEGYPGSSAARQ XP_843795.1 MFFFLDVESVAFLRSPFFLFLLGCSQALMDTLAFRVVRPMNGLT ELALLKHFGRHYEHFTPFNSKLIQRYALLNYVDHRAMLELLTQKARRPLAPQSFGGLR QTLQAVQRFPLELNNEKKKFETVFLASVLETPMHSLSSAEYGALWSLATQVTEWKDVL ALSDTFPMQSTLQHQSRSEFRALLDQMFTTLPSTISPSNTPAVGETTSEKEWEREMSR PFRLLTELIGGECAVGRILDDIAQSVASWSDREAAVPTFVGVVHTLNRWPDGQIPDEK AWDQLILRVSTLQTSDLNQLLRLLTRVHSPIVPSYVRDSLNDALCADLWRCYLEQPNE PVAAFFESDELRMKRSPENSAVVENDGSDYSNNAGDHVDESVAPVAEPPLLQWEMLRR LHVCTLEKQLTKEGPELALPTLINLFISSAFLEVHSELLTAILSALKASLPSSSGQSR YMTPLLASSLAVGLYRVEKIMEQPGSDSSVASRVRKDVGAIERIIVPLLQYESLRDYG CARCVMRLLCDCNHWCEERGLKEGCLKVLHDHAMDGFTKAHLEKLLGQSSSGLLELPE DVRDVISHCIADLPSR XP_843796.1 MSNIKVAVRCRPLFESERPAAGLSFVNGRRVMLDNKSYDPDYIF PPTATQDDVYAICEPILQSVKDGLHGTVMVYGQTGTGKTFTMLGGAGGSSGIAHRMIG SMLEHVQQRTVEGAQCALTLSMIEIYNEKLIDMLSKDGETEVTLVGGFPVSNEKLTLS RVADAFAAIQKGLSRRHIASTLMNERSSRSHVVIIVDYEEFNEYTNSVDVTHLFMVDL AGSESLKKSHASGAAAGETGKINKSLLALKNVFLALSNSNDTTRPNHVPYRDSKLTEI LRDSIGGSARTLMIACISSVGRDIEETKSTLMYAVKARSIRNQANTEREKLMIRLRSF EAENQRLRNRLQERVAERGGYYISREDHERYQQLEEEYTELKSNVDTLLKERRENEGL QHITDSQTSVLKAMIEEKEEELVRFKQVYHEALLKFDSQVGTLQLVVQDAVRDAHNTA KRGTEAVFQRLEQWRLDTLMGQVEEGDDECRDEGSKGNAEEGSEGRKRGSTFGVSTRQ YEDDMARCVVRINENFASLGQNIVAMTQKHVAAVHSLQKRRRASLEGVQERLKSQLQE TLSAYVREQMILDDELECEQRLYEDSISMTTKLPTPADVLPFRHALQNACRAAVESSK DIFTDLEMNDEVAGSLQQINEALRVSATGASLGAFLQIGNMPPLRPSTSSQSSNAVCA AASASASASLRNDTSTTLPDTQTRRLSMKDKNQSVVSSRGGAVKRLRSSSNVSDSRRA SAPSEK XP_843797.1 MPQTVDQVASSAQNNEGMIVRCHVFVRGRVQGVFFRKHTQKAAE EFGVRGWVRNLPDGRVELMAEGPKQQVANLVKWCNEGSPKSRVEGVDSTTEAEGIEYS FDTFEILR XP_843798.1 MNTSACFTGSSGQSIPGMPQREEGRRRARVVFNDTSPPLVPAMM THHCSGCSTNDKTIQRSGADGQFASQSVSWGFGSETSKSTRVSATPFTPNGDSESNIT VVIRVRPPLPRELKENSRYTDVVRVSKDRSSITLCEPVDLSDNSDDNKASGCFAEVYA TQTFTFDYVHDRYDLQRDVYERSARSAVLSVVEGYNATLLAYGQTGAGKTYTMEGFAG EEQHGIIARAVEEIFSIMDDSRCGNVDYTIRASYMQIYNEVISDLLKPPGEEAPRSLV VRHTTRSGVHVDGLSEWNVCSPRDVYYLMERGTSRRATEATRMSELSSRSHAIFILTV ETVKKDPVTGSVSSHRVGKLNIVDLAGSEKVRQSGVSGQRLEEARCINKSLHELGNVI SALAQRKSCGKDNKDGGASRSSHVPFRNSVLTSVLRDSLGGNCKTTLIVCISPALESH AETLSTLLFANRAKNIRNTAVINEDTQHERQRSLPGQQDAMQLQSQQGLDVGLLSELQ QSVRRAEEEREEVVEALQRSLCAHQHEQAVRMQLQRRLEELEAILRDSRGNEAGNGGC EEHVDPEEYMLGLEEIHHQWQVLQEEAMLSRYNELLVKHRDIVVDLTSKLSERDEIIR NLKEELSTRESSEGLTESANDSVPPLVSPGEGVGKSSTALSREEAENCLRRLRVPKPR RDGSGTDMWYRSDTNPSVLLPPDEKILELLTFNHTKIQEVVAPQLDTESRREAAETYV TGGLKDQLAKVVQEAVERIAHQQVSSYFGKLSHAVKDKELQLLALRKDYSTLGRLMDA SRAQQLPEALEAISYTRRYFDGQQETVRKAYEGSIEMLRRQLAEKEEKLLHLSGEIDV MRVESQKALEYCTSALQREELSEVCVALKLLQDHVAGEAKRSVERAVECAADACKGET HVGASSNPDTGFKGVELNPASSPEERFASATAVQSLEAQLLDLRSAHSKREEEWRRTL QERDNEISELLTRLNDAKGEDVRGKDAEISFLKRSVEMQKKDRRALQTIMEQRIKPKV DSICKSLEEGIHQQRGEECKRLCTEAQALQGLVSAAVKAMQS XP_843799.1 MRRVAVLLAWPSAPFVSGGSGFGGSSGGSSMTKFAHRAAALKRK YRGRGQYDFARREAERFLETEVPQNEINVWIEQGNNAVALAQLLSFFEIEPDTSLQAL ITNKLVRTLDDKNHDGVTRLLAAVALTQRGDQYLERTFATVDRVLNEISQEGGSSNTD YHDFWNLIECAAKVLQRGGKHAPSTLSETLAQVAVTRLQNTPTTDMPRMQPPLLRVYS WLVRTGKVAEASHFLVALLDHSGEFHSYDFSTLMNSCLRHHQLLPFPPQLQQRLARAG LMYSTTANGRDVASIIGSVAKMVGSLQPGRNGLTQHDVCELGGRLSTLLEEYEPRVMS FFDCNDKLHWSHCDDMTTIAFAYEMGGRLRYRNVFLAYQAYVGRHVGRFEPQQLAMAA GILRRSSLLSQELAVRLGERIETVLGEFSLSEISHICATFAPMAPSWMPEAKAVASRL LQPECSSHTKLLLALAFPDDEALHAQVNLSDVSARQLVDALSLVQGTKFDGALVAELV ARLQAAQERFSPDEIRMLQASGRPELHSACVAFLSARFAEAEWTSDTLYCLPLAANLI PHARDTAKALEAAKTVSVSPEQFVSLVELMTGVFGGGSGDAICHFVVSGGQELLGAER IRMGTVLRYLEAVRPLAKMHPGPEWLARFREKFHERLCNINAKEMENLLCSLCTVYGS VTQQPLLEQILTELVNGPYGRLTQPDETTARITVLLAQAQQGALQTPLTQSSPMVKSV RSNADAYEPQLREAVRNIALPQEAEQKRVGRFTLKMTKALGEAPPVNELTVSQDLNES LSMDPFSNATIGMPGKTESKSSAGSDALVVAPPFAMNAGHTLTSSTEIGGASGSGAAP QTGLASNTNESSADAAGSTNRARGSNVHQQQQQQHQQQNEPDRYRTDKPAAAEGNPTG FNSTLWSMFKNPLSSAEDPQRSQHFGTSASASASTSSPVTLRSPETRTASPFMGLLNH NNPPTTMFASPPPEKHRPAAVPERSYSQQLEQKCHEEGQPRRTKIPLSDVRSAALKDN RSSGGTGQYSKQEYPKYELGNWGASPPSNTSWLSSASMWGAPEESPVVPQSNMEGLGG TWPSMKPQPTQAFGAPSSSNIFGLSTDQQQRDPYYYNQFHNQQQQYNQQANQPHQSQQ FRQPQQFLYEQQQQQQQQQQQQQQQRHIPFHQPQQTQRPQHQQLQYHHQQQQQQQPPQ WQQQQHQRFPQEQQHYFQQQQQAPQQQLFHHEHSGQQQKYNRQQREHQPGLIPGATSF GASAMQVLRPANTGLQRSNTPVVGGMLYSDQTSSSRARPVITKVLLKSTQLLPGAPQN DDDNPPFAKDLSGTNIGFQSPKKFIERSPRKEEEQEDDDGEEEEEGSEDTDEEAEEPP KKTRGARAEVGGKKANRAGKIRNDLKEWLDSPPEKELEPMRATRKKRAAAAATAVVKG KAKDTGKRGKSKDPAAGTRGAATAKGKAANKKTVAAKNSKAAVTATEKAKTAGKQAAV TAAEKPKGAGKQVAAAKNAKATAAATEKAKGANKQTAKTTKAKKPVAKPTAKKQPAEP AASKKKPGRKPTEKAKAGKR XP_843800.1 MENIRRTNRKLGVGARGVVYEGYDEEKGCFVAVKEIPLYDGGVV APEGGDGGDAFPQEPPVISDDMRAILQEIHLMERVQHPNLVTYYGARRSAVGVEIIME YVNGGSLDGIIRRQGSLRENVVRSYARDLLEGLAYLHDTARICHRDIKPANVLITADG RCKLTDFGVSKLIDDTVGMHTTVGTPWYMAPEVVDSDRNVPNDTDDSPDAGGGDFDYR SNNDNKGESSYTTAADVWSLGVTVYEMISGRKPFGGNMKNPAAVLFAIVSNNSGSPRL PVNCEGSPLLQDFLDLCFVRDPALRPSARELLSHRWLVDIPSPNKMKRAKGSLSLSGA NACSNSRSGSGPGSLERQSGTADPKFGMMDMADLFSYVTELEGLSQPMSPLVTKSKKR RENSNGVADRGKDIQGGFFASDGHFVDLAMPRLLSSRSSKDPE XP_843801.1 MGMRRSRSAEQTARALLSSAVRYDTWECNTAAEGATDGVLTLQV NWDPLPSEPPFQDVVALRMTLFDVATSHFVGATYDVPRGDEREPVLFQGRPNDVVLAV EILIPAPLPDAVLRRQALFWGYVELSAMASSTSVKLYRGSAHVLTLGRKAWPASEGEE HTITFSAVIIEDDTTRQLLTRLVPTGVMVPRSFVDDCPQASTDIFRCVLQNIYIVPTA DDDEWRDSSAEWRIAVVAHNGYRQLGQGAEVSLVFRDGSKPSNVSEFSDSLSLSLSSL PVKSEQIELKEQLLHSATPLEIDGLPVHSATSLVLAIRRKREIDDDFRVLGFCVFPLC VMPMKDCDVRIENLPTLQGPFSCRDQRMLMLEPTSPYGKLPVVVTLVVGYYDTGVVDT VADVSRPGVRGEGRLGRRRGRLGDEGDGVSAAEGEGKTTLLSITEGAKLIPTPFDMRR RQMEILSTADALAPVAAADKRHSAASFPLLDYADDGGAVGAVLEGRSTDVFKMLCNIM EETRRLRQMQEQASRQHRQRAVEAKRVSESGKQLDDATDSITVLNFTPTAVSISWETR RKLEEGLQPILHPLHAVPIDEHTSASCTNAGSMYGIRFEGLTVDESIPIPKDICFMFS FGSLPFQTIGPIRAVALDKSLHVSTFKLYEGKQRGGMIWYEPFEVANNPFLHKHKQRD NAILYVHIYNALTMFYVCSANVRLSEFRRPYNAESARIPKDLVVYRDLSFTEIQVPSK VLPVVRDAGSLHVTLFCICTGQPLPKQSENRVVEPLKVSRVVVARKLPHTNLMEAKHH PQQEATRQGLMTTANDAASEQQAVHSGPETGGVTGVPYPGAQPLVGSTTGLKQHGPTA GVDFSTASSVPDNDMHWRRAQYIKQLYANGIQSSKVHLGARPQDADCEFKLRYLEKQR DELKSKKIGEALMERLTVHHYISVASFRPEQVSVTFQNPFAQGLQFTVEVDPAATDAL DVLSCPTVHLGPREHADILFVVRLNQQRNTYAMDSRSASVDVEGEQVNLRAFVYTPTH EAVRIIDVHANVGPPCVDRRYEVYGPSGSRVTKKIFSRFFSSSAFSVSSERDKLLSTM RDACLHVSIASDETTVEPNVVLDPITQSSVTVWEEVTIHTTIPRDCNEQRVEYLTLFE DEKLSKALETWELSIFASQTVTTRELMFGQTTAVALPSEGVEAIYCSDPRMRVEQREG LYMMHLRPHEVGTQHLLLHSLTSNHLAKTLVTVPTVYPTPTYTQTIELSVEEANAPIL RRLHFVNVCLSEDIFTVHHNYKYQLHVQPKTFVLAPQDTQVITLHMSMLRLPEGQLEG RWPMWIFINNSADKTVESYLLHVVLRARHVLD XP_843802.1 MSLFAKKKWRVQDIIRTEEKKYLASVLKVTHPFRKQQIVIVPAP RYALDSYYSEWVYQPYAKEHKLYVSNDIFNPTHVYLARILLRRNIFPGYAYFHPMGFP DCIDLNLTRREFISREQPIRTPMLLLLLTPNMYRERFHSWVGRRVLNIVGERYVTHPS EDNRLLMFILPPAYVPDAVNVLQSLGFNVTDHTTAVVGDTDTVDKLNKWGDMAQVVAL AYIWFMFVLLVVNESHRMEKMFEEYKRELAEKAGRDPTELGL XP_843803.1 MSTNSAKILSRVREAMKLHSINALIVPSSDPHNSEYVMDSYKCR GFLTNFNGSAGTCLITMEEAYLWTDGRYWLEAGHCLYPEWQLMRDGHPGVPSLEDFVR LNLQPDLLVGMNDNLATVAEWERRRKAINLVPIPEIVRPLMPQNSDAKAEMLSIRPEQ FCGQTREEKVMALVEELKGQKCEAMILSALDEVAWLTNLRGSDVPYNPVFYSYALVRS APDPTVALFVDSAKVSSPVEAELTQSGRSVVSVSLHPYEALEDYVRALPAGTAFLVDE YQTSQRLYSLLESCKMKVNRVKCGPAQRLKAVKNAVEIEGFRRCHVRDGVALTRYLAW LHDMIVVKGDTTITECSGADVVEGFRREQEHFVQLSFPTISSVGPNGAVVHYTPPKEG SATIVPDQLYLVDSGAQYLDGTTDVTRTVCFNPPSDEERQAYTLVLKGHLALHNAVWP TGTTGHRLDALARVHLWRYGLDYAHGTGHGVGSFLNVHEGPQGIGYRPTPTEATLAAG MIMSNEPGYYKAGKYGIRIENLEVIVRAPTRHSQEGFLTFEALTMVPLCRDLIDVSML TADEVRLVNDYHRTVRDALTPHLRRVNDSCALAYVERHTAELCALEGGC XP_843804.1 MTTITSQLLLQQNDTCNRAVIKFLHDNEKFKLLCVSEGTSIIAR DKEDSVKLEKGIEIAVERGTLRKSRDPPPAPRRRIDMSAKTTQDAVNEIMVELPDKEG KIIIVQGLSGTGKGTTVRLLQQTLPRCVTWSNGNVFRSYTHLLLEELKGDLSPELLTS EVIQRVYSQVTFEDLGNGVYDIVLKGKLQVRNIENTLLKSPAVNNAVPTVAQQMQGEV VCFAATAVEKLRCAGYNVILEGRSQTLDFIPTPLRFELVLGDPVVLGERRAAQRVMAA ALEELKDRIDTATTADVETSLRRAVILLTGGL XP_843805.1 MNHDAMSFFQNISARLSTVRNCTKKPHCRREEEAQPQQPIALPK QSSTPPPLISSVAPPTQSVVNEKAASTPVVLPSRVQPTVSARWSGPEAEVPESAARPR RNRTPCPPVKHRGEKSEEELRQVSSIIMTQAQEPASKSVRTGAAASLVEAYRCVNSIR DTGEPPGERTDDVTNINGSGDNDDGGNTKQARAKLYENAVSEQVRRIQKMPKNTSPVN AKNHASLLPRQLPRFRDKITLILDLDETLVHSSLTSQSRHHDLVLDVRMENTSTTVYV AFRPFMREFLQAVAPLFEVIIFTASVSVYCNQLMDAIDTDNILGSLRLYREHCSILNG AYVKDLSLLGRDLDRVAIIDNSPVAYLFQQRNAIPIPSWFDDPGDNELQQLIPMLEIL AAESEVYTVLDQYNAVLHLQQEQARQNSPHY XP_843806.1 MSAIYSLLFDSSSTLGVIIVSVVPWFVVLLYYLYYRIKRIGEVP PEVVAPLAHFLEVLTDGATAGYDKELMNLFHPELLTVRVERGVVRAMVRWVCDRLGKV TNIMRDAVLVKDDGDEAHIIALVDFEKVQQVKCRMSWKWRHTTGAAPRNQSSAKTEVS KRFFVTAFRFEPHTEVKSDVLQFLKTDDFIPFAEKFVERLFERPPKTAVEMMVPSLKD KYISNLDKLQGDVRGVCGPLLGGSVDVNCSLIDATVLRGPLSTAKEEGQTEEGVRGIE MSFFVSGVGCRNVNVNLLLVFADLRCYVGRYEVRVVPDTRTQVIVDRDTGEKTFIG XP_843807.1 MFVKKKVVVLLRSNFLKPFPFPSLPASTLPIDFSASLPMQIPPL FFFYFLNREHCLINGLKCSQHLRWRKRGLQGVLFSFSRMHHARGLVRRSSTAILRKLE GLSAPHGVSTCFLDASFIDGSGTRVKLQLLHTAALLQVLSELPKTEALAHVREAKRIS AASLSPSSLTFGTEALFNVEQRAPLFVKRSAAAAVAELASRQSRFLSKVEGRLMAHDL KLVEAFTKELLQKGGKYTDNEIAQYRGVVEELSKLNPAAALAMAMNAELPSLGSAAAT ALARLVRLDKPAAVLSFLEGFAAKDEVAPASETCATQVAIRLRNRLWGLGDATATATS RTSPAPDACATASAVQERTAVRESIVVACRFNIDPSIVFPTDAAAIQRWSCQFLSDTM WPLERAEVITDVICRKRLLPRRVAVDCLSNWCLTDLERPWFSSAFAIGGAGETNTQAE REVSTNVDEAVVYCTALSCALKDPKRNLVDNRLLLQYAKLLSEGELDPSHSSFPHFIE ECGESHSDLERPAEISFLLQLTDRSVMEQLLALAPHCSYWRTLLEKRPECADIGAAPE GHMRVVVRLPPEHECVRAAETMRAGDPLKSPLPIFPVVYEDDDLLVINKPPHVATSRH ALSCSQLCDDKATDIVSLQLTCKKRGDVMRRVFRQGQVHRLDTETSGCLIMAKSDVAA SSLRHQMGTSAAYSQSSKIYLALCAVVESNLTNIPLNGVLRDPADAKITTKYRIVHFY KRFRVALVEARIQQGKKHQIRRHLAAVGLPILQDVEHGGAACCSPLLQRVALHASSVT VVHPRTAEVLTCVAPLPEDMRSAIFRLSCE XP_843808.1 MKFENPVAAFLESRNPARASLKRNRTFVDFSALNSEAETPVADV GASDHDVTSLVGAAEEEDWTNVATVSSSPVKVLLLPDDGEEEEEELRLLLGDRGKVFV RPKDPPVRQESSTDVTSEENDVANVSADVDDKVKQNQVSLGDVLWEKLSNADREELAE RFERHFLWELSKDVELACTYQPFFTSKTMRLIQDGVQSSQFLPPKILSRETTNSPDGY VLRPYQFDGVQFLVNRFHSGMPSVLGDDMGLGKTAQVSAFLNGLKTLYNVGGPHLIVV PLNTVTGWARELSRWAPTLHVVSYNGDGKERAMLRGGRGSKRGVFVTTPAILRRDRGF FCKRSWVVAVVDEAHMLKESTATISHVARRITACFRLAMTGTPIQNSVKEVWSIMMFL YPSFCALYERNGGDTISAANNCAKLLQHVMLRRTKSTTDLGIPPRVDEPVIMVEPTRI QRALLLRMTERALREDGGVFQNHLAHQRVICSHPLALRLLTMEGRDSLTRVEDRLSAC GISLDERSIIAPSGKMIELDRMLREFHMNGHRCLIFSNFTCVLDLLQGLCILRDYSHE RIDGSAQRVERELSMARFNGPASKVFVFLISTMSGGVGITLTGADIVILFDANFNPQM DRQAADRAHRIGQTRTVRVFRLCCKETVEERIQDIALRRLSLGEFVVDGAAVGDDNSA TEIPGSYIQQLFSTSTSGENREEHWSVGSKDHRDGLTEEQQGMKKDDPVVIDSGAGEG VESAQVPFVSPQDQSNAEDDAIVDDILRMEAGGASTMLSAKPIGRVARVTIGVTHECF VCGEGMRPLQPLFHCSWCTKAYHAECANERVLPEGVSAPRNWTCPRHRCDLCEKVATT DGALFMCYECPAAFCFDCLDKDYLDLDNDGVNFLHIHRNYPGMETEGMDVRRNVYYIR CFRCSGVLSSSSTSVSGSTEEDTTSIDGSFSESH XP_843809.1 MGGQSSVTTTPEQIPVNGKSLDWGPPPDDIPEEERDNEELVLQT WAFCKAKPSPAQNYRSDVEERKRRQSMLWRKRASFIELDCGEDSFFVSNTYKVIGVAD GVGGWRDEGVDASHFANSLMENAKHFSETHRKELNPEVILQSAFDKVLHDKVVKAGSS TACVVALQKDSSGEHYLDVANVGDSGVLVVRNRQVQHRVHEKVHGFNAPFQLAVLPPH LQGRAFSDRVSDATREKIPVQRGDVVITGTDGLFDNRFNISLAADAGWIGHVQGSALE RVPLVGLLLGPIFANDKVAYVDPQRVAQRIVQDAYKTSLDESAHTPWASMLRKFGVED AKGGKVDDITLVLSRVTTREELNATSTW XP_843810.1 MTGEPVRIHVGSAHLFKDKSRQLIKCGERNIVVCLYNNQFYAID NACYHHGGPLLEGDIEDMGGHPCIVCPWHQYRITLDTGEGLYWALQMTPSGTIDRNGK QVVRSKGRKQRIHTVTVEHGEVYVTLNTSGPALDSDAYAEMALANKDRPMTKPISNDG IRSKTFHSSLRSGQVLEMLGSSGSQLLPCGFTQGKVVYCARTEHVCDDTKEFFFSSCR GVLDLFPQPGQFVDLELPIRGPSGRFLRRRWTVVETDKEGCLFTLIIKEAKGSRGGST WMLKNGLQQRFPLLLVGGRFTLSHNIRRLLELEGRVVVLSAGIGLTAPYALLKRLFDG SQSPVPTDEGNIAMMQVVHLHVDGKESLLPRCDDLVRWCSHQGDRFMYRFHCYLTKQD PSAMSNHLLLPHTTCGRRPTLWDVEEFMGEFVRTSPPLAFVSGPTEFVTMGKNALLSL GVLESDVVTDDPDNLGP XP_843811.1 MNLETTEHLQRLGQEPERIRNFCVVAHVDHGKTTLSDYLVASNG ILSPQLAGEVRLLDSRPDEQERRITMKASSVVLRHLHEGVEHLLNLVDSPGHIDFSCE VSTAMRLCDGAVVIVDVVDGVTQQSNGILRHAYREGLSMCLVLNKVDLLITVQQLSPE EAYYRMRSIVETCNAALAGFANQLKIQEEDCVAGKERDDPSDDVWFCPTKGNVLFASC HDGWAFSAEFFSRLYEGKLGVPDLQKHLWGEYYLKPQMKGVDTTPRRAGQQTLAVQLM LEPIWKLYSVFLDEKDDGPEKQLSMAAKLGIPEKLWNNPRRGQRGKLKALLSSWMPLA KCVLSTVCSKLDSPVSAQRRRLRFLIPRFDEAPTAVREALMNCSPAPDVPCVVYVCKL VDTQFLVGTTLGREGNDDDAFIGFARVYSGRLRPGMKMYVHSDDKVVEATVGKVFLFR GAGLDEADEVCSGTLCGVGGLTPYIAKYATLSSLEGVPPLNPLVLPSTSIVRASVFPR DPKDLFLLQQGLRLLYKVDPQVEVSILPTGEHVIGTAGEVHMERCLRDLIDTFARVEV MVSESIVSFRETIVATGPSAKPKLHTATTPDGAFAVTLYARCMPQEVLDIIKDDNKNR GTAGHVIQRIGGALNANKRWSKEIEHGVVACGPQKLKFVGVTLLLNFSEHTDSLGVLQ RFNHWKDSIVAGFQAAAESGPMAQEPMFNIAFVITDINVEASTSLTGGMVLPCVRDAC RAAMELHSRRLVEPVYECTVYSSGATQGKIYGSLSRRRSDIIEEVPNEGSDLFYIRCL LPAVEAFGLQDELRVVTQGASTAQLQMSHWNVLDADPYFTPTTREEIEEHGAEVATKN IAEQLLERVRRRKGLHRERVVENAEKQKFSLKGA XP_843812.1 MLRKTPLFAMATTRKALVGNGPTFSTGGECMNTCDIQNAFPMND RGVRSSSPFQEPNTAIYDSYLAWTYFQPMDVHIEKLPAPEAKYYQRHTKKPWDVSSTE LTEIQSRKKYFQTLGYLVAFIYLYFLMPKEKSFSGLSGPDGHWIMLPKGRPELF XP_843813.1 MMEATERRRKRERNERNDERRDDCEVLAKTDEKRTIVEELFQRL QSLDHTHMELEARLCRRAEVSDKSGSTSSEREWKGRRARKTRTEVMPGVAEEDYKRIE EFCLDKGKEGSVTRSTTRDVSFGQWRYTYTSGKPSECIACIRKERLFVLDVPVPSGAY DIRFSACTEITGELPSPNFAPTRGYTRHKDRLSVTDGLFRYDLTRVRDKHTTGYEVEV EFLLKDNDEKKITDSHVEELVGRALSLATLTASEG XP_843814.1 MANAALEENFVKAASLVREGNHIAAIGLYKTISSDEHITVAQKI RAFSNMSACYAAREQFAEALSAAKEALQMDDRNSKVHGRMAAAYHGLKHYEEASRHYA RAYELDPNTILYKEQHQLVLELMRSGRGVASKDTLDSYYYRKGIEQGKEAMTKGEYLS AVRHFSKAIELHTKCASNGESNRGNGGGSVAADMAVLLCNRSAAYARAGRWSESLKDG MRAIEVHPTYARAFFRVGCAQHQLKRPQESCTALRRCLELDPSHGEAKNLMVEVEKLV AEACKTTEEREREREIQVREILERQNAEGATHAVGSRGRAHATSYVLCSYCNDGGHTR EECPLLRRKRSRTS XP_843815.1 MDHTAFTLSYDAIVKLSEEEGPRRNVLEPKFTARSQMQSLRDSV VNAYRESPSFTALSQVCRCGIFIGLNMLETSEEEEGMTELRKAYSVTLSGAKEELLAD VENITPHPIELILQNEAPYIDATHRFAFATEFLRVHNALGLYFSNRDATLRLNDAKQV LHVAEKGYNNWNKWFESLPGSCVMQQVPVKESGGLDRESISTDALAIYTARYEMDTAF TSTLFFLAQVYSASQMTAMASRYCHRTLYSQLLNKMEFSKKDWATNALHLSAFYSSYY DYGKALHCLSAGRFVMPKENPTEETLGVVAWAHGRFYLHRLQHYADVKRGCASRAPLP DELEGWWEDFPLDIPPPEFPPIIETFDDARECFKTATNWFNEALKFYVYDGCCTEHIE IMKDKARLYEVLIHFETGRDRIIAMHQRRVTLLEKFPDDLSFKAYPTLVRQLLFDLGV IHEEVVELRIRQRSDPVEGEKPLSDKSLNALVRVNQSFYRRFCDTWKDPKSGVVPEVL ESESRMPFFRAAMRLAQLQLKFVFKNPREEFENISVAIQMFQKAVDFASANPMEDETE KEVQLSREMIDMLPLKQRDLLRVYNRTA XP_843816.1 MEEDYHEYDDAADDWEVEAQQGEAAEEEALKRKLREQQALLEKE RQRRRARASARTEEEELLPADVARALEDMKSTASSAGEALNVVKDSENAVKLIADMPV NTAAEAEALGVVLADRLLSFSESPHFNSILSVVFRDIAREFKSGNALDTLRTIKDKVS NASKVCEGKMKQTKGDKREQPGKEGASAKGGSSAGDALGLDTIGDEGGAANVPDGDDN FM XP_843817.1 MLSRTVRRLWINQNTKVICQGITGKHGTYHTEQAIAYGTKMVGG VNPKKAGSTYLNLPVFGTVAEAVKNTGADATVIYVPAPHCAAAVEEALVAEVPLVVVI TEGIPQWDMLRVKSMLLSQNKTRLIGPNCPGIIRPEECKIGIMPGHIHKKGCIGVVSR SGTLTYEAVAQTTAVNLGQSLCVGIGGDPFNGTNMIDCVKLYLEDPGTEGIILIGEIG GTAEEEAAEFIKNHPIKKPLVTFIGGATAPPGRRMGHAGAVVSGGQGTAKGKMEALEA AGAVVAHSPAQLGALMAELMKKRAI XP_843818.1 MLLRFVTFALKESVVPSEKVDANWKSIPPNVKRSFELRRAECIA KFGSVRDQFKQSNNYATGFWKNFEEREKVINCVVDAVNDYAKELAALLYHYPVLADGY YYCWTPCLSPQETIYFDDFRYDLQNMYFNVGVILVNVSERLVCWQSAHGHASALQKEC YHYLLQAAGYFCLVKDISDDMELYVVGDVRLARPGDVSASVLEFCHLVAIGQAQEIGS ARSTENGQSEGKMLTVGLLHQTFKIYEEAHKVGLNCVAVDHNIFNEVLTFVKLKVDVF KSLTYSYASSGLFDSNPAAGLWLASQSDLLAANAITEKRASGLQKKKLPFRGDELVDD CRIFVQRNIERCRKINSLVHRTNATEDSFELPPPTVLAQKKDIRLPAAFPHQTVARPP VKISIGV XP_843819.1 MTVKRETESILAIADEVIASIEGYPRKDKLQQPSIEGKPVVAPP SSFSKSIQTESKIFSKGVQHSIYLKDVGIQCAQQPTNRDTQTECFTFSSSTQTEPDTT LVSVRRGIKDVTKNFAEKSEYMERLLECLAVRLDRCRTNVHKVATQLADLAASRRILE QGLNDIRVGNSITRLIQQETEVRNFLWDEEHVSRNEVVMLALSEMRGYYVKHTSPLLE NRCECVPNTDDPSLVQKCVSGATTLPTSDCTDVDEYLQYNPVSNLVSEQGEYFKDMEM LLSECLAVLGKENIAHLSSACL XP_843820.1 MRRGALSLLKAGLLGHYQQEAFEARKRFEESTTYPGPIRAATPG DTRFYSGSLESILHDTDRHYWRAVTDDPRVQHLIPLRIRFKIFTWVTSGWEQRMQVVQ IMAPKDSTIAQVKDLVLVENQSPYLCVSSFHLAIDGKELDPQKTLGEYGVTEQSQIDA IEQNDHLLHRDDERPRDWTVDEITAEDVKRSPYKEMEMQPLQNLAPRYEARPKGYFGR TYYSGMKQSS XP_843821.1 MSAIEQANFRLQAGSELVVVVPYSTERCSATLKVVSGAGGLEPR VDVLGAPVIVDVSYNLPPGITFTVFTWSNANIRIEGSKQLVQNCFRSTTHPFARSIVE YHCLIHNARLLADKQGLFGPMVLICGQNDTEKHAISRTLSSYAARTGWAPQFVDLDCG MGQLLSTPGTVAACVSECPMTLDEDTSTGPLSVAFFVGSTEPQVKGVSGEWNMFAPYV HYCRLLLSCVSERIARHKGGAGGSSGAIIVLPELRGSNGLLFVVDIIRQFNISHILCV GDDFLFCGLHERIPRLREHMASRVGGDIRLDKLSGSPHFPSPDTRTERLSSIMERYFF GGGAVDLQPSEINRRYASIEILLLKEANGQAVVSPVEQDALEGVVGCVGSLFESSAIH EKGALSLAPFALARVQGIDANGVSLLVSTHSAIPERLTMIVGAFRWVTS XP_843822.1 MNPLCEIIKLSSLRDAMVEELTKIIKGPKSTESDTKVIYASPNI HSTLGTIFPTEETLHSGLGVLGIFPLGVEADIVEADRGVFLVNADIESLRKLEGTLLK FAETNPNAPLHTLFVPKKTVMIEEIMENSFQSLLSNPKLQIEEFDWDAFPLDDDVVSL ELPLSFRQLVGDGDPTVLFLCARMVLKLQTSFFGSIPIVRGRGAHAAKVVHMLKRMRS EIGSDSFVAVAPKIESLLILDRSVDLLTPSLTQMTYEGIIDEFFSISSGGVTLSFDVG EGSNLCTGQRIHLSNDDKVFKEIRDKNYTHVGSVLHNKSVWIKQCYDKRKELQQLKEL KDFMKGLPEMQEMHRLIGVHTAIATEIGKRTQTIDFRRRIVIEHYILQQTNEREVFEY IEELANDSAPMEDVLRLLSLYSVINGGLKDKFYDHFKRVLLLSYGIPFAMATLMCLER CGLITKYDTKHSNYSSLQKQFKLWTSELQNQQQNDLALPYGGYVPLSVRLLEKVITHP ESWSTPGSMGESSPDEKVEIRYNEETPEGPPVTMFLLIGGITRAEISAIRLLQSKLAD AGNPRRIVVATTSIVNGATVITSALPYPLEE XP_843823.1 MSGPPKQCYYELLQVDRKASSEEIRQAYKKQALIHHPDKNYSNE QSTIEKFKDIQNAYAVLSDPDERAWYDAHRESILNGEDADSSQHEVNLYCYFTSRCFD GFDDNEGGFYSVYRKVFDQLIEDESEYSSNAKTWPRFGDSATSWSSVSKFYSHWRNFS SCKTFAWKDEYKVNEIPDRASRRMAERINQKLRTSAKKEYVQIVQGLTRFVHRRDPRV AAELTRQEEERRLKEEEREKRELEWAKNRREANEKLWAEAAEKEAEEERARIERGEAP DSCTLDLLYEKQRQCEEARKVKGGTNCGFAMLEDEHEDNLPEKKRSCPACKKQFKTDA QYKEHVNSSKHKAKLRQLSAKGVDIETLMNEEKDISTCV XP_843824.1 MLHTVSELAMRRCLCLRGFRPQVAVSALGIDLRSCGVRFCASKP PGIHLTQRTSEGPVSDDPRRGAVRNNIEELVKNLSEEDQRLILSALQDPEAQPSSKMG GPGIGTKTGDMVAAFTCGQCEHRMVKRFSKHAYTKGIVIVQCPSCEVRHLLADNLGWF VDGAKNVEEMLREKGDSFIRVGNDYQVEPTSVGTERDGNNN XP_843825.1 MSYEIQPILKGTKRDPATRKYNRAAGKGPFGAFPPGYAPKQEKP SIPIEGPVAVQGVRFAYKGTVQRTGGTTTSLYKGRQQHESAVAFTTNGAGDSKPPKAG AFKRRLIPPTEFRRYYDRGDLPLSVAHGNRPTIDWKVDVERLDYHHYLPIFFDGIRET EEPYMFLARQGCLDLLKRGGPKILPTIPQLIIPIKTALNTRHPEIICATLRILQQLIV SGDLIGEALVPYYRQILPMFNLFKSRHKNRARGDAIDFGQRKRDDVGDLVIETLQLLE VHGGDDAYINIKYMVPTYESCIFS XP_843826.1 MEDYYYYEDDYGDEEGESQDSALGDAYSTAKSLMGTNPLQCVAG LLAVERDDSSGGKWTFKSLKALVKVSRQMGAYEDMLRYYERVCTFNHKDVDKGSLQKA MTKFIDESQRVPVDYLGRALRTTAEVTSRDLKAYSRLWFNAKVKYATLLLDANDVGSV ISEIEPVLAWCKEEDPLGFKKGSQLFFCYALLLQAYTVGRDWKKVRDMFFSTISIVNT IAPSRVVGSVLECGGKMYVCYRDWQSAFQTFSAAFRYYNEGGDPRRINCLKYLVLTCL LSGSDVDLFAAPETRAYEEVAEIVPFSGLVKAYRRNDVQRFLSTADLVRQCFTAEPAV LVCLDLLLDNLRLRALVAFASPYERLSMQRLQSVLLVDKDEVDRLCLRAVSEGVLRAK LDNENGVVIMNGESEVGPETERLLAFDRWVNALHEFNKRSYKDIERNL XP_843827.1 MKSRQPTKNCINMHDIVAQPLDAVVGAINATLRRPEELDDAEVR SIFEETSFILLRAEEALMASEEAAFRALFTLLNKGFAHSAESFGKGVEVFSSQVMLLE VLESIFHRAVKLQVFPLQGEAVAALLYTVGNLCDGDGTKDSCGRLFIGGLANILVHMY SQDVEEAHQHFVTQRAAVGAMIKLMKRSPRNRKLITSWDFLANCCALSVDPFFQLQCI ELLYRVSRSNKSIFKQMKSRLSTEVIEKLASLTNSATLISDMMGVLAVINRDRESLLV FPLGACEVAGVDLNGSMTCYFAPHYFVLISSDAVTESTIPYSSIRSAKFVEPSGVAIR VHEFPASLVAGLSRKTPKGDTLTLRVSREQLQRFKSSSIHSWITAAMKTREGAHQTPV GAPGAGNNERWSSGVTPVASDHPQDGSGRKRYREESVQSAGSGKPGTTSDLKNVGSSE GPTSGIMKHSAEAVKLMSEVFPQKVTSIMSESINKIQEAVDGARVTTDGYRSHVKSAV EGAIQLVEDSLMASHSKAAAAVEKLNHELQERKATDTAFHQRIACIEIAAQQALEESR ESEVRSLDSIKKEFEQHAAKYAAALDDELIRLSNPVSTLFNIFNSEGNQSIC XP_843828.1 MAWITDAAVSQFPLATVLKQQGALPTSNMFTALPENRVLRIFQL NALTTQEEVVEILRGYLLDIFNIGALQSYRYVYQDEFSFLLDVILFFGSTWRCGQSVG DRMQNLVLRNEIKALESGQSDAVQLVHSLVPSRARLLLYGVLNLFVPYLFRKLQRRTL EEGWEDGGAGVVKRKLAKLLKAVSVSWMIISLLHTLHFLATAQYRTPVERMLSLRLVY GSQDTRRFTNLIYLNQHIFWQIWSSFISVLNIGRYTSRVTRFLQAFTAKPGNPSLTDN ACSACHNKPTLPQRSNCGHLYCYYCIKSRLLGPGSAKSFRCLRCGTVVSTTTPWAQ XP_843829.1 MDPAVGVFSPFHSVLDGKNSRINQALELATKVTVKEEPDTENGG GKAAIIKTPKENGSNPNGTLCGGCEKVYTTKKIPKGGTYLCKQCGERYISKSAAGHFP PNTLKCCPASVTEGTDGVVRCCACHSWYHCACLGIVDKTLKEYISLSTTKWYCMEPAC CEKVLCEKLKRKR XP_843830.1 MIHFVLLCYLLFPHVHPQAAPLLEALDHPLYFRSSMMAQKTDII NGSVMKKLLDWYVVREASELGFRVATLLQTENMTHSEHAEPSLVALGHVVTRCKPVSM PSSAPDAASVALQTSPRTIRFFYICSGWSSATLRAVVAHWREGTLETVVDEPVLSLGA ARGWHLPISVPDTPQHLLYVLAADGKTTAVLIEADESPTSAQPTRITTLRLPQKSAAG DTRLVSSCYLPSVGLSGLVHHSETDGHSHFELHRVFSSAQSAELLLETSTNIFTELSV EERLHCSLSSRVTFTDCSLTFSNEAVSRVDVTVTALVESPVGSGGHTVDEWILCGKIT LSGNAVVKESGLRIQVAPLRRERFTPARTTLEHVARLGSNGSITGHMRRCIFYHAGGA ARAEGGGEEVVNCSSATTHFRSGRRGVSKSCVALRVWWRQEETINGYLCNKFGLSTTE LSSVFFVLFTSLCGLQLTAYFFCST XP_843831.1 MNNKAPGTPPRPEGYETAGGAATNENFFHPKRKMTKSIQRAGFR SARRLMVCFSVVIGTIVIAPSYLGPFYVNYVAGKNWLERASKSIWTRRNEKDYDLYMT QRKNGWWEYLGLKHYNIGGETNVGEIQTYRSK XP_843832.1 MEKNAHGLLADADSPKAHRHIRNTLKVNKLSSALMFMAVVTPVM VLVSFYSAKLEAVREKWVDPYELPPGFNPKTGRFMSDSKPQGVTEPPAPLLLRGAVPG ATRQ XP_843833.1 MKILRDVYRQTFPKKLRFDKSSRILYAQIYVIARRHKHRKYIPK EDIEDVLNNYTTLPGSPWLQLPIIRHVLLIRQINGIRGFFGKRSWLLNRAVEEEFNKI VRWMNAQEQLVLRTGAGRCAANLVLREKFVKEVLDVFHVATAYQRMVRVGALLIVILL LLLIVMVNVDRFVYVYLVHWSGMCRTEVMEWFREITEQHAVAEVPPAYRSLLPPPCVM RVAEDGRVKYELKIAELVSKDENIIVLAVPCPQVGTKSFFAALGKTVGLCDAVLMEGV SFEYIDRIAPASLFPLRDDTFPALGVHHRFLDILRDSREPPFLYPAGTELSWSAYLQH LLIPFEVKCIYWPTFSSASKGEARVGWGRLRELIEKVTVEQERAQLGEDKKELKPYVI CLPWTVNQIVNLEASLVKLGFRVRRVFPLEWIDRDHMGEHFCNYYSLVGE XP_843834.1 MLSFLSPKRAGAIRVWRNGAFCVGPNYIFAASCFLSIIAVSTAS IALNNMLMLRILCGTSAFLSLGFMWLCSTSDPGICPWRTREEMERDTKNGVSKGKDAE LVTFINKNGEEESALLRCKWCYTCNQFRPLRAVHCSYCGVCILRRDHHCPWVGTCVGE RNYRFYWFFLWSVTCLSLTVLVSGVWGIAIRVARLCGTVFCTEKSMFVSAFGETHYIE PTISLVALISCAFVAPLAVYHAMLVTKNMTTGEELNCDGVSVHYFSRGGCVANVKASL CSPIPPSIFQEGVSSLTPLATAVVMVEEAEV XP_843835.1 MQPATAPYLLRSLYKDDHIISTHLNRQITNIVTALFGAHTTNCY DAQLCYLAKGIYVAFALLRGQTLGQEFCDLLPVTGSNPPRLVGMRRKLLLATFLALEP AVVFQFAVRLFPRLPPHDVVSNVSKCTLMMLMLLETYGTLAHRFLRVRYLSLVPSGAL QNGEGAPRTYLKLGFVLMLELLIRLWRAVAEWRGNRGAGEQNEQGGAAGRGEDDSDTA DEHASVSGKCMLCLGNRKQPTATLCGHIFCWRCLSEWIKSNTQGAICPFCRRRITVNS LVPLYFYVAKEPPVADGDSGAS XP_843836.1 MAGAKEVQSVHPFTRWVAGLSSPMSLTDQRAAATRISHLMLTTV FVATVCGYFVGNVHTAFFAVAAACGVSLIVWGPNWYQNEDPDQRWCDENEVKKYYETL EQLRDEAKQKIIDEFESKGEKKRN XP_843837.1 MKRCNSESETEFDSLKSENPLLHSPSLKSWNIMKNTPVVPPFSS AMTMAMKEHCGASTATSAANERQYKELNVDFNDKYFGEVTRSGSIEVPHGNGRLFSGE GKHFYEGGFVNRCRDGYGVLNTERYALWCKWKMNRPDLTSQSRVDYRDGTRYNGFLSA HQNNSAAANIPSSLRCRLSKFSIWVHSLTLVRERWGEIVHSGGDRYFGQWDNDMPSGF GCYVTKYGDRYIGLFQRGRFHDTGTLFVHACRWGNRASKIPDGVGFLYQQEQVENQHV EAAEAAKQRIEDRFKNTFTESCGCSGADYEISGHKRQGPPRGWDGVIFDGVWEMGRFL GEGHVTLPCGSRITAEWKNLFSPTQGRVFMASSNDNKASGRQDMDTRGWFQCFHWESL LSGLTDESKEQKYAACASFRERLGLATTEEEEQVVLADFCSNEDAIRNALKVFQRCFY FLHGSCGSSSEIGSGWGSNPLGWCYVRNSYGGCIHNIKGRRITACDVDLALTDIISFV RSTERWVVEMLGDSSLSSPSSHLFVMRKLLDLTLRSVYNVLFNLYVHAYDVEDIALTQ ALERVREHTTLDDLGVSFARQQSSEELFDPYADAVNRIERLARGVWTYGSKLKILAQW SMEIDLSTRLARVTLDDGPLALLPKRNAQPASGSADDLIPIHQFVLMKAKVDHLYVHT KLLVDLSSEDVFMEFTSQENFFVITFQACTMILSKFHPLLRDESHVLAPPSLFEERLR SGVHSIRRLAETFIAQLLKSHGVFEGEESREFSAEEFGAVALGYIKAWLAEAVDMAAT HGGTAVASGSDQILPVAELLQMEETRQLALLSEPNFPRVLALFCWLAVANVLSVLHIH LGVMAANGDDLLNVDTDPAKLLDDYVAWRNVCGGDVSSLVLLLGKGAPRPLFLRRAAA FIASIL XP_843838.1 MLNRLFGRGKSKKDKDKDKDKSKEKEKRDGESSNTKSGNSHKSG SERKDENTTEKVTKEDFETIDTLGKGSFAYVVLVRRVGTNNLYAMKVVNKQGLLDHNR CRDVFIERNVLSRINHPFLLKLYWTFQSEHKLFFVMEYMAGGDLDKYMNSVPNKQLDL PTSKLYGAEILMAILTLHEQSVIYRDLKPENILLTGDGHCVLADFGLSKDFYDAKMGE NASVTDMRANSFVGSPFYVAPDVLKQREYTNAVDFWSFGILLYRMLCGRTPFSGKNMK EVFDNILYSDLRFPSTVSIPSEAKDLISRLLVKDAAHRIKGPEVKAHPFWTGINFDEV MQKKVKPPRWVPAPSVDKLIAERSAAQSGNNSSKNPAQVVNTPAHSSQLNARQQQLFN GFSCTTDNHLGGGS XP_843839.1 MCSYPYVCETVILPLLLFLLLCFLFLFNVFFSSFTSLHLHGTAA NIRLFFCFLPLLAFLALLFSFLFLRGVGVLLLLLLLLSLFIHCYLRFSRSDRLRCSYI FLLIVFISFLLMMMMMMFLICFVLFCFVLCPPAPHCQLFTLFRYLFFFAVQVSNNIKK YIRLY XP_843840.1 MKEVKGENEFLSCNVELSEGVEDIADALKLWEDQLYAVEPLLAI SLKLQHLQDTTRGIVASLATSKKKLLQSTRCHKYKRQYLLKMEDTPKKETALSDFSSP DPIDEYVRLHKCKRARDVNVNVNANANTDAGDVSLSVTAEEHQTCVEALLRWVDESMP SVAEPHLTASRKKLVALLTKGESHGKAKPTKETLSNADAFCSKHWAYYAEQLHAAHND EYAALRRVCATDIGERIRSQMKWEQSQSNSVHVVTPAASAGGLQRSFTQLLGAKDALA DCQKAVTVLLSLLGGALDEYDEQTHNVIKREEAFWNNVRIAECESEALRSLSLRVKKH C XP_843841.1 MGKTNTKKQEARVARLRSLPKSERLVKIARIKKREGRILDSAEK HALKMSSEYGEIIRLWEVLRTSEGQSKEEEEKEKQKKKGKNKKGEDSTDDVKQQKLSR YKHKYPTVDKLLKKIEPKFDTYVRTPRTSRVIQSMIKYGSTEQLGKIVGLISSGYANY ATDAYGHFVVVALLRHAPHDLFDKILSLTIPAVPTLISHRFGIEVIHSAYSSNLCTAT NRNLLILAVFKDNIALMKRWKGYPILEDVLAQEVEQRKRLLPKLFELCEKLVSQKSAV DFPFVQRLAAAFIRNGTKHEVSELCDTLRPHLAVLCTIREGAPLASLAFSLTEPKKRK VILRAFSENLGVLVVSKYSAPVIARLFDIVYDVQLLCKYVVNDVVSHITQLINSPFGH QILLHLLTPHDERKTKFLLPNWFQHNLYSVENTRWNCHTWLTHEYKEEEVELCSKDAE QTHLAVLPTLIKKFLEVLSNSEVASKLNKHYVGLIAREVLHVHEKEPAYRKALKLSAN DVQVLERLSLSRGKKEPRHEEGGNSVGKITSEVQNKTKGRRLERPQMQKPAETPRVVK KMMKSSGVERSRKEGTKVETVSHAVSTLKKKKKVLPKK XP_843842.1 MLRCIQCNAAVYRIVQPENEVVEKCGTCGRRCDRYYEFSNCQKW ISITLLEKPAWIHVLFNKKDIRATLFCTALLSRLIEAYVVRTSLVYGALRMLRSKGPV SNVSSVATLQLFRNVNPKIEPLMAYQDTLPNIFICACGEYLLCLLVTVIFALHSWRRG GSALWDVVLTWMTCVNLAYSAKLCFVVFLIWRIPIALVSLVDLISLLWAARGFSLVEN RYPPLFTSVVVLICTAATRYLFRSVTQWSPQLLV XP_843843.1 MDAKLVFCAHSLKLPTLSIPPALSVDNETINFRCPEPLAEAGFP VPNASFTADVMMEQPQSRSIYQRVFATAARDFEGRKNLVVFSYGVRSTPKRQLMFGSE SGSGYAAKIIGDVVDVGATKGIFAISAYGVGSSEHLTDFTDENNEMGVIVESVKEGPR VRMVGRVRVTSSEDVRSVFNTVTKNYQKHFESVLRERQPTAELEALPPYMPESMILQL YRYDDEEAFSEYVEANSMTFVALGDSERPVLCGIEEETQQEYEKTQKVLASAVGIISS IRCNRLRIPFGKSKLSQLLRRAYNAEKGNPNNGYNEPTSTVFIIHAFTDAVWAEESYH CLLLSRRVSSVVGTSSIGSITRDLSVDKWRLDQDIMELRDELQIARTVYDYRPCIYDQ PKPVQNIREEEQKRISAIVSKREEARERQLSLVREQAKQDADKIIKDLEARTGTTLSA LEASLEAKMKENSALHADREKRIHEYEQTLEKIRKKKQEEESACEKLREEMLQLEQEL SVRQNAIESKQKNLEMIKLDKSKGRDAVMRERQSIQAMRKTVLEERRRQRKQWINQIK EINAKVIDQVRMLAEERKKNGEQPTANEEAAEKAIIADVRTIEEYLPKLISLEDVPVN LEETEAIRRQFADVFAEEKKTYLARIEEEKARREKLERGLEVYRQRLLDTYQAKKKEK VHDAITKEQHLSSLVDQVITYLRNGVRMSKISSKGNIRRRFYFLSEDYKRIHSCEIDN QGAPISRKKPPVTIWLKDIKKVVIGVYTSSFTNFSSEAQLAKTRQEAVSDSGTYRQDP TQNITSANLGLHNYRSFALLLRGGKSLEVVCETDSDCEAWLVALKRLLQIKSPIERIL EERMNGNTTKESPSNTHEGAVDIKWGGSLDIHNMRGFVSLSSEEATLCSENHIPPALF LRMKQEMAEKAERNTITIYDVRVSSGLDLFRSGKLYDFLCEKRVIPLPY XP_843844.1 MRSYIVFVAVVTLQHICVNSKAHENNIVNGKEFEALCGFVNLAL DTQTPEDLERKVKETEDEIQGEAEAPEENVKKLEELKLKAKTYKEENGDLWNGRALSA IRKNLTEALYGNGHKQVVVGRTRGGRSELCGRAGGQPGNKAGDALALDLLCICAASSE GKGGSAVCCDGCNTTYVGSWVPQRNSPDHWNLLHPKCSNVRREKTRPLQALSEAVAFF LGELQEIPDSSSDSRNLLGARGADLHNGCDGSTEVGHGRCVIYLPRHVNESTPTISWY LKLREAATRMEELIQAEEYLVKIQNQVNELKRGARPVPNNSSEKEKDESNNTDNGLEG KKPTTSSKRGCSGFESKVTCLEQKPRCEWNGSECVTSIRRLFTSSGVNRLPEPLKLFF LVF XP_843845.1 MRHETVFAVGMLVVVLSPSSQGDMAEYRWRTDMLDWDRIMETVD ADEKHHNVGEFETLCKIYRITQAEAPQPSFKNREKEGEILKNLEEMVSEAEAAGGNKG SNNSSNSTTAYQEMKKLFEKAKKLKEQIEVNRTKALNASRSAEENMLRAVYGDAVDVA RNENKTLEEAMRGNKSLLFNSVYNADASCGSYGDKLVGKTLINDFFCLCVGEAIEKKK EERQTRVYQMDTGSSSENIYSGYDCPCKDAIRRPKNGSWTMMAEYCPSNYNTCKPENI KYNHTEAWDVISKACVYKNIASNVKTLKSALAQFDALVEMEQDKYQVKGILGYVKTLN NENRTCTGHTAGFTCVSYNYTLENGGIPWYNHLTNATEQLQEMAKYAKESDSHLHELE EYQHEAEEIFLEVKLGGDAELWRSNRGQDDGEGGNAEVNNNGFNYIDITTDIFTLMIL SLFCMS XP_843846.1 MKIKIVGLVVFFFVTFGVAEESYCTSIDDDKGTNLNQSVCYLKC LSDALNKLYTDGERKLFLNEEVYANASRMLDDMEGKTGESVIYLSVISSVMVEENDKL ENLISYGNAMGDLVAKAGGLFAEVNESVRAVRNAIPGALITSNKYYTAIAEIARTVWD DVKAVSNDEGPECKKGALKGVRGFPVVCVDQTCPLLTGVNEITLQKYRGGCLQIDVLT GSGSVSKCLNLPRDNLYKGGAVKNSTGLINWRENGPAFFQLQIYVEEIFDPLIEPFTS GKAPPELLDMMANITLLQSYFNDIHRNFTSLQFSTNNIDNMKSTNFTI XP_843847.1 MKYLIGLNFHPLKVSLPLSLEISLLSVSFFLLMLRKAMWKILVT MLCVVWKAAGLSVPAAEIENTLNQREFDVLCSFLNLTLRIHEWVEKERLTAEVANDFD SSAMKVLYGSDSDGSLNWTGKEKREADCGYTSIFGGGFGGKALALDLLCLCRPSGNGD HQKNLCYEANAWYDPAESWGNKDRAEESWGKIKQKCTEQINIESIGFESLTTTVQNLR KKLNEMTEKTTERETKRFGHGREPITKSCGGSGSANNAPCVFYKMEGGGANQVKIEWL TQLEDLVKRLKTPKKENAQKVNKMPPQEQREPPIPTRGSPPRQSPPEIKVQPEEDPSP EQRPKQSPSTATDGNTPMAPNTSAATQSTTDPDLVPLGETRTNHSLQPSHQPLRPKNV AQAISPLVVFLLFLL XP_843848.1 MLCLPIILFGFVQRVAMCENVWEGRIGYVGKCTEVLGIESRITR NEENRLMTYAQKNCTLAHRVPSGAPAEGNCTVCGGGLTCFSPHRCVTAKVSEFLGLST PEVASPVVEAGGDSSRDEASNKGEAPPSSEYGPVLSEEPVRNGSSVSATPKKEENGSP SKDDGHLKSENKGTVSSTFGSERNGTSSVRPPEQQKSKSQPLQPPIYQVFFPVLLLFL XP_843849.1 MLCLPIILFGFVQRVAMCENVWEGRIGYVGKCTEVLGIESRITR NEENRLMTYAQKNCTLAHRVPSGAPAEGNCTVCGGGLTCFSPHRCVTAKVSEFLGLST PEVASPVVEAGGDSSRDDASNKGEAPPSSEYGPVLSEEPVRNGSSVSATPKKEENGSP SKDDGHLKSENKGTVSSTFGSERNGTSSVRPPEQQTSKSQPLQPPIYQVFSSVLLLFL XP_843850.1 MLCLPIILFGFVQRVAMCENVWEGRIGYVGKCTEVLGIESRITR NEENRLMTYAQKNCTLAHRVPSGAPAEGNCTVCGGGLTCFSPHRCVTAKVSEFLGLST PEVASPVVEAGGDSSRDDASNKGEAPPSSEYGPVLSEEPVRNGSSVSATPKKEENGSP SKDDGHLKSENKGTVSSTFGSERNGTSSVRPPEQQTSKSQPLQPPIYQVFSSVLLLFL XP_843851.1 MFKHERKRVNCSAKKFFCFYCYVSKRLMTRPVCVCVLTLFAGLV QIVATYGKGWGTRVGVVDMCTDLLKIKAPAGVAEENRLVKYAEANCTPIRSVPSGATT DGNCTVCGGGTLRCPFNYRCVTAKVSEFLGLPTPAVASPVVEAGGDSSRDEASNKGEA PPSSEYGPVLSEEPVRNGSSVSATPKKEENGSPSKDDGHLKSENKGTVSSTFGSERNG TSSVRPPEQQKSKSQPLQPPIYQVFFPVLLLFL XP_843852.1 MLTFTALFLASCVVLGSSAVTFRCDCLHNEAEGALCRWKGKWHP ANCTNTPVLLIGKGQPQGYFKLKLKVYAIFHGNGHRLHTLNCTDEDSSEDSLNATTCS DSDWRSITQQVPTEETVEQSAASEQGPSDTTKGSGSDDEETASSAPKSEGEEQEASGE GEETPKEDQQSPGEKRGTAGEKRGASGKKDGAGGEGLTRKEPEKGGATQGGKHTHPRG ESSPEKGTVNDHQRDAAEDGTTTNGKQDADPGREEPVRGRDDTVAAGAGIVSNTGRID RKPENKKGNNVRYGAIQNLLVAIVCFITG XP_843853.1 MATQQRDLNLLFGNCLKDDDFLKIPMDTVGALQAIFEAKNIPIQ EEADASYEGKIAQVARRRSALSRVCDAVLSAFRNGGKPPAGGIVGTLKHEEEERNAWK FLVAAVQKDGKMRAVIEEELLRTAVEHHYATARPELCDMFAHLAATEMFFIDGDSLFM SALSPPNVDWDLIQPLHVMYNAQKLLFDMECRGARFHIVFFDSMLWMWERAPAKMLMR ENLRLALTAASECPGGSRFTVRTFPSFHSEAFETYLKEWEPEFIFMSDGEQLGSLNPL QEFFVNPEVETTNASSGVNSSGAVAVPARRPYRSIVEDEEIGNDAAMYIRCLLLWAAS RRLKVAYSSRVIYRENSMIVFTMGVDGSTLERSLGIEPEVRALAQSLEREVTLPTLSQ KSLNVLNEDLTFREKIVCSAVRTYLTMKERSEQEQQLCQALVITAYIVGILSCEARAQ AALPSAVLQNFIADISPFLLSALRHAGCDNGGGQVFDIIDGHLLYAVARLLREEAPSH LLDEDGVGDISMTWQYLMDDDTLDITSTPFRSLPELAQPQPVQLQEYPYLKHELVEHL AKTFKVEGHKAKRPIPDGYGAANELIGWDITTPFDKFNDVTDADGEREAKIHMTEKEA RHAQEFQTKFVRNAFQQAQSMGISGFAAQPLTLVCSDNEQESTDAAGSGGAGGCGKGA AAKKKKGNNEHEGQRNKKGRSKDDEIREQKNLKDAASSIQSWLKQMDDHLRSIDPTHG RTTNKDRDDAVKKLLAAVKRLAEAKFTKGFEPDRTIGKSEGSDISLKISMWRLLVASS GLREAEFALTLEDPSAKNRAVKKKGLKTETAVPYGFTVIDNLVATISDKSTEWGELEG LRKFKSDITSAEAASYLRWVYLSYVELHIQMKIKCFVVKLRLENWRSERERARLAKET PDLSVAIPLFLYCHHHVLSVVQNEGLQISQEDLDTVRSALRHLDFGEDYYSKLDRAIT QWQNKAPSLLGPTMLPRMRQLTDTPEMMQLIHMGHLLERPVIRRPDYRVAFNPDSWQR ELLDIVDNRGSAVVCAPTSAGKTFVSYYCMYNTLRRSNRRIVVYLAPARALINQAVAD VCARYGSKEYKNSGKYIYGVLGGADYHQFHDSCQVLLTVPETFETMLLSPKYAEWVDL IDYVILDEIHSMESNGNGDVWERILALLPCPFVALSATLGETQQLCGWLNRVQSRLKE QAVDTPAKARDYRVHVLPSEGQTIQRWNDIKKYIYLPPPDTRTSLKKLTTTYENRYIH DLHPLSILTTDQLQRGFPPDITLVPSEVVSLFGEMRTAFDNIIWPKLSSIPRVQMLQS KLKLLEPGRYFEADLYITQQRARLYEREVKDAFAQWVLLSNSSVKKPDISSDEQVKEF NSTMKHATETILAAFAQKLNEKEAALGSYAVAAMERKRAQQQSRTNTEGRSEQESDEA VPEAAFPGSRQFIREQSLNVLREISARDMGPAIVFSFESEDCEDLVKYVVEQLEEAEE RYRQTEEFALYKAKVERAAAEREARHKQRESTLKQKRLRTNEDGEVERAERDVDEGEE DEGEVCEVPDILPEFTFIGAGCSVEPDVVKELIKDCEGEGEDLLLRALKRGIGMHHAG VKGKLRGHVERLFRGRHCGVIFSTETLALGIHSPCRSVVLAGDHILLNPTQFRQMMGR AGRRGLDHLGHLIFLGITMQRIKRLMTSGMTVIKGNVQMDPISQLRLLQLYDYNTHRS LKHEDAWKQHVKKLAERLFVNPLFFQRREAFAQSNMEGFTIEYLQMLLVFLQKQGLHF RDRPSSLGSLLQDVMYVFREAHVGNEGFAFIRMLTSGVFHEAHYPPALDKELHSGVTD EPIAELLAYLFSFHQTCGVPLEIHRSALLDPAVSVVWEGKTNATQHRVVLSPVDVCSP SVRPFDNTEFFALLSAFYNQLASRLDEKKEKEEKGKEQEEEEEEESSEGPRLPYVETG GDQLIFGNGAGDFPLMDALKESAVQFKARHPFVAISGCGDVFTSVDEVVFSLRDDLFC DRRLLPVLDVSDGWRHDGAQVLINACLADFLRVKAQIDTTRKNYRFTMLEELNGLSQS LSYSVLNRAEKLISNLAGLVRKAHLPAASILTAIMPDESFTAQEEGYSAGAPRLLKVA ERLNSLQLQIQKRFAEEKYAAKKAKWVSELAAKRNEQK XP_843854.1 MPSQEDEELSGIGAPSSGVNPAATSLPQRKKNLVVLFTLFSLAA ALLYALSVVRLGLQIRDYANNNRFRVFPDSVAWHPLSYRRLFLQNPKWGDERSNRGSS PPYSFFEVSCPDCYSTYAPTQEKPPEVIIFFAHGNAGSYMQAHRLGILFLQEQKIKGR LYTFDFSEQANAHRGALLHHQADFVADTIITLYEDRKNETHNPPFIWLIGHSMGGVVV RMALSTLSDSQVFPLIAGVITLNSPNRQMPVFLDLPMWRLYKTLWDPVQKNVLANDRN GYRNPRILSLTSGPLDLMVQSKHTRLSDSIGCNNGSCIDVTTEHPKVCGKTFSHDDIM RDYCVVEFWTSAVIRNSLVRGDGISPESRNLKLRNWSLPEGINDPAPPPHSFSDAFSW WAEASYTHVGLTVLVAFSYGSFVLSAIHPLLLRLLLNLRVLSHCCADWSWKSLFTSSH TAVVMVTPIMGIVGHLLLVQIPCCLLPAMEGCKSPWIADTLVCKPTFDPLMLVWCAFA ASGPALKGIWMGIIAYRLLQLLLRGSKFGWTKFWLVTHRACIYLRLPRWVRRSPTRSD DNCDEPWNRIIPVTVFGVVVFLCGVLLPLRICDRALVWLCLTIVLLPLSASYQTATFD SSDGRREEAYMLVSAYALLHLIHLHPFFAWRNALRSATFDDATVVDFTSRAVEVLLLV LILSYVMWPLYHEQRFCEQLHRDKLSSLAKALASPWIQRLSPHLCILLVLIPLVWMIS VPVASFRIVWVLLYAFPCFLLGPALVSGGSGGTLLAPGTSN XP_843855.1 MKAEGQLFFMSYFCFSSLLTLLTECRTPTCVSFVFCLFRLIRAS TPFLSPIALEEGWIVRWTHRRKKQMLQLSTSYLQRLQRLYAHFKQNLHTLLGGCCTAV ELLDEDDLTNWFVQLRYEDENKKEFIISLRVLFLEELQRMPLVFVVSPRLFATFIHYG AICSFELMSQQWTVDVEGLSLLFHSLYTTLNPFGCDSRVTVDESRRWLVGNGAHGGND DAAWMPQQSAASAYSLEEHDLGIQHIRNSHPHLFRSKVTGQKRIPEANQLATREGLSA AATAVTNDEGQRSSAITSDDGIEGDSGTTRREKVVCSCGELQQMRFSSEVMDIFLDAP RRRALFQSPEHPTKDTVVDDDMFVCNLPSTSGALRENLSFNILLAPLCAREGMLNPPL DNNANPTVNSPAFGSSAGAGECKTDAAETMENEVLSRLAEEGRDEVTPVICTCAASEG EAGEESNSVGEGGSGGTGINCAFCDKNKTCECAPIRLVLCGEGGSGVESFLTSIRWSS TTEQCVIPPDCTLRLQKLEVYASVITVLGTLEVDSCIVTGSIVVEGQGQVIFSRSKLF VDVPDGYREGILVLDESKLDLRDGTVMKRYAPPSADCQDTGFTGVASLNVLHSFIHVS NSARLCARGGCSIAPSECERVILAEQDASVDISDCDIMAGYINAVSVAGCRAFFAGTR FYGGDQVVFEASDGNRPTGLSVELGGLVTARHCLAEYVYFGFSVISHSVAHFYSCHAE HVVNGYTVEASRATFDSSSAFTNHVGIFALNGAKCTINNDAGPPELFEKRCRTLLALR GRENGWYRLPLMNTCGSGGDNESNAVFTVEGGELRYDMGRGWRGVHKSALPLAVDEVE QENDYVSSIRRAFSKKRRGSGTTKSKANKANNSNDCEGVATEANTETLLPLSFVGGAF GLEVREATVVARGLILKDTGITSIFAYDKSSIELTDVIVMSTLPASRKTCAVRIVNSS ATLSRCLVTDHSLGFTASQGSVVLCRECVGVCRSNSFVFDGAECRLYSCGAYAEQVGV LAFNQSKLSIDNSDAPHCILGGIPCIFQCRIRGLDSRSSHVRCVGVTVRGSLESGFTS HNGGYLCLKKCMVDMSDDPFHGCTASVAPPDLPGEPQSTESPVYAPVITCSSVSVDGG CGVCRDLDETATTSPTCLCGGTWSLGVKAWAGSHCELTACEVRHVTFGYAALDADTEM EAWQCVASDVANGYLVDSAKCCLNHCTTECNHVGVLVLNQGTCIVHHGSYRARVCGIE NRNGIVQVEGNVKISGFSRSGVHVNCGARFDATVDSLLEVSVSGDFGACRLSGPLGPA TTCSSLCGQPPSCFVMDKGTAVIHNAVFGGGAAIAVRCGAEAVAYLYRCKAEFCNVAF NALVGSNVYLSDCLAQNVWNYSLLVSHGGAIRVTASQVFSRFSSVKNVFSVLQGNVRI FGKCVLDNTVLHLDGDAAAAADMAPQSLELDGVLEQVGCPIRVYEGGQLSMNSCYVIV QETQAAQLNVLEEDKCDCGHKCPAVRAAIFAKGSNAYVRLRNVLLQCVPTPCLANKAG LSRGTSSGHVPRATDSFKPGEIGQLHSKVIWHTLVLHSGARGEVCGAADVVPPMHNKN MTFFFHRSTSEGATHSGRLPSREESRRPAAAVAGVPRDDVRYRTSAADIDIGPRQNGG CPLNEESDQQAPKPTKRSDSDTPILPVRLHVTDGSSLVLENVRVRRLSVAMDSRVAAT RCVFAGSEAVFVVSDSSLEATRSVFISAVGGPALQAECAKVSLTGCSGYCIYKEIIVA RHATVTLKNSVLHAIASSTAAHGGIQSPTTSIPWSDLPWCADNQPWDYGNEGKHSRSG PIQGLDISSFTLAAVQCGHSTQFGSCGSCYEAPGGCEVSGCVRLQGGTNHEGELRNFS SGFASPRLMEVKSPVEPSLMNHNAGQTIRPSLHASEQPALHQRTSVRLEDSHLRLCLR TRIEGAVVADAASHTRVSRPPQPWRVVCLLRDVSVNISVIISNKLVSAVKFLQWPLKR E XP_843856.1 MRVFSSPRVTGMVLTRAAAPLVTVSLRPLSLSQLAKAFAELSET DGAPKPPPPADGAAYDAAYPDVRRHEQDAAGRKHATEPDPQVRKNSTGGGGLQTNGNV VTFSTMYRHSLWELSGPQLATRMEAECKGMHHGHMVQCLVEVWRRLRDAPPSSFVISV PEARGGTGVGGHIPAPTGSLPLFRSDYLLECVLRTLAKINLDSIQHTSVVAACMDDTV GEVWVSELSHLLLQWLTVRVACFSPSSSATFLHLIVQQKLFHADAVLETLCDNIRVHL NNNAFQGPSHLSLRASAPGSNAGELFGVSSYAVLLDAISRCQIRFMRLLKAEVPLMNR YTTSPGVSSINTVTVAVENLKHPILNVSLYETVVGGLVRGIRDGSLHITRQGSPATFF FLTLALAKIKWFRDDCAEVLLPQLHEAIKAFPGQFLGVVLFLGRREVKVCAVETTELL LQTLLNAMRKRGCRYDTQSTSLKKRHHKLDSASLVASGVVTAELDCPGTDAGAMDTAE SGGYDDDDDDELQLFSTRTCSSEPLGVAEGLPAAERSATSAKQVGHFTTSFIDIGTLP VFLESLNHIVSITMEYCRCQGQAARCSALDAQATALYEALLNDAHAGVKSLRFLMERP GLVEKLLSALLQIPRENEHPFVVEVAYVFTRLVGARRVKAHDTATSDGVKTVNVVPLW QRRAVALVDLLVGRELLAANTFTMPQEVIQLAPRVAAAVETERLQLEVRWNDNRHESD GAAKDTNNRSQGKRRRVVKLSMVFSRFASVVKCMKQQQAAAL XP_843857.1 MSITTAGPLKEAKQRHNITIFPHFRDRLACDPLNFFCVYANFEF KWDYTIEEAMLGVFELVDTMVETARIAFNAAVDYWEKLPAQTQRVHAVRELLMLPSAP LSSTLLTTFLRKETSWRVASRTSNPSETPSLSQSVIGRSKHHRTPITNVGSRGRRASL PYVSQRSPARDRHEEDEEIMANLLKQWHPPHNAPRCRLILDIVASRKSLGGEPHKSRP YRLGQMVFTHVQKKYPSEVTSTFVGISDSAMTLVGRDILFPYRATASSGGAGNSSARG PNTQSFGGLGSPRGGHGLVRGLTRTNSSGLGDASGAGANSNDPVSGGPVGYSGQLIAN LEKMLTGSIPCPRMVTAQPTNVERVDNVRLLVCFPPLGDEEVL XP_843858.1 MSSDEVAATGKGKKPACKICCACPAERQARDECTLLKGVEACQK EIGAFYKCLLHEGFSEEEVERLRGSVRSF XP_843859.1 MGFVDRGGGREAKGSSLRHSKKNPGAPAARKASSVKQQPLARVA PVPPTFRDHVQHVDASVAILSRKFGTGYSELLVRAAREGGVGAALTWCGNFEQQDALI ELCRQHNAAASVLDGEEGCSKLYCLVGVHVNSVDRTHKQQQEKWMEGVVAWTRHQEVI GLLSGFSLTRDSGTHFAQEWLLKELWRKAGELQLPIVLHLCPDERDGAVVTPEDIGAN GNSENMLTDGNHTVDRAAELIAELMLDQADEGGCGKEHGATRKNPTAVVLHNGVGALS CSSAMRELVRKHVPKAPSEATTGAIGRGTPPIYVLATAEGVTSSNAPGIYRPSFVHSL RNYVSLSQLLIGTGSPWNTPQNIPDEYLRTMHNEPANYQYVALAVHNAISKDVLAESP EHQEFCATVRDNFLRVFFGSSPSGKQSPQQPCDARTEEAVGEKAADKPPKPPVHFDPS IKTSSDAVKSSPESMRYYLCLKCRRKLFHERALLTHPPDAARAHFNVRQAVAGRKSAL KGKHQPAVGSCDSVCFLRVVDGAEGEWCVEDSGVVVHRLNATVSCGGCGSKLGTAADD CSCPCGCVIAGTTARLAATKVEAPIGRKAGGDLDELLLEAAREREQLLLERETAGHGC GSDSDDDGEGKRKVKEAKKNVKTNNRSNFTHFRNKNFAPKQHRGHHDGSNVANVGMKD DVDKVSDGDGDGDDERRVVEDTAAGRRARRKQNKKSNRHSVSETPSE XP_843860.1 MQRLFFRSTCARVRCADLRLKPPVSIPQVTFQTVAFSSSAAGAA VRSGAEGTNTTTKRRSGFGGGAGGGGPVKDRYPPPATDLGDPRRRLAVLVDASSVDPR VFRNTILPAALKVGTPVLIRIFSVQLSSEWEPLVAGSGGTRESATSTEPEWKTSGTAN DGREEVSGAAGSRDSGAWCPPVEFFRVERFIPVPMQMEADANHIFDFRKQNQIEAVAF VCGEVDRGVFEGFLPNIAGNGFNQYVLDELGMAKEVLEDGRSEDGTPTVQK XP_843861.1 MASPEVSFMDAVRERYGAPDDSAAYSAESFLVGEASRRRNKKWE LVGMEKTRQKQADHSKLVHVVLRGMNITVAESTTGELAQAALHRLEEVDLSENFQLTI REVGRMAQHLPALKVLQLSHSPELFPVGTAEISASPFESLLVAPHLRKLVLNHVGVQS IWQLRAVVQLPLLEELHLDNNSIKRLALFVDDNEEKTAHRILHEANKNDNNSGNDIKS GWFPAVNTLSLAQNELSSWGLESGLQESIGTAFPGLKRLFLTSNRMPNLSITRACASI VPHGGGNKRGDGDTSDGSLVDYAYLQPLELLCLNENPTITDACTLDAVRYLCPHLTTF RITYSAIFPQWNETLGRMYVVASLPSITTLNRGQVRAKERMDSEIFYIQRGMAAEQKQ QVGEAQDGSQSKVTQETLRYPLLDVLREKHKDVITVIYREGETASHDGTMHMMLNIVL RCDGFEDTHKRVPSSMSVGKLKALVRAVYSVAPSHQHLSFVSGDAGVVEAPTQLDNEL QSLSFYGMCDRAIVLVVDTSLRS XP_843862.1 MNFYERVASAFSWMRGASKAKGSTDVLTTTKMKETGAPEADVQQ PSAFTPMRLNEGHRDLGETTKKSPGATAPESSISEGNLPRDGDDDAFFTFLLGSIDGG HEEFLGEISRSDISLSGHSKRQAPLPIPADAADRSVEDDKDSEVKSLTNTPLKVSGWE DVALSGLGRGSSPSWTIYKSDSSSAARSLRDYELLAYIGKGTFAEVTLARHRETHTFY AVKKISKKKVWDEDCVQCTFTERHLLASFKHPFLVNLHQAFQSQSCLYLVLDFAQGGD LYVFLETKPWIREMRRKLHRGAGFNQRKGSGLADSKVIVSESPLRQPPSPGRFSSMRF APDDSRTPIRIIVFCAIEIALVLQYLHTQGFVYRDLKPENVLMTSDGNVVLADFGVAK YRGVPGAGGTIDSGTRTDFHAGTSLYMSPEVLLGEAHDSRIDWWSFGCMLFEMANGRR PFDANNRYDIMKSIVETDVQLQPGDFMITELELAARVAQLTCQYEEEYGTHRPFRGSL VERGDGRQHATAPWPGGDSAGQPLRPSGPALWTFPLPDLVEHSEVTEKTLSVYDTATC FEETWSAPSGERANASSTEHHPLPVGSVSLESSDAFTEHATEELTEACTLLKDLILAL LQRSPEKRLCGERVLEHPFFLCPYATSQLYCTRKTSIVSCGQRNSGGSTLSCNATSCL PHVSDATDTTLDQAFPLMGLPLASFRSLRRASVASRSCRSDTKGTSDPEVVRPPGAPF AQDFLHRFPIQRPAEWRELFLSGGIKPPYVPRLRAADDLRYFPHAVTATGKRVADQQR GLRKRHSRYNDLRTNSARSRLVEQSASVSFSTEGARAFPLDIVPGGVEEEEEFKGMKE SSHTPLVCPRERLVLSAISECNTTPEPDFGEEEETNEPNCEPKLGRRIDFFGSVSAPQ TPNAVTSTSAEGFPSEPYKRKDMGHSSGPSAEDVRTPEQMAMEWVEDRIRNGFSTSTV ALGSPLGTTVNVGSHVDGGEDNQRPVCTPTKCETKLAEEVQQVGEEGQRRSVRGVCLS PKFNASVASSSSRTTYSTSATECGKATEMVYHPAAELPDTLTGVAYDVDDYVPSRSKK RGAVLLNRTGIPPHNSLGRCVAEEICQRTSSPTVGHHSRRPPPVGHQPVATRRVLSQS HQAGGELASTDIRSPILAGYLNRESRQYDDFNSNRSSVASHNFITQGSEFCLPFCATL GSGQNSDSNAENSVSHKMESTEFTGANTALPHFMDFTFNSHSGGARLLGSLEGVGNT XP_843863.1 MILSEGVPMTNGEVFSLLRRRRDERNGKHQPSFGLQFLGNSSIA QQQSQRITAPKAGSQSMATATQSNFFYPPDAVLKSSEASYSGFMSTPPVGLLNPPKNS ALLASPAASHLVVLLTEVRVLRYLSRYSTISGNDGVRAIYGPSSIYNRQPCRLRTACA SRLEAHADEQAENQQLAPAAACENEEGRNEDSVDKSIGAFDDSVQAEALRLLEKHRPG TVGHVRGMESLLSLWEQQGRDAERESAARLNVVLRELQRRVGVANQNGDESPASGKDE GKWHERRPVEDRARKLFAQPTVPLAMFLSDQHPVASGASAGRLSRGGVTFIDAATPEP RRRQWTEQDVMQLVIARPKNSLDVYRVVEDLEQYTGDNEELSAFLEKGILEAFA XP_843864.1 MNPQNHVCCALSEKLLETTSKTATTATKIGGRGGEEGNEAAADS TDEGRRPPCEVISVTPGRRGKEEEVDAAEGRGGPMGVDVRRNPPSSQDSNEQEWVHIY GASPSHRSPSLRSSSHASPFLASGAASSVGGCGVSEFGASLLGNLGHATSGDSDGVSV ASSQQLGMCKRGRFMSLPLESCVRNVGRGLRSKGEVLLPIDKMPHHSRCLSCPEEDMC KFDERRASPSCCHKRKGLLLPQLSIPDPNDPLAAREKCRNALIAELQRRKGFLQVQLG WLQREVSAWNIFALVRACNSSELHYLLERKLVDVNQRDYNGCTPLHVAALGGNESVVR VLISFGADITAIDNTGRTPLDWAAENRHSGVCRLLVAVTKHAQMKKEQRHHHVHSSRG NGSISPNYHGTPTCGAAATEGPSCGGNSPAMPSISLPSCKKGSASQSTVKDTKGTRGW GSKAPLAVSPGDSVLFSALPPDMVAQYRARDCLNRVRDQGAEGRLPNAVDDIANMSSS YTTVSDAVSLIVCMVGLPGRGKSFIGRRIARYLNWKGVPCRVFNVGNYRRRLLGVEGT CSADFYDPQNLQAKQMRDKVATLAFGDLIHFIAHHRVACGVFDATNTTKARRKYLLEC LQQEAKKHNINCRVIFIESVCNDLNLITENILRAKCGNDDFKNVKDAGEVISAFYSRI AEYEKVYEQLDADEGISFIRIINAKHHVILHKIPCGLASLISFFLLNLHPVAHPIYIA VPGETVGDRKHIYGGDDRLTPLGEKFADALKRFILERDAPNMVVLHGTNPNVMNTLRP LEQALEPDSSDDGSSFPRGARGCGGFCVPLAELLCPLPGLDRINFGRFCGRTVKQVRI RYSKLCKLLYAGSPMGSGSYIAADDEVADPGKEKAQQEEPPLLGTAECASDAANICAE GLRRFLHVPNGADPRLSYCVQFPNGESCRQVNVRLEPALMAVMRVRGPVFVVASSVPA QGVLAFFADALPEMAPALRLPSHAVVEISVKGDITVHQLVKPCDRPLQPSE XP_843865.1 MREMPQPQSSCIGCRIKTHNSAGIGARPLHLPEPVDSAEGNRHA IKVLLLYMNHMEVTMSSLTAGASIFSLLWLIIFVAWEKESVEDSLLLYIAITHSYEGA AFQFLFILLLVASLTPFAWRFANKQCAEEVSDRCPAGINPLPQHLFRREGIIDGARFP ISCNPVTSHVDTCSNAATVVAPGLRCDVRHSNAGVKTQSHLQQHKDISHGGDVAPNAA AAASWEVEVSLKSPWMLLLKPIVPLYITCTALTVAQIALTEGWDAAKLQKVAAADAAS LRIVLLTVISVRAGLMSLAVLCNLFRPSKEMKVYFLS XP_843866.1 MSLTIPIRTEKPTLLYKRMRPSTSVATTVPSLVRGAVSETAAAS RREVLQEFHSFEMMADADGHLQSDAGLVFSDGDGSFTAGHDHDDVDDETAEREFQSHW KDSLHRTREELKGQTIHPDTYRINDIWREVAREEKLRGDDEALLTEKEVLTPSRLLSP PLGNAPDHDSPEAILDDLGRLKLRMERIKNRQGRRVRKAHSIIGIHEAGSGVEDSSSS AGESDFDPDRYVAPILHGSAAARGNDVLLLEAQQRGTSSDNLRDIFPDSESVKEAMRL LDYSVQSDRPDVVACIHRCQEAQRIHCPKNEIPVFTGKKGSAVRGEAAPPVVSPPPRA AKAKPPSVEELARLVAGSLPTIPRELIEELQDQRRLLERLSAAQEESLRRHSKEQQEA ARRRLMAPRPDPLFNLYVPEAMQVPELHHTTGMVQRTEQQQHQQLRRSPQRSIPPVIT IMSNQPEPPIPPPRPIPPPHVIKEELQRVHQQYERILRDERRAWTELVQNQKDAFERE KKAAAEAMHNFTMNQQRVNAEETKRIIAAQEVRQQRMQHASQLQQQRAMQQMVSKVLC ETGTRTPVSKVSPFQGRNQPSKARRGGLPARKVGRRQPPEKSVARNKPGTSAEPSGGE GAQSTTKGPFKVVLLPGEGEGATTTSPSPSPQAGGKARTEEVVVLSDDEGGEAAKDFC DPESGKRGSVSKDRRASGSLPASAKMRGVAVKRGSGLSSGGTAKGRATSASQSKRNSA ASPRGNGSQPSLSATQENIGSVVPSYAFDVKNAQINRARGFENTEEIALASSFPSFRD DLPDTVRLEGGLTRTFEDAAAAAAIGDSRGCGRREAEAMFMRSVVLLGDNLTPDELAR KRMVQNAFDVNLHVPPPTNNVEGGLERPCDAYFYGVERDELTPRRRAELRAVEAQSLL EARRRLERIKELSIGGNKRKFGEPSTSFEEGVGERFERRLCELISSDVIRCVIEEGVE GDLFGELMQLLESDIVRAEIHRILENDRAASDEEPGDITQLQMAETVKGLRNRYGSFG APAFESVSREETLLHHIQEELVRVIADAVEGAEKDSVEEECGIRNDESAQEGKAEATP SVGSPSLHSAHAQLEGVMTQESPQWVHEIIRAGDDPLRSPSAEIETTSAHLQPQLKQQ QDVGGVTGGDFVTPSAATLSNVAVAVEGAIGDPAVISDGAVGGNTIRIVLDVAPVTQL LTTARLLPQAAEQLHEGMQQWRQMTEGPGPMTEDPRLPCSTEETLPRLELPDLQSSGL GGTVTQVAVLDVPPLRQEIPAPNVPEETVPPLPLLLPISSVPPHLPVSGEPQLVHRAI HEEQLAEARRLCEEGEYHRRQLVCEQEEMMRYSFVMMWDWEHEHIVRVEREKKQQQHI LESMLNERLAAQRQSPVMSEVVRHTRVERSHSLPAAPADVSYASAGDDPAKAAPSTGI RDPITKFIFEWMREFDPRKTEKTFGEKLREASMAAEGRQPTYPKQRVGIAVTDGRATA TTDDDDEDSTEPVERDVRRRLLMEIDAADSSSWLSSAHTSSLTEGGTPQFTRRRGTVP TANVAAAARPIVSLLGEGCCDVYRHFHNAEGSSSKTTETTRYSSSFHLEQEPVSKQHR HHMPKPSSPAGTSTTKTESTSTSANTAKTTKLTSSGSGSEHLEDTAPATHLHETLRRH LNYEQPLDHRRDYVPEDLRKRPAKRK XP_843867.1 MVPLPALPRMLLAEGFQRMLEGQSPQRDLRALFEEAEMEAHRLL LDMDLAWRRQQPESHLYAAIRHDPLSRLLAYELYAICGYYVQLMSISGSPEVGAAALL RTLIASDVRTDHLLQHTTLRFEALPRDVVTGERLHPCEAPVEAFVKECLLLERDAFGR FRFDPRGDNRLLMHCLKLDDIVKTPKSFSVVLDPLVRRYGNFDLDRAVVYQGRWMQHR LACREEEHRVDERLPLLETVGVKNSTGEADTSGFNEDLTFIVRYNDPICRRHKETSLE KEADKCHVEVFELAVEDTARTFWEKWFLDR XP_843868.1 MQRQCDGCNESASSFQCPLCQAELITDRGFFCGQECFAKNWLQH RRTFHKSGVVRAKQPRGATTGNEGDTANGNSAAATNGDETQLRKKKRKGEKIPGREQE ENKKDDKLPARPVVGPWTPLPRGDDEGRVEPQLLPGVPRAIIGGASSERSVAFWSAAH AAASHIVTELLHKPQLRDEADSEPLKVLVITADALGAHAMAWACRCSGLGHLMQLVVK SIEASSPEVVPFNYFGGERRVVITTQEIVGNLCDGSAAAWFSTPNNLLVTLPGVKDAG KFQSVHMRALFFLYSRETGESMREELPGEEEEEITHFVKPLLHVPVQLHSLFDASGVT NTNQGEKGDDEKKTKKARLFRFDNNVSTSLARGDLRGALQHLVRLYSTERSAFEEVLA NSFLASLGAASVAHAHHVLAYVLRELVDHSSRFKASQGATLKDMAIRALTRVIGLIQP IVAEDGTQHCNTTAAASAESGSTVDGFKDNAHSGEGDATECKFPPPSEFERKKYFNHY SSAPNLALQATLCYLYPYTTVGVIDAWGAAWGVKKTLKGPEALIQMDKARKDAVLRLE GRYGTKLGPSFAKYLVVLMHFLYDAVAGYSLAVEDVEKRTLWSLTLQSDVGPLRSFLS NCFLLQEGKPALKKKPTLSARKTTPSADELRELRRMLPVRTGSVVRESVSLPWVDLPI VMNRELRVKQLQQQAVEEILMAMPRKPRPMYIGDVGNLVGRWFRFNARFDGVLGVSLM DFLIQHPEAFRVVGDLVTRRTAGTCNSVPIRFKDDSGDDDDDDNEEPDKSRRAKDRAL LTGAKSGSKGGSGKELSARARKKAAAKEFNKSRFNRNYKPLDPSAKVPGYVRHAPRKV KGRGRKANKRNTKRG XP_843869.1 MESDDGQVHSQVFEPQDVQLEEVEERSLVVGSEPTVYSSEDVQS VLVNVPVGGPPSRGPLSEFHSVEEISASSERSRDVARSAIVNRLVSPLPTSPLKDRSV VEISEEQIRLFADESHFPPPPSNISIRTSRSERAPSSSHAVSRFVSSPSPLRQVVRRY VSPQRHIDTPLINRRASTPIRRLSTPCDRRLVSPVDTPDFRERRGRLNPQARMSPTLV VASSSLPEDESSADSLVIVPTYNRKKRRVCGGRSFPTLYNISSLLVQFDGVWASSDDE EETSRNIAGSVALRRAAGRYRNSPSPITPVASGAVLARQRCRTGPLIEALQSVRNEKD MLMIMEKEQRDRRKITKAYVRLLREMLLWCAVKHATVLARGGPGAQSCKLRSQAGAVG RANSVPTRTSSSRRGERVRTCPIQRMSPLRTPREEIRPPLLSCGAQLETDVPVVGQGG RSRNDFSSHSQEKEKKGPSAGTYQSVSGAPAGSWGVFDSPVQGGRQGSFSRPSPDRLC STSMDRSYEIWEVKAQLRSVVSEELGKRILVIGAESAAFQFIVHYHRIELTAFQREPI YLQEACQRRSIVSEAKNQLRMISDRGSNMGILGHLNWVEEWAQRLLTLIESERYVRQI ELYQAEGHEREEIQRVFSLGLKSLTEYRECEAKRRRCRLEMKDIALCENLAREKLVAE EIQSRAALHLTYSAIPSSVVASGSDRRVGVPRRGAQKGSCGEHSHISPRGTEDPSRCY DRSLVTEPESHASTTQDGSAFTSALSAAMCSRNTSNNRALSDSDTPNEVVFFEWDPTS NTLTRTSNNNFTSFNTSTGTTTATVRAPSTGTVAVVRCDRSKSLPEATGSVSKVSSPS PQFPVAGSSTSRVDKSGSFVSAPLRGSAAAARDQVSEIPRKAGHSGRRSSSAVLKSCK TARRNDKTPLSGSGRRPSRVPNAARADGGKTNRDIFKRPINPVEEAEATFSLANRHIV PCSLKAAVARRGGSTCCFNQFSGEPFPDLRSTTQRPYNDDIIYSNSSRGFSEIDFGEL SSAGEEEVKVRGDTAAKVHCGVSGTPLQSVTTVTISLDSDDAEDGGHGGQGNSNAAAV SDDRVEVGSITTEFIFESVRDEDDDVDPFSMRTVGKGSNSDSAAGKNVGPSLMGSSKA PFESAERSPTSTPMPRQESRRLLSHRSKSQETLTIPDVSLSTMHDETIGSGFKGEAAG RASLRSGCSSSPRFGESTLSASTALNTDEAGNKKRSCKGGPSRGSSRRPAVNRPKVPP GYLRPTAGWKRQSEEKVWQQLFSEARAKHRVSASRPAPINPRCPRDYKSDRKAIKVAR VPYEEGPGVWSDYEEPRQPYGPIKVPSDARYGRKPEGRCVGGQSGAATIISPVRRRDA VWRFKAEGSCCHRSTSSLRDAKLSRDESSNALTNEGTALDEEDMDALKGIVRRASTTS HRTVASVASTPKKACGSSFYSSRSVTPSYIEAFYKLDLEAAKRYGFLISSHSGPVLQS TTPSKGGKKRITKGKHLPQPPTESSSSELKLSPDANSEERLLSTTTTHTSMSHILYSP RSKHHC XP_843870.1 MKKAWAQLERVLQPSSSRVNRSLITQQLEALYALPINCEAACRW EDAQRLFLRCNGHRPYYAGIMDQSTDNRLAVASFEENMLALQQRGLVCTTVGDKAPPC VGGGQTSRGLCRRSSIVCVNVGSCADLDLNMHEDCDETLLTLLHGVVVPYLHFRIVYG AGVSPPLVPRAPGPAICGASHDLSLQNELHIDSCVALLHPRGLCSSNCNNKAYRTAAT LVRGAVSLATSDTLLHQRQDGCFTLHPDAMKLGSPRLFALQLWWNKEVGPLIQRGVEQ SVKTDEVLSGAWIEVARKRVEELVERGGDDLPQPLRNMTRDDVAAFAADATLCWTLSA FDCNYKRLGPAQPACRLQFSEEARLSMALELMQTVKASLVKQPTGIPISELSATVCWP AASAWIGEKSLTEALTQFPAHFNVVNVEGKLVVMHGHLTGPSDNVTAEEVSEWLAAGH SEGLQKPCVAASPSDLTFHRETDLVVRAVAFLRKRHFGNVPVTYGELCGALLPKNNGK NDGDSDTLLNVLLRYDVLAADTAKGDSSINIQCGLRDGDAVRLSVREDRALRAFEAFR TTSRSAFQLYTEAIEPFFTCCRGAMRENGSCVVPLTLLERWLQVERLSLQSKELLDIL RSAEGPYRIDEELCNVVLTNHTAKGEVLTPAFSLPATPPPPPPPAVSSRLTFEAQISR VLKTQRPDRLLHFVQTILHAVFDLILPHVSAPSGVPVRRLMRRIRWGSFVVTLGSLTS FVEAFDGLFFEVLSNASSHGEEKRDDVDLIVSAYKGPVSPWLLYARLIVRLFPADVDI PLGLIAEALSWSSRFAPMFGDLPSLLRRVGRQCRNGQLLAKVEMVRPVCDQDDACLWE LLAKIRREAHLHHLERGSGETGQYVLLSETELYAYLPNDVKGERWNSTVKEEGARCLA TTAVHRLPHFFEWHVDNTDTTTRYVRVVLPFSTPPTGVVCFVEEYVCPLLRQHKQTTI AELDEQLGWSHGAFDAHPAGSQAAGGTPSATSLCGLLRRYVESMHSPKIILEPQETTL SPLHHHVHVMPNPAVYTSPEDMLLLLNGLRISNEGITRVLPTHKPVSLFELISQQLDL HQPFREGLTTLERGSRWNVMLACESDSMDDCLQELDNCTGDILVWCEG XP_843871.1 MYVFSPAPQQKDPGGGVEVKVKSSDTGNGGQCSDAQWKVNFLSC LRGPAQTCRLLTCLSNTIVDVASGQTKVGKTANSEQKCISSSATDPVVQESYLTELCK YLAPEASSSDTGASTSAKNETSLLCVPKGEKGRMLYSTFLRMAEIPYWRQTLLGPLLR CWCSEGCDELGGTFLLEAIVNAIERELCSLNMLEQRHPIPEDASGGPELWDLSKYDKA EARQYEVLINELEGVVRGAGSFRGICIVMDCIIRNSIQRLVEGVHYVVCGMSARGGAL PTGGVRMNEEVVRVVDSVVALVWREIGSFFQRCEVDVEAALSETQWLFLMLLITGYKG AHALLAAPPSVSSSSASSNTDTATTTTITDRIHLRARRMFFEKLQSNLRDYLSMRLLA GGDPASNSFASVHTVTCHQPFFWRCTLEAESAPGHLPPALSVNIVIKEWVKKEAATAW GNAVRVVRCFVHGQMAVEDVPLTAVPAVSESVISSIQRCHRQLVEQHIEHLSASQKYF FSAGKRPREDEGKHAMDGGSTWLSYMVEFFFCVYHPSCFSILSPVKQGEFVSLLRQLH DMILYKGHDALEGSIASLLPGEKASEVKLRDELMQWHTQYVHTRVVNALRENSFLVMC LLHGVFALFSRAGRTNIQGHEVLKCTLLPILSILCSPQGEGEGGEEDEEDVTAVEENA TTDETDAFFVDRQERQQCMILLVTSGFMSIPWNLRGLRIRLLLFGILGTRLRDSFGSE GAASELYQLLSEVVSGQRTPEDIASEIFGDCTGDPFLSLLVSEREDTDGGTVVDAMED ILRRDVPVQFPAPCGTLLLMSVFRTLSQELLRLRTEWEQQIKLLSESESDYLGRQATF CTTEDIVVFRILVRVIHHMVFGFQVQPLGLLRLWISFLVHLFAFIVPPLTEDDELILL APHVLERRLHKQQEDNDRKLNDSAGAISSSNFRRSANESSQGELRAALGELLADLVLM PLLDGEVAKQCPAAIPSHPGSRMWVKQNIRRSADILLLQRVLPAQVLEELCLALGVNV SAMNDKGPNGVRATRQVGGGVGKSFLGVLGVFAFCQRLDHFVVPGSVSDDGVALHLQA LWHAVEVGYASSAV XP_843872.1 MVRDDTPSSFTAIFRDSLRVELCRQGVSQAAAFASKCAQCVRLD GSGAHLALNGLGLGDREVIAAAEALIKLGTTAMLRVHGISRWALTLNQNSLTDNCVTH LSLLVSRCSPPLLYMDIRANSITAAAAQRIEEVRVQSSSPCVVKLDVTTAGGGRPSSE PVSACSLSSIQTQSASSCRGVDSMALEKRRHALLGSERPSSASGSVTPAASTDACVVS DESCNELVSGSPMKRVSTGLPSGTSRGGKRGGSKQGRENQAKGSCTPPRLPPETCKAG GNKLQRQSSAGVRMGNGEKRAGSCEVRGTPARSVPKEKQHYRSSSVRDDEFRVDLTEN LSPLLTSVMDLTDSVSTGKLRTLYDTDDIWSVVRAKSSQGPDSSPEDRGPRVATAPRA GASFRSVTVLCLSRNGLKSLEPLPPSLLRLDVSENELRKISGLEKCRMLTLLNARHNR ICSMTGLENNLSLSHLFLGGNEIEFVGGIAHLILLETLDLSYNRLETQASIRPLSLTK GLQHLMLRGNPVMERIQNCFRPMLRNLCPSLQFIDGIRLTFAQGGGWSSQIENSKTWD SSEPVSAATGRGGASTSTHKGDGRSSQCGSYMHLLTRGVTIGGKTGYSDSLKMSRATR AMEMQRKSEEETKERQRRASTRKGVPLHNELLKKLAIDSKKYLEDVLAERLASVQQSY DGAVTNTGRSTSVSVDSPSMRSPDKGEELEDNEAEVTVYVMEEGNTTPRRADQPDGSA CRTPVKVWAQRRGASVGPQSAGKGSHRGALEERDEEKCRHVGVRRDESLNHRQWVQLR GRMETRKCGSEPTSIARSKQEACTRTPERGRVSRGGGSYKLSPIRGHANDKQAISTIA KRFLSDMGRLTPEVDERNSIAGGRQQKVAPSLQKASVQGPRVFSPSSQRTPTKAILVG ATTPKRRAVDSSTPKSEDTRHSRGSNQSHSILSPPPFYPSTNPAALQCKQACDEWVEQ LHEDAEAVQQALQTVVVLIATQRQALTAQGCDKDLLHKSFLQERQQCAGIIKNSGMLL DTEVPLEVVEHYAFTPEELNCTRVDESNMPDACEAAGGRKVGGSGHNNDVEVKRRETL NCIRLIGDAKTCLRYIVLLIEEKKERSLYRYVDEVTEFMTCEWEKFEHIEAWRVRR XP_843873.1 MIGRLLGFRINATALLVVLLLSTFFITLVTYRSLPPDPLEGEPQ PSVSRRRDKGLEYTTHLPPTLSIRPFSYNVTKVPASFWMVKPPDDYRQMTCSQLYNRH VFEYDEVNSQSPHHFFHTQITYHEMFRDPHPACGRYMLSHWFNEEHKYLFVLRASLPL ASDILEVTAGGWSQSVEVEQCGTCLESKENKACIDDVEFIARLFNENRVLAAQVIRPT PRLDASKAGSFVSRIVRFRVPDPGNYTLEVKMVHLNSNTGVPWGSRTLGVVSGWGNDT TRSVFTYNSICDVQRHVYGSPLRIRVVPAPRPATAALPPLCNASTNYNTSEGGQWVRF LDGADSSTPLGSPHPLAAQCTKGDRYCYGDPSMLTDPRGLNSHLVWVPTTCRLRIVSG EGRDPFPMICRRMSMFSGKPTVVLFAGGAIVNEYYKNCQSLEKKVGNSVIHCLYRKIN YNLTERRASSPRKALVELLDNIQEPVNVFVTNLGMEDLLEAWETKDWVEVMRAFAEEW RQQKVTLLRGPYTRWPPFGAEPTVAAPGETDWQQYMWDQNYRSKAMEDSRSTKCAFPC VEWAIWLSPPTVHYTVTGATQNRSMDWDRMAYKVLKKIGFTRLDAMTPTHSRQEGSWD GLRYMALSAYEPLSSPEPRRQVQEDSGGVSYMLFVILLNVVCFS XP_843874.1 MLLRVCSRSRVFFKFTSGLSFPYSNARFFSTSVRLFAPRRKPKS TGQPPSDEPVVVEEGIKEKTISIVDELADRAGELSSGVATAVPAVNKRVSSRRPRNSS GGKAKEESQVGAAGSSDASSEVRIQKVKIDTRLVVEEWNAVCADASLEDSEKGCEAQQ VESKVVTPPARGSTGVKGPGTIKIATIGACIARAVKYRERYPSLHLILRACEEKCLTR EGVIVHGAAETARNVEQLQALMAFLRVYRTSTFCNTVVYQEIMEKLLDCVITWQGEVT GARAREQQRDAAAAIKKAVLWVSLELRQNQLEAKPSTKAPPTGGVEKKRKVAAKKNAD GENSKWIGDVTLRLVDELVVPAASGVVEANRIDEPHSLSDVVVDLAVLFLAISIANDH DHISARTKKSWEDCTSQIQSAAIKRVNSAVTEKLQRISVGDIHMLAFDLLNGLFVLNG ASAALPPPVRDRLKHDMTDSFQHLCLGVGTRPLMFIDVLCKSDVARVVQSLPSQDLCD STRAAVVTAAALFCERADESAVKKLSCKLTKERREFTRNGACDSSGVFSGDNPSGPAL IHHAEPRSRRAKVAFGLFAAGYCPEAVLSSGLCVGHSAVEVLEVLYAASLFSRSFALD PLPARISTMLTSECASLIEARIRADTRAGMTPLETLEEPKAIRDLLERGRNGDGEAPN TSKRQRQRGGAAANVTKANSVPLETVLHLAFSTSVELLVRGEEKKLSAHLVSMLALLE REGSYYYLSDGLTVLIDMFVERYQLAGCYQPLTQRESSNATLPDVESSLSEVTALFVP RVVECALRQLSWAKRSSAMGTAECEAAKKLLQGVHNFTVYAASCGMDATVAKQLVGLH STVVKSLLCSGGAEGDGPSLFETVNRMDELVTHVGAKQRDLARVRLPGSSWQRYCSIV VNDVVAAHLKPVAAAIRRGGSNAVMTQNPSHVLSLVNLLFRLVSEFTIESEPAELQAF RTDVLDPALTRFLQQQNSEEERSKDALRLQALSEMTVVMCTPHTIHHLSPQLLSQLAT AVRVAVMEERCATETEDGARRRHLSKIAARLLTSAAAVCQLRKENLTGLYLEMVMAVS PLLLAGDVSAVLRSFVNYHVPTDTETVRSLRNLLAEEAASLSSSFSLQETTSALQTLC ELDDGGQFSYAAILRRVTNSGHILTPGEAGIVVRIATKLQRLGGSLPAEDLAFLVDLP SSLAGSVLRARQQCPSSDVPLVLKGFSQLDKDVYGELRDRVMKAYILRTIQTRQLFSA EEVVDCIESYATAQITHQYLFGVLLARVADVKLKFSLPLAVRLVMCGVNAAWDKSVQT ACLTAAQPVFLGLVRHLLQSDPASLSLVAGNAVLVLQCLTEAFPNDPTCHLVLQNMAE HHTALSMSTMMAVLQLIARKNSTEYNILRCMTDHAASVLFPQAPPQAFAELTRLFVQC GVRSKTLFDAVGKRFKEIVDDCSISDLVTLAEAFTVAHVDLEDGVISMMTSRTVELAK QPGVFFKLLQYISLLKFFSLVHTEPATKATTLLLTSAASALRELGGISSDVSTLTPVD IHVFLSSSIRTHPSHDVTLVEKCADILYAVITSESAGEGAEKTATSSWKADLLLIVEL ASHLVKLGLPTHPVVPVLLELLYERRSALMRRRLLLQTATDVVQAAGAQAHQQLYALL VEGKLAA XP_843875.1 MLNVRGLLSIITPLIIFFIQIIVDGVESWRHIGKSLYVVFCFFT GRSHLSYPPGLTALRRRKAMFVRHRRSGNSEGMRASSSGLSASEAYNISFDVTDESEA ASGRDDGEKRSDGSVSENCSESNIGSDCWVPRLVRGHFRGDVTLLLQNPRTRKLVSPC YHLCYSESLYHLVCNVVGPIQPPTISGLPGRHTAPQWPPHASSRSAFRHGLRDDDITL TTDEYSSSASPQQGTLGARARNIRVLSGGVAPTGITVSSRIIPGVTSVVYRRESSKRV DFNRKVEPRTQPQPIASITAHHVLSYQATRQKVLIVDLDETLCFVSTNVNASCQPPSF SEVIPTASGAELFHVWERPYVRLFIQTVAKLFNLVLFTSSTKPYADSILRRLDPDHRI GRRYYRQHCRQVRRFAVNQLVTQTDASEIFTPRESNPGNSSDCRTPSSSSATITDARP AAPLRSSFYASTPERGGQAVGASSGKPCCLGDMILVKDIRILKVPPELMIMIDNSEEC VSANRDNALLIPPFAPPTSPDAANGDTRDGVLLALMPLLEALLVVPDVRSVLRHGRLG S XP_843876.1 MGKSKKMPGTVDTTEADKATPKSIIIYRGEVGGSVRSLMHDWRA VFLPWSSKKLRGENRSLKDFLVIASSLSVSHLQLFTAPSYGTSLRIMRFSNGPTLTFR VLSFTLRDEIVAKQRRPANLGNAVWNVAPIVVLNNFTHPDARLRPEVPLLETTFKGMF PTVNIQLVKNTDIQRVCLFHYDHVEHVVEVRHYYINAKAVGVSKTVKKLLERRCPTKL GQLESIDEVLEREDVWSDTDGEGEEVPLAVPFRKHREQCRIKLQEIGPRLTLQLVKVT NGFATGEVLFHRFLRKSAELVARDEARVRAKRNERAKRKAEQDENVARKKSKREERLQ AKRQRREEAMRQQVENPLEVADGGYGADMD XP_843877.1 MRPTSIMFKGMTGAGIMLPAWALQEVGAAGTRAWRMYFTSSEAG SVARRSAWHDLPLHPSPDASVITFVCEIPRRTRAKLELVKEEPHNPIAQDTLKKEGNA LRFFKYGDVPFNYGFAPQTWEDPSVMDQLTTCGGDGDPIDIVELSSNPFAVGSVRAVR VLGLLGLIDEGETDWKVITEAIGPDATGTYGSLNNVPQELKATIVKWFREYKTADGKK PNEFVFGGELRNADDALRVIEGGSRQYTGLIAGTVRNPGYWLH XP_843878.1 MEFALSTMVCLFLHLFPLYSYCYCCCTYPFSDGLFTYNLSFVVV LLFSLLLFFLFLSFFFIVIVMMVSLVGLAAMMLSNAQESFGSAFSSAGNFFGGVKRQA FGMFSDEPPAEVEVLDIPEDTGLIGDVREMMDLSYSQRLWAFFMVLGMGIVFIVIAAL FAPTVALFPKKFAFFLTVGNMFCFGSTMFLVGIKQQLHSLFSAKRLEAGIAFIVSLLL TLFFTLYWKSSFLAVFFAVVQVLSMMWYALSFVPFLRTAVATVSSYMCRATGSIIGFF RG XP_843879.1 MFRGVLATTGRQRRVERRLATVVNSTGRRATKQWTHRVCMNEFL KQQAATTRREEKWKRRHGSSALGIQSPLTAADVKALHQQQSEALANETAYGRTVPSTS NEWNERSHLSRAQHCGYDTVDAHSGQSIAQQRFDSLARDAVLKYGPPLPVEFVAENDV ITIQNTVSRSGVGDSSSLRDSERDANHFYDEVQVRPQELFQMIRKLDSSFSVHTHADG VPFSLLIKNCSYFNVRGGRVHFMRCLRRVKLSQNTRGDVNTEGSDEPAEVIVVLSRYK MREAPSFDGVRQGPQPWLYSYKMV XP_843880.1 MNGRITGQHSAPAVLNRFFQHLHLHLLLPLVVISRACEELVPLT DIFPSGGDTLLNLNVRPVEVTIPLKGFGKGAVFTYKTRLYVYNGVPQLPGPTIHVKPG GKLVLNLFNDLGSEDYGDGEENMYTYHGVNSTNIHFHGVHGDPNIDDVFKEVKPKKSI RYRLPIPDDHLPGLNWYHTHAHGSTHLLLMGGLFGALVVDDVKGDDTLLSMPQVTLFI HLYRLRASKLCDGRTMDFVDKAIRNNMSSRPRIMNRKGKELELTSDLFFVNGQHKPTV TVHSGEAKLFRMAFAAGSCHLNISLPKACRFHIVAADGVPLAKSREVIAHWLYFTTAT RYDVVVQCDGNYTEKTTFPVSLVETGDTVFYIAVAASVSRHPMLVEFPVRSNLTGVRY LNTKGAAVKRSISFSQLTLRGKGSYYIIGQGTDCSSLVNSTTCYYEHFMGQKGHDYDK YHGFVVPLNAVVEAHIFGDPNDPMPHPFHAHVNHFKFVSFVPREGGMHQNVSMSDYGI FPGDIRDTIPIFDGVTVLRWRAASYPGEVVYHCHSLDHEDRGMMSSYLVYQQQSSNSS DPAMLSPGEDYELGVGMNRGTLLLLAILFFIAFIISMVIAHLRVDPTGFVQSAREREA LRITKVSTRNALSQSEHIPLVKKKAETQIP XP_843881.1 MVYTRWKCDRLPVFQLKLFTQEYPMHAAVGIFTIIFLWKHMSHC SEETERKYGWWAGYPYWRDPIARRNETKYKQMIINNDVDITHPKWTGCSVEQLEELSR VV XP_843882.1 MSKQKNVEINYDEVDETTGFKAAEMFVWLKFEESYLQKPEDERE ADVDAWADTFCEEMEGEGMNYDRNFVRSVCTLGIYAGLRDEFQQRTGSGKAIYANGDR YDGEFFEGKKHGRGRYIFVSLGKSECDRIVEKELQKLGDVVAGENFVKAVADRYKIGC HIISYIIEYGFHPCYHGDYVRGKRVGRGLMKNKDGTVYKGEFLENKREGRGMFFYLNG DIYSGNWKNGRKHGYGTYHFVGGNEYRGMWNDGVFTHGQWIFPDGVYYEGHFNKKNRP CDEAASMHYPALKMAQTGTFKRGTWAPTSALEVCEETPVDGMTWTD XP_843883.1 MAAYGIVESPDNVDYKTKCCCQTTDGVYFQVPKEYFRLHANLSR RKLLIAEPFGVPLDSSAFESLVVLLEKAAIVSPAAATAGTEVVSKGDGAKQQWIKDLN KRQQKFVGACLGVTTWDGADVYFYEEKLPKESDVVWVKVIQVNDTSAVVQLLEYGNHE GIIPYTEITRIRIRAIGKVIKVGKNEAAQVIRIDKDKGYIDLSKKQVTLKEAKDCEAR FFKGNEVRSVVCHVADECGVPPAEAMKRIAYPLYRRQPGKHAWEWLYELNQTKDVKGI LGDLEISEAEIKVLMTTLEHTMRTEIATIHADIEMTCFQCDGVNALREVLLVGRDFKA GKDPLIPISVTIVGPPKYRLRAKTELKEEGIVRMKEAIEMMKIEMAKRGGILKVVAGP FVLGEEEHTKEERNEDAEDDEGEDTD XP_843884.1 MGRQSQKERNLQKRRSEGDRGDAAADAAREELVRARLLAELSTL REKHDKLSIKLQEPEEDLGGSFGNWIGGSPAGAGSDWRADGAARGAFLGQDDRDAIEA LFNESNDSFTREFNEGSGSVERDGIPEKMMSSVR XP_843885.1 MNQKRRCTIFCKPLANWAHDLLQASMDSYVSSHDEPFRQHQQHQ EKHVEYVLSWPQVQQLRLNVCSKSLPTLMVPEELLTGFLNVDYARAALIHGPFERKVR MSSGDSLVTVDFTASALSMRGVALTDDVTVMKEAVGLAEERHYTSPFWLSTGELRYFM KGMNTLSLYLHCDFSAAFSAGAGSGGAIPSVLVHNDRGERCRVMNLSEFVTTSAKYKP KVKRNGITSTNDINHFSAFIFFREFTPVSVLTRSATFPKDIEDCIRRYCILSGCWCTI WGTPEEFREAGHDVHEGSLGLWVFDELGLPLFLVNALSCLDVAAAFSYVYPNDIIRVV N XP_843886.1 MFYPNSPQTSPQLTQIPYGQQYAMMQRQIQQPYHPFATTEPQAR LYPYHYQGPIQQEQGGFITQQSTAASPQYVQMRVTPVDQSIMSGYPNQTANGSSSSSF NYNIHPQQQQQQQQQQQLRHHAMPVGSVPLQDDRYRKQLIVNYLAPDVTSTDLHELFS RFGELDGARIIYDKQTNMSKGYGFVYFCHAEDAKEAVERMSGYEFHGKWLKVGYSTNP LNIVPSNSSPYVHAGSRGAAG XP_843887.1 MPRTLFKLSNDDYEAQVDCRPVRRRRRGSSGFEESAADFTIKSP NIIRWSGNIALIGKTLFTLWGRLLDSYRRPLGSEGTSTAAAPDGTLPFVVDTNDGRVA KRKLTSTQIPDDGIWQSTFGKGASLEVMFTYLYDAVENLDSAAWLIAFGIIDKLHLQY ALHTHRGRERISDSVAAYHSGDVASAATAIANNSNSNDNVISNYPSFRFFLGNTWRNL FVAYGLALKWHADAAVTFEYLVTLLPYASTQMQRYLCKTTARVEREMLRALDFNVAVE LRNVRELLDVFLTSVERMCLSAAIEK XP_843888.1 MVVGAVKESSQSLTETFDFGRNSRLLRSETETEPVVFAAPPQTY LYNHKTKGRRTFLQDQTTSSTRLPRILPKGLPYAANFISFEELLGCGTLQTCSQDEVD FSVEDLQLLYQAKCLDQALPPSWERKMRFMELISANCKGKFFCLRESGLGPMSAEAIA HILSSNNKYTILDLSGNRLLDEGACFIAKLISVNRTLVHVGLRSNDIGHIGGEALADA LLENNTIISLDVGAHSGINGNHIATEGAKAIGNVLKSNKVLAKLNLGCNGLGHAGISH IASGLDGNESLTHLDISVNNLGYEGAKIIADVLESSCITHLSLQRNNLTDSGGMVIFR AIAAAVENGEDRIEFLNIESNDLSTNSAKAIQKVLTVSSALKQLRISLNCFGSASKFI LEGLAENKGLKSLHMASCEIRETDGQPFVTGLSTNATLQHLDLSRNKLRDAATICIAE ALKTNKGLVSLDLSCNNIMDEGGSAIAMFLKSNSTLRELRLRRNCMSNVTGDLLDEQL RSNTSLENMDITYNDFRYKCLLGIRATLARNAETNKGLVVPKLKAEVEGLSFKEKELA QAEDEIEMERRIIKDRSEQLLRRKEEARVTVEKARRDIVDIEKTLATVQGRMYAAEEV LHRTEERVSNGMATINARMSNMEARLQQERDRVERANREMDRVRRQLKQLEEAEAARL RPLLTELSIAEEDRNREMKACQYEGDKLATLELARKELEVKLGISARNAVLRTPTSRS SGKSPSRSPLGSPQRSSRR XP_843889.1 MAKTVILDHDGNKDDFVAMILLLSNPKKVNLIGCICTDADCFVE NGFDVTGKIMCAMHRLIKTPLFPIGKSTATAVNAFPTEWRFSAKNLDDMPFLNIVEDV ALWEKLKPENEAHNGQQLLADLVMKSKEKVTVCVTGPLSNMAWCIEKYGEAFTSKVEE CVIMGGAVDVGGNVFLPTTDGSAEWNIYWDPPAAKKVLCCPNIRCVLFSLDATNTVPV RSVDVKGFGAQNQYLLSQMVGTMWAMSTHEEILRDGDAYYAWDALTAAYILEPTIATL EPVALDVDVSKGKSEGRTPRASGEGKPCVHVARNPSKQMFHDLVFASTRVC XP_843890.1 MSDSHALRRLLYAGAEEGSVAPRGHHHGGSYGTLISSVAVEQPA AVQPRSGLRLSSACLAGCFLGCATMRAANRERWWLGLFFMGGLYYNAVKAHKVGNGLV GHQSGFFAAGLGAIGCVGRLLVRVGNPKANRRLLCMFAALMWYEVGRYHLWSEHATEF RKEVTPEHAYGLLTEYVPPHIDTDLLPYRSVSRRRD XP_843891.1 MVSEGTSAAGRLEGESPLALRVDTGEIVAGCLAGFVEHFFMFPF DTLKTRVQSGDSTNVILAAKRISRNERLAHLYRGFAPIIVSAVPAHGAYYSTYEAAKR VFGEDSTVSITVSASCAVAAHDTISTPFDVIKQRMQMDGSRKFASSLQCGQCAVAEGG VRCLLLSLPTTILMNIPHFSAYWLVYEGFLAYLGGERRNRETEVAGDYITGGLLAGTV ASIVSSPLDVVKTQLQLGLRKNIPDAVRYVLVNRGTKGFFAGVTARVMCTAPAGALSM ITYETAKKFMEER XP_843892.1 MIRHGLTGPPASSDPSDAVLSGIYPLYFRDEFLGSCIAIAPRIL ITAGHHFNSLKDDVGDLHLLDAASEGEITVVYVSKDRVHDLLVLWVSADLNWVPLRGF QPPVGARVATVWLSTKWPHDTIVSPGTVIEVNRGNCVVRGTVSTTGSSGSPVVDQFGD HIVGMHLISNTRDGSRVSGFISSRMIVSALVAMGVPCREVER XP_843893.1 MLSTSGRVKRKNAAPLQKVGQTKTSVVDQLEEALQQRDFSKATT VLEFYKTTNQPVGDLPINPWLAYSAFHMNDVSKALDVYKELLSLDGCDPMHYIHMGCC HFVNGSYAEAEECALKGPDCSLQTRLLFHIAQKTYDEDKLLVYHQKLKETVEDQLSLG AVHFYRGHFQEAIDVYKRILLETREYIALNVYVAMCYYKMDYYDVSLEVLNVYLQSHN NSATAINLKSCNHYRLYNGKAAEAELRVLIDLQRSTYNVENDIVKHNLVVFRNGEDAL QVLPPLLNVVPEARLNLVIYHLRHEQIEEAYELIKDLEPVASTEYILKAVVNAFIGQK LDSEEHLKTTREYFNLIGSAQSECDTIPGRQCMASYFFILRDFPNVLIYLRSIKPYFL NDDTFLYLHGIACAATGSFAEAEESLTAVRSEKVKAEFSYMSWLMRAHIMNKHAKRAW DIYLKMETSAESFNILQLMANDCYKVCAFYYAAKAFDVLERLDSAPEYIEGKKGACIG VFQQVFAGQEPADSLFDVMKMLDMSVQQHANDPKVSQQFESILKVIKDWVKESKLKKK XP_843894.1 MRLLARLGRRLRARYELARGRMNLRRMSDHELIGRYCGLAFIPF TVCVCGGSMRSYATDRVHVAMEKRDKESSH XP_843895.1 MDATCVIDYGSHTVKHTCISKADKNSAFEFNINEAPTQAFAAND SLDIVLFGRHVAELISDTCPAGSALTLCLLTDTWLPRRKRELLLKCCFECLGAKRVSL VDSVSTALFSSGETTGVCVDVGYGGVRAVPVVNGFPQSFLGEDVRSVGAKNTDTVLRR HIPQASEPVLLALKSTVCFVGDEPPAVSRQISLPDGSTFPMTLSPSVCREAGEALLYN APTASAPNALRHMYQKSLLECPSLDRWVLVGAASGMTGVGRVLGEAMTHALTVTQREG PQYIAVKDPAHAAVCGGAILSQLSTFKKMCVTVEEYVEEGPHYCVRPKII XP_843896.1 MSTEDVFVESAGEKYRLQVLRDFRKFKELVLSTNAWTKQYSDSH TLVETRPPEDKSTGINIVRVKREMPGVTCEDLYDTLHDAGYRATWDENMLEGKNIATL SPHNDIGYYAVKLPWPLKNRDFCNLRSWMEFTNGEFVIFNHSVKHPNCPEKKQFVRAR SIITGYLIQPFGDGGCLLSYITCSDPCGSIPHSVINFTTTRIVPKVMNQLQKCALKYG EYFAKNGKCPREELPWRTPKMNWSSTFNYPGEEEAATSGANVGLNDTREGTVNRDGER VMSLMEESSLAAGSQYSSPACDGLTGRCVGAESATPTTAEGDSPVVQQYKDIMHRLAN VADDTFIVEGRAPAVAEYTSRVGVFVDGVRRTSPH XP_843897.1 METTTHVVNPGEEGAYSSITEAINAAVDGDTVLLKPGLYEELPK VFKDITVTAERDASLTESETIVTNGIVIGSNATLKGLQVRGRVDVRKGHAVIEECDIH HGCDGIRVGEAAKLTVRRSRIHHCESGGDGIYFSTGSMGEVEDCDIYECRVNGVHANN ARVVIARNRVRDVLFGFYFRGQSSGSVERNSVEHVSKFGIYVTEGSDPVVQGNMVREC GMHCAFVSQGGKGIWVDNSFDGSMHVHSGCDAKLGSNHVVGRLDVDPPT XP_843898.1 MIFYSPFSFLSNMRMPLVIHMAPAVMRRFTGSRLIGPRCCHIAT DSHVFFQLYGRHMEPMNETVPLSPSPPLKLEVSNNSSLFTSQLPAWSKALKELSAQLY AAPHSGFRRRSAIHALEVLNNALSLPHERRMPYCQSFWHKALAICGNIDEGEDIRSAA LLATVLYYGDKHKRVLAFASNVQWLREKRAMSVLREDTQYILRLCIVYVLSSSFVGAS FRPDMVECVKMHAENALRVMRGGEALETAREYRVFLYALDWYVAHCGNIDARDFIRRT FDPLVSDRLRPFIFRTDEGVPVEAFKKVSPASILRMGERCRAEGNTKLLRQLFTEVKH REDVDDRYRLFKLSSSLGNMLSLMSDVDSRTQSDIVACCGTSLSHEALAKIGGSSRNC SAVLRVLWNIGGEEAYFASRQVLFHRSPEEALAFQNECGDVLLADRSLVWETALRSMS ESIGRGDINWRETLPTALRLLSDAGKNSVFFQLLRESHREDETASLMTASALGQATRR SGQWWRALDVVDMIAACDPPRTTAEDMFLQDACLQTIYALRDAKRWKEALAFYTSFAS IALPPIHRLFCSVICGMPPSSPWEAALTVAQTYGEVPEKFLRTLRCARTPDAVMCAST EGAPLGLGTSSLKSRRFILQGFAEGGHWHHALQCVLQGAEGDVDFSSCVSLLRAAQRT SLGSLPGTFFSVLPDCVWGSAGLLRLCLLAAEAHGIMGSLCDALEKHESHEIATEYLS LTRFLIDGRLPPDDKPLSNRYVIYRILMSPLPSSSPAKIRVKWTKDALAAFESARHGG MKAFVQSYFRLHPSCVPKKLNRENSKTFALRSVMPQGSLQECNTLVAVLPRMLAVADK PSGTSTHAYARAVAQRARVGPMHTLAYLLSSSSSGLILLLSSSIPTKAVRLEMRIFLR VCPLGPSSIPLLSTTFYTSYKMEVVAASLPEVSVTVEATCSSDTDGLMAGSLYRLKES VSAEGWGICGVETDEAAAEEGLHVAALTLRLLHDECEGERVMLFETTNVPAWLRQACD E XP_843899.1 MDFDFVRMLQSSSCWHLCPTTDGKRTVFGTDDPNMKVPAPPLSP LRASAGSFTIRDETAPAPVEATRSGIQAAAAPPSPMQTIQMTPAGGAAYYVNPPAYQT VGMTSSPGHGHVPTQTVMLTPQNGRMVYMQMPYFQQTALQVPQPAQRVYPQPQGPYYS THVVQMSPDGQIIQPVTSQGPYRVPQATPARGSASTAPTAGVVSQQNLQAAPQVEAFD QPSGGISIARGAQLPEGTPFIPYGVHPTRCYTNTQEKGVVSPRAGLHRAVWQVPTTTV PTTTLERPVGDGSAMMAKGHAITSADPF XP_843900.1 MVCYDGSHSPCGRFSQKDYDCPTCGKVFTSSTPKTVCPCCSKLC CSQCVQTECVIFSGDRPFQVCVSCFLMLRSDRDSTALNVLPLYAVPNVSSKLSRIRTA TKVQPPSDTSSDRVVVDAHLRVPDAKMRMNATEKVNLERKAPLPSPRVLQQRNASVRK QESTVDTVREEKAPSVPFVGQAEKTNEGGRVVGKLQDEISRLKRENSALSSKLQEFQG HAEGAQRKVHQIATQTQIKEQAAQNPQRGGTDGAGEVVQPRQEQKSLEMVRSSSSAPM SARYSHPSIVHATILTVVPTKLQVALCEGIDFSDWGFDTLEVASLVPSALQTVAAEVV TRWKMFASEEEMQRWCHMVAAIENNYRPNPFHNAVRAADVVQAVFSLASATKPLMRHV TLVELKALVFAAVALDVRHPGRTNEFLVRTCDPLCYRYPGPGTLEQMHVATAFQLVEV PELNFTCRMNDESFLRFKTIVSKLICRTDTAVLEDHLEHWRAKAREGGFDYGAPDDRV DALSLLLLAADFGVISRGADIAAKWLVLTEEHAAQAQEERRRGLPVTPGFDLPTSVGR SQIAFLDSVVIPLFNQVQQLFPGIVEPSRNLRALRSKYAAMANPPVLSTSVVSNHGES RERQQIGETHNLLRRYHGVDDHRYVSPINTSVEGRDVLSGALEEPRAMSEGRVDHLHG KDRPFRLELGRCTGSTGTKERVNGVAGLEYTPRFLDAPTQHDYSSAPSSGKVGYSHSA CPAEKGGRIDRFDQHQIAPSRCVASVPEIRIEKPPPFRSSERDAMIPQRDNALSTPIR RALNSSTSPSRWDGDDRLVRKYSEMDDLLLHVRAMRVGGGLNHDHEEKHRRLRSTLAE REAMITEAAELLRQRRQQLRSGSAARSNEILQGHADAAVLQLRVAAANASVGP XP_843901.1 MKPRDISAPELKRYAIEKALFLGNTTDVFKVREVGTDRPYVLKQ MSLLPMGADERKRVLQEICVMSGVDHPNIVKFRESFSGNTSVNIIMECCKCTLEEIIM LQQEEGQPFPEEAIIEWMVELLSGLAHLHSRRVVHRDIKTSNIFVTEKNHLKLGDFGV CTVLTSASIATNSMVGTPLYFSPEVCAGDAYDVRSDVWSLGVVFYEMCTLRRPFEAEH LPGLLQQVLTRDVAPFDTGLDTRLEEIVLRMLRKDPKERPTSQDLIDNHLVVPPSHPS HASQKPSRGRLIQQYYGPELFFSCDAVTSWGNERGAEEKAAVMAVDDCSRKKLQVRQQ QKHPLTARGQTGAPKVPGNTAVPAKRNNIPKKATPRLDKGKELSSQERMEAMERIKSA KSKINMSELRKNMQQRRMELLGKENADAADGVPVVIELKQEPRSSQRADTSDISLSHE DLSRTGSSFLDDIAAVLERHSAGGAKIDLDQLDDAAALLCQYKVTNYGLC XP_843902.1 MSSVFIDGVEVSFPFAPYPVQEEYMRSVIYALKGSHNALLESPT GTGKTLCLLCGVLAWLDERRICFLNSGISDRTSLLRVVYCSRTHAQLSQVIREFKRTR YSSIFSMAVLGSRDHMCLNSQVLQLPSSHAQRKLCSQLREDRNCRFYRGYEARAAGRK DFPDELWIHDMEDLVSEGRKCGFCPYYYERDAAKDADVVFLPYNYVFDVSFRKQLPFE LSGSVLIVDEAHNLPSVLGSASCMNLQPLDLANAICECSRAMAMQRILSKDEDNNDDT SAVTSEQEFASLKVILCGLEACIVNEPRELPSKEEAETHAGRLDTSGSLTGCEIVRSG SYMISFLRKAAITHDIFFGDGGEGGFINEVISKALTVLSQSESTGVGLSKVQQFLSFV FERCGEGDDDSSYFILTDGKNTNGHANPRTLSYWCLDISRVVQSLVCDLHSLLLTSGT LSPLSHFAMELGVSFEVCLKGSHVIEEKQVIGSVLCRGPGGERLNGGYAFRNGVDYRI GLGMALVNISRITPGGILVFFPSYVALNAAVDLWRTGGGRANETETVWAMLEQVKPVF VEPAAAADAQTIVTSFQREVDANSTRGAFLLAVCRGRISEGIDFADQHGRCVVIAGIP FANHTDLFVRLKREYITRVSTKRPAVGGKPFTGNEWYMNEAMRSVNQCVGRVIRHKDD YGAVVLADERFVDRLHGLSEWVGSRCTVHSEFRGTYACIAKFFAPYRRRSGTSARQAG ACAQMIGGASSLGEAVPGSQGNSPGGCSEAAKIPSSAEMAKRFAAQVLEGAAAEKSGQ RRKILEEASEVPVAVSREFTKDKSGERSVPQQLPLEEAEASAPRPTFKKQVLPSPTTT NSAPPPTLLTGSSSKEFCQFLKQRLRQTSYDQFREILKRIAGTRTQLGLTDDDKKQVL RTATDELISLFTEAAGDRYGELLTSFGQHIPEEFQLYYAHLLRKRPRVS XP_843903.1 MGKENMKGGKNTGSSADGGKKGKDTSGGSGYTKVKVRHILCEKL SRALEALEKIKAGESFANVARDYSEDKARSGGDLGWVTRGAMVGEFSEKAFALPKGGM TQEPVKTKFGYHIIFVEDKQ XP_843904.1 MPPKKKRVSKEFDVASVGNIFQRIHKSAAHIPQCRKELLAYFAD NQIAVGESVCYVVLLVLKEAPRIPPDALKRQYNFLTDFCKECRERYGSDQIALTILKT VMGLHNANDKMVRLGVVSLFDALLRTVDSKNDTVARQNLYEDLAEVVKLRLHDKFPAV RERAVSCASYFQIGKKTCDMTQQLLALLCTDTSADVRRQILLNVRDRTEFTNGYFSSM IRCLRDAVARVRAAAWDALSRSRWEIVTACAHMRGVNLPSLIKEGLADGNKTVANACR AALTDSWLHRDNGDDCEATLQLLMAENCSCIDLESVDAFCTEMLMYCKRHNKAKKYVV DFGCVSAASLLLWKTSARLSADAEGEDEMAVLLPLAQFSTLLKDTINLFAHPDAEPET VKYKNADEADAMLRCLLSVFEIYQENGFLAHSDNTTRSSLLRNISFLLKIVPDDDPTL FVDIAVRTLKALTERTPEEATKTVTSALSSLFRCLRLPRKYSLGYDDVEAFGQKSRER HQHLMSLLMKNRLGGVEKEEYETLREEMDMDEKFLLRMQHIVFAFLSHSQRGDTIPSF CSHIIQLGRRLDNGPVKIVSTKSLGLQCLVNPDTVHTFMPLIMSDATDVTTEKGDCVS LAALGVVFDLVMEYGLRFFDCPTETRASGTYSNRSRSVAGEEVSYREDDDATAHSPAS AGGESALEARLRHEKALSREDEHKVGGGNLLNSLRAFVWTNNAVRSTMAVVGFSKLLS CSRVHPRYVPEIIADLLTHLVAHRKGEKTNNSSAYMVDYLSKFFQSYASSHDQRQSMF AQGGVLAFVAMLRQHVPTASWLIEFVTKLSDALILVQIRNIDPTAARQAARLDDEIAP DDEEKSAMGKISSGRRTSARYSSQNSQLTQMLSKYSLHEFIASELLIEIAQNDSEHAR DVCMTTLEKCMYFYTKALPSWLLFCCTRAIEVVGTDTPVRPRLESWRDEAVARFAVPI SAEAIESLTTRWNETVEKRDQAVVKLLNCGITSGITSCLGEMARPYESSTPFSGDSVP CTATGVKKRAREAETVFEINSTTNRRGRTDR XP_843905.1 MAEAARTVRHYVSDCLQERHPYDVEKGFAGRPNRDIGLVYNGLQ PHTAGDWIASLSDPAVGSLQRRRAVRLLIAHSASQEAKIKLLRMNVVPAVVAALITTP CAEFECQVFALLRSLCIISQGCHVVMEEGGLEAAIRSIQDRRNLAERAEARAAAAQVL YQISFNAAGVRWLLGAEVPPGFELMDPIPSSSKCVFGKKDVIAALVFILENDSATNRK MFLHAVTCLGQLTTQTEGIFAAMEGRAVHAVSSLLHGYVENGFDSSDDDVVSALLVVV TNVSLEQTGVELVDELNTPTDVCTLVGKYYSDPQPASYPLLRSLTSALSAVYKLLSMK MNSMTVLTNGFSRILVIYKFLHKINDVVTAAKHAGREPHPDVIAISKNLVLSTHFAME VKDVRTFTHSYLSKLDKKEAFYFRRQLFYSTQWEGEFDAAV XP_843906.1 MPSATGNGWFTALCAAAAAVAVPSSVYLFLSRQEGRRQGRKPHT AASTPVEEWCAEEVLDWLNSLGVSPPTMKNFEENNVDGSVLFLLGETDLPALAPKVGD AVRIREGLRKLRSAHPSQRPVHQASQQVAPQKAEDILPTGDDAENRFINIVQEVDEIR ALLESQDFRNARPEWQTGVRQACARRLAALCQEKEQLPAALQAKVAPLISGLQATFTC SPNQGEGATLPAPSPALEKQLKSLHDMVDDFVRVLDGTTADVESAPVMQELQNQVGVQ ISRVAAVARRLPAEYGEPLLNKCEAVIQRLQRRGSQSAAATADGTHGGVPTLGGVRRG LRPANFDLVLNQLRSLFTELKSSVLGEPDARRRLDKLMSIKTTLGGILQEAATWDDSE ATAIINSVANRVSSVFEQMEALTREELSSHGGGGTGAPHNEGEADNVDGYRGERGDDI PVTHVVANLQAIIQVLHSDELMDAPVEVQQQTIGKLGRKLRGLHQRMERAPRDETNLQ IMTMIETAQGLIGSVADGSDDGDETPDETSSNQRWSQRASMGRGSGELGPASGGAARS PGSTTNGLATSEEADLADEAVVLLEKVFEYINSGAFDALPPDEQGEAARQNLNQLQLI EQKCRACGGQQQLMGIIAHIKGILQQRARQGSNGETRSQLFLASSACVEEIQSLLDSS AFKSADAGKRKSFALCLLPQLQNVMSSLPHMEPTEAEMMHVLIAPISEALEAASTAPD STRDVSVSASVNPLELISRMEEIRNVLESEEFRNASARQRRLIVSRLVVSIGEIREQC LSLGEIATPLLPIVQEMHTQLQNVAAYTGDEAHEESGAGGASTPAVSRVANADDGSGD DDNEESADAVPSAEEGNLNAMLSERLKLFRSVADIADRLRRSNMEKFTVSMEELEPLQ GLLAMVYSVGISSNEERSLRDEFEAQLGEAMNRANAAVSTSNDVEDVRALPATLLKGT PPARVGADERVREALRSTRERANLNQGSPRHGQVQDADVVARMSYLQDMLDEATCRIH ENPPQNEEELRPYLSLLREAGEEGDRLPINLLLGFKELENSVVDAAQSIPASGGPRGA REPGMQAQEDTSNGDFAEGDAEESVTLLLLDISNRLREGSVSDERLDELSAMLDCLDG RGFTESFRHAVESVRQQLELQRVVNRNNAEESSLEDEEDDNGNTSEVAGDISRDSELD TSNKGCQRAAASAASTLSKIEDVSEVAESSENSASPTSAQGPDGGCTAALSDRALKQT KPKKHSKGKLTSCLEKLQKNVVPGGKKAELTLLPRLEERLLKEEQHFIENTTLADIQN ISKLILHLQANNDVKRNSGLKSQVNRLKEKFARQLVAFKGSDQQAAAVRSKLRLQCHD GDAFGRSVRNLVICTGTEAEAPGNEMRSDELLRSLTEGKAAKAEVPSDVVKEWAGRSV ALIFCEANSNEGTVLCELLMLQEVLVNRYGFDVYLVNYGDPSKAGDLLQEVAALDVKR LFLYFVTGDHDPLTPHAITFRNGSTLPYQDVINTVDNIDRVVLAHCQPLRLNVVSTLV GCDAEVKDNSLLSVVITEDAAVEIRPVRCWLYDGLLTPAVTELLSLDEERVLCPEDLV MYVVTALSSHIIDFGSFMEREPLGMGFFSPIE XP_843907.1 MCHGGRTNHFFTDSESCFMGDDMRLYQCFCPIATCTQTAVGPAC RLTTSFLTFCGLLLLFWFLVAAAYVYITGLMSEHQRHHLPESYSALPGGYYYEKLFGD GTDSNQNTAVPVADAEKSVSLIPNRRVER XP_843908.1 MSAFEDNPFAEDDGEPTASQLSTTRKRAREGDDSDAVGTGTSAN PSLFAIQQERWEKQKHDHEHFGGPSSTSSHSPATPGSAVHEANTGGGVPVEVVPTATL LAEYDTGLEEDEYNALVVKSAGLESEISRRDEEYAAELSAKTKDNESMQESVELLRAT VLRVRQEMELCRRAKDSADANYYSGSDVINGDDAMVELMQMVEQECNTLQRLASDILQ SSAPRIRELMETTDTAEEIRSRIVGVLGELPRLPSFDLQGERRYIEACRAAASKLDSE GPLGSSVLLIQALISELFLQLQNCESVYGSVELLSTRVMAIVSSLQELVHDSLEWYFR TRSRAFSGNSSLSFNANNLLPPEVFSAEVDIRQMNTTLHHLDATLSHLQALPHVVSLS HQNAPSVFAMSVTTLCEELESEAEELGLEVLRLRKLFNLDASTLVSNAGEPQESSCTD EDCMKNGASSEKVKWLNLLSQKLLKQNSSAAALCRTLRDATVDNDSPVVNLQMLFYRC YSEIQGLLLQSLTSLNRVLQQRARIVEHIRQVVMLDGDDEAFALCAERVFQGSEDGVE GLLDEMEESSRACIHQFSEASSKALDELMMRNQQNVQRLLEVRRFFIQVAENTDQLAY GGAAEGLRRVLHDAVEQQRRLTVSEETHQSEEPLEISVESDENGFGDVLDIVASEHKE ELREEIQFARRRGEELLKRRKWLAMQPTHKDLLELLAVHKEVKAVKEKLALAAQRQAK WAAAKEALEVKRRQVAEAQVAVLEKQSKLRELQQKLQPTGPFGSW XP_843909.1 MEWMYGPTKPLEVPRPQDHDYDESEMLYGVLAECPSISPNPVLT LVESMALRIVQRHSQNTQEQWARAYPFGSCGLSASVAESDLDVALICPSVLTTDIFFD EFPRLLYNSVGPVSVVAARVPVVKFEYRGTAVDVVFVSVGLPQPPTEEQMLDDSFLLK VARETRPSANGIRFTFEIKRRLPVPYDVFTAVLKTVKLWAMRRMVYGNVYTYPNGAVL AIMVARVCQVLPSSHPSTLLRFFFLFYTQWMSRHDRISPVYLTATLEGRGRIPGLPDS WKPSKDKICCDLFPVISPAYPYVNDASSVGRCGLEALYSEITRVQCILTEARTLPLEE MWEPYRIEEEYSTFLVVGVSCAGHSMAETEQALSVWSSYVASRLRILIYSIERHAQAR PCPRKIRPKKDNHVGGSSCFLSVNFLIGVKAKEGGPTPQPSLFTEACGEFHHAVKEGC NNDSIPWSFQRNDRAMHWPRVKFLEVHQVLHLLQDLK XP_843910.1 MHTAASKREEIQLKLEILRQEREREQRAFDELAGKNLEMTAAEV AQAALRKAAEEEPTSLKVGEMILRVGDYVQTIDDMSTLLREEKGIFIPDPVKQVYLGE RGKITRVIPSFQGKSAVEMRFADGASKVFLTECLDIEDRGRGSAKNSSGQQKPRCETS TGGETAPGVTPQSKPEAPPQPTWEMIGELRRAACVQNAKELRRSSELKANKRFDSTRL PSTDELWNAATPAPPTIIRCGGKPMLVSSSARAAAESNPSLSSCTETRRSGSDTLRTE GVSPTLTTKSRVQKKDGAAKPLNTPRERGSGGVALASSRPPGSRGPRESPAVEIASPQ VKPPEACVPRGGNHRSLPTGCPTNYQPKYSLNDGATGIPRLDSKDVTAKPRRCKVAGV LPGQIALQFKQVVLSGQAKTLPCVLDILTQALGWESSGRRVKRLFTTEGVEIARAEFI TDGISLVATTGHVYACPPAPSKGVKVEAASAEASRIPAGRPATGTPVRKSHSIGGSGA AGGQPKQQPKQQPKQQERVHCAPHTAPGKGILRPISVRVFENGEYGDRNNDRFPFRTV TLRPAHKTMRAVMNTVERELEWHALGKKVDTIYDATGCEVTSVDQLTDGQALVASAGD RFVIPHSSSILHEEVMKLSASSDYLPRAAV XP_843911.1 MRERPRITVRLCAKKDSFTPTSSHRFGDHSAMSRHGVSTGTVVL RNGEEVDHQKNMSNIARVSGSLAWCMTRLGGLAKGVMRAVLGVTTVGGADASRCGPTP DSVASSHAPPSQTNSPVPPITNNVTTAANTMHMQSHRSGVAAVARAECNPVVVRPGEF AGNAHQRGSQMIEPETGPYTHHYQYNFYYAAPSNNLPTVDPLLLARFNEPRYTIRPSR PSYRSTILAPRPTPEKRRRDQGDADASSTMRQDLLRRVTFEAEGLGGGTDRGTNRDGE KAAHVAGPTSGTTRTPSNPFSSGASAGNASASGEKNNAPRNPFSFGASAGSAAASGEK DNAPRNPFSFGASAGNASASGEKDNAPRNPFSFGASAGNASASGEKDNAPRNPFSFGA SAGSAAASSGIGAVTSKTASSGGFFKSGPSAVPFDDEGFADNAGGDDEDGSPSPTAGS TSGVTRTPSNPFSSGASAGNASASGEKDNAPRNPFSFGASAGNASASGEKDNAPRNPF SFGASAGSAAASSGIGAVTSKTASSGGFFKSGPSAVPFDDEGFADNAGGDDEDGSPSP TAGSTSGVTRTPSNPFSFGASAGNASASGEKDNAPRNPFSFGASAGSAAASSGIGAVT SKTASSGGFFKSGPSAVPFDDEGFADNAGGDDEDGSPSPTAGSTSGVTRTPSNPFSFG ASAGNASASGEKNNAPRNPFSFGASAGNASASGEKNNAPRNPFSFGASAGNASASGEK NNAPRNPFSFGASAGNASASGEKDNAPRNPFSFGASAGNASASGEKDNAPRNPFSFGA SAGSAAASSGIGAVTSKTASSGGFFKSGPSAVPFDDEGFADNAGGDDEDGSPSPTAGS TSGVTRTPSNPFSFGASAGNASASGEKDNAPRNPFSFGASAGNASASGEKDNAPRNPF SFGASAGNASASGEKDNAPRNPFSFGASAGNASASGEKDNAPRNPFSFGASAGNASAS GEKNNAPRNPFSFGASSGNA XP_843912.1 MAQVADECKALSSELFLSKYRIMKGIGKGSFGEAVLVRSKEDGK RYVAKAIESAAMSAKEKRDVQNEIRILAAANHPNIIRYHEHFEDGTLIFIVMEYADGG DLNTRIKDAKKNDPVVPFEPKLAMFWFLQICMALKYLHDNHILHRDLKTANIFLTAKN VVKLGDFGISTVVQNTLACAKTVCGTPYYFSPELCQNKPYNNKSDVWALGVILYELLT LQRPFLARSLKELLKKILVGQYEPIPSTVPAEIRGLCGALLQVNPVQRPSINRILESP FVQESLKGFSADLEKQAEKERAEYEARNPKITKPVKPVSTPEKPKEYPKEPQLSQKEQ MAKLRGMNRESIKAMLAEQAAAGPPQEQQQQSPSKSEQDDGHHEVDDDGEYIEQKKLI VEQSKNIVGKTNLGGHPEEFGDGPSDSSPQEDMIILSSGKSVPASQVRAILEKEIGVK LLNEAAELVNQLMLNNEISNVDIQKQLNELLGAQHAHHSNAITKLCVYEGKKM XP_843913.1 MTHLSAFGGLFSDPPLVSTDIDPKKTDPYDKMDTIPSRYLGKGM SIGRCPSGNGPEVFFDKKFLTLASAEQNNNKSVGPFEDATTRMHRERREAKKHNISNK DFLPPSFPKSINGPGGYSGCFQERPYEFIMPGEEGGPKSKKSKRKKKASSSEEDSKGK ELLPNIKTNPSKKGTYGYVGILLDNPKYNDDWRKEHEKLEAAEAKKRKKLAPPKPLGP LFRTPGVIHDYLDELPATGVSGVYHFEPADEKPSKRKRKNKQEAAGPTFVQERAMTFV WTRSGNEGNIDPFPNTWIDVAALEEEEKKKNRRRRRAKAKDTYVPGPPKGATGVWKPN TFECTSVVQSCLRRFY XP_843914.1 MKQVKKQQQQQEQKAGLVMLTPKNVHLHNVLMSYCEHRCLMRGS TMICFSPYRFYFCLLCYCCVFLLVLVELLFCLISFFFSSSFSPTYLIDFLFFLHFLYF NHSHLSPFLLCLLLSFHFSFFPSFLLLFIFFIGLLRVMARALAFLLLLKVLTSSAPPP PQKKKKKKVATIIVINKIV XP_843915.1 MQYISEPVQRLLDDSFEAFTKGSVDDAVAMLDAARREEKGTAEL HDVFVVSCMRASIAAMCGERSLEGYMADASASPLSRPVLLYLEGVAAMTSGTSLQTAS MKFEEALRVDKHFILARMGLAAVCFYMKDYKRSFSHYRAVLETVGSSAPGIVRVGLGL CAYRLGRMDAAVKWLERALAVNGEDVAALLSLLVVYLAQRRIKEVIDVVRRLQKVFPD NAMILLKTTDILYFRAVSQGRVKALAPSILHLLSEARPTARVEDAAIADYQEGRLLLA LGDFTRARVLLESSMQVLPNCLAARVHYARLLFLLGRDVEAEQLLLRINAEHPNQKEV LQMLAAHASHLGLHERALECSKLLTESVAPGDVLSWTLAAWCSRLNSEESAKLLTHVL HIYEELGTPVPMKLRANKASMCGDIGTLQNILESELGEDFLSKPELPVNYVPIVYNMA RLLETSDKVRACELYSYLVKHHPCFSYPYFRLYELAKAERCWRKAIMWMNLLRQAIPD EPRALVYICLLFFEQRRYAAAMNILRLAKTRSCVVALALGQIYLRHAQQHSGDSYRFL ELAKDRFHFALQKDKGNVLAAHGMACCLGLEGRHESCLLLLDRVGEIVPNCSYVRKHY EAHMANAKILSDSFKQAIDYLQRDPQRAPLQSSSLAFCLFCEGRYADAIAVQKKAVDE LPSEPLLRFNLALLYCASFVASISQKQEQSVQEAKELRSFLTEGLNIAHEFIKIESES RRLSEAKKLLKQLCAYCVHHNDLSIPKVVANGHRAALEAERQDAEWCRVYNEHLEQKR MLEERRLADERQRREQEQQVAGEILEDFKELHGHRVPQVKNENEGFAESPAPWFSTSV PQDLVQGELRSMEDDDAVLFSGDNFAGGVSGAGEDKSDTANDGNI XP_843916.1 MSRNHNRRGGIKRSRSNSEWATDKRDVTTLSNAAFEAYYKDNVI PAEEWESFMEALRRALPTAIRVHPSVPCATAVSEYVRSRLLHAALPVQPIPFIPDNMA FQSSISRGDLKRTTELKEVKRLISALNEGGFLTRQETVSMIPVVLLQVAPGNRVLDMC AAPGSKTSQILEAVISSNQDGVVVANDLNVARLDVLLHQTARSPGAHPHLIVTNYDGT QFPLLPKEDKFDRVLCDVMCSGDGTLRKSMDMWPRWNTVHGADLHVTQVRVLTRGMML CKKGGIVVYSTCSLNPVEDEAVVSECLSQAKGTFRLIDPAPFLKDFVTVPGQTDWFLL TKDLTQKLRTFEDAEAYNQSKQAHGFRYRKSMFSNAQVLREQNIHFARRVVPHQQDTG GFFFAAMECVEDYPLEDADVCTAATKQPFSLCSPALQEQVRGSLGLPGSFPACQLYVR NEVAREQKFYFASKATCKFFPKLGARIVHVGCKMFESYAKYSNDKLRFSTEGAASLVP LLPSEFIIEADAAFVLRLGANGTVPASELPSPPPPYSNFLLSCKTSLVETIYVAMERK VSGQFGAKVAEWQLSILKLTLGLPFVECTADGTAADECCAEES XP_843917.1 MKKSVSLKEFSTTPTVSYMLRKDGHNLAEVRGNNSNSLNGSVNG PRSPPPVFHKEEKPPQSDNNSELDVMYDME XP_843918.1 MRFIYAKTVRLMPHRKLLQEIRSMSSAASVEAERSQLVSLLKSE CSRSPPSLHDLCKVSMLCGAFCRRLATLDTIAASDVAKHAESLSSSINCSAPSAQVPL FAYALGEVEGLRNTRRLRYRQRLDDLTKGVPASRWDTEHITRCITELSLFEKLPARRR NDQGPPKRVCGESDIGGGSGPVAVRCQLPLRDPISLSVIATPARGVLCQHHEVFDLSA FVRTVQLVAVRRGESVDGALIQRWGTGGVGAGLGSSSDSDSSPAANCPFCGKYTSLQN VRVDEALAEAMARYSGNGGVLSVDCVVVWDMQSGSYNVMEKQAEVRPVCVKMEGDVMM EAEQRRGESPLGR XP_843919.1 MSFHDDNHFVVDDDEQESSVELPSSNSSFHEEMEGVSNEEGTYE ESDGKGGTVMGEEDDDDEGDEEEEEEAVDDEGDEEEEEEAVDDEGDEEEEEEAVDDEG DEEEEEEAVDDEGDEEEGEGDEECYDESEMMIDDCSGASAEEDHKEGEKYSVGGTQGD EGGEGLNVDECNSLEPSCQGSAWPSPSESSNVSPPPVILDPSVWGSSLSGAVAGSAAS AVEGPSFPTQLKAEVPNSTCEQATDISSGVVLENTGYVRSAQLLDSSTSAGVTPSQSS FSFKRPRPKSQNEEAAAAKALLGMFKLCFPTTRFDGRLKDLLAGSA XP_843920.1 MAVESRSRVTSKLVKAHRAMLNSVTQEDLKVDRLPGADYPNPSK KYSSRTEFRDKTDYIMYNPRPRDEPSSENPVSVSPLLCELAAARSRIHFNPTETTIGI VTCGGICPGLNDVIRSITLTGINVYNVKRVIGFRFGYWGLSKKGSQTAIELHRGRVTN IHHYGGTILGSSRGPQDPKEMVDTLERLGVNILFTVGGDGTQRGALVISQEAKRRGVD ISVFGVPKTIDNDLSFSHRTFGFQTAVEKAVQAIRAAYAEAVSANYGVGVVKLMGRDS GFIAAQAAVASAQANICLVPENPISEQEVMSLLERRFCHSRSCVIIVAEGFGQDWGRG SGGYDASGNKKLIDIGVILTEKVKAFLKANKSRYPDSTVKYIDPSYMIRACPPSANDA LFCATLATLAVHEAMAGATGCIIAMRHNNYILVPIKVATSVRRVLDLRGQLWRQVREI TVDLGSDVRLARKLEIRRELEAINRNRDRLHEELAKL XP_843921.1 MSVDFTSKAVELFKKAARHDEQGEYREAYHWYMEAIEVFITAIK YETKNVTKRDLLRKKTMEITERAEKIKEYLENCDANRSGGQASSAVGQKTASSAKKAK EDEEDDKRLKSGLDNAIIRVKPNVQWSQIAGLEAAKEALKEAVILPVRFPQLFTGNRK PWKGILLYGPPGTGKSYLAKAVATEADGTFLSVSSADLMSRWLGDSEKLVRNLFEKAR EAYREGGKPAIIFIDEIDSLCSARSDGENDASRRIKTEFLVQMQGVGHDDEGVLVLGA TNIPWALDSAVRRRFERRIYIPLPQAHARCQMIKIHLGDTQHSLTDEDCNALAKMTEM YSGSDISIVVRNAMMECVRSVQLATHFKRVTGPDPKDPTRTVNDRLVPCSPGDPNAIP MTMNDITESEKLMPLPVTMQDFIKALRTARPSVSSEDITQHVKFTEEFGQEG XP_843922.1 MFTTMESAACGECGASNLALASCSDCMRQFCRCCVSAAGQCRKC AQSVESSDKLCPTCPLMNETTHLCIACGRKVCRQCVRTVYTHRPHFVICTSCQAPAEV MNACSRCDGVITNVNDAHYCEICADTLCSHCLPLSFECGVVKCFACCPSPVRLLKNRC VRTQLTDQLKVIIASVAGQRKSKYSTLYDHVKLGEGGQGVVYKCRAKDGEVVVLKEMR FAECNRPVYEARLRQAATMQKLSHRHVIEYLDVMGSENPLKISVVMRYYSEGDLSKFI RRQEAPVSEEKLCSIALQIAKALKYLHNQSPPIAHCDIKPENVLLLNNEEQVLLMDLD LCHACGGDNAEESDLLFFGQASPTFEYRAPEMGDSSGSPKSDIFSFGVLIFVLATLPE FATLRNEKGVQSVLSDSDWTKSSLEKAVSTAIRRRPRKYKDELVNLIVAMLRHDPRER PTAAEVEARLSDIMLSLLLKKK XP_843923.1 MATLNNLTVGSDSDSSTEAPLAVRPDAAAAAEGAAMEGNSAPGE AAAPAGVSAGEEAAPKEGEAAADGSGTSPGGKEGEGEKTFKKISSESTTLTHAASKTD ESAREATEGGDANANAAAGSSKVSKELTPREGASKSEQEQGAAAEKSAPNPGVAPPLD PAAVRSKRSSVKPSPAAVSPASREVDPNGKSTSQAGDGAEAKPSKTREKRHGTQKKSR SKRTDLEEEISLMKKAEKCGIGAGSLHDIVSVSGGDGLSPQPGSSPAKLSRSPRKMAS RHGEGSKGNKNGENVSEGADGQQMPVVVDIPVPSVHKVFHTNRGDGRVVVGDISGIYT KRKRKLVNEYRTEADDKENSPQISPLTLQNVGRHIRESGGVHKGYTARRCYSSESSLF KSCVSLNTDPKTASRRKRSVRRGGGRQIERTGRALQRLNIPAYHRRLIIDMHQKGISE RQAEEGSNSDDWLHTAGMGRRYSVSPERSARLGGHDRLPQRRYHSTIDGNRYGYSPRG ASNDRLPERRRSKRGEDYRKEHTYKPTISKFAQALPRSDVPCHERLYSARPSVQRNKN ADGEDYEAPALSPRLQEFVNNSSFELFRPHISKRARALEVEAPFYDRLHPSKEELARK RPEEPKPVPTPRVSTSRPISISPRLLARREPPPPESLPSFRPMITPRAKGVECSKPFY DRLHPSKEQRARKQKEEEPKSAPPRTSPRRAVSVSQRLLEPHQPPPYEKYDPSFRPEI SPRARALERDGPFHKRLYLTPYELEEAHALHRRRQFESSCNFQPEIVELMRQNYDAKK VKRGTSKPVKAHRQRS XP_843924.1 MLFIAVRVLAPMPKGNNAIPHVHQRKHWNPCSSQKGNVKVFFNQ PAQKQRRRRLRLLKAKKIFPRPLKALRPQVNCPTVRYNMKRRLGRGFSLEELKAAGVK PRYARTIGIRVDRRRKNKSEEGMNINVQRLKTYMSKLVLFPLNRKKPQKGDATEEEVK AATQDRSRYGTAAVGGLVTPAREAPRKVTEEESTKKMYKFLKKNHSAVRFFRARNRRA ARKEAKENEKK XP_843925.1 MPKGNNAIPHVHQRKHWNPCSSQKGNVKVFFNQPAQKQRRRRLR LLKAKKIFPRPLKALRPQVNCPTVRYNMKRRLGRGFSLEELKAAGVKPRYARTIGIRV DRRRKNKSEEGMNINVQRLKTYMSKLVLFPLNRKKPQKGDATEEEVKAATQDRSRYGT AAVGGLVTPAREAPRKVTEEESTKKMYKFLKKNHSAVRFFRARNRRAARKEAKENEKK XP_843926.1 MWDPFRDIDRIFNRVLPLTGAGSFSSFSRGSWSPAMDLVEKPEG YKLLVDLPGMNREDIQVGIEDNRLCISGNRKSLLKDDEQHLAVFLERGYGRFERCMNL PSPIVEESVKARLRDSVLVVELKKNNSPASSAATSVTIQ XP_843927.1 MGCGIATLFPYSKGSSVSPTTVRGENYILSTSSDLKELKAVVRV PLEQSDPPRMLGKEKYIISQGAVVMDDRPVAHMPSAGILLLYCTTDHIDFTVSYLEHD TRAIFAHSFTDKELADSKDDAGITFSWGSFFKSLASDVQRQKATVTPAPGGGKNVNFT VTNIKESDLMYKYICKLPLVSLPGDEDYRVRVVEYIVEPMTRMTQIRRRRSEMICPRL SLESLECERVVRSAMLQESKKKVERLLADLKPLREESSVAAQKTMELAFCVNDLRKRL RLLCAAPPSKDPLDVLYDKGGAQYFEHTTQAVKYYPVETDVDTVVLACIRAAFPLPPG APAESAMDVLDLPELQPLLDNSSRQAVRDVLEILCGIDKWGYDVIRLEIMTNGNSLFY TTYTILYKLDLVARFQLDDATLQRFLLGVQSGYHPNPYHNSMHAADVTQVNYYIIFIA GMKERCQLRPEEQLGAVLAAAVHDFDHPGLNNNFHTRTNAYLSTLYNDRSILENHHIA SVFQLLKHPSYNVLAPLNDEQFRTVRETMVEMVLATDMSNHGTILKRFQSRLVEASDW SANKQDVLVALSMSIKTADLSNCIRPYYIYSEWSSYIAQEFYKQGDEEERSNLSISPF MNRKTSDKDFPEGQKSFITYVVAPMMEAVVMFLPHLRFTLQLCENNRTAWCE XP_843928.1 MFSSLVLVSVAIYKLYFPWYVLLRIFDTLRYPFFPRGLPRTCMA PFHYYCHHFFSLYVPGRRNIQMGDCFDEGCEKASSALRSFEKRVLSALEAPLPECLMR SELNDRVTYGGVADEWDSWCQRDPRGVGCSKLGADAHAGAAEGEGSHNASGLATSDAA AGRDLRNPTERLTSALARDGGVQRLPLQSKQGELLGMPMDQRGGGQVLLSNRGSVGGN GKCTPAWLRCNVGEGHVGKCGSHHLAGIATADARLEDVAMYNMCAQLSLRGENVQTKA GASAVFVGEGAAVLNRERQGHSFAGRAPRTEGSVWGLRAPLSHGSDRSLALACQHVDP DPIGLGPRRCRSAPHFNEHSLYLNRDLTEYSPWSQTMYHEGNLGRFGRRDAYSRERFR QRTRVSDSRSVVARCQKWKDQREAKLNELRVLQEENQLKDCTFKPGRPLAGSGTSHVP KVFSDKDAPRGGRMRTMQSRGSRWRPQHDGSLQRCETSLFLGRASESQTSGFNSLDEG SPFPPRRHVRRAGWPLASQGCARSERERQLKLSGHSSHSLCDFSVFERIYNRVCNPEG AGSCCGAESPPTGSACR XP_843929.1 MDAGKLFHLLAAIVFMKLLFGCIFARVPLEVRANDHPPGESFQH AGSMGEVSVGVPRRSTRNSRMDTDRSVRNWGAGHGVGGALPGLFGLIPGIQELMIAFS CLTWPDMLWRYFLFLLLLLTFLYFTENVRDLLYVRPRMLRLGDSYLTEKPPRVGRDVA LSYDTPWCLYEVMKTTFFAVTGLLAVRLFIFVLFSFMTLVVMSMAGRRGRNRNDNPLW FSFFTLLAYITFCVASVGIGFYNFRVFGRIADRSECKILISNHSCVFEVVLLFALAQF PSFITRKETKLPLFESIVRLSDSILVDRSAAESRRRAAEAIAKRAKDRDPLVPQLLVF PEGTTTNQRTLFMFRKGAMEPGEPIQMICVGFPYKHFNPCWNGRCCGGNSFGVLILRL CSQFVNRVEVRPLPIYVPTESEREDPILYANRCQEMMANVLGCGVSECTYADYVALLN EKSASAPVPPKRFTKG XP_843930.1 MSEVVPTRCVDWSLNTDSESGKLFLWHNIYNISVWSNTLGLSEP YDGLDDTSVWEAFLQFQISTVYKLCGVCRKPEGNEDLKVCCYCGQAVHKLCSTKAASD QIEWKDANKKFVSHMRVCGSCDGVEVPAAFTPPVREAPDARRVVRRALVVRDEYPEEV VRELESLEKKACRPHSKEEDDRLLGMVCRAVTRFFQPRNSLHLFAKVRQESKGGVGVV ALKNIPALTIVGVYPGYCDALSGEHAKLGRPTAKYALMDLNCADYFNVVFEEFQSTFT PFINEPGEDERSNCGWIQETKNKDGRLSVMTCRGIRKGEELLIGYGPVYPRSYPYTYD AFTFHQVESKNCAVCFALWHWPTTDAEDAVLECHVGYDSATDSYHLVDIEDDSKRS XP_843931.1 MVAECAGGFSTYGGGCPALKQVSSLTLPEPRAPSGKSGDIYGRP RLNYRVGSCDGSKSKSFFANNGSREAILQDHGIPPPVSSSLFKVKADTLSMVTNLVQP SPPEVPCPRRARSAMSTSYGRCSPQTVDRLPRPGTGRCSSSVGCSKKRLLVPPPDGED VGKLVVVLDLDETLVYSREGTIVPRPGSQRLFDVLRGRCEVLVWTAGERLYAMEVLRM IDPASCIKHCVYRSKKWWTEGPGCVKDLTSLGRPLDRVILIDNMSECLRANPRNGLLV TDFMGLKQMGAKLDTTLYVIADVIDEVLRSSKVSIESFHAHPQLQRRLIKCSAGGSIE VLTLRQDRCESFRRPQRKPTTAQQWQRLIP XP_843932.1 MHDSVGTGTRVQVGVRIFPTRQGERSVVHGDPDDNHVVVIDGEG TKGGSALKFDRVFTGSQEEVYDFVGRPMLKEAFEGFNVCLFAYGQTGTGKTYSLFGDL NDKTRCGIAPRFAQAMIEEGQRRMEADNRATIRFSLTMVEVYMEKVRDLLAPRVRGQE PEALEIHEDNQRRVYVKGAGIHPVLSLDRLMELLSIGNANRQKGETKMNETSSRSHAI IQLTISQQHESLETKDVESVAMLVDLAGSERQSKAESTGLAFEEAKKINQSLLMLGRA LNSFSDRKGSDGFISLRASKLTRLLSESFGGNSKTWMLATVSPSASNLTETISTLEYA QNASAITNKVRVNEATGSDELKRLRECAVHLEEKLGSLGSERLKKQEELSKLIWERDS LRRSLASSDTQSNTNMNLVRAVNSIRLGNIALRRRVEAATKGCIASLDGRLATQYFKG KSSISAKSIMLGGRRSFTLGLLNDYGFLTEAKLHIQLFPCDPHAYAREDPMILVGESL RFCLNVVGAVGIPESCCAHVFCRFSMLFDNEERYFATRASTDTQTPRWNFVKLFEVPN LTEEIIRSFCERPIFTFEVFAFGME XP_843933.1 MHDSVGTGTRVQVGVRIFPTRQGERSVVHGDPDDNHVVVIDGEG TKGGSALKFDRVFTGSQEEVYDFVGRPMLKEAFEGFNVCLFAYGQTGTGKTYSLFGDL NDKTRCGIAPRFAQAMIEEGQRRMEADNRATIRFSLTMVEVYMEKVRDLLAPRVRGQE PEALEIHEDNQRRVYVKGAGIHPVLSLDRLMELLSIGNANRQKGETKMNETSSRSHAI IQLTISQQHESLETKDVESVAMLVDLAGSERQSKAESTGLAFEEAKKINQSLLMLGRA LNSFSDRKGNGGFISLRASKLTRLLSESFGGNSKTWMLATVSPSASNLTETISTLEYA QNASAITNKVRVNRAERRLNFEAIQSRVATLKEQLGCEAKVVSDLDVDIISARDEIQF LQNMLSCRCDFDVEVELREALEEREKLLLHLKSALGQDASSSVHAQPPLSCFYGTCKT SLGSIPLGGSEVETMVVQCYNLSGPPPLLICHVHIHLADENCVAVVVRIREILHLPDY VTGGAQVTMWFEGHSSSSVRTPIVGSNGGNPLFSLKQTYILGPHTKDIERFLRAEVLN FRVDGFILSECV XP_843934.1 MLPLDSPPVTQLAKEFVNFINEACTPFHAVEVLSSWLSNAGYQR LKEGETWPKLTNGYRYFITRNDSSLVAFSVGGRFVAENGLKIVGAHTDSPNLALKPRT LAGRSDYQGVAVQCYGGGLWHTWFDRDLTVAGRVIISSSKLVKRLVKVNKPIMRIPTL AIHLQSVEERNAFGPNKEKHLVPVIATTLSHTPNGDVSEHHNSQLLILLAESLGCRPS EIVDYDLSVVDTQDAVVGGANDEFIYAPRLDNLISCFCGVKALLESDKTLEEENMIRM VCLFDNEEVGSSTSQGAGGSLVPDVIEYISASGGLRAQLVANSFLMSVDGAHAVHPNY QDKHEEKHRPLIHRGPVIKYNANMRYATNGVTAAIVKAIAKRASVPIQEFCVKNDSPC GSTIGPILSSLSGIQTVDLGNPMLSMHSIREMCGTADLLHLKNLLEAFFNHYTRDMVT S XP_843935.1 MLIGMKYSAPKAIVISLHFPKSRSPYIGTHFHVVCYEGDGPWKH LLQPAECWCSKLLTGKKKCATTARHHGMPRTFEVPMSIMGIHNHKRILNRGIFIFVPN RIYFINNREFLLTRRTCVYFDTTANTFPKKKKHMYDGRNQVFAFMHHQNLLFSRNKKF EGNEKKEPNPF XP_843936.1 MNNSLKKGSQSGRCCRCGAAFDHRNARRAVKCTKCQRIFHTKCF LAETGLSAAVDSHCVVCLCGISQTPYPLTIQQNTLARKFLRDGFVVVPLTRKPKSKQT TLEKLATFRANAERYFGAFIRAYENELEISSKAPSLQSGYSNFRERGKGRYEVIFPSI REEVLSIIENCDEVTMTLDLLLAPTGKRGDMQRKLMSCGCFYSLPGSLRQDVHTDGPA LSTVEDLFPYAINVFVPLVPLNKTNGTEFFPGSHRVNYSSKPVSQQRPVTPTVPLGKV LLFDYRVLHRGLGNRLGFHRPCYYATYARSWYEDKFNFSSTRYRTALHVPSYLLERRC DREVSKRCKVE XP_843937.1 MGLLTLLRKLRSSDASPRILILGLDNAGKTSILRNLSGEDPTTT QATQGFNIKTVDCEGFKLNVWDIGGQKAIRAYWPNYFDEVDCLVYVVDSADKRRLDET AAELETLLQEEKLREVPFLVLANKCDLATALSPEDISTALNLQNLRDRTWSIQKCSAK TGEGLQEGFMWAIKSIKK XP_843938.1 MFCVSAARLTALASHQPILPPSVSTRVAVMRFLGDGRSRMPNIN TINTLITKTGAKGLAGNASVLGKAMFYLKRGNPVGAIAEIDKILPEISDDALKRFAVG IRLRARNDLLDMKESALKVHGGITELEVSDIRTALRDDYKVLEAASPGCWLFELAVAE YRLYEGCAKDAYDSFRLVEKSIQEYILSLKKPSPSQALHGTDNHASLVLAFQLSRART PNVPKVSSKIVDEAIKGIVEGYSTKEATLAFKKDLGAALTDEEVVELVYIFEAAHIRH HFHDYFPLHEDYRGWGSERANAAKGTLASHSTSSVFLDDKLLASIRTSVPHQPFYAGE DELRRFMSSDHKDLIAELRHTFGSGPKTSLAKSEDAYFDALKAVENSPMSADAAGSAA YPVSQNDICLCLAQQLLYRTRVNIAVALTQLDRLEEAVPILDGVINADEYIYMWRALL ARGEANKRFGHIGKSDEDFRQLLQLKRITSADA XP_843939.1 MFDYLLSLLGLLKEWPQYTLDDVRKHNDRHSLWIVAGNSVYDVT SILDSHPGGANALLQRGGGVKDCTNDFGYHSRAAQAVWASLKVGEVRFDSSEERSPLG LASALKEESKSSSKPVSPLIQMCDMKVCNSKGGCCRTSTVESHIGTSEFVPECHCADC FHRRRISGKGTASCSGAKSS XP_843940.1 MGEPKQTLYIRGLPDKPSAEEVRRLLYLYCTQFGPVIDVLYCKS KSMYGQAFVVFTDVATATNARRELHERQFYGRIIQAFYAKRQSFSADPGERRRRDLRQ ERVSGGKRRRDTQAG XP_843941.1 MATELKKGPLPTDIEETVITIMREEGVRYITAKILRMRLESKYQ MEFGPHKAAIDDIVARAMQRPEFKKQLELALKEKDASKSSGGKGSKRARSAGAEAPSK TKKEMTEKPKKPADYPKPAVSSYLLFVADQREELKAKNPGMQNTAILQTLGKMWSDAS DDVKEHYRKKAEEDKARFRREVDEYKRQGGKEYGRGGKIKKDSNAPKRAMTSFMFFSS DFRSKHSDLSIVEMSKAAGAAWKELGPEERKVYEEMAEKDKERYKREMAALPK XP_843942.1 MSSCERLSPKQFHSQESRLYTEKCVRELMQTRAFRDYVGHLEYS ERMQFSWFVALIGLALASYYHAIFMFPVNCGTYAGNFDEATPFFLVATLLMALFVAPS VMVAQISGFFEPISREEVSSLLTYITFVNAAVVSFGGSWLHNPPYIVSEPPVPWLSGT ISQLDELATRTILRALPLHGIVVGSWLLYLWQSRGWRRVWKLPLPLLTAPVLLAVFTW FWFRVTSPICAQLLSSSTDALELVDVFFSASDEMIKSFSSIFSTPLRLGAFISPQDCC RLWCSTLAFSLLYFAGALAIPVIGGIAILISWVLPTHPTTWGLSFILSIAGLVMQVQR NESSVVALFALLVAIWALGRNGFSLMN XP_843943.1 MLPSAQEVVEVRSPETVKLDLVPDDATAGPEIAGAEHHHSEDSG AAMSHSEGDHREDTNGAMVSDTKSSALDLMEKPEGDGSEEYRKPPAGTDSDTCDAAAE HLFSEEDGEEEGSQQEERDEGSYEDRSEDEEEVEGEPDGEGSDEEQYVMEQEEDYGFV LPPETSKDAVAKVESFVINGKKYTASSFVHNAGFRVLRELKGKKARDLVICASRKPHN ILSCKNLHLTNRAVCVKDCYLRLNTLVNNPGKEALLVDPTSAATALFCERTDADHDQS ACTALHLMPGIVYVGFPPLRVGYFEGELHKNIALTRLQEGSDTCGTWCAGRRSHIVVT CSFVHYNRGTVYKEAPSPPALPTALFPGMQPREHVGRGRGRNPLGRGRGARGRGGLGR GRGCGAATEGHDRSNNARGRGRGASKELSAGRGRVRPVNVVAAQPPLSSAVVCEEVGG VAPPPEVAITEGGMRAHGVQFLNFVLVLLSVIIAVLVYMLM XP_843944.1 MVYPFSKQPDHFRERRSLLLPLSLVAGSLFFGVRLYKWWSEYRE SMEDEQLFGETSASPLLSLDGGFPPKVQSQTLSAREGSGFECSSGVLTQEERGEALRL ARMLLGETPISDSSSLGSGESSDSGVTDVSLNYQIAVELEREARDSLTEAVVQGEVAR AAARGRPLTLADYSTVLPLVVEYLEVSMDREELRARRAAKRLVDQQNGGLRFSSGAGR HGPDDFFINDVDEISRRVAQMRSADISKACADLFSRSEEMDRLLRAPANNRWDDCMEM DWGGDDEADLMAYLDQDDSAGYANRAEARVGAQLQRDLRTFDDEEMYDDDAQEEESED LDDELEKADFERMLLSKLYLLAESVGMEDAACECRAKRHKEGEALGFGGGEPRQPDTV DDLEEDHLQISDDADSDTWETEGDFEEEEVESSGG XP_843945.1 MCASFQKCSAVSQENVIAQPYTWLLASSMLSKPISSFQFFTILV HTICFTCIRQNIIYIQRIYITCAVSIMLRRMEDCYIDYVAGLLARGNIDMNVVRTTLV SLGFDDRSIDIMLGEALNLRWTSGSSSTTLTASEPASETASRTSLEKGAVPFLCGDNA VKLSRSYPLGEVPEGTGSVDTSQQRLPVDFRDKIISLSSTGSHSCMCQEDPSSSCTTG MGYEPEVGLPPFINAEGCQQDRGNVPGERYKRHLEALEELLGVVWFPSNEVVDRCVPL RVRNELCRSRLKKLIMCGKGPDKILLPLPQYLYEAEREDWGTPQKSYDDRAVRFFRHL DTCDRHHSSIQRRRSRSPRQRRPCDGALGHCELCRTLSYRFLLSCKGEQRSRPQTTSA AAGGACHVKMRPLSVNTVGCGRINVKAVATTLPRREDRVGKALAYRAAWSRFGLWPAI XP_843946.1 MMSTAPTGGFGGFGAAAKGGFGAVTATGGTGFGTAATGSTGNFG GFGTATGSGFAAVTTTGTFGGFGAGKGFGTTVATPAQPHLPQYKGIKGPGSSTEWLHG VNLSILRDDVVFEDLPVPLQQHLTDFHNFIQAEHDAKQVVEAFLTDSATPNATPAPSY RELVKKMNQLASGENAVDGIRVECFEREVQAHRLGQTLDKCEDDILDYMKNVWKPLSE IDFTQRAGGNRPKPASEPFQRILREIQGCMDAISAAVSELEAAVVPCGRRVRGPVTDD ASRSNAAPEPPCASASEFLTKLTGGVELPDVPPPGLGSAASAHPVSRINASLSNQLTT LLNLAAWTLRLHTRADSARDLFVHNYGTSEAELLLAQQHQQAESSAGPRRPFLLPSST GAAAAEVNQDTVGDIHRRSAFPHRSKALSNGERKMQYDVLLERKYQTAAPAAAPLSTA TASGTASSVAGATLGATSSTSTIATGFGAPQSLGTIGGATIFGAGTSAADPRKTLNKT GRS XP_843947.1 MSHSAFLHNRLWRKQAGGLTIKSFNRFDDLGPLTVPVSPPTSDL SDAGITVRRSAYSVLRMRNSQPGVCAQNLLSCSGKSIEPEMHKCCCSALLYRSPHRGE ASTTLSSDEELERDDFVFSLKLAEVEQMLQELVGVVRYEELVGPALADARWIGMCQSM PEAVEGAGGWIARVLLTPEEEHRIKWLREDGVQLSDKLACIPSFKALYVAFHTVGGEG LGLSHFEVIEKRMREGSVKWDMSDAAVACFFAMHRVESRPFCCHVVRDVLLETGSQGG MVAATAPEPTLRHVLGATLLLRMVGVLLLEAQGTSGAGLDPPMYLFAAITEFLAFVKS LPVGRFTCVLREIAVECRARVASLASFYTVPVGSSCLPDFTLWLLREVPNSASCHLHF RHMTLRSHTEAITLLEKSFLLSPQCLDLHVVVDVLFPLLDSSEVDDFYFIVFNEVLTR LGERLHRNVQSFTEDDFRLITALGVRLFEGQGSGLGQSSSQTAGNCPFDAKKLEQLEQ LQVMGGSPWSFIVLSYDYLKEVMRSLVRDGRQSRYQRQYGRSPISSVAEAAALGGGGG IQRKSLFPKAVERGKNNIPCIITTTGTECPDASEGGLGSDGAMTFRKGYPLLSPSSLT SLRERFVVFVEELVKTLVDERGIHHRSSSVVSDDLFTFVSKHMSLPNIHDEYRRKIYL LVNIMTKETSTRIGDEGRSIATSEDDIPPELRYLVTSLSLMLSPWAAVMILRDVLSES SGMNPRYTYVLNAAIDLQLPTSTTRSRMATTLNMWRFISSQSRKMTFAEHMAMKRRCA VNIPLSYILSSYWSLLTWLTLLTIVGLNVAGLDLESQYAATCLFRDFAPPEDVIVPLA DESCLNTVHGAVSSYFEGSGLNGAKGLTGKSGRREVTWDRISQEGLFSSAPYIFSRAA DRRLPMTVVSVGSEGSVSAVDQVSREFACASTFLHELAGRSIFPFYLSIDQMLSADLI VARVAERIRRVSFDNAWFVSRAVFRTFPLGRAHTEHSLVTHTCDQASSKRRRITFLLY VQRNIPIPPQLLLRLSDSVLAQHGDLVLVTHDVSLKVGGCTPKVLEQLAEAHRIRCIP CAPTGGVDGGESMCLSRFWELWAHGNELTRRCGAFAKLLWSADHVVCSSRHLRNPSAA ALHPTKRVLTDFRCYSL XP_843948.1 MATVKKLLERLNDGKVLTTEEMIYWADKSDETHSQTFFGKNIED ARHCVRCVADTLIKKYMNNPDVSELPIEKKTRACLIVSNFALYKPVRNCIFDLLDKLE AVFEESIKADAALPFNPELGRMTEHVAVLLMRVTGYKLKAANVFEFTDGNVQFSVQLM LAVLLKEPPYEPALRCNCISIILGFTQPQAYFNDAAGVEDASCQDFTEKIDGILKLML RLNAVQVFDDVLSEQLDNVKEVTPLLHVAVCSAMRCVMNIFRFSSTGGTQWRQHILLS TTHLDHSVTVYLQLQCISLQKSLSLPQPTASVEMLRGMSLGYKFASLCTFGMGCHTRE TRLFFPYLHDMLQLPVKSILGSPALANAVMRVYVDMFHLIANIDALGGDEGLPTGDMF PQLQSAELVKSVTGFLKREVAPCGLAFIQAWHNALKTVEPDTLVERGTPTFLELEKIF SEVESAVEKQSAPRPPPPPQPSAPAPVPASTPEQAPVDLCFVGRSHLADIPPIHRKAA DQKISIDNAAVPVAPSNRTKPVDRNSAIGIDERMLCALTGNVMKKPVVSPHGHTFDHE AILAWLEQNGSVCPVTGKPLVATELRPNKEITALIMRQTIAQSMTAPNQENEVDIYDF XP_843949.1 MTTSNGFESPRESVAAAAACSSQLREAAERARKDPSKDIGLTTE ELQERKRCAAEPHRFVSKSTSRPFEVKSSSRAQQIMTKGVVERQVTARQKEVLRKVSS LRKLQVGLFFVGVGFAYWVGVEFLLPHYAAVQERNRILRLRYEMAQRKREEHLRVQGS SQ XP_843950.1 MIQSGMFFALRVLFVVFVMLTSAPVEIALGDDSELKSNATFSKG KSIPFQAEVSKMLDILIHSLYTNRAVFLRELISNGSDALDKIRMLYLTTPKEPVNKDG EAPTMDIRLSVDPEQKTLTLRDGGVGMTRQELEANLGSLGSSGTKRFMEKLQETKDSN LIGQFGVGFYSAFLVAERVRVASKSDDDEKQWVWESAADGQYYVYEDERGNTLGRGTE ITLELKPDALDFLSPETVRNTVRQYSEFVHFPIRMKRGEEWDVLNENQPIWTRKPSNV SKEEYEKFYMALSRDYRPPMYYSHFNVEGEVEFSSVLFVPQEVAQENFINNENTRDNI KLYVRRIFITDEFRELLPRYLNFVKGVVDSNDLPLNVSREVLQESRILRVIKKKLVRK VLSMFAEIAANDARMKEQGNVSEEVNAEVNTTNSTSGSKKKGPLYPKFWAQFGKHLRL GILEDANNRGRLAKLLRYVSSKSNGTLVSFQEYIDRMQPNQKGIYYMTGDSVEKMMQS PHMEEPKMRGVEVLLMTDAIDEYVVGQVHDFANKKLINIATDSAQLDDVTDKQKAIEK KRNEKFRPLTDALTRVFKGNRVRKVILTKRKTSEPFILSSQENEMSPRLANIIKQQAV SSDHSVFHTLVLEINYRHPVVQQLLARFQANANDQVALDIAWVLFGTASLQADSPVPD QAMYAKRVTRLVRGRMDLPLDDALLPPDDNEYDVEGVKPDTVDSEEEVLLPVDNDEEG TKGKSAEKGQKKSSEKVEKKPGKKSTGANAGDL XP_843951.1 MVTAMANTKKKVKASVPEGATSSLKTEDMTVPRDQTSTSKLPIS RVSRRLGSVQAKLDGGPDVFLSSRQGGSDLTRECLASLYKLASSMHSRQLTYYSKSLE TSRARHVTLEQIWGQMSMLLPPVFRRLRENVRRAQKMYGCGETQGSQVKQRSSDNKSG SGMHNGKKDKEDNREDDVDASSAHTSQLNESDLDNEIAELLAKQREARKRRKRNAADD SWRYVFGKGDGEEDADAEGGEGWSEGEEDREDGSESGNDNEMDALRGRRKGSRDVTAP GDFGGDGEDADDEEKQLAQEELEALKEMYGEDFVPNEEDEECEYDDGEGDLGDDDQLL EEDLKWDDPSAVFSGKDAALKGEGEGWYDGEDDILAETGQEGDMGDDMEDDAALNDPS LTELQRERLRERRFVEKLEQARLYSTQWAMSGEVSGSNRPRDALLDEALDFEYAMKAV PVITEGFTAKLEDRIRRRIVDNNYDDVQRRTALSTPSDLASMSRRDDASAKDSEKARM SLMDLYEKEYLDRVRRAEESAAGGSAAESAEPLTEIEKDELRAIHMWRRLAQHLDALS NFHYTPKPVQEDLSARVRAVEGQAPAITFETVGNFATTREAALAPQDLYRGSDRKFAD VGVNELQPHERRALRRAKKEQVSTSQAIKEKHKERAKRAKEQLRKAAA XP_843952.1 MRMDDNFGVSGTAQKEVNWMEGPKKSSSICGKPSEHLFFLVCAC YLFTASLLCVTWTRLYTDMRAYVCIINSLSLLIFPVASLFSLYVTGFFILEVGLFLSF SLDFFYRPLPWPVEDVQISNNSGELVACVCLNGGHRYLTHTENNCAVVAVLLVTLK XP_843953.1 MPSFVLHPGFAGHSLRCNPWQPTQFLVTASEHFGVAGSGKVYVV NTSQGLQPHSPVQLVGCWGTSDAAFDACFSEVDRDLVAVACGDGVKLYSLQQSFNRDG VMPVAHSTEHRAEVVGVAWCRDAFLSCSWDGAVKLWKAATPQVSFMTFHEHLKEVYEV SCSTFNPASFLSCSGDGTWRLWDSRSPRSVLTQIGHGHQPILSIDFNKQDNSIFATGG VDRTVHLWDVRRPQRPLTVLPGHDNACRRVRFSPHSRTLLASSGYDCRVCLWDLNQPQ RPLTARYAHHREFVVGLQWSLATPNALASVSWDGSAFFWTNGQPLTPSPSSQPLPPAI PPPRVRPPRPKGVPDISALPLTVPPVR XP_843954.1 MSTFQRRKRVTTALSVNVQDRRHHTRTLMETRQRKSALLALDSE EVVHRLRAMRPPEGLLDSEFPKADVEDFQSRARSRGGDSASNEEGKELPPVPPPPRTF KQWADKGFPTTSWRPLLSEELYHPLEAQKMILTNKYTPSQKLKSKMKDNAEPDS XP_843955.1 MLFRTIRHFDLRRPTLMELVRELRFRTEAPIADCCAALKEANEN MDRALEVLRNKGLAKAVKKGSRVTEHGSVVACVGETFGAAIVTVCSETDFAARSLQFQ RVCGTVMETLRTQIISTEGTALDDCAAVHRLLTDAAAEQIQATIAVLGENVTIKSIQP LRFAPHVAEHISIGAYTHGTLSVQNVGRVAGVVAVSRTDPSARVPEEKLVDVARHFVA CSGAEGSYAHQNFFGTEETVGQWLKRHGLRFSSSLVVDFGKEPIIHTAPQPVAGTK XP_843956.1 MISSHDIELVGREIASVSSTFPRCLVVYPLGKKNKQRLGIGERD GVVSSFSVGKGNERSVAFSTPPRSHPVSCMTLYDDQVFFVHGSKLVAYSRKGREFFAF DTNVTETPHTIFVSTPFIIICGSFMVTGFCEATELGFYMAPDHINAMVAFIAPSAQKR SSVTFSDYRCVIGCSDRVVRMLCDNKLLSELSCEAAISALCVSETQNAVYYGTEAGSL GCLDFCKSNVILTRVFSYIPSDAQPSVTALTVRDINSDSKEEVVVGRLDGSVQVFLVD KGEESDELTPVCIWSGCVDGRVLTIETGFVTHSQMLDVIVHSFSGKITAFTVKEVERV PKGETVPMELIEDSVDEQIEAMEREIERLRKELMDTTQELARCTGSTVVNAPVLAVSS TFTSKCTISQQAESPALLLTIESDTPLDNIVLHSDVELHFLQSVGVTMVEQAVGPENN PCGVTRAILRPLREHMYGCSVRFWLEDGRLGAVKLTLLAAPAPRTAQVKTVMLRPLPL FSRVGCSDVADDNDHDSVEVTDPSAAQSVLEVEGDFTARDMHSWLQRMLPGTPELYRA NQATLHYENVFFHSIIKVEYGDRRAAFFTGSLVALSAIKCFLTSCGLERSLEVKVVVS LHDNALQCELQHIATRIAACNKVVSDVQLIEAIREMQGDCEGCDMSFLLEERNRLLAE PEVVGKDRSRALQNKEYLQRFLLRLYNGASDIMPRPPKATSAVGEALGAACNCGDATA LLAELRRIFRC XP_843957.1 MEPLWDSGGAAGGQRLPSSSPTHDRSGKQALPFVLRAPVRQATF HSLEFVEGLVQHARGVEQEHSAFTLPLQRDFGARQVLSRIIQESQCVEGWPKLFPKSD DESCHFSMMPSSYVLRAGLMRALGFSAPGHNDNSDSRAVTLDRVIVRPSRLVDKQGVE MLFYREAAQDQLKHIALCEWCEDLIFFALHRGFSPLQVQCLLLTAMHLMRAIAEAPSN AIDDDSIVEERCTRVLQEALIEQSCSLPRKVYDVRRSVEDVTYEEKDAEFVAAIEAKL AKEKNKKQQQLLLEQLENAPVEIKSRREVVKKKVVYEVIVGPYFTPQELAQILNFFCT TAVRHWRLFHTFLLAAQPSETCDVSLVHDDIFPFCVPPLQEFLEEGMLNMEAERRAVV DECETLLRSIFEEEFMKPIRELEQYRNEALRVSIEKERKEFEDNMKNVLDTSNYRRVS RAFELRLEKRLERSELAAPEVAPPPVMEQPAAVSSRRESMRRKSRVAHRPRLDSLVGS PGISTTAAAAVAAEAQVDVVFSLEDVEARMSTLEHAVAGVSKGRSRKGR XP_843958.1 MLTLRKQTLPVATATLLLQRRGVEYWGSSVTGRTQPYAQGDLIP RVDNMRHVVSKSSSAAANLTGSNLGVAYDSDTIFSVAGYSRAEKEHYRPLRGIARYKI EPMAESDTVAAQGLLEQAEMSKSISFVVSWWGGVLKDFPSLKKVCDDTFKEEFTSFYK SALSVAHDDAAVKALAVPFVRRQMQEHYISFPMFWRIAEKLADAIEKVPQMQKPARSV SRIAVERVTKIALNTIADEPWALDEMTSPLLFDVSNFRAWHEAGNW XP_843959.1 MRGRGRGSMRFFGDRGGMERGAGSFRGRGGSRSMGGRGFSRGAG TSRGGNNTGYTATKHNEPLDGSGRNSKTDNNKFSTNDKSIAGKAVDKRPVPSQTQRVV TTVTENGTELPKKLNTKVFVDGLPYENEVKSSSLSVEEELMQFVIAWKVGKPLRLIKK DGQGFGFLVFQSPHSVDVAVRVLNGRKFLGRSLRVEVPKPRDMEGAGGSYGANDSGKC SYARQVLLSDLAKVAQPEIIREILRDVAPQLEKRLDSIKMTSKNRKAFLTFQSSEDVE AAVKFLDGFSMFGRRISAAQAAAPGSLPYSKAPIRSTALHAAEGVLVGDGDADMVAAA GPNHGDEGELVVPLGLDVPPANRPVKGMEKRKPAPAAAAKPNALPSNITGVTEKYNLL DNGPAEVFVGNLGEDVTEEQLKAHFSVCGKINSCKIIVNKNTRLPTGIASIVFAIPAY AAYAQKHMHGSCLRGHVLRVDRGEEASAPLVSELPPRDDEETIDEDGYMEHFGVTNKK EYFKGTSFEEKPGCGKKRARDSEAGGEKRKGKGKKNKGEPPKKASSPKTSHGCGTNGS HHSRGDDDDDDDEEHFFDVDEGDNVRAKPTGKQKNTKKGAPVPRKGVKKKGKGKKSS XP_843960.1 MPPVTGRPIGRAPAPHPVIRRTRSWRGIMQVRFNDTRADRNRIL KHAFLWAPVRIFGICFVTTTMIYFTIGHDRFMHTLFGYESEMHYEARVNPDASILIGD TLLDKDRAWKSPLRNLEKPLHPRREFDVLRDPKST XP_843961.1 MASLTDDDIRVSPLWEHMKKVLLQVVQQQPSCALEAVVPASLTV QTGTSVPPRVTTEFGDHRPKVVNTVPPDALENLRWASSFGTALVPPKPRRKPQEDEED MIPEDIEEEEEEVLGEVGDVVAEQAIFNSVGEGLPPEEAFRLVVGMKQLMRTEPLANV RFWGKFYGSVGDYYIVETKIDPNRIPEEGDEDGFDEVDEEEDGEPVENIADVLFAHGP KAHADTGVESSGTGLNEFVYYAANTTDPTRWARLPDVTPTQIIAARLIRRGFTGDLEA TVDTHPRFPGCEKHYVRAQIARINCTCRVAPIDMYTTEGAVPVEEDEDGNLLPPPATV PAYSVLPPLIPQEVPDEEDAEAIEPVKSWFYGYRDDELLQGKYWVHIAPTLLLNGRTV ASEQETAGDDDGRGGEVDHSEKIHPFLCEVSRDEPLRYTCHSRSQLPAWSFRKAFHDE SSKKRTYVARSCLWPGAYTYVVTELGKPGSSFQSVYIGSGLKSLQGVNYAPKLPPRCL VEYPEVDLLLQRDGTLDDELEYAPPPPKPEDAGEDEEEYD XP_843962.1 MSTGHSGVRHHVEIAIVITIIIIMTVDVGITSGKESGLHVPLGY TFNWIIDRIYCCARLQVLHKCRGKYSPTVCSKMGVVCRFPICSYILIGISLGQFPRRY GIALCVAMFVYFVFDRALTSCVCFIYRLQKRQWHVSVPLSHRFTIPFNMSNRT XP_843963.1 MKRAVAAQKAVGGAVAKRSVANANAPRTRLPFLRNVAQNARRSP DTYRTATPFTSYPPHVSGQQQKKPTSHVLYKDPQCIIVNDAYPKSRLHCLVIPLDLSL DSLSALRPNHVPLLQHLMEVAEQYVQFTREDAASNEAGIQALSFMTGFHSLPSLPQLH MHLISRDLDGPCMKTKKHYNSFATPFFLPADQVVNDLRKNGCVTLNRNVEELNRFEHE EPRCLWCGLNPGGFQQLRVHLRTCKGNRAYVASSTAPVQQ XP_843964.1 MQPQSSLFRARAQAHGEARPNGTGALTENAVAENDQIMSALLSD VRAVKKNFTSMGVEVRRQNSFLDSLQDTFGRTRARLNRTMRYLNLPELTSAKHMWVLF VFVFVVLVLIYIMLKSR XP_843965.1 MASAWCIQFRAFLCKVLLQLKSALFTTIFMLLLPTLVMLVLVAG YRSTAIIHVPEMQYDENPLINSSKIFENHFCTNRDAPFSFRRRLPVPMCYPPSEEFEC LPSVRGGSLCVLDATLAREALAQLFVAAGPVVIPTLDAYLGLSAFVTHELRRENPSLF ARSAKEGMGHYGKLLLVDTKSANHGAAARFRTFCSNVSVLCNEVLGDLPIFASMADAR RYAMENDGEVWAIADITDDHRGVGNFVGRGNHFTISMNYSATPWTTEAAIPTTKAMEE GNNLLYITSGFLTLQNAIQQFYVRERLGGRLNSSAIFQYTNLYGPTTVAMPSPPQFKS TFYKWGHYMPLLAIIAALLSGMVFARLIVNEKARMIRGCMEVMGLRWSAMALGWLIIA FLMDFIAVCVPVLLVGFTFFHYVNLAVLFVLYWSFLCQIRALCLFLSTFFTKPRYVKL AICVVTVCCIMSYYRTPESYHAPKVKFMSLLPCVGYLASFDQLIQHASTSQKFHWRDT VEGCNSVALLVGMTWVSTFIMLVLWSYLDQVLPTSSCCRKHPLFFLQAFRRFLCHPRD ENTDVISFPWRELGKDEIPPSMKSVLDQHPECRDTENQTIAAVLYGLCKQKKRQNWFC WKRGSSAGISFGTSGSAAVDDVSCALEFGKVNMLIGPSGCGKSTLIGMAVGAVRPDAG AVYICGHSTVTEPEKCRRNIGYCPQSDVLWEDLTVEQHLTFYARLKCGGVWDVREIVN DIIDTLNLEAQWLTKARNLSRGQRRRLCVGIALIGDPAVLFLDDPTAGMDVKGRRAVC EALSKGREGRAVLIATHEIDDAERIGDYIHVMQGCTVRDSGSPLVLKSKASAGYVLKC VVSPGLTIEEEDDCINRLVDFVRAIAPGDYGSRPARESHGTVCGVGLLGVERRGRQVS FRFPLALLSSEGVSVVGEIEARRSEFHLQSIGLSIATLQDVLDYLTVQHQPTAGANGD SGLCGLSLDETNTPRRAGGNGSTVSVEFTPSGTIRDDEKFSATSGLGRADTNATRDGG LQWTFFSHFAALFVKRLHSAKWDAQLMFYYIVMPLAFALLSLPVGKVKPTVQPALTLD SSMYRAGQKSPNSTLVWTYSSVLDDAFGVAKSDLRNVFGPYYTPVMVECHRAGCTEAL SDVLYQYLNGNNSHADVAIALTGAMYGVATSVTMHNLSSPHAAAQSLNMLYDVVNNQL FGEGSFVTARNEPMPMGPHEEEMFAAFHRIIAALFVILAFILIPANVVGRIVKEVQCG AYHLQCLAGANAFSFWLSAMLFDFLCYVVAEILVLIVLFASGCDELVGDGHTILAALA LFTMFGLCHIPFSYVLSFLFKSSRRAQSTVLVGSLVLGIMWILIEPLAVKNNRVAGIV MGVTSFLRVTPCLAFSEALMAMVCTRLANIRKPLRERPSLFSPLGYSRGGLTGGTGTG LLYMVGTFFVCLLLFALLELLRRRGAVCCLGPCSEGDGDGKLNAAAHRGNRKRMKRRG KGTPEDWCANEEMTSYRNGELEEGRGAAAVGLSLQHVTKRYAGVSTLALRDISISVYK GETLTVLGLNDSGKSTILSILAGRVPPTTGFAGVGETIVRPNAAQSKVGYCPQKDALM DNLTPYDHLLLFSRLRGSTEEQIHSEVPRLLCVLGLEDVKNCLVRTLTPAQKRRLSLA VAFVGGTTYLLLDEPTADMDFMSRRQVFAAVQGLGRAKSVILASRHLEEMEVLVDRAA FIEYGRLRYIGTPQELMSHFTCDVMYTVRVAFGNTVAPQLDPLGETVRNLCKCFDKAQ GSRGCRIKSVVGRTVTLAVTCDLLFVCQQSAAISEGAIPGLSPVVQVSATQPQLDDIL LDF XP_843966.1 MRNIWVSVPNGHGHGQGAAVPATDNSSISADSSPPCTKRMRRYI APTSVDLQRCRKEEPIPMPMPAPSPSTMLSAKQWPQHMLQGRVHVAATCGQPTVTVGS KLIPPFSTATVRVGGPTFRAGVTVSAPLQPVPFQGHQSQEPRGKHLCVRMYLPQSDPP LQSWCDVHPNPLIGLPGRVTNAPPMEREPPKYFCDPCQKGYNTQEQYDRHMKTHIWCS FPGCKFTCLRSREWKMEAHIETLHNRPDAPNLSDVGAYLAQRRGRFPTQDSVKAKMEE LFYKASRGVVLPDDRRRWLRQHGILVGKRPRTGESYIVTSASRNAKKVVCSESEDVKA NEGRADTSDAPETQRVCEEGCSGASDSSQCDGNRLRNKEDPSVSVGRGGECESADCTG SAIEHRQHKQKDHSRPRRIIPCGPNGSLSNAQKVQLIRERYREAKTVPRFYVCSCCGE KGQHWVTDCPKRSDQVFERRIVWGEERRDPPKPKLRDDNIVPAMTSCSEGNAVVDETA AGPSSGDVGVTSDVAEPWVEDVGPPPELPARRACNEEDSRVPNNAAEGRGGATHKCGV KEERRQRAQRRDHRRVPPPPPTLFERLTEDRNSVEHGLLLQAIRFFVKSNFFEPR XP_843967.1 MSTQLISGGEDGSHSQLMDLVLTNCSRPQSRASDNKQSTDGVVR LTSYVDLPNRDTLLPPIAEPKGGVPETSETLFPRRLGFDMIGVSSVSEEMLRDLYEVF DVHQSGGVERGVLREMMRSGFSHFGAPCSDRDLDRFFENVTPFRVRRRRTPKEGEVDV VPFNEFCVAFLSWLRR XP_843968.1 MSGLTKYLPGATNLLSKSGEVSLGSLVGKTVFLYFSASWCPPCR GFTPVLAEFYEKHHVAKNFEVVLISWDENESDFHDYYGKMPWLALPFDQRSTVSELGK TFGVESIPTLITINADTGAIIGTQARTRVIEDPDGANFPWPN XP_843969.1 MSATEAVVEPKAPDVCTEANERPPTESGVAAPVKRLFDLLQEDS KERVVRLLTAFRELTLLEQESLAQEMGVTLPKEEKWRAPCPLIARQPSGPSLEVRRRD PSYVALCPSVSSALRELGSKEAARRLPDADLRKLVEGALGEDGVYLTVPESDGEGCAA ASERVGVVDDGKPPLLVRSRCYQALRLARDEEIETDAQYTRPRVLNVTGIPLEESDVW EWFNLLDVARNGTVGVDAFLTSVRELDRGFGTSSKVQEAFEDESRSLSVDGQLSFDKF AYLVTRFSRY XP_843970.1 MSGLAKYLPGATNLLSKSGEVSLGSLVGKTVFLYFSASWCPPCR GFTPVLAEFYEKHHVAKNFEVVLISWDENESDFHDYYGKMPWLALPFDQRSTVSELGK TFGVESIPTLITINADTGAIIGTQARTRVIEDPDGANFPWPN XP_843971.1 MSATEAVVEPKAPDVCTEANERPPTESGVAAPVKRLFDLLQEDS KERVVRLLTAFRELTLLEQESLAQEMGVTLPKEEKWRAPCPLIARQPSGPSLEVRRRD PSYVALCPSVSSALRELGSKEAARRLPDADLRKLVEGALGEDGVYLTVPESDGEGCAA ASERVGVVDDGKPPLLVRSRCYQALRLARDEEIETDAQYTRPRVLNVTGIPLEESDVW EWFNLLDVARNGTVGVDAFLTSVRELDRGFGTSSKVQEAFEDESRSLSVDGQLSFDKF AYLVTRFSRY XP_843972.1 MDCKLQHVLAPYVVARRAVKRYGCCRGASNSINVDCSASTCRGR FEEDFCGIESVADAGPAGFGHNDQHTERGLDVEYFPASRLSGRYVLVYLTSPSGSSFT NHGPDCVMRTTESLCSPLLTASPRVGTPVPSASSSTGRGSSASLPILTQEKTGTSPSA PLCPVASLLSRFCIYFGCQTESRDAERMSRFVVLEVRQGISVEPRWESLHNMETRNRA SASFGDAGAISFSRAVSPKGVDGAAFTEDFKVNDALTDLSTADQKFGGWFYLENSAAY RCVIQKYNVSSWPAILLFDPAGELLTARAYDHIEREISTLSTSDDPNILAVVDSRAEQ HMQEVVGIGPYAGFRSGFPWMTPDAGRDEDRGSNAVDDEVEGAPLLSLVRWLLRHARR VGESCEVGATYPPEDSCNVPEQRCLDSCMDGATHLALYFGAVWHPATKRFLPKLKRLW SAACEGGDDCCVLDDDAGRNSSDGEGAAAWEGGKRALSAFVADTGSLYEPLGIESWRR GMTNDVCASVSTADSDAPYIPSRCSAVETPMGSPKEHPPSFQSPTPTVEGPLVSPRVF RSELAEEKQPEGSHHFQVVFVSCDMDVSQLKSSLTSMPSSWLFVSSVLAPQAQGVIRA CLEFFDVRVFPRLVVLDTSHRVGLQHDDGGLSKVWSRYPIALKVVRSHGERSVLNGSG LRELLSGDDLNDNVVPQREASTGECGIDGSARLLAHGIITNELLHQGYLHSMMRSVLG QGGSLFVLCCIGSICPDLHQECVASLREACTSWAQETGRFSEGVPRHTLGSLTLGKPS QMTVMEASTVCAGDLTGPATLATVSPLSCFIRADLTISNSGRSSGEVASPPLERVTTS VDDNRSSAVYFVDAISLVRGHEGNSGEGAGEGITRSGRRSELCTEGGLSQEDKSLLQE YVISEVLEANEHFVPPFEGEPFLVYLQWPERRVDVLRRIDDSEPPLNTGGPSARSPSV TASANTLIPASGTPSPGAVEVGAPAEVPRRSPLCSSALVKSFIVRCNMKRTC XP_843973.1 MSSGVPVSSQWPPVRGGLIILRAAFSGARQSLDVVKFLSYVGSS AEVSRNLRSCTLVNFLFFFGIWISSIVFSPLWSLAVTLMRGRSTLAGDPDAITNSASL SNVNRVAGGATGVVTILWTCVFYFVWVFPMYGITLLFGIRWYNALYAAANSEKRRRAL LMAAARSPGRPVVPVRGAAAGAGPKPTASSLSFSDVVVSLTETLFKVVATSLCTVVMT VVGTILPVPLGACVDVAMRSWLHAFYVFDYRVSSQYVTDRVTQRRNYVGLSSAIEFFE ANWAYFLGFGASRCLVTGVLDLTGIVTSWFAKEAAVSVLFGAHVVLSVEAKLPPRAPF SIPMFTPFFILCDKIIRAVVHVAQ XP_843974.1 MYQSRTMVSFLMAATLFALYLFPFGARGDDPRRNDKLVEALRAE FTSSGLAAPPEPPSDLGVHATCVKFGLACSDAYVDFLKDEVAKLHGTAGGIPPSQAEK PKRVPPTSAGKERRTPKSPVEDNDPTRDVKLEKLQRLRNVYRDKLLELHSSEKRWECF FTRISSVLSSLLLSHVSSFVLWFWTAFAPHVPVAMLLMAVLTWVFFGTHIPNEHICCL RAARPAFAMDQLLARSAPPKSVASLVIERERCSGYDDFGEFNDSATGASAEGEVQGHE VERRDEYWDLVRFSLIEAYDMYHAEVIVLKLRLVLSLLALLMLIWSVISLPLQTVDLQ NGGFVKTLVSSVLPEWVPAVAGLHFAWSCVGGLVAFACWEVFAAGEMLCRLNARSATM CDSILKEWSWKLDG XP_843975.1 MGIIKHVAVILDVSEASLEQHVLERVRQLDEDTVVRRLSEEKAG KLPDFIKLSEDIIEKNDLRKSGEGSVESIQRFVCFVTDNKTRQNGMVAYAMHCLQIPV LSLTKGQKAKGNDDAEHWVSTILYKDLDGSHTDPLAAVNEFFTFPDKVGELLAVEGRD SQLTLQYCRELRSYLQRAWSAEVKLVGPNGCDMSEEGLDGTKCGETDNVEEQVEVESG IHAPLVKELLGNSQLESTHQNDHLLHGAMYALNRHENLPIIRYRLQRGVNIILCRPMS SSLEKLFNKFSRMRGGAEDVRRSFIDAVKSLLQFEGRWLDMPRRRVVLIREGVAHSWS AWLGNEVIHPLVDWIPVDVKAGNIADHCASLEASVKKAVRRLSR XP_843976.1 MAKINATYGSGYYLAPDVDYRRLEEQQRLERKRKKVKGEAVSPS ERDAIRQTFAMPFNVICLHCNCRIARGAHGYVNRRATDETYMGIRIWELEIRCMFCKG HIYLKTDYETAKLTGGYHCSRNCRRGEGDFYGTNQINEEVKAQRAAEKADEGTVDALE RENEVMLQLQERERLVEELVEARAGTEEAQTRDELLQVIRARKQQGALMVGDGIEGEF QPEAKRSAEEEEEMYQRFEAQLRLWGGEGTSSWREQHDQQQRSGAKKGGGGEEEEVTA ALIARYAGAEGKYGNVFVDDSEDSNDDDREGKSVPLPSATTTPLTTSPSTSANEKSNL VSVLRKGSALASLLDD XP_843977.1 MNTNRPNTSGVPSSSSTAGPLALRSGSTAGVVGGTDAGRSGSRS MDYNPFSLMDVGTAKIGFEQFHGVPSSMPMQQQQRGVPTTATSMGSAVDCQLRKSSAG TQQGDHSYYQQQRQPSLSQPQQRTNLSLDLARVTQLASQRSGSAITTTRQDIVTPSSA NRSVSQSSALDLKTRGGSLDTVTSMRSGTDGEPHGRVAKRSAIFSNFLKRDPPDFLKP SPNTLLRRQGSSTAVTARGLFGDQSRSGSTATNPDAHVSRPQHQEQLLDPSPSQGYSP GFVLYTARERDRVKPAFFSHSALTARPSAEDTSTRFVSSEQCVSPLPSSTNQATASHL PQGPPLGVNNPGATEPHFTDSGSASTVVSTATGTHLPHGSDSVVSAPNALTSDFHVPQ MDIAVRGVLGDTRLSNATTSSASQSLAYLTPGAEVAVSENYAEKNNILVSRPPSAPHA HMPLPADPGVGSVLSADNVAMNRGDALGLPMPQGIPNDGLSPNGTEETALALPPPPFP GFGHPHKVCPAAVVPAGDDVAAPHVTSATHGLGFPPTGRQRQERTEHDTQACVFIDGF DPSEAPPAAPSYGDAVDGSSSQEWHDGSLQDAVATAPSNVGSEALLFEGPTQAGEVGT NMCPVEEGTSPEARLPALDMAALPVSSRREGKGWGQQHRPRGGAPCFAVFSPTGCVIA VFNAPNPSVKPRFVCSRVADILSGKAKGMNPSKVGEDYVTTLRVSAAFTLRKINENKV PLTSLREAVQQVPDILPLLRGVLSYALRDPLPDWRNGGQKELTKMLSEVAEQVPPSSV MNPIRLSDPNLLKRDPEQGLREVQRLLLIGEREAAVGVAMEFQLYTHAIIISMVCPTK EQYMDVIRTVVEKELSPLSPLAHAYCTFNELPLPGFASSPTSTLPSAPTGGCEVCVRQ SWVQHAALFVSNFTRESAVGLVQLGDALVKEGLIDEAYCCFLLAHLTPMGNVAPQQLP QPQQQHVMNLLRVRLGALGGHCRPDGVRVVLTPKLLFLADVVDNIRCNLEVSAQPAAT EGEGLVMRHGLPARSPASKVVFCYLQMLWLQEVGLHDMADPFMAHTLRMAPTSSKNSA PFTLNRLLASGPPVPAPATATDAAVAPVPIPTPGTPQPKTAPPAPGLLNKGIGPRFGA QTSQRVLPRIGPDGYRVTTMPTAPQTSPPVPMLAGAFTPNAPGGAQTAGSSIPPPPAS FQSKVVSTAPPPPPLSCQVKSVPEGGAVESEPTNPNSASSSSTSFPAAPPTTARGRPA EPGPTQDPGNNERTQEPTKQQRQQPPRSGSLGALASFLFRRSGSKDNEAKKDEPKKMI IDTEKPPKFDPTRGCYLFPESEEDKKVAEMIKAGPMMRFSVKQQAPQGPVNRRMPVRT KYVDTFNSS XP_843978.1 MMVCLTIFLDISFRICLPVFNAHYSKRRKGGSGMPIPTVTMGPD PISEPLLHDADRDEIPFCVARNIGREMRRGSSSDSGNRWRQVEPVLELQIETLSTTSA GWFLNSWYGALVVALVLQSLVSFQWGMVNICDSSSVSIRNLDSWRSSCVERYEYQSAD LNCSGTKVLVQACDPPPHSSHRAMLPSLLGCGAVPLSAPSYAEDSPGPNHDGMSMRSG GYIPKQQQQRLFNLRWVDRNVIDIPASKANRFPRVVFSLASPQDAISGDIPFKTYQLQ VVLEKFPVHAPSEGDINVNSGTSRPATTGVYRYNTTTTCFRTTPRCSSVVLPQDVVVV GGNSRITLTIIGAVEELASVASMSSVGIAFQRSFYTIFTIACRYTLIVISGVHLIFFL YRIRRTQTIYEHYWVTALNVALILYLDPFFAAGVDDENGVELYRFFEYHMPNYFIAFL NCFIFALVGASINASGEVGETKRRLKGETVPGCDAPVLEEPSPRPGRPLATVPPDMPP SSPGGDPRDLQRKEKNSWTSEAHDSSVLLASPDGDRAALVRRRGMPLWVTVSITYYFV ILVGLDVGRAFVENWDWGTVADCATFCCRYLASMFYTMLLLLVFASNVLLLWLKRNLG RQPYLETRPRQLACRVFIFVFASAMVYFVVQAVLIDLLYPHIVRIVVYQPFSQLAPVM VSSCFVSHITFVYTPTTASRRVPIRPNNPMWRRVVWSRQWYQWLQFHGGILYIFHNEE QERYFNFLQNKQRLAKVLHRRSGRVPVATEHEGVGPICSTAECVWNGDGPLGTYDAES SDSSDSSGGESSFSYNSSHNEDDDVASSGRGSRRRNWSRIRRGVSTLFERAECRFVEK SALLLDSLEGAILDPLQSLQLGGNRRVPFFNLEAAIDCLNLSWEAYAPLCSDVDASRR DADGGGNGTSSYCADCTPCAFPPETRGEGDSDKSTLDERAFLEGAVDSSAVTTVDNRP IDGDLQSFTVAREGSSTSVGPAMCTKQYGYKPIAVFEALDVVAVCAVMDTEFLHHRGK VPRIVIAFRGTANMSNARENIRVRQRPWREVDGVRQWWGLTKRARVHSGFLNIWISLK PAVLHTLHRFLKENSSTVYRVFCTGHSMGGAVACLCAYSVRRMLREIEYPLDEVTVYT FGQPPMGNAAFQTAYDKAIPRTFRVVNESDAVSLFSLFGGTHVGTEVDIDRHGNYICK PMFIEMLFRPTGGKGFALKNHTLAAYAQSLNAVADRNSGRECKVRCLQPYVRDVVDPS LSALSSAAANVSVEHQAANV XP_843979.1 MERRQAGGNLLDSMNSASVHVNDLPAEFPRFPPQTISTGVASQS HRKKSPASGPPMNGSHSFSRHTNTGTVPAIPRAPRRCRLTNNAPFPDPACVDCQRHSC SRHSGGSTLAGGVELQIEGLTRFVWRCIYFLWLAALVAGIVLQSLPSITWRVLDICGR DAPDMWKYGTWNSNCATIELEDRHTDTYRVQWNGRPYSVDFGDPLLRFRSLVINLASP DDWEVFHPDERRFTLRVAIPFMEENSNWSTAPLPIVCRRGDDRCVYINLPPGAVLNDT GGGRVSLSLSDVPGSIARNINNSAVGILFQGRAYALTVLIWRYIALTITVLHAIRFVV NLKYNNSLYEQWWVLVLLLASVLYLNPLTALAISPDRLPLPLEFLELHVPWWFVAVVV SYMFSVITASMPRAANPTKQEGAKNLGCLSRMKACFCRSRSIYDPPLWTKVVGVLFII IAIGLDIAVAWRCCSATLHGRGSGKKIYIYLLCSLFGFGSLVCCIMLYRLRRSMSKKS YLDSRPQQLACRVFMFMFFTAIIFSITQFALFYVLDFRIPGMLAWQPLIQLPALLVWP VLVNVMTLIYTTRHRPETVPVHPRDTRWKESVWPDDWYRWLVRHGGSMYIFHTEKEEA SFNWKQLEYRVRRYLVRLKRRGSVHNLSLLLQSIPNDSSSPPVEGPSDPSINYTIMCS VHSRPQDIEFWKGLRESICNRGAAEIVNMDMTSTCFLPYVEAGRENDLGPERPSGASQ VMRQNVGREGGGSRAPLLENDGGALNGSVALRLSSTTGDAPPGDGERRLGSVDDHSED SGDDGGSTNNPKSVWQALRGVISASVRGAGRNLFERPAHAFERAETYLLDAVQQRMHE PLYLPFFNLETAIDCFNIAFESYNWKGQSHARAQERKVGTSGRCRGSSNYRSNARESA AYPRETDPDACSTSQGDVEMRKFCPSTEQQSVAATPDHNGTPTIDVEQYGYKPIAVFE ALDVVAVCAVMDTEFLHHRGKAPRIVIAFRGTANMSNVREDIKMRRRAWDEMKTDRDN ASLKSSCCWEPTVHSGFLEIWEAHQTSIEEKLGGFLKDNSSTVYRVFCTGHSMGGAVA CLCAYSVRRMLREIEYPLDEVTVYTFGQPPMGNAAFQTAYDKAIPRTFRVVNESDTFA TFRLYGTQVGTEVDINRHGNYICKPTYMEQRCHPMKNKVFGIEGHQVKSYARSLNALA LDTSCKIRASGDPEASYVAEPGREPDAM XP_843980.1 MSNREPMSPLPTQPTSVPSVASLKAVLTPQVVLQTAQASLELPK ADEMWSNWYKESEDRFLDFISFDATEGGGNGGVVRSGSSHRTLSARRISGQFEEEVMK SWEEDWEDEDVEDTFDAVMGRIGRYEASRAASSQK XP_843981.1 MWVRTFLRLCGCKSPNAAAITSGSSWMTAAAWASLGSEFSSVSE SKFLQQVPDGFLTSRATTDMMPAEQLLLSMVEENEERYKGVDVRDPSSMAVYEGERPR WMTLGGQVRAVSEFVSGHLCHHISLPAWKELFDLQYAEMDLTYWLYVLHVHMVSRRAT SVPIEKFNRRREVLEEILLTMFDSWAATSEDVMGRPPLNKIRFYIKDMYYVTAVNFEE ALLHDGPGADLMLLGFLMKFCPLPRPEDVPLYTYYSLVHYIRFHTALLDRIPDESIAK GNFNFLSPTDPRIFEQYSEVTLDQVIRSWTVEASEEEVKCHAAP XP_843982.1 MKRIPVKDLAVNVLKLPRLPIPTLEATAERYRASIWPLKSAEMV KNHLQKFDTFLTSSAGGLQAALVEADTAAAACGVYPYSYIEALWEDMYLSNREPVLVN VNPAITTKKLKNAGDTQAAVGAAVVHSIARWVYNAVNKGVEVFDETRDVSPLLRQFGA SVIPGEKKDTFHTTPMEKLRHIIVLHDGHPYAVRVFDDKQVPLDRAVVQKSIEYVLSI TPDADNTTPVSVLTAGNRQTWAGAYAELVKTPENAENLKKIQEGIVVVCLDTESWGGD GKLVDGASLHGNNTEFENRWYDKHQIIVSADGRVAFNFEHSGSDGVQWLRWISDIISD VEGGDGSSVSTSATSLDPAKVGTLVQPLTLTFGKTFAAHIRAARAGALELIAGTALED VTIPYGKTQLKQLGVSPDAFVQMCLQVAHHKCRNKLAPTYEAASTGRFFHGRTETIRS ATREMQLVAEAVNRMTKANAASDEEGSTTYVASVEEQVALLKAAAERHVRLAKAAANG EGIDRHLTALKRLAVERNDAAALAFFNDETYTSCSTWRLSTSNLTAPWVERFMFGPVT ANGYGLGYTIDGTEVRLTVSAFTRSPSTDAGDMKLAVSEAASNVYGLLKAGTKLK XP_843983.1 MTSVPGVNNAEQRILASLALAGQGALLLATLQQDLGLHHRTMAA ALRGLMEGGRVVLRHGNREGDMYVALVNSMPEGPSLVLDAIRASGNSGIDQAALCSSL RLPKGEIIKSLQMLLSQKRIKERRCFSNRAKRIYLLFEFEPSDEVTGGTFYGGEDSRE MDIGFVDEMRRRIMLLVAQRHSVSLEQITQHLQEARGGSSSADVNATAGGTVVMTTSP NGTVATLLGDSTGSCSGGGSVGAGCVKRISQRDAQLLVQTLVLDGLLDCVTPSPAVPA QYQLATGRNVMRHFSAVPTAAGAGSGTKWVPAPVSQPSAWAMPAVGLPCMGCAQLHVC TASGNGVVNPRNCAYLKEWMS XP_843984.1 MVIWFSAGDGVGFPTPTPWEIQPPRGLTSADGCRGGLRDGGEPA VISRQQRQQKPSRHQQQHHCVRQPKPKVVIQQSDLRDEQFQRLSVLGEGSYSVVVAAR HLPSRQVVALKELSRQRLRDAKLETQLQWEINLHRTLRHPNIVRLLSYYITPRSVVLV LELCRGGSLLRRLQATAERRFDEGRATRYIRHVAQALAYLHEHGIVHRDLKPGNILVD ARGVARLADFGWSKGLAGSAAAAVITNGYDASEVAPRCAAGETDACSETEDGHGRLTV CGTLDYMPPELLGGTPCSYKADMWSLGALLVEILSGQPPFYRTSQQETLQAIQDEGPQ LDVDGSVLSPLARDLALQLLQKDPNVRPTAAEVLQHPWLRGKRPRV XP_843985.1 MMSLSISRESPPCPRRHGRRSDRTQPRGPFVKLPESKLTPNECQ SKTNLIVRNLSNRVDEAVLKQIFSPYGEILSAAVMRNIHTGDSLGTAFVRFATTEQAR AALVGCHGRVVCGRVLSVQWAKRQHDGTPVGEARKKIVKLFIRNIPLDVGPEDVQRLF ERFGTVESVSLHKDTAAATPTTDNNRPQRRIAFVTFTESGVADRAAEAVHNTRPFPSH GSVPLMVKLAEDHSERRLAAAAAVAGGGSGHNSRRRPENHQSNSTSSLTASCVGDMTP TISDAGRFSAASVHVAVPDSPEASLINNLPLPRGGVKQQSQPREAERSQLPLAAVLDI SLLSCCSALAAEGLPHMSPETSSVSGSDRQWARCYVHDPYNPSRSRQYLDEK XP_843986.1 MEVPFEEGTQLVHAIERSQQRILDASAVSDVETVPPPTTTANAN ATAAVAVALKGLATTSAHVPLPLFGLDDEGRSGKTPLNNESGPGTSSSGSHSSSSNVP VASLRAGTAGTPTVTPAADSRAESQSRTNLFVSNIPHLLGKNELVDLFSPYGEILSAA VMRNIHTGDSLGTAFVRFATTEQAQAAMEALTGYVLEGRSMVVQWAKRQHDDTPVGEA RKKIVKLFVRNIPLDVSDADLTEVFSAFGPVKGVSIHKDTTPNAGRHLERRIAFITFH TDGVAERAAEAIHNTRPFHSCGKIPLMVKLAEDNPRHGRSHNAQSGRSAWGRNGNTNQ NNDGSVSRSSPSGSVRSNKSLGISGHGSARGDIRGLGIDTPGGLPGLFSLGTDACGFP GGAFAVFPTMIPQGGVFVPQPVLNVGVGVNGQAMALRTPMFWHQAGSTIPVGVMGQSQ SANMGATGGNCSHQGFASVSEGSSSEQQRVDIFSSFAQPPPFPTDPLAGLVDSTGFSF TAPPPPPPAPAPLSSSAVEVGPVVKDDVEPTMCPHPSSSDVYDADSSLSLDFLENLGA AELCHVTTPSGDEDHRLHAPGSFVPFL XP_843987.1 MPPKRSKGVTFKLVHQPYDDAENTGGRKAIMVEERIVRQHLPAS GSGRGRRQRDDDDSFCDDGGASMSTVSRWAQEWDETSAPTERNAGMLEGEEDYLNRHN FLLAQDPDDDDDNNNNNKNKNDECADDGGYEDISDNDGGACEGVTKKSRSEAADGEEE SSDDKLFDDQEEGEVTDEFLRQLVFGGEDDDLDVDRRRCGDDDDDDDDGNDDGSTNRD NVPASFVPHDTTRRAIDRQFTQLMREFTVDERLNDAYTDDPRTQGALDADQYLRAMEE FVVERAGIDYRTAEPMRNKGLIHQLKSMAYGVPTDMTASGDVITTTILPDKKIRFATE FKRETEEIRRAARERILRRQAEAQKNAADGEVKIGHDSMGASEEMDDDCNHDDGTFQV RNAAKANNSSECGSESQEEEEEGETYVVRRIVDKSNRLDCETVLSTYSTYFNQPNVIR APDAGKKRKGKKVTQPHRDNNEGDDMSQEVPDLSTSVLSLAKGKNESKEEKKMRKQLV KDLKRERRGKKKELRQVYKTMEAEEARRVKESQTARKTLSFL XP_843988.1 MMPCKSFPPSSKLLRHRSSELYSRDNLFMCNLSAAVDEAVLKQI FSPYGEILSAAVMRNIHTGDSLGTAFVRFATTEQARAALVGCHGRVVCGRVLSVQWAK RQHDGTPVGEARKKIVKLFIRNIPLDVGPEDVQRLFERFGTVESVSLHKDTAAATPTT DNSRPQRRIAFVTFTESGVADRAAEAVHNTRPFPSHGSVPLMVKLAEDHSERRLAAAA AVAGGGSGHNSSSFCVPQPRFVGRQQPKVLRPPSGERLPVQPLCVLGSKGSGPSPILR SPNIVAATRVDDFMDIAVGRWKMPEPLSTTINTCCTVMPQAPVGTNGKFFTVSGSGLC AASRSAISCSICESKKGMRYTHEPYRSVVCNPTGIPVPPSPVD XP_843989.1 MVSFTCSVCQDVVKKPKVAGHGNMCRGASFACVDCMEVFDIHTV KGHTSCVTEVEKYQGKWRRNTSGGFQPQQQQNQKLNGHQKSANDSDDENHYGNGKSDS KGYINGNNAGRNGADKKMRRPAMQLSSDSDDDDDSWVKQKKKTSNKAGEAEKTTQSTD ASSSLSSSSVVAAKAVSNVLITAATVYEAEGAEVRRGKRHRLEPLVLPRSVCSTEASD CVVPSFVLGSDDEVTKVVRWVLEDAAPATLNMKELARKLVECYEARLARKLRCVVESL IRRGKLRLEEGVVMMRDEEAG XP_843990.1 MKCWSPHSVRKNLAKHLRDLKEDSDAPPALRCLAAESSWDSIDA FGDTCNEGSGATWGYSELEFSGGEIDEAIREEVSSRQSSLTPVGTKRELCIGDSAEEV SGFIRTLSSGSPVGRSVKGGGGRKVSCTPKGRFMNHDGARALSVLLPLTGLRHHPTFP VDPSLSCSQVRKSGGNKFKSAPLLLCDNKQRKPISSRQPKGSFRNHRAHLHQPLASLQ PESFFASYPCGRLHHLVRNPKVKQFVAYRSGNGNSDVSNFALDATVTRRSRRQRVNEH LFVDGEVKPHPRSRTHSKHLSESLLTPTASAPILELLAPRKTPHRNAGEGVRGGTLSV RSQFDYCFSPGGTSRSSNNNRIIRQGSAEWFERASGGQNSQSNLYWRHATRVRAALTM RGMGDGGDAGAALSQECGSPVSSLWASTTFTIDMRPSLCDAPLDILMTSSATTGSPYT TIG XP_843991.1 MTPVFGTAAFFCRLRSSSLRRFCLNHQRRARSSGSSIGIAHEVT SLHPYGCSNNVVGSTRVWNETENWCSLCLEPLNSWSEHRGKRDHICLEMFYNAITQYG RRWSPSTLWWEVEECTLLRRHASPRLVLDGGEGFFSGCILDYVRDSTGKRGGLSLLMD YYDRMEHYKRRLELYACIQHLIDNGIVRTDRGSLGGGASFHGSLVTFKELFPNLVNMF PFADAKEISALTQMIASTYNGETVFDLCNFQGLLPAGQGGGDTTGGKGNTSGCGNSQQ RLAFNAEQKGSEDSSVTASAEGVYSYHWKGMFCRGVMGQLRWALECDSVACPLKRADG SKHVCDPYWQVLSEYTCRALLAEMVFCRVSEYIVRVEGVWRKCGQELANKHVSHGSQK EEEERAHPPRTSNWGYIRYMGDHLYDDMFGGNLPNEGAPKRLSLMR XP_843992.1 MIRYLLLISRQGKVRLAKWYVSIPNKEKTRIVRELCQTALGRSA RFSNVLELRGSKYVCQRYASLYFIACIDKQDNELAILEMIHHFVELLDRYFGNVCELD LIFNFHRAYFVLDEVILGGELEDTSKKSILRQIEMHEAAAEDTELSRGSGTNSSKAR XP_843993.1 MRVCRPGHVARHVPFQKTGCSTGREGSVEQRTERPTGAGSSKPT QNRQDYGTQDQRSMQMNTGTGHPALPPPPQATSAVPGRCETGNTAEGGLSFHKKKPSV SATNFLSKAAQARTEAATTGPDASAFRVVGKPVTGLSYTDLAGRISNRYITNLTTLVG HGLVKVESFKAGGTEVGTPFNKSGESVSALLYLRHS XP_843994.1 MDDIRFPSWVSHSPAFAFARVLGYQLRRQPLDTPATDNSPQALA LGSGGPRWGDAPLAVSGREELLGPCMQEGYSGADVLFGRSRTDPWLASLSSVSMPQHL HGGAMPTTHALSVVNSGYMLLHPRVTQLFEMSQAKDLIAGQGRISGKNAPVLIALVAL AVECRSLPFDRTKSFYLECVSLYTSLMQQLNATTFSLGVDIRGFVSSLVACGIHLRHA SSGSGGLEREKAGCPTSTYAGKDVGDGSVELSREGLVGFGAHVVDPLLVQCIPSNADE CVDNSIALGALEGIAIAGEAGNVDLGTELIEHLHNLTDTLVTSSHRRDPPYKELLCYL VEALSSVHGVCYTKLRYWCLTLLRPEYILRGLQRREGIQARLVAATLRLLMGWLKETA MSISCPGDSAARSGGNGDGGTTSCCSISNFVDRREVVEAETLIEGVWAVLQDSEDKWG KVQNRSFVLYGTSLRAVVVSLLQAELERIRCYAIVNSTCQHNAHSGQQHERGNGSGDA GYVPSMEEDALRLGVIGNIVKFLLLPKSAFAAIGTAGPVVSLHQDIAEHSAKVLAFVA STDGRALSTSTHPFSVLGAKMRRIQFSNKFYGGVEQVTHELIRCILRAGNLGIATSSN VETRSRTAALCLQGLCATNAYIRALVSTEVNDAVTRNDGLQQLGDGADEAGSNVEGRL DQGPVDVSLRFSVCFEMMNRVLLSRHHSLCNPLPYESLRRYTQLLFDTVEDTLRAHTR CIELSHTNKQFPGNELQVTADAFWHLTRRCHDRVLAMVREALRDLPHNASGDAGDEWN KSPLVEAINNEGKVLRLLLHSVLRCMINCLWPGSGIIYDTNIDGGKETQNGHHMRSDM SEVALATLSLFLQPLCLLCQLLTLLPEKDVQCLKSYRDNVQRFKQLESTDSFMHHSGI QPNSMSLPLYDDSDAAQLRSYWLMLTYYGFTSKVMLLTQAEGKGAEGSSDVKQPRGGE YVLSWNQTKCVRLLASLSPQLLRMRSTDYQQVMSDIELLERHLRNYSTDSHAIKPYRK GLLQYLEDCCPGVSSVGRRLPFSELFLFHALATLEILRASCGFISTIAQYHRFEICEF DASADFRAATSCLTAAATNRYLSALKATSPDTAAKRIEADVPQLVLLYGFAVQSVRRS AKEILSKIISAFPSFVGTCQALPLLWDVIGVLEKGSAAEVELYCQSKQMLEPPAVAAD PESPERRKQLSDAVSFAVHWAQLAEQGSPIALREHAERFIVEQPTYGTVEPGIGLSLA LRAHNAGGLSATSKPMCERSLIRRSNAKGHVRALFRVATHGGADQLLLQHLQEAGEDL VKTFGLSKQLREQQFVTLTNALSGEIGVDDFSRGCQGGEAEDTVHRGSWTSTCTPPSG QTLSDKLHESDTLACSAVVLLTSARLGTSMHISLLRCIVQYPIRSFSSDALAKAISCW RWILFERPGLFAAPLLAEVVEGFVWTITHRVGLFDGSRPRGLDEVESGRKPQLRRDAA IYSHDYATNSPHKLLAEFLIACYVDEGGPVSFVPPVLHLLKQLALRIVKTPSRLSTKD ASFSEMTRCVLMIGLICRNLQAANECRAREGLPALTPAFFVGALRQGFYRCLMHWFQK TPPSWYFSRDRTAAEKELAALRKLMEMLDRDMKALSRSSDGFLDFASPEKSADSTLVN LTLVGSVAQRRIGEDIAGGTFTDIESLIAAERERLMSLIRLLQLLVKHEHHRLLVWLH PSDSLSTPSRDYFDAISSGEWLSHCTAASESDPAVLVALVARFPNRHVVGWAAQIVSE NPELYCNIPGAVDLYLNSVTLVNGYPALHLFRNGGLVQSLRHLHARYATRYPQVSAYA LRSLLCKKCDSLTFYLPQILQILSEDPIGAVPSFLKKMCKRSQTFEHQLLWSLQAEGG GDSEHARKCSQLASEIRHSFNASRKAFHDGEFNFIDRIISLSGEMMPIERPLRKGRLR LRLRDKEFHDSIGGQHLYLPTDPNWRIVALRPDTAGAMQSAAKCPIMVEFHCVPQNSR DGENAQGEATGSDKEAVTSKLCIFKMGDDCRQDQLALQLIGLFRRVFDSIQLPSFLYP YKVVATGRDCGVIECVPRAMSRDQIGKLVEGNLSEYFVQTYGYPESVRFHRARECFIR SMASYATASFVLNIKDRHNGNILIDSYGHLVHIDFGFLFDLSPGGDINFESSPFKLTL EMAQLMGYPVNEGKGKQPSPSLAKALVDLESYNLFVDLTIRCFLAVRQYAHEICVLVE LMLSSGLPCFKPKKTIHDLSWRLAMHKSEAWAADFMRKRIAESRENVRTVLYDRYQNY AEGIEM XP_843995.1 MKRCTMTFSTLVRRRAFLPLITPHNICSWAFVGNRSYALLRTLS PHTCPAAVSCSTRSPSIRRSHLPLVTAPMTDCLLHGRRQLFTAGETVEEVGTDNGGCG LPEAEALLRERFGPQAKLVLRRCQRRHSRNSTKAAPHGEEEEEVVEDDEPVGGGASFD SEEQSKQEQQLCGVTEYRASATCRLLGFPLELAVASGGEAKEVLHAVLNDALESDVVF IHPQQRASASSHGCRGTGRNRGYQKRGSYRKGKEEPTLSPRQLELKAIIDELRELCVH FSRVLKFSIKSPRNSSGKQGQESEQGADLRWRCRAYERDEWGMTPSLSFSAEARGQSA NDSLVRCLAMLRDRYRAELESPSVQLESVREVEVLVRPWEKIVESHCFEECTEGSLEK EKGDSQRHDQETPSPSSPSPVTCHGEGAEPTEPIPVEEQYRVLRAATFTAAVTVEDAR GNISVHQVKRQATPLVAYQNASLQALRAELTFSPDANIATQLLPSSPLLVRMRWQFDA MVQHLSREYGKKTEDIALVRVEGDGAGDDKERDISTAPKDGDGDQGVPHGKHRYFFTA SFTSDGCVVWQQSGPGRYRLEFDCYVQALTYLLDQYPEVGRVYFDPRGGGVFFPTTQL LSLAVQKHDNYNVATNHRGKWNAYALLGTLTSQLIGSFYQTTYHTDRATGEATAVLTV DDGLRSNQLLIERRARKRGEAWRNACLDALRENFPNQYRDALLLHPDIDLSGDTMARG SKFRALPREKRVEHMGNIFSLVSAFAEEDLGWHNLRVRLRNASGDLGLPQWVAEMEAQ VEGEEQRRVVAVSPPYPQVKHARRVLVYSLAKRYFPKELEAYAKLNRGDAVNPDQDAQ NVYNSVFRVGSESFVHQVMLLLEEQAPSMAPFTWSLEQQESDGAEEEAGNDGSVEYLL RPFRARFRAAVCGQKGDLLVSERIGEEGESAACVLCSALRAATNRLAGDKGEQLWTEY ECHAPPPITNSRELSLYMFNTFFGVSTGTATAIEDDGASPSTVEQQVVDIDARELGGY WFVTLSFSRAGGLAVARAVATSKREGVRDVLLLACRQSFPRALTYLSAHSKEIHSFAE EILTAPVVEVLPAAVLRDLQRQLERAESAGPPRPYTLLRRCVTREFRNERWLRVEQQP SNGGGFQCRIYLQRHRRETRKGEVQLVGFGAALTRAQALHIASLRALENLFEQDLADA IARSPTYTDLPPLE XP_843996.1 MQTPLTDEERKAKEARLRELRSELEGRGHPSTASAGAQQHEQQE DVPGYDEGSECSNDSDEDFFTGAENAAGVCMFAEPMEEPLGHTLETSPCVRRGIVGDF ELLRLAKMGDLVSFREFAALTSADPTTFRDNHGRNAMHYAADSGNIALLQHLIDSNVP FTTDEKKMTPVDIATLNQYNDVVKLLAETFPQAADLVKSYEEVLELFAVPPPRFTMTK PAPPIEENSRPRAFWKAERTASATASADITVSQLTDAHHSLILRGLSGLELAQEGHGF HSWLPPAEPAVTAAVLPRAKVVGALRKPNNGDGKEEDISGLVMAVPLGGSVALKSGGA RIENPFLITQLALHPALRGANRTPLLLEEMHKLLLSSGATTAVFRSYLQLPIPAVGLV KWSRRSIAPGHVFKRRYATEVFPDFFQYDDVLRADIITKNALTKSFCDNAGRCARWEL VDRKNTEQLHHLHSFITSQAETLFDVAYLTQSVDDLLTSIVGEGLSSFVHRPSTGGGI TDVVVLRLRPGGAGKGESQELNPLAAVCVYAMFSSLKGPAKAEEVLALAYSLKAETVF IPNMFGFLDSDLSKAMFEELLHLREYLYMPRAVTDAAVRATAMSKVAIPCFFI XP_843997.1 MFSSYAGCCLATCTTGFLVPRGLLRSRGGGSAAAFAGSSPSAPL ICSKCAFIEHNTCPKKWRNPEKVEVSRRHGGVKLGSEAVRIREQAAVEQQLVDADKFT NWNIVWGFAAAAALLLVALNILLEAVEPVPSPEYTPYVPGATTITAPAERLKNEKFCS SVGSG XP_843998.1 MCGIGCVIHAQHVRDDDYHVKLCKKGNVSRTSLFEAVRRRGHDA WSVLKRHVNAHVFVEAASGVLRLRGGSEPVVQPLLLGSESLVTECNGGDQSTCPSFLQ WNGEVFGGSIQLAPCGSDTAAIGNRLFQLERECSAISQDCTFGDNHVRADNLSVAQAA FAELCVSFLETEVKGPFAFVYYAHLLHLFMFGRDPLGRRSLLTHAATAASAECGSVVE FVISSVAVEHDGHCAAGSGLSGLRKRCKYECNDTHNENGVLGTDGDNTPTADGCSSLR FGCWKELPNTGLFTLSAAAVSNVGSSLAHYPWKLSHCAHPLVRPPCGVQAQLLNRREE EYPHTLRYLLNKGRISHHAVMQELTAFGMWAASSYLSALWEAVSVRVRADHCGGDTAT PIGVLFSGGIDSTILAAIAHYVLPTATPIELINVAFGDAPELTPDRLATFNAVEQLLQ LPAVEPNDKANGTVGAATQAQREWRLVLIDVPVGSDDAHIRRLIFPKDTDIDISIGTA LWHAARGRGRMQRITQDSQVAEQLAARKAYQLRHKLYRLSEGGANSDANTDMESGHEV GGKFAKFSPLIDVMIEELEASGGECATAPVLLSTLGKEYAAALRPTLSQYGYKKLGQY LNDASLAGLVAFAKGNEAPSKAIRLVRDEDVARVQRTRPAKWLQITEEDSPLGYCVEE YECEARVLLLGMGADETLGGYTRHRRAFERRGIRGLVGELDHDFSRLWERNLGRDDRV VSDSGREGRYPYLDEGVLAVLGAVVAGAHQHLVSSDHHTPSDETGVTSAGAVDDSVLE LAVSSVCSFTGAGGTPGVGDKKILRRCAALLGLGDVVRLQKRAIQFGSRIAKQCCSSP XP_843999.1 MRMLMSGMYAELGVSKAYCFSIFTPFLKKKLNLTQTEVTTISTV GNCIMYFSFPSGALFDYAGPMVVLPIAGFLGFLGFLLFGLTFDRKIASPGVGLLSFFN ALVYCAFPILDVSSVMPLMLQFPLDRGYIVLVSKTIGGLGTGVLMAYFNGWFKDTTSD DVEKNNYSGFAYFVAIQLVVVSLIAFVLIRLPMYFPCAWRKQRLSEEEWTKRQQTLQL YMNQPAPPRRMKTAVGLVLSLLLFLTTQSLIGGYVKLPPAAYLAFSIIAVLMMASFCV VALPFQWLGRYTPVRPTDMDTIGEALEDVVTESAVATTKNEVKPLPQYSGSFWQHLLT VDLWCMWLTCFGVWGTAVVMQMNAAQIYESKSYGEKKSSTLTLYITMISVGSAVGRMS MGYLDMVLTRRQREGLKTFPTTIALPFCPLMLCIAFLLFALLPANALILPFFLGSLGN GAGWGSVVLAFRIMYSQDLGKHYNFGFSSGIVSTIALNLFMFGGMYDAEAEKLGTKPE CKNPSCVKNQMLILMGVNIVAVIAAAIVHFRFSRFINAEQNRCNEPADEMSGVAAPVT EDAGQPNEGGSHSGGAAQQ XP_844000.1 MLMAGVYAGLVVSSTYGFSVFAAHLREKYRLSQSDITTISTVGN CIGYCSFIGGALFDYAGPMVVLPIAGFLGFLGFLLFGLTFDGKIANPSVGLFSTFNAI LYLGTPWLDVSTIMPLMLQFPLDRGYVVLVSKTISGLGTGVLMAYFNGWFKDTTSDDL SNNNYSGFAYFVAIQLVVVSLIALSVTRMPMYFPCAWRKQRLSEEEWTKRQQTLQLYM NQPAPPRRMKIAVGLVLSLLLFLTTQSLIGGYVKLPPAAYLAFSIIAVLMMASFCVVA LPFQWLGRYTPVRPTDMDTIGEALEDVVTESAVATTKNEVKPLPQYSGSFWQHLLTVD LWCMWLTCFGMWGTGTVMQMNAAQIYESKSYGEKKSSTLTLYITMMSVGSAVGRMSMG YLDMVLTRRQREGLKTFPTTIALPFCPLMLCIAFLLFALLPANALILPFFLGALGNGA GWGSGVLAFRIMYSQDLGKHYNFGFSSGIVSTIALNLFMFGGMYDAEAEKLGTKPECK QPSCVKNQMLILMGVNVVAAVGAAIVHFRFSRFINAEQNRCNEPADEMSGVAAPVTED SEKEN XP_844001.1 MLMAGVYAGLVVSSTYGFSLFSVYMRKQYRLSQSEITTISTVGN CIGYCSFIGGALFDYAGPMVVLPIAGFLGFLGFLLFGLTFDGKIANPSVGLFSTFNAI LYLGTPWLDVSTIMPLMLQFPLDRGYVVLVSKTISGLGTGVLMAYFNGWFKDTTSDDL SNNNYSGFAYFVAIQLVVVSLIALSVTRMPMYFPCAWRKQRLSEEEWTKRQQTLQLYM NQPAPPRRMKIAVGLVLSLLLFLTTQSLIGGYVKLPPAAYLAFSIIAVLMMASFCVVA LPFQWLGRYTPVRPTDMDTIGEALEDVVTESAVATTKNEVKPLPQYSGSFWQHLLTVD LWCMWLTCFGMWGTGTVMQMNAAQIYESKSYGGKKSSTLTLYITMMSVGSAVGRMSMG YLDMVLTRRQREGLKTFPTTIALPFCPLMLCIAFLLFALLPANALILPFFLGALGNGA GWGSGVLAFRIMYSQDLGKHYNFGFSSGVAATIALNLFMFGGMYDAEAEKLGTKPECK NPSCVKNQMLILMGVNVVAAVAAAIVHFRFSRFINAEQNRCNEPADEMSGVAAPVTED AGQPNEGGSHSGGAAQQ XP_844002.1 MRMLMAGVYAGLGVSKAYCFSIFTPFLRSKFNMTQGDITTISTV GTCMLYFSFPGGALFDYAGPMVVLPIAGFLGFLGFLLFGLTFDGKIANPSVGLFCFYN ALVNCTFSWLDVSTIMPLMLQFPLDRGYVVLVSKTISGLGTGVLMAYFNGWFKDTTSD DVEKNNYSGFAYFVAIQLVVVSLIALSVTRMPMYFPCAWRKQRLSEEEWTKRQQTLQL YMNQPAPPRRMKIAVGLVLSLLLFLTTQSLIGGYVKLPPAAYLAFSIIAVLMMASFCV VALPFQWLGRYTPVRPTDMDTIGEALEDVVTESAVATTKNEVKPLPQYSGSFWQHLLT VDLWCMWLTCFGVWGTAVVMQMNAAQIYESKSYGEKKSSTLTLYITMISVGSAVGRMS MGYLDMVLTRRQREGLKTFPTTIALPFCPLMLCIAFLLFALLPANALILPFFLGALGN GAGWGSVVLAFRIMYSQDLGKHYHFGFSSGVAATIALNRFMFGGMYDAEAEKLGTKPE CKQPSCVKNQMLILMGVNVVAAVGAAIVHFRFSRFINAEQNRCNEPADEMSGVAAPVT SGGHSGKKQ XP_844003.1 MTYIIDDLARVRMLASGVYTMASTAAPYCFTLMAVLLRKKYRLS QSEIATISTVGNCIGYCSFPIGALFDYAGPMVLLPLGGFLGSLGFLLFGLTFDGKIAN PTLTLFCVFDAIVYSGIPTLDVATIMPAILQFPLDRGYVVLVLKTISGLGTGVLMAYF NGWFKDTTSDDVEKNNYSGFMYFIAARLLIVSLIALSVTRMPMYFPCAWRKQRLSEEE WTKRQQTLQLYMNQPAPPRRMKIAVGLVLSLLLFLTTQSLIGGYVKLPPAAYLAFSII AVLMMASFCVVALPFQWLGRYTPVRPTDMDTIGEALEDVVTESAVATTKNEVKPLPQY SGSFWQHLLTVDLWCMWLTCFGVWGTGTVMQMNAAQIYESKSYGGKKSSTLTLYITMM SVGSAVGRVSMGFTDMVLTRRQREGLKTFPTTIALPFGPLMLCIAFLLFALLPANALI LPFFLGALGNGAGWGCCVLVIRTMYSQDLGKHYHFGYSSGIVSTIALNLFMFGGMYDA EAEKLGTKPECKNPSCVKNQMLILMGVNIVAAVAAAIVHFRFSRFINAEQNRCNEPAD EMSGVAAPVTEDAGQPNEGGSHSGGAAQQ XP_844004.1 MNADTKVFPSSETMSSEGPPSLSAADVVAASGKAANCMQFPSPV YFTRWLDDRYAVVGAGGGGRRFGMANLLAIVSVSTLQGPDEDSIKAKREDQLPSRPWS FVTAIDLEGDIPWCASSFLMCNDKTKLSEGVLGYLAISHITCFTLIDVWRDPETKGLT LRRQARVSVPADPKNPDKKPIAIVQGAVVVAHDEEGILVYELSSLLRKPLSTGSGELS GNEGTCGGSTPDYKQSSPGISPSLVESVEPLASWSLPARVNDLHANRFFVPKKSKTKD GAKGYQRYSDYLIIAVLVQDKTLRLSAMKLQIHERLVSTTASELAASIGGGVDWSSRR SESRRVGTRLDDFCVLTGKDCRIPFSLMKSSMRIVQLFGVENVKPCVASELWRRARRL HCEEGVRGEMLVARIVLVVFNVMSNQSYMLSARVVSTSSPCDDVAATNASELPCGVVD ESCAANDVGRTRRRRLALRVYFSPEPSPVVNDGVTSISPCYYCRESSDGAAMRGEGVG TAIPHNWLVGTVDGALAAVSYSDNGSFQTRTLRPPKERRGAKWLPALHREPISSVAVS SLNDVLTADIAQNVVVSALPFHECRDQSTVVSYGNGENSRRSCRAVERASDLSTSSGF GSGVVRCNAEETLALPKNKSLLLFPAPQGGMWLMWLKSKPLITIHLVAAIVVVPLMGV LFAFLLRR XP_844005.1 MLHTTRLWLGGYMMYHRKAMGTMKYSKWKGAHGGISHFYGRTPM VEEVRPNEPITLVDRRIMHYVHHSRLRHFQLFRSYQEKSNSTECKLREGEMLRRRWHR RLQKSFIAFMQFKTMKVLEDQARLVNTYGQAAVNAALGDPWNATDNVARERKSAAVRR QVRALPMVNVVPKHVATMKQIHNDRFNYRWRVN XP_844006.1 MVRRRPAASKKQSVASSNVKPPVQRHSKKVPSAAAPWKASGRQN SDVMPQSGKRSGAAGAVGVQPSPVGKQQPRGNRLHILPTAPEVNLWRGMCRPAILVQS LANIGTLVEVDQLLPFMSGEPRFPFSALTEDRLLVAVQALVDATWLLQPTIYVENGLQ GGRSLTQNNGVPVTLPPHQRWRLVRKLVELSEAAVPMKFSILLTHGGRTGTINSTASE LLPTSPCALRRRLECLRRLQQMREFHLRLVPALRRVGTKGEVAKLLFGPSAGQIDFVE PMPEVSAMRQGEQDVVRNLLEKVNECVPCGESWGSPFVMPLRISSGAYILQKYDRAEA LARLLHVAEFFGLPPFLRSIRVSDGRQRPFIPHLVAKEDDSHSIGRLPPSLYFSPSDL DPHHYDVSGSGQEQVVQEELMDLAGRHCCFGVLTGEADQVISLIRTSASIRQQMKNKK NAEIKVEEIEDVAVDDGAAAHSEVIGVDPLASHAAAIVKGWQKNINSGGRKEAYVHWL CNNRWNQLTSYYAIVLMVVLPHGCEVEEKEGATEERDITTFYYVAAVCELTRQ XP_844007.1 MSDVCALASSAGGAFLLLGCRDGLRGYHLDVGKSPRKLIPAAGE ASTVQSCRKVDGIPGVVAVLGETPLIAFVLSCGGVTGSWRSDNMGEGRGGEEGRVMTT PRALQLYDVCADEVIATASFEAAVVGVRMNSELLVVILEDCVHVMDLATLRHLAKLST TKPRNPSGLVQLSEPTMDPRGEPVTYLAYPQSDNGLGDVWVAQVCGKRLGGKVEPNTE PHGGRSPSVERVAIVQAHRGPIVCLAMTRDGSRLATASSRGTTVKVFEIPSARLLFVF RRGVTKARIHSLAFDSTGRSNGRQLAALSSRGTLHVFRCGSADCDELSEQPACPAASA DGGAELRSFAQATVTQNSGRGNSSNSFSTTGGCIKHCGLQSCFFSGDGQFVWVVVPQF FGSWCSGVELPDDIEGSMGCGGGVAREDRRVRDGLYWVLQLYAIRTRGCELVKRYLLG XP_844008.1 MKLIAKLGGGGSGNNTKELTISNPEATLNNLRTVIAINFRLPLG SFRLQHATFDLNDDKRLLRNIGIQDGDTITVVAKHEREESGSGSGKSKENGSAVPPPP TTAGTMEGNSEAVTGVEKSGTEEADGDEESEFDENDGSDGSDDDDDDDDGQEAMLARL FEVPNLIEMRQRFLADPEGVLRQISADDPVLLELIAKNRQAFLDLVCNDNFFEELQRD REEGVMTTEEDEEFDEEMLEEVMSQFLAAASDLDDEAVVEQQDEDGSETQRYLDRVPT EVEEEKIEQLMQLGFTREQCKVAFFKAKRSIERAANLLFENPPQL XP_844009.1 MQLLHAQQEGMKNIRQSEASHSDENDKKERGTRSGSTTGTCSEF DVCAVYDDDDVPLAAQFVRSCPPLTDPSWAMEELLRINSHVAVWTGSALPNSVWALPG SGHCPFGSTDADFARIR XP_844010.1 MPAEGPNPREVTSDASVSQEEENLGTDQGGSAKQEREVMTPNND IHYTSVGVLARGVADPSYSHVVSSDVSYKSSTALSYPEESLSPSCASFSEAVDDSPTD AEHQMGASPCNSIIGSIDMAAAINVLRSRLGTTHKKVMSVGSPLYRRDGKGGCSCKSP RASSPGSMTSRTFKASITHNQKASAASSIADNGSPSAMASQTRRPLKGCEPRSPSRRE VVMIREDSNVRFGVDNERECHGDDVNEDVPRLVLTRESLESMCGKSNIRVSSPLPPID VSEHGSPRKCIMIRSTASPTNEKVHTPVSKVPPFKGSWASSPKASMEKKGPNTSVLLP TQAAPISIPCVGTGLGNCQLRGNGLWGNDTNAVLLGERTTNVGTFASSPLPRALPEPR KAVPSLTKDRNGNASL XP_844011.1 MTRFSCVALGVFAFLISALAVTDAFYIPGVQPRYYAEGDEVHFW VNSLRSLQVLFPKEYYTLPFCRPSEIITKDESIGEIIWGDRIQNSLYVTNMKKNTNCT VLPNCDAVANTKTILSNIDDLEGSIEKGYRGFMNIDNLPVFGEVPPDLLAHCASVPKD MRHTFYRGYWIGTPSACTGKTLINNHLEFVIKYNHAPHDPNKFMVVGLKATPYSIKHS DDGLSCNADMSATGSALDYLTTDDVRGGAVVHWSYGVKWEKSDVIWATRWDEYLHSSV ADSSPAFHWLYVCSSLVVVLMCAASVATILMRTLHKDFSRYNSPVLEDGEEESGWKLV HADVFRPPDRAPLLAALTGNGYQVLGMSAGTMLFALLGFLSPARRGALLSAVIFLFVF MSVVSGYVCGFLLKYFGRCEWKHIFFCGCAFPGAIVGVYTFANIINYSHGSSGTIPFS LLFILLSLWILISVPLTVLGASFSFRQESLANPVAVGRLAREIPPQTYMNRTLFLLVV PPIFPLCTIILELNFVLQALWSGQVYYVFGFLALVSFIWVIITALVTVFHLYYVLCRE NHQWWWPAFFIPGGFGVPLFVYSIFFYMTQLAIHTFASSLLYFLYMGLISYAYGLAAG AVGLTSGIMFVRKIYGSIKVD XP_844012.1 MAIPLGASLSDPRFVSVQILHVVSAFFLIMAMVRVALGVLLLLF SHSENISYLKLLSRCFHIPLRSLFMVHVEDMADGSASRFFFMHVMTALVVSYPLAHTI QRRKFALDFAFTTYVIYFFFCCLVGWRVTGGGFAWWLSVVSGFGITCGMTAVICRRRE LQDIILASSPVTSRAVGGNGAYRARENVGNPAKTSVERSALLNSGQTDSVPTRAAWRN DLV XP_844013.1 MSWVTLPPVCVCRTYFAEHVRNLLFLPPPPYFFFVYRRKMIRPW VSRVSDRLLCPVWRRARLTTVGTRIFGTTPHLAGGNTNVKAQPPPHMDDGSPELLEVE LLSPEGIDVMDGEEEDIENLPEAGEQGAPKDGAAETITVLDTFARAKVANFIKRVQSA ADAPGPQGALSSRQLTVEVREVQTSESDGDTLFHARLFVPLPGAYGGRWAEGLAPSSK EAELVAAMHAERIIDTLGIPMFQLTSKQQRHAEAARSSGRWAPMPGDDPLPPSTPSPP RLQLVREGAMREAERRLQIDKLEFSTVTAGTFTPMKLTLASPLLLDHGSVYRVKQFFV SYNCLLRRYCRITALHKHDNRVGSGSGDAAPPSCSGLATSDDEDNGKLFVAQIRLPID GRFGERIACGKAPTKKEAYALACMHAELIIDALGLALYPSCRGKQEAHAAECRKVNRW CCGPDDCEYHYDRPSPPPLQLAKEADEVASGDVAASLESLLMSHAKAIENYTHVTELD ALVLSARRKFMDYITQHGTCHGSAASPFFVEALGIKDHHVYRATVTVPVTPVRGSEEE NKDQKVMAGDADELLPSFVAIGIGTSELEAETAASMHALHVLEVLNRPLGSEPENQSH EGKAPQNLTRSTKLPPPYRYVVSHVGRIMVPGLSPARCLTKGSGGTGKQANFMGTEES RLQRARAELPKSDWSLKPDADGYIIVNPNTNVEEGRNYVHTLPSVRQSDRFAIVRLRD YLERHGKRLESVLKTTVSSDADEGLKRWICKAVLPVPETFECVVAHGEAYREDEAMVM CAVHAELVLDEIGVAMYDLPALQKKHSGAAALLGRWAPLGPGQRRQIVCIPPPVRKEH EKSCLWARLSKEATTKMRESVPKEEMPAMESPPPSQRLPDVTSSTPSMGSTMAPSAPT SVDLKDDTLCDLSKLVSVHSSDILQTAPRLFDFYCRRMGVDVSRVTRQYNVSSPLHGF VHRAIAEIPLPTQFGRRHAVGCASTKKEAYTLCCMHAIFTLGALGVPVYSGSRQAEFA GNARRRGRPAPMPGDPLMPANTESPPGLKSLPDMHKEKPVVPTVPPRSECRKPFVWGS YLTACRAYIKRCKEHLIFDALFEQKRAPRSGVELEDTGLDIVEALPLFTNARSQLPQK CAASGLPSPPPYSFRFEVYGRVPNRRYLVEQPILGTHFVARGAGDEGYESVARAAMHY EYIVGVIKNSRLPDGVTDSKRVILRNQSKDIYDSSLRDFTPRGKLSVMALYTVLHAPF KPLRLMVKERATTGPSSQVTLITLLEMEDESGLRMTGKGEYCDNEEESRNRAIAELFK QLQLKSAFQATAQMFRSHPQLRVEGASHVADEGKLIGPLHALLSEHKIPFPSKPRTEQ YAQLVQSLRRGEGFFDSQELQLLLSAVQCELGLLTSPKPLTLPSAARNLFISMGLTSP EDLERRSQGDAEGVNLITVALSLLAACVPPPLPQHGGEQVSVNGALPLQFAKLLLAGF LMDCQHLCLRVLALVLSSLTGRGSIVDGHRSSCRGGEVGGYDVLELMLPALSEDAQRF LNIIVPRLRRLVEHVEASVPAFDPLRVLLTSSPIPTAEALCQPLTDGLAPSREGRLRL AVTFASFPRAFVQKRAVAHNATSQVTVESKDLKRVLNVRVPPPLLVSCGVSQPRGGVV AKSGDGSAGAGATASSRVYSSLPCFAAFDKIPTSGDTHCMIQPVFGVFTTPFALLLAS ACCNDPRTLAVMDDVPGLAVVDGFVPVVTRGSESLSTLLELRAAAKSHWSGLRPLPAE LQRAVDTLLSAEHNLAHIVQSGLLE XP_844014.1 MDAPLQLRTLGPNGASSPQGAVEARGISDGAYVEVAHEDACGAS PHRDSVEMVDSKERNSTQITRGIEPVGPSGSQNDSTTSVVADARECWICREASDTPEN RLTSGLCRCRGSIGLVHTGCLNYWVFSQRRVRCPSCNATYNVISVSSEDFPKGFLHEA VLLVRHLYLPLFCKCASILLGLVINGFAIAFAVGCAFYHGEVFADAGDQAPKSFGNVG AAADLGGSTATTRANAPNGGVGGSSGVWLWVGVMLFGWCSTALWRSLWVSWGQWRAEF INDAVDDAPKPPPYTLVECLHYYFDIVVSMTGCTRQMLWLRSMELCALTAIAYIISFT YGRVLVFTLIFLGAVLMRLLFQRKKINDNMRRFDEAQERRHNATYSDLVKWFITYITE MALFSFALTIIGGLVIHYALSPHILTFPTSIPALNESITFLRLLLYWASGTLSSILLM CIETTVIVNIFAPGVDLFFVRSVDLNVDSDSAYWSFILAQIFDSDPLQVLFDFPRLAL IEVVTLFAFLALPLQAMFFLNDLLATKVFGSAGIKLAWVLHNSGEYLMGNSPANGVIP PFDGSFESGWGSLQELLTEPLEVPSLVVSGLLANTLPFVKSLIHFLSSTSSINILLGA GTGVIVSCLKVFPIKRTQLRVMRAIAVWLAAHVVYMEDFLFDKERLQTLDNWLQAGGE GDVPTQRVPLAFVFLRRERVLPPEQKRPAWLKVRLIIFSAMFFIASTGVFWALPVLLA ALLLLVMPCNAALLCCAFNASFLLLDYKLYLKAVGEFVFISAVLLVGLPLQLLHALRL AVNFGYPRKRLVKETFEYCLNINRTIGKYCGEPRDEQQEDVKAELGEVDSNSSDDIIA VNDDDDEDFE XP_844015.1 MSSSQNHLRLLSLITVVLYVTLCRPALCASVKTAAEGRNHKKRS PLQKWLHSEWTRKHEVPLHWFVRQRRPTGNTTSRTVKSYVGTRASMHLPIEGMIGQTA DHRSSLVPHDGRYVVARFDKLRHHERRIARQALAEHSLGDAHHIDDMHMLVYMDSERS ARILSAFEASGAAASLTSMSVLDIFSSPGVARMKLSRVIRGALVECGNKNQVTSEKNQ MRVSLNTRTAPNREHVVEEALRGVSLDEVSGCKWEVTSLVSGKGIVRWSLTRASTDSG DGPVTGQKITLMCACAPLLDAVVGHQAVRWMEIAVEQAQMHNYHATALLQQSRQSEDH PSRPLWDAGIDGSGEIIGVADTGIDFNSCFFHDPNQEVALYPKVNYNHRKIVSFAPCD FIRGDYFAGDEEIGHGTHVAGTAAGSVISNDGNAKYNGVAKGAKIFFRGLGCPSQSEL VLPHDVTQIIRPGYGAGARVFSNSWGFVAPSEYSAVEKDMDEFASSYDDALLIFSTGN SIQDGLMTPCRGKNVMCVGSHKNVFDASKDIVSSFSSHGPTYDGRMKPDLVGPGEEVV SALSSGKASAKQCKVVAKRGSSMATAAVAGAATLLRQYVRRLNRTASPSAALLKALMV HSTVPLSNSTVSGFGRLDLSLFFSPTGTRGWFRDREDISHHGTNVYCFKKKADQTDSQ RSVRVSLAWTDRGVALEGARKSLVNDLDLFVTDSKGTIYHAGGEKRRDSSNVVERIQL MSTSDMDNGFRVVVFGASIPDNKTQPYAVVVSAEGFEHVRNCEEVSHTCPNGCSGHGT CNSGTCRCNEGYRFIDCSVCDAESVCHGQGTCTSPESGCECISENFADASCSSCKKGW YGPSCLSDCKCSGRGECDENSGECKCRADTRVGGEGCFTGPNCEYCCPNFHGELCNER SHWCAEDGFPTLVTAPSEGYIEINGFGKYAPVTFCRWIVEAPRGYRIKLVYEKFNVDK PSDALHVTDLGHDESAPVRTDTGDTAQGAVFLSHSNVVLLHFTSGWSRRREGFKIRYS FVEPNDPSCTFNCVEGASCSDLGGGFCMCHVNNTGWMCENAPTEETKAESITVDLNNL NNVTRFNVSVNAESSILFTNVNGSAPHLNVHMHFEDGLHRVPSNGLGLVVRLLHKTSV DTGNYRNGTIRGDSIVSVLSEEKVEMGPTCRYLRMTIGRSLLIGESTAQVFMTLVHNA SSQNKVQDVPSFRLAVYPEQGRPGAQVLFSEFADELSMVESSHRQQFFLYCRKFMASG IPPLGFEGDVNETGIGFQDVARTIGILFVVSILLISLLVVAAGLVMYKLRRNTPDSSL ETSASGQALMSGTGYSAAGDTEVIVEKGKGAQTHQRGCEGVMRSENREGSSENTYIGR EEYIEMERLP XP_844016.1 MSATVGLRRYVTSILNVSRLRQEAIRGYALRRSGACLSGQLRIF TDHVNADAAVLVYFHTNRCKPCISITRALEEMETEKEQGVVSSSIPQCSSSHACSQED TLGLTQRLLRLLGITFADVAVSPEALGVLREGVTRGVRIISVDTDENPVISALHDIRS VPTFVAYRCGRIIGHLEGASEQKLQELVSKLLVEEGGATN XP_844017.1 MLQLTVEDLTPEAIAALEVQCKAQAEKVNQLEEAMGLLQKELDD ARKKYRSTSKAVQWRRLMAEVENDEDIANITVMMQEALADFYKTMQPPDDYDESREGI SFCDTDDYADLTSVETKVDEFLLAIRRLVGENCASPEDDGDRRHQRRRALLMLLVLTI NAARITDTPTEDAASLMEEQQDNIASLWQTLLHTDSGLVEAEKSEWKDIVSSFLGPPY DTST XP_844018.1 MLRRCFTPSHLIPFLCGGCLSSRRQLVVGNSKNGTKPVASVDWT DLVGKELWSENRLAAGELLAMMDLCAKRVSEVYLSSMKPRVSCSTVGVSTTTFYSPVL HGDVVRMHGRLIYCGSSSMGIHIRFYRRSPSTITESLTGESYFTMVAIDENLRAVKTV PAVELTDENDVSYHNGYLAARNIAAEAKKISSDLQKKSITCSDVECTVNAAKPIRVPI ASTRTSAHRIFLLYHLNNNRTIFGGELMRWMERHAVHCGRMFTGNRHISTVAMHNVEF HHPVFGTDWVTLEADIIYVHNTTMEVDVKLVVERDGHVVTTNRASFVLINLNEIGQKT IIPKGLDLSAATEEELLRFAEAKERYRRSRNSRR XP_844019.1 MGHPSDSRYRGETRQKSCGADHAEVFWKANRATYTGMWRDDRPH GKGTYVLPGENGFTYEGNFFEGDRNGSGICVFSDGRRYEGGWLKDNMHGMGILFGNEE SDDFVDYTGPFANGKRRGQDGTCRYKNGSVYKGEWLDDKRHGRGELEVGKNASIPGYK PIPGESHVSSYVGTFVDDVPKGAGTLKYSDGSTYEGEIEGLHRHGKGVHRSSTGCVFK GSFECDRRHGPGVMIISGAEYRGEWHYGSLEGVVTITGDKIPRADPSLVYYCGPAVRG ELTGDNAVIEYREGYSYRGSVRMSKPHGKGVLLKKPVTLPEIGDFILQYDGEFVAGLP KGSGEGVFLAANPDTDTLSEESPLEPRGFLPKYNFSGRYKGSWTDGLPNGKGQWIWDQ IDRTYCGDVLRGFPHGEGTLTCSSVSYTGSFVKGLPNGTGKASWTVGASVENTYEGGW KEGYFDGEGLLKLHGGYSYKGQWSKGLVDGLGTEIVPYERTYTGKFSQGNKHGEGKLC LIRSGEVYEGNFISGEMTGAGKMTTREGHILKGEFINGKMSGDGEAIHKNGTVFQGKY RNGAAFGTGRILYAHGDVYEGGIVSSGDLIPHRDGPGVYMFFEGGKLECTWKRNVLVG EGLYTSSNGIRSRRSYTEGILDFDELIDKVDPATSGDLSDAVSKHMSTDTNTSESADI SAVGIKICRSLPSRKACHGNVSLAVCGSPNTGSPPFGGVKPVPPACVEKQFVPLPQIQ IQTPRRDGEASLADPYPIGQVRVRNAGQGSPSFSPKSPSAPFLFPGPRMSGTNFSLER DALVRTSASTMRNDSLRPLSPPLCLEPRVASSNGQRFPALSGSVVRNSGTPDHREHEI RRLTESLQNVNDRIWTAKTARSATWSSADPVTPEKKPDPDSLQTLQQERRTALQDLAS LM XP_844020.1 MDVPGELLWLLQYLTICKPSSVLVPAAGSQVILDIARLCSLNVV FAPPSDFDSARLWDILAQLWANVKRAEWCARICVHKHVMLMTLAALLLHLQHSRHPVA DVAEVPPAGVLYVDADTLSSLQIIRTEAHPMDYQGIGQSKEGLSLLSVVDRTSGPLGG ALLRQWFALPLQNERELQQRYSVVDFFTNRDNHSIMTNLRRSLKRLRQPGSIFTKMRA SKHTTGDYDSLLRSTLGLLQIASLLSTEAHRFPLFMRIVASCQAAQLEEMSDIITRSI SLTREPRDTLGKTYVRIRPGCDPELDELREHFAHLDELLTRVAEEEKQGLPPHWRPGT LLCAFAPQWGHVIVLPHCPPTLLETELPRDWELVLQTDDGPFFKTSLTRRLDEEVGDL RSAILDREGEVQRRVDHRLLELSPALIPLHLCAELDCLIGFALCALEGQWSRPEIVPD AGVLEISRAVHPILARMSQPVVPCSLTIRRSADRVCVVTGANGSGKSVFITTIAHTVF LAHIGSYVPCAHAAIGLIDTFVALHTPSACRGNEDLTFAVKELHSSFGNELASMSRML QRCGSRCRESDEGAARMLLVIDEFGKGTLSVDGAALLAASLRTFISMGNQRPLVLLAT HYMEAVQPNIVPRGEIILIEMLTTLLESSRKRPRDGVRAHLGADSTDFVGGSYELVPS YNAVPVRNVGEDGKLPDDEVSSRALHFAFQHSVPEVLLRRAWSVMTSECV XP_844021.1 MAAVDDATGLEAARKQKIHNLKLKTACLENEELVQELHVSDWSE TQRQKLRGAHLKAEELVAAVDVGTKWNLTEVYDLAKLMRVCGLEMSQRELYRPEDKAQ FMDIIAMKKVLQDLRQNRNKTRVVSFTQMIDNAIAKVEKVEEELRRSQLDATQLAQVP TQTLKQVEDIMNVTQIQNALASTDDQIKTQLAQLEKTNEIQNVAMHDGEMQVAEEQMW TKVQLQERLIDLIQDKFRLISKCEEENQAFSKIHEVQKQANQETSQMKDAKRRLKQRC ETDLKHIHDAIQKADLEDAEATKRHAANKEKSDRYIRENEDRQEETWNKIQDLERQLQ KLGTERFDEVKRRIEEIDREEKRRVEYSQFLEVASQHKKLLELTVYNCDLAIRCTGLV EELVSEGCAAVKARHDKTSQDLAALRLDVHKEHLEYFRMLYLTLGSLIYKKEKRMEEI DRNIRTTHIQLEFCVETFDPNAKKHADMKKELYRLRQGVEEELAMLKEKQAKALEEFK ESEEALDAAGIEFNHPVDENNEEVLTRRSKMVEYRSHLTKQEEVKIAAEREEIKRARL LRSSGAGGEQVRIGNNTAPARLE XP_844022.1 MAAVDDATGLEAARKQKIHNLKLKTACLENEELVQELHVSDWSE TQRQKLRGAHLKAEELVAAVDVGTKWNLTEVYDLAKLMRVCGLEMSQRELYRPEDKAQ FMDIIAMKKVLQDLRQNRNKTRVVSFTQMIDNAIAKVEKVEEELRRSQLDATQLAQVP TQTLKQVEDIMNVTQIQNALASTDDQIKTQLAQLEKTNEIQNVAMHDGEMQVAEEQMW TKVQLQERLIDLIQDKFRLISKCEEENQAFSKIHEVQKQANQETSQMKDAKRRLKQRC ETDLKHIHDAIQKADLEDAEATKRHAANKEKSDRYIRENEDRQEETWNKIQDLERQLQ KLGTERFDEVKRRIEEIDREEKRRVEYSQFLEVASQHKKLLELTVYNCDLAIRCTGLV EELVSEGCAAVKARHDKTSQDLAALRLDVHKEHLEYFRMLYLTLGSLIYKKEKRMEEI DRNIRTTHIQLEFCVETFDPNAKKHADMKKELYRLRQGVEEELAMLKEKQAKALEEFK ESEEALDAAGIEFNHPVDENNEEVLTRRSKMVEYRSHLTKQEEVKIAAEREEIKRARL LRSSGAGGEQVRIGNNTAPARLE XP_844023.1 MAAVDDATGLEAARKQKIHNLKLKTACLENEELVQELHVSDWSE TQRQKLRGAHLKAEELVAAVDVGTKWNLTEVYDLAKLMRVCGLEMSQRELYRPEDKAQ FMDIIAMKKVLQDLRQNRNKTRVVSFTQMIDNAIAKVEKVEEELRRSQLDATQLAQVP TQTLKQVEDIMNVTQIQNALASTDDQIKTQLAQLEKTNEIQNVAMHDGEMQVAEEQMW TKVQLQERLIDLIQDKFRLISKCEEENQAFSKIHEVQKQANQETSQMKDAKRRLKQRC ETDLKHIHDAIQKADLEDAEATKRHAANKEKSDRYIRENEDRQEETWNKIQDLERQLQ KLGTERFDEVKRRIEEIDREEKRRVEYSQFLEVASQHKKLLELTVYNCDLAIRCTGLV EELVSEGCAAVKARHDKTSQDLAALRLDVHKEHLEYFRMLYLTLGSLIYKKEKRMEEI DRNIRTTHIQLEFCVETFDPNAKKHADMKKELYRLRQGVEEELAMLKEKQAKALEEFK ESEEALDAAGIEFNHPVDENNEEVLTRRSKMVEYRSHLTKQEEVKIAAEREEIKRARL LRSSGAGGEQVRIGNNTAPARLE XP_844024.1 MAAVDDATGLEAARKQKIHNLKLKTACLENEELVQELHVSDWSE TQRQKLRGAHLKAEELVAAVDVGTKWNLTEVYDLAKLMRVCGLEMSQRELYRPEDKAQ FMDIIAMKKVLQDLRQNRNKTRVVSFTQMIDNAIAKVEKVEEELRRSQLDATQLAQVP TQTLKQVEDIMNVTQIQNALASTDDQIKTQLAQLEKTNEIQNVAMHDGEMQVAEEQMW TKVQLQERLIDLIQDKFRLISKCEEENQAFSKIHEVQKQANQETSQMKDAKRRLKQRC ETDLKHIHDAIQKADLEDAEATKRHAANKEKSDRYIRENEDRQEETWNKIQDLERQLQ KLGTERFDEVKRRIEEIDREEKRRVEYSQFLEVASQHKKLLELTVYNCDLAIRCTGLV EELVSEGCAAVKARHDKTSQDLAALRLDVHKEHLEYFRMLYLTLGSLIYKKEKRMEEI DRNIRTTHIQLEFCVETFDPNAKKHADMKKELYRLRQGVEEELAMLKEKQAKALEEFK ESEEALDAAGIEFNHPVDENNEEVLTRRSKMVEYRSHLTKQEEVKIAAEREEIKRARL LRSSGAGGEQVRIGNNTAPARLE XP_844025.1 MAAVDDATGLEAARKQKIHNLKLKTACLENEELVQELHVSDWSE TQRQKLRGAHLKAEELVAAVDVGTKWNLTEVYDLAKLMRVCGLEMSQRELYRPEDKAQ FMDIIAMKKVLQDLRQNRNKTRVVSFTQMIDNAIAKVEKVEEELRRSQLDATQLAQVP TQTLKQVEDIMNVTQIQNALASTDDQIKTQLAQLEKTNEIQNVAMHDGEMQVAEEQMW TKVQLQERLIDLIQDKFRLISKCEEENQAFSKIHEVQKQANQETSQMKDAKRRLKQRC ETDLKHIHDAIQKADLEDAEATKRHAANKEKSDRYIRENEDRQEETWNKIQDLERQLQ KLGTERFDEVKRRIEEIDREEKRRVEYSQFLEVASQHKKLLELTVYNCDLAIRCTGLV EELVSEGCAAVKARHDKTSQDLAALRLDVHKEHLEYFRMLYLTLGSLIYKKEKRMEEI DRNIRTTHIQLEFCVETFDPNAKKHADMKKELYRLRQGVEEELAMLKEKQAKALEEFK ESEEALDAAGIEFNHPVDENNEEVLTRRSKMVEYRSHLTKQEEVKIAAEREEIKRARL LRSSGAGGEQVRIGNNTAPARLE XP_844026.1 MEAELGLPSNYRFEIEKCARRIREKGATRVALQFPEGLLMFAAP IADILEEQTGAEMVILGDVTYGACCVDDYSALALGCDFLIHYGHSCLISIKDCLIKNM MYVFVEIDIDVQHFVDTVRSLVPPETRLACIATIQFVSSMRAGVQMLENHFHQPVVVP QNKPLSRGELLGCTSPVLDPTAVDLVLYVGDGRFHLEAFLIAHPTLNALQYDPYKKTM TTESYNTSEMRTLRREAVQLGKAASSFALIMGTLGRQGNPRLVDRIMQLAEKRGKTVT LFLMSEIFPQKLARIQDVDCYIQVACPRLSIDWGYAFDKPLLSPYEAEVALGNVNWND VHYPMDHYSKDGGKWAVYTNKSL XP_844027.1 MYVSSISHERVVFVSRGCHIPKYMLVTTTAISGNDLELIKSKLE QQGMTYTETLTDRTNVLVARSTEGKKYALAKVHGIPCVTPEWVLSGNCSMDRVSEFGI DYSLRGLEVCTTSLDVAERQMVQRICETHQARYSPEMTRRCSVLVVPSRISDLSRNAK VQFARKYQCCVKPYCQFSQQYGGLVGVSGLRDISTRITALRERSGAVAYCFPPNLVSD DVKELIKRSGIRQAQTLTIVTTHVVLLGPTTEFFAPRPGLEFVSLSWLEECAAQGHGR VCAKPYVVSSVLKPVITFTAVPQEDREAFRNSLKRAGMQCVIQDDFVLGANSVGSFAR SNTTHLVVGSADGLAGSTKVASLAWRRYKLRVIECFFVHVDWLHHSLVAGYWEDCSPF IMNTPQFSEFNKELGNATVASAGVLADGRRSGSSAYGHGLQRLSKDVTPRDSVLSSSL EHLIGELETKTDATSVTPTSPAVGVGQAQSRSAEDRGEGDVCACNVEVSFNALASSAC GRRFGRETPPASQMIVYKQADMEQPQSERKEIEHRGVSPHPEKEGERVQIDDTPRGGR GEFSPSPCCIMLSRSLLHLEEELSQLLPLGLTIAISVEECTHYITERPSRTEYFLSAV AAGKWVLAPSFIKEARQENRIPPEEPHEWCPEMARRASLRNSVSELVKACQLQRRRTE RPFASWTVALCCSTDLRTKSFARVLQSGGCKAVIPYTPQELVDALLQGSGPVDSINFV LSDDNNWEQGQLAAINSRLKVYRMEYIAHCLCTLEPDPVIYELMPKTGARKRPKDSS XP_844028.1 MTMMSVLANALRCIAGAERRGKRQVLIRPSSKVVVKFLQVMQKH GYIGEFEIVDDHRAGKIVVNLNGRLNKCGAICPRFDCTARDFEKWVKNILPSRQFGFV VLTTSLGIMDHEEARARNTGGKVLGFFY XP_844029.1 MQTVWNVPAVILGEFDAIEGPKDLHVTEYYEYQEMNSFGAQMPQ LSAFHGKALFSAHSISGSLRPHSKQKMRELVARVLDSNESNEESVVMSDPDAGGVSGI AFCLGDILARGESRRFCVIITHPRSDELIRRWPVLSCFMRILLEGWVDVTERRLASEY STFPDLERRREEARKRPMRPLMSLLAEDDEAEADAFRRTHNFFECVLLALFGRTPLIP EEPTCETAVLNTVKQYLEMKLPLVETIDVDVGWPPEIGRCVSLGDSRVVSLGPFHLLK PLSVWLLVFLEWSDTGLDETELLLSALLRGKQIVVYGADNIHCAGLAISLSQILPRSL RSVSVFSEKYRLPCESRILSFNTPVRLGTLIEMTRQTNAGVPHSDVVCVNVDDSGRIV SIHNYGACGRGSDTDKPHAYHKIGDVSRSSVVKQFTNLLRSHMFVPAAARTCKKLQFH IEQLVVETVLRGRLYAELFQEGATRITNKDSLCSGKRRTSYRHSRPIWHRLFDFFLGD YCLPSSRLASVESSCNANFFSGDTGAVFVDSGGRVEPNSMVNSSYDHDALIFLGSA XP_844030.1 MTFSSESSVPPQEVYIGEEDIGKVLNVPIRTHVRIRGIENIHPR VISRDVEAIKRSENIEDITNNMNEARTRWARMGIFSKVDFNLEPTFHGESNDVCVHID VVEAKPKKTFGVFTTETVLPELTLSLENILGGRYSLSGSYVPPTSRAHAVSLSMLSNA TYLGQTGEYYLGRRSENKMYHLASNERIDEVKATTRNEKRGLLSEFTIGFQRRLLVSR EGTNLPDEMLQDFRTTFKGYFRHELGVSNVWHHADPYLCGIYPLPIKGVQLSMKNELA GGPLGGGFNFLKTEIQTAKYWPLGPFCSVQWNSKVAGIWSYKRARIPLSDRLFLSSCH VRGFRSVGPSTVDGGVREGGHFAATGGNALWATSLSVNFPFLFFPNNGLAAMHLFGNV GNLRMVNSSSELFDTYRWFRTCAASVGFGIVVTRVPLFGVTPNGRFELNFCVPVGVDG RGNITWRNGNNRLFDHVKFGLTWSSAFSM XP_844031.1 MFAKTRLSWAKNVDVCVIGGGPAGVAAALRAVDYRKRACIIESS RIGGADLWNGALQSKTLWEMAKFVRLMNGQTANRFMKCRQALPCIDFSNIKKSIDCAA EFRHGQILHQLEKVSVDVICGHGSFTSPHSVDVKLLGGGIEEVRADYFVIACGAQPRK HASVIADGEVVFTSDDIMTQPFPKSIVIIGAGVIGCEFASIFANFGLTEVNVIEKSNR ILPMEDDDVALFVQKLLEAKMVNFHHHSALQSNKVEGGQFRYTLRDLRDNRLTDHVVD KALVSIGRDPNVGSIGLDKIGVELKQGRIQRDQFYCVVPHKHIYACGDVGSRVALVNV GELEARSCIEHMYRPYPEGELIQRLDNLSTIMFLDQEVAAVGRNEQQCRKEHIAYKVA RYGYEFVGRALAMGNTSGFVKLIVTNDHKMQVLGVRAVGPHASSIIELASLAIHNRES IYNLSQLHTAYPAITQGFQECVNMLLGTSTLKPNVFPELIVNEWSPPNLDRGRAY XP_844032.1 MDYTDIYLRPRKKESVSPQEPLRSAQVDSAAESRVEWMLKGAFS VQQLLDRVEELSARVCMFDEKMVNLTKLVKLAQGIQEEKEADIRFQQQELLSRLKRLE QACARLEDMETFKQFIISKVQETLEDAHQAFLSDLAKIRADGEEQAARTKQRIENTVS DVRRDVEERIASLWGEAEQKDLSRQEAQDTLREKLRLVESSLRDLEMSVGRDYSRVPP LQEKIDGITQSLSSLEQKVDKTAGTVVTLGNEAKQARALLMEEIETTRQWVTRNLQRV KQHVEVISSDVEQLHNGRADLSARISRISCQADMECKKLRSQLSQKALVADALDNLVQ KEFYKVEDVALRHQSLRTEVGAVKDV XP_844033.1 MSYSDIQSLAQLLDRNHGFKRPDGQSVGYSLQETAPTAVVNHGD SAAAPNPSNIPLPATVIDQQLCLPIPSESREAKRIQAKQHQARRPKGYAIWTDDELNE VHQFRKDTTTKPRDGVEEPEYTILHQEKVTAEDLYLGMDFTRTGSSGLLVKVTMPKQA RIADVAIEVDPYEFRLSSTKYFLRAALPQKVFAGKAYVRWNVENKTLEACLYVDDSDR LV XP_844034.1 MSIFYNFLPAKPETTSPSSLSKLTPDEVPSLPLVSHYVYALLSL HVYGIADAPPLPKPWNLHLTAAELGLDKDGYFAASYINEELRHCIIAHRGTADALSLR SGVWYYFNERTILFSLAQQFSKQVRIHLSATKGEGEGEWFISYTGHSLGAVLAACRAV EDRAPAITFESPGCKLFIENTLYQHKADDGDIITYLREPNPINTLRPHCGYIVQLHPI QDDAVAAQAGAAVASKPRLAAIFNAQEAVRGLFQRAAGIPDIQHYLGKIEPLIREMVE HTQQAHSMSSVLRHFKENEEPLAYAVLRWPENALQFMEFYNAKKVLEVRENTQQNLVA AYESLIRTMYVVVEHPKNSLPLRYLSKDALKLLRLWSRESPSKLARMPFTEMDHKVLN TIIIEDGCMRTSVLTAFQAKQYISLVVFKPEIRQVLDRLLLDPLLENASKM XP_844035.1 MQRLVSALSRCIQGRAVTTLVPTATEGVDTLEASLRSLSKSSGL IDIKQLGKLMRKLIQCSDSRKARLCKEACRMAHAAGLHAECAEMFFSCRKMGPVTLND LVINAAACTLDPEYLQKCLSNSDGTSLTRGLASHEAVIVLRIFWQSTRCFVCIERSRK EWSEDRGERGAAKQRETVLGRYRAVSEEAFRLLKLPDDVLFSDWWARVKRLVLYHQKD KGEAAVYEQFFSCWENYHGEEFLKWVEPPCLVAMLRSCVKGERWDLAGRYVNVSRDCL LSRANHPDDTLLQHCLTYFSSSSFSKAGVVWFGRIREALVGYIPSAMVIHSAARLAGD AGDGELAVWCLQALLSERQPSSPSPHSIFPCLVALAKCRVKDFKKVLRTLEESGHVKQ TGEEYLYLDLLYSRHNLFLGEELVQRVEKHLTHVDGCLSRAGFSVRNATLFLRIVQEM EHVSFFKYYMVLKRELQADASDYARAQWLSIALKWVLTQPTLKNSDYETLMMEARALL NDGKTTGAGPPLVGYSLNSKITSRLAAIHQLQGKEAPRGLVDEATVVAPIMRFTRQRH RLPRSQPPWIEAVKPPRQRELWQVRRTLEALAQREWRERVQR XP_844036.1 MIEKWKDNPLFRLLAFLDVVGLQRFSHANDLKSDGTFINPDRTV GAGTVMHNILRSGLHALRANITRLFADEKEREQLLLLVGDPNETPTVFGEGVLKPPSL QEFDEVVDSALPVWARLRLQEAVALNTDGNEKVSSGSVKISEQFLIDAIDGWMNGRSH KENDALVVACGVKPEVLEAARGRAAELPNAVEDFIIDVVFPPSYGNEAKESDMVDWLI ASYEQCCEAVNAEEEEDTERDQENENNEEVLLTSENVATFMLENPSVIPKAIVRELRR KLYDPEITEFELRNHYLASELVAFAKGELGLKKGLNRTNCVKAILEHHSTSKKKTSPK KTGPQKRGRAKR XP_844037.1 MRCSPQYNEANPPPTSQLTRLKYTPVELTETIVNCQAIPMSPVL LRMKCVVTNVSPDSLLLPDLLHSRPRKTIKVETRVSDDMEVPLFYGAVCGIYTGPNGK EVAAKQWFVDLARICTQGNAMLRDKKTGVLFPTQPLVTQRKRCREREGVSRNDKANDG CNDGSANMCSETSRSRRIVVLHNPLHRYQLVALRTEEISRNS XP_844038.1 MIAEQQNQGKECPDEPGPSSSCSSATRHRFQDLPYDVLLRCAEF MSVREVLSLGTINCFFHAFTTSENIVLWRHFTERVYLQHPYTLRQTLFNDSLLRMYAA INSIPVPLSSARAGVSDEYDVLNTAAISSQVISQEKLYQWVTDRCEEVIQDLRLFADI VENADSRFALTGLLVALQAVKKRMWCTEVADLESVRDLLRATVVEEEHDQGWVVLQTI ANRTIPRRFLTSFTARMQSDNIESLNGKYKLLKRCWQRAAAVAREYMQLREVLRVVLA VSEVADHPNAQQRYDSVWSALCGTTAMLNAEKRRRCSESGDLDEVGAVIPMSATDDAL LRQIRNLDKVTIGDIVSYFFGYVNGKVQRKTFLAALFSMKHLAGAEGYESVPFW XP_844039.1 MLALSQGLPTVDPALVKAFKRAANNASTSGDVDDDSRRQEVARI NKEAQKAWRAEEKSFIPAIYLRTRDPSAEDVLQHLRVTSKAFELNLRFRDIEQLDEMQ VKLWSLLTADKSKQTVTISEFTEIMNKLKVWQGQRFEPTPKTSPCREISVRSAQQECP VDTTPLDEVMYVGVAKRPRPSLQLFLSCPRSHAGGVEVAHIYQRFAKQVSLVKCEAEL LMWDTNNDGRLTEDELESYVRDLVSRVDALRDISNDILPFYCCAVSRRIFWALDPGMR GTIRIDALLQSPLMDEWVSLQLMREDLPRNWFSAAITSHLYDKFLLLDTRNQGTLNAA NMKLYKKGLPTVRDDGLPRGVGPLSSLFIDRFFETSVMMACSELDFRKFVDFVIAVEF LPACQRPLFFWNILDIEGCGVLRPVHVNSFFRETHSKLVEAGLEMPSRETVVQEVFDL IPTAEPLRITREEFVKAPQAGLFVALLIDCLSFWAYENREQR XP_844040.1 MALGGSLLALRKDIWARANKLLRVQRTAAAQTMYYQPSNIVPLR CIAPTAVVGTPYGAYVNQYVSELQAWCRASDEAVVATQKQLQRLAAERERTKYLFRRQ LLLFIPITAVAPFFLREYVDRICAERTHEFLRKHAEERCSLKWIAI XP_844041.1 MNKSAVRSEESRQSSSLPSTYSSSSLNSEDERLALLSDINALQA LFPGVTPKEETPLIKHPNMTPAVFSKKFDYVMGIYRAVGVTFKGTRVGPSTPQWLLLT SFVIQQNASHYSAWKDRRDVFLQPSRLLTSTRDAFMAENGQQCCGDKSDSLAKVIQEW LPAQCDVQGPTGEYSVWRAIRWELKAMERFALMNPKNFQAWHHRGEMLREALMHANSA VTGSRSAFDGYLLTCHNMQFSDIDERVFCDAALDDDSKNYHAWLYRSWFVHSFPFLLH PPSWDSLLGGDQGTEDKHSELHAAPFRSFTVEEDWLHKCLQPIIPECPLSDELTSTAK RILHDCMNNSAWCHRFYVFEHDLIVPLIRVIPPQQTEEAKLILKRLCECEMFYALQWC AYEPCNESSFVHARCVAVLHQAVAIHLCLSSHEDAPHVFLRDDEIIQVAGSSSLRHMN LRDKLRWGAFLETFRLLLCQVNVLSDVITPRAEEFRSGGEGRDDATISVIRKRRSQFL LDNTHQVYTARYRCLFTILEYMWRCYFTVSDRENVAAALPPEVYAGGDAEAAALAQQQ SDDCVKFFLEAELQALRLARRLFVEDDIRRKYWKHEMNIVMNRDYV XP_844042.1 MSLCENCELGENCHGVDDIEETLPITTEFHYEPIFQPSDPHHDK TEYYNIPGDYVKEIEVMGRKVLSVDPTALTVLAQHAFTDVHHYFREDHLSGWRRVLDD PEATDNDRFVATTLLQNACVAAGRILPACQDTGTAIVLGKRGELCWTGGEDEKYLSHG IWKCYVSRNLRYSQTAALDMFKEANTGDNLPAQMDLMAVPGNEYHFLFVAKGGGSANK AFLYQQTKALLNPKSLRAFVEEKLKTLGTSACPPYHIALVIGGTSAEMTMKTVKLASC RYYDSLPTTGNKSGRAFRDIEWEEIILEMTRNLGIGAQFGGKYFAHQIRVIRLPRHGA SCPVGLGVSCSADRQILGKITSEGVFLEKLVRDPAKYLPDVPLTSLGNGTVEVDLHQP MSKIRELLTQYPVTTRLSLTGPLIVARDIAHAKIMERLNADEPLPQYMKDHPIYYAGP AKTPKGMASGSFGPTTAGRMDSYVAPFMAAGGSFVTLAKGNRSKVVTDACKKYGGFYL GSIGGPAAILARDNIKKVEVVEYPELGMEAVWRIEVVNFPAFIIVDDKGNDFYAKLV XP_844043.1 MGEVMILREKQEDSMIVLSGVRKQADLLLMSRYFVKLQRHVEKG KRKKHLGRCATLLKHNAVRRLVVTHFLRWFYNTRRHLAGSLGFEGLPRIVVFPTNQDF VNLGVRYFQQWREWLRRIRQRRCTNTELLKNKTRERQLLCSFHQWRCFVHQQREERLA RRLYELTQQNEKLLTDIEMMEQHCEGQQEREEAFKSLLTNMEAHRNRQFRELENYRQA VREAVSLLHVSSWAETNSQGVAPQAEKGQNVLSDSVGDEESLQLLRAAATDVHKAVSE LRSVLPMENEGSGTLSDVVQSVCQHISKLDGTFDELMDELHSSSTLTKYMRNVLQSTG ENILAISQKCSPPNLFRGRVNNFNQTPTPEQSREPHRHNVTGGSFVDWETLELLKDQL SHGDTLMNGVPSTVAVLENVDDALKCLYERQQEADEYMSNQQKTANCTREQVHVLAEA AEQAVGLIQSWCSMTAQHQRDTTTIDAKEELTGVKVCELAALLRYESEVASDALSKIR HILLSTRPPPKMSAQDVQSKVPSTGDASTETSRFVSYSGLVKMVQGLHEQQKEAVDAY ETVYNELQQLIFDLSAIGSGRESCASVSSESCNDSRLNSLERPQLSISRNTSDYLHYP MKRAEPIINAVRDCINLKDIELKELRSVIRRSVVALSGGTYDGEEFLGENNGINSSVA RFPEGTRLLTMCEELGRAVMEAKTAMKANDTGKFCMHDMVVQLQNKLKGLQMVEAECV SLMKGLNHVSTLYDNGVETEGRSSVAAMLRRWELTTVEQKVGVVDEQTSKVVASRHEN IPVGGNDDDAMRCSEILRTLLRGVRRTAEEFLGLHGACAELLTVLDGTHVGPTHLHTP PCDRDMIQSLARHTKELKKVLNRHAAETSDKSSWKGSVSDRLVMLTAKVALLQSEKET LRDEVARCTDRHSSLIKKLTKEVDRLNQVNQKLLDGTEKLSEQKGIEERLARRRAVHI ARLLERVVPRVRLGGCLVLWMKYVCERRKQAVYDAREAEETNSLQVARKQHQQNLILR MLAVVLNSLPSTSSLESEAPVTELLLLHLIRTENHDCLDELVQRRLGAVAVWRRSLKE LLRDAAALKEEAWSLREAMTGSWKTQLGGLLHEVSDGIHTAVAFVNEQRSMNNEALRN QVLGTETLSRKNRELKRNNTKLLQELLELQRVIATRDERIIKLERENRNIQLSCILTD NDSSTTIGEGKKDDYHRSVVAANTHWHDQERAERVMVSSAKRLAVETINAIAGKDSVG SIAEVAELTAELYNRTAVSLEAICNAHPAARRDFLQVAEACLADLQNLQEVRHEIVER LPELLRVPYID XP_844044.1 MQIATVPSWNQLLPEKGGPRSDGTHAMRNVQGGEVLRGATSPGS TCGGHAAANFPLSDWEAEAVRRYRALKKGDLTAHSVTEYLHSSPIHGTLLQLVLHSKI VHITDWYERAGIVADWFSGGTPAPLCLLPSAVVHLVGSHQLTPSVAAGFLLRVAKLLL NAKTVSHAADSEKGQRSNVCVFTADGWSAAEVLQRSVYDLQESGLLTFEHFSSFFLRA ALDKILIVGEEPELLLIPMCFLIRRCQKFSSDGWINSEERLRHLNRMCDTAGGLPTHI ATTLTAII XP_844045.1 MCRVVDAMARIWTPHSCTSVQQRHAAPHALTTDNIHIRRLSSLR PHQQHHLWRLCQLVTGVTESLSGKGTFVACSVVGGRSQTRCSPSLGLNSGNELCATGV NYALHRSFNAGKTLKGILRGCAEQNALGVAAASGHCYTSINDVYLCAVTCSTPSEGDV SAACGHVCSGEVHKGSGEVSDADASCSGVAFPCAECWRNLSSVAVMRREEGVVGPLNL FVYAQSEASALRSASIAQKNLTARPAPPIDVTVVISG XP_844046.1 MSDDASQNYPSSFTEEFINYCRAHRLPPQLFHDLRELLPRMPRY VRIRPSDAQQRILPCSCDQGSRVPSDVIHELSTAVGGPTGCVYEVEWLPRPYCLALPR DTAVSQLELYRSGVVSAMDAASIAAVVALRPRRGETVWDVCCSPGMKLSFIADAIGEE GSAVGTDINLDRLFAARSVMKKHRANNVCLFVADGTSFALRTAVAVAQAAKLYRGKSN TDGLTALEERRLRYMKKRRAGGAADSSDGTVTRDEDDQVALVFTCDEARKRLVQSGES ANQKHYGFDRVLVDAECSHDGSLAHMFLGGGTKRPFAGHDGDADVASQAINNEQRMQR LNLSLPDGNEFANAEVRKVSPLQQLQLQLLTNGYAQLNPGGTLVYCTCSFTYQQNEGI VQEMMHSVNADAETMERYGGEAVLCSPFCYEHEVGLEAQVSPIVILSGTQVGLLKQRL AAAGDPYGVTTGLAREGAEEVGVRFWPHIFSTSFQFISKVWKKPLT XP_844047.1 MLNRCYIPRAPSRRNERPNIAKLLYGKRKNALHGASKAKPTVVG MLLQVPIAELHSATRRATGMNELSNEAISEVLRKCRALNRFDRSEIVVEAVDEAKLKL TSSHYCTLMAHANDNRDSKNALRYWERACREKKANSKLHGALLATYRSSGLWKDAVRH YAAMIDDKMGCDPYALHTVMNACRRAGAVDVGLAVFSKAVQNEAAPNSTVYLELLRCM QQTRSPNRWEMSLSVLQSLGGTVDVTAGLYNATISTMAGALWEKGVEVFQAMKENNVQ PSKDTLATLVSLNPNNTSHVVRCVTEAHLLGMPVTDSMYRAVLTNLTRLKLDHEAVRF AAREYSRSTEDPGNPVNSTLALGLAILDMLLAHSRPKDAMLFFNVFESQLGNIVSVST RGLGTVGLRSQRWIVQGRVAVLDHNIILNPRFESLLSHYDSLLVPLSSIRLLVRRVKE ESGTVKGSYTKHMLKRLQKLLEDHPAVRVLPLTHQLNAHTYIVDGPITPESVELLRKT VSEPKEGAHGAAFPLVIRKRGDFPNTLETLQDFSPKKGMEVAAMRGEVALHNNEPRLL KYPDRITAPERVLAVAAMLKSLNPDTSVHVLSTTSLQLQVVENWNKLRPFTGLTAVRY PDEVELKAPGEQRKQPKHPAGAVSSGLRHETDGPKPGQPGGLHMKRLFVPS XP_844049.1 MWPFRKEQERGGWLIGWNPHGLLVIVVAVVHDPRVENATAALNK LKESEGDIRATAHRKQHKDVPSATSLLILGWMHSKQATLDKSEYADAWVRSQHSRAEL WLELNEGPLLHQLYCCGSPVQPCHLHVLRSDPTVSYAVTSTAFSAVARHDRGDLVKLV EGKMQPICVEGANSTLEGVLLPSHMVHSAGPRTKMRRMVGSDPLTQDEEEKQEGAKQR TEDDRVSVGSSGSGEGSTESQSVRGSETPRFGGELHPRLDFPTSTDYGELSSLLRASA YGKILRELCENKVSNATDGTAATARLCAYWKNCGNRFTGFLTKILVVFHLVLKWPGNV SYTAALLEFRLRMVIHWLLLLRGDTRVCCLHPVLPHIQHNDPALRRFCVVDFLVRFAV DTICGMLLSLLLSHGSGLLVTKAVSWCRWLLYDLHMEYMDWFEGWPAGLKMNGDLSMT LSCIAKAVLEASWASVEDLSNVGLFYKLLIFVAPLGASCAFAFVADLCLIASLHLYLV FHSVSLPYRFARFMLRNLFLQFQGKKHNPLRHRTDTYDFPVEQTLVATVIFTIIVFLL PTLAVYHVYFALICIAVWLLQGALLVAAHLTLYLPLYPLFYWALYRRQWPGGVALTGP KVLSTPQHSRALNPFARGSLTVEFGVVSKPLELRVLLADFLLVAHVVGRLHPFDMIVA VFKVRRWERTNPGKCLVPPLPADIMPLTGMTLPKTAVA XP_844050.1 MLSQFDDNPLVEEREGELQGLSSEVTNAQKKRPREDNLQEPDSK HEVATHEPPEKQPRLEEDAEGCTEGEPFIGYSVEAVDDVDEADETYGELLNRVAALES GRRNSACAQRFQMTLKTAEREALRGCMSHLRALLAGLQGKRTKPTTAAAAAAPSSSPT TTATNPPYCPLQPSQCAQMMELYGNAERLARLGTRSHWLKCAGARTRAMNPEGCSWTP PLATETSGGTVPTKQFVDKGRRRFEEMEKALQKVPPELIGPAAPALSALSNKLQQVCE TSDALCSRQHEIERCVPELMARWNQYSLWGAERSLLIAEEQMIDHLAAQNPTAAEEQL LSQQQRQQKRLHQAEIAVATLLASASDVKVPKVSQGFLRSAMQRYEDLQKLRSALYRE LVSLRKLLKEKLLLSTHSVVGGECFFLGARAAEEGRRCLLQHSCAALCQRSAELNKHL VTEVLRGSMACPPSPEQEEKLLQYEVKSATQHASIVTLIAENARLQQRIKGVSLVQLL ATSGNGKFASIAGKLRSTTTSVVGKHTTHQEGNVRVNEEAVREEGEEVESAANNIEVE EPDILGHFVDVFARSTEELVKSINTRLWDLSNLYKDERQLQRDVAFLVRLTVAGERLL HGKVGVPLMSGYAEDITILLEEARLRLVDTKRSVRQLPSLRTLCESLQSQSASHAEQL LKEHTAAEAVRAQLLEDLEWCKQQSPEMAGGQLVVARKELQKLRVSLETITKQGHDPT PLELETQKAGEQLAQLRQQLEKLQEEVDLAEHQLQCLEDDEVVDAQEDVCEENGGIAE EEEVEEGQEAEKVEEGEEEEVEEGQGLAEEYEVGEQREENHGEMEERGNGDGGDGRDG DEVVP XP_844051.1 MGGAVVKNYDKKDHSRGSQGTPSRKSSNSSKGNTPGRVQNSAGV KDNTQTSSNGGNDATLQTGFEMFVRKAPVVLKPLPQPKTGCLLPSKYIPQALPKKADL AGVGCDDIKPLRKNSGQLAESLSGKRLGAVDGEDAIRKSSPKAMANGQWVSCDDSPGA ADASDASSGSETFTYSIDFPGMRSLPWFNANRNRGLVKRRERPPSISWNEEEEIAISD ASDKEDNKTGETETGATRAVAPANADGEVGAEADAGTTEGDEPKPAEKPERPRHRPIL LGKIPHRKQLAKKALEDNAIFASTRFPKF XP_844052.1 MNILQLLSVAYGGAKEERTAATDQLEQALESPQAPFHLVTLIRA GTDPALPAEQSLSALIYAKNYIVNKIDDKADGDVAQAVADIQLLLYDGIFRVPQTHQK VICTCISTLISLFQWNYVHKLMPEIICSRDGITADRSIASLRLLYVFVKRYKTPNLVP MGDKLEVCSALITALTPFLSYGDFQVDHMVLKIMECVVEAVLQVKRDHNIPANVFDDW FSTMVTYPERHFAAANDAAAGGSQKVYESYVRCVKRIAMISYSIMNDATKKKSPQPVA KHFLETHAQAFLEVWPRWLEYSATSKARSQHQSTDIAAMRYLKLCTFDENLYRKCLLP RLLQVVESSLFPYLCCNEEDEAVFANADDISDFAQYMLEGTFEDGEVSTRVTASNTIV AFIKGNKDYQENLLPQMLNVITVGLSRGDTSETFAQTFGFLHLFSALRKYLRSDREVW NTQVAQFLVSFVAPRMLPTAFCIPLRFKATTTYQRYVRAPMRTEDFDSFFQLLSSLLQ DPDARIRLGVIDVMCSLVEMKRVWPYIKNVLVPLVEECLGFLNRVHTTLVPTMLLFLV ENFSPELKPVLHKLGAALVNVFLATAFDMAHQEEAMDENALQDYWSADMSACALLDAL QNVLEASANDSQVFKSIMPDVVRLIRAVMERPDNYEFMEKTLGIWLIVVNNAKPITRE CWDLLPLLFKSIDSGIGVDFFGLIEEVLDNYISNEAAEYVQNTALMEATFGACEKILF QAVCGVSDKVGVPQLVEALLHQSKHCEAVPGLFDAYLPRFVLLLLRALADKNAHEGEV RLRVWIVVAVMDAFYYNAAATLHIIMENSAYSQFFDSLFNFFRAAINPPQKTKGKKKR GSGGKNADEEVVENLSLLTRKVLALGLISLLQYLTSTSCVTFQSSINLTSFQPYLRQT VALIQHCIFANHTQLVPRCRISEEKITNIRLGVESEEAEEIDVEDEDVLGIDDFSDGG SNVDDCTLDSDDEDEDVEGGVDEGDNYMSPIDDVCEVTLFIQWMVHAQQALGDEFTQQ LGRSVPLKTMEEYTAAEATARRYRELVRELNSAMEEDYKVRSAAAAQTQ XP_844053.1 MTLVADSDYPRVISALHGLETRQIRVGKTVTAAFHQITDAILAS RPYDRIVVESGTYFENITIKHPLELCGAKDAEPPTIVSVGPCLVVDVDGPIILHGLNI TAKGNRASENQAVVVKRGNPRIEECDMTSLYVKNDSKPHVSHCRIHSSRHGVGISVIG NGSGIYEHNHIFDHEGESLYFDTAGKPIVRHNRISETKGRSGVVVHMSGRLSGTTEVL FHDNIITGGGEAASNSQVDQVPTHSLQVLLKRVAPEGAALVRVVHNAHPVMVSNMLSN GVTGFRINDCRLSPECFCGNRIGNCSAWGIVVGGDSTVTVDGNDVQGCGAGIYARTTH VREESSGGDGEDAIGPCVSICRCNLQWNSYYGFVIDRSAVKVNECNVAESSTGMAFVG DCTGSVVTQNVLSRNSVTGFSICNHGSVVIDDNLVSGFNESMYGIFIQDNSNVVIRGV KVSRMATAITMSGGSRLVLENSSIKDVSVHHIVIEESSQATLSRNTLKGSGGASVVVT GRSNCHLVGNSLLISQKEGVLVEEGSRATIEKNNLGSMREVIYVKKQSTAHVRGNDVA ISRYGVVVAGPGSECTVVGNNFRGLTGPGVYAFEMGTATISSNHMRDCSATCIQAGTG AEALIEGCTFTDCRSGVVNADGAETHCRVVKCHMNRVGHGIQYTGAAKGVVEENSINS CRDFGVSCESHTPVVVRKNTISDSAVGLNLCAEGTLSRLIISGCKTGVLAKFGASDKV NDIQVLNCSIGITFTRGTMVSLDTVKVNACQKYGIVVAGPSPNATVVQATVEKCGVAG VAILENGACSLEQCVINRNGKGVLLRQPDNVSFKDCFIAQQRQGVVAIPGEGERIRET SFSRSVREGSALFLSCTIGGDECEEGVVAGDCDTTLYFEKCRIGAGIAEKGVGVVVKS GAVVRMNRCDITKCSRAGFLASTAARLLAERVTVEKCAIGVLFASPADEETMAIQELD SEAQALVEECPTETHTSGGSNRIIDVELGGSILRWATFRDLTISRCENAGVWYMSQSV GEITKSTVQECTTGIVAEHGSRTILQAVQVSGSRGRGVLLPARLSKEAEVTFITIQNS ESHGVEITADEAGDDSDDVALVKVKNCEIFDNKGSGIVLQSSVTLESCCISGNECSGI LCSGNDYQGNLRPHISLCQLENNKEANVIAAGGCIPELHSCVLEGAGVGVRVESAVSM VKCILRYMGVAAMFTHPESSPSDSGFIDSHLSRCVLKDNGIGLSCHWNAPASDESRIL VENCMFDNSTKASVEVGQGPLVHIVATTFETSRKAICVEEYGRVDAEGCVFIGNLQGV IITSPQYVEVHRSRFLRDGNCGITVGGDRGEVQIRDNCFKDSSKKSVHISTENVLTCV QNNIFEQATSGIVMEESPRVYVYNNVFRKCGTAVTMRSPGCCGVVAGNILEENTCGCL CETEAKTKVWRNEFSGNKKCGIMVTTGAHPVVVENIFKQQDSSNSRAVSVCDGGIGHF ASNRFIKNVCGVFLESTGSVVIVNKNTFDGNDVGVQIGKETTAHVVTCLFLNSGTADV CASQQIARGQCILAYNCFCSEAGTAVTLGEQAAVIIYRSLFIGSKGRGVALEGVSQSM VCESYFSGLSLGLFAGERAGGRIVNCIFLRCLRAVEACQHAKTAFHDCFFFARSSAQP GMVTLNKHAEPVFSHCEIIGTDKSSSPLLCSVGNGVVEECLFSSGNTSVSLGSECSTK LSGNKFLRGIYGVVLLAECAPTMDGNTFDSHDKAAVKIMSHAGGVMRENAFVQPIENG GILAGANNVVIENSKHIEATSIGEKSGKRVGNHAAVEERFLTTLAKWLRAAPAWTRND LRGMPSAATLPPVIRESLNTVEDDEKEGDQAEGGEQGGVQPQMSRANRGTDEKTPGGE GAQADVKQKRAPVVGRGAGRRRKIPPYEFTPDNDISRAPEDIVNELQSWLEGSNITLI VEEENRPTTGSAASQTYNSNMLLGVDEGISQMKKLQSDKKESHQLTSDREEDQGTWMS NSHVTSVVGSSERVRDPLDKHQDTASGWGPGPDPSADLGASKSEDETMLQIPLEEHLE AVTEDPQLSARHVEKGLERAPRRPSPPLYSTNVEVQQRGPPRRGPPETFVRTMGLSTV ARRNREADLRRRSSVAMQSKKRRRTRRKSLAAINVGRSPVPGGKGKEEGTTPAGSERP RYPTPSGGFMGIEGDSCSAPSFDPSHLSHYNGDAEELSGTTLGNDIYLTEFGVESAST RRGMPEFNGSCESQGERITSGSRPDRDLLKNVTLNFSLLGQLSFSRNSNRNPSPLPCG EFLGADDHRFDGKPNKNVARSAPVDLAKNDSKGALGTGSYQKGPKEHVGLRAMMMDQS GDMKKQLDSTRPRAVRESETKRQLLPADSAGEAMGARTAPSGRTGREGAPRCSVDQFV VHSGAAQQTKRISKKGRAEKKFVKKKVYPVSGTARRGRKDNNYSAEETQTFNKISRRE GGKENESMLSEKTAEISATVGDGGAPKGGSTTIAEGPPTRASTSRPALGEKQLHANAS GQPSKVSLLGITKRPTEPGVEVPHDGLSGWTSTAASESYTCGLSVGSREGLRTGASGR AVGNTTCAGAADGSLLLNRMGHAMSSRAVTREGEGKGGLSPSADRAKDHLVKESRTIP FSGEKGSFASPNERSQDFARGNKNVTVPQLSIGVLKDKERSTPSRREADAPSATYPKA NREHVPHDAAEPLKLKQAGKLRNQTCGEGKGYPLYPPGDILSRSGESQGWESGATFWK PVGQLSENGRAMLGALQNEVFAGEANVFDPPWGDVTGPKETEVFSLATPTTARTETQA GEPCGSSSEAGELEASVEDVIALLRWLADMRQRKLMTREGFSRAVDCMKETSAVPFFF CENSAAGGPTVMVRLPKTADQVVVVPLLKPNQKIEIEVVRNTHILPPCMVKPSPVASP KQEEKVNVVRINTCVALPSLVKKIEETPRGSSPPHPVSANMSSLSTGYPRQSPPNSPG ASVGHFATLESSHSCLGWRPNVESEEKGVKSARTAYTASKQQVTASSGFGRRRFAAAI PKDANRTLVPFNPADPKGTSPFINAGKVATFITAGTPNVIL XP_844054.1 MRDMEVERQWNDEKSASIDWKDPDDVLCNHWTFLRIVDFHPLLA QYATTHLEDKEHWKAIFTNPARTDEQKEALQFWLQNGLLTLREKRWCRECRKEGHTKR QCTEAKVPKGGGVLEKFAAHRAEIERYRLMQERQWENDPVTLLAIKKGGAQVRDGRGG TRDVKVGGPHVWVQNNNERRDLEPFVEERRRGTIGRVDTEHNIGFVRVPDMGEVKFFV DRVDYGVREVAVGDAVTLKIDVSRDYPIAVDIRLETPHVTSEDVYKFIRRCKNATQPI TVIKAVMTHTFEWPDMLRLLRDMPPAAFVDGVHTLVELTTFVGNREPIHIPLLQSFLV LLQRESKDGTIPAFFPSMVQSALEIGREILNEGDMATAVERWLEVANLIVLLRQHAPV AGDGVASVQTTLISLLNEGVKATRGSAGELPGSVLAVRKRKVDATLGRMQNMEGSRST DILIPSASDFSIPPPHELSPFSPCNLPVNGSKVYDTTESFITDHCRMLQADTFEAVSR ILPAACFNFPDYKPTEETVSDVQHARLYHSVRFMGRVVTKDRDIACCTSYILQVQPKS PKAQAPVNIPVGTTLCITTGLNRTVMEDDEIFWCSVTSLNKPLLSGNMVVVSPCDSSA SFELLAEKLHRNESLNKMDCSLMLVTEVFMMGYKSIMKALHAFVGPLAMPLPMLSSLV SPEAAAHASANGITCKAKKPGELVAYIPPHCEFAFQSLIADVCDRFTLDKGQEEALRL FPSSDILLVQGPPGTGKSFIGCRLVEVYVRYKQLVSSGDILSTIDVEQLHSTSPQHML PSIVGPVVVITYKNHALDEFLIDLLQSGLWDDERPRIAQHLVNEMGATKADLFPCGKR VVRIGGQSKEAALDAYNLNALLRTKTDKAMLNSLKERIYLMNQRLERLIKEIHYLESG RVPKSLFERWLTEEQRKHIKYEDRDEWLQGKQYVGKSERTANRTLYLQLLKTRVESAL EEAQRSPRERTEGKPEDEKEGEEDGAAQLSVFQEMRRQEENRKFNDSLHTTYLSSEAM ELAKNPPTCPEGVPEELLSLWSLDPVLRHEYYAYLIRKCISVKARDCLLIMDAISNVV TIRDHVVSELKLELLQGADVVGLTTTGCASNQNLLRSLRPTILIVEEAAEVLESQLLA CMTDSLQQVVLIGDHFQLRPKVETFLYEKFNRLNMSLFERLSSRMRPICLREQRRMHP LISRLVRPFYGPNMLLDNKDLYKRPFVCTKGVLHTDAVPGLARRVFFWRHSQPESEAP GSRSKVNLLEAEMVLKLVAHLTTEGVHQKSITVITPYLAQLRLLRTTLRLHAFSDVCV STVDLFQGDENDVVILSLVRTERLTEFLKMRNRMIVCCSRARFAMVMIGNDGLLEQST HWKQVLDTLRDDQCVGDKLPVTRFLSPGEEVMMSAD XP_844055.1 MSCCTLRSNEIRQLIVFIFVASIHCHVLTVEGKGVYVKVVAPWT ETPLLQEGCEAVASLTVPVGTQTHDDAPSGFYKCLEDVWLRAEATNRSGGARTMTQKE QYDVLMDIMQSNKHPPHHVAFLTTKLAVRMHSPTVEAHRQLAGRAINRTGGCAAEGRP FALIGNKAFCDEHKLAKELELKGPSGSDATGCNADRETELNADNAMGVIANLDHVHPH LNGPNLVIMYGIVGEGQTMRLLQAVKRHKQTTKLVFRHLPVSGNQWNDMLAVQGYGVS VDIKSTEYRAVDDRIAGESTANLQHKLGRRDVLGAMGSGEEHLLGGFNISLLQKRYPD QSLQLSHFEDAVGKNIEQGVKVDLHSWEKAHIGIAAAQYVMDPSREPLHALLDVLTNF PNYASLLSRMSFVAAARKDPKFVRELDAIGRSMYHSSSHVFLNGCAVTTENINLFYMM EKIEEYERLLDTLSTILVSRSELHSSNDATRNGNTDVTDVVNGLARIQFQGESLSGGS GDTTARVWLPQDAVTWFNDVENNAYLYRLPTTLRSMLRNGAAAPTLPRRNVLHVVCIA DPTTYEGMGTIFEVARRAQQPIRFGVVFVDKHWSPEVTLVGKKFDKVAVSDSSKATLL VAATVWELMQGEADPAAVSDFLMAMTREMMAKQTITELSVKMITQSVLMQARKRAVDD VVLDPAFITHYEKTQKMVRTLGFSKFPVVLLNGRVHTDISIVLQHGIWEEFAHLQKLV EMGALSDDDDNLYESVLELSGARTRYVAALFENKTFADWSHNSVLSFLHKYPFIYPST SGMNEVSLVSGVLTLHVPVTAQSLQATLNAVRSLLLCKGADETCGRTRLTFAVCGTSL KTNSRTVVDDLHLLLQHCGVADKSECLNLLQEFLLSTFEHSHPGWQLDDPKKYEHVLK GVKFSDQLQALFRASAEGSTRDQAGKLNQLFLLASEFCREMTGSVSAVHEIAPGSVHY YVNGRLFVYDNFTEEDFEVATLEGGHTPKKVWNVLEEATFVGMDPGLEIPGSDQNFYA SRIAAVVAALRRDAANNDRREEQKTRLPVSPGPLSFVVGATEKRVPRHRLTVVVDPVA RASQQLVSLCNYISQLSIGAVCTVYLNPSLTVGNTIRNYYKFVGELQLRFDAEGRVVA PKAVFSHLPDKHLLTLGIEEAEYWTVFPMEAEYDLDNIILSRLPPSSKYLYATYRINS ILISGSAEDDSTGGPSSGLPLQIRSSLYNHTSGSYTNTTRDTIVMTIKGYFQLQSTPG LWYLGVQPGAIARAFYISHHNDDPVLDVAVGSVGRHFNYTAGQSIPVVVSSFTGSFIR LGISRTAGFEEASIESIAAAAVEASNAEWPPKGPRSERPKFPTLNIFTVASGHLYERF LRIMMHTVMRTSSDVHGANTTRIKFWLIENFLSPQFKELVPLLAEHYGFDVGFVTYRW PWWLNKQTEKQRTIWAYKILFLDVLFPLNVDRVIFVDADQIVQADLHELYNMNIGAAA MAYTPFCREYPNDATTNFRFWDQGFWLSHLRGKPYHISALYLVNVQRLRAALGGDKYR ATYARLSEDPGSLANLDQDLPNFMQDEMPIFSLPEEWLWCETWCAGESKARAKTIDLC NNPLTKIPKLENVRRIVDGWDEMDRELEDLSKQLLEKRNAELRDGAEKKKGQGKLMDP MDSSGMM XP_844056.1 MDSFRLTEKDEARCSFGDPKRSRDAHDNRMEHFNVDIRKNSALR NRSLVCGCLTGFTCAAGAIGALAGMYRSSLGSTSQDKRFAPLLIAHAFSGGVGIAFTV CRSLLFERAVYEVELQRELWEIDNHIAGEIQEMVAIYRAQGLLEEEAHMITRIFAKHR EAFANLMMVEELGYSRLEPPAGWEAVVDAAIPSSIGYTLGWVLPLLPFMGSELSSARS ELVALCTLAAGIFVVSVGQSEVFFGSYANVWKAVGATVWNLSAAGLTYGATRFMACRS GV XP_844057.1 MGLCKTLFVFLLTVTLAGFVHLVNRPENWVFDPKELQTIVKQSI SNARSKYGDNPSADAVIAEVIEGVLATYPAHTTNSSRWMWNNAGGAMGSMKLLHASFS EYIIIFGTAVGTEGHTGRYHLAEDFFTIIHGEQWAALPNSTVPEVYKPGDQHYLPKHT AKQYKMPGPCFALEYARGVIPSMFFFGFADMFSSTLDMVTLYHTVVESTKQMIPNALR GKI XP_844058.1 MSVHQLQLEGIIPSAPSTTRATPTSISAHDTLVAYGSGNNVVVR DISTTGADSILCCWHHTSPVTAVRISPSSKFVASGDQKGNVRLWERRNGTQEKYNGQL LEGPVRDVAWTRDEERLVVVGDGRNNFAAAVTVSGNTIGSINGHTQSILSCDVRGDRP FRAVTGSADNMVGFYEGVPFKFTCNVKEHNEKVMCVRYSPDMETIATVARTGNIILLD GRTGDKKGSISVDHKGSIFSLAWSPDGKLIATASADKTVKVFDVTSASNIATCSTGNR VLDMQQGVAYTARGVVSVSFGGKLTLINEKGEMTRTFLGHQGRIFLLHKESDELMVSV SVDRALIWRDTAAAAAGEASEVPLSADLITAAAFSGGKLYIVAGSELLRYDPNDSTPA VVSQDVTAVTALTVTEDGTAVLLLRNSFIVINSAGSKIAEEKLDRFDGCSAAARGQMI IVGGEKLVKGYQITESAPPEAKVQFAGHHTGAVACLAFSHDGQVVASGDANRNIFVWS WADGSVLHKDLVFHTLRVTSLSFAYNSNTRLFSGSMDASLILWDLEAKTRRMEDAAHR GGVSAVFGAADGTLISGGSDGCIRLWKSNN XP_844059.1 MTDADRSVAGGKLRRVHDYTFHTGTDFLGSGMYGDVFKATRSTQ ANKDREVKPNGNDSTRHEKEEEDGGGDVVALKRTRCGDDKEGLPASALREVMVLKEIS RSLEDPPGDDEVALVGGSNLVKLYDVVVDRNCVYIITEFCDGGDLASYLKRQPGRHFT DPKIYRQMMRDLLRGVCYLHRKEISHRDLKPQNILLKSVRKGSRQQGNEGPSSDGKEE TPTPSYILKVTDFGLSRMDGIPVKKYQHEAITLWYRSPDVILGNINYRFTADMWSVAC IVAEAASGSTLFRGRSEVEQLMCIFSRLGPPTSSTFPSMNLYAHYGKHAPALSSFLED LQKKYSRVQCGNTSDLARINLTRYFIRHKALDIIGENGVDLLVRLLAYEPQHRLTAEE ALQHPFFTFIPSHVPHANSIDAEGTTEEAEKDTKDS XP_844060.1 MEETYDAIVCGTGLTECVLSGLLSVNGYKVLHVDRNSYYGGEAA SLNLEQLYKKFGKGTPPEALGRSHLYSVDLIPKVLMCAGELVKILRATVIERYNMEFM LIDNSFVMKNGKISKVPATEAEALVSPLMGFFEKRRGAKLFEFIGAYDPNVPKTHKGH NLRTMTMADLYKEFGIGNDTIDFVGHAVALHTNDDYLQRPAIETVMRCKLYEESFNMY NQSPYVYPLYGNGELPQAFSRLCAVYGGTYMLQTPVTKVNFNEAGVFESIESEGKKAF AKLVVGDPSYFPDRVKVSGKVVRCIAIMNHPIPNLKPECASCQIIIPQKELKRKNDVY ILQLGANNKVCPPGYYIAIIGTTVENVADPMMDIQPGLKVIGSTIETFVSVSDLYEPL DDGTASKCFISNSFDPATHFESAAGNILDLFKRIHGKPFDFECMKSTASNEDR XP_844061.1 MGVLHSSHEVSLLRQICQTNQGKRVFVPYIISPYHYFLIRLCVV AALRVCFSAGAVTGATIKEQKRARKSWTLRCHLATDESTGMKIAVNPYKESLLRLVEY VGLQSDDDSEGATVSPPRSGTGALFFHETESPEWRAYVASLPLEKRHEASVKRRLSTQ MLAAMRKDVCLWCWFSKSLCFCNRLEQYRQRMLEIGQHSAVEVTIVLHPEEFMRGTNS GHIAAFILGAPIRIWGVPEDDAYLNDLPAVRTEEGNYDFPSDDAIHTVSLYPEKDAFL LEDFVKNIGSTHRVHLLLMDATWSQANALNRHIPRHIPRVALRIDESYASLFKALRKR TRQSGVSTFEATTMALQQCYGALCPGGDDPVVAGDIMTSAMKEFVDVKCLLSHRGACF VKESEVVADIIERRNANRRDEAINRLRLVKQRVMNDVEAQDLLNPPVLNYCYACDVFV GWSRMTEHVMGRAHMQALGMNPLCTPSETSRNVKGSLRGHYKESGEH XP_844062.1 MLRQLLHQPRFVMWPALALRCVMNVGVVTRPHATIVLFTSVRFA TTGAGAAEVKQQRRKKKSDAVGSESTRNTSVEGKRKTRRGGAASKAVGNDENKGDGFD ADDIRGDSAKPWEEEEEEGFPLVEADDVLNGADGISPRGRRRVVESVVADEYDAAEEP EDDEVAASAGETAADGVDEIAPTDGESRYLKDRFPDLVAEYDEDTNEEPAGEVLVDSA RVVSWKCVECGFKWKSGVFVRTCLRTKCPQCEQIRNPRLTARFVQLWDQSLNDPCVDP KTVAASSNKSAYWRCSNCNTSFKARIKDMVSDKAKCPSCSMLNLDADFSKYENGLLQE WHPLKNGDLQPGQVEPTDQTKLWWLCMACGHEWEATLAARLSKSRRTKGKDCPVCHGK GKS XP_844063.1 MQHTLSECEPQRRGVNELALKTHSSSVSSKQSYGVSSSRSVQLS ASQLTTVCVRQAMVNQAQGLLTDNSNEVTTLSNGAIGYQNQRPSYATKEGCAAPSLSV AELSAVATNGSTVCKRLIVKDTPKEHCAAQPLLIENDNAQKTNDWNTSVAMTGCLEVE STPAPSSGPRQCSLCRRYLTDPDDPVQHKRMCQEKKLFCPLLCGKLLRRGDITRHVRE TALEHNPLPLPSGPDYPEEHPAHVLAVVMNMFLEKNSGDKRADEQFSLDLPPRRIRLS ETSSSSVAASRRLSLNSTLCEKKPESDAVTPPKIQTSADVPLTQSSTGLPEPQARSPP TISQERDITYTIESELTSGNDNSVVATDANDNNTSTFGKASAAVSAEEPDAARTTSEL YNSRVPRKRSHSSNVESGCTSRSGGFGMANCKGFRPEAPVSKGSSENGQALKELLIRI NLQHEEVEKLREQRGAYDLSNVEDVKQRDEIMDAVRNVVTAFDGKNRSIHNDCKQLMG KTSLAPDLFLNVLHSWRSLDRHWNEVRVTLCDTARI XP_844064.1 MERLISVVNDLHDAFANVKMNIKLNLPQIAVVGSQSAGKSSVLE AIVGKDFLPRGSGIVTRCPLVLQLVQLPRSNKDEWGEFLHRPNKKFFDFSEINEEIQN RTTEVAGHSAITDKPINLKIYSSHVLNLTLVDLPGLVMNAVGDQPKDIDRQIKSMVTR YISPSNTIILAISPANADLATSSSLQIAKQLDPEGERTIAVLTKLDLMDRGTDAYDIL TGKVLSLRHGFVGVVNRSQHDINTSKGMQAARDDEKEFFRNHPAYASIADTQGTEYLT QKLNGLLLEHIKMVIPELKSHVDKLLDDTRKQMERLGMREHDRVDPGASMLALIKVFC DAINHTIDGGASDASKELLGGARLDYIFHECFSTYVNGISAKNDLTDEYIRINARNMA GMHASLFPSDHVFVALAKQQIGRLEDPSLKCVQFTYEELIKIVDACSIKLERFPKLKQ AVVDICREALNEFRAPTVEHVKTIIAAERGFINVKHPLMEDLVQRSFIKIFGGNAQES KEEGGNENDKDKGKAKVSKDKSGKGLIESIVSQGEKSNMGAVPSSIKLNEKMSTHEQY INDAVREMVEGYFAVVKSNVADQVPKAITLLMITKLREDVYARLVRKLYSERSVEELL AEPPQIAQQRSATTAMMTALTKARTALDSVREFALI XP_844065.1 MGRITTDLLRRRAEHNEGCLSNLKEVALHQQDIERIELIGDACR ELEILYLCNNYISRIEGLQHLKYLKYLNLAVNNITYIEGLEGCEALERLDLTLNFVAD VTCVERLRANAFLDQLHLTGNPCTKVAGYRAYVVHALPQLRELDGEEVIKTERLEARQ SKDDISVAVNEEALRLQEAERIKSEMVARGVDPFPPRYNEKGERLYGHTPEERLQMLR EKEEEERRKREEQRERERSSQFGAIREELERKPQRLTAEEEIAKHGRLLLRNEPKLPF TLDEEADDGEAVVLTVKVPRFLSTTLIDVQVEVNYIRVFVKEKLIQVPLSQEVAPSGV NVQRSSVNGELRIRIPYAPHVLQEVSEARRRRQRLLGLLSDDKNEDGTG XP_844066.1 MRTRLLVLGSGELGTACALSLLANGAAASCEVTMVGRDRDYLHD VQYGSRTFRLADRRIRAPSGLTVRAVDAEPIKDDVGVDAVLSCVPATCLENDGAHTPP MVRCAQNHADAPMLLFTRGFTREGLTPLEQLGRVSQDLPSKLVVVSGPLFAREWAVTS AAACDGGDANRNSGVTLSFALGGKADVELSRSLQSLTERLWRRESVTWLDGPLAAEIL SLVNGCVPLCSMGAGLVSSEYPGSVSATMSYLQHATSATEQLVNGVLGLPLGSPLPSC AVATIAMACTNHAAREFVFGRRLDYHFRHRDAIRAVFPAGSHEALDSTVSGLHMLLRR HAMSSPFYEVLMDTFLTLMRASVAGRELVRLGHYEYRHKLRDEDTVLLRHTEVVDEAM LSGDHQRFDDARRALQMAFGDSSCGAPTMPPL XP_844067.1 MPTLPSDAANTVKLRNPFVPVSYDLHVSVDLAGWKYDGKETIVL RRAADVEGSKELQLHYNSTMAIHEVCGATIVGHNQEASTLQLQLSGETAEEHTVTFSY TQEIREEMRGFYRVCFKTGDGTEHRMAATHFEPTAARCFYICQDEPAARADFKLRVSL PCDMENYTVLSNGPLRAKKVESNVVTYDFEMVPAVPPYLTACFVGELEHIGTTTCGIP IRVYTVPGKLQRAAFALRTTAFALEYFEKFFDCKYPLPKLDVVAVPDFPIGGMENWGC IACVEAILVDEETSSVAALKGAAELICHEVSHNWFGNLVTVNWWEGLWLKEGFASWCG YDAAHQLQPAWRANEDANASVASALISDMYEHSHPVEVPIRDPAEITQIFDAISYDKG MGLVHMLEAFLGEKWASSVAHYIKKHRYGATTTKQLWEALEESSGVPLTEAMDSFTTQ MGFPLVHVSRPSSGVVILRQEPCQFASATERRSTLWCVPVVLEGANGTSHRVALRGSG EQRVDLPKELAQSPWINANPRRRGFFRCRYDEASFSSLLGAYKSLTIPDRCGLIADTL ASVYMGNNDVERLSILRCVLTERELNAGVWQEYYHDMNDFLGFVEDGNVRRELRHNLM YQIMVVATKLVSTEPCTAEERLQRAFFINACISTALHCLSAKEALALPAVEWALKEAD AYLGGSTYTADTLTMSLAAYIRLGPGETSARAQTVWNRFAEAHDNVELCRSLLRAVCY AEDAEFVEGIAKRCIYNDGIRSQYGGVIFSAMAGSPSLPNGYVWSLFKKHFQGIEKQW GSGTFRIQAIVEAVGSSLTGEAYAKEFDEFFRLNPLPHARLAVHRAVERIRMSGWLQS RWGCGQKLSYLFFPR XP_844068.1 MERLISVVNDLHDAFANVKMNIKLNLPQIAVVGSQSAGKSSVLE AIVGKDFLPRGSGIVTRCPLVLQLVQLPRSNKDEWGEFLHRPNKKFFDFSEINEEIQN RTTEVAGHSAITDKPINLKIYSSHVLNLTLVDLPGLVMNAVGDQPKDIDRQIKSMVTR YISPSNTIILAISPANADLATSSSLQIAKQLDPEGLRTLGVLTKLDLMDRGTNAYDIL TGKVLPLRHGFVGVVNRSQHDINTSKGMQAARDDEKEFFRNHPAYASIADTQGTEYLT QKLNGLLLEHIKMVIPELKSHVDKLLDDTRKQMERLGMREQDNIDPGASMLALIKVFC DTLSHTIDGGASDASKELLGGARLDYIFHECFSTYVNGISAKNDLTDEYIRINARNMA GMHASLFPSDHVFVALAKQQIGRLEDPSLKCVQFTYEELIKIVDACSIKLERFPKLKQ AVVDICREALNEFRAPTVEHVKTIIAAERGFINVKHPLMEDLVQRSFIKIFGGNAQES KEEGGNENDKDKGKAKVSKDKGVKGLIESAVSQGEKSNMGAVPSSIKLNGKMSTHEQY INDAIREMVEGYFAVVKSNVADQVPKAITLLMITKLREDVYARLVRKLYSERTVGELL AEPPQIAQQRSATTAMMTALTKARTVLDSVREFALI XP_844069.1 MGRITTDLLRRRAEHNEGCLSNLKEVALHQQDIERIELIGDACR ELEILYLCNNYISRIEGLQHLKYLKYLNLAVNNITYIEGLEGCEALERLDLTLNFVAD VTCVERLRANAFLDQLHLTGNPCTKVAGYRAYVVHALPQLRELDGEEVIKTERLEARQ SKDDISVAVNEEALRLQEAERIKSEMVARGVDPFPPRYNEKGERLYGHTPEERLQMLR EKEEEERRKREEQRERERSSQFGAIREELERKPQRLTAEEEIAKHGRLLLRNEPKLPF TLDEEADDGEAVVLTVKVPRFLSTTLIDVQVEVNYIRVFVKEKLIQVPLSQEVAPSGV NVQRSSVNGELRIRIPYAPHVLQEVSEARRRRQRLLGLLSDDKNEDGTG XP_844070.1 MRTRLLVLGSGELGTACALSLLANGAAASCEVTMVGRDRDYLHD VQYGSRTFRLADRRIRAPSGLTVRAVDAEPIKDDVGVDAVLSCVPATCLENDGAHTPP MVRCAQNHADAPMLLFTRGFTREGLTPLEQLGRVSQDLPSKLVVVSGPLFAREWAVTS AAACDGGDANRNSGVTLSFALGGKADVELSRSLQSLTERLWRRESVTWLDGPLAAEIL SLVNGCVPLCSMGAGLVSSEYPGSVSATMSYLQHATSATEQLVNGVLGLPLGSPLPSC AVATIAMACTNHAAREFVFGRRLDYHFRHRDAIRAVFPAGSHEALDSTVSGLHMLLRR HAMSSPFYEVLMDTFLTLMRASVAGRELVRLGHYEYRHKLRDEDTVLLRHTEVVDEAM LSGDHQRFDDARRALQMAFGDSSCGAPTMPPL XP_844071.1 MPTLPSDAANTVKLRNPFVPVSYDLHVSVDLAGWKYDGKETIVL RRAADVEGSKELQLHYNSTMAIHEVCGATIVGHNQEASTLQLQLSGETAEEHTVTFSY TQEIREEMRGFYRVCFKTGDGTEHRMAATHFEPTAARCFYICQDEPAARADFKLRVSL PCDMENYTVLSNGPLRAKKVESNVVTYDFEMVPAVPPYLTACFVGELEHIGTTTCGIP IRVYTVPGKLQRAAFALRTTAFALEYFEKFFDCKYPLPKLDVVAVPDFPIGGMENWGC IACVEAILVDEETSSVAALKGAAELICHEVSHNWFGNLVTVNWWEGLWLKEGFASWCG YDAAHQLQPAWRANEDANASVASALISDMYEHSHPVEVPIRDPAEITQIFDAISYDKG MGLVHMLEAFLGEKWASSVAHYIKKHRYGATTTKQLWEALEESSGVPLTEAMDSFTTQ MGFPLVHVSRPSSGVVILRQEPCQFASATERRSTLWCVPVVLEGANGTSHRVALRGSG EQRVDLPKELAQSPWINANPRRRGFFRCRYDEASFSSLLGAYKSLTIPDRCGLIADTL ASVYMGNNDVERLSILRCVLTERELNAGVWQEYYHDMNDFLGFVEDGNVRRELRHNLM YQIMVVATKLVSTEPCTAEERLQRAFFINACISTALHCLSAKEALALPAVEWALKEAD AYLGGSTYTADTLTMSLAAYIRLGPGETSARAQTVWNRFAEAHDNVELCRSLLRAVCY AEDAEFVEGIAKRCIYNDGIRSQYGGVIFSAMAGSPSLPNGYVWSLFKKHFQGIEKQW GSGTFRIQAIVEAVGSSLTGEAYAKEFDEFFRLNPLPHARLAVHRAVERIRMSGWLQS RWGCGQKLSYLFFPR XP_844072.1 MSTKEEVAAMMANSDAETKISLLKKAVVTVTKQKQVLEQQNEQL RQQMTSIGEELARVQEENKNLQRKLKTVELEAERKLKQAVATSKTSWKGLSSLVSGAD GTDGLLNAKKVSSTSAASTGINLSPEDQEKIVLENENVHKQLFELQSNYETHKREWET QCSQQKAELQVLQAEIMELRSLADAMKQAHEQLNVDYVTQKALVNFCHHFFTLSRQPV QCRNRQSGTSLTVVPSLRKEYQTTLPHEVSGNLARGTVRAVISSFKTLMEAISVLVTS LRDSFGSHLASTTSGNIRCYKDRLITLLGVHIEQKTSLLGHAEKLEVALANPTPSDET MTEVLITQNQILSSVERWLTLLLEHARLLVDACFMMGGGNQNGNANAGKPTLVETMTH SIMEALAAVRGVVNSVRFICSDSHTVMSQESYDSVEWMIALERFWWQGCGASDHLQEA MGKLAELLRELTSSILPSPLRTVLQHIAEFTESFAKRVQNCNTASAYVSDNHTHKGMG LPNMSYAQPREEIQQNIVTATPTILDENEVLQALYSTDLSAICYHTQMNCVLLELANK CSIIESSQKKIHRLEAIQQQQHDETERMRNAFQSQIRLLSEKLVEYTNNEKPL XP_844073.1 MSFSHFVPPISRRRMFFEDQLDEALSREGSPRLSTSNTVGGADL VAAGAANDETFPFPSHAAPGHLLKSLIQATENERCAPDILPYPEAIVDSVVAQIVAQN EQIRLLGTDERQKAAGSDSGVSLLPFKPSDIMALEVQRAQFFLCELLRCRLRKIEALA LTINYESQSGAEAHTQLREHLSHNEIVVADRLAELISKCVRQAGLQSAPSELQQLVPN APYAEGNEVLPIPDIDHYVFCVVLDDLGVVRLGDDAEQTVHAGEVFIVPYRTFRPYIL EGRVRLV XP_844074.1 MAIVVTILGLLLAAALFMGFWYLLRERRCPVIVMRLWFVSCLVT VVIVLYLLCLPIRIARYNKWVSRQQGANIACWLSCLLMGKVLWFLSPHIHIKIMEGSL DPHGIHHSGVMCSCHTSFFDTILFTQLIPLSYMRNVKAFAKRSLWSLPFMGKVIDTCG HLPVYFTSTGGSFAVDKEKQALVAEEADEFVNAGGNLCVFPEGALNRTPETLKDFRLG TFAMITKHRSRLYYMVHNGCHEVWPPAMNEIPGFPADVYVCFGEYKYNEDSTAEDISQ GLREVMQKHVNRILLLRQQARNASTEKAT XP_844075.1 MEIPGVFSDIPRWEYSSPIYGNPQPLVAAMPTAQAAPFALLQQQ MAVWPPAAAPAAVALLPSAHIGSTSELITPLSATFPQCVMLVSPTQGTFDTSFPETVM AREFTTPPVQPVYGVVPTGDNFGAVSREFSAFLRGEHCSYSTGQCAPSGNVISKGDRV VSVRNFSCILGSSHEKDVVGDTAIPPLAQTRPRPCHIPRHLLSSATSNSCFTTKTAMV GMASAC XP_844076.1 MPPPFSITQEACAHCWDESAVTTPHHQSAVHKEECAYCCRTCMH NGGVLVCMCCHIALCEVHVRKHVSLRSNHAMYVWLKECPQKEDDAPRDVNKLGVVLPK EYESAICCALCSLTFSSPPELLEGCYQSILNATSTGAQGAIDANEEQFTRPQCPHLVC LEQQPSPFSPAPSHMETCVVAGCGCQTDNWMCVTCGAVGCPRPEVGGRGHALEHHNTT QHPVVVKLGTITPSGADFYCYSCDDEVSDVHFEAHMKHFGIDVKTSKKTAKTFGEIQY DYWSQFDFNRITESGETLVPVFGPGRTGMRNFGNTCYMNCVLQCLMSLEVFKEAFYAG RDTRHQNACRENPYKCRSCQVERVASGLLSGEFSISDNDEPNGITAREFKQVFAEGHP EFSTSEQQDAQEYFLYLLEEMRRYVKPSCVDAKQNRHPVDIFKMKLENRVECSSCRKV RYTYESDCCLSLPIPLGTAERRSACNRKLTEEEIEASRPRTSLEACITSLMKTIEVDC SCSACGNQVTYNETVRVATFPDVLAVYLRRAHFDAETMTVTKRDVFVEVPEEVDLEYL RGKGLQSGEVEMPSNERELRHKPVSAALTPVDEMALATLLSMGVDEKIAKYALQQTGM NVERALDYVFSRNDIEGEIARAEGSEGQLVSRGNVTAPEVDGPASYRLHAMISHMGAS AKTGHYVCHIRDEETGKWLLFNDEKVAESKQPPFALASLYFYKRKRVSN XP_844077.1 MLRSCALLRSATEAVVKCSQRGAVLTLTLNRPAQLNALNKDLLC ALAESVSKYDADPSVSVIIITGEGKAFCAGADVKAMSSKSFVDFYKDDMLRGIDTVAN AKKPVIAAVNGFALGGGCELVMSCDIVVASEKATFGQPEVKIGTIPGAGGTQRLARLI GKSKAMEWVLTGQQYTAEEAERAGLVSRVVKHEELTTATMSVAEKITLNSCLITSLAK DCVNRGFEATLSEGLNYERRIFQATFATADQKEGMRAFLEKRKPFFTNS XP_844078.1 MKRKGIALDLVAVEKTQHDLQSYQIKNNNCLQLRAFLLNESGMF TTDGRKILGSVTKEDIDCTSRRIIGRGASGTVRFARLKDGTPVALKHIPITSKLHRDE VDRELSFFSSQSNSPFVMKNLGAFWDSEEGAIVIPMEWMAYTLKDMSYFWEGIEETIL RDIFFQVVSGLVYLHDTKRVIHRDLKPSNLLIRDDGYVKISDFGVSKLVQTLDVSSTY VGTMYFMAPERLEQTVYSFSSDIWSLGLTVIATVTGKNPWAPPDEMNLFQLLGKIAGE TTPSLPEKPVYSEEARDFIKKCLVRDPQERPSAAELLKHPFFEGCTEELAVKNVKMAV EHMTHLINNDAKKTEDLKRSQEDVAKEVNIKLEMLGVL XP_844079.1 MLLSQLKFIVARLFPFFAVSTPTMGVVKVWLREPHHTDDEAVKL VVDETSDVSDVLLKVPEFLRVRNLKPSEIQAEYQDAVLSNRFLLHNVFQGKNEGTLVI RPRPMALQTSNKGLPFTARCKNRVNDITPSLHHTVCPLPSPKVIKFGEKAAPPVKSLR RAVSYSGVQNRSSMARRGSRDHVDHCVGPHSAPGSRDGTPKKQVRERSHRNNPVESAS SALAARTSCQQRVPFDAVNSAVSRFRQEAEKMKATPKRNNIGVVCGSFRPQWGRPLCA TCGRSKHLHWAAPVNETHKRSSATERSDKHPAVCASTAGTQGDSVFLGLDGGYSSGEG HVDTIPSPKDQW XP_844080.1 MSSIPVDVLNAVTQCNEKVTAVEKEIEEFTNQVRIDFRSKIEPL FDKRHLELEKIEGFWGSAFVAVESPLMGLLNGTIDPKIVRALTDFRVKTSVRDGSICR CVSVTFRPNMFVKEGTFSRELDPSVNTLSLQPILWKPGTEKARTDSLFRFFSPECKDI EFLERALTEFDELFQNPLLAFE XP_844081.1 MLRRSVVNLQNPARVFRSAAKRQKLDEAVRVDQAGEVAAVRICK YQLLWMSPLDSGVPVVKEILRDELVHEEVMGHLARKHSVRLTLLDPLFHVGAFVMGSC TALLGKDAVMCCHAAVEVTIAQHYNDQLRELQSLEESEGASKGKDEKDWAEVMEYVAR FRDEELHHQELGEQNGAENAPAYPLLYNGIRIMCSLGVALAKRI XP_844082.1 MRSIYFVIGATGSGKSLAAVRVAKTLQLRCGCNNVVILNCDVMQ FYAGLPIVTNKISSEEMDGIPHCFMSFLSPEGNKIRDPTLAFGGCDREAFSEQGCKSA NDAYNIHSFVRDAVSYIESFLSTHSPAAVVVCGGTCYYVQSLLFDNLLTVEDTHVSGC LDTTGGCAEHTELNDGNSLWDQLKDIDPDIAARYHPNDIRRIRRLVDIYKRTNRIPSD VYNSREKPRLRFCPSTCFILWTHIELEKLRPKLNARIDVMVEKGIIEECRKFAQRHKE DVFTLPLGKAIGFKEIVSSFDMSGSEVQLKGEREVSESLDLLKVNTNRYARQQCQWIK NRFLGRLRELFATVNLEENFVAVDASASPSDFLRQVEVAVLFFTKRTCDNVAGLFFPL KKDVSIPSPVRHEWCGVCNVFYTDGRGRISHLHSKRHRGALRHEALVKEQAEKFGRII PSKRVKRS XP_844083.1 MPSPSETIQLSIALAYLMASAVTIVYMGSQRLLRETIKMKKRSG NQSEVMKTGDAMMMPLMGSVVLFSVYVILRFVPREYFNSIVSFYLSIFGVFSLGSFVK TYTRPNVLTGCFCCVAGGLYYITGNWLVNNILATGIAVSAISSIHLGSFKSSFVLLLG LFFYDIFWVFGSDVMLMVASGVDGPIKLVFPRDIFGGCKSMSLLGLGDLIIPGFFIGQ TLVFSSQYVKKGSLYFNVALTAYGLSLVNTMAVMVIFDHGQPALLFIVPWLLVSFSIT AVIQGDYKAAWEYTSDAVTEPDNSSTDKVKSEEGDQRDAGDEMGLGDFLVKQMKGLFV WDGEEEEEEVCEGTKKND XP_844084.1 MRETAIMNNRLVLPASASTDAENGSVHVRGGTRCCGPCCHSDQK RGRSVWTKPTLEADDTADSDACEDVVKRSERLLELLRVRKIQCDSAVDTSSMIYDVEA EMSPLAASGTRMCRAVFSQSSALVPLSSIAGDKDLVEFDVSGEEKTNPVPVSSRSLLK VAITCTDAETQTLPAPVGYRVENPIMTKPDWFVLRLCELDALLHIFFS XP_844085.1 MTSLVLNHYSQEEVSRLFNLSSPRDLFHQRTPALADGDVKQKVE EIRSYVHSTMELYERVFECLSSEEAFFVQPIHKLRHPLIFYYGHTACFYINKLCAAGL SMRIRPHLEEAFAVGVDEMSWDDLNEEHYNWPTVEEVVEYRRAVRKHVDGMMTSGKFE LTLPLTFAGSTENADSSFWWLMLMCAEHERIHVETASVHVRELPMRYVRPSMDQFWKP CGEAGCEAPANELVDVAGGRVAVGRAPNSELYGWDCDYSDGNYVLNVAPFKASKYLVS NAEFFTFVVAGGYATQRYWDEEGWNWVQWRKPECPWFWVRDENRPKGYALRLQTTLID LPWSWPCEINNLEARAFCNFKSEQTGKKIRMPTEAEWLILWDRYVGKDQMLWDVAPGN VNMEHFQSSCPVDKFAHGPFFDIVGNVWQHCETPVYPYPGYQVHPFYDDFSMPTFDGR HACMKGGAWVSTGNEATRDARFAFRRHFFQYIGLRYVEGEPVQEGFLNLNSLGLDPMV DAITDANYRPSFNDIPNGFVQIAEFVMNQFRCCARTGPKRALDFACGAGRIAFELTSM FDQVVGSDFSARLLIPAYAISERGSYTYSIVNSSTSRRVEQTFKSLGYPWDATRGRAV FFQADPTNLHSHMKDFSLILCWNTLDRSYNPTAVPSHMVDRLVVGGLIVFGLDGVTDE VNEGKREQGGEETPKQVVFSENEIYHLLGGENVVDRVGDPTQLTVAFPASDSTATFRK VRLSVYRKK XP_844086.1 MRRWCSAASGSSRGDRPTDYDVPPPRPAFYVPPEERASDDASLQ FLRKDSGVRSGLMKGCGDATGEVKLEPGQLFAPEPPVRPAESPSYINEKAARLSSTSV RAGASLLTGDIGAEVSRLAVVKNSTSTRNRSEKTDASPPLTYGGSKGSIFESRDVADG VPEGKKIRQHEGTVGRGEEGGETTGGNPTGDELPLLQQMQVDLRRLEYYQGTPQYPEM LKEFRAKYLSDGGSEGEGDGRLEDLRSYTQEELARGLESQPIDYLRASSKLKVELTSG PRAYDPVLVMQQMGVMRFQGYAFPPTTELGRLCDSDGKLPDSSEGAHRFAKYVAQTAP TAIKDQLKGNEDRHILYRTMGLDVVQRRQVKAMLSDFDHGDRHTSYHVMMSYPYADWL HVFYMVLVGVAIYEMQVRFCAYDFYDEYLGLDLRQVPKLKKPFLVTVTVVVMVVALFH PLLLVSIATTRLYRIAMKRPVGPP XP_844087.1 MSMHRDLLRIRRQTSNLVSSPSPPSSRASPRARVSSARGEQRQG ASEAVHVAVRVRPLSVNEAGQDVIVSMDPSAKKIFVRNRGEEQQEFPFELPVWSCGGN ALNGSPPVSQAALYKMVGQPLLDHAFEGFNSTLMVYGSTGSGKTYTMMGDMDGGFLGE DEDVLNDGEEGIVPRLCREMFQKIRDRSVSLSDGGTLTWDVHASYVEVYCEKISDLLN NGAPVTIREVITDNEAHFALNGAQRVNVRNSAEILHLLKIGNRHRKTASTAMNERSSR SHAIFVVELTEMLVVRGPDGECVGAPGKFLTVRLVDLAGSERVGEAGMSGQLFKEGVD INCSLFTLGMVIEALSDPSRRHMRPPYRDSTLTKILKDAFGGNSKTTMICTIAPTEAQ RVHTVQTLQYGLKARRIVNKPCAKRGPSAKELRKASEEMLSLRQKRRERQPQGTGLEE SYADLRRAGDGGLHNGGALRHSESSSEGKETSLGGSNFATDEERDRYRRKMEELEEEI ERARARYREQGRELQNIRRESAAEAEVRLRALEAQFNEKENHLKRKGHEIQRKHRDAE SRLKEFKNFSEERISDLLRAQGELKDKLHEKELAVGQRERELQEAQSRAEEEVSRLHQ RMMQQERKWLERHKALEAEICAQEDKMRDRLRDAMERMRNVEEVAARRESELHRKWLD AQQATRELHHKLAESEAEKARQISQDRRETTKRESELAHKLEETERGRKALEREAVSL KTELDVLKEDYEMLAKNSREGCDAEARLLPLEEELKRREERLQQREEQAQASLDEVLK KQEELDRLHLAVIAKADAIEKDAVAALRERESRLQKREDELKSLEHELEARSAVLDVE AKYKSMEYDTKESGAEALASRERRATELLQDELQEALQRVHLDMKQREEKFDRMEDDI KRCREQLENLYNERDEMLRRASTSSAGRSCVFDSPLPVPPRGCRRCRSGTSWPSNCST MGTGEENDASLSGRQFSPNQSSASQQEPRCSENGVEGGRSSELRPISALAGESVCLWL KNMLITMEERSRGLLEDLCYHEYSVLERMTSIGSLFSFGENAPNTRSPEVAARVVDRT SVSACSDHLRGGFRLGSICGVVPHDAPCVPSLMHTEADCGLRDAASEHEQLAQRIVAF ERLQHQREVEFQQKDEQIREYLVEVEAMDRERMAMYRLKEEEHEAAAAQLQMAKREFD HRVNCMQQTLFCRGAQQHAESIVLQEAAERENIFRLFNIQLHKIFAHERNMAHHTNCV DLLRQREEVITLEEAQLQKRISQLKDEEDEWKRRRSTEETQILTYLLELQNEDMLRKQ AIGEKGSREDTAKYCEDAELGVAGLCNVMHRVRDHTQKCELAVQKMESELEQQADAEK VLEEREAAVERRRRELSTSMESRERSLLDREMELEELTRRLKGDIQKRELAIQKMESE LEQQADAEKVLEEREAAVERRRRELSTSMESRERSLLEQEVDLRKLSTKAGDNCRKRP GSRVAHNQEGSYYVDIKKLLEVCGFQLPGGKVEFPDGADTPIELTDNLIDVIESLRRQ LKVWIQKYRVLKSDEKLECERCLWQNNRGATVCRCCGNAQLV XP_844088.1 MGTIEGTTVDFDPNPLQCPTKTILVGNEDATAGVLPRTSRHIDF TDDGKLFEGHFTLQASSLKSIIQVLADQQAEQRVIINDLQDQVNNLRQQTSKMRKSVP TLQLPASVGGSAKSFSKEYESLEQRVKSLEGFRSLWGARTEDVDGLISIYGDPVVTPD EYTTYIMNLQPFRVVRSEARHFVTSQAEQQKQAVRTVGGERNERTRGARSARLADPGD AADDGSRTPREGGSRAPRDGSQSGRDDSRGSRRFRKRQSADDDSKPAREDDSGAPRDD SQSGRDGSRGPRSARNRQAHDAERKSSRDDDSRTVRDDNNFANDDNRSGRDETRGRRR VREPQSNDDGTRSPIEESHANRDDTRPGRDDSRGSRRQRNRQSHEDDLKSFHEEDART HRGGPRGARDRVTYGEHLDSRDGLRQDNIGDGDTISDLSKRLQLLEQRVRSVHGGHKN NAQGSASEQLSPTSDATGAKDLQAREDIEELSRFVAKRFKELERSIAKVQGGDLNVTA PKAGMGARKSETPPKRRERSPTVMSKGQTGAGGSGPGPSGAVESDLLQKAGKPREIAP SYTPVVDEVARDDAAAALEQVELLEKYVVRKLKELTPSLSKGVSDTHISSPPIGSTST QPPERPSGESHVPRGADRSASEKVAVDQAAREDTSRLFELVHDLEDDWGKRWASLEER LRIIGRAAVNKGSVNAAAPGTIDRRAREDASISLMRVQQLEREISSFRRLLMKRNGAP MEVGLFEGRMQSGGASKLVVDARDQKEPNDNGCAGLGAVLEGADSQSRVLALEKEVES RMEEVNRALATLRTAQVGQDFPDLSFLGDDTGTRKSTAPSQIVISTREMDLAAAGNFR RPTPDTKTYAAMLVMSSYDPAQPFHGSYSNYDQGNPIVLRPPQMETFATPLVRREPQD NLTPRTRGEAGVAVGTPQHEKSPVNKAATQSGEAGLSPSGTGSCPIRMSGPAPHPPDG SAICPVAPHKQSVMQHLGDMRSVSSRVRGRESTRMGSQNILGRETVLGTKGRAGLGLV AESSALGHRTPCVVPNCAWCAVQNVKATVKTAR XP_844089.1 MKTMRAVTLKAYGAVNMLGIGQVPEPAFSRPNDVLIKVVAAGVN RADISQRRGHYPPPPGVSELLGLEVSGVVLRVGSNVKRFGEGDRVMALLAGGGYADLV VAHEGSVMKIPDEYSFVEAAAIPEGFLTAWQLLRRHGSLKKGQCVLVHAGASGVGTSL MQLAGKYFGAKVVATCSEGKVDFCKKFADIVVDRSPDEMGRCFCHKVKSAVGDDAVNL VVDPVVGGSYLNEDGIVLAQDGKIVVIALMGGSKVELNISTLLRKRGTIVFSKLRDQT DEYKASLVEEFEREVIPYLKDRIITPIVQRTFAMEDVAEAHVFMEGNMTNGKVILTMC DSTGE XP_844090.1 MFIYVRCLLRMATSGELKSHLSRLRAAGRAGESQIRQRRALLRG TRQRRHQQVRDAGLSSRRSTSSTGADCSSKLRDMLPRNDLLESAIALTISENRDARTE LEFMRHFMVGSLLSLASPVGIWDPYDVQPQVFALDKYIALPVFTSVDYLRLFCRRFRF TVRDPSGVLWADGPAEAAEEEQNGGAACTLPLPDVMASEWWARHVRRQSSNTLSGATE MCATRRGPLLAAAAVPVAEEITAVNEHNHRTCCMGADELFNYLESQPVETDGKAQNMG KRRKKGKKVCRVGMRGRKGTLERRDVPGGEEATGNRCRENEEAIAAFWAKVRSTAPFQ IKQATPLPTFGPLLHSFFVGYFADTETLLHNASIVPEKVDIVLNPASPIEFVLAREAT DRVLQKDQLLLLAYRRVERELCGEFHRFFCLFAPEVLWARSACVPSPVPGTDKEVGYE VVILVQSEDPEFTLSSLRAAKARCLLMGHADLHILPWAAAAPHVQEASVVFYERAACS KGAGSSNRGELGVFEQRGPVQTINVARPADNFFHDPSAAYTESHAVFTEELKVRRRML XP_844091.1 MADNEEVPPSGPRMTREETDELVRRLYDQQMERAARREEERQRQ LARPFCSSRRIKKDEEENLVRRIYDVQRERFQQSKEERERRLTLELQSKDKKLPESEI QDQVDRIYNQEVAKSKARREELQKRYLPEVPPKTIGKKQLKESVERLFRVDYVKRDEE LFKKHVYPYDPPTTKISRTDVEAMANRLSRRGS XP_844092.1 MGIQGDLALAVEGARNGTASFDDSLRATTDERGSCSIEADRLLF VNTALRAIPGRTLCTFFAPIMGVKRNLLNRRRLTEQHMLSDPVKAERLYKDAIRILTK TLVDASAEVFDSAAPIDEKELAAALRGKLVPQCYEPGAVLAYPGEFPEVMFVHVVLSG SVQVVHYQLQSRRMGFSEGSRRQYFVSNEVLRLSGALGLQPELFKLINAAPEPLSDGA SDMASLSNVSTTATRTMRRVSRMMGQPTLQAIRTELNRAPYVLSAQEALGFEPFRLTT VTAEATGPSGRGGESSPDSRIIQTMRIRARDLHKALVQMALSHAGKQRTATSPTASSR HTSALMECISVARVKCICRHYPLNEILMRQSWLLQDTPAYTIRALVTQLAPRSYFPGE VILCPHSTNRHLSFLRRGIMTIEEVPPPDPSRPNACQCGSLSGGNVLQEVPQGASFGE LSVLFGEPRQFVLRAKTPCDVWSLSRQSFAATIRRDDALRASLLSKAAALRMRWLGEQ RYTTVLADKLRECCELFRHASDSFIRLIQERVEPVVYPPGRLLTSTSARCMEMLIITH GKVSSIVDGVAEYGPGSVIGEPTIILHRWPLGLVSKSMVEGWKLTRHQLRDALERVEV LRQHSGEVAGHVQQLMQSIFAPPVPPCGVDAVGRSRMPLVGPPPYGNTYFEYAEWLAE GQLKALCFKHREYVNWKDISYSTLSGQGKKKRGKVFKLSETFDVPTVGDHRSSLPALR PVTKPHAPKHKRKEQPRKIRFASAGSTFPFHINPVIGRQLVAHPNSAKQPVAVPFEAD PFLAKQRALTSAFVEKTFNGPLPQLQQMTNLLENRDKLMKVEAKQHKLNDSKQERMNV IDLTESGGLAPVHIFLHGSNPRVHITVEEAVAVGYVLQFPDTKRIQSCVSNIDSDVTL GLPQHRQRRRDMAFIPNDRHPRYCFLFAASQLGERSAEEVLLYEAAVQEAESKERAQH LTALLVAQLPEKHRPSAEQHAVIPDAENTATGEWRGVSMPVTSLNPTVPGNAVSSRRR SSSPQVKDATGETFLQHLRDSPEQAMSKMRMKFQVSANAVEEVDGSKIIATRASGDVT PLAVSGAEGSDQKFDLLDRLRSATAPVEGINEGGAGELPPRRPNADPDEKSDSGTHSE GEHTMEKFFKKEETPVFVDGYGELSTVQSKSTVEVAATQPTVPPTARGEPSENWFTDS PPIPGASNTTCAWMPQNFTMPTVSEATATMRLIQSDVDGLNAVAQEQRRERLQRRNIP GAGVFDPTASNVTSLESQRLVDEWVAEYRGHSRDPMCPAQIPPLLLAEAGTDYLAEEF RHTRRQHVVAVKEAQGVEIWRGEVDARARREGKNRQDSNSPGGGKSVLAVIGGTKLGF PAKPLRNPTANMSAEEYERWVSERDALFASADGRQ XP_844093.1 MSVDGLDFHDEDDSYFNETYATEDEPSLRTGSVSSLDQFDVVLC LVDFQQRMFQGVVAHDCKNPFAGGAEQVYDDIAEVKVKETPTVFEKAICCVQQLYKDK GISDSNDMVALVLYNTRECTHPDYPGVYVFHTFCSAEIQSVLDLEELVAAGRVPSAGY ENIVTKIGHSTEAKSHLGDALRAARHLFSQLPSEVKHRRIFLFTNDVNPHRGDEELLQ KCAIQIESLSSGGVGLVCYDMSPTALPSPAASTQSGEAAAMSERWATQFGGVDEFWSA LTGAVPKATNSSRVGTIDIVHLNSDDSVMLGALSTAVRWRTHPRGASQTTTLTIGVGA KGSALPRLTVGMYFPMTNAQRRLSKWLDGRAGEMVMLRQRAVGVGAPILLPCHAGKSG APPAHISTGQLGKSRPSTGPGTCPNVPLSCKKHIAEVVGAGLTLGFSIICFKNADDVL HPQYVLGKSCVLHPDPQDGSDGSLRLFIRLARALKEQRKVAMAQHITRYATPPRLVAL VPPGLNGDHMDTASFPVMHGLGLYVVPLSYADDVRTTPRSPLFGDATKPMERDIALAQ RLLATLPSKYNVNVVPNPALELRYKAIESIVQQTQQQSGLSKEIPGVAPFSQLSKAVD RTWGDRGAMAKYGSVFDEFKAVLLPSYNRDETCGPKTKAARNVKARAELADEDIKGVE RIISAVESAFRFQSMGMLTEPQLKEYLRVMEGGAFGVRRNPDIIQAVISQLQGDI XP_844094.1 MTQPAHLPPRPSHTFSMRTVEKLHQRFLGRSGTTAPAQNMSNIV EAMRQNLLRIEGVLSYRLDHPSTALTDTSEHHPPMSAFERIRVGHILADIVLDLFTIS TSSSNATYDALVGNKGAFAGSMSQSCGVASLPCGGLPLMQRTRPGVWTPEGLDGVEGR LTPPEGVNASLIPLHTKAEVGHQSSYQPFVDGLKKGGGDEVLTTLLQTQQNHQQKRGL QDGVESDGCSTVFSHSGQRSTMNTPQHHQRAIKDTSAGHEGPPAGYHRHSAHVPVSGT ENQMNLNPSRRMRPHESPLCVAGCQGALTHNESPISPTSMRFKSVQSLHSGGDSTTGQ SQHAANPKKYYEIDFQRLNDFQRCLRVIQRLSLTDDAFEKFGLRYAIPGIRELHPDEV HSAKIRMDRHNDCLIDSFNPSESQESAQKSDTPQEQFLAHKRLQAHVSPCLSKDGNNV ILHTVADVPVTEHDTPFDIQQSPSKSPLATQRSEKQLCNNPTREPPTPSAPCDKQCLP LFHGGRDIIVQKKDMNIFIAMVDATLRQVDQDIVGRLHIASADFGSSSGEDEVAYM XP_844095.1 MTARPSVSVYSASEDKVVGTCSLPAVFTAPIRHDVVQFVHTNMA KNSRQPYAVNRLSGMKHSAESWGTGRAVARIPRIHGGGTSMSGAGAFGNMCRGGRMFA PTKIFRRWHRKINLHQKRFAVVSALAASSLPALVMSRGHKIENVAEVPLVVEDGVRAY EKTKEAMTFLKTVGAIDDVNRVNDSRQIRAGRGKMRNRRYVARRGPMLVMPDNKGTRA FRNIFGLDLANVNSLNLLHLAPGGHVGRFIIWTKSAFEKLDKIFGTFTEPSTVKSGFM LPAPMLTSTDVTRIMQSEEVRRVLKPKKLQPKRPSRYRQPTNGIRNRRLRLRLNPFQK KEKAMAKGMQNKKNREARHAAKVVRLAKARKNVAKALKKK XP_844096.1 MSDFPKLMRLRVDDENMEKIHSVARKGQTDEVKRFVEQGIDPSI QNKFGCTALHLACKFGQVETAKYLSTLCDSHISWHGQKPLHLAVLSKKEDLVEALVAG ARDRGRNVEAMLNEHDEFQVTEIGEHDIHSDGQTALHWCVALGSEYLPMLKLLLKLGA SPTAKSKESMTSLMYAIITKNEEAMECMLDGAKSGQLRLDYQDKDGRTHLHHAILSNR EDYAMRFIELGHGLDQEDDNHEPPLFHALRAAMPKLLAYLLENVDTFSVQQAPFHNGS TVMPERIQWLPFATNEQEQNECIALFQKRLSEVCAPQEAVVKKKKANIKKMHLAPSAP VRKRSVGRPKASK XP_844097.1 MTSVLRAPTVRLEMSYGEFIRACTRGYCFDCSWNIAPPTVPNAP ILYNLLPMVEFLKSTEPLGVMGAELDAMAIGVLNSIPLSYHLLRPQVVEEARQLSMES ERRVENAKQRAIAEGLSDQTTWSPGSVNENLDPKDAELLDTDIDVSMMLERDKPSLTH LQRPLYTDMGLVSPEQRHLERISRIVPDELRSIVSLPLSLMSQTQPGGPPQHGGYLLS SASLAGAARGSGETSENTAVQWKDGVIASFKAARSLDVSHEKMLKAIARTKLGLGGEN CRTLAFVQRLWKLLFEGTNERQQCGMWSCLCHFSANYGSHDESEEVLEHAVEALELPD RGLWCSVTREYVKLLREYTASLPGGVEERRAALQISESELNPPKGGAFGADISVDNRL RRERGELGPNRQPVPIYPVEVVPLYPAGFQEYAEVYGTSGNEAANEVKLGEVETALHH VVLPGAVVGPESRSGGPHVLVANTNLLVADKQSDLQAADGVTLTYHASRENTFERLVL DANNTSSYLFQLCSVPETSGDVPTGLASAAGHYAVYRRLALRDLYVKAPDAKDPPLER YVVVFGEKENPRTESRKRSREDLGAGEGSAFYGTEGGGKYVE XP_844098.1 MPVVSTVAGASLETEWKKCMKRDETSYNISYSHDSKQKWVHTDI VANIPSSMCGGVNTNNHGPTKPSSRTSVEEKHVSKEEPSSAVRRAPFRSLNGRAYAVP LSMLKEFDAIYQHVQKAQHPPRRDDPLFSPLSSPGVLWRHDPYSAKVLNTTFSSSGSH LSSTEEDEECGTSKRVVEGIVTRSIGVVNAAQMQRLPIRPPPIPSWMPQNLRTSNSAV LTMPVEITPRTIQPSTRPVPPPSGCCFVVHSITPTRQPPARSECQSALGVAEMEDSLN ACGDFVPQLCRKRPLRRLSEDCKRDEFPMLLPSFRALPPLFQDLTVHMSRRPPPLSKR PRNVSDENQSRPEGVRYVYLVDHRCRRSVCAAGVLYKLSEMVVLEGDMGIDMGTVHAV LPVEEFDQLHGDELISRGFPAAEWHVMASALILRSATTAEIHHYDGALYSFSKDLLSF LRNRLVPARFSDCRVENMEFLGCEFQADCKKVYVYYRARRRVLFRELAQYLHSFYRCR IWLHEVGRDSPNSSGATTPDLSN XP_844099.1 MPSAETLLKDLFGSHTVELLRQDGKMVPATTALEGKKYLLVYFS ASWCPPCRVFTPQLATFHELFSAKHNFDVIFVSRDKDESSMSAYFYNPKYSSLSVSGG ECSHGDWLALPFTQAQTVGKEIMSRYGLNTIPNILLFDLSTEELVTSEARQLIGSNCR SAEGFPWRGASAPVISFQGLATVFVVFLMLYQFWQSWS XP_844100.1 MILGPGGRIFINHGHPAYPHLMDFLTACCEPVCRTLYVSEYTIS PSSLSAATAEGTYSMEMVRNVIRYFRLDEQQQIPVDVERYAALERRVRDSVQDTSLDL PMEVGEAKVSANGDVKAEEGCEEATDELSPLAGQVKKEETKEVAAEPRRRFLSLSKRI SAKSEPLVTRAVVNTGALQPLPADLEQMLREEENSSRVRIVLQPCLRPVKRRAVGGDK QHSDEQQCQRAEETKLAYFLTSPDRNHMEHLVSRLQDFLVPVLLHGTRRWVVSDVDRG VEERSTAESGRAKTLRRLFEAPSSASGRSVASKSLTNEGANGDGLGGGVGRRCTRIVY KSQVMDGKMRNVRERLYKELSVRADLFYDYVQDHSLHVCDLELSENVRLRPYQVASLE RFRSGNKAHQGVIVLPCGAGKTLTGIGAAATVKKRTIVMCINVMSVLQWQREFIRWTN LSEDQVTVCIADKKQMPGDVFITTYSMLIARRSNVPEMEQSADAKLTAKILASVGEQP WGLLLLDEVHTALAHNFQEVLNKVKYKCVIGLSATLLREDDKIGDLRHLVGPKLYEAN WLDLTRAGFLARVECAEIQCPLPKAFLTEYLESQSDGDPFARRGTTRMAHSVVCLNPY KLWCTQALLEFHRNRSPPDKVIIFCDQIDGIQYYAQHLHVPFMDGKTSDMERENLLQY FQHSDNINAIILSRVGDVALDIPCASVVIQISGLGASRRQEAQRLGRILRPKPASLDN VCSYFYTLVSQDTHEISQSYERQSWLRDQGFSYRVLQSDMVLQHFLRTGGKLCCVGPP RWWYECAGPSCDSAVAAKGTYWIPFSQEAALRMQSRFVAGVRGCDLTATVLRDTPRPP ELKNMGVEEKWTVCFSDSCAPETFGTVQLVEGNPLLVRRICCGPLAVEHDCLHGGEEC LQYAVQQMKVMVAKNSKNRIPLTT XP_844101.1 MSIQGDPKHKQARRRVAAEIGRIRREMEDMADEAQTGHSLGQLL RRRVELQHRIQQLRDEEERLRRESFINMLMERRRSDKERRSRSPLHHSVKRETPSPTI PEEEESKDVGSAAEVVEAVVDKRCESPPVAEVHMKPARVSVCATDTSESESTPERKSE SAKIESIVVTPPRPITKPTTVAESEVSKPEEASFIAAGLDKALLQMIQSSERRVTAVE AQAEAARRAKDAKLRETNLLREQSKRRFELVDDMYTKAIERRMRAMRRIAADPPADRL IGQNAAQHVPLSMLTKETRKLGMCLMPGAQKSQNAKGGTGVSGLLEMHRSNFFLTGVD ASRSGVFIKGHPENSVEAEINEHLHGQSEETPSDGRGGRLLRPSGAEDLEREAHEELL RQWRELGYTAVYLPASRRLAFPFSEGREGTRRRRDPYAWMHLDPLPVVHLIQRRLPEQ PLTRPVVGLPASARAGCPTVRGASKNVGQSKARVR XP_844102.1 MWKTKGRGVSTSPELRALVQVIRAADKKDFPELVHPGQRHPPIA ALQQMVKEITGRVLTSEELQLFSVMYMSVEYRLCGVDPMTLPESLVGDALSLTFRLES ACIKAGISLLNVFPCISAAHNYFLEIRGFKFSVKLVPVFYRLSDAICGLLHRALDKVN EYKARGDWRPASPLSRAAKSSLNQFSEGELCDDIVLWEKVTGNVPEDILDVLTDIDGD VDELLAHLKWYVEACRETIYIDTANFTDDIYVRRLWNSYVGKGMPACFAESCSPALSL LPKCLHEPVMDVLNYRDCGVISLYSLKRLLDIWGPFLLLGRNMKDDVAMGVFDFGHSL EYRCKTFARREDAREGDYVLMLSNVPSEVLALVLVQRRGAIEQQDPGECKRRNRTLAV KRIRFTQSSGAWVPDGLTVEEFDSIGAACRAFPEVFQRPCGTQYDVEQCSDARALVDS GIDNDMAHNVSSLHRACFRNNVRYVKTLLSRGVAVIVNTSIIDPVVSSRYCWTPLLCA VNNPNSDPSEVVQMLLNEGADAYICDNAKCTALYYAIANGYAEAARILLKHFPDLRPS PWTESLLVALGAHHFHAHEGDIRRLADLIPSAAMMRVLLPRENNLRFVQLCVDIIAGK LCGVDRLPRPEDDITLWHPDGDVELRTVEEEEYLTKIVFYHGVHCRENVDEVSVAAQL LYHRCYYLSCREHFGLAVETPQNS XP_844103.1 MEGACEASFPPEFLHFPPVSILICICFLFSLFFLRKLQIHLVMF LSMFFFKSVINNNNNNYYYYYCVTYSAYRNMSPHNKRSMEQKKRNKDRIAAYHGQRVN SHGGHRNSHSHSGHRHRGGHTVRRNRIYDPGNPFDRQLFDYLIVVDVEATCEYKNDNY PHEIIELPGVLVDVRRGVVDKERSFRSYVRPQRNPLLTPFCKALTGITQEDVDSAPTL QEVVKLFEQWYTETIPRGAKVALATDGPWDLKNFVHEHSILRDHISFPTIFYEYLDIR TTFAHFFNRGTPLKLVPMLERLQLTFEGREHCGFDDAVNIARLAVSMMRAGCVFNYLV AIPLTDEFHYDMPNTALYRRKEGSGYLDPDVVDDIAKKCFGVDYFTFGERHMAEVMEH RRRYPQNFNQRNQLWKQTKMKNRGRRLYGVRFRMVAVAVLALLGLVLFLLLIYRKVMT LL XP_844104.1 MDLKENRRSCTFHGEPTDTGDGNAYSDTQCCSTSSCIDNVQYIG SKASGVEPTFGDGLKLHDTTHSGREGHRFQSNRAPAISNQEKCRTVRFIAAQWLSLLM NESPNVAIPPSLRGRRNQTRRRRRQQQQHQQQVTRRDEELSGPDGRDNVMAEEVDSDE SYSQKRILPIGVTKKGASPTLTGNDNRQVEEPRTMTGNDTPTSSFELVPREETLPQLM AVLREVALAGASLSDLSPVGDEGEMSTSQSVSEKAEASVADVVTGSSNSGCTPAQTQD ELLWKDIRKQLNQVCKLVHNRSELAAELNSTLGNDWYLSDDELRKDETPLKDYCFQLP PPQVSCVDTHSAAAASSEREASEPMEQQQQFQKQECTDRTRTVAVASAGSRLNRDAPP FHSRLYVPTHLSSSSTIWADAPVYYPGGTSSPGKQKELSSPSVAHQRPSFTQTAESSS LGGASVGSPTVPLTTSAGASAASNVGSSTTSPSITFNLESREGMIRRRTAAAAAAAVA ANHYVHNSGGNFYSGGRSRGGWGNSHSPVVTYMNCALSLSQCPFDYLLVVDFEATCEE YAPPSYLHEIIEFPVVVVDAKLQRVITEFHRYVKPKVKPQLSEFCRQLTGIRQEDIDS AAPLEDVIKQFERWYAQTIPPGSRTVLVTDGPADLREFMYVHSVTRQGIRFPSMFYQW IDVKQVFAHFFQCQQGKIKAMLEVLQCPFEGRLHSGIDDARNIAKIVIRMLEVGCSFC EIPLCRLPYGTTTTAAAGPAQE XP_844105.1 MTKNEGDGGLSQRKNKPRGRPQPFSHLLVCDFEATCDADSAGLY PHEIIEFPVVCIDTAQLAVVAEFHSYVHPVRRPKLTAFCKELTGITQSVVDDAPTLPE VIQKFGQWVREVVYPLCKMWKQQYPPSQLASNCLGDLGKKFKYDEKDNKEWVGCERMV CFATDGPWDMRKFMHECSVVRDGHIFPPLFYRWVDVRKCFKQHFNKWPRKLVDMLRTL RLDFEGKQHSGIDDSRNIARILIELMRRGFFVKRVSTIEYKCPMDVGGVILTQEMREL CDEENAKAKQRHLRE XP_844106.1 MSDRPRPPFGVKLSFGKVVQGLGSDGRSAFLTPRDVSATPDSNV TRGSAEATGREQQLDTTSELQRSFQYLTPRQQQSILSFCRPFTPSMSVPRGELHSSIG SRSTSPVVVRPTKDLPPTKDAVDAPRMPPTAVKREESVFHDGDGQTPVTSVHSSVVAT QSASEAVKLRPPKIPASSPSPSPSPKAAVANASATSATTTTAGLATTATGADVSAEAA GSDAAPQGTVYNVCSLCGLTVLLGGSFAEQQQQWQDHINSWMHQRCLKMHANARNENV YIGDTTTVSIGSPVSPSMLKALEEFSSSIASSDAGQSAGRAIRLSPAPLKLPVSDDVV TARELEARRITFSSEDITPRILADSSEHLSLVEKKLFTSVKKPHVSGDDAEVVGILTD EREAATAGKATCEGGAPPICQKRKLLKSVKESGETPIRGGFRKFDVNADQVNTSSGAE MDDAGQSNSSKRHLKRRLDKYLVVKERRLLLACMRHWFNSMFVRVTDNFFSVRSRSSK ETPDAMVAASPTVRCSRTDTPLDAYVPGVGQQKASPVVRKGSKKQPELVAVEAAAGGG DNEKGELLFMASRVKDFAHIKKDSSADSLEMEVGKTDVKWYVSRPAGNSRKRGKVSSC KHKKDDSSTPSLEDDSSIEELLSSLAPPLQERVRMAIRGKPVSKSKFPMTSEVISMMR DSVQQEVQQYRDQQLFHQFQHQQQQQQHQHHEQYHDNYQHHPVASRSSPDAVGIPQQP FLERREFGTNTKELVNESLRIREGEVGSTSFRREQAIDTSVPYGYLSQILSEETPMRT TGLTEGVVPNKEDERGGKILANAMEEASGVVGRQLPRPFLLVEGRRQLSGGSSGSSEC GSAIEERGDEAPRPRSNGSPDDCMRYLNAEASFSGSRGNSRDRKHRRRRSRAVEAAES EIREPRQISQSHITNHARRGASRKGRQPLSISSIHSTLRAGGNSERPTSPQTTPRVRG TSGSRASFTVRKGSMEEWDTSSCTFRGVPGEYYCYDGEAYQHVEDPTAGALCDKLGRR LPLYFVRRCSSPSMSPTRFSCMPVTRSRSPLGPGRLNPYCSVCVERYNIVMVDENMRV LSPVVNRRGGGTGNCACTFANRGNSASGRGRGKRRCSSASNTFSPHRGISPGGCVETT DIGKRKRGSIALPTGKHHRIVDLEALSSEQVWLLQQERRVRSMIKALLRHEFPVNEKC RQWVAYLNSLNDAAEALKTLQVVDLTDK XP_844107.1 MFSFLLFPCLLDASMGREVSWAELPLVVDLSDELTPSSLRQAHW YVDLLTNPPPAPHPFRTFLSEVEAIRFAATKLSSKHSVDPSLVDVAETPVQQMYQQQL PPLHSNEIAGTISSAGEDITARALLEGLRERQGHSLGTALHRRWVRLPQAYLSWMSQR IADVVLRCVMPLVGGGEEYRMGSDKGNKVSERYDVHVRYFPSVTHDSDSQNLFCAEIV VRDVEQLQRINDSVSLLRAKYTAVRAEGQTLQSSLAFWRSVALDGSTDELEGVKGESY GWAGDGISGHAALKPPGGLFGVEGHGGWLQPTRRQMGRGANSQQGRGAGISNSHESPA QSAAGLVAVFYLSFSGVAYAGVVCGGAARRICATASMTGAAGAEGHPSETAPDRTGET DVTTVSHKGEAGDVEPVFFLPCSSGSSFVRGLLGL XP_844108.1 MAMSGVSVADECVTALNDLRHKKSRYVIMHIVDQKSIAVKTIGE RGANFDQFIEAIDKNVPCYAAFDFEYTTNDGPRDKLILISWNPDSGAPRTKMLYSSSR DALVPLTQGFQGIQANDASGLDFEEISRKVKSNR XP_844109.1 MFVRKFQGEILKKEGHEPPKGDKAQGSHSMSLTTSFVDPENAKR ERPVVDVSAPYNPLDETHQIRKLGVQKLAIGFLSLNGFTGAHEEVPYTDSMSTYECVR YGMIGLNVWFANFFLVRAGNSGSPVGHPRTRFAWNIAFLMGIIRVNLAGIVGIGGYFY SYEWLYTHGPAMFRIKDPSPNAYKRAWDEGQSCYLSRAAACIFPPLGYAIFMGRWKKT AFWFVFTLAMSMQYEYARHYILPGMRLFYSFQANRETQRQANWGSLAPVLEHRVDPDT NRNASVAASRYMRITQGPLQDTIWANATHENLPFHRYGMKLPNPYYNWQKAPQGYNSK PYRFKNDLWDLPNVLNTSKANFRI XP_844110.1 MACDDSTKRNAAAVNRLLQKTRAATAPNSQWLNSITRREDGTLS NELHLSNQQRLACEMGVFPKGHFGDVEIEEENPQRSCHALAQRRARHEWKTDEEAKRR LQIGRGEVCNKRELRAAARREAAILRYEMTLPRRRVVGHLSHLMPAQADASLAVPTEG AADALVEKGCGRWVLHNSYRQQLLHGEPVMTRIMRGEFYETKPPPQRKKKVWAAGKRH VRMTSEVNRLMNTGGWCR XP_844111.1 MLRLINRGNKRCDEPWSPLFYPLQPCLIVIRVGRAAISSVASRK LCVALSPRLLGEGIASNVSLSSRVQREAFYYVVSYLSLRRPVLIAWPVEKVLWIIGRN ASGSVSGTTTNIMSRLAGQPLVRQVTAVALHGGIASTAAAVVVQIGGRSFVLLRRAIT DSSFSKLSLQQYCHDVVTILASAGVSVAGGGVGAVVGGFILPGIGTVIGCIVGSFGGG LVPYALRDEGPEKKQQQMAQHCYNPTRPLKILEEDDGWLYITDCTGDSQYMFYDQMGG GETKSILEEDGAVNGVKVENCDVDGSDDSVGSEWEDVKDADS XP_844112.1 MSSANSIHSEDVSLRVSDDKCSPAEAVREYINGLPKVFEEDSMA RGADGVVHISEELSRLNRADLKQFALRQFLRCEELLHELLDQEVVLGETVDDLQSVRE MMEEREEEAEALRAQLQRADESKETLLREKASALRRLMETKNELASLSATKANLQRML DLHNKKQGEEAPAPQGTPAATTNAAAAAAAEMRRCSMPNANAQAGLLNRNFMRANSYA PVMTSFSTACSLAVPQRVGLSRRSSCSSNATSSTKLWSGGANPVVERSKSPGDSKWRQ LFFETRRQNELLQSELSKVRADRCCVDTDAGNGEVSQTAHVNSSSSQLAASLAEVERM REELNKEREKNRTRNRLGAQLLRDVAFLARKVRMYEQAESASLLHRYKDLSLTKDQLM QELFESRKAIAVLNMKLKESTTRVGKKHNGSQCEATSQPVIVEGLANALEEALRDNEI LREERGCLISEKRFREESYQAELKSRVVEMEKLTAALDELRGQMAQLWKGKRGTNSNS TATPATKFRSPSRSLPQNGAPAGFRLSVEDGVVKVIDGSGCSPCTSCSITQNSNTTPM SNCNNAKVKPKLSPMRSAVPVL XP_844113.1 MASVNAPTTLTSELFHALPCLRENPTDSVLTAALLQYCQDEDLV SAADLLLRLMVDQPHVQRRMTRSVENTHRHVPLPQPGDLQNFTDKVLEALCDRHVSQL SENSGAAGRSVRARGSGFSSVSVSVSDMLATAAAWGNDSVKLEGLAPLQPTNRKVHFF PTGCSLVDRLLAGTPSNATGGALEGGFCAGLLTEVHGEAGSGKTQLVLQCLFQCVARQ QCALYAVNHLPELLISSGDGVGDNTFIDSLRGFATEKVAALYIVSEGVPASRLGPLAT GALHRAKAMVLSAASRQPSIDVELLKARLDEFVTERTVVAGVGIRPVDGVGALLRLLS DGTLSSAFSSLGNTGVVVVDSIADVVAGGSSGEEMNRWEISTTVASVGSLLKSFAVKE GAAVVVTNQVRTSLSVSGEGMIRRHTPVPALGMQWAVAPHVRVNLRRPQNTANASRRQ FTIICGPAHQPAYCSYLICNEGICNDE XP_844114.1 MLSQNVAKTTVPSYYMIRTNLPHRKPQNQWEGVYYYSGITKRQR HLILLHRKREREAHMRSFNISRASVLQRLEQLSGDRKQESLPPHVRLDLAVRLAQHGL YQQATPIVDELHHQKALHAGHYALLINALACPRLGQRILHCDAQCDPALTYKLLGDEN GEERAQEAYRWFDLALTSLAVDCGGRTQPSQFVRYLPQGTAAASHITNALMRTLLTCG YTHVAAIPDSVYDRMGSMGISPTISTYELVMLALSLQGNMVEAESILSFLRSHHSEHI TVESFNALLLGHREARQFDCCDAIWQELVDRRWPRASPLTAELYLRSIMDHANTPTSE PLQSFANINVVEKKKVPLVLAQMDELGVPRTHLSRVLMDEVEDSLRKFQTYRSRFYEW GRAVKQFDFIEFRRRNGWLYDLHLMKCTTKQVGPLRDFNDPDAVQGAVATAEIPAFFN ERPAWERPPLEETLYVTTNKERYDDVRGGDIYYDDTRSLHDRSPTWMNEVPETRYDRL YGVNHPDIAKIGIRRHLNVEYVNRKEVVERDAALMKKTLSSGRRLRHRVESSRTHRNA GSLSGISSTAGGGSR XP_844115.1 MGRGKGRGKNIDITKSFFQQAGSLGRVSVQLSPTRVISVGEDEI SPTNGSRAALQLWRDDGTEVALKLCQNWRQGTCNSQGACSNAHVLAYHSQMPMPNMVG VMNAFTPIPMPSTGPRDNSEKSVSPKCLHRPQLPHQQPQGAVVDPGRAAEHKVNSNTT NTTSHGTLIPAPRSQQNNRQLYAANNSSAPSGVRATNTTWGREALGPENHYGSQQQTH NPQPQPQHQPQQQQQQQQQQQQQQQQLATQPRGAWNVLQPNDDGRRQAAGVVLSAAYL DKLNQRPKDKCELVKQKAANSQNSSSFSGNNSLNRGSAPRHQPSRSPTGPRREDTWAS VAALNHSNGASVIWGDGGVQMWDLMLNNTAASPTPSQTSGVNQPALGRDLNSAALRPN SGQLWSSLASNGRQRSVNNNAVPSATINNNNNSSINSGGFISVNTSPNIGNNNSPQQC SSNNGNGGITGGSRTSSATASSEALKNQLLRALVGGGAEADELTSPPPPPPLPRAEVA HSRVSSGIVSINTGAPSVGCAAEGMKSGVLLSLLGNDGDGGVMHGMMPPQPPPLQDGT HKIQHLMALLTTE XP_844116.1 MLSFLIDCSEDKTDLTSLLLLSRLLNDEQKEREAPSEGPAGNVL EASIKDKEKGSGASLDEGTATQKESGVEGGVSTAKKRSNVAAQRGSKDKTQHQTQAAN ASVTVSKPNVGGPVPPLALTSTLAFMMNGCGSSRSEFPNLSLLCEISGSEEAPPQAPM QKVNSNSEKRVEAKTQGRKPIQRRPRTPPPGTQAVQRKGRRASAEPSLVPHTPPKLEE AVIPISKFRAPSSVGDDDMAPTYSRNGGHTVAKRVIQYTENIPLTITSCTPDSKRRSY ARRYVSRLLSSPIDAAHSRYRGKFENAITHARGFYSGVTNSNVSYHLDPPSLRRQGPE TPSVSVYKAESNTSEFGGSTANLFHRINSDCKGRMSKSLHHLEQGKLYGATRSSSLGS NIAPSPMGGNASRCLLSLRRLGDVKRRQSGLVLVEDQLERQQSRFLDLMIEGKSMQFT VRAPQKKRKFRSGGKAHVT XP_844117.1 MSSERYVDGDLGEPFNSAEPLTLPVVVQLLRGRRDTSLDHPASR LIEKTCRQVELMQETCADECRVQRVMETRLRLVNKNNRQQMNAHLGNFVVGEDGFATL PPESDDFLDTAEEEAMKMFEVVALGTLQPKTADEARELIPSLGRFEPADLNKVLEMLD SL XP_844118.1 MTTFKREDLRRVFFSDLSDQCNEDIIHELCTQFGPVANIVWPTS SNLGGTQQMTFCFADFYNAEDAKYCFEALHRSRTKLFGKELRVSHASTDVHQKEIGSR SSNRHVVHELHEIGAKVVVRGVDLSVTEYELTSFFAQFGNFAVPPRMVRDFDGNFRGV VILSYDDFASSDRVIEEMHQKIYRDRPISVTYAELPDGSGRLHGTAEERANAALFREE ARKHEERIAREQQEHERERTRKRQQNTSWAAGIDPYARVR XP_844119.1 MGRATEAQRAEMAKEEKLQRALHENRQLKQEVLGLRDKLKILQV KFNKVTNDLKRVSPDMLKHLNEVASSAATSPPLSSMRTATSVGGAYATRQSTPGKRSF SLQPHAGTGEGAALSLGEAVDPAELQQCREALHRAQLEIVALRANTAATVGGTTAGPS PPSPAMVTVIEKLRQDLASAVVERDQRAAEVSVLRDELTRTRTQLEDVQFTQQQQLAV ERHTCGMLNGRAQAAESGKQQLEAEFRRSVEILTRERDELALKLRLLQEEMDSEKRYG AGTVDPTQLVQVQADLQDKSRQITILTSRMQGSQQQVETLKNECSRLLDELQKFHAMH TETKRQLLELEGERNLLQVRCARVEELERTVKHKSEELIRTEQELLRTASTLQSCNRE TEEAVRREFSSRLADVQGMRDSAEAQRREKERQLLEAQRELGELRRQLELTRENATMF QAQLEKSEEERQKLSAMASVAGYTVKEFGDEKVRRALAVVAMRGDSGIDRNGGTGGVT NGFSDKVPVNASDGAAGEALEMWDALRWDEGWEAQQLREALASAALDMELAESRCTQM NEQLERNRHLMQQLSHERDALLEENIEMRRRLTHVQTAFAKQQLESYNAAKTRGTTNG GKGSSDGIINFCIHDLECNDAMLRALGASQVAGAAVTLFFSLDGLRSYDTMMSPMFYS LDSPLDIRFCYDRLDRDEATVAEIRATTFVFQLHQVCGTGTNIVAMGELPGVALLQAR ESAVLERVKLVSGSGEEVGVVAVEMCALNLLLPILLDRPLSGVGKDGDFCLSSDAVRA ALVAMRSVVALRVQVFRADGLQNSPTPQPYVFYTAAMPSTTHGGISCIRDTVVHTSTK ALTSDPVFDEEPIDHRVVVDSELISFVSESSVVFVVFDAQARDVRSNLGVAEVPLRPL LASPQAVIRKTEVLHPQGTLSIGLSWVCRS XP_844120.1 MLFTRCLLAVTTINSSTASAAGRLIRIRKKSKWIDRRSKRIPHN GKDVWQFGEQPSCALCHVRFRFKQDYEAHKESELHQNRLRWVETMKWWEEIGEPHHQQ HAASEWEWFRQRVLPAKAAAMGLSEEDAARELRRAVMHETPRWYSRIQPPNARSEIKE PRDQRWPSSPKW XP_844121.1 MDGYLPNLTRESLNIPTRGISGQNQWRTVRRVCNTASSHDGYKK MLEGVRRQFLEPAYQVPVSRLPDVPVPCRPPPSSSSPGRFSRNLQYTVKDRKIVKKEE EDICSPSLEALEENGRQHIIVNEVWERQVLKQMMIVRYVTFVLRDEIRDLELVEREQR GTIAFEEEKERYWLQHESDASVQLREYKLALSAKREKQKRLQENEALYRARSSSSPFP MNEFKMEPDAQKILQEYLKMKHLADDGEGQPQECPHPPLRRSPQQQRSAERYRRLIGK VSSHNNPPVVRVQPLSNVCNTLGIAAYMGLLEKDSESRKMIEEREEEEYKRIMEHFEE QSSLLLTWKHKRLHLERLIYWKAQSEERRQKEQLRIEMEVLRPRKQMAMPHEELAAQE EEFRNMLGAEEGTRRGDLLQLHNEYFMRTKAKALGNEEMNLRAHILQEDIGVRKLLVQ GLEIILRQGICKEWEQNVVMLVPEQIQALAKLNREWIEHEKQILTIQRAVRSFRAGRL GWRITHSEIGRIIQKKRDIAKIDRGKKSLCEYKNYLASEFAAVEEEVRTLHANERNKL FDVEYNSRTIVYTEEEWNRGVLRRTERQDLYDSVIVPLVTNCFSAELDARREIDVEEE FVRGRMCNFFNRLSNIIRTKTYVLGREVEGRAAIEKDENNFFFSILCMELDDRDLVRI MMKEIQAQKEEELFAQALVLLESRKEEEMYMYARLTRLHYEFKREKLEIEALDPNPRA LIAHTFLNTALCFFCDESVKFLENYGSLLVEQHSEIVYLCCVAITEEREEEARHLLER LESRAWPEITDCAHREMSSKLRKELRNIGAASRIKIFYRKYRNGDVGRSGMRKHLREA FRVMREKAELKEVFDRQRIDVQECRHNLRDAVAIQSYYTYRRIALELSVVENRAEPRG RSELEGQEEMMFKMILNYFEPPAVPMVDAVTILLHSESHGRIRIKKEWQKAIENDFTR RKEWFEKDVLAIITLRKWQKFAAVKRKRTFIKAELEKLVLSELTQRHELELNETNERF IDIVKPMEYTTHHQAYLDQDVPRFLNEMCFDIGFHLGIKEEEWQNRMLLLWRMRNSYH EKELETEEFATRAALQQSSFYDGVDLIEVVCDESILRAALCDERRYFLMRILARLERA SRGDIIHSYDIHSLWMHEYTCRKELYVEYIYSLHHIAPEKNTIQHTKCLLIDAEISHT TEAEESYEKSTNHQPLNDNAEDNNITSSANIVDQTNATPQGPIVDQPAEDLTKAEELD EPVADTEVAEKEPTDSEVIPEKEIPDTEAAAEQPAEDLTKAEELDEPVADTEVAEKEP TDSEVILEKQIPDTEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVIPEKEIPD TEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVIPEKQIPDTEAAAEQPAEDLT KAEELDEPVADTEVAEKEPTDSEVIPEKEIPDTEAAAEQPAEDLTKAGELDEPVADTE VAEKEPTDSEVIPEKEIPDTEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVIL EKEIPDAEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVILEKEIPDTEAAAEQ PAEDLTKAEELDEPAADTEVAEKEPTDSEVIPEKQIPDTEAAAEQPAEDLTKAEELDE PVADTEVAEKEPTDSEVIPEKEIPDTEAAAEQPAEDLTKAEELDEPVADTEVAGKEPT DSEVIPEKEIPDTEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVIPEKEIPDT EAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVIPEKQIPDTEAAAEQPAEDLTK AEELDEPVADTEVAEKEPTDSEVIPEKEIPDTEAAAEQPAEDLTKAEELDEPAADTEV AGKEPTDSEVIPEKEIPDTEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVIPE KEIPDTEAAAEQPAEDLTKAEELDEPVADTEVAEKEPTDSEVILEKEIPDTEAAAEQP AEDLTKAEELDEPVADTEVAEKEPTDSEVIPEKQIPDTEAAAEQPAEDLTKAEELDEP VADTEVAEKEPTDSEVIPEKQIPDTEAAAEQPAEDLTKAEELDEPAADAEVAEM XP_844122.1 MAMCDCSETVAISLLSEANFDVDYAYHLHMSRTQGADESVTSLH GTSPQGEAPHLQEPELPHASYVVNRLDGGGGYVGGNYPHERDFLLDEVESEQDLLDSV FPIPAFVHRSPEPFDTFCTDSLNRDQWVILSFVLNDFTGFCVNRDIWRSEDLLEVLSM FSIYQTTADVGEGPGLAHGYRLDVEKDIPTLLIINPITRVKETRIPINVHEATIESYE VKNALLTFVGEKGSPNQWEECRLRGTYENASPVLHTENSREYVDVEETSIPFSEPEAG RRDEANEGVVAQPPQILAVDISPYEVPAEVGSAFTLRCRLPKEQLTLRLKPEMPVLLL LKYLSTRLYFSQSTAFPGGVPNCSLRVGFPPKDLIVEDGEVQLGTCPGLRSGDTVVLH IH XP_844123.1 MTEKENGNKDKRRKEKHRKHHRDRRESCNGVVNSSAAKTRPETA VAIEGADEEVENWDENRTRVDQLCQTYPNNMCNDCNNAGTRWASVNHGVFLCIRCSGI HRSLGVHVSKVKSANMDKWSAAEVHLMELIGNQRAKLLYEAHLPKDMKPMTFAESDAT LQTFIQRKYQEKAFSVEAVDEKLRQYHKEARYGKKPKRNSSASRKKKANDGANDKAER SLKGEDTIKALYGSNAEVISKMSKRTGPIRGTFGVVNVGPEAYDERRKTLLEQFGFS XP_844124.1 MHTLSSCDFEALNRVLDFLRLHPSEIHRDEFNGLREFLTSLGAT LSPRTYEPNTTAGPEANEEDTASEPDEELWKLEDVADDGIPAGSGDPSPEQEEKAMEL KAAAADCAADGRLDEAVDLLAQALRLVPGKAMYWSQRASYLLECKRPGAALRDANRAL SLNPENVRALRVRGTVNRHLGKWEDALKDLSEAQTVDYDEKTDALLRLVQEKANARRQ SRRRKEEEREAKRQEALRRQREMEMQREEEEERRQNQRQAPPSGGFPGGFPGGMPGGF PGGMEEIMKDPEILEAMRNPEIASKFSTLMQNPMAALGMMSDPQMGPLLQKVMSKVMG GGFPGAGMPGSGFPGGGMSGQGFASSPDATPGTTNSAPPKGPLHDPDELD XP_844125.1 MPARASQRTPRGTTSKSERQVTNKENPQEQATTAPNDSTRTDIP DVVAEVQKVEAILARRRETMGAGSNGFTCGTWVALVVLEVLAFVWLSWAMDVYAMYRG ARP XP_844126.1 MERVTYARTGALRSTYAGLPVTKERLVTAAPAKWFPLLTTVPQR VLKSISDSDGVDGSLLGFLPIAFVFEELRKHLSDFTQHAAFLRAVLQWYHQTAGDTLE SEIATDKVEIFQPYLPAYRLRVLKEGETVATFNDISRRAVTLMGKDRGINDIPLDHPS CSAQHAALEVNFVHRNSEPFQQRLHTLMQEEYRNINWSSPDEVGKLCAHALQLMREFG GDEDMWLMELQVVDLGSTNGTNLNGELLRPLERATVIEGDVLTFGYSTRKYLIVRA XP_844127.1 MPFWKYFVITKPEVDDDDLPLAKHRIYDDDGNLKPLTVDSIQRK VNTAQGKPKTSPKKEITLTPKTRARILRSVGVSPTGPRRVPEPSTPITEALTTPESVK KRAVRPSVENSDTARALYTSNAETSTPDQKAKGGKGSLKTTPKKSETPKRSASTPSKS PKKEEPKTQPTTATEPAAKLSPKKRSAASKETTPRKSASPQKENNKRKADSPVNVTPP AKKPAASKKAKRSRSASPQKEKEEKSPVAQTSPKAKAKGAASKRSRSETEKAVEEVSP QWSWSIDSLRAFAAERKIDISQCKKKVDIFNKIKDAL XP_844128.1 MITDVTSTKRPVESRKCIVVMCADTRYHVVRTAAVSLGWHVEDG ERDDVPSSFHGISLNKDMNSLSETISLLGAKCNAALNPQIIWLDKSVLSSRVAALSCF HRVNHFPGMHVIARKATLFKRLMRIRRQHDLSPTLRRSLDAFPWSFSPSTELLQLERF ISDGREGEIFILKPNKGCEGKGIIITAEPLHVVERMTDEERNECLVQQYVPHPLCIDR KKFDLRIYVLVTSVVVGKPPRKGFRGAAPPKETHGGSSFPLNGLQLFVHKEGLVRICT EDYATPNASNCKRQGMHLTNYAVNKRAQGFSVGDVLNTDDSSSNGICEGNKRDFKFLE HYINGLVGCKSDTTEDDVSGGETTSRWERVLHRIDRCILLTVLSGLENLRREFIGTGA SRGSRSDGRNCFELLGVDILLTEDLKPVLMEVNHSPSLFCDSDFDFRTKHRVLMDVFR LLEPYVPSLENCNDAAYATLQESITAGGNMSSTGFRQISPLRVGGDDWADEEMQMFEE MLRHANGLR XP_844129.1 MRQGLFSFSFFFFAIIRTFSTREVYFRLRYIWYPALLKGFNKRG QKQTNKPMTSPKRPVTSFVPFDESSQQTTTDVNIVEMAIHRSIGLFSINVFDDTTADV APEDSMKLDDSTIALMKTYVLSRLLGHPHEPRLTSEVESRFSAFIHERNSRNLLELQQ VFWWARKFHKTPVTDLFAEYTRGHAAQRQAWCRSKGRNGQCISRVDRSSFRTRSVLRE SMLPCFSTGKRVGVPPYNYRVAPSLQEDVVRVLLTALAQQPISFLLPDGRILPLLMAN PIPLPSCITSSYRCDTCANYPSYVAFQSSAGANAHETPADVTCLSSITMCLPCAVHFV YESFAKMKAALAPPKYVPFNDGECRMNVWSAVYEGNTVWMSVELEPINLYLCVWQLQE GLLMDQLPFAEQFSSVAVPTGTWREQATIVSLHNGKKQYDPTAVCPICLEPFNSLDLN NENSVFSAVMKTSCQHWFHTQCLVDLRCASSSSGVCPICRNKNYIPNSSTSEAYEVKL TLAQSPRGMRPPVVRVAVAALLGEEYINPTSVVACRVLNLRCGEEFNDREKDSSCSPM XP_844130.1 MPTHRDPDELEELLQYMAPGSTIDDIVSDSNSDDEVGNYRVMHG GRRCDAARIVLGSAPGTNTQRETTSLSKMQPSKHTTNNASVLRRIRVDEFYGDVAPGV GGGGSSSVNQRTINDLKDVAHSNRLASTQAPRDVDRSERATVENVMDPRTRLLLYKLV NSGQLREINGCVSTGKEANVYYAVAGDGSPAAVKVFKTSILVFRDRDKYVSGEFRFQR YCKSNPRKMVRTWAEKEARNLNRLQDGGVLAPAVILLRQHVIVMEFLGEDGWPAPRLK EVRFPSAAALDKCYLDLCCTMRKMYGRSKLIHGDLSEYNLLLYRGRVVVIDVSQSVEK DHPRAMDFLRRDIVNVNAFFRTRGHQQLFSLQDLFHFITAPPASRGWQRCEGSDNSSE ILQHLLSLREEREREGNLTAVDEDQAKVDEQVFLNIAVPRSLGEIADHRAPNAEIAPY VAEMTAARADSLAEVKHENQKNMTEEKNEEDEESDDYDECETDDSDGTDDDGQERAGK RGKSNEKNATAEYDDDGEGRQLPKTIAEMTKEERKIYHKSVKEANRERRANKKKSKNG KAKKKK XP_844131.1 MLRLSSRCHLYEKLGRTKLGAEVLLKTRNDMRGFGKDSNFIVRF LARRRPIDFLALEVDGRLARELHPSFRIVKNACTLLLMGPVFVMLVSGMMSPTYYLLV STNIMTVDRYNGLWEFSHWAVVLCGQLFVFIFLYDLSVYVRYPFFAYILVPMYRRLGL QRHQNVTVPLDEVRRRARAKPLGAPLSTVGKKLKNKRTGTRGS XP_844132.1 MNFAVPPEYLASYVNAQAVVPKTAPPANLQHRFEDPHQMQNWGP QGVAPVTTDAHTTYGTHADQPQEYLPQQYQQGGQPITAQNSNKLYKASAPPHSPQPQQ YLPTAPSPQQSDTVICWDVQLAKLHEKSTFLDHPTIPRFLHSYVDQDMSRLPPSLQYI APANRTTMRPTAQVLPNTSKLADTLQLPLGIIFQPFADTRPAEVDFSQLGGRLIRCCR CIAYINPFTTFCEGGRRWQCMLCRHMNETPNEYFCQLDQQTGLRLDLLQRPELTHCSV DIYPSREFLRNPPQRPAFILLLDCTYHAIASGNLRAICEGVLAALDTMKDEDAIYMGV IGFSSTVYFFNMASTLQSPRVIVAPDIVWDDCKVEDNFKVEPIELPSSVNELLVNVKD SYPLLKELFEKLPEMCSDTKDVGCAFGPAFAAALSMLGNSGGKILASIDTMPSIGSGK LSPRFNISKLSNQPKEYTVLTEANEWYKHRALACSNSNISVDIFAGSAQDLDLATIAP LARLTSGKIYRCTPVTLNGISRQVHRVLTRYTAFEALLRVRTSKGLTVPNFYGHCHVR EPDLLALPIGDEDSSYTVELKPTHDLKGNYAYVQIAVVHTSRSRERRIRVHTFQLAIS GSIGQVVNSADSLAVASFLSKMAIDSAIKNPFQKVQQRIMERLLTTIRAVKTHNESHG QRGGYFMMPDSLRFVPQLLHGFFRSAAIGFSTSTPILPDERMAAISQVVCTAPQGIVP FFIGWTFEVYSPYVPPEELPQPIFSSQSFFKPDGVYLLHIGTALVLWYGRTTDPAVLN VLGLPSNSGETQAPKGPPQSQGREPRYLITDEQLIEIRRRLDELLWKLSDITRCGFTV SLEICQQGSKTIEPVLTRMMMEDEVRSLPNYASFLRDVWQRSSLIAK XP_844133.1 MPKVLIPMCSGWVLKSVMLLLLVLITIKSVPEVVAAAQDKSVSL GSREEQIAFWEGEIEKLRSKSLIEAERRYGNAKHELEEAKKNSGWFFVSEVDKARIKR LDEAYRERLAELSVLNKQEEMLLAKLKPLYGIVSQRFMQEQRDTIAQTLRTLQDMSYD QAWYNSLFNIREAESFTDLIIGFAIEWLSTYIFMYPFASLYYAFWSAPWSIYAYSSGP FDIVVGAFAWVASVTFMLLPYLALIGGGYYLTRSRGIHISDMLRSFGARRRRG XP_844134.1 MKGNDNDCNAADPEVSQLVIPPELERALHAHQISALHFMWRKLV DNGIERVIRQSRGAPLTDRVRLYQEVFGCIVGHSMGLGKTITALSFLLLLQKHYATSD NVSSKHKVTDSSEASEDEDTAGAPVTASCIRVLVLTPRSCTYHWQSSAEQWMSSSLFG GTQLPLYVPLGNSATDALVLKHYHEGGALLLGYEEYNKLVSRAREVAGGGQSYSRVHS IFDRSRPPLPLTRQVVMSEMLESFDVVVFDESHRFKRPKSRLVTALKQSLHAVQLRIA LTGTPLQNHLEEYGVMHSVVTGGAFDLAPFRRLFAIPIERGQCADSTYEQYLEMQKCV ASLRRFFSTTVHPCGPEVLKRDLPERREYLILVGLSPQQEDAYRSLLRQFFPDMNKGV ILNLHHKASHICCHAMMDNQLVQVLCQSVYVDENGRETHSNLGAGRGVQTPGKDSVTD DCDSESRESSRDESEFSGDISSSSSSTSSSASDLKGQSQANTSKENASVDIWLKRLRI DESPKLNLTLSLLRYISEDLREKVVLFSTYKSHLYLLMFLLRQRGVVSEVLHGGVEVK ERQLIIDRFSVDPSLRVLLCSTKALGVGINLVAANHCILFDVSWNPSDDTQATYRLYR YGQRRPVTIYRIATEGTFEHVVFFYALSKSWIHKKIVDVSDPARYDRHVKENYFIYPC PLPVDFEQVDGKPEVRTKLPNGEALQKKWRDYASLHCPSLLHTARDGTFAWIRAITEY SFLVRDSTEEAIREMGLRFEAERRKPLMPVVIEKVMGGSRPVQEKTGNTMQRCEPSLQ VCLSGCADQLVSMASQGQAHHEEVQRHVADVSKQEMEKRLTCLLAKVLGVPEPAGGLV EVVTLIFHLGVDHVMQETLNKAPYAKLRSVLNARVLNSKLSEKSLQNSLKFKPFTLFA IMAPTEVTYTLTELGFNRPFAPHCTLVGVRCALRSNNISRDTLQELYNLLASASADVN IGTGDAVTAADVEAVMLKCMESYWPPYAGMALPAPTKGAEQRRSLAERALRVAGEHTT GFVLPREAARYVGISPLTDRCDVYQCQRCNDGLMERMLPEYVLKCGRCHYNAEFDLQS QPETHHACAVYQFSVVCGLLDAFSHFESLKKAFVPLECRGVLHALRRLRQLSKVYTPK EFISSVLRFGVESFLQELPEPTLGLLRLQCGAKDVDTLKDILLSDSSFALSNCVHTHV RQMLINWLKSNTVASSGMHIVTMPEALIILALQLLGKRYKGENGFAKDVLSEAQSGNL CGNAALLRFVCDAVVKLKYIERLLERPLTRATLDAFMMDYATPSGGSSESEGSKPDEQ LNGQEKSDDEESKVDEEDEEMHSLSTNSASSPSFRPDSRKRQRSPSLCMSEATVLSTV QSSSYSSCYPGGESLETSVSEDRAESVAYEAMEEGDIQMVRSAHFWASHCEVYGISST ETHTIQIDGEGCTANVLHPPPNSFWAREVHGATHDGMRISSVIDLFLEKLFVLSASVR VIK XP_844135.1 MSDYGDSFDSSASSSTTTPSSSLTSASSSQQESEKATSDTKELT SVVSPLAALPVPLPLPVPVAAPVPAPVLSPQPASGVSSEVTAADAPTLKPTDNLPTPD IAAAPREAVDSQEPPMRSPRNSTASKTLVNTSDSAYEAPGGDLAAADTVAYKRLTSYV AFNQSSDGSVAAECANTSSFSGKREASADDDTKVPKMDEPTGPVATLEENSETATAAG VEESSTVDGSSAFSTLSQHLSKTVTHAKLCAEMASVTKGPSDEVRTGGDGVMHPSGDG GGPMITANVPAAATTMLKPLMSLPPLPSAAESMTLKADFPLPSTSPSPDGLIPERIAF AAQSIQSMPIPPPLPPAPLSGIKSGMMPSLDNAPASSFYLPPPPLAQSREPPVNNKIV ELRETSEAVEKLVRAFALLRGHNPTGSRGAAADISEVSFAPNELARMSSLRQEHGVGD SATIKTSQTHRRRPLRSGMPKTHVEESDENQKASQQINEAAVEEAVLSLVMEMMQQDP QQMSKASSSRRFLQPACELIGMDDFRYSHHRSSKRQRGPRPQAAPLSVFGGGMQAFAP DDDGAAESLYNSVIEALGKYVWSHVEESSLRGERPTFPPVSAHCAIALQLDLLSVCLQ VIETRLTHRGNVADGPVWIQYDGPRESEPLARNAAHCLPFESIDVKTSRRPVFRLEYW VTRENMWAVAEALVSSVRGGILDGGDGYGVFNAKSTTYVDPDTLFPTRVPYFSLLPGD SSRPPREECSPSPGKKFFTNTRRSDKDSEDEATDKPCAEHFHLEPPALQAIAHAVSTV GTEILEENINAARGDYKQNYGDATCAVVEAVRELLEDANIRAAVRRRAKAKMKDIAQR REVEGQLSREEKERSIIAAAEAEAEQVVQRILQELRVEEARNV XP_844136.1 MEGRKGKTSQLRGGPKHGHPSGSRSNLRSRQRDGNRHWSPSHRD SRDYKKRFRSPSPNSGSVSRSSSRGSASYSGSSVSDSYSSDSSARFDFRRRRWSPSHR SGGTAGALSYSKGYDVGDSDAVLDHPTTDWVCGVCSNPNSVNREDCYRCGATFSASVN AVPSEEVKVSNIPNGVTFADIGQRLGEYFSQYGDTCRIIAHDMDNVDTDESGGSAYLL FEGVVEATKALTYARSALLLGDIRCPMEFSLQGRAKKKLNDVWERNGEGSDDRRGGVS LLPAPPPAVEGLPDDLQPAVWKPVENFPSVEAEMNYLDMLSHHWSKLSQAQKDYYDEG VRRALAAQRRKQPSQQVTETSATSRGETNTVNAPTSSGNPSPQGNGSSLESIKKRLEE KKKSMQNVTEVPKVAASLKERLAMKKAQLSSAAKPGNATESDAVGSTTAVSSTFSPPS TASVLPPSQKESAASQQHLFFGIPIPPRFPAKTDYLLNSKSLPARAGVIFRYVPPAVA ERILQPPLLPHSS XP_844137.1 MTGRKRVMWDEKNLEDNEEYRRTHPVTMHITEPKTPFQYPEDEE DFEEALERNDDGGGDEVENGGTWDPKVNELARQVREEFRKEAPVVVAPVAPSGRPMLS DEVVSGEALEKQRAAEFKTMRKAVYADEGARVKALLGKGNTFDDDGDDGDDNNEEEEG EEGKKAEDSNGIGEK XP_844138.1 MFSAKRKDVAEALIGFLHAIFTSFYVDVFIGQYVLVGDRDENMG PTHDNGNHIDRTISSRRSDGGAIWYLALTQLLYFFLLLKNSVIFRQHASTATFSSFPL RRLSSLPVCGTLLSFCFALMWFPLDLPFLNAATSFTLLTAAYQALFARCRKFVDALSR SSLRRPEGNIACTLFAAVGVAVACWLHGIPNNPQPMRCFTTFCAVSGAVGFFACGRQK SHSNSCFGTDITEGDDGDVLQEAVWFVQQTMLRSSMKVALLLWMFQGYNGLIATSFIS VFLTACHDTIPLPLRGLLLFIVFVLPQGTDTVLASFKRVVGKKRLVALLLALLAFIGF LFILVALRDHLFILHMSPTASTLSFAVLLVMHRLMIDTLSRVQSWVLRDVVEEDTVIF CRPKPMTANVQLLLDSASQLVQCVALVLTSLHLVSVSSGKSVKPFKAAWVVGCPTLVM SVVMLLIWVRWYNLEGSHLQFVKMAMRKRADGSDPVV XP_844139.1 MGVAGGLVTAGMRPTTTSGGGTTLGRIQAKIQDGNFTATVYGYI RDHKYDEAIEVLLLQLDEFPRSRAAISLIAYCYYHVGDFAEAARMYQQLVKICPNVEE YRVYLCQSLYKAGAYVEASKMCTMIESEQYSMRLMKLQASIAYEQEEIPQTKSFLEKC PPEDPEVIILQGCVLCNEGSYEEALAKFQEAQAGLGFKPDLAYNIALTYYRMQQYGPS LKHIAEIIERGIQEHPELNIGSNTEGNEARSVGNTQLLKETALVEAFNLKTAIEFMMK NMSAAKEALTDMPPRLQEELDPVTLHNTALVNMPEDPSIGFSKLNFLLQNPPFPPETF QNLLLFYCKYQYFDLAADVLAENPHLSWKYLSQQNQSLYDFLDGLITAQTSPAEAYRK FDILAQHHIDQLRRLTKKLQDAKQNQDKNSVRTALRNYDEALEDYIPVLMAQANIYWE IENYPMVEKLFRQSAEFCSEHEIWKLNVAHVFFMQETKFREAIRYYEPVVEANEENLL NCSAIVLANLCVAYIMTALNDKAEEIMRRIEREEEKLLYVDPEKQPLHLCIVNLVIGT LYCSKGNFEFGISRIVKSLEPYHRKIMTDTWFYAKRCFLALAMHLAKHMVVLKDGTFD EILNFFDQADRYGEKIPAFVHPDPSKQENTSKNTVRWEARQLKHLYLHMRE XP_844140.1 MKVTIVDCKRHEHSAGETLVFKLNEVDNSFANGLRRVLLAEVPV LGVESVTIIQNTSVLPDEMIAHRIGLVPLYSKRAKQMQFFHDCLCGGTGCIECQITGE LKVRCPSNQHSLQVFADSLKIDDEGVYPVSSEERGVWLLTLGRSQEINLRVLIRKSIA KTHAKFMPVSTVSMRYEPEVILNKDVISSLDPEQLRQWMRSCVHRPHSIAESNGEGHT GHIDVNKLCEECLLKEPSVVSFTEPLVFARPKKDARGHYNFTFTVETTGVLPVLQLIR DAVDVLRRKLQRVHECLTERVVPGDLVETRPIGDAPTAPVVVNEDIMDTKGAEDDLKF VMN XP_844141.1 MPPPALPTIRHPSGLQKPPLPAIRKPTMDDGVARMLETGNLSAD VDLEEFFNKEGVFKAVKAPVHPVKERQLPRVMTKTSSYARYNGVLLDIEDIRKIPPKL NLQDLREWENGVDDDDDASDVTSSLYGTVLKNAGEIMTHLQAPQRNDTRTYVELLDEY SMHEFIIRKGMTLRNTPEFASFKRRYNSCWGNIEHIVVKLENFLKMYNVELAFVDGRK VAELAAFQVDDILKREDLLQCFANHEEVEEMTANVSQLYAAADSGRKLAVEKIQATWR MYQQRIAYRHLLDGKKAATTVQRAWTLYRLHCTTRKTIKALRETRLLRWKHTMEEFIR KWPKIRDGPRRIIHVPSLSYPRFHAKHVPFYLLLQMGQIIRVSDLKDPNVEIILIVPM RPEPEILEYYYSMLASAGVPDVKSRLTLLVPEEAKRLPGVLSLTRLMLLSSRLMKCLA SASKGRDTYIVPGVVGAEELSLATELNVPMLSADPNIAQAFGTKSGGRRLMDLAAVPA PPGAHGLNDRNDLLSALALLMVNYREVSRWLVKLDTESGSRGHAYFDVNRIHVMAGVD ESKKTYENVLAELKQHAGKRARLLNPLSYSDWEAYLNMFDVVGGCVEAVPNRIKTSLT ANLFVEPSGVVAVNSVVEPMLAPAFTVIGCQYPFSKVVPYAAVRDAALALAKAAYHKG IMGYMSVDFVVTDVSENSLPRLYAVDVDLCLTNNAAAHSFACLVAGCEWNAEEGTCLF RGTANSLSYAYSGLIYSPLLASVRHKSFFTLCHRKGLTFDSQLQSGIVYHLLSIITCG CVGVLSLGTQRNVVARKMKEFQSLLNLELPKQGEHTGESNIMYFYSATHQLVRSVQVS EMK XP_844142.1 MSDNRKAVDVTVLSEDPKKKENEKKAREAESLKMSEEDERIKGQ VELLVTRVGDSNTELAAVAVDQLIDLLRTHTSGSVASVPKPLKYVRSMYGQLERVQKE TTNPKLAVRLHDVLSFVAMTIEFPDGQRPALEHKLLGTQDDLAHWGHEYLRFLAGCIS TEWKERVSKGESVVHLDGFIQQIVSYMVKHQDEPTAVDLLMEVENIKAIIPFIDGHNH RRIASYLAAASKYLTRPMDTEALRVVYDIYVKMESYTEALFVALQLGDRAAVENLFKM CEKSSVSLQMALSCARYRFFLPNDNEEQGILSSANGNMKLSELYRHVATELDAMTPLT PEDIFKTGLDGKQSSSASDSSFKLACSFASGLVNCGYGKDMYLTGEEATWPSEQTDNR LFATTSMLGLIHLWDHADGLLEIEKYLHSDTVNIRAGACLATGISLCGVSHPYDPALG LLNDFVCAPHKEVRIGAILGLGYAYAGSMREEIRELLVPILIDGEQTMEVQCLTALAL SMVFVGSTDEGMVETITHILLEMPEKDLKESATCYIILALGCLFLGRQEAADTLLDTL QTSSPIIRRYAEIVVRSCAYAATGNVVVIQNFFHAIAENDEPEDTEVPAAEGDGNGQQ QKKNRQQQSTENAAHLNYKAAAVLGIALVALGEDIGTEMAKRSIIHTLLVDTVSKGEA NISGRHAIPLAYALLSASNPGMQVVETLNRLSHDSDMLTAINAIVAMGVVAAGSNNAR VVSKLRNLALYYQKDRFASYLFSVRLAQGFTMMGRGHLTLSPLLNDRSLVSPTALMGL LVFLHSALNFEEIILGKYSYMVSSIAPSINPRMVLAVDDQMEVVKDGVQVRVGLPVDT VAVAGKPKSITGFQTQTTPTLISVTDKVEVASQKYRPVASVVEGTFVVEEKPNME XP_844143.1 MEEDKKHWSYDAENEGVLRDTPSPLQIQESIGNDGNTQTEGNEH GDSTTEENISNSEVKCEIEIVEKVHPDAEEEEARLRAEEEARLRAEEEARLRAEEEAR LRAEEESRLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEESRLRAEEEARLR AEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEESRLRAE EEARLRAEEEARLRAEEESRLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEE ARLRAEEEARLRAEEEARLRAEEEARLRAEEESRLRAEEEARLRAEEEARLRAEEEAR LRAEEESRLRAEEEARLRAEEEARLRAEEESRLRAEEEARLRAEEEARLRAEEEARLR AEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAE EEARLRAEEEEARLRAEEEAHLESKDSSVKRPIQCDDGCRWIRADEVAAVSAIEGPTG SALDDCLSYSFVGISSSEVSVGGENCDAFMGKGDTSSASDNARSDHLTGRSCSKTVPL KHPLTNNIPCHDEFEICMEEELLRMGYAESDIHVRYLELHHHETSREPGRCLYPPLES GGGVLSPQNIQIGFFRSKQVVIASHSLVSRRVAKRERSAKVGERALSDSRFFFENELM SDTTVTTEDRNLPHNSPDEQLVEEQKLRTNQNNLVAAALSRFTLGDPVQVWEQACGFS LDPVPGIRDGVRQLPTHVTGETSSYIWAHEIATNKPVPAMKAFDSGVLLRVECTSIAS RLLEVHKDAIDPVIVSVAFYSMGSSTKLKVSETFFFDSCVDIFYPHKERSELNRESRA MAFIPQEFFASLYLVMRVYRPCCEDQDSYVDLYSRPDRYKSQQVTLMKQNTQLLAMKS DIFEEIGWYMMPCVKNKSVVETLETTKLYQRGAPDAQVFQLVENERAQKALATLPFFA SFSLKHCKGCEVEFPSQHDEPLPEENETIIKVCTRSDTEVQEETCRFVPCIIPILNSG FFNSYHNVYYFRLDRVKLVSTGILRTVPSTHRTFVMEINVRDNDTSLSGENLPLLYGN RLSAKTLQTSVWASAVHNSLDFSLSDEFKVQLPLHLGEKFHIFITLYACCKKMDNSSE EMQKMHKVGYAAFPIIHNGVVRVKDEWTIKFIAADQVLEGSEKSYLDKFSEAADEAHL NGGIPVLSVSTQTRTSVHASNAIIASLLKEMPASLESILRNDNLFRVSGNLKDIYRSD DDAIHSSLIRKMRKLPLAEILAFYPLLSFFILSLISSPSKSVSLPCRTAALEVLLDIT IKTQHYDLTTRSRQKQHSARGVSKTSVTRFLYHHLTNDLLYNGEKQRLYAGFAETWLH LLVACRPHSENTDQGAGDKTAKDDREQDKRNIRKRMADLSWFLFGVILRSIYIWALEN PSIPRAKLLDPGFYSIIGDLCVEALDVLDGFGIDDVLVRRTALFTRNLFNFCDRGKVL NITQRIVEFFEKRQDMEGLGTFMSIILDDVDVVTLMIPSSSYTQPVFLTRILVHAFSL LLSSPSQVVRSSSSDVLYKFICRVTNGVRYPATCLRWMASQLLALVREVSLRWKAYVQ MCEKTESVATIEDKRQLCVSILWIIYYAPPGRLRNWLKGERDSDVISGLLSLVSDAQH LFRYTAGVDKANPHGVKEPTQWMREWDARMSTFVAAIGAYVCSAVLHAVPDALKSLRT EKVDPIVFPFFHLLEGVLHLGNSTAALQIGSCALLEVAHSIFPEILCSTSRMCSGMAV LVMSLMSSCSVHVRSMAEEVLFLMCYACYTGCRSVAKIKAPIFSATVYVAESKKRDLR LAGGFLELHLSNLVRKAQQSGDNFPPFSQSYVKRCEADSETPGNEVTAGRRHCGESPF TSVERMSPIPSCLISNGVNGIASLVGNRRTSAIVGSEQGSNNCNEPPSFAEELASVRE ISMMLFGSVRQLMQTESLRLKEAKALQHFKLAVQLLRVGAVHECLRWFQRLHELHKAN NNMGEAGIVLLFVAALCFRLTEAFYQVRGKESRGARIPFIVFSHVFWHDYARLLPEVD TLLTGDVLYTVVSELRVLPSDPCLSLSGHVEVLRGAASLLDKDHYNIFSVGVLSIVER YYRLLNDFKAAASIHSAMADGCYAVSKEDRQKRENHCYFLLWARMNRAEVELHEMDGN ESMQNGYSLPLKCVFKMPAKTKLEQFLECSKDFVRPLLKDATPLVVTEMAEEQKDMGA LEASKLPPENCCLLSVCEVNPCFSSGRRKLTDSYDRNASLNKFEYMTYTYDNSREYGD AKPDSALLRNRLVVYKYHLERSFPSTTNVIDVATTHIDQLDTAATVAHVLGKSIEMLQ APVDNDELVGTLLRALTPGGFARPGAYIKEVITTMSTNSAVMTQVRSLSKLLKTKLTL CEKHDAPLNSTENYALVLKAVMDIECTLITLDDKVGVACSQ XP_844144.1 MPIPCNFKDQITGEVHIPSTSRANVTYKQCECQTGVLKMQDMET QTAISALQAVNRSDKQVGHEKPQIQANIDGLQYVYAGVNYSEEALADFLEAAREDVLA ILYRNVKNSAFDNYEPNWTKKSTELSAVATFRASYAEERDLHALSVSFNSSGTLLAVA YGQVDTAGWCNDTGMVGIWNLSRNDVNVNEPHHMMETDSFVTCVAFHPSQATVLAVGL YSGEVVLYKNVTDATPIEMTADGSSTSHREPVTFLQWTENLQETRDSHRYTLCSAGQD GRILFWTMGNKMAQPTAAFIIKTRKGATVGVQSACFSRPGGSAGRITPSSDSVLVIGL ENGDVGRARPGVLAALPTRAGESEVSLELDWLSSHRGPVQCVDTSPFFHNLCLTCSSD GSARLYNMLETAAVATLEPSAESKHFLYAARFSPFRPSVIAVVSRSSFLHIYDLQKSQ LKPVVTLEAGTDGAALMCVTFNHASPDWICTGDVSGSVRLWKLPSSLMQTTDLERAAV RDSRGRGGNAEDSEKNAVISLFGFSL XP_844145.1 MVNLRLQVAVVGAPTVGKTAFVQMLHSNGTTFPKNYLMTLGCDF IVKEVPVDDDNTVEMIIFDVSGQREYEPMVSSYLQNTAVFIVMYDVSNKVTFEACARW VNQVRTNSKESVGILIANKSDLSDKAEVTDRQGKDLANANKMKFYKISTLRGVGITEP IDEIARHYVDAYQKRIEQLTQMR XP_844146.1 MIDDVRICHLVLQDVKERLPLYESRLEAVNNSHVVISCWNESVK AFVPVPVAEDVEFTSDVLHEVVSLVDGLRQLGCTDFFVVFVDSGGTLSFYHVDTLRLL QSGRSGSS XP_844147.1 MDDPSWQRNCDMLTHALQSLERSTSQIKRSTNKLVTLRDIAQER DKVKKVTSAANAKDVHAVHDALAFLEKYMRLHPTQLRGQGVKLSTEAQVVLENYKKSC DVFYKKCISLEESLRKNSATTRVRIGTGDGSDVDDFDDEGESLLPRGATAGSAQRQAF EDDLHNEIMAERVRETSEIAESVRDINELFNHINSLVAEQGVGLEIIEENVTRSSAAT RNAVGHLQQARDSQQRSGRDKMFIFLIVVLMIMLLLVAYHKV XP_844148.1 MTEAVVTPWSVEGDVNYDKLIKDFGSHAIDEALLERIERVLGKK PHHFLRRGIFFSHRDLNLLLDVYESGQPFYLYTGRGPSSESMHMGHLIPFMFTKWLQD SFRVPLVIQMTDDEKFYFRNIPMEQVEAMTTENIKDIIAMGFDPELTFIFRDFDYMGC MYRTVAKIERAFTASQVRGCFGFAMEDNCGRWMFPAIQAAPSFSAAFPHIFPPSMGNV FCLIPQAIDQDPYFRLTRDIAPRLGYLKPAVIHSKFFPGLSGPKGKMSSSSGTAVLLT DTEKMVKDKINKHAFSGGGATKQEHFLLGANVEVDVPIQWLSFFLEDDEELARVKKEY MLGRIMTGEVKKLLINTITAITKTHQEKRKLVTDEDVQLFTSTRIMGPAKKAATQ XP_844149.1 MSVWQKWKLSTAADGKFGLRPFLIRFVNVWPLRCDTTWLGKPPV KTFPSFWANVACLSDRERDFFVQCVEHICFGIGMSATPAIKDVMNRLADGAGIHNLQG LSTTERESHTDILCDVVLMHDGKPCMGWFADNYGDRNFNNIIEVLEERWNISPASLWA LKERNIAEQMNTMTHYNRQESIFCNSDGLTEGKLASLVKRHVRFADKNICIDVKSISE IRSLSLSLLEEPTVEKREILLQCLSNVVPELITKPGKVSPCSTVLKAFGCFLAPSVRK LDIPLDSVYELGSTLCSIPADDVTTHVFQRHIRHLLDITEGKQICASGPDQQHMLRDT LNNLESVGHDLDQVFVCFSQAVALCQVVEDPTERDDALCRILLAIPLIERYITSYESA ILRQHTFSDSCLPSHVEPNHSNREVCLLDSFALDRVICASNCREQGANASADSSSAFD STKGKPFDGCCGFWMPGMGLDSSCYVTDLLSACTDLANMLGVPASRCDIVTESQRLVY DIRHKLRVHTLRRFAFVKASKVWNSALRKRALPFLNALRSSLYEAPALTILRFRDGER LPNTFGAASEPSSLLQSLSSLHVCFAFSTPAFYVECGTIQELEAMCVTQPLSAMRRLK CFSSPSSSTGISSVVEVPVPSNLVDSLLVCAKAQLQLKPEHGFVDVAFQRDGDHATVV PSCLDKLLRGQKA XP_844150.1 MKGLRLFFTRPVFVASAIPYLTSVRRVSQQRDVTPCGNQSGRDL HSPDVVVHDQQSSFTEDTFASDFDELEAASQEIHDLCFAMINYLQKTDPDGTSCLFQK RCARGFRETVPENKRRLLHERGSKILRRRNCYRTKEEYEEATQLFLQFGEKLLLLLTK PVVQRIIESAGKVYRDHRRDGVHRYRKPVERGSWRGFPHRWFKHAPFEVQQLRADIPE ATVANTRAMRDLSTVNSLFTLMEPQHPFRAKLENQLFEITQEYDVVHAGGRGV XP_844151.1 MRSLIAMRRRFVSGTWTIAVSTQQRHRSGSGPGDKRIRTDWYRC YPSLMREKDRDMYHCYYPYLFDHGDKMSLYPKIPENPREWQPEQLQTTYDAIREDKYD AFIRLREKFPELYQDTRAWDNPPPFGEFNMFYSVRFGMVGVKAFTCKDYDELGNQFDC TAFWFPDNQVVKHSTRNGEVGTDKVYVGAMNVPVEFHKPHVAAFYKAAGVPVKHVCAG FPITPDAYAPVGTKLDVRHFKPGQEVTITFQNTDYGFRGVMFRHGFDGGYVWLGDSRW QRRPGAMGTEGQKRIYPGHRMAGQTGAAAETYQGVPVWRIDYKNSLIYLPTLLDADVG TYVRFSDTINTKGLTLWNEHRGLPAFPTFIPPEDEDLSKLATDECQLKSPPLYMYFRD EFPATQLVSQADVEDAKSAKPATAPPKKKVYDMKKYYEARKKYRQSMQKARKYKLMGL RTKAHEKQEEARRAKILKYKRMK XP_844152.1 MEKIVNAWRKVPDAAEGCSVMCCVFGALTPETLENQRGQAMLQY LFTSLNTVCNAALFFSFDKLLVVHSNLNVELPPSSESFTYASATLDEKFGEILAAFVA DAKVGVCQKELNIQEGDFAPKLISVVREIVPAERTVEVGPLLGEFLFVKDEAALSCVE KAAGLCNAIFRRSARGMIESEMQKSNPKTLSALREQLTKTLEAPNTVMGLETLDVSQF SIASGLTPCIMHRGTYNPQISVTEVSTQPLRSDVIVVRYGLKNCGYTAFIARTLIAER NAPSNVKDVYKFVYNVTEKLLEHLRVGTRLSAVHEEVMKYATTTDEALAKHLPKSLGF STGLLVLEARGTISQKGTATVEDGMAFVTRVTLEGVPDGEGGTFDVELSDTVTVKGGA AQLNTKTSRKLEEVLYDDSAEEETAFETSARDLNKITRQGQSSVPLLSRESAREEKLK TLLRELHAELAAAGGKKAKTAVSEEFRTYEIARLSYGDVIPYANETSFPDVARGGGIY VDTEKEVAFFPVCGGVAAFHVSTISRVDVKFEGNQVAGIFSFHSLQEGNIAYRLNRTK IFVKELTYRARRDIFTDVKIAIQGIQQRIKNRDTERRRVSLNAGGAQLQTVPDAIRLP QVKIRPPATTGRYNKECIGNLEIHRNGLRFSYIGGAPIDMHFENIKHVIFQPAVNAVR VIYHITLKKGVEIARKSVDEVQFVAEVMESSETVMAARKGYEEEIAAEERELMRISDT NKQFLKFAQAVERVSMIKTQIPASNFSFEGVHAKGLTTFKANREVLWAIMDRPPFTQR VEDIEVVSLERVIPGGSTFDVNLIFKDYNKPPASITTIPRSSLESLKDWCLAARLYYM ETSVNPNWKVVLKTIIEDEDWDPWRPGAGWAVLNDDFEGDEEASEDSDSDDSTYVEEE DETDETGSSFLDDEESEPESSDEEDSESVLSWDEMERRAEQHDRQRGYGSDDDDRPRK RPRTSSGASSNRRPHPPPQLPKKQSRLPPMGSAVPPPRRF XP_844153.1 MAATPYRFRLSSQCSHIRRHVCRRLITPQLSVRTCATGTESSNG ARLIEVMESPIENIKILSMNNAPANVLSSAMIKEMLSSISEVCNPEKGCCKGIVLTSS LPNVFCNGLDLQLLIDKLPYDSFSSYWKQLQMLYITLNTLPVPVVAAINGDATGFGCI MALSTDYRVMAEKAEEGGGKQLKIGVDTVRCGLAVPPCFGAVVAHTVGYRACEMFLQR GVILCAAEAENAGLVDETVERADEVLVRALDMAENLSGGPPWSFWLVKDASRKAFMAP LCTQALRDADCANFYEFISNTEVRRDMRAYIAGSA XP_844154.1 MVEITELAKDISERLRNGSYECVICSNAVYLRDKLWSCTVCYGV VHMPCVRSWVKVQVEEREKRDATAGGSSASSISLNEFRCPICQALTPVSAVAEFSCFC GKVCNPTPDPLLVPGSCGDTCGRRRKDELCPHACTLMCHPGPCTPCQLTRTQSCFCGK TSKTVGCSSGIHGFECEGICGKLRECGKHNCGVPCHEGPCPVCTILSTDSCYCGATKR TQRCGESGPFPCGTPCSKILDCGNHRCLSKCHKDACEPCFRTPERMVFCPCGKVRLQQ LLNSPRKSCLDPIPSCGLVCEGFLPCGHTCSDVCHESPTCPPCTKLVSMKCGCGSQNY QIYCFFTYLPQGEWKAAAERSGLSKDKIISHFPPVCKKPCRKHLSCGKHTCKENCCTN EDHTCYKICTKRLSCGTHSCGQLCHKGLCLPCSVASYDRLYCRCRRTWVEPPVPCGTK PPNCSHECIVPRPCGHPANHPCHIENECPVCVVPVEKKCGSHATVIPYYLPCYRESVS CGKKCGKLMSCCGKPCGKICHIGKCEHKCQTPFPALE XP_844155.1 MIEYACGWLHAPVSSFNSRGETTSSSEGATWGIALGRFGPTAKC SLVRVLAVIVSGYYFFVGLCLVSAAKCICTDYWSMIEGLTNVANVSELREVTNLTDQL RYSLIVVSCVALFVHLAISVVGVRHIRSLFMQLDANVEALHRVADALAVPLNGDATGT IDVNPKQSFSHAKSCKGIQNAATPEMANDMLMLLHQLRGLLPDSTFEKELTDVAVRQC NGAKLQCEKANLPVGSKLEAHSPRVMSQFRVSRRTAAGMVRNREILEHGGTGTTFRKR RVTVVVVCLSDFAHQVEEDLEHCLHFSRQFLSVVTQIVERYGGIIASVAPNKVVVTWN AFTDSPRHAQNGMQCACDVLVALQPFTQGLSVSPSLKLFPTIVATSGFVMAGTIRVSD HEDDVLSVYGNCISLSEELPSLLGALRVRCACVGALAHFCPSNFSCIPRDCVTDANNK RHIIYEMQDKALAQRRRHVEAFQAFQRGEHGVAHHLYTRIHEETRSDWNALRMSQICY YLEESHSVYAQRFPEWQLFPVEREHSCVNELQLGRRAYGFMKCEASPVEDHIRRAILK QTDTRALPRSYGRNEEESYRTPEVAKSDKCLIVKCNSSSLRYVTEFCDRQGLVFRMSK QVLGTGACGVAFLGLSQTGALVAIKEIELPLRTRAQNSNLSDLNRRRLRRKGIQVESA MEKTLDGIINEVSLLSRLRHANIMGYISSAIWGNKLLIVMELGSGGSLYDLIQKFGSI KESRARRYLRDVLQGLEYLHRKNIVHRDIKPQNVLLLETGLCKLTDFGTSQNLQKIAN SCAPEGTPPYTAPEAARGKAEKASDIWSFGIMMLYVLSGSLPWPNMNQMTSHAFFYKV GHVESFMPCVDDKISHDAKQIVKRCCQRDPKERATARELLNNSFFNCTDTSTSCLVKD WPSSCGNISRSEFHL XP_844156.1 MAIKSRGVKVVIIVFIAVYVLTITNVLISLHRFHQNSQKEVVKI QRDEPLPDFEYTEDDKMALEFVTPHIIKKWRNHNYLVALGIPSPDNEERRRRRQLQRD TCWKYPGVATVENNFEGDMLVAYVLARHPQFDYKYSPELKDEANKWRDVITLPINEGR VTTKKKLGEVAHWGIDAEIGMSRKIYLWFELGARLLPKTSYFSKGDDDAFFHSPQYII DLRTLPRRGVYWAFHWRINPVRPFIFGRGLLYTMSRDVVNKFVTYEPVRRLVHVPFSF DRLLEFKQSIMEYEDAMVGHALSYQNPEELLFVNESCCRFIILNGNECKPPKNNNFVV VHGIQEEEYAIMMERFKNYTTPVPVQFIPSKYGWMANCTLPPDPQRRRDAEWTA XP_844157.1 MAKVDTLLVSILLLFLWLSVGVYANQQDGSAVPIPSCGEREEVD NILKSLDRIKRLNYAKANCTLERYAPKNLHKGGNCTLCVDGFWCVDKHICVVDTLLVF LGVRPPQAVEEKKEKLDPDDSSAPNGNDENPLPASDAPAAPSGPKQKQPDDAIKTPGG NVKDSSPDVVQHQISTKGTSNTKINRKNEVADTEQPTDQQRSISRRPFTLIRSMDAIL FFVVV XP_844158.1 MRIGKYCVVFLLVWTQATKRSVGKPTNIINQEEFKALCAFVNLA NESVDYAGLEAEIRDAAADIGAIFLASQQESISKLREQIEIWREVEATNNGGNNRGIK KWSVWEEARRKLIDEGGTKYVQWVELGLSDAEKQKIEEAMDETVKCMAEENGLWDTLR EMPVKGSITKAIFGSRERQVQIMKGRGSRETACGRGLFPGDWAGTALSADLLCLCAAT ERRAATNEGCCTGCETGGNGVAWDPRVHSEERWNLLRSKCEKVSSGTPLSSRALSVAE STFFNQLKISKGSTSGRPTLLGKFGTSFSVGCTGEITEKEGRCVRYSHSHVDRNNPAL PWLTNLREAANAVDQLNAVDTKLKHLRVGIATFHTKHVDFKSREKGNGGDPILGSGGV EGEVNTNESTKANTNDGRAKKAGESKRTPVDNISHKCEGYRQGKTCKSRKVETECDWE EGTCVGSASEPVTSPLRQRPPTSGKVNSIRCSLSLFLILL XP_844159.1 MENKYLVWCMFFCLTVVGGKANAVKTTLKKETNCHTTVKALFNK RENCHDLASDATKINEDVDIGTDADRWTACTWLEYDKRRTETQCILRFNNTYGEKLVC TYETKGNRQDLSCKPEGRCEDARERYLLGEEGCPKPAPREEPKRNKTERCLDEVYGSF SGDQECAKLKIGSEVAEQIIFRENGDDKTPECIEASGPPESKVVGEACVIKLRTEPHP SEYICKMEGSGEERKIKCTRETSKTVPQPTTTRKRRGSTGSMRKRSDDGGNTEVTLKE DKDKTQDEDEGQKEERKEESGEETGTAKARIKSKTYWKSNNPIIMLLTLSLLLL XP_844160.1 MRKQHVIYYVFFCLGAIGSRGETEPITIQKGTECHKALETAFTT PKNCENVSTAISEMPENVSIETFPGAWTVCQFMENEKESTVTQCILKFENAEGNRIAC TYETEGGQGNVSCTQEVDAPVAYHPYCEGAEYDYTYHDESHGHGSGGYERRCPEPGPR PAPLNEEAHRCVADVLVAFGGHHECAKLYGTDPALDPEDVIFGEKGDDKPKCTEADRP EVSTLVGEACEVKLRTKPEPDEYLCKVETSNGKRKIKCTPKTPKTIPQQADTVKKPDT TESMQKKADDGGKTEVTPEVKDGQKDEKGGKERQEKQKMENNTGKSNEAATNTKTQAS SWKSNNPIIMFLTLSLLLL XP_844161.1 MRKQHVIYYVFFCLGAIGSRGETEPITIQKGTECHKALETAFTT PKNCENVSTAISEMPENVSIETFPGAWTVCQFMENEKESTVTQCILKFENAEGNRIAC TYETEGGQGNVSCTQEVDAPVAYHPYCEGAEYDYTYHDESHGHGSGGYERRCPEPGPR PAPLNEEAHRCVADVLVAFGGHHECAKLYGTDPALDPEDVIFGEKGDDKPKCTEADRP EVSTLVGEACEVKLRTKPEPDEYLCKVETSNGKRKIKCTPKTPKTIPQQADTVKKPDT TESMQKKADDGGKTEVTPEVKDGQKDEKGGKERQEKQKMENNTGKSNEAATNTKTQAS SWKSNNPIIMFLTLSLLLL XP_844162.1 MRKQHVIYYVFFCLGAIGSRGETEPITIQKGTECHKALETAFTT PKNCENVSTAISEMPENVSIETFPGAWTVCQFMENEKESTVTQCILKFENAEGNRIAC TYETEGGQGNVSCTQEVDAPVAYHPHCGEHGSDHGYDNGYDGYNGVAYGELGCPEPGP PPAQPNDEAHRCIADVFAAFSGHLPCAKFYGTDQAFGPKEVVFGENGNEKPECAEGSE PPESKVVGETCEVKLRTKKQPEEYVCKVETSNGKRKIKCTPKTPKTIPQQADTVKKPD TTESMQKKADDGGKTEVTPEVKDGQKDEKGGKERQEKQKMENNTGKSNEAATNTKTQA SSWKSNNPIIMFLTLSLLLL XP_844163.1 MRKQHVIYYVFFCLGAIGSRGETEPITIQKGTECHKALETAFTT PKNCENVSTAISEMPENVSIETFPGAWTVCQFMENEKESTVTQCILKFENAEGNRIAC TYETEGGQGNVSCTQEVDAPVAYHPYCEGAEYDYTYHDESHGHGSGGYERRCPEPGPR PAPLNEEAHRCVADVLVAFGGHHECAKLYGTDPALDPEDVIFGEKGDDKPKCTEADRP EVSTLVGEACEVKLRTKPEPDEYLCKVETSNGKRKIKCTPKTPKTIPQQADTVKKPDT TESMQKKADDGGKTEVTPEVKDGQKDEKGGKERQEKQKMENNTGKSNEAATNTKTQAS SWKSNNPIIMFLTLSLLLL XP_844164.1 MCDGRNSLSSRSSMPTAAFPRHTEEISKKRDAVHKLYAKAELSH CCHDAKREREPTATCKCSEIRIVEKRLGVDTMGESSQAQEIPAVYSVTRPMVKTVTLS XP_844165.1 MTLKIAVTLLLTLRASTPYTILSSEVQVSMGRSRCNTYFAPPGY DDCKSVDSQVRPTASGVTSAASPSTSEQNQAKTVAAARGTSRPTHPTEVASSSSSSHK PMLPSSDSRGGGVRRADNTGGGTTQSSNRGSSTNAPNFSGQAPSRSVDDVRSGETAQG PARVGEEQNRDAEKRKGMSVELATQHDGRHATEQQSAPSVAGGERGEGVKAVGKHSMD ENEDGISRRQVSGRDDDYVASAMRGGAPKPEVSRPSEVITTDADEGSSSGGSTQKGNG VDQRRHATLYPALLTFIFC XP_844166.1 MNKCKPSFISVQALQCSRRRYWLFLSILSYSPFAPHPLQLFDLL TPCLSVSSLPPHAPRLFATTNMNIHLLTCTYARGYPPLSSAHTHTVAVAVRPSRSATY KPPTTHMQIQVKNRTLRSPKMSYSRRFQLPLTERIGTRKYAHRTCNTH XP_844167.1 MFGATADDVSKVGSGGGGGSPRTGLQTGQWSPDFRSGKTLVKHL VCLCKGKKQHRLQRSGDICCAGNNEQLSTHQLVSPKKYDKTSETYKLGVRQDMEKVYQ RKVNATKRKNNPRQYEKAQSQRNTPTIPRGYEEYGLCACNGFIMDHDGICVLHQEDLI GTMRVASGGLAVSEI XP_844168.1 MKVEIVELAILLSFIICVDGENVEHNCKTVDDYYFRNINESVCY LSCLSNALNKLYSDGEKRLFVNEEAYANASRILDDMEGKTGESTKYLSVISSVMEGEH DKLEKLISYGNQMGDLAAKAGGLFVEVNESVRAVRKEIRDALIQANKYYTAVAEIAGT VWAFDGQKVVEGDHKCDLEASKKTVEFQSKCSAHTCPLRNGVNKSTLQQYKNGCLEIS VMHSHIVDFCHQSPRNVLYVSGAVKDSGNALKWKPGHAFFLTIEVKGIFSSLIASFTA GHPPPVLLAMVSNMTLLYSLFSETYSNFTSLLLGTSITDNLNSTDSTT XP_844169.1 MLWWKQCACRNSEHPTTGYRSVNDSVQLMEIRQTSTPLNCKLLR AYQFLPYEVPFPPHTFASQQWREGENVEVHYALYQTCLCMYLTSPDMSLTVTNMLPTL GIHEHDVHKISRTLCTSWRST XP_844170.1 MFPFVFPFPFSFRWGYTTLVGTRFTSSFSNYEGNCVLFATLPLV ISPTGFTPRSRVYTTCGGNITLGKTNYEKNGNTFQNIPSSLQNS XP_844171.1 MFQLVSAAEVNKAHVSYPGGVSMCLRFPFCHCVWAHAMTLIEIS GQYHRWVARGENEDWDYSNNFVVACVVLLENIATNEREGKCHLTFHAATSMHKNYMLV ALQGKVVKAKVSFRFREVQRLPDTTVRNYCCCVLQALGQ XP_844172.1 MVRETLICFDRADAQSSKGFRKVLGNFNHIFLLLNYFSKMLFFF CIALTFKTIWTAL XP_844173.1 MQGALSGEGGADSNIQNNLFSHMAERTDAVVKHTLQSEKSISPG ADTLQRCEHKTLHLRTGGFSLFICLFVFESIPHISVQH XP_844174.1 MNQNGGSVGDTRNILNGWLNGAYRPMKRQASRENENPSETGKGK SLEEKLYDYIYNATWSYVMSGYNEEPLGMKVFDGRPPRMWTKEEVDVSHTLETMNEPL PRHGNLEIAVLTSQVGWPYTSFQPQEKDYDINHKKGVEYVFKSDVYIRRETLRVWHKV EERLNKWLMGEVTVNPMFHVLIGTPGIGKSFSTAPFLLYKLLHYESSQLQIIIYVVRG KAYVFHKPKGGRAGYVTFYSNYEQASTYIDHIVRDSCGAEDIKGYLIFVVDKDHHAPV KPPVDFSGIALSFPDARQFHEWSKQNGATHIYINCDTLKDLEAIHISRWGKIAPAYKW SPSDAKEKIEREWQEIQARIRIVGPLLCHIVDLRSYEKRAQAMQNTILKLERGDTEYF RSVIRNRAFWETHEASHKLVMVVRVKEEDFLLCDTYRCKPLSSCTGEAISDRLKPFIQ EKSALVSELLSNRTLAAYRFKQSGIEVLSHEDALIELAKELRGLSLSEDQIPQSVLQV LQEPRLIGPSIVVPEDVPIVAGTEIQYMILCKPQSGNFPVVDAFFFVENQKTFVGLQF TISDKQYCSTSGLFKMKKYLRSYFKHWDNFSNDMVWEIIYVQRVDSEKITKPQCCEGT IEGEGQNNKVEERFWREKVRQFSVSLSNHITALYVELQVRGNNNNGVNNRGGNNA XP_844175.1 MNQNGGSVGDTRNVLNGWLNGAYRPMKRQASRENENPSETGEGK SLEEKLYDSTYNAKWSYIIIDDGTQPLGMTLVDGMPNEGLMWKDEELNVVPKIEETLE QKPERTEGVELLVLTSEMGWPYTGFARGSNSDIFIRREELRVWNVVRSGIELWRTQRV IPGCLYLPRSYVAIGNPGIGKSQNLGSFILYKLLHYDAEELPVVAYFRGVAAYIFEKS DNGGVGRVREYSKEAAITFMKVISSNTRGYIIYDFVNKGEQPPADVAPKWGSILISSP NLRNFDSWQKQRKGAFIVVNCWEMSEMRAFFSRMGLKLFPQATHVELREKWNMYENRV ERVGPSLRYVFDEVMYGQELTAVDGELGSIVAGNKYGIYTKVLDNCGEWRDNDASHKL VKIVRIKAREGNSFDTYVCVAHSESIKKRILNVVFSGIAEEWALTTGMMRNASAIGLY FEENAIKYLCSPRVLTCFVSLITKLPANERARRMRTRKSILQHVRDDLLKVGRTNTVP GDINWAESEGAPVELYVPCIPNYPVADAFFIVDDKEAAQASGTTGKKTIVLLQFTVAS RHDTKTDEFIHLLQSLLPSAEGGGHQNEATVEQLEEITEMFHWEIIYVQHFESTAMRS EQKCEITQGKAKHRSHQFVKGFWKNNVQQYHVQYEDNIVIKMLAVAAAGRR XP_844176.1 MRRPRDEHVAPPPAQPPQMPQRYKRFSDCKMNSTVRDFLLEEYA TLPKMNLHDFLNQCFSNTYNTVNVSMETFVKNPEDYIKDAEILEDIQGLYEFREYKRI VEKLPETEMWINYLKEEKIYTISDWVNGATPEVKNSISPVARGRLNAVQYAVTESEVW FLPRVSVKSCRDVYDSFYNAKWSYVMSGYDAEPLGMKVFDGRPQRIWTEAELNITPKT ADVDARVKEGSNGLEIFVLTSEKGWPYNRFVLGYTERCKTICKHVYIRREIMRVWYII QRGLKAWWMEATAEGPPIHIIIGTSGIGKTCGLGSFLFYSLLHFNEGMLDVVAYFLED SAFLIYNREGEERGTVDEYERWESAVAAINKMKSESKGHIVMDTRYAMEQLYTQLPSD VWSVTFLTFPKSDHFDEWSANKGGHQIIMNCDDVRDMKAFMAWKKLSIHTGEKVSNRR RYELKREIEDEWKIVEERINLIGPLPRYIFGLGCYEWHLKSVYDALRAMKKSDKYCYN DIIEHTADWRNDEVMNKLVKIVRVKGNVGGVESYKCQALSLMIRNMMMN XP_844177.1 MRRPRDEHVAPPPAQPPQMPQRYKRFSDWKMNSTVRDFLLERYA TLPKMNLHDFLNQCFSNTYNTVNVSMETFVKNPEDYIKDAEILEDIQGLYEFREYKRI VEKLPETEMWINYLKEEKIFTISDWVNGATPEVKNSISPVARGRLNAVQHAVTESEVW FLPRVSVKSCRDVYDSFYNAKWSYVMSGYDAEPLGMKVFDGRPQRIWTEAELNITPKT ADVDARVKEGSNGLEIFVLTSEKGWPYNRFVLGYTERCKTICKHVYIRREIMRVWYII QRGLKAWWMEATAEGPPIHIIIGTSGIGKTCGLGSFLFYSLLHFNEGMLDVVAYFLED AAFLIYNREGEGRGTVDEYKRWESAVSAINKMKSESKGHIVMDTRYAMEELYTQLPSD VWSVTFLTFPKSAHFDEWSANKGGHHIIINCDDVRDMKAFMAWKKLSIHTGEKVSNRR RYELKREIEDEWKIVEGRINLIGPLPRYIFGLGCYEWHLKSVYDALRAMKKSDKYCYN DIIEHTADWRNDEVMNKLVKIVRVKGNVGGVESYKCQALSLMIRNMMMN XP_844178.1 MRRPRDEHVAPPPAQPPQMPQRYKRFSDWKMNSTVRDFLLEEYA TLPKMNLHDFLNQCFSNTYNTVNVSMETFVKNPEDYIKDAEILEDIQGLYEFREYKRI VEKLPETEMWINYLKEEKIFTISDWVNGATPEVKNSISPVARGRLNAVQHAVTESEVW FLPRVSVKSCRDVYDSFYNAKWSYVMSGYDAEPLGMKVFDGRPQRIWTEAELNITPKT ADFDARVKEGSNGLEIFVLTSEKGWPYNRFVLGYTERCKTICKHVYIRREIMRVWYII QRGLKAWWMEATAEGPPIHIIIGTSGIGKTCGLGSFLFYSLLHFNEGMLDVVAYFLED AAFLIYNREGEGRGTVDEYKRWESAVAAINKMKSESKGHIVMDTRYAMEELYTQLPSD VWSVTFLTFPKSAHFDEWSANKGGHHIIINCDDVRDMKAFMAWKKLSIHTGEKVSNRR RYELKREIEDEWKIVEGRINLIGPLPRYIFGLGCYEWHLKSVYDALRAMKKSDKYCYN DIIEHTADWRNDEVMNKLVKIVRVKGNVGGVESYKCQALSLMIRNMMMN XP_844179.1 MRRPRDEHVAPPPAQPPQMPQRYKRFSDWKMNSTVRDFLLEEYA TLPKMNLHDFLNQCFSNTYNTVNVSMETFVKNPEDYIKDAEILEDIQGLYEFREYKRI VEKLPETEMWINYLKEEKIFTISDWVNGATPEVKNSISPVARGRLNAVQHAVTESEVW FLPRVSVKSCRDVYDSFYNAKWSYVMSGYDAEPLGMKVFDGRPQRIWTEAELNITPKT ADVDARVKEGSNGLEIFVLTSEKGWPYNRFVLGYTERCKTICKHVYIRREIMRVWYII QRGLKAWWMEATAEGPPIHIIIGTSGIGKTCGLGSFLFYSLLHFNEGMLDVVAYFLED AAFLIYNREGEGRGTVDEYKRWESAVAAINKMKSESKGHIVMDTRYAMEELYTQLPSD VWSVTFLTFPKSAHFDEWSANKGGHHIIINCDDVRDMKAFMAWKKLSIHTGEKVSNRR RYELKREIEDEWKIVEGRINLIGPLPRYIFGLGCYEWHLKSVYDALRAMKKSDKYCYN DIIEHTADWRNDEVMNKLVKIVRVKGNVGGVESYKCQALSLMIRNMMMN XP_844180.1 MSRANSPAAPQGNNANPQVPNNIEGPMRRPRDENVAPPPAQPPQ MPQRYKRFSDWKMNSTVRDFLLEEYATLPKMNLHDFLNQCFSNTYNTVNVSMETFVKN PEDYIKDAEILEDIQDTDEFQLLRADIYLSEEKIFTISDWVNGATPEVKNSISPVARG RLNAVQHAVTESEVWFLPRVSVKSCRDVYDSFYNAKWSYVMSGYDAEPLGMKVFDGRP QRIWTEAELNITPKTADFDARVKEGSNGLEIFVLTSEKGWPYNRFVLGYTERCKTICK HVYIRREIMRVWYIIQRGLKAWWMEATAEGPPIHIIIGTSGIGKTCGLGSFLFYSLLH FNEGMLDVVAYFLEDAAFLIYNREGEERGTVDEYERWESAVAAINKMKFENRGHIIMD TRYAMEKLYTQLPSDVWSVTFLTFPKSAHFDEWSANKGGHQIIMNCDDVRDMKAFMAW KKLSIHTGEKVSNRRRYELKREIEDEWKIVEGRINLIGPLPRYIFGLGCYEWHLKRVY DALRAMKKSDKYCYNDIIEHTADWRNDEVMNKLVKIVRVKGNVGGVESYKCQALSLMI RNMMMN XP_844181.1 MAQSEMAAGGTNHQLVASVEGPFALAVPTSLTDIPPEVLLSEAH VRELPAAQEDSVSTDSYSSVPHHQQMLPETTRAPPRRRRALRPSISREENDERNIKHT VVVPEMYRFSKRQVHGNVWDINSELDPCENINVYPERGISLSLDNLVRYSPADLFSRA WPLVPAPVKGASFAPFAQLPELPDILNVHHPDTEEVARFIRFTAVEFARRQRTLSPLF LRCLLVKAAASSETTQAELVSLTGGTCFDEPVRSAWEDENSCDDDGDDIEDDQSVSRS GVPVSDVDTVSSFDWAIAVVSDSLHPRVPMQVVRMLHKVIDRCGINSTNETLRSWGMR RVFRILLQQVEQESCSFSGGGGDSRWLFGAIELLFKLVLASKDIDSLLSIFRWVYRHP DLADRVTLKGIRPWITSIETYARPRMRVLFPTSWVKCHDVSLAHSLGMEISRSVIGAC VVSRNGELHGVVLTTRGIYKVLLVPPYNLVCKNENISLTGCRGVFLENNQIAVQSKTV GVVTFYDAETLQVRRVLEACKTNGNSGVYVYSGMDRFVIPHFHPNKSAPFYAKFSASM SVHGTVGPPTLLIPPEAESLSVQFFLCPVRAEQCTSMGLVHVRCFNKEWLSVKVAINS KTSLLSICFGHDEDLRVEVHEPLRSEWALWSATLQNTNGVFTWNVYKDAVMIKSCAVR CKVANLSTSTTGSVDLLRGSFSGFISNIQIWHRAQCINDMLTSAKGRLSPASQCGLLC SFKMNEGSGCCLRSSDGAITWKGVISWGPPPKVPFGCDVTEKEAIPYTPLGDYYVVTN TFETAIVEDGFCTWMDANGRILEQCTADVHPKELYFLCGFTSRMYSVICDVSSGLSLR WVDTQAPPAMHVRELESMRDEETVSEKFLELEGDKGIVTPFSLSNLILHRLNAVLVAE GRCCGGTQYTPQFFSWNSTSLRTVSRLIDISQDLILRVKQPLGRNSSSLLLLCVCGRL LTQQLTWIKRGCPPHLVAIIVDMFETLSRLEPEDRGILMETQFVFKELHRVLVMECVS YDYLLERILSTERLTDIKDLLVSDYIPSLVTSVLEKDLKKPFMAFLNRLKEACLAESQ LILTGKGASFRPSCVLLPTLLSILSRKANPQWHLVAIALLNSLCKGTMRLFNGLFSNV ASGHTGGTEELNLLKQTAIGVVVFPVVHYLVDLLLDSSIARDVLTLLNETRNVLYPYA DILPAQYVNHNLTETHRIFVPAACTHTTSLDLRYARSIEVLHEHPTTRETNKVRVALV TNEGRRTFVTMRDEHLTIECGGRVEVTVTNTKKEESLITVNARVMLQTELSYWISDIC LALGQAILHNTQQLLLKGLPDTVVFPQNSIFRGGLSEEVLKKHNVPGMSDEVLLDKED QKELTDLFEGFGDLLSQWQELYRKKHVPYQDRLEYVMRAFCAAHAWHLRRTKNVSLLK HVEKSLDFMRKKSYLILEALQQGDEKAMLDRARFLLDTLNPRDRLESAMAEQQQTEEP VTRVSSPTSVHSVANVRTTLSKSAHVSHERTESWNVSALGRFTSSLSDLSKHCHEEVG SMNRPRIPLLTSDAISELVATNTESISTLVFKFLLNGCNGVTNEDLVRALVEKARYAS NNKAVLRLQEKLLSSHQLDEDMSWLIVNSHLFYREFIRSRRREYSNEASNNVRTNSGY SEATLEDLTEVHYIEPIIGCGFQRELELQRATCSFFHCVIHRNFSRIVEAKLQCEASR GMEALSLCAVLCHPWDSVDLSAINPSKVFRVLKTYILAPIADTVVTPLNGSSTDIAWH RFLQDRGLFNLLYRSVIVPNSLQPAADGATVVEGDTLGVHVEGADICFLARNQWRAFK AEEAFDMSPAQRDCYAALAGGCVLADMAEVLYFEITLELALNEEVFVCIGVTSSSISS CESVGKDCSAAFCSDGTVRYSNANVEFSTPWSVGDVIGCGIMAPSSSLFFTRNGEFLG IATECTFSTIIPFVAVQTDGMLVKLIVNFGENAPFEFDMASLHSSCRTQTVSPVMISD ASFIAVHYLVTVCLKNLQRLGQPGFNANGDSICALLEEASVFLRDVVVELVTSLKHLY SDESTHLLKRQRLHIGGVLLTRLFRIVNVVIDSFRCSLVSRSAHLEILRICSITLSEA HDHWVKARAARSLGHMARTLRQDCFAEAVEALRGSMSPDVIVNSLTELARAKIYPECS QRAFIPRWTGGRTRVTGGGAFYGEKPLPARGTHKVGFRIRRQMQMGHGVGASLGGCYY IGLSHGHTPVTTMASLISRDDVYILQDADDGDQVPHLLLRRQTIPRNSQRRVYGCDEV VWVELNADVGEITYYRENMVRIGLAFANIHRVDDLYPFVFHFNEDAVCDIIADPSQVK ESCELLLSSLRRGVAVSVLQQLHVTPYFGPAISDWIYSFFVRSSYDLEKCIVALAILG GERSGLFCQHKTHGPVVVDSISESASRAVVYLEADPDGRQFSTDVADLKPSFVMPALF SVSEGESLRCCGWLTSGLRRILFEASAVVPLMNDEKEQRMDIAENFVKTITDAMNMEY TALQIIRHETTNQKGSVTLLRRNAPVSATQSTSSSFRMVNGQQPMSRTPVVIDRRFST KSLFTPSPSIGVTSAAHYSAVRGNVVLEDVFSFAVSITIAESKGTLMYIGVTAETEEP AEPQAIVRCENIWALCNRDSEGTNNTNCFVEPGMIYSAGQLLFDSDDVVIIKVNRQEG TASFSRVRGGKCVDFGVLFEKIPEEKKLRPFVLTGPDSVVVFSFLDCHTFPARNTYPP SNISALTRSTPPVLCASCGSEMLEAWYEGEGGICLCSECFNSWRYPKCMFHFTDHNDN LTDYLLSQHSPKELVVGSIVGFMETAAFAWMRDKSVNVQIDGSTCIATDGAAFAVLDD FSTYGDSRVDVTVSHVAGVDGFSFGGLHSFDLLWCVNNLLQTSVSIKSNMILISPTVI PNSSKVVVSMLFLDEDIEPSPVDASAIFVGVTSEECDYRVMTSAEFERRIAHGNIWGT WSDSKTKAATPRSVYLSVDMTKGSIMLSSSLRGLHLHPTVATCNCPIEEDTNLRVIVF TRTPCQVTSTGGWVSVNDDALDINSSSVAVGLIPSNCIGPDIGIMGCNNLLFDTLSYT MAQCGTAWKVAPRIAEEGTGILFSIGDTITVERVDREVAAYRNGLLIATYTIPPETLL HRPRLVAYLSTRGMTATVVPPLFGKAHLGRVVRTCGSGVGVVKCLCPCQGKREYVVRK RDVRHCALSADAAAIVVGAKVAFKAGSLVQPKRGLVTSIGGNTVNVCDETDKRVCFSL DKNSIYVLDNEGPHKVLQPPYPALKAPDGSVTRVFVVGSTKFRLLRNESYNGIMFDVR AEDSVVLTGLTVLTHASGRHRVEVFFKKGSHQMHERTSGSWTKIFSNFVKMRAGRQFS VTFHGIRIEGGSTFALYINATHNFGVGHYAKEGGCSGAVSSEMDSDGTLTVLMGRTSE SSTPFTDVSSNSCGFCGSIVYVRSEEAQALAVEETDSVVWREWDERNNDGCSDHLPLV SRPQMSTLSERAEFVVTVFDGPVVLRELLLPVFVQPYVGHTIGPMSFHVSLYCADVND STEGVTSRERNWVWVHHEVLLPKECSHRIVMNKLQLKMKRGTYVFSAVCERTMGMVRE RGRERIRCFFTCSEQGHCVSNTFFSVRGLVGNILAVPANPMSVSEVLSAISTGGHLSQ NNSASYNGIMFDIRSKQDVLLEEIFCISQTTADNINVRVFWKEGSMKGAEKVPSKWKE VATRYLYLADKQTFSPGPLNLQLRANQMYALYVNTTSSCGVRFYNSSDGHVGDVGDEF ESDGVLTIYVGKKSEGIVPFLEIPSEPRAFRGRVTYRLIVQRRLLGRNMAVFPAVQGF VITRLLTALVAFASGPFSVTQPFEDTGVIESLGKLVKARPGNVGRQEISEDLLSGVVN GNLTDALGDGVVTLPFGVNGVAYFNSLCKGDLAVIALGSGEFSAGELVRLVSDPDIKS QVAVEVGRGGTPVCVTVPVDCLVPVIECGWCHQPFAVESVCQATGTVHFPALREEEHL ISILARYIAQRWNLSFDAGSACASSLVRHTRSTNFAADRIIKARRHDSISGRALDSGS VEYMLSPELLHEDGWNEKCCSFACPFVYNENLAAFVSLLFTPLGDSWGVAFVTDLPLR LSSKSRPKLLQVSLHIVFGDDIEQDILYEPATYYAAHGGPLHEQLGVFAPSQYCFFVK RRGCDCLRLSICEMTFPPPTPFMSSVSHPWRWAGGYDTVELKPSEACELEGVFSTGAW PVNKPRFWKLGAVSATSANVFFLEVLVQLFRPIFKINWEGSRNSVIHRSFSLARDEEL TLAFTADNDFVVYDEDDNVRCHVSYREHVGSSLKGISPKIAVMNVGPHPTSLYLHSPR EVIDRGISTRSRSWSEGVVEYTPVWTLCNPSKVVISNKGRTASCNDASGQAVIGSPLP TVGLSGFVVQITRSDRAGGDSLGSGHFAGIVVSTFDQLEPHFVKLREQVDQVWIVQDV LDGDSLPTQERIPPIDSSGNTMFLAGTKLHFLLDRDNGTLSLARNNESPRVVFHNIPS NLSVSPFVRLDHANASATLATFYGGALGAGKFRAPPSVVLASHPITPTILRRIPFRVV VSTYTVLRHVLYSLPSDRVTAIELIWCDHCGNSKLAYRLSNALKQLAEVGAFSREMIR VEDKKLEEFCGQTAVRRVVDALSSPLDKPHVFIPGTADEGDTFIRLPSAYEVIGRVGG SSMVRLLYFDDNTTSKRTLHIFSHDDPEITVTSFNVVTKHPCVMYQEDIMQSLDSSKS FGVITHGWFDGTLNSLDMERFSKQQQKSIVMSLLAALEHWHLHSLIHGNIHPKNVYVK TGRGATVTCAVWNVYTLRRYDHFASPQLQRQGTRDMKGDLWSCAQLLRMFSTFLICEE GFRSAAGMLEKGNMTISYILDQLREFADDAKKSAGEETIFLQTGRQACIPGNSCSGIM FDVIAKRNKVNIVKVYFVSDTTSTATVTLFMRNGTFRGVEEQGGEWQVVMRRDMELAR GVEAKVEGFESITISPGEHVAFFLHTTNHSSIMFYTVSEDGRPGLSNVALEDKYIGIT AGRVTTCTLPFSSIQKEKQLLHGGITYTIPSQSCGYRSYLCQRVNARDLQFNRPVGGP VTVESLGRGLILCESGEQRLIFLGGTRMEWVQWETVSRVSFDDSPAWRQLEDDLRGVI CGRYLSLGSLNPPHMQSSKLQFNRRICNQCAVTDRLTTEGDFFWWVSDPIRTSCIVSV KEISSVQGSSLFVSSSEGTDGEDLSKVESLDGKKHIFIYVDELGRCVTSLEDGGYRIV KKLQDDLSQAALFLVYGPVPTCEDIFPLSVFVNARSYNCDFTQFEDDTAVFSYDTSVP LEHNLLFNGFALKCCAVPHETPKFSVASCVTYRSDVSAVSLPFCDVPQTKEDAERDLS PILERQNHRRRLLLKFQRQQPWVEEEVTFSASPQHVTISNGCVQHVSSIGPQSCTVTK PVIEGLKLHEIELLVCTSVQFPARVTFQHPPTAWFVDVPIPIIPVHGESLILPTSSVK CVRLYIRVIPWAKRALVVVNGETVYEVLQGRDCPADMQLSFTLSAPGTSVKVVHWRVL HKEDSPVQRSAMDTIWTQLMIDRISPNVSQGMGSLGQHSWNTPAIGIQSGGESSLIPQ VEEAMVSYAKKLIGTVIMSCNSSDTLKTLQHLLPYTTLRNDLSSLTALVRAEVRRSSF FLLAAAYACLAAPFAAQSAENVEVSVNLIYVSLSLDGVIHLLSTSFGSTLMLLLLRTA TAHVRAVRNVALRCVLQLLKMSNCPLPSHEALCASLAPLLAVISSMCQRGKMSCTFVQ LGILLVSELLQRYQLERPSLPAPGRQSCVPYAIVICTKLAIEAVTSKPPRPLPEAFTR GQQESHRISFELLTGPTSPEGYCSCYGVFSQTFRCTFGSKKFEVVLNSARCGNVVVGW NMDASLPGSLAAGTSDKDQSSASTLLPSCGYLIKPNGKIHLCLSRKKESQPLQVRAKG GDIVLVTCLYHEQAVIFNLQRESKNETTTRFETFPNEMLALPVFFAERREDATFHGEQ LLTEASTGMDVSQLYSRFQEHPHRLDTEVVAQSFEFYAELSLFCQTFSISEKLLVSPN GDASLTVPSHDLVEYTHLLSFLGADVLGNDVPIERLVPYVKRLQIFDTLTAVFSVVVD IRRSTELFEMWKKLKSLCSAESSKKIQNETMRPFRNRTGHKGKVTIHTMHARASVRYG FYPTLMRSVFGQLFAQLQRSPISIFYVSPMFTVKLAGFGSTDVGGPYRDILSQLATEI MSTHPSGKFQQNPLFKDCGCDGQLAVMPNATMALEFQLFPMFEFFGKFLAACFITKDL LAVEFPPLFWKLLLSEETSLRDLRAMDRDIMRQLTPEALAERTAEELEERFPGLQESW LRFCSENSHLRMREELPPVDLRTAGILAEHIASIEVHKYDVVISHIRHGFGQVIPLYT LNAFRWQQVELIICGTPKLSCQALRDVCEVSLPSNDSQMFDEVIETMCDKDRMLLLRF TTGQTRLPLKENIKVKRGGTRDSLPTSNTCFFTLRIPAYSSIDVMRDRILYAIRHCEA IDTDGLAQEHIVLDA XP_844182.1 MRKSALKLLPLYVLFGQLVALLNSFTGVSTTKLINNNASYPVLQ SLTAYAFIFTFYGPLYLFLFLRHRHETFKNFTLLYRPWKYFFLGLVDSQANFVIVKAF QYTDLVSAQLLICFSIPCVLVLSYFILKMRFSITHITGCVVATGGLVLLILLDADGIS RTEVGPNALKGDLLCLLAASLYAVSNVFMEYLIKPGNSNVQFPSAGGGSTPRDRIAES NCETTINEHKHEGETVNVSPRLTATEVAGEGESRDVPAYIPVIESISFMSCFALVIAT IQFFVVEWDGFNSRTQVWTSEDWLYQMLFGFSMLLVYTGIPALFFMKSAVFGNVSLLA TSVYGIIWNVVFFRIYPTPVFFAAYVLIIIGVLVYALSDVRWRWCPRANYPCGDTPLL ANSDATQRGGENMERSLATGNRNGLP XP_844183.1 MPRTFYRRTATLCVSAAVATFTRRSSVWLQELSSPVSGSEQLLA CMDFLDAHKGQWRIESTSFPPPAKLESVGNEPGLQVLRLSTTYTAVSNGGRGVPVLRL IGYLPGVAPEEVHRHMTDLKLRRQWDHNYTMFCRWDDGTRKFIDPLGLIRRPMEHVTR KTPVCEGDRCLLLPDVTSCVVDSGWFAHRVGNSLLEKFGIAERLFIYQRRSLCYTKQG GADANPLRMYDVLYSGDGEMLNHARTQSERFAEWMRSCSGGRKVSLVSMNYQHVLIVP ISDVTAQVWKQPDRLSFGGSMVSEENTKAVYNLFMETTSHFKETRKLDGTLVVMTSAN DASIPSHIPMWLQRKLTSVFSTYVYQQLLEAILSKRRDLNSEGKF XP_844184.1 MRLTSRGSHNSSQVVYLLDTREFITDCSTVRQVTHFAHVHRLGS PLWLSCELASALGLTLRYMCSPLNIWSPLTGESFVQLASSPALARDTLYKFPINKEFL APGGVLPHNSGEGSLMALSAAASSDGKPRWYNIPKDVSHLFVLPKNLCQLSVPVHRGG FRPRPFNLWVSTVIAVRMGGLAEAVKTVRTPSSFMTLVNADQMYPSALLAEKELECVL LMRKEGRLGEM XP_844185.1 MKSANVPTENVLSQVTHLTPVDVSELLVRRGGVEPVISPPRAGV YFGQLPHGFGRMVSETKNHCLHWSSHDVTRSPAVCFPVVGDILRREKRSEMIQLTHKD GATSFFAPQKRERGRIEALLFLGSAEGGHTQMFRPVHNSWSFHAQMLTGTERNGLCTT SQFMELFLKKLVMWVFYYLARTSATYITIYNLEMAFPILTAISLQDRRYLVEEAANRF ALGMLSYYDDRIICRQRPPVNAVLLLSTEELRSVTSVEQASAAFIYRCQMKHNTILSA LQYIIEYWGKRSTVFRSSVIQQAVSIILSHVSCTYSLLPALGAKSWIRTCTFRHMLLL LSQRGETLATSIVSTLRSSRPLKASRCAEFSKMLEQLVLTEPLAVGPVSDTSEMHEVE DVFFLESVTLESNPNTKTVWKAEKNTFRFASVPSADIPSVSDKQLIGNSADLLFATAL YSCGRLQFSLPLLKCAHAKAETCLKLHLGGDLFVDSDSDSEKVVWRSLRMGKRRRKGY XP_844186.1 MEPDTDAAQRLKEEQEIRERDLKRQKEKEERERRAAAEREAEEN RRREAEERRIEEEKRRKRQEEEWRRLGSDIIQDLPPIPPSVVNDGLVDMWYLDDVTSK PQLCLASLKKGRKRDAPVPTLQQLKELGIIYFNVSLNDFSVVRQIVKERNYKHTDEVK LSQTCKDEQFLERWYQEHYNEDEQIRIVVDGSCFIDVRSKQDKWIRIHAKTGDLFVFP PGLYQRGTLDEDDFVALYRIFRDSTRFAPIFRSNPRAESQKVRLNYLMLLKKGNVGKE LGFR XP_844187.1 MVIDGDLVALETLLQANRRLQTHLRERIDDIAHRLASMAALIRA LRAEGVRPKIVNRTTPLMRADQDIVFKFYYCNMVYAPPLFGRLQHNVLPLLSKPRWGH RAVQHLKRQADCLGLGANAESYTKQWHTVADALNYDSSRVKPVSAFQCYLHFRHTMAT SQEPFSHEEDVIIYKHVPEPGMWKQLERKILEKCGRRRSAFQLAGRHQKLLSCDYQCT DFSEDVLKQAVSLIDDDGDLTSRALIDFVMKLNAGHKLPWVTVEAIARAARDYGQSLL TEDEHLRRSIHLVLLSDADYTTSSEAKALLVRTLHWNLMVSNAERFCVKYRYSQISLE EAANRLSSSVSDVRNRILSAVLLRHNSVYNTHFANVSMTLFGNRDAAMICFVVSREYE RSRKRMLPSIRIHL XP_844188.1 MSEEHANDSTLRVKVATINDKGEEVYASSLSHDGTYLAAVFGDG ALVIFNPSTFSALDSSAAGKGYDNVPCTAVQWLESSEGGLYRLVSVSSAGGVFIWQWD GAALHRTNRVPEKGNEITCLAVSPECDMFITAGSDRTVRLYDADGTLKANLQMAHDES GVSRLAHTSRIFSVRFVSQGVAVSGGWGGPLQVWDLKANEARVEIRGAQVSSDGIELI TGTNCVLVASRRQKEQLQVFDCVSGQELADDSARLSMALGELIPLSSRLCKTSGLVWT ITSSPSKVLVTSYSTGQLIASYDSAYDLMNISIFEGLPNNAYISCAEGKLLFATVKQ XP_844189.1 MRDEQKEYATISGVWTRLILFSTLATAVIYVAVGLFACRRLIRT NWQWILIAVVYFAVGLIHAFFSLSLLCLAIGCVFWVFGAEPMSLLEILTYTTIMVVHM CFFAMGKKTILHAL XP_844190.1 MESMPSEEPIEVFTEIYKPTLSVDVTAEPPEDTSTGTTPQTSVT PHVSTNIFVAGVPPTWDENNLYQRFKEFGEIVSTKLVRQRHFAFVMFRKPESAHAAIN AMHLTHPTPNSPVKLHVSIAMHDEGVDDLPNDRIFIRGLAQWTTKEHLKQSFAPYGTI VEAAVLTNHLGQCKGSGFVQFSSVEEATAAIEAKKNIRIEGLDSELEVKYSETAEVRQ LRQERNKNRQKYSPKYRQRLSPFPFFPQVPVGPPMQTFPMIGVPTSVPVFYPPPPTAT AVPSPHVIYPTLVTSPPLPMFTTAPTIFEAKTEAFPSSGDVHLSGVSLSEPVLSSLLQ RYGDVSAMELLEDGAAAIRMADRNMHTLIVQELNGLVFSNGQLLVARLYA XP_844191.1 MHQTQAYLPYGFYQPQQAAAKQQPPVSEDMRRMFQYLEGLYKPE TRENSILELAKDRESYHHLGPALWYGVGIMSVLLQEIISVYPLLSTPHLLAKGMANRV SSVLTLLQAVAFHESTRRMFLDSQMCLFLYPFLRGMNEGTEGLRLTSLGVIGALVKTD DHDVVQYLLNTEIFPLCLNIMESGTEFSKTLATFIVQRLLNSEGGLKYVCLTPERFTA VATVLGKLVESKDCSTRLLRYIIRCYLRLSENQRGREALSRCLPQALRNATYKQALES NTGLVRNLLQLLVNIGDAGATKLHESLLAGGKPQGGDASA XP_844192.1 MSLGYDARKVEALIRTIAVSSKCDVIYAAEVVKQAKDNQRKNQQ EYENLVSCLLFCLENFDVVEGGTAEVKCALVTLATLLQLDLTANQVSQCNSYLSICLT HVQDVSVAFVASRAFGETLVTSMTSDFVQEQVEGAFLAIDLKENITHAQRVCALLMLQ EVVTKIPMRILDMLDSLFEKLWGMLASSDAMIREISHLLFMDCGKLLCSRSPVNRKKT VDSLLHQLKFNLAAKSRESNMAGLLAFETVVMTSVGTASQPRYEDMSIMLVPYVMTGG STNIAELRELLFRCLIVLCRYSTTLFITNQLKDTVGFALKSIENGYQVAASFNMLSEI IVLVTDVAFRPFVNETCNAVRYVSGKSSKPCWEAVRCFSVICHVCPPVDVESYIEPCI ENIFRWGLSLPLIESMPHIIASSSAKYRSRLEEGLLDMISVTLCGLPFRQQPGSKGTI TMKVESEPTESQITVALDALVQFGFSDSELMGDFLRDSVLPLIDSESVSVRTAAVRTI CTLLIPPGVKGELTMARRICVDVILSRMLVVGLSNPDPVVRKGILSSFTEPFYPFLKE VQFVTSIYAALGDEDISCRVAATVLLCRMTFHDPSHILPIFRKQIVQILHTVSTSDNT GAVQSGLQLLDAAASNAPQFVMNFADGIIKALGHHFSTVNIHSSILQHLLRCCTSVAH AAHFFGNTDAIFRVEVDRAYMLLKALPLDSESVELRLWCLRFLSATLGPQIEGRSPYE VYPHLYQQLSGIVKNNDEDLDVRLEALRCAGVIGALDISIFHSTVVNRTCRHEEMKRN EPSTLSHAMCCRVVIRSIASLLDLSEKRFSGGKDNVLRVGIQTILNIAESCPDSRGEI AVVIPPVVRAVSELSACRLLGTLLHELTQIIRYVGVAALSHAHLLYNLIDESWVKSSK YRFLAVRLALTLVTLEADGKAESRRSNMRMSPIKFDALNDIESSESLRYAIIEYILKH TQTLKTCVETVVTNLLRAAQRCPIDFALSVITTLRVVCFRLHVDEFAGLIVRGLLSCL KTRLSTSMRATEQNAFVSRIMGVFCVLMTVLQSEFVKYSSEVLRTLKTLRVSNNELIT LHGTLMKGGVCTLSKGFVTEHQKQVVRLLKECEGIALRHVSDLDKVLSTRGNVDEKGW NSDDGERPLPFSEKLIISTTRTAPLTKEEWLRWLNDFSCVIMQESPYRVFRCISLPLT ANTSPLVDCSPQFTQDIAPHAFRALWNFASASVRSSLADFFFQVLQQAMESSAVPDEV VSALLTVAEYMDNVGMALPIAPSILSRCAWGRGMLAKALYWCEAAYRKDPRGTAKYLF SLYRELQQSGLLMVTGHSESLKLLQGCIDNERSESDGSDEYSVKWLSRQESLRRMCGV GGRSRSDANSSFNGEDVKLDPEMENEVNTLYALSEAGDYDAVLEQWKVLFQKYNGRDE QKREENTLFYVSQCAADASIRLQAWDTLEDTTRWQLNDTVSYHVSRAALSIHKRRYEE ATSAINEGRKLLLEDLSGLLHQSYTRAYEGLVIAQKLSELEEIVTVKRAEVVSSTHHL SRVLRLWSQRIEMMSSTVSAWKEVLGVRGLLIPPGEDVATRLRFVNLCRREKAKQHER FILCQLLGYRCPTSEQLMHSNANPRVVMQYISFLSANGELGPKSSYGLERDLLKRMID THSRNENAFLLSSAYARLGSSAELSEAVQCYKAATTYCPKWFHAWRMFAESNAEMLDV TFSDATCAAAIEGYIQSIKLGNSDSTIIQDVLKLLTLLSRHCDQESGLKELRKRVFEV SPRAWKLVVPQLIARLDSGSDGSCELVAEILTTVSFDCPLSLIYPLNLCAMSNSRRRR KYANIILDKLQSKHPLVVNQGRILVDELVRTSDLLHEQWYHMLECSATAFFDRNDYKG MLDVLLPLHEKLHLSTDTIVEAEFTCKFGNKLDRAQEWLQSYLRTGSIADLHSAWNIY HAVYQQIDKQIRSQSKLHLSFCSPKLFEARNLAVGLPDAMPSEDCAVRCTASFNGTLT VIGSKQRPKRLSVTTVDGETQKYLLKGREDLRLDERVMQLFRLVNTLMMTDSRTCKNP GFQIQRYSVTPLKDTVGLIGWVSGCDTLHTLVTKYRKFRGIPPELELRMMNQIIVCDR PKTYDHLTMISKVEVMEFLADHTSGHDVRKAMWASASNCEMWLEQRQVFTTSSATTCM VGYILGLGDRHPNNVMIQRTSGLFVHIDFGDCFEVAMTRQMFPERVPFRLTRMIRNAL DVFGVDGPFRSIAETAMCVLRDGSRSVLAILEAFIQDPLISWRLLNHMKKEPGDSTVH KNIDEQSEAVGPRMSSVAFPGAPVRDDMFTVDSKLTRCASIPDDDVVHKGVKVFQRVR SKLKGEEFLRTKGDTKSTDPKVQVARLIVEATDITNVAQSWAGWYPFW XP_844193.1 MASGGSVIGLKYNGGVLLASDTLLSYGSLAKWPNIPRIKIIGPH TAVCATGDYADFQDMTEQLESHVERQRRYINDVLRPDEIFCYLHRHIYHKRSNFEPCL CSFVVCGCQGGVPFLGGIDSVGTQWRDDCVATGYGAYIAIPLLRKALEKPGGLSREEA VEVIKNCLRVLFYRECRAINKFQIADATNDAVEIGKPFEVETNWEYEGFCFEKTAIIR XP_844194.1 MTGWNQKMAKMAFRRSKFRRLAWGDGDPYPYTPRATFRYQWDDW PLWEKIWHIGIGIVGVEFAMWAYYSKLNSRMDWAREEALRRMRLRREAQELMILEGEK FDSDIQPDRR XP_844195.1 MLTRFDVRSSRVKGISFHKTRPWVLCGLHNGTVQIWDYRVNTSV DKYDEHSGAVRGVDFHDTQPLFVSGGDDYLVKVWNYKARRSLFTLKGHMDYVRSTFFH HEQPWIVSSSDDFTVRIWNWQNRSSLACLPGHTHYVMCARFHPRDDIVVSASLDRTIR VWDISSLRVRKQQPGIAQDLLGTSDVGLKYSLEGHDKGVNWVCFHPTQPLIASASDDR TVRVWRISSTTCTEEVQLRGHTNNVSCVVYTKDYLVSNGEDRTIRVWDVKTRCSVMLF RRESDRYWMLAALLEKNLLAAGHDSGVHVFKLFRERPASTMNGNVLHYVHGNVLHSYN MESKTESKFSLSRNLHPPLTLSCNPVDNMAVVFYDKDGGCASTLTIPKPGCTVDADVK KRLGILAALFYAPNKCAFLDKNKNIILCNAHGDGEKVIKHEKNLKALFPGPAGYILRQ TEEGMELFHVAQQSVAAEVPITETKYVVWDKDFTKVAFLGITTVHVMTRRLRSITFFS EPSIRIKSAAFDEQRNILYYTTSDHLKYCNLRNGECGIIQCLPSPIYLVRASGDTVWA LSRNGKVVVMELNNPELNFKLKLQQQAYRDVIKIIRQKQLRGQALVGYLHKHGHDEVA LYLVSDPLTRFNLAVECGAMDVAKTAALELNQPALWRRLAEAATSYGDIHLALLAHTK TGNFHGASFLSLITGNMSALDHMVNTVRDENFGLHYGMYLDDAHQRVKTLTNTGQLPL AYVAAKSTGLDELAASLLEKMDPDVAERMRQTEVKVRLEAPTVTPVTDNWPMLQVEES VFSRFLREPNLLSGAGVGIEEEEYAEAGAGWDDVDGLPNSDDGSGGLDGVEGSEAAEG DGWGDDLEIEIPAEQTAGGVEGAPYVVPTERPPLTQHWVETSSFPAFHVAAGSFSTAL GLLRRQIGLGDPAPLKTHMLDLWATVNASRPSWLIPSAMFPLTTYPSEEQRGAASHSP LLPDYIPQLTERLRSGYSAFVGGHFADAQLHFVTALHQAVFTTCRGEKQFTTLREIVT TASEYARALNVQLHCRSTDGASKLSLELSLYFTHFKLQRNHLALALGQAMSKAYKLKN LKIAASVARRLLDQDPPKQKAAQASAIVAEAERNPTDAEPVDYDERNPFVLCSVSHRP MYKGTVDPIRCGYCFSPAMPKHKGEICPVCRIAFLGADCSGLANRT XP_844196.1 MEDPVRCECQKHAQDDGYSQGELLPLTAFRQVEKRYKLYRYDRR TSRRLQVPETDFSDVVDFRNLEANTAANLACISTRECHEDDRLVKCCTFAGVPGMEVF PNFLTDAEQQEFCRAALLEYGDSRRHPNHLSTHASEPRETTRYEPPMRWATVGFSYQW SSKSYCKEKYSHFPRRLRKCVERIARLCNVSQPYEPQTSIVNYFPVGAMMMAHQDVSE EVLQQPLISISLGCSCVFLMGTESRDDTPCAFWLRSGDVIVVSGASRTAYHGVPRIMD DCPQYLCPQNETEEEEGEFYWREQMRHLRVNINVRQVYPDRCEFLNE XP_844197.1 MARGGGGFGGSRGGGFGGGRGGGGRGGGFGGGFGGGRGGGGRGG GGGGGRSMEPDPPEQIVPIGTLLTASEGDLVYKVTASDSVPRFNAFVYGANKAKIGKI EEILGNTSDVMFSVKTVPGIQAESISAGDTIYISPTQISPLRMFTDPPKPRGRGGRGG GRGGRGGDRGGRGSFGRGGRGSFGGGGGGGGGGRGGFGRGGDGGGYRNFGGGGGGRGG RGGFGGRGRGF XP_844198.1 MVTGDMSTTVTGLDPHKMARRASRFVSSVKASTGTASSTGRTEM ERYFENFASMDSAEGLETIGPKGIQHLCEDLAIKRDSFEMYTLIWKLGITRGGCIPRS DWLNMVYNYNIEVPVELKRRLREWVKDARGPSFVEFYSELYDYIRGDSARMMLPETAA RAWDVLFRGDQQVAQWIRWYTGFYDCEVTRDIWRHVALFFNTGENATPYRKEDAWPTA FDLFAEWRETCETP XP_844199.1 MSKRKRCMADVVGYLDSFMACKRTAESPPLSQSSDGEAMQLSLR SDTSNENCSSSSGGSVPGPDTMHIPTVNEARVRLVKRADSPRLRGSVFETEMNFFCRE EENIADMCVYLAEVASSSRTPEALMALVLYFMEHEPDMVMQSLKRAGLQSQLLSALCK SSARSVTDNKEGLYIRFVGHLLTLCDDEVLSDASLVKFFLLSSEKRAEEAAATPAGSK HWSQRAHCPTPPKQRRQDDSALKSAVDKFCRGKSDDTATSLALKALLHIIFRYNQATS FPGEANPCLVFAELGGFEVVTPMLNDADCKDVLHLLEVVTLCERLCPSYDAALQELVI LLIRLVAREDTKCENDVLTIALRVLVNGTSLVPHALRDSNRRRERSQLAEFSTKRLMS DNTPLNVAAFILCSAVNIVKWEVASRCDEFARCLVNCPDFLSRIAELTLQCYNAEETE RNVVSGYYALLLAVLSLIDTPKVQLRVPVITAVAQATKGTETGKAVESKPMKLIVAIL QEFLLFQSTAGSLTKSGLVSMSTIIESVVKCNGIEISSDS XP_844200.1 MKRYFLIDESTGRIMRLLTGENIIRRGAEIAVKGANVVCVGTTC INVSRTHAIIKLCENGEAWLRDCESTNGTFLHSDTGVSVRLEPGFFYQLKRGNHVTFG DLKLRFEWDVIAVCGGRVPVYDSNANLMDSSQGSGMNGASNDLLRLEGTVCSSICASC SLAGTDATPPLPVTLTAASDEKTCAVVSVQLDCGVLCKPLCELENVVPYLSESTGAGR KRSRSVIKNTTDRLSKVKSLPSSATVKSETTARGSRLKPKSKSKGRVKVCFSGMCGEA TLAAKFLAKKHCWSIVDNVCMADLLIINHPPVRTPKFIIAVARGIPVVTEEFFACGDM RQVRQFAPSLVHNNCTYDAGRLFQVITSNVGKPALLGRRFSLEKVPPAWRRTARNILT GCGAVVFTKGTKSKSVISLTGATLTALYDDILRGKVPA XP_844201.1 MCVDTHTYIFVYVLTYFCLFLLPFHFSSSHQFDTRYKREGMEVA AMSQASLLKDRLLNQGANHFVIFTSVGEVLEWSGDFQNEEKRLLAYTVAQQASTLLRP GEELKRISMVFDNVAYVITTIAGPNEHFGIVVKRAVSDALEQS XP_844202.1 MNKQLLQTLPLAKGKDIHNRIAKLALYKECIALASSVSDPLVRQ HTIVEVREKWLLSRGDGGQTLQLQLASALDRIAYGRMCVSKQRLRLIPNASEKYDWGV VNPLEHHAQRIQRREERESPDAFPHGAGRRDFVPMTNWGYGNMDPDAVMRHKELTDRQ HFMGPHWRNKPKPVLLEDLSFEEQMYVQFQGKPKMKKTPKKHY XP_844203.1 MSPLDLLQQVESSYFVHASEVPLPKADVRAAFENIATSKEGYEV LFTVTGRGTEPHSTVVYLCSGAAAAEWTRETLNEASVEVYALRKRGPASAFQPRKTRP ALVPRNGVVQRERKLVPVLVNDDFPPPVKKERSPEPKPSVKEAPSPPPPTEEPMKRLE EENVVKNVEEEEKNRMDEMERGKNPAPVSLSGAAAEGAPKLIPKESGGKKQQTLLGMP VGGAKRDRLIEDSKKASPKAKKPRAQSKKEAKVGEATTSLMKLAKASKKSSLTGSSSA AAAANGCNTDNAKSSRDILDDEEQSDGSSRGNRSPHRDEGLLDDPEPVLEVAFTPAEN DEIILCDNAPPMIFCAPEPTSSPLKRDPHRTQAANGNAALSAHPKLTGFFQPEVIAFQ KEYSRELETDTVFEGGEYICSDRVVYRHVKTGAVLSEEEYHKKSAELMRTCVATEQKS THDAGSKNPLAVGGGGKASAKVAVERRGPPKVERQGATPQKNLMSFFGAVALKPSADT NR XP_844204.1 MSFRHFSRRSRVKWTRARRRVLIFLVLILMVPVCVCCGLLYKTL GSSSRVGEAPTPISKKTAEDSQVAAVDYILPQEYVEALRTFANNLLLKEIEGDPWQPS GKFTDIIAKPGLGVPLPYNLATVNSSKRGEWEACDRRNAEFTVERDELCKAYLSNLNN MRYIKAMSSKLLYGRTIKFRITYAHNGIKALVKVSQGRFYFEASSEVAAFSVDRALNL SRVPTTVLVALPVEHMQAAAATSPLLSQWASNTIFEDKNIKEDFVSCTNSSRHPPFRA SLCAYVSVQLWMHDVHPALETFLELPYKYDNAFAKKYFIPGSSFWPPKSARLRAIGEL NDRFIFDFIIGNSDRGMNDHNNFVYGGCGRETVCDRPMKDKRIKGLAKYAFLDHGSGF YSRADPSNNPFSGNVANISICRFRRGTYDALKAYDEPDSLYRTLPLVAHVRKVLHPFV FNMLGLTIFFTMQSRLEKVLRVVEQCLKKYSPEEVFSLPAYWEINIPEEWEGLDELPD FVDPDDD XP_844205.1 MYGNKGPPRKYSTPRGVDETSNAKKTCQKCGSKAHWTFECKGVT PSRGAATTRLSRTQQLRWGIKQQRQEFVPEPTEWEAYKERVKGVERQLVAEARAEVSQ KKQRLEDRTGVKRESEEQSDMKVIIKEEDNTHNAKKTFKTEE XP_844206.1 MVSAEKDISDVCVDVAGETLKQLGASVSSTNRRSDVVELQIAVG SEKCPPIAAALFSGFVKAACGSEAGTTKVGLTDVNIDARENFTVLRFAEAVRVRDLDF NELPGDSPPITSVVEVTYMRYLIKHSELQVFQVSDFPKCLTPRGGQRLDTVVAGYVLF SLQSSEEDDTKVLLYMKSLRRVLGGMCTSSFAETVLSQAWGNMDSVEPSHVTDMLEDA QMAITDFWNDSDQDTAIRTKVVFLMKTVGSNLREYFAKKTLDAGGVFSGSKNITEVAL GCCDEWVNMCKRLTTVDWGSSWGTAFEDVQLITVRDRLSVVVSIRDLVDEIVELLTAA DKLQSLRTETLWETFDSLDIFATTPAVEQLWTNCLDAFYRRLQPVEHRCASALSDFFG ERGNLAPQTILNEVVKFRQLIRRPAVSKELVNERDALLAKLNERLQSIRMEFERRSES VEDDVALDEEDRRCQAGRFMPGVVNNMIWLRQLRGRTSDMISMCKSLLNDLQNAGEFL RSANTLMEEIDDYVIETFKQWTMDVEGSKHVLMLDANAPLMDIAGDGSVRVNYPERLV QLIREVRIFRSLGFRISTAIQEMVDQGIRFYRNGVALKQVASTYNSMKENIIPCTQAM LLEPALAFENIITASGDRKLTWRNTDDAERFIGKLRRASQALTDANRRLHKLHREIES IVIELFSIDLLRLRERWTGKVRLIREKMETSGFNNMESWKQFWDMQIYKALEHQYQLG LESLHEVVAEIKADITYDPDTGLATLRPNIDVIRGQYYQRIKDFITFPLRFRGCGNSD IFGAMPGNNERGIFAVMKHAAQLFKKVQQELKRFHPLLVIGRCGKGGNPSLEDIVGHT LKEVQHWEQSVRLLKQKGKEINAEELFIKCGCITICTAGVKGTVEDHLHKLSQVLHVT LRDSAQKNLSRIEEYIAEVTTALGARLTKLEEIGAANLQHAKLMEKRPAVEVEFHHLH NKNVLLQSMANKSDFDFRGVKQRWDEVTRRLDAFEREMEKQVDSLKMSVEDTVSAWMK NLERFSNQWHEVKPKTVDTPNAMKIVTERREQFKALKAEGEECIQQCKYFQLDEPDIE ELEDLERDIEEYVQMWDILVKFQEELDVLRAEPWIIMRAKCYRFEDFGRHWEEQLRTF SSSPITVHIRTLLDSWMRCLPVLKYLRGDGFTPSHWGEMFSLIGVRGVTQDTLKFGDV IDQHETLLRREADLKKLHARAQGEAQIREALDDVRSWGNNAKFTLIPHPDREGVKLIT EWKDTMSALSDNQALLMSMKESPYFGIFANDANKWEERLACLDEYLRNMSQIQRKWVY LEPIFRRGALPQEQERFSRIDKEYLQVMKVVAADSRLVSLAGHTEFKDVLYTVLEQLD RCQRALNQFLEAKRDSFPRFYFISDDDLLEILAHSRNPSVIQSHLKKLFMGVHSVRFD DAKEHILQINSLEGEVVPIEESVLITEEVEEWLGRLDLAVKDTLKLHLVRCVDKVNIG AYSSQILSTAGLITFTKQTEDAIRDAKSGGLKKHRANLQAQLRELTTYAGGNSDVVIG LKVKALIMDLIHNIEVVDALIDAGIEKDTDWLWRKQLRFYMDYSDSCVLRMVGAEFRY SYEYQGNAPKLVHTPLTDRCYLTLTQGMQLGYGGNPYGPAGTGKTESVKALGNAMGRQ VLVFNCDEGIDFKSMGRIFTGLVKCGAWGCFDEFNRLKVDQLSAVSQMIQVIQEAIKN GEPRCHLMGKEINVDSNAGIFVTLNPAGKRYGGRSKLPDNLKQLFRSVAMSVPDNELI TRTILFSEGFENAVDLARKTVEVFKLSRDLLSFQTHYDWGLRSMKAVLRLGGTLIHEY LTERACGKVNPSPEEIFEKESEIIIKSLRVNTLSKLTFDDALLYNNLIADIFPGAPIK EIDYAELRPAIEESVKELKLQLVEAQIQKVLQLYEALRQRMGVVLVGPGGSGKSTLLL ILRRALQRLGKVIPQYIMNPKALPRTQLLGYMDNDTREWFDGVLTEAARKVVKEETSV LSWIVCDGDIDPEWVESLNSVLDDNKLLTMPNGVRIQFGDNVNFIFETHSLEFASPAT VSRTGIIYLSEEDVDPKMMVTSWLVEQPEDVRDKLERWINDYFYKAIDALLATGKLIV DTTRTGLVASGLSQLQGCTGKAQFALALVYGLGSYLTEEYRKDYAKEIHSMMSERLPD PKNPLDVYYDESSNCYRTFDVEPCTDLSVEDLYRDPMVATVDCQRNVKILQAWMKPVR PGIYRPFILVGPEGCGKKMLLTNLFGKTPGTRVTAVNCSAQTEATHVIQKLKQMCQVY NTNQGRVLRPKEVERLVLLLKDMNLPKPDKYGTVQLHSLLQQIVLYNGFYDTDLEWIS LERVQIVGSMNPPGSMGRHPVAPRFLAMVSVLAMSYPSREAMQNIYTEFFNIMIQSGR LQLNLPGKGAVDIARIMTTVYEAVASRYTVNVASHYMFNPRDVTSWVLNLLNYNPEDV TNAIGYEGRRIFVDRLVTTEERSKISKVIHDNLIFLVGHKSGLSEKETTSFVSWMDTS PIGKKKLTPIANEELKKPAEDFVLGYSREFADLDVQLIPEVCVWMARVDRVLSQERGN LLLVGRSGVCAAGIVRLAAYGLRMELVTLGITREYSMKQFNAELKTIMMKAGVEGQHV VLLLEDHNFTVNSSFLETINSLLASGEVPGLFAQEELDAMTAPLKEDALGEGMSAYAY FVDRIARMLHVCVVMDPTNPNYEPQCRSNPALFTRCNVYWVGTWHTDSFKLIPRLLMR DVFKSIDSRDNKKDFSLTTEIVHVHKEYINTFSPQHFKGLCLTYESIFKEKSRMISEG ITRLQTGVSKLDEAQENVDQIATDVVEKRKLMEVKQKEADDALQEIKTNMEAASDQKK NIQKIRKDLEKEQKAIEERKSVIEERLSGIQPTLDAALSAVRSIRSEHLSELKSLKQP PPAVQDVMEAVIITIGGGGGGDTNWASIRKILAGDIKEQIINFNIAGFTEATRLRVTQ FMQNHENSFKREVIGRASKAAAPMAEWIKAVLEYSSVLQTMGPMQAELKEYEVSLASR SEKKRKYEEKLTKLEERVEGLKRNFGERTAEAERLKDHAEQAERLYACAHDLLAKLTS EHDRWVSQIKVIRENQVLLPKRCLLAAAFILYLGNATEDGRRTALNVWKERLKDVDNF EFFTFMRPESMQLHYKSEGLPGDELSMDNAVIIQEQVTTPLIIDSSGQALSWLVNHLK GKGLSVEVCSVSEERFVSSLELALRFGKSFILTDVDGIEAFMYPLFRKELRTEGTKRV IQFGDRRTVDYADGFQLYLVTNSTDLWIPPDVLSYLTPVNFSITQNGLEGQFLGATIQ HEQPELEKEKLAVLQKEERLKMQLSDLEESLLKDLAESKGSLLDNKTLIDSLNEIKTQ AAEISVALETSKKVQEEIDTKRNVYRPFAKTASEIFFIVKSLKALSHMYQFSFSFFMG IFNDTLHHHAEDRTDIDTKIDALTKTFVRSVVSSVCTSLFKEHRTVFGIHLARSLYPN DCTAAEWDFFLDRAIAPEAKKSEVRVPTWVLPDSRDLYRSFAVLFEDLTPKLSLHEAD VWLQWMRSSNPEVSYPKFISQLSRFQRLLVVKTLRPDRLMAAVRSTACDMLHVKSYGD NNTLAQLLTRTEANTPILLITTTGADPSQELQAIAHQKVGRDHFHQLAMGGGQAEEAV RLLRACAESGDWLFLKNLHLVIPWVTALQKELNVLKPDSKFRLFLTSEAHDDFPSIFL SQCLKITFEAPPGVQQNLLHTYQDWESGPYDAKGTLHTQLLFITASFHAILQERRSYI PQGWTKAYEMTSADLKSASDIVLQQAKGETDWRAIRGLMEDAIYGSRLENEYDMRVLR EYTDQFFNPNVLSSAKQQMNLFAKVKVPASGKHGDCLKVISELPENDIPFVFSLPPNA DRVVQLSKVRALTDDLQLLIEARGESSMSREQWANKLRPILQVWEELMRPNPDLLQQT VSIARDASPILGFMGAEMTSSLRLVSIVDESMKDLGKVLEGQALLREDRRAEASTMIA GEVPAQWDNYYQGSPRILPWLQSLLHRATSIAKLFELATNGNLLKSSLNISTMFRPHT FLHALRQETAHSLHEPLVALRLVTSISTPPEGKTVPVCLEGLMLQGAVLDESNVLQSI EAADEAALFPMPKTYVGWMTTMPDSVTTVGVPLYTNGTKEMFLAELHLPCASELAAKA FILAGVALVLEP XP_824822.1 PGVVNNMIWLRQLRGRTSDMISMCKSLLNDLQNAGEFLRSANTL MEEIDDYVIETFKQWTMDVEGSKHVLMLDANAPLMDIAGDGSVRVNYPERLVQLIREV RIFRSLGFRISTAIQEMVDQGIRFYRNGVALKQVASTYNSMKENIIPCTQAMLLEPAL AFENIITASGDRKLTWRNTDDAERFIGKLRRASQALTDANRRLHKLHREIESIVIELF SIDLLRLRERWTGKVRLIREKMETSGFNNMESWKQFWDMQIYKALEHQYQLGLESLHE VVAEIKADITYDPDTGLATLRPNIDVIRGQYYQRIKDFITFPLRFRGCGNSDIFGAMP GNNERGIFAVMKHAAQLFKKVQQELKRFHPLLVIGRCGKGGNPSLEDIVGHTLKEVQH WEQSVRLLKQKGKEINAEELFIKCGCITICTAGVKGTVEDHLHKLSQVLHVTLRDSAQ KNLSRIEEYIAEVTTALGARLTKLEEIGAANLQHAKLMEKRPAVEVEFHHLHNKNVLL QSMANKSDFDFRGVKQRWDEVTRRLDAFEREMEKQVDSLKMSVEDTVSAWMKNLERFS NQWHEVKPKTVDTPNAMKIVTERREQFKALKAEGEECIQQCKYFQLDEPDIEELEDLE RDIEEYVQMWDILVKFQEELDVLRAEPWIIMRAKCYRFEDFGRHWEEQLRTFSSSPIT VHIRTLLDSWMRCLPVLKYLRGDGFTPSHWGEMFSLIGVRGVTQDTLKFGDVIDQHET LLRREADLKKLHARAQGEAQIREALDDVRSWGNNAKFTLIPHPDREGVKLITEWKDTM SALSDNQALLMSMKESPYFGIFANDANKWEERLACLDEYLRNMSQIQRKWVYLEPIFR RGALPQEQERFSRIDKEYLQVMKVVAADSRLVSLAGHTEFKDVLYTVLEQLDRCQRAL NQFLEAKRDSFPRFYFISDDDLLEILAHSRNPSVIQSHLKKLFMGVHSVRFDDAKEHI LQINSLEGEVVPIEESVLITEEVEEWLGRLDLAVKDTLKLHLVRCVDKVNIGAYSSQI LSTAGLITFTKQTEDAIRDAKSGGLKKHRANLQAQLRELTTYAGGNSDVVIGLKVKAL IMDLIHNIEVVDALIDAGIEKDTDWLWRKQLRFYMDYSDSCVLRMVGAEFRYSYEYQG NAPKLVHTPLTDRCYLTLTQGMQLGYGGNPYGPAGTGKTESVKALGNAMGRQVLVFNC DEGIDFKSMGRIFTGLVKCGAWGCFDEFNRLKVDQLSAVSQMIQVIQEAIKNGEPRCH LMGKEINVDSNAGIFVTLNPAGKRYGGRSKLPDNLKQLFRSVAMSVPDNELITRTILF SEGFENAVDLARKTVEVFKLSRDLLSFQTHYDWGLRSMKAVLRLGGTLIHEYLTERAC GKVNPSPEEIFEKESEIIIKSLRVNTLSKLTFDDALLYNNLIADIFPGAPIKEIDYAE LRPAIEESVKELKLQLVEAQIQKVLQLYEALRQRMGVVLVGPGGSGKSTLLLILRRAL QRLGKVIPQYIMNPKALPRTQLLGYMDNDTREWFDGVLTEAARKVVKEETSVLSWIVC DGDIDPEWVESLNSVLDDNKLLTMPNGVRIQFGDNVNFIFETHSLEFASPATVSRTGI IYLSEEDVDPKMMVTSWLVEQPEDVRDKLERWINDYFYKAIDALLATGKLIVDTTRTG LVASGLSQLQGCTGKAQFALALVYGLGSYLTEEYRKDYAKEIHSMMSERLPDPKNPLD VYYDESSNCYRTFDVEPCTDLSVEDLYRDPMVATVDCQRNVKILQAWMKPVRPGIYRP FILVGPEGCGKKMLLTNLFGKTPGTRVTAVNCSAQTEATHVIQKLKQMCQVYNTNQGR VLRPKEVERLVLLLKDMNLPKPDKYGTVQLHSLLQQIVLYNGFYDTDLEWISLERVQI VGSMNPPGSMGRHPVAPRFLAMVSVLAMSYPSREAMQNIYTEFFNIMIQSGRLQLNLP GKGAVDIARIMTTVYEAVASRYTVNVASHYMFNPRDVTSWVLNLLNYNPEDVTNAIGY EGRRIFVDRLVTTEERSKISKVIHDNLIFLVGHKSGLSEKETTSFVSWMDTSPIGKKK LTPIANEELKKPAEDFVLGYSREFADLDVQLIPEVCVWMARVDRVLSQERGNLLLVGR SGVCAAGIVRLAAYGLRMELVTLGITREYSMKQFNAELKTIMMKAGVEGQHVVLLLED HNFTVNSSFLETINSLLASGEVPGLFAQEELDAMTAPLKEDALGEGMSAYAYFVDRIA RMLHVCVVMDPTNPNYEPQCRSNPALFTRCNVYWVGTWHTDSFKLIPRLLMRDVFKSI DSRDNKKDFSLTTEIVHVHKEYINTFSPQHFKGLCLTYESIFKEKSRMISEGITRLQT GVSKLDEAQENVDQIATDVVEKRKLMEVKQKEADDALQEIKTNMEAASDQKKNIQKIR KDLEKEQKAIEERKSVIEERLSGIQPTLDAALSAVRSIRSEHLSELKSLKQPPPAVQD VMEAVIITIGGGGGGDTNWASIRKILAGDIKEQIINFNIAGFTEATRLRVTQFMQNHE NSFKREVIGRASKAAAPMAEWIKAVLEYSSVLQTMGPMQAELKEYEVSLASRSEKKRK YEEKLTKLEERVEGLKRNFGERTAEAERLKDHAEQAERLYACAHDLLAKLTSEHDRWV SQIKVIRENQVLLPKRCLLAAAFILYLGNATEDGRRTALNVWKERLKDVDNFEFFTFM RPESMQLHYKSEGLPGDELSMDNAVIIQEQVTTPLIIDSSGQALSWLVNHLKGKGLSV EVCSVSEERFVSSLELALRFGKSFILTDVDGIEAFMYPLFRKELRTEGTKRVIQFGDR RTVDYADGFQLYLVTNSTDLWIPPDVLSYLTPVNFSITQNGLEGQFLGATIQHEQPEL EKEKLAVLQKEERLKMQLSDLEESLLKDLAESKGSLLDNKTLIDSLNEIKTQAAEISV ALETSKKVQEEIDTKRNVYRPFAKTASEIFFIVKSLKALSHMYQFSFSFFMGIFNDTL HHHAEDRTDIDTKIDALTKTFVRSVVSSVCTSLFKEHRTVFGIHLARSLYPNDCTAAE WDFFLDRAIAPEAKKSEVRVPTWVLPDSRDLYRSFAVLFEDLTPKLSLHEADVWLQWM RSSNPEVSYPKFISQLSRFQRLLVVKTLRPDRLMAAVRSTACDMLHVKSYGDNNTLAQ LLTRTEANTPILLITTTGADPSQELQAIAHQKVGRDHFHQLAMGGGQAEEAVRLLRAC AESGDWLFLKNLHLVIPWVTALQKELNVLKPDSKFRLFLTSEAHDDFPSIFLSQCLKI TFEAPPGVQQNLLHTYQDWESGPYDAKGTLHTQLLFITASFHAILQERRSYIPQGWTK AYEMTSADLKSASDIVLQQAKGETDWRAIRGLMEDAIYGSRLENEYDMRVLREYTDQF FNPNVLSSAKQQMNLFAKVKVPASGKHGDCLKVISELPENDIPFVFSLPPNADRVVQL SKVRALTDDLQLLIEARGESSMSREQWANKLRPILQVWEELMRPNPDLLQQTVSIARD ASPILGFMGAEMTSSLRLVSIVDESMKDLGKVLEGQALLREDRRAEASTMIAGEVPAQ WDNYYQGSPRILPWLQSLLHRATSIAKLFELATNGNLLKSSLNISTMFRPHTFLHALR QETAHSLHEPLVALRLVTSISTPPEGKTVPVCLEGLMLQGAVLDESNVLQSIEAADEA ALFPMPKTYVGWMTTMPDSVTTVGVPLYTNGTKEMFLAELHLPCASELAAKAFILAGV ALVLEP XP_830034.1 MVSAEKDISDVCVDVAGETLKQLGASVSSTNRRSDVVELQIAVG SEKCPPIAAALFSGFVKAACGSEAGTTKVGLTDVNIDARENFTVLRFAEAVRVRDLDF NELPGDSPPITSVVEVTYMRYLIKHSELQVFQVSDFPKCLTPRGGQRLDTVVAGYVLF SLQSSEEDDTKVLLYMKSLRRVLGGMCTSSFAETVLSQAWGNMDSVEPSHVTDMLEDA QMAITDFWNDSDQDTAIRTKVVFLMKTVGSNLREYFAKKTLDAGGVFSGSKNITEVAL GCCDEWVNMCKRLTTVDWGSSWGTAFEDVQLITVRDRLSVVVSIRDLVDEIVELLTAA DKLQSLRTETLWETFDSLDIFATTPAVEQLWTNCLDAFYRRLQPVEHRCASALSDFFG ERGNLAPQTILNEVVKFRQLIRRPAVSKELVNERDALLAKLNERLQSIRMEFERRSES VEDDVALDEEDRRCQAGRFM XP_844207.1 MAELFCINTVKGKEDMFQLTTGFCESALTAPLSGDSRGETFTLR WPNPSLQVIDIQRFPERVVQSSTQSLFYLALSDTLSLIWLVIPPSTGMEELVSTFQIE IGYCVKLREYTLVAARNGRNVVVPLSITHSNTVYPLLGNPVFNSNLFRLSANALVYRY TNTCSSSSATWQSTPLGDYGARTIRQVVTAEGKGFNDCVVYLRVVWKNKIRQVASSGI LGRFAVDCVGVDADGDAVSVSFFGSSVISDMFDVGDCIRCRNPAFVDKGESGYSEKLN VFDWSSTPGCCAGAEHLIPKHPRYVFFSCSVQYLVECCEVGDIVSVYGEVAAFSPTTL VNTRYGHVGRSVVELRDLNSLQHSIEVTLWGDMGAIVVPVVGEQWCFSNFLVRIFGGR ITASSRCVSSAFVMSPKGRVDVASNERPEEQPPHTSKQEEVAGGTHNADIVQLVFDLD EISESLPVLAKVKGVKHPLTYTACSACGRKVGNETSVCSSCPEASVEERFLVQLELSD GIRSARAFGLESVGKELFGFDTSTLLRYRKDSPNFERLITHELIGLPALFWLEQSLGG VTQVKRCQRVDMARCAAVVLGAVEQIMSEGGL XP_844208.1 MVNGVVPSQKTKAAECCGEESMVQQDLIAWRQKMREECRQRLRA ATTRAGLMRAPSQKSTFSGPSTQSKLGERECSVRCRNSHKQLEKSEMSELQRYSLELC EAQGPPQGGKENLPWFMAPVSVWNKQMKRYCGTKGEGSIVKKEPYPQHKETVRAAASL SVPSKQQGSAFHVYKDELAVCTTAYKKSSMFSSSPLRRKLLRRIGARTKSKHSTNVQA EGQKTTEVSHSQQNKDTSGTERTGANAFKNPPRSQHRRTVSEVTLTHAKAVDASNVEF PPRGKVYRSGLRSSSAGTIRYGIASRPVEASCCSKGAVVSTPVSGDMCHSKLEKTTKE LSLDRTGALSPLTDAVVSSETPTCNTSFESVSNFTGELTRGEPSPMFAQLLDRLAFPL PSHGAVVESSAAVPAPRELCPQPYPFDVSRRMTH XP_844209.1 MRPTLYFLLLKLCLICGLIVPIQAIHEDEQGLRDWILRFVGQVE GAALHPKLKLNNVYIRSAQGAVAALSLDSGELLWRKVFSEPRVCIGVTGGSVFVSSRS GAVHVLNAGTGAVETTFKLLLPAGADVESCKSITNSKARFAAFDGKNAHIFELDMNSD DEEVKTLGQFPIGEDVKGLRLSDAHLWVVRRLSADRYSLKGAVEITGVDAVGGIDVTS SAEAVAYSSHKVTLVRHGPQRETNGAVEQEVIDCSGCAASVMTNPAGVFQGYVIGQAD PNGFMVKFPAKSIHVPLKGGTKGAPAILLAVQGDGDGAWALVRAPNDHLVVVRENGGV KWERWEGLSRLAAVVVLDSSSKEDRFGLSKEALGISTRGVVYMIPLAEMGSNMKVLVD VSKTVLEMTTAASVENIAFEKLEVSGADTATLFASFGVVKIRVILNVVTGAVVEATKH EDSLIVAPTFDVKRSLAVNGKIPHSKLHVFSLNMTTGTIKGYLASSSPSVTPLWTVQL PFPIIAVATGEDALRTSLVNNIRVFPNKSSGMEEVRRKFPTRNVLAVAYYEPVDDEMP TLVVTAVDVVTGSVLASMRHRNVEGPVHILIVEHAVLYHFMDVEKMRHSLGVWEMFET EVGPVLFKDTGATPPQVISSFFSRHKRVFSSRATWPPVVVGSVLGMHGGGVAKMSVTT SFGAIARKSLLFVFTSGRVASVGLNRLLAGGQVSLGDGAGQLTHVIIPSTAIVTHRYR AARPTLIATRPTNLESSCHVLVSGMDLFYVRTSSGKEFDLLNSDFNKTLLIALTCSFG VLSLVARYFAMRKGVRQLWR XP_844210.1 MNVINRMLSRGHVAASASLPIKERPFPVNYGLSTFKWHLDSRKP SKAILLHDLFGSSAYWQMLLHESLGRLPCSGLTPLVPLELFAVDFRGHNHSKSLPCPE EGRAFTMACAADIMLLQQQVLRADAKLVGIGFGALVACQAALHSPESGIDSLVLIVNG PSDLLACEPARYSLPSFISDIPKEISSVADLEHHLHKRVPNEAERALILSTVEQREGT VGFKFNETLFQFRGPLCGFSDVGPENIFTKPVTVIQCGSEEFSGEAKSDFMKHFPKAK FVLFEGDRSGGISGLSAQGPAVTRTLLEAMELLGETTGGEE XP_844211.1 MSENPAQPPLEFYVANAERVFAENDKVLFFSHWTYKMMTRSFLE SYQNPPLIFPNSTQSNFIKGDGYIDYCTWHRYSDFEWFATQMLTEFPGIIFPPIPEKE VNGTIDKLTAHFDGVSGLDAKKNSLVRQRLRRLQLTLNAISHIGAIHECELMKAFTTL DEDGWRKFRDSWEAARKNSLLSIVKMKCLGLLGKLSSFAMVREQEGPVSSRLSSILVQ HGEMVRLLQMCGAVVEKMTRRFVGEPSKRRSTRNICKRTAGAPLPAAACHEGCFVRYE ANGGREGVVKSIDRNTAVIEWNDREGGMSRVPVADLRYPSSGVSDPVMLALHAITEQI DSHLMYLSKKEEAEGLREVGDLLWFASHLSISCINAATQLKKMESNALAQPAPGRDDT EGEAHRESLKQRVADGYTRFTEQYEKFCIPHQAQVLAAVAHKLGRIGTFLFIDEGWSA RILQISSALTVPTFPPEEGSQ XP_844212.1 MAYVSNAVKQQLRASRSSYTAEAVHAAYKMLQEFEESSEGDPSL ERNVSSELYILCTEAAIEKKEWALAGACLKKYTGRRAQTKALEARALYCDALVALSGV PHSLRGRAKLAAHIRCATKIVSGIKIVLEEWPKEPETLIAGIDYLWLSIQDVCGRIIS NSMLDILVLVVTLHEKLSLGGIHTQLQWIVRYAVCLRATGRVQDALNQIVSGAELVAK TGSERLQLQFYRIQVAFTASTSSNRTKQDNTRPVFQALAAVYSFFCGATDDSSAKQEL IQATEKLLGDPEKKEVKQKGRKAAEAEAAFRDPAIISDVLSEVALALAMCGADDTCNT ILPKLLNGDNARARLFTEYAKAIMRARDCGSLAASKALDAGYLTKNMAEKLFACIGHV EDVIDAARTSVDVSESMYAIEVGCVVLWNLCLPLLQPQTRGRLRLTLSRIVMLLRLCN SRLNRLFVRAAYESALAEFEEENMNATIEQIDKALAVEQLSGSADNTSAYPMEFALLW LRRRAVVRKAHEGTSFISDGDHIVHLIEQARLASANRVPLLQAAADRLPPITRTESVA ETRTKNVSVNGEKNKGGKSRKQDVVRETVDERVPFTFEDFSTPNVYYLLLKEASTTND PAVDEIIIKAAEGLARIAVPDNGFARDLLAMKAEAHLVMSELLEKRGQTSPRALGDAT HEDGMSHISKAAQLGARLSAAGYGGGWIVLNACTALINQNTEMFKKGLFLPAAATLRD LSLALGQVNADPMGEYKLFDSIGFGYVMSLVQTYISAKGEVNGELHCESLAETMRKTY LYPICEAHNSVLKQAAEACHQIMSKWSLPSRRKNFSLILPCINRLLDRTHETSTHPQE QLLFLLGRLNGPIELDERRHLVNTECLDMLRADPSVELCARLASHSVQIPQNERVTLE ICRTADRLYHEGKLGWGTKSLITVNSGRDKSLASTVVSTQQPSPSVMYLGNLKGSVVA NSNGGMQPKPSDMDWYWYADILLHEATLFLHLGVGADRTHRLEFEKRVLVAITNSAVA ASRSIPEAQITQITKAYRALCRVATSISRASYRVLQSGLRILLSPPILRIVNKFLRSR TNGRCDAEFDDLCTLTSTLGGYLFTSLLEDENYDEGIKQMHELLRVLPSRYHGSLCAY EAQLRTKLRLSTSELHEKARTVDAEVEAAVCVAVAKNAHDISEGMRAWNQALKILEGK PVQRADLVLSMAEWLAGRNAVTKSELISLLMNGLSDLERVDSMLLSAWLNKSAGRRNT DVSPALRHALTGTMVGRTFAIAQSLTCTNVVPVDKQKDLPSLLETLTALRLLYFLFVV APITPCASRPFVYKRCCASTLLYYVLSCWKVVAVLLQIHRGEDIRGVKVDPLHLPETL YEWRGFHANPQHAIIIRDLCKDGLALNTHRLWGMLLDVCDYLLEDGMELYVFLILSWV EFCIYWRYDESDPRGRAAVRAVRLKGFISAARCGWAEVCDAYNVEPPDAECWSSVNEV LLSTETPALSVPWPSSGPLDFSNFVGSTHRFILSEAEDLFTLGRVQEALLWKAKVKQC ASRTGDRGALARCHLLEARASILRGRWDPPTSEEASAEDSTEEETKTEDMGDGEGTGL PTSLTVAAWTELQLVHIDGFLSCDKVAEAVAFVRQLLTRLLWRLEEVGEGDIEKDTTE MCHKVLLQRCAPRFVAFLRRPFDTLFPGGPREGDVKGLLDEVCSQLDQYADWKSRLVS LRVRQILRPCVDDVLATGKGGVDKALPERLFNEYSEILKVADMLQSEVNAVVPVLALG GDVTTCPSAAAAYDEVLVMCARNCLERKLLKNFISQRFSALSVADLGIPTGGPVELEG YVASYMRDPALYEQSRMLNDSANAALPSQEGICGHDNEVASSLSHFGIPLNYMVNTST PHIEALLYRAMGGVGTVSSVQMEASIIAALSEFSRRLTAATRAMESPEASVNTTFSGD VNERENAFLTAQWSKITISVPHPSVPRRMSVAERRAAKLASIHVEDPNRLAANAWIEI NSNLQMLLLAAVRQARHMFEYKQMAKCYIYLAHNFMLSGNFNAAGTAIEYAETAAMYG FVSDLVTSLTHTTPEAELIRLVNKTKMDAPYLVNTKAFDSLRNNLMEISSMWRRFDLV GAWPAEPNKADPLLSDICTLSAVREGTTSYFLVAFRRQDGEVCSRRAELDMGALRECT SQIEELKRLKKVEVAAGPSAGERSPDAKWGSVFHSYLEKVRTVVFPLLGDFSDLFSSQ RAASCSLYLCLDPILQPLPFEQLPELSSFMAVHRELSVLNLRQKTALRTGKPQSNGVA YIIDPFGENEEALQYIFGGGRTKTSNAEIVTFVKDGQGSSSRPSLAYVHRIFANKAYA NVLVSACGSFSDIIKPSVIAELSLDHLQTVLIAMATNDASYCREQGCDTCRAYMDFFY EKNYVVSILLLARGVHHVLSNIFTLTASECNVFSKRCLSFVGGGGGKALAEAVKGSNG DAAGPSTQLHISYGVIHHNVKAK XP_844213.1 MQFIYSLFTPSERLLSREMVRNEIARTKLSAARLQHEAEKEFME KEMQKIDNEMRAKEESYAAQAKPLLDDFNDISLAQHYYQEVGTTLASQVHLVSQRVER ELEEFGYTSKKLISVGLNYEALKHKMKAGLPFNEELSAVLKDAESEDVNLVAAPLKYI NSIPSPAVVRVTAFNLGRAIVEAGKAPAAEPVRGILDFFKFRTSLSPTMLVSRQLRAL KSEAEFLRFVERGEYHRALSLAEDVYAGLKREGVKDAAVLDEAFGAFRRTVLPTVAGD MLLRYCAASLCAARFACVENMLKEQ XP_844214.1 MDAVVNSEVQQLREELEKAKHRMQVWKEKTQVGVTELRNRIIEL TTELEESRAANDQLSQQLQNAKSVATAAASTSGAVPECSSFAESHYFSHVGAWVTASS DALVEILLDKSDAALDIAVGTWRELEKHKRRTAQTLRMQKKTTEALEQELAGLRETLT GAQAELVERKSAIERRDQALETLQNRLETLEEAKASFEASQAAMASRPNAEQINAFEE RLEEERENMRHEFTVRESIIFDQHRDEMERLMSRYEQDMAELRAELEERALETAETGN KHLGEGAQARGQSEQRDDAAYGELLDHLKSLQEELRGAQEENQKLTQELAAVKRTHSG VGGPVLGSCSVKDFNGQNKPSTLPEALSRIAELEGDVSRLSDELCATRRRLVATRHQS NTTKDQENSLVFDGQLATYLRFTVVQLLCSSGDTNVAKNLFPVLTTLLRFDKSNLSEI YKKNPGWVKRRF XP_844215.1 MRRSAVRLVYEKFEGTIAGDKGYMTYQQACSIFGFEMSDRLEVT EIKKRFNKLVMRFHPDHGGTSEQFQLLREAHKLLLAHRHDKGESNRAGGTDVNFRRMN YDNMTNTIHRETAGNPEYRSFSLQDFAFFLALVIFVVSFYAYRAFHTQLQILRSRWSY TESMLHDEGGHKDVRGWHPWRTDRMTRDVMDEIGHLQQSISREMLEEKRSLSPLVHMP WQSGGPFANYTVARQPIGAVLEDADGQ XP_844216.1 MPPKTVKVIGRGGKDDRKDDKPKKLKPAQIAINKAVETADKLYK LHERERLKMEQTDRVALQKFSLDKAAEKERLRLDQDVYTAFEDELVEQEQLAYKECEK HRLWSLIPEASRLPPIRSQSAINTFLSVWRDSEEHYSHYSPPVEVNIKRDNSNSSLRV HRFHQGELGIPPAARRKMLNEELNRCVMAYDLVETIRLEADRCLTLGKTEDLKFFGEN IGNVYEQVMFAFDFVTIHTLLNYDVILDGPDSEFLTVAVPSANPVAKFGLWVKVKETT RSFASLVFPVVSMRLDPKSSALPKLPKALGLSKENVALRVIQLRFDPYGCRGSGGREY YALPCVIKIDLLSFTERPKQSGDWLYRSETEEAHKLHVVPYPPPVLEATDENPALRVS FEVPSTIVMRQPTLLIGKWVEKTKEWEPCSHTSSSPDSTGPERMCSFATGEFGTFTIL QGKGFDVPYEQWRLQPVSFDQVMMVLEGRHRGEGSDREFRILICDAKCKLISPGDPEL AALRADWLEPATLVRLLSQAGFNFMLRDEDAEFIEDIVPKSSELEEKVYADIAQFCLF YIIASSRHNKCGEDADLALFRMSKQVYLGTEDSPDLTAEADGEWHSIRYQTHCCAFSA FRERDDVPDLRILEDHETHLNLYTLLLKEKGEEVRLMALHRTNFLLRRCVYQLLRLIR PLTWG XP_844217.1 MDFVEVLPDAQDPLLQRLQMKHAELRVKQVMELKKTLEIEHSAM SKKIHKLPTTLDLVSDEISFTLNEIAVRCEEYAVPHVGQLLKDVHTMMNQQLREAYRH VEAGYRSAFHKMEEANEVQYRQQKSASSLGVSPQKPDKSPPHQAPANVECPPMAKGKN GKRGTGMKGTSEVRVLSVTEAMSATTGLFAESIAVSLRCEVVRIYLYDEYGNLNCCAR FPFSATKGDPLAGTNLELILAKEVHRTVCQSCIAVNGRKRQEITMIERDRKEADEEIE QSGWKAMNSCLIFPVISNERGKQTHGMIHAMNKQGTSVNLVGEFDETDENFLFTAACL LGCLLSRYPGKCFMRPVGNLIHQHLGLHPVHGNDTSFLPQLIEDEVEDAAIAGNKALQ MRPRVLVHRAPINVIYQARAERRKTRKLEVLHMVDRALSSVEFDLNALEELWRVGHEE NRIMYEHCQHTNEQLNTLQVLLQNVLDGIGASRNMGTLEEVRRYLRALELHARQENTL QIKELISKALLHSDIEEIPPQLKEEVKSGRLTLEEAIQMERRLEAVESKLKFGASPVP QVRIYSYNPQLRREQARFFNANAEKRERLFNYANMAGDGPGYKGKTVSAVTKEVNLNP SLRLCFGTTDYASRRPFRLQGGRSVSPR XP_844218.1 MGLRVCSASGNVEVPHGAVDHARLLCKVVEGDNPESIKRKRIRG VVRKIEGEYTNWLGECGRGDCFLMLTSLAKSARYLGRKVQQGTAGFKQDDEWSGVSPF FSPGVNDWLCALLQRSASFVTGPEECMVLLTVAVDLELGDTQTLRQLCGWVTGKQYTL RASLEELVCVLRLISLLVKRCRMPIPSLDNMLFRLLGATLDSRQSLTVLSSMVRLRER SSVDVVRCISRRATVTVNSYTVRDVIYALEAISLLNCCHEAYAGAVLDRCTVLCVELK PTELGSVCKYVALLNVSRANNSIALACARELRRLLPALLSRTEALLGRFSLRDARCVL RCLEQHNVRHSVVFSRLTPLVSDLK XP_844219.1 MFGAPNTLLADLHLRFLTKLDDHKDKMKYWTSQHLKMNGVFWCL GAMKLLGHDDILKREELVDFVVKCWNSDGGFGGNIGQDSHMLYTLSAVQLLCLLHATD AIDAEKCARWVASMQLPDGSFQGDEWGEVDTRFVYVAMNCLQLLGKLELINVKAAVEW MLRCQNWDGGFGLAPGAESHAGQIFCCVGSLRIAGALDRIDKEQLAGWLAMRQLPSGG LNGRPEKKADVCYSWWVVSSLSMLGYTEWIDRHALFRFVLACQDSEDGGIADKPGNQA DVYHTFYGLCGLSLLGYEDYPLRDINPVYAMPYDVLESLGVPESAGLHVGRKRTCA XP_844220.1 MMRRQTYVWSIFTGASAAYRWFPPRRECFRFAGAEANSAGGGAG ASSGVHQTVAVNAAPEGFWRCLFSSWQQGLVASIGAVTGIGAVGFFLYVSMKDDTVHH TAAVASEALGDARLRERATNLSKEVVESVLKDQKSVELVVGLVVRVLQEDDSMIAVSS FLRSLFEDHYTQEVTKKFVLMTLLDPWIQEQVRLIAKDLVKGLLRDPEVKKALVEFLS DSAATSLHDERLHYNMAHAVRSVAKRAVSPFT XP_844221.1 MTIFYEYPRALGEGTELFDFNRVPVTPLQRPLFSEVLPSALNLN NVSTTNRDHERENGRRLLPSPPRRVLLLGNVKDMSMQLITSILAKGCDVRVLQSSYSD EALLLRLRKECEEYPGHLLLFHSDELSHAVLDCDAVVDVKGSETPTGRLSLLRSAQSL FEAIRASQRAAPRVILMAPVPPEYIRRAETWLFLTRIESTREAGTEEEAELLRANDMP YAITHYINTTEVPTGRGGTSSPSLSSNSILNMRSVAKECVERLFSCGGSGGFPPFG XP_844222.1 MLRRLVPRVMMAPMGGATALCTSRGYNMLVFRDPKRRPQLSDEE RAKVVVNQAEWPEEFKDFDPDDPYKNSPEIIKGMSSWNLFLWGVECAFIYQFYELVFP KSI XP_844223.1 MATVANEFATLDEGVLPILVVRKNGKTIAVVRDNSLQGTFLPFY LPTCETLHVSRTEELQEARESGVLNVAAVEWPVVAKLRMALGILKEERYWLLFSRGGV SNEGLTSHMFKGDEEGVHDAVSWLSGFQLSGPCDILLASEPSVTNGPANGDVGRLRLK KEDEDVNERVVFGESATDVARYIGDLLRAHLFQNVTAPTAVFWPPQLVHPVNIHYGMA NRRVLEHDALLLPILSLFRVEKRLKNWDAVKGLQVSAGCDMPRTGQAWEKHLVRNIHK SLKEYIPVSGGEVYITSGSYDYYHYLIDGFKDSGWGCAYRSLQTILSWFQYEGLMQGA IPSIHTIQEILSVKDTDKMNRKGFVGSKDWIGSFEIMIVLQHYIPGLDCTIRRMESGA ELETNPEIQALLAEHFRGKRSCPVMIGGSSYAHTILGVDMNLATTEARYLIADPHYAS NETSTKTVVSKGYVGWKEARNFFEANSWYNLCIPQVATFDPR XP_844224.1 MLLLRATLHRKWYAPGDNIKAEVEFQCLANEPNSALKSRFRDVG NSFGAPVIDNSSISITASITGRVVCTCVVDSRLLQYALAWDKGNVAQHCPELVDESTS SDCRVYKLCCSDDFALAQDIPLRLGETKAVSVTFAVPDSLPPSYKGRCLRFCHTLLLK VSYHGEGEPTSSSNVRIPISVFSPQSVLSPLLLPLCFPMDNFNFRVRICVPDPPQPFG VDEHELNDVVGSGLSCRRPNFIASHLAKQRTPLEFPLSFAGDVVLTVVVSSTTVMIGS SLRAAFLPRDGCTSRVVMVQGVLEMLECTFPEHLQRGVTCHELPGEESRLVVTQTSVV EEFEWNLIGRSSVPISIPFSNINYYASMQTDAVTTCWQLRLRFLWCSASRLKEFSSGF RSQLPVEEEQPELVVPLTVVPPPNPGHIPRKGITLEVIF XP_844225.1 MAENEQQEVVAYDYDRELYRCPISWPITSEKAKMTKKLYVLIKK TVVNNKKDVIKGIKDVTKALRKGQKGILVLGADASPYDVISHLPLLAEESDVPYVWVP SRQDLGTATQCKRATSVVLLKPTAELRPNYDKMILAIEDLNTA XP_844226.1 MNSQKLRELISAVRQCKTSSEERALISKESAIIRESFRGSKPHV RTRNMLKLLYISMLGYPTEFGQVEVVSLIAQSDYAGKRVGYLTIQMVLGENDEVLTLS ENHIKKDLGSGQPLLQSMALNVVANIASEPMSRDMFDDILRLFACPDSYIAKKACLAA VRIIKKVPDYAEVFLQECTNVFHENNQAVLLCKLTLVNACLLQSDVEEHLKKYRLATN GAVRLLKQLVLSSRVTAQDIGGVADPFLQVKLLQFMKIVGKGSPVVSETINDVLAQVL TNTDGSTKAGSAVQYECVKTIYAVESDEALRSLGVSTIGRFLASNDNNLRFVALQSLL DYAARDAEAVRGHQDIILDCLKDADVSIRRRALELTVALIDETNVRLLVPDLLTYLTV CSDEMREEVVRHLCQLIETKAPNAEWRVELSLRLLRLGRQHVSVGFATRLIGLLTNET VELQTTATNALWEGEGSPFDAIHHLRKAFLVAAVWCIGEYADLLVSKKGVSEEKIATR IADIINNTEYKLIKSYGLTALVKVASRYPSTKNTAVAVFANHTTSFDCELQQRACEYT TILESFPQEAAFSFERMPPITVTVKDEGRIQAQPLQVVNLPPEFLQKKDTVSLDDLFG GGTAPAGGAPKGAVVNTSDIDDLFGSKPAPAPQAVSGLSALDGLMMGSAPAFPAATGF PAATAFPTAEKSVFECEDFSVSMGAVVQGTIAISLSILSRLASPMENLSIQVAVPKTS SLEVAPLPMTAVPPFGRIVQSLTVDNSRSDKNPRLVMLRVKVLYTVAGASRSQMLQVS QEV XP_844227.1 MFLSLDVTPSRAPYDLLSDLCTLLIIAGDSTDVPLQPLIRAIDM ALTKGSSSGDVMVMAARAIVIILDRLSGSLFSLDTRNGLDTFRKCFSTVVSMVDMQKR ESLHFEKSSEGELKEEVLQCLSLSSKCCELAVVVPSTEEQIQFCLAAVDGSKRTSCKV LHHSIGLMRQKELKSATDCRALEQLVHHHLMALFHLDIDHEWDELLRTVVEGILTYRA WCAPRVLSPDRQGGKHRRGSEEGGASSSSTHITSLRNMMVTLLQIGERIAGSGTASSR VQLTLACLASVIETHLLPREACESAMLWLAQLLLRSEVRSASFSNPFNSGSDSVAVNA EQPWIDSTPTLPNLRWVVPSLLWSALVLLAKLCGANFNFQSEYIWAWRGRDDEYHLYI KKVRRRLTTMYFSAERSRIVAPGRHVIDLQTMTDNFAHGDTASRVHFQPIPCAISFDG GLAIPTRGMMLKKKVCAAVQDALRPLSFGHTQVASLARSIRFYIICSGDPTNTMDVIT AFCSLPADQKEPTVGEICATLLKRDKRWASVLQEAGVADTPAAECLARDGGKKNRIES STSEVKRGADCLREVQRSPGNVLAFLRTTSSSELLAFVQGLEKSPYGEEQMMALCEEM KKDDVLASRMRTVAQTYVLRVLHHTASCKPVGEDLAERVRSTSYTVNICSAGDRIKGK GAPRCPNGHALRVHFSVNWRCDSCAFANAFGSLACRDCNYDLCSNCVDEKLSRMETNS SAVVGDVIQSWKALKLKRSPSTSSTSSQKRKSGCSGNAGEVMLFTSSGVVPASRPVSL LEGNDVHIAPVGGECRCCIPCPPFVACSAAHNIAEHSSLRVFLHTFLATRPQRWVDTA VELSIVNALESRGEDIFLSGVSGIPSRVVLILQEVAPYISLAFKRNMAHFLAVGCCRF GLYHLQDVGVNVRGTVTGSIASNGLAFKVTVKRNTESITNTLYKVFLEYPTLRNKVEF NFEGEEGTGEGPTQELYAELSRRYRSMSELWHHRDDGVCIAFPSMQQVHPKRFFVLGA SCGRAFADGYTMDISLLPVVWPLIRSQTPSVEVLWHLLEEVEPALANSYKCVLQATDA ELEQMGLEDEETGEALTVSTAKFYVEKCVERRLTNAVSNLHWFARGLASVVDLDAFWF FTDDEMSAIICGFSAEDSEEKLFSEEALRAAVMEAHGYSTGSQEVETFISLVGDEFTR EQQQLFIEFLTGCPQLPLNGLDGLGRKITVVRKELEGRGEQTLPSCNTCFLYFKLPPY STRKIMKERLLVAITEGRRNFSLS XP_844228.1 MYALDYRNQPLKCFLLGWPSLITAWAGVLWFVLCMWECLNRPIE RSERSVMDPMWYRALIPPLVPPVIVVAAYFSWLGWKIFTHN XP_844229.1 MANISPNSDGDSFVGADTAKQLSDIQKKLNDLKQRREELEEQRR RILGASDQSSSRPRSLRGPTQNRRRHSQPSQRTLPLRPPRPPISDHSIRIPNEKERQQ TAQRTPYLEMKESMRRSWSRDSSLVMGSFLMEDNPRARTFTRERRFIPPPECNGIYFL GTEMELMIRNKNVAPSQRSGRRDNTPYRWNDIIDGVTPGPGAYTPLFSKVSKPSRRAQ LF XP_844230.1 MSNIESPRSSRPFGDSASALTRQFGLVFSNLQNAPDDRPRRVEE CLSALLDILVAHALELSEHCLIDYIFQLLCDTFGVSISKGVKSLRVVFDVHLGDPHEL RCRCFTLLVRKVCETTNEADCNTILQEMGEVLRENVRSTSDLLGKHFGRAITTMQSSS VTRAKAVGCQTVATMVDAGAVVIKRSPALLDLLNTPCSPPCLIHEIMESNDNWHRQAL TRLLVNIAESHCIEVTASMAEQMASTMTSHLSSATEEKHITGLLTVIDAISASSTLQT VPWSLEAVASFVVQRDWRVYTEDVRVRAARATGKILPLLVKDEKLYDLVMKNLLSYIS GGDEAVALSALTTFRSVIKSLNNIESHAVLTVDAVSDFIAKSPFNQLAFEILDYLRTQ CGEQCVFGVYDLLERADVLNSVEKVAALLHFMGNRAPSNVDWLEECNIDLSPDSDVDV LVRSLSFLETLRYTEALESAVGLVFHKHTDVRRAAVGTVVSLCEVLLSPDKGGSCQGS HVDATITQLNSRVKIAVESLLDVAVTDRQMEIRYYTLKRLSPVFEPYLVQLDNIDALF MSRNDVCRGVRDQAMLLLYRLHSLQPDILHAQLLQLQEYMLREIDVKDGSISSTVYHA FLLQMAAENGTILLSPSTVETSVLRRLEKQPFVSKSLSVALLNLLRAVLDHSGPRNHC DAKQLIQPVLAIVNGSTSSTRRKAAIEALCSILATVPITEQSTHVSVYRALSRIIRGE AEESEAVIYSAMKCLSVIGAVDPTKARYIVKALQEGEIAEEEEVATPALALYKPRLRI HLHMDERYPCIVLYLLVKTLQHSADVEQQASVISVIHSMIHEVPGRQKAMLLTQFLPQ LQAWLADPEKVHLYEVILLLMSDLATLLQRFKDVIPASTGLELLKSVRLFCLLPQASQ KPLNAGVVELLDRVGRGLSPEEMRDHRWAVEFIHQRLLQDKRDLALVVRAVKSLDSFL AILHERDFQVLLPHVLGCIEPADAATPNVPGLKKKEVNTACFEFLNQIMAKQPLLMKD FCSQIIHTITWYIEESDCQDEMEMGLRTLAFLVGIVQRPARRFIKPIQRLVQQCGLPE DMFTNLLKSAADGLLKARAPLSGHDNLNPELPLTLVSDQPSLSKEDFQQVMKRVLRLG DHDFEVISVTHSEGNTVVYFRFVQGEDLLLNFRSFTSKAQESQSTLSRNLGILKLVQN AMSYCQVDDSLLENISRMPVANKKKREQSWMAWLHNTSVVMLKNSPIQPLRCTAQLAA DNTGLSRDLFPFAAAAFIGKLNAQQQEVIIKAFTRALEVSPNDIKQAIFDFAEFIESE RGKLNPEMILLVEKLTFVVERDNEERKFGINYDEVSGDVVVSHLEPGGPGALAGVPVG ARLLALNGKRLVTTHEVVGAVKGLNRIELTLECPNGGKRGLSFDCLMDIDVLARVALN SKMYARAIFLNEILFAQLLSELSGQVSDRQNAAVRHALEVAERLIEFYNHLGLTMVAK GLVKKMSEKFSSNIIAPEQFGFDEVGALEQLNWWGEALSRYKSRMNVADGTLDTSAFL GALRCYDALGETITMQELIATNWELLDRDAQLEVAPLKAKAALWLGRWDEFDEVVGQP GVMERLDMVERCASLFRQKHYGELLDYVDEHRKSLFDSFFESFTESYNRSYDTLVELQ HVRHFEELVSFVKSGSERRKMLRELWHKRVTLMSSRPAHIKTLITINSLVLSPQEDLP SQVVCVRALTKHHWTSLADHTLSTLLHPNGLNTRLANLDPDVIHVYMKHCYVTRGKQE TYTLLSDILNNVQLTATSNRAEAWGCCWLLLGEWTVQLFPDCGTKAIETLRTATQLCP ENCAAFHSLGILHYDLSRDPNTSQETQTKHHIESITALFKAVALSRRESNGVMEDVLR ILSIWFSHSTVNEVNEAIQEGIKSVPCYVWLNVIPQLVARIGITGAKARSILTDLLVS IGSNFPHALLYPLTVTEKSPEVMRRQAAERVLKGIRVTNGPMVEEASLISNEMVRIAI LWTEKWHNCIQLAAGKPENVSEIRNILRPLYEEMKKESTPNEQHFVKVHGSTLRRAWA ALQKGESQEAWVLLKQVYCELHKAVGERRLQMDDLSPTLVKINKSNVPVPGTFVLGQQ LITIQKFHSRVYVMPSKQRPRRFGVDGSDGSKYRFLLKGHEDLRQDERVMQFIELINT IFSSDSLSNAMGLIIPQYAVIPLTDNVGIIGWVENTETIYKMLERWRKDHNISIYKEV SMIVEKGKLVNITEYHHRNKQERKQLLSHVMASTPDDELRRIIWDKNDTCEHWLQYRG TYGHTLAIMSIVGYVLGLGDRHLNNLMLQDKGSVVHIDFGDCFEVAMHRSLYSEAVPF RLTRLLVPALGITGVDGVFRLTCELVMKILRRHSENLLSILEAFIYDPLINWRLTTVA EGENSASLLEAPVAGGEASPFAEPDGLIDGPPMQLSRSVLKVREQAPGTTLEQTGEEE TRNTQGDLALARVRAKLTGEDFADGCHVVAGQPSRREVSEDPNDPFSWWSQGLAESSR DVYMTDGRALPSWSSFRTVNNQENLQNVASQVDRLIEEATSLDNLAEAYITGWAPFW XP_844231.1 MPKLFLLLYVTLFYHVSQSLGRIIALPTGSDAGSIELSGEPFPS GDVALVVNDTAIANIMPTVVKMVNNMLGKYTIPSREVNGIQLGDIPITGIKIGNISLE IKHPNKLVLNVTDIVADAEDTTFSKSLVITSCRGKVRASVAVSSASLVLGLSVDDDEK LKITVDDMNVRFAKLNLTPKLVNWCMVFDNFIIKFIKQQEGSIMGNLQGEIPRAAMGP LEEKLNDFIATAPLVFPESPKITDGELQVLVTIVAPSPGGGSNSSAKTPLLDVPFPER SFGVVASFTAVNTVLVPLVNKSIVKFTKKFAQEFNTSLISAMYPEAYLLCPDCPFMVS VKAETAVHLEPVGLDSLILHLLGIRLALEMKPPEGDPIPAMRLLCNTTVSLSSLYFDS SGAIPLEMDLLDLAIDVETSNIGAIDQLTLNDILSRELRDKVIPAVNEKISTITIFDN TTEPLLNVTSESITFALNVPRGNSSNETSLTTV XP_844232.1 MSRKCRKRPSTTGSPSRYRGERRNRIAVRRQTRQSRSFRGDEPT SPVRSPQSSYVTIAGSAFSCSDDDESSELSDFDVINDVIQDALLESSDSSDYDDDDGN MSILQELRPFLFCSLYTVEDRRRRSRKEVTAPPVRRVSTRRSQGKRKGVLLPQGGGPP GAPLCFVVDLDETLVAARGGCAHLRPHLKELFDVCHEEGCEVVVWSAGTASHVNELVQ AITTVVQRRAWYHHIISRHHRWFKEDCGGVKDLSLLGRPLDRVLCLENNPLSVHRQPR HSILVEDYVQPANSDDTLRFVAGVLRRIASRLRSAGERGGDVAQLLSVDSGLVELVIP INPQLSVTDPLVNNLYSIHSRGLRYSPQSVFTQRCYGGQRPFPASGS XP_844233.1 MVCCHFFTRTSHLLLCFAAGCLFSSIFDYFLLVHSLFFLLYLTA LPFFLLHLVANYFVSQGDSFMEKENKSICWRPKNALIECIVSTKCFEEKQSVEDCVAA SECFMERRNWTLCKVNAVNPRYRLRGNPYDVATEDQKKIEARNERIKRRELEEEGIVS XP_844234.1 MRCLFASATPRCSNRALKKFLEVPLRPMDLRDMKGNDRQHIRAA VEQLTARTARSYRAFASQILKRNLDRETAAQLVPLESICKSEFERCVALTEMVDPYLQ QPESDKVCDVGSTLSSEISDGEGVDKALEFSEDAQDSVQTRFIDLLEGLRPRENLLDV AVNEKCINSPDVNYWIYCFCRRRVSWRVIHEHLLHLVGPGRFGPVIRVDPCVASLLQH AGMVVIDLYTRVVQAAHGGSLAITVEERPNLFTTLPAGQLATSSITVSPTARPGCGPQ AQPSDVVRSVEGHLAYVFREIIKNACVALMSKATDMELKVYFATNDTHVIVDVVDTAD GVSLEVAGKFWQFGWSTNTSLCQLISGFGLGLPVSKVYMDLWNGRIDIYTTPGSGTTV RVTFPKAPVEVLLPEMSAPW XP_844235.1 MRNNIEAAGVHLADCTLLWCRHETKTEEERLAADVQRRISLLGE EYPPTARDIAKQEASPHVRVDGEIVATNLALRYLTRAEEERKARFEEERRQREEREAL GKKQDEIRHIIIDNWAFGMEELEKLQWIEHGVVKGKERRRLYVDWYDSGVQQHLVDEA RLWSDLEQVIGREREAGRKAYELSKGKP XP_844236.1 MGHTEHRAAFNRREVVELQQRLTSFLLIFTAVAIAFVVLVASMQ TRVATCQTQASMAIHSMYGRFGSNNSTVLDTIVASLGISGVRVVPVEVAASHQAIKLD VFDTSQATLQSAGFRLARQSGLASVVLWKLLYIDHSLCDPERTISMEALPNVDYEKAS YRVKAITVDNTRLFLYETNVATKDKDRITTFSQLQSVFPGFNSKVGTPHMILTKSLSI EPEFVAELYRGSTSLSVELRVEKIQQVDNHTPIWRILVVARSPQAEQNFHRVHKVVAN ALAGVGALCNKEGCGTSIVDEFFL XP_844237.1 MIVPSLVTFLKLLKHVYKPLVEDVEAESGKTRFIATKKAVNKYL YTEVLNWVQRIEMQMTGFRSQVCAERRLMIPQMLLSMDNSDAGISSVLANEDIIRQVD ITVGQWQAEISLAMSLDPQKEGPLGEIEYWREKYSTISALYEQINSPEAKLIMKVAKE AECNSYHLISSTIQQFFRYYAEAKDNVKFLGTLDRHFRTLHGVTPVTGSLQPIIDTLS SMMTGVRMVWIISRYYCTEERMVGLLEKVAKLISQKVSQHIDFHRILSLPFAEAKAVV TEGQQCLLKWKAAYLGTQEEINSSEREQPWNFNQKRLFETTDYMSDRCTDLLEVIETV EYYTMVLGAQLKTVLTDTSGIERILKDVERVKRPFESLTFDPFERKATHNWQLVFSNF VNMVANLDREVSDFINKIFDDDLRSAESAFELLLSFKCIGSRPQRVGEAFDTASLLLE KADRILAQFFNEVNRVRNIFVQLKDNPPLTKNQPPVAGAIHWSTSLFQRLKKPIIRFQ QEGMLNTHMGKQVRAKYAEVAQQMKDYATSRFMQWGERVRQGTTASLKMNIIVKESDN TYVTNFDIELFNLIREAKYLDRLGFEVPQEALNVTLQDESYHANVDALKAMLLNFNYE LQNALEGPERVILARNIRELRQALEPGLHDINWTSLGIPDFVLNCERAITKFRNLSRE VRKRADHIQTQVVNKIGSTRLMPEYERLLQAGGELPELQVLVETIERRRADLVDGCLR AYSTAKPLLTKVESQLVGTHTGKCLLLESYYHHWEHRIWKAVTKMVLSSLVAFAKMLG YRVSSSSAKRPPLFKVMIFLTTEPTYSPPQQEITSAFHKVQAGIIASTQRFRRWMRGT CIEFTQGELVPRPPEGEHETLFTYYQDINNLSQVYRLQAIINRTIQTHLSALATNIKL LQRYRFVYLSDKKLSVEQQAKNQFHWIDYDAKFQLYFNMIADFDAEKHIHDFGFMRCD ESTFYSDLVEHVHQWIAMEGAQLNETVRARMQKRYSSIIRVNQDLERQCEKIEDLKFV LEVMHDARAFSLDVEQDIIDIKYIYESIMHFGVSVDPRELKQAMDLHDLWECTLARVH ETEKALEPKKMQFREHTRNEVENFLVKGKAVLKEFRKKGPGRAGIDLQEGNRLRKEWR EHLVQLQARREQLTKAEKLFDLPLTGHTHLQQLNEELTKVETVYDLYVQWVAVLKRWN RSSWKDLLLEDLQSTTEEKVKQARVLGRTHGDVEPFADVQQVIANFYSSLPLLAKLKS PALKQRHWVELMRVTSKQFAVEQITLDDFIAMELYRFSDEIDGIVLSAAREQAVEKDI TSVKEFWFKAEFVSVPYEPRKGEVRCHVLSDTSEIQEAVDDNILKMQSIANVKWAQPF MDEVKLWEKKLATINDVMAVWIVVQMKWQYLESIFRGSDDIAQQLPKESAKFNELDKR FVRIMNETAAAPNVDVCCNVGGRLDEFRYLEEKLEECQKDLSNFLETKRRMFPRFYFI SDDELLSILAANRARAVQDHMLKMFDNSARIIFKNEDDDTVCGVESQEGERLNFDRQV RTEDTPVEEWLQAVLDETHASLHDLLKGGVYYYPRMPRLDWVKKNHGMVTLTGAKIWW TFEVEDSFNAVKKGKKNAVKDLSGKLTRQLIDLVGEMDKDIDKQYAKKINTLIIVDVH GRDIVDRFVRDSITDVREFDWESQLRFYWERDGDTCAIRQCTGHFKYGYEYMGLNGRL VITPLTDRCFMTLTQALSFYLGGAPGGPAGTGKTESVKDLAKAMSIHCVVFNCGEGLD YKAMGTIFSGLSQSGSWGCFDEFNRIELPVLSVVSEQLRSIQSALKTREKEFLFGDTM IRLVPTVGVFITMNPGYAGRVELPDNLKALFRPVVMVVPDMELIAENMLFSEGFATAR ELAKKMVTLYQLARGQLSKQHHYDWGLRALKAVLAMAGQLKRGAPDLSEEAVLMRALR DMNTPKFVAEDEPLFKGLIGDLFPGLDPTRAPQENLSRAATKVFKDKGFYIDAKQVDK VVQLYETMQTRHTSMVVGPTGGGKSTVIDCLCKSQTQLGLPTKLFVVNPKAQPTTVLY GVMDPMTRSWADGIFSNIFRAINRPVEGGERERRYVVFDGDVDAKWVEDMNSVMDDNK LLTLPNGERIRLLPTSSLLFEVGDLQYASPATVSRVGMVFLDPINLGWKPFMHSWKLN RPRDELETLNELIDKYIEPLINFVLEGIDGEEISDPPKAVIPTSDLNMVRQLTTLIDT VSSQKTSLEPKALQCVFIFCSVWSFGALITTEEDRLRFDKFLKKITGWNMQDVGDKFL TRFVGSGSLPERKTMYDYFFDLEDNRWKPWHVLVCPFERPPGAKFGSLLVGTPDTERN MWLLNKIVLNRTPVMLVGKSGTAKTVTIQTYLRQLKQKSLEMDTDGDVHLEEMILEMN FSSRTTSLDAQRAMEDNIEKRTNTVLGPPAKKRLVVFIDDINMPKVDLYGTQQPIAFL KLLIEHQSWYDRKDLLFKNVRDTQFVSAMAPPGGGRNALDPRFVSLFTVFNVLFPSDE SINTIYGQILTDAYKSMSKEVADMSIPLTTMTLNLYQRLFVSLPATPAKFHYIFNLRD FSRVYEGLCRATPEKFPTRQSVVRLWRNEIYRVFVDRMSDEDDKGFVSRAVEEEIRRH FPDVAESAIADPLMFGDFGDFVVDSEDPRKHIYEDFGAEYSKARQLVEAMLDEVNTPT KKMDLVMFDMALDHLLRITRVISLPRGNCLLVGVGGSGKQSLTRLAAAICQMEVFEVV LSRNYNEDCFREDLKRLYTRVGVQQKQVVFLFMDSHVKEEGFLELINNMLASGMVPAL FTEEEKEPLYNSVLRELEANKLAPSKDNKWTAFVSRCRDNLHVVLSMSPSGEVLRTRC RSFPALINNTTIDWFQKWPPQALEAVGTRILQSEDLPEEVKASIVNHVVYVHVTADDL SARYQMELKRYNYVTPKNFLGFLANYSKLLTTRREDIDDLVKKFAIGLERLDHAQEDV KVLQEELAEKEVTLREKQDINERMTSEIKDQKTRNEKRKAEALVMEEELNVQNEEIER ESAQAQEVLALAMPALEEATEAVKHINPKSITELKSFAKPPASVVAVVRMVCVVKGVP ATWESGRTMMGQNDFIRSLVDIDSLAGTLNQGKINEINRILKEFPVNSNDLKKVSLAA SGLMIWVEAMKAYWNTAKEVLPKQARVRELQDAKAKAERQLAACQDEIQDLTAGLRML EERLEAGMSEARRLQQEKALMERRLNAARKLLDGFGSERVRWAEQKETLGDVRNRLVG DCLAGAAFLSYLGAFTFSYRQEALESVWLKDIRERNIPLSEGFTIQHLLTDEVSISQW ASDGLPSDDLSVQNGILTTMSTQPPGRGKKAGRVRFPLCIDPQMQAVNWIKRQHKSNP RFECASFSDADFLKRLEFAIQYGNPFLFEGVDEFIDPIIDSVLDPQFRHDSGQLVIRL GDKDVVWDSNFKLYLCTKLPNPEYPAEVFGKTMVINYGVTEDGLESQLLNFVVASERS DLQRQSEDLVQTMAESRAQLKELEDTLIRELTLATGNILDNDELIATLENTKSSATEV QQKLEQAKETARVTELSRQEYRPAARRGAVLYFVISELSVINSMYEYSLSAFLHDVFG YSITKSDASFEIKDRLRNIIRALTYNLYCYVCMGIFEKDKLMLSFQMAIRLLTQENQM VHSELEFFLRGCVLASKDYPPHTVEWMSERQWNDLCKLAHTCEVFENVCDDVVNNSEE WRVWATADRPEDPSNPLPSGYSERITEFQLLCLLRCFRHDRIYTAVSNFVSNCELLGE EYVIPPILSYKDVLDKSSSTSPIVCIVSPGANPTDEIIKLATKEVGIQKLRPISLGQG QGEEAMKLVEVGVGRGHWVLLQNCHLLTTWMKELEKVIERMNQTPPQEEFRLWLTTEP SRDFPMGILQRSLKVVNEPPNGLKMNMKNTLSKVTEDQLEECPHSAFRPLVFALAFFH AVVQERRKYGKIGWNVVYDFNETDFSVSMRLLDTYLAKAHQNDDPIPWDTLRYLVGEV MYGGRVTDSMDRRIVKTYLEEYFGDFLFDTFQSFHFFKNDVVDYCLPADSNDVNKRVL LQQMMAHVEAFPKDNTPEVFGLHPNAETGYLRNAVDGMWASLIEMMPRSVRAATGGGE SREEVLLKLTEEILAQIPEPLDRKSIMRSEKEKAAESGHENLQPTQVVLLQEIERWNR LVVVIVLSLKELQKALSGIIGMSSELDELATSLHNGHLPSSWRRYAPATRKKLVRWLA HFQKRCKQYVDWATHGEPKCMWLTGLMVPESYISALVQVTCRRYKWPLDRSSVVMSVT RYLSPNDVKERPKDGAYVCGLFIEGARWDTERHCLAPQVKKVLTAEMPVMQIIPMEVS KIKAVSVLKTPVYVTSERRNAAGVGLVLEADLKTDTHASLWTLESVALILDSDE XP_844238.1 MSHHIQLPCFAETITSSGTLFPNISGGKPQKKPEMNSVRHPQRP VRTQKKRNKPMSRVAPSEYPQWEFKHRMKGNQETQKSVLSRSPGGTLKDLTAALWQTA NEEGSCRRLIVANENIQWRCILRAMGGGSAEKVLPSMELESRGMRLLASKDYAGAHVC FSRIIRQHPNSKTAHCRRAICDWHLLLFDECIEDARRGIDTDKDMLSLLCRSLVVRER YRDAWRWYDYGLKELAPSADDPHNVKWTAECTALPVLQKFRSCIEEKKWKEALCSSDA ARPLIDDTPLILLEARALLYVSPTAARTLLMEYVPTIPRPTSLRMDVSLEEQAVWRSV NEHYVQASVVLAQANVYCGSKYLEFAAALVQTCLTLSPGFGPALVLGYYLVSLEEVLV RVADLFGKKRYVDAIPHINEGLGLDKSNRLMCAHLYCMRAEVHSHCGRYNNAVDDCTS AIDMDPSCAKAYACRAEAHYQLGCRAEAALDRLTAVKFDPELSHILRGDEEQSLPKME ANGSSQPRNGQKRCIPRWYDAFLRKDDFATDPFEKTEKENSTPVVRTMNSMTLYDALE LPFGATIDDVRSQYKKLTLKYHPDRVIGESPGMQEAALEKFKRVSRAHEVLSNPEEKL LYDVSLGMTFSAAT XP_844239.1 MNTEGLPLKVLSDAVGTTVSLELKNGELYTGTLSEVVDNMGVLL TSARKTTMAGREVDMPKVLVCGTNIVFFQLPDALRCCPPLMKMGKLLPSDLDGRGDGK GFGAHRSRKKPKK XP_844240.1 MRTSADIACLLAQYIKGQPEERLVTAAAYPDIFTPDVVEELNGA YALLLDAQRQAREACCAVDDVTREHGLPTALRITPKEQNELDVTEEMMIKRFAEEVAV YLSEGGAPNISSDAEPTSVLNSHCVDLRWHQHSTLVLHVLSYLPLEFTLSVAENVCKG WREWLFIPEFSGMFWHECTMREYPECVRMLLLSGGGDARQCDWRTFAMLCCSVDEEDI SGEGTRSPDLVHS XP_844241.1 MLAPFLVSPASCLSSPFLCFLRSLLFTVAGSNLLVKWLTLSRAR QENMSEVDQQHGGHSALECSGHLSLTKRPPSAQVGGDPLILGVKSRVKELRRLVEEKS RLHKTLENRLRVQTTETARMMSELQALDHKIEEAQCRKRRLLRLDVAARKRQEQLRVA LKEADTALMQLKSRCTQPCGVGMGASPEKLSSQALPIVPPSVGPSDEAVRVATACSTC GEKEQAGLQQKLYSVRTKYRKLCEENRKLQMALDDISAEETATSSSSTRKSSGCSNSV NKDRLEYRVALEELIGSFMEATSVSASPH XP_844242.1 MTTSDELPANGELDQPERIPDVVECERLKKAGNDLFAADRVAEA LELYREAIKHAPLKPVKKPTQHRQDEVPPCGSEGTAQNSIGESSVVSEKSSAPGTEKS SKVGADDAAQDGDDDGIDYTLTSQVYCNAGLCLSKQGMPEDAITHLSEAIRHNKQYSK AYYRRAECYYVLGKWSNAYGDYEEYEKLGGTLDAGSQDRKRQAKAKVDEEMQKMLGEL KNMGNRFLNYFGLSTDNFKFDKDPNTGGYSVRFEQ XP_844243.1 MFRFFSLRLAPAPSADAAAKLPAGKPANKSWFRHNLIIRRKASY RSRWGTGAEGYGTGVPFSDQVKLHCVDNTNCKHVRLISKATAERFAHCRVFPAVAHRV SVQRFKSGRGEVSRHRVKPGNIYWVCLLSRRQTNTRMSGLRTNFDRNTCILMNDQRVP LGTRVMYCAGRHVNHKYHLKAVVLANFFV XP_844244.1 MSFAWTRMKMRLTTRSVDIMRAFCDGCNRAMARMSDPVRRLGRR IGEESPWVVVLFVSSGITVFPLVFYPVLKPYLMDDPRYMKEEERVRLCLQKGIDPYPY MRHKDYVFGNVAPATQTEEEVPLSASWEHQAVLNFQRAKEELRKEVGGDVNDSVTKLL ALREGLHKEFKRQRHAVSEEPSNLVFHQERKIGTDMT XP_844245.1 MRGQRSGKFAEYTATYAILKRIGLHQTVLIEKRDASVGYGVYVR DACDSGTPLLVVPSRHAFSLSTVQKLGARVRQEDLMQSPQLEILNRGVLSRLLGCTAG EWISLAWRLAIEHQRSLSPWWGWLNVLPRMQDFRTMEDESGRQCRLHRVQLLPYFIEV QGSMQEEICSAYEVLSEKNLMPSPNHFRWAVDVILTRSHRLPACWTNGEGSSVELGII PFVDLVNGDDGGERRRNARVEVAFEVEELPRWYRDEFLQESERRHVNGEEALRRLLEE HFFALVALERDLTASEEVIMEYELVPWVTGALSHEDDLLLGRLLRYRF XP_844246.1 MSQLTAILCPRLIPGKLASGGASAALIEDIKKWPYQAAQISCHD LLATSSYCEGSGEVIIIDINSMQLVQTLHGHASPVTSVQWNPTSPSCSHQGLLLFTGD RTGVLKVWNVTEGTTVNSVQLPSNRPICAISLVTKKRILVVTQDSSCFVYDSHLSSND PCDDPQLTFALGTNEKFIPLCVRTSKLSEFWTSCVVLGDRIGMIYSQDQDRNGKSPRA CVKNLVFESTDGFETVVDAVFSESQEGLLYFATRNSVGVYDCRANLLLDENVLRYSAG DGEFRRIFSSAPSTLTEDTQQLPLLYSYGSNQRLTAWYLLRVGKATSVSTDVRGARIA SKVATNVIQSLSAPNVFAVIFSDGSVARWRYRVDCRRWVIDSYFSAALKKPTGFCVLG DNTLCCALEAGYLALVDVAHSNALRRINFVNTSNTHIILLAPHSSKECVWVVTNKAEQ SKQHHQVTLFDCHSGVVLQVLREPKYSDATRMKNITQDATGTFLLLTFFGGTFEVWNI TESRIIYSYEGTGVASASWAPQIFTPVLAGLKGAPELLLIVFSDGSMSFWTVSWDQVV PNRDAVPRFPNGSSTRVLCTPTRDASVVVDGSGAVTVVGVGTSGLHVRSLKDIPAAKT AIALAVSKGGGGSDNKGEPSDAGNWSEEYLAVVFSDGSFGVWSISSQERMGYSKNTDI NLKARGATWLGDALLLLTLTGNIVVVDKLLTSINSSVFSKALRRPLQNSAFFVPEHRM YIQTVLETQVACSAVPSEVRPSSDICPSCLIRGINPERFPCRGPFGQVITAAISSLTR ELDLYRKTMVPRYIYEGIQHAFTQSRTDEIALWVARFFGQQERQRLWMQFCLRRGLNC SGAEAGGDGGQQSKSVERWKGGPEVGPPVPYYCADRFSEVSAASEVVYNNHVYMNERR AEALEASKGKEIDVFSQRFLTARELLKLQQPQKAIDVLMDVSHEDNRFPHLSDFAVAI SASVAALSGPASELLTLTTSRVASLLRARGDLDSAVDKYMLSGHFYEAVKALQLSGKW GEGAVLAKLSPLPVKQQRDLICRWCSHLVRHGQVMEAAVMLASQALPFQVLVLLSESS QFEDIAGLLAMVLLEDPSFNTRESLQEPIVLPVAEDDSSDALTLGEVLLNVLVDYANM LNTVGNVVSAKAVLEYVTSLKGRNRGITSTST XP_844247.1 MTSRRVVNVAAGATRNRQHYLKLLRDCARELPQARMSTSEGATV TRVEEGSKLLATPRAKFLEANLSLPEGWVESSTTSLQKSLEGVVPREKIVENDLFRCA LIHPSYVRSRSVRAAVAMPIELTLTGSSTLRLLKQVADAHNLKGYLGSEEIANVPRKL GVIDLVLFDGTMFEGAGWKERGEPPEEVCMAAATALCGVVTLSEGAHSAAVLLDRIRG LNPL XP_844248.1 MAVVEIGWNVRAGYVGDTRCARQFPSLHLLEPPAETSFPSVLMA ADGAGSESVSSMWTLQSLDAQRDSNMDYLSRIIGDELHCLKEETLTLVVPEVWHERFD VMRALFHSVLESGVATALYCLRPSVAWALSSGKCSTVVLDVGHSHATAAAVLDGYALR GTVASSDVAGKVVTEVLKGMLDSEQLQALEGVARFKTPTVRALAMDDLVGDIKRLACT VRTDASESNKEEGSLVLRAPDGSAITVEGRARVEAYEVLFRSVGGFGSSLGDLVVGCK QRLDPEWQLQFVSHTLCGGTAQAPGFRERLLTEVQQRDSCYFRYEKEGGFHLSRAVDG AWVGASLATDSSSFASLWITRADMEEEGDSVLYRKLFY XP_844249.1 MDTAAEMYAMREKSQANKNDGDMAETTLGRKCPFAPSDISPFVA MALQDHSAVWDISDVKSPLRRAPAKRKRTESIGKDMNSSVKMEMTTNTVATMAYLLQS GSPLYDPVEKLWRCWNGHELCIKRISDYSGAEEVTCDFCGVTHWCKNKEVRAKPHRLK KLPPEGLLPAEAEPVDETYLYNCSECGMDLCAHCASEVYQDERYHVPCMQCVQCKLFM HDTDGMLHRCQVKRTRVSPSVLHKSPTSTSGCVSSSIQMDSTGSCGVSVGREQSITTQ LLPSDVWEVCVAAKNAEEEVRARALATTLFVKETYVPDTVKQLTFRMPTRLAAEELAR RGRDAGLCAAVRKSFV XP_844250.1 MMELPMEMNVDGVPMVLSKVSYSPMLDFGGTFSYRRYCFVGELQ PEGYVNWFFAPLEGYKDKRLRVHESAVKEGALNHLVFMRLGELSFRQYKLKHIPSPTE QPHSPVTPQGDSTKQLMGHAHPHFNYIFEAMRQDQASSPSPRSSSIGSDCTYHSSKES CESLQMSSSLVDLPGKRLSNASLRSGVRPPLEARRGAVCDDEGFLPPVRSLKKSSERS QEL XP_844251.1 MRSSDLFYLKSFFLFHIPETVCQFPVLPPSTCEQVHMLSTPPPQ LLFIILFPPFPYCYVLLLFVSAPCLSHVVSTLNILTSLLFSFFLKTF XP_844252.1 MVGFKTLSSTAPLAFSEFSHLLLEALLAAALAVALALHFIRRRN TSKIVEPELPPLEEQQRRIAAFRSQMFRADAKPNANTQLPNRTGMSEVEARNSCYITL RDESDSSSREYLDLVTEDFHSFSTHPTVVDVAKKIVVAYGVGSCGPRGFYGTIKPHMV LEEDIAKFLGVEDSLIFSFSFATISTLIPCHASRGDYLIVDDGVSLPVHEGCTLSRAN LLKYRHNDMAHLEEILREVQIKEMKEKKLSRRFVVTEGVFKNLGDVCKLPQVLELCEK YKFRIILDDSCGFGCMGPTGRGTHEHYGIPTTRIDLYVGSLSQAMGGVGGFCAGEHAI IEYQRLTAAAYVFSASLAPYITAGVSAVLKLLDEDHSFPEKLQRNAGLFRGAIRSAGM NPEKITLVECAGDVSPIVILRPTDAYVKCHHQKVEEELQQVVEAARRKGVLLTRHLFS KEEACSNFSALRILVKGTATEDELLRAAKVITEAVKSVFV XP_844253.1 MQSPSAFVAAADQSNYRVPDAQLATCTKHGGAAVLCATAGKVGR NGRTAAVGATLFWLTCPYLNTIVARFERHSAISALQRLLDNDRKVSEAHVASHARYEE RAKELLSSERWAFFDAHFVTGAAESAGGRKYGNAAVSHATDLKCLHALVAQSLCGASN PIGDAVIHYILLLAEKVKTIKNASAPLSSEKGSSGDCSTAEVCGGENSFAAESLALDE VSLLVEFVKQRLTDDIRGDRSDLRSVHDADKLCSSACEVLTFLDGRPPRSRKKHRIN XP_844254.1 MEQDSHPRIGLMLTEGQFEALVTRLHDKSVEHKAETLRQLDARF YPTAPPKRLPKEAIESSVVRQVDHEMNRRRAARENLEIQEERKTLSKKISSADVESSV ERLYTETLARKKANMEESRKRYLYAGPDMVKKNAKEIQEYVGRLAVPKKKEFTIEEVN KVYDLV XP_844255.1 MRFLGAGACSIVFALSEEEAREWGHLLPSHLLWQLALRLSARKL LRCPTCCDALNDFIGFRLGIGLDDKLRERCAAAYPLFAARCQEALYAELVSNFAADPR HIQLHGGRSTSDGVGTEAMTDSWPHYSTLAMEIKPKGVWRRPAVVGVVVDGVEYYLHP IKLRQCRFSLMQLLKRKRHNHSTPVSMERRCTYCPNQLLLGDGSSIVDALQHLSEHPG NNLAILHATDAGQGLNENSIDIVSSVLRRSGILSILANLQLFGSSSSCEWPVLDIELL FRWSEARNMSSVRWIVCSTCEGQFAAESCACQSELPLSLCMETCSGIHLTHFIAPPMG FEECVERFYASVTAKDVSVLVALTISEKVELPPSLSSPPKLGMDGSAAEEKSANLCSN PRVRFIECGDTPNGVTINLEHPQAAICRVGVVDLDAKWHKPLSRYFELDREVLAAWED YKVVSAPEFHC XP_844256.1 MRCSARIFCDDTLLRQWKKHLTEGQPLTKGDAFRLMRRTLNETQ RAEQQQRLSFLASKGNSTTVWKALARHNITWRNSLTLMDAQLAAFSPSRREFVCSRLG PTSRLWMQLPHVIVGAWQTEDDMLAVFNRLLASETIVQFASIVLAAIRERPQLGGVLP VVSCVLLHCYELGGKQPDTALSCCRLLALVGAEKLPRSEREVLAFRYAMLYATQGQWS VALSVVNAAHEVRPSAKRLLWLHTSRMVQWIKAIECLHPVEPSFVASSIEYAPNWLCA LRTYERWRSRDAAQALLIRKDCISAVGWEASLHFCSQNGVDSYGILRPILSMVPGDHP QRMQILTDATRATGESMYAGTLSRRAFKLAQRGEWAEAIGLLCGCRYYDVALPLAPYA SRGAALPHELLAYEGALCRVNLPGGLQAPVSFEEQLAVALHGDWRQLKNVHDNARLKS LMLARSEPKLSPKSPILEESSEIQCFTFQSEELSRLVCLSASSKVQQYFVQVIPQELR KHHFGLRRRGGLKPVSPDRYAKFLSGNEIVTDGEGCNALCAIVVEHLRLTNKLSGEAF LREVSRCLASGKVQLTAGLRHEFSLAVLRATPHFGRLDATLSSRIALTTPLHYLTAHA VALESCMSALALTGQWERAIVIMQRTKPPYPESSAALAYVAPRHLALNVVKLLWRGNP QDRWTLVLQDLLHGDTRFATDELVISAFRTKFSNGRKDVQWRRRVLGACCALLQSSQS MHDVMQTAKLSTLCALDVDEHGLQRLLRVLSWEQALTAIGDLSENNEVIDEHWLLIAC MKPHIPFDAVSKGVSLFPYSFLLNTVRVYYQAVEEKSLVTAVKAVYRYQMLVMKEYRA DATYLRPLVTLLKGLLLHYDGERWSDTTVWPLVRRLFNHIVEGFGMTQLGREGRRGVP VALREDKPLHALLIIGLLYHHLSRVMQMPIPANITSHLLRSAAYGTNDYNAALYFFKS LKHPNIYERSLLVFALRDVDDAMTLLLRAGRFVHDRPEQALLWSDSRRGSDRWLLSIE LLSQSPPPRGKLLNLCRGWTWAEALRVMELLRRIMGTSPEAKMYAELVRECHLGGAAN VVISPIPTNGEGE XP_844257.1 MQRSTRIVMAPFKSVLRRHKHHPEGLPLYNDMSKQWLCREGERW WLLDARGEQLPRVAAVAAQYITGQHRPDFTPGMITGDHVVIVNIKDVVMVGDQWIRVP ITWQTAYPGGKYRIRLTDMYERDPCMLMWWFLKDEVNRHFVRKLKTRIAPLEKAWLYE DSIHPHADKNPRPLCWTDPEVKGWKYRDPQFIRRWKPNEFMH XP_844258.1 MSSDRNPYKTEQRMGSVKAVSGPVVIAENMGGSAMYELVQVGSF RLVGEIIRLEGDTATIQVYEETGGLTVGDPVYCTGKPLSLELGPGIMSEIFDGIQRPL DTIYRMVENVFIPRGVQVKSLNDQKQWDFKPCLKVGDLISGGDIIGSVVENSLMYNHS IMVPPNIRGRVTSIVASGNYTLEDDIVELEYNGKVKSLKLMHRWPVRTPRPVASKESG NHPLLTGQRVLDALFPSVQGGTCAIPGAFGCGKTVISQALSKYSNSDAVIYVGCGERG NEMAEVLMDFPTLTTIIDGREESIMKRTCLVANTSNMPVAAREASIYTGITLAEYYRD MGKHIAMMADSTSRWAEALREISGRLAEMPADGGYPAYLSARLASFYERAGRVTCIGG PKREGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLEKRLAQRKHFPSVNWLISYS KYLKALEPFFNSLDPDYMRLRSVAAEILQREEELQEIVQLVGKDSLSESDKIILETAK VIREEFLQQNAFTPYDKYCPPYKTCWMLRNIVTFYEEGQRVVAESAGEHKITWNYIRE KIPHVYTGLTEMKFRDPQEGEEANVKYYKKQHEEIMTAFASLVQ XP_844259.1 MGYKFHFYRLVLVLFVFSVNEASSSFFKITAPIGYKEGDEVPVL VNSLTSSKGVVPYDFYKMKACKPAAHVLKEGSGKENLGELLLGNHVLPSLYSVNVLRN VTCQPLCIVSYTEGDKKDLDNLITQSYRGHMFLAGLPLVERIEKGTTEKLRLGYRLGV HVKGDEKLKEEGAAGDKCLINNHIHFTITYAVLPNGEYMLTGFYAKPKTLNSPTGCPP DGASVDEWPDPASTGATNVAYSYSVTWEQDSSEGVFVTRWDVYWRLGGAQRKKAHLTA LFNSMLLLGFLGVLVMIVLLRIVRRDLLMQNDMLVSGDVQEESGWKLVRGDVFRAPSR PLVLTGLVSSGCQMVAVVVLTVISSTVRKYQPWHSGNLLTNLIIFFCCSSCVSGYVAG KMLVFFQIRTWKNGIAAVTMVPLSLLLGYLAGNMISWSKHGSTAVSLPVLLTLFFLWV AVPVPLSLIGLSAGFRASAFVLPTKVGSIPRAISQQSVRRRYMFILGGGIVSFTAAFM EVICVLGSFWKGQPFLYVGYLFGVSFIISAVCAEVAVVVTYAMLSEEDYEWWWGSFCT SGSCGVYFFLYSVVYLYGALEIRQPLSVILFLVYTFEVSVFIAVFLGTMGFVASAVFV RTIYNSIKAD XP_844260.1 MVHSHGYKCGTRHLFAKKFRKHGVPSVSTILTNFKVGDYVDVVA DAAVRAGMPHKYYHGRTGIVWNVTPRGVGVIINKPVRNRTVRKRICVRFEHVRKSRCQ EAFKQKLKDLEAFRAAKKAGTPLPPKKVSNRNGGFVCPKKVEVLARRTADYEAMIPY XP_844261.1 MLDALPLLLVFAAVSLCGGSALRVGLVSDPHYDPGYGTSKAYDS CHKPAPKYGRMGCDAPKALITSFQQDMEAQAPHVVIVNGDAQRHKFSKSGFSINDTFG FIVRAAVKTVQSGETGEFGLGVAVSLGNNDMVPNYHFDAFKQESFTLKQEEILVENGL LAEGQRKSFRECGYYQRVVSPRLRIIVLNTVLWCFCNKVPIPDTVVDPCHQMKFLESS LEDAKKENAKVIIISHVPPYIDVWGVLSRGAFGSVEKDMYWKPSFQERYHALVAKHAS VVVSQIFGHIHHFLYQVAGPDIMSFTIPSLTPLYGNVPSYFIAELDDVTMKLKSLRHH YLDKTTWRRAPDVESTVGNLSSTEALTAFATKFRVNSFLWRRWIRLRSGGGKASGKLF PKGTCNTWCRNLISCTMTRVKWEDIKSCTEGSRGGKADSATEKLPAVAAMPLFAYYSL FLLACSSAS XP_844262.1 MERCCTLFVIFLSVCALTIAPDLLHQLVLRASATSVLGRDTLPK LTVSLLGDFNYDILYGRPGGYGPCNQGQASLVTPGCESPLKLVQSVMDDVAKWEGTFT LFSGGLMRHSMETVTPAEMEYTMKEVIGVIASASGVNGTQDAASQPTVLALGGNDLSP ANSFSPISAQPQYVQLLRLMLSEGLLTREEHSHMSYCGFYYRDVAGTKIRVIVLNTLL WSNSLRPPLSVGDVDPCGQFPFLQASIEWAKQRDRSVIILGNEPPILNVEEAVRMKSV GDATYYWRNDFREAYLRIIGSNRFTVAAQFFHTGTLGFVASSKLGPPMYIIPSVSPVA GSNPSYIRATLDSNTGRVVSLQQRYLVENGSWVYGDKLEDILHVSLRGMNEYSVEDYL TIAQSEAHWEPFSRMRAGGRYLTEKEHCGFWCRHLIICASVYYEKTDIDYCTTSVLPS RSLGVWLSIISACSGGLALVAALTYGFGSRRIIFSPPPGAEREVTQRLFSTRAQKVYN XP_844263.1 MYSKTPCVLGMPYEAAGAVLCNNPYRSQALSWYRKFLKAAFTVP WETDDDALYVMEESRRLFRQNARLTDVEMIQRKLREAEMRYELGVHYRIPYPRPVHKM QGSLQESGVPYAADLDSMYDHPVNPRTGRISEGSANYGVMGGVDNSNELLEGEMGADA EDFSK XP_844264.1 MSNALFCLHRLLEVLLYLPRGSQDEQMALVQNLHEFPLATIERV FLQISHACITHKGPEVSNRLRRFMHWLAGRSFTLALRLSWTVDSVRDVFSAVGLGGRV KEVHDKIESFAINRKGVADRTNNTDGVEEEIRRKELRLKLFNDERAFLNLITNLSKHL ISFTKRDRRQHELKKELDEINKSLRSQSLICPLGCNDDPVKWIVNIVVEDSVVFFSRE RAPFLLRCEVIVDSTATVNDPTTSKLRLPNGKFKISAESDELMEEQSLDRRGEDVGGE VTAIKSASPDMKLFRKVFGELPEERAARLREKSFFGRHPNWGTATFVVKGGDNLRQEE LALQLVDLFNNIWKNAGLTCSLVPYRALAVGVDSGIIECVEGACSIDGIKKSCQMAYL PQFFNEAFGGKGSQRYREAQRNFVETMAGYSIFTYILQVKDRHNGNILIRADGRLVHI DFGFMLVTSPGGVNFESAPFKLSQELLEVMGGVGSSPFNYFKLLFFLGMRAIREKADD IVALVSLMTPYNTLPCFGASPEVAIQQLRSRFRLDLEDEGDFALYIKELIVGSVDNWR TRRYDQFQTLQNGIL XP_844265.1 MTCRSEETNWGLLFDIALSHGESLRRQRLTYVGFMTLLRSAGVV GPVTGIYQVLLGALWQHHGLQRSCNEGGKGGETKNALDLTMDIDGFITIMNVVCIRCY QAQRFSEMLEDDHPISEAVTLCAEEQGKIHSSVTYTMRRFFKPFISRSIVLKRMVVSL DSRKNSWTPYANRLITHILAASADSIVFPLFDRYAECGRIYRPSFEGMVDDIFPHFSP SQRNAALSVFTYDGFTGIHKLMKYVHPDVDKETRAALELHSFAEALLMLAIVAFSNES QHKHHRPFTAKVWAAFEDYYCKFLGVPMVSDPIKDNKFASITPSANLVFPAEVPLNKL SSFLISGWNLTVDDWPCDTPDPGKGYPPRILKFPEDGFDDIPLMDKQESAAKAPTSTT GMAAKLFEEELIRPTPAEYSLPIYGVQRCTVYVDEQRANAFQRAPNIVEVVIPKSMWN ISIDGTKVEFVTYGDEGKLLLRPNKDVTISIRDVKGSTTFETKSVPLLESPFEQVILP PQMEVLRTAFSHHAANDLLPVERFDDACAELCIITSGTKDHCFLFAKSKLEGSEERIP LKGAETLESAQGISFSEFVSALATVLLRRHEARNTLPDIPYLLAVGSSRMKKSLPPLA EWKGENSGNPAQWLPLKLTQPHNARIDVPYDNALRKKSSFLRRYSGTEGLLKSLGKDH HMLRPLPAFPVEVKTVDVVSQYDDDEKGLFDKVAKTSSSLQESFLKEEMVVSRKGWTL E XP_844266.1 MRHLHTAKILLLTVVSCVAGWCSTCIAHANTGKVGEARTLKAVR RSNRAPRFPVEGDGGLHRTDTQLKTGAQGRQEADENNVGRGSQVMRAKNHNEEERDAA HTTNGSARVKPPDDKETEAQQPDDNESEPQQPDDKETEAQQPDDKETEAQQPDDNESE PQQPDDNETEAQQPDDKETEAQQPDDNESEPQQPDDKETEAQQPDDKETEAQQPDDNE SEPQQPDDNETEAQQPDDKETEAQQPDDKETEAQQPDDKETEAQQPDDNETEAQQPDD NETEAQQPDDKETEPQQPDDKETEAQQPDDKETEAQQPDDNETEAQQPDDNETEAQQP DDNETEAQQPDDKETEPQQPDDNETEAQQPDDNETEAQQPDDKETEAQQPDDKETEAQ QPDDKETEAQQPDDKETEPQQPDDNETEAQQPDDKETEAQQPDDKETEAQQPDDKETE AQQPDDKETEPQQPDDKETEAQQPDDKETEAQQPDDKETEAQQPDDKETEPQQPDDNE TEAQQPDDKETEAQQPDDNEAEPQQPDDKETEPQQPDDNETEPQQPDDNEAEPQQPDD NEAEPQQPDDDETELQKRYERDGKVSLEETREDGTDFVVLLKQLADDASALASLIGDD VYNMRGRCVVQNQLVPECREGVMKLRQVVAKIVDTVGLTDEAVQGGRDKSDFSVGGCR CTASDNTTVGDSHGNTPAPGQSQTLDANPFAGGDVGTYGGETSSINMSTLFFTVISIV AVSASGFLQQCWKQRRHFKTERVSANEVQFQCAGRVSTNLQHHGDQAAEVHPIKGGPQ SGPAELFPGAAAGVSAPLPPVVNLAPVPTSAVKQLSMGPSKTSLAHPKPPTAASAGYP AAPLNFTQRHQAGSPQPTRHVWGGDIPPVVEGKRDSSDDPDVLVNPFLKKWS XP_844267.1 MEAAFDAIDRQDHGTLRRTLSCGNVNTTNSDGYTPLYYACMKKG VGVSTVKEILQLGAQTDLKGSDLETPLYIACFNGKRDVAQLLLERGANPNVVNGGGGE TVLHLASRIGDAALIEIILAKGGDINARNSRKETPLFVAAKAGFHEVVYRLLRADAKT EVCDIDGKSPLYIASERNMKHVVILLKSEAKDLNIAKAAADDILRSIPAPLKTTEEIR DEAALEGSGVWKIKHEVMAKEVKKEMKPLDIIEIVVPHPRGDVRDLLGGAGSRGPCLS LEEVGYDAPPVVPPSLRNLPPVKPQRIGGTMMRIGTSIDTMGVEPVRIDTVPGDTMEF SLRRD XP_844268.1 MAKKEVVWLGTPTEADGSELSSPFTSRIGGSAVLFREAPTYSIF NCPKCRSSRWVSLLTQIYAPLDVYDRIVYVLICSSCSEGKGSFCFAVRSQNFNPSYVQ SSPAPVVGGGEDEGITFVEDGDWGDDDPKYVDEGPNEVCITNLTCTTMADECKVGSPT QSTDVSSVLVPHGTKVPVEGFCYPCFVLNIFEEPPKPKLCYGTVPDQLLKAQQTYGED AVETTVVEDEDEPLAEKLLRKYVDRIGRVPSQCVRWGPGQKPLRSSPAPIVIPRCPRC SNERRYELQLTSPIIYFLTKGKEEKDHSLHFGSVLVYTCGGNCNVQPYSLEHCVVEDE V XP_844269.1 MVDVHRKEGGGIGVSWVRAIVFVLLVYVISVTVDFCYNVYYDRE AAFQNVLNCSLQVFRSNSVDCWLQNGTLLGSARLGRLLLWDADLDIGFVQANHTEKLQ LLMNELDSKCFGARSDRRRGVRNPLLVFRKCTERICAEFHETSISNGIVTTGDGASPQ RELFPLRTCTIGDVVAQCPYNSSYYLREAYGSGWLTASLLEFF XP_844270.1 MKVKIIEWLVFLSFVIYTEGAEDEGRCRLVDDHSFKNLHESVCY LSCLSDALNKLYTNGERKLLVNEEVYANASRILDDMEGRAGESVKYLSVISDAMGGKH DKLEKLISYGNTMGDLVAKVGGLFADVNESVRVVRELLPTALMEANRYYTASAEITRT VWDDVKAVEGSDEEAAKCKGENIGVTEFPTTCGNHTCPLRDNVSDDTLQKYKDGCLEI NVLKTPGYVSECLNRPRNKLYMDGAVNNLSDTIKWRGESRDGAIFFQLTVQVQNVFGP LIGPFSAGQPPPVLLEVMSNITSLHSHFNKVHNNFTSLLFDIDVAGNVTTANSSI XP_844271.1 MREPPYDVETTSMSWKLENAKSNCRLLFRTLHVEGVQRNRLAVR NVIRKTKMVSPKTLRRWEKGRITLTPHGRSMGHAKNNLLCRFREGETPNKKVDFNILQ HFCHKRHQPPHRRATGATAASTDRTTSGSLLGETGPGRQWTLSKHLENVPRYRTPQFK EFHLRCQSLHHPASLRSPLFTLTS XP_844272.1 MVVPVMNMTREPAVDSPENSRKISLAGYPEVAGLFCVTVFKSTL DLEVRDCCGLLTLDGVGKCVELKKFSISNCGMLTNISDLKDCVNLEMLTLSGCKTLRN LTPLKGHRTLSCITISHCERMMELDLEGATNLMQLNISDCEELKDVHVSRCTRLEVID IHDCVKLEKLHGLTYLRELKTLILAGNRELSAVEGINELINRVEKLSLKNCEKLEDLK FLDFCNFLKEVVVAGSNVTEEKKQELRGKGVRIVNEVKVADG XP_844273.1 MEEGGRYAACKAELYKLQEKIKTQETPRDNCAEKEKMWEKQRSE LEQEKDECLRTSDAHRTQKQDLEDRVRYMDGLTRAMISEKRFAEERRNISEKENEDLK TRVRVLTHKLGVLTAMVQKLHISHMIKDMGIAMLQAEAKQAAEEMETNHKKDVAAAEE RVLQECKEKFCFDSSGNLNAAVFIEHNISKENRSFLLEQLNCSKENQGDTKREWRFWR KGANDSSRPKKSADHSESNGSSHGNNIHLVLRVCLSLLLLTALS XP_844274.1 MSVRSDTFLGGRGSRVPSTGFNSQCAKTHAYQRGADGGFMGRKI YCQPVPLYCCSLLFMQWYPVTLMDASFLVTAITKQKKLEKIGLHSDHHHDFSHMAHMR LIFPRHVIFPVNELN XP_830033.1 HMRLIFPRHVIFPVNELN XP_826022.1 MSVRSDTFLGGRGSRVPSTGFNSQCAKTHAYQRGADGGFMGRKI YCQPVPLYCCSLLFMQWYPVTLMDASFLVTAITKQKKLEKIGLHSDHHHDFSHMA XP_844275.1 MQHSSFEVSIDPSRTDSFVLVSNEFIRGNLLPYYGRNIPFVVPL RISDGHKNTYVGCLAHRPHNFAAFKLIISVTMATSLGVTNGAIVQCTAVFKFAKAATV LVRPSSVDESEVVEQNALRIEAQLLRQVQVVFPAMTLDVAVFDGVNAKMVVERIEDRE GHEVTSGCAVMAEGTEFVVATRTRHVEQSGAPTWSVLRCLTWRKEKGFNNEATAGDAN VEAVSLYMNPSTAARHHWSEGLVMGFWDLAKASQLLESKEVTSSFLRSNALKAPIRLV EGMEDGVCTSPAFIQASNIVVFPTLDENIPTATDRDCPSASTSPFSNQIPYESVVEVH GTAPQELREHLVCWFEEIKKLGPHHSKYGSNGNVLLCGGSGTGKTAIVGAVLNELHGV HINVVQCKAEKLLASLQRALVECVMCAPAVLVLDNFDAVAPAQKEESVLSVTGATKAI LEGTLRCFTEALSLCGAASVLVVATCANRQCVNEGLRSAYCFTKVIKVEALDRKTRVA LLKQLFPKESAEALEEVGDLMGNYTPFDMRKVSQPIKSSLDGGRVPFREAARGAIAAF TPLSHTGITFLKSEKASLQSVGGLAEARKVLYDTLVLPMKHPELFARLPLKTRSGVLL YGASGCGKTFVVEAIVNSENLNCIVVNGPEVFGKYIGQSEQKIRDVFERAQAAAPCVV FFDEFDSVAPQRGVDNSGVTDRVVNQLLCYLDGVESRKDVYVVAASSRPDLIDAALLR PGRLDKAVHCPIPSLEDRVNILTICFEQLQAELTLPEIKEIAEQTINWTPADLNGLAS SASMVVNRRIIEKLSKRCEEREVEHNFAVLNVGKGTTREKIEDILRPSAAAAAREEAL NVANRITIKDVRQAMGMTKPSLTKEHIWEQERIHRLFSKQEKSSTREVGRKLTQR XP_844276.1 MKFVWLDTKPQRYICANRSTYRDIWPRLASMRVPAAYSLTRWVA RKGGAFHCALRLHPHRNRGCCLVAQRPIKSGAALISVPVSLSISAFTQGSDDERNIAA RWGPLEELAGTLTRELHNPSSFHRLYLEFLHDVYNSDATDQFAVNEPLQNELDAMYMG NALHVKGVPNAPFISKSKLVTASQRADWIRIDYLRRRMEQSLPHFASKSTSWGLSMAL ARALRDDDGGLTMYPLIDFSLHDYEPNAMIHLTHPECHSEGSIGGRWYDKTQPCAHLV ARQTIPAGSAVTIAYSTRAAASQEDAEYWKMKWGFVPDREGRARTVETDGERRVPTGI XP_844277.1 MSGIKIEEVISTTKKERVAAHSHVKGLGLDADGVAKPTADGFVG QVKAREAAGIVVELTRTKKMAGRALLFAGPPGTGKTALALGVAKELGPKVPFCPMVGS EVYSAEVKKTEVLMENFRRAIGLRIKEQKEVYEGEVTELRAEETDNPLGGYGKSISHV IVTLKSVKGSKQLKLDAAIYESLEKEKVAVGDVIYIESSTGAVKRVGRSDAYIGDHDL EADEYVPLPKGDVHKKKEIIQDVTLHDLDAANAKPNQGQDALSIVNSLMKQKKTEITE KLRHEINKVVNKYIDQGVAELVPGVLFIDEVHMLDIECFTFLNKALESTLAPVVIFAT NRGSCRIRGTDVRSPHGIPTDLLDRLLIVRTSNYSIEEVVAIVDIRARVEGVSVSDAA LELLGQIGDRTSLRYVAQLLTPALIIAETNGRSTIEVEDVTLVDGLFKDAKASAQMLH ENAEDYVYQ XP_844278.1 MRRFMSLGRCVTMVERVGTGDHRGAAAIRRCKIPPTHVSNGQLE ELHKSGMEFFEKGDFRKAISAWEAVLTANDGGGGGNSTTLMNCLNNLACAYGETGDHA RKLKLLERSRDMVAHVYGDDHPQYGMVLYNMASAQEEMGQYQEMEDLLQRSLALHERK FNADHPKVGRVLLLLAEAHGYLGKHEAQLQVAERADKIIRRHCGANHIQTTVAMLTLA RAHGANGNSFQRLQLAQQAYDIQETRLGGMNPQLAVTLVELASACGANGDHFRRKELL EKAIDVQKRAFGSQHTFLVKTYTALGDTYGDLGEKENQAASYCEALEIARQRYEGNHI TLGTAAAKCALGCARVGNMKKARALVKEARAIIEATASETHPAAAQLRHIQKEVEETS RAKDGW XP_844279.1 MSTTPYDDVTEVSRRCAALREALSRADDDVITTPNKKYLYLCKV SGERPNATFQAFTEDMTKVSLDLHKSYLSQRALLPVLLTLPLCTWLERINLREERLTT TLIHLLCEALCRLPRIKVIDVSGNPFGSFGVDALLKLVKCNQRIVDCRMDGVQCVGSL RRRLADACERNAKVAHTFVDREEMPSECCTTSLDTTAAESDEVK XP_844280.1 MSTALFVQATFFNTDNKSTDNKLMTTAAVSHNQSSQDNIMVVNL QKELQKRLEEDAGVKTLYITMGGEPRFNRALFENKSMALFVGDSMSIHHFTDDYGRIT RRLLILYPMTFSRREEIPKQQILKKVEKAANQPEDNCGIEVVDLVELQKKHVYLEGQA AACYSYNGEFVYMALSGRSSEQVLSIICAPENLNIPNEKRFVFTAVLPHRSKENGRVI GEDVIPYTSLVGWCGKGICAWGLEFLRFPSEKDQEAFYEHLENNYSKVINLDESELRA FCGNAREVAIAHGNGERRVLCISHQALNALRPFKRQMLEDWYGLGNILPFYADVLERR AGRSVGSLVSVPVIHGEVLPLPGELGVIEAAKVDIKDGGC XP_844281.1 MFGGGEEYFRDPPSIDRDTLRRFALFCARLRAVEKPGKKTVSEC TQLAREVGNNEMAFELVVGTLFRHIKSWTGSKQLTCWYVLDKLCKEDRDKYGYTASKY ILDIGRDYIPYEDPLLGPKYESLVEHWEGVFPRHVVDAIWLAKKDRLWALAHPEEVLK QKEEEERQWKEEELALEDEDGLNDHGQPCMDYLQGRCFWGDDCKLYHPPGEEGTLPPE CRLGDWKCSSCGAINRHFQRRCSNCVREKPQYKKGRKSTTEEDALSKPDPSALAAVQR QFGYDPNIAAEAVAHWNTRWESTPFEVYKDERRAAYRVRILGKPPASELEERIRMQKN YPNVDIGPPEETLDMGSMQSNLPAESLIPEHMDPVNAVAFLAQTIVERGVCDPKAPQI LAELALCVKQVATTSGTKLSGSPRETLLTACTIMFSAWEVDRVAVPFVPPFFREIRGT EASLGLSPEQEGNLVSMTKEF XP_844282.1 MRLSRYVQLNPEVIDSTLQRLSCVSQNITEVDIDMVFLSLLLKE PLPSPVQHAITELLPQGARAAAKGCGRVGVQGAGMNAAIAVSLHTVLLSRNRITTTIG LVQFKSVVRLSLLGNRVQRIEDCEGLSLLPLLQFLSLEFNPVTQLPHYRAHMLRICSW PESLQPKNCRLRKLDTRPVTAVEVERAAQCLQREKVTMGELVQRMRFVAFLIEAKKRI DLHHELRRRGVVVQDITVNMTVENVVTRCSTQLLALVDVSAASHFVRRLMSGRCRLCD INNHDTGVGSDSLCRSDSSLLAQSPNHSQGDINALVLHHPQHAVLTTFCKDWSKDVFR RATTSLDHRICGLLLDIAHALGEELSVVDVDHLNKLWFMQVAKVDNWRLHKQRQHRVG CEDGMSEERSPALSYQSANTPSKGTKGQSSSLQEELVEIMLAPNEIFTSGGLVVGDAN DEEVSLTCISPILRRAETVMSSCRKKELIKRGSEARDVSPRPLSEKSEGTVKTPTEAQ TASQGERDVGLSSSAMPLPQKAPSPHPSPWKEETAVDDEDAFLINRHVKKRVLRCWNS KAKHKYNNMLCSKFVRERLTNLITTTCPTPHSICTTLELLPRTERKRVLFRVWLERAR SRYLPRYFQLRSLLMRWRHRAYEMNNITYAENYYRSRTMHSVCNHWCRRLRIRENAAR CRLEKSPTTIEEPFGDPVPQQAYAGAHKNCVSEPSTREKGPQQLQPDEVMTAEADGLT SSGKQSLDCAGDGSYTLQTDKTPNVVQRLSLPITTPSVNKFLPTQPHSVSDKTTQTTE MAPGCGTVTRDQCAAARLDARVAVAALTEDRLRLVDRIIALEQRANEEAVTVQHRDRE ICDLKKRLGLMVLREECLESTLNDYKKKCEHLQAVVQALRNERRELLLSASR XP_844283.1 MTEKKIKKVVIKEEENELEMVAAGMGPAKGAVKREGDENKAALL ANEEGGGNDGETEWWTQGTLTTTKKGEKRWDTLLHNGVLFPPAYVPHGIPILYNQQKF EMTPEEEEVATMFAVLREHDYYRNEVFRRNFFQSWREILDKRKHPIRCLELCDFSAIY EWHQREVEKRKSRTREEKKELKRIADEEAEPYKWCIWNGKKEQVANFRVEPPGLFRGR GEHPMRGKLKKRILPEDVVLNIGKEAPIPQAPAGHKWKGVVHDQNVTWLAMWYEPTIG QCKYVMLAPSSTLKGQSDYAKFETARELKNHIDDIRESYTKDFSSTDEMERQRAVATY FIDKLALRVGHEKGEEEADTVGCCSLRKEHIELRPDNVVRFDFLGKDSIRYVNEVTVL PEVYKLLGSFIKRTDSEIFRKVTPTTLNNYLKSFLKDLSAKVFRTYNASITLDEWFRE KPVDPKASLSDKLVYFNKANTEVAKLCNHQRSIPKTFHVSVQSIKYKLEDIKRTIDTL RRAQSVSENGSVEEAATQFFKEQDEVQYQWLNTYGTPEEIQAYEEFVKKRVIPTALTG SASSTKNKSGKKTANGKSKKSGGAKRSTKKASGTKKKKTRSKKSAAKTGKKASGKKKK QKKRGGSKRGSRGKNGAGAASGDENDEDAPLVNIIAK XP_844284.1 MFSHAAYSAPGVHVLDSSSPRHLAAGPQPAAAPPSTDEVEILPI GSGGEVGRSCVVVRYKGRSVMLDCGNHPAKSGLDSLPFFDSIRCDEIDLVLITHFHLD HCGALPYFCEQTSFRGRIFMTSATKAFYKMVMNDFLRIGASAEDIVNNEWLQSTIEKI ETVEYHEEVTVNGIHFQPFNAGHVLGAALFMVDIAGMKLLYTGDFSRVPDRHLLGAEV PPYSPDILIAESTNGIRELESREERESLFTTWVHDVVKGGGRCLVPVFALGRAQELLL ILEEYWEAHKELQHIPIYYASSLAQRCMKLYQTFVSAMNDRVKKQHENHRNPFVFKYI QSLLDTRSFEDTGPCVVLASPGMLQSGISLELFERWCGDKRNGIIVAGYCVDGTIAKD ILSKPREITKPDGKVLPLRMRTIQSVSFSAHSDGRQTRDFIQALPKTKHVILVHGNVG AMGQLKNKLQQDFADRGVQVYATKNQEAIRIPFSVQRTAKVLGTLARNPPRDGEFISG VLLMSGHHTYSVVHPTDIPHFTDLDVAQIQQAIVLPLPRYKSPHEVLEMLKRYFAQSQ MFSDVTPHEQQKQEGGDAANAIGGGQEQECAGNATQITVSKDVWVDVQQSTRSQTTVT VNWTSSHHNDLLADVTCIALIKLMQQEHAASSADALPVDVCGNDRLFRLKCFHHMMSQ FYPSVVTNLGTGVCTVELEDGQHATITGCIEIDLQGNTKSSYSSTEVERLKTVLKRVY LTLFPIPVDQGWCDCGMIHGAEPAPIDEGA XP_844285.1 MVAVTIFLYVTSIASATQLVIGTAATCLLGLIPANAYFPPVWFR LNLFVCLYNLYCSELVGYRWLRFLIHKRYSVPHSDYRHGVRCLSGNIITRCPFPPHVL EGSEFHTRGGGTVDLKHLGEKCREGCYDGVFVMPVPVLLDNYAYFILSCKTKRCAVVD PADPTLVLNMLEVVRNLTQIDFMITDILTTHKHWDHAGGNMEMRALSQCSSERCRALL SPELNIYGSEVDRPHACNKLIKGSDELVVAGGGAKVVVLSTPGHTGGSVMFLVGDALP KEGEPQRLALFTGDCVFCGGCGALFEATSVDETLETVDIFNNNRTWVHPATGDIIHTD DVLIYVGHEYTERSLDMILSVQRTANQTGEQSAIATSNYCDTVAQARNGARRLRSCVV ADDVYMKVTSMETKAPLHLRACTVPSTVTIEKKVNALLSLKRCVLEEFQGKPYASMAV QRAIYTSTKRNDTG XP_844286.1 MAKSPIVARNDDGSSILVHLQGAHLTNWRNKEGTELLYTSSKAV YEKGIPIRGGVPIVFPQFGNMGPLPPHGFARVRMWAVKEVTNGSASFTLNVPLRDLLG HDSGMIAEAPIAEAPSAETETVALLYTITFSNSHLDLQMEILNQHPTSVAEFTFAFHT YFAVGNVEKTVVEGVNMTSYVNNMAPHQGVLPPRRLWIIKKETDRTYFNQACAVLLVD AMKGHTVHVSGENLPDVVVWNPHVEKSKKLKDLPADGYKEFVCIEHGVIAKRVKLQPQ FEWKGRQRILVLPSSKM XP_844287.1 MNCSSTLACHAVVSAPSTASLITSCWTPQQHCYRQLMKSLRAAY FHDRSKLFWSRHRVLVEFYKYSEEANEEAVKQLVAIGLEVAAFIDHHMRTDVERIVKH NETMMALPVAQAKKFRSDYLLAEKQHDSWCKQKIKNIMKRRPPPPYPFF XP_844288.1 MTVRVALQSIEKASLLLDNEQRYGSVGRGALIYIAFIGAVSEDA LKNAVDVILTANVFHNLSSSDALPRSSDSLSSFPSADVLIVPQASLGGKLKGRNVQFH ALVGKSEGELLYNQFCQLMRKARGVDSTTVDSNGVPLVGSAVGSSARVINGTWGNRQG LRLESQGPLTHVLDL XP_844289.1 MMSPSLALLLLFGSVVAKAVDILHYDGFVPEVEELVESNIAPLL AYDDGLLYTSGNSESGAAVCGTTANITPRMVFPITLKKLDSMHRRVQQGMPWSGTMLD LNMVEGCYTKYDEDGEVCAASLQSLPKQCGMDIESSTMYWAYRVNQRSPVAESTKVFE NGASVLVEDILYIRPQREELRRAIRLNKEFNKAGAELFEIARRGWKLNTTEEDQQAFR HAVSARGTQMVKEAYSAAMRKWKIVKQWLKTSIGKVDRQVPTFTAVGNGTLLSMDVCC NVAERIDDWMPITDRNLYIPGVHGRSYSELLTFFRGTKVNESSKERYPNVSHLPCAAV SLAVAMMLHDDFPVRLLYQNITGEANTETLWPWARFEELSTVTTMSPKYRSYTMKQFA YILNYTLDHSAETGRFGGGLALAECVTRSILRITGRDGLPPQPSLFDCYSPAYNQSRM KSTDNEAPPSKYKWVAGGEGMESYAGTPCPWGFTRASGNLWSTREPCVVCPPGSFSDG EGGCRCSSASYPTLMGCMTKRGRYPIKAKWKWLGGPMGVRVANRDNGQLVPLLVVKAP PNAEDGDQVKVLIECTKGLTEFKAVITSTQSNSSKTELVDLPVERNTYIFTTSNTTIS LDTSAAFGGDQCNFSTKVVNPKYSDSPVVQAGTLLVLPPVAELRNTALPVQQSSANAM AVEQLANCTSEVRNDPGSVGIALCVTHGQDVSVRIGTHAYTPLTNAMWHILKRSVWTN GAKAKELGKLMKPVVERMRLAVKVTFKCHGNVTTVGEAFSGNIAVGRAMHTVGVKSNN ISELVVTTQIVDFCTTEEGVHHTSCAGSRHLFIASDVSNVTFFLAPRNNTEGHRADDD DNDEGRNEGAKKPHEGGHDEGADVTQQSRMVSGMLRLRVPLIALGAVDALLVAITAAI TVLYYARYRKTALPEVEGNP XP_844290.1 MEDSFNLKKAEEAIQLVTEARELLLQYSHFRNAGEDAIIRLQGI LRAILQCSSLMQAYDDSPHRCAIYAHVSRTLLRVIDLPPDGTFPSSHRQHQQLQQYEM EAKPSVSSCEQEVEELGAAILYPPGSVDGLKEHGNTNRVRWVDVSGCEDAIAALKRAT VLPLRFPHLFQGKRHPPRHILLYGPPGTGKTLLAAAAAAEYAAPLLTVSSADILSKWI GDSERQVRRVFEVAASFPRCVLFLDEIDAIGGVRGGAGESEASRRVKTELLLRMQKSH VDGITLIAATNIPWGLDSAILRRFDQLVFVGLPPSAARFRLIVEELRHVPSELGEEDI QWLVNSTEGYSASDICRITRRAVMEPIQKISMAGYAKPIRPSTTTVSNSAPTDNELVS QKSSSGSPQYVLCDEDEEGARPIETVPTAALHVPAVCRENFECALREFPPTTTSEELE KFLKWRTGGK XP_844291.1 MTVARRTFNFSAEPSQGISKVVWAGRTTIAVMSSVGEVVCLRSG LCEPSNAQNDSENDDGGDGTCEGDSSVLLRIPQHAIDVCALTVNGYAVIVTTDHIRTE SYAFAISGGAAAASHQHRELSIGAFQLISGLSDCHGCPAVLLGCTLGSVVLFEWSSVK EKPIAIRSLLMGPTTRYMAISAGVQYVSVATSGEEVERVVTFCALGNTGAEDQAERGA LSLKMADGTPLCVMETVSDSTASHYSSVLPSWNDVCRLDSGRPQSVSSLLLVTQPQLS PTAAVPQILVVSVAQDKLHVLRNTSCTDVPAGKRKGSAEALRPETTIDAQIFLATSLE CKNALSMFRGDATMVATIRRPRNTTPGKLGGELCLFDSERAIVFGLCENCEVEPRASV GVEHESSLLAGLVWPFDTSDALLLLSGKLLDGCHGSNDVSNDARPKGETKKVTVRTIG DLTLVSEIPQPWRRSGAAGLDEERLTEVVRAVVRQENEKMMQRLEKRLEAIERTLSLL SSQRGRE XP_844292.1 MLCRSSLWLCGPKMTHTNAQQQVLEINSAYSLLRMCYRSIDIKV KSRHARQFLQRRLMEQWHVHCRETDPEKQRFLMDHAGAFLQALHSPRNPKPGEPIVFQ LSRRQAYEEALKEKAERATKTVHKLPVGAKLS XP_844293.1 MTLPELAEPCALLRVSVGKQRRRYLGKQKHAKRIVGGSERYAEE VRIRNFIKGVEPLPPVKECEVMDYVRKLKLEDLTDDRAAHLTSPYSLHAHIMREVLEE LTENICASVQNCEWNKPTERREWDMDFRFLHEWWLSLRTLPYREEPNGHYPPLIPWSE RGKEAENQLLADVALKIRQSKSPLYLLHLDHINLTPEQTNVLLLDGVFRNNNSGVETF SAQFCNIGPASLLVILLILNHATQLEPQVENLHLAYNWIDASCVNMLTTLLPLTSITR LSLRGNRFGGGDVGLFQEFLMGGCMFLEELDLGYTSLSAPEVYQLIRTIPLLGQLRVL LLDGVPVPEGKAASLLRAIQLSQLIKVSLIAIPACSSDSYLGRVAEACRKHWTGRSGK GSGWYSRGSGSFFEAFDNRASTFFRPRDRSALPPGYRPFTTNDPSLHPWSRRLGTPTD GTREGGWFTSPYPASQG XP_844294.1 MPRSLFRNVRVLGATPRFAAGVQGLTEAVLLAERAPQAVELSIH FVTPGRMHELNLEHRGVDKPTDVLTFPGSGSGTPYAKFLNETVLLARVEGDNACVADP SVLAERRALLQELGDIYFSVEYISMRCSCRPTHCLRFHDYLQAALTHALLHALGYDHA TPEQWKRMTKRERFLRHRLSVWRRRWPGCLQELDGVEMLMSPVTR XP_844295.1 MMIGVPPEASYLLQRLSRPNKFKSYASARSFEADCADLITLWTN LPLAPGTEEGEDMQRKLVDMWSGFVKNAVSYVMSGNPLLNYRPLLEAILASSAAVESS ILTAASCSSAVSTNSECVESLTKAVVDVVAKRTAEIAALIPPLDLLQQVLMFIDLLQE YYHLPGDKMKTTGNCTSLNPILLHPLILNVVKAYTKLVERCATLFVEEVAFPTYWNIG GAPSPACILVDGVHPTIYFCFTTMISLVRAYRNSGLAGDDRSCRQVIAQVWYHGSFAM IAAVETVENSEKRKRQLEIDTLHYVLFARMFREFVGEGYFAPVTRALVKLLVTVGGCV RGGAEKEEASVHVHSCTKFVDDIWNVCPIKELEWLQLGAAARVARRDGSPNDGAFVPW SKAFVRAANVEVAREVPAGWESTCFNKLM XP_844296.1 MLRCRVADVVSSDQMCEMTNFPPVQATVGYVQLLRRLSFKREFF VPFVPTKHVIFTFQTRNPLEEGKVKDEVTDLVSHYFNWIRERGVYSFQILQLYVCILS GCTVVVIECPDTLTTLDVHSFSQEPEAPTVGKRRVLVFPCDNDEISDSELESNYYIVP TCTLCAERLEPTLTGYSSPTCSCVDGRECRCLLEQSSCVVCQTSITMQHESQKVQCEQ CSRTGDPWICLVCGYVGCSRYQAKHAREHYLQHKHLFSMSLLTQQIWDYDSDAFVHRV VVLLDNATGAVNRVQYPDRDNIPSSLADEYVDAAAEKVSKKHINAKFDSKVETSNEQL ALMIISELNTRRVEYETEMHGGSHHLNDELMGDPSLCSVIVAERACAASRERWWQLHN ANKSIQEELMQRRREEEAHQKTIDELQQELRSVVQHYATREYSLLTEINELRQTITDV ETNLRTFAKLSRGLGNDTLEHVRIVGGTKEPKPRRRGGNNTRDGRA XP_844297.1 MRHFGPSIAHFPLSANQLRFKASHIRIANRKRVEMFVAKRFHLP TRLATAAPDIAAEWHDELNPMHMYPAIIGIGHVQPVWWKCAICSHSYQMSVEKRVVRG GGCPQCVVNGKRAVADGALEGEMDSQLRPKRPVMFNMRTKY XP_844298.1 MAGGAAGKVTRTGDDMPDTLKKYMLTREVYRNASVAILVDAFVH TRRYLKSCRADGDGASSGLGPAGESFRGLFFLSHFHSDHYSGITEKWSHGTIYASRAT GNVLCWKLGVRRSCVECLDLAVTYIFSLRNGDLVKKEEGRAECGEGCFSVELIPANHC PGAVMFLFRSADFGTILHTGDFRFSSPAVPALSIKHRCWEPDLRSNPVLKSMGNVDVL FLDNTYCQPQFTFPDRAAIFQTVNKEVLDMIMGCERRLSYSQSQEHMQTKEEGQTVSV AVIVGSYFIGKEIIALSVQENFPSKKSGDGAPAYAPIYVTPERYEAMRQLDYFPERFT PHHMKEPQDIYLGYRMGGNGESGGECTGRFTTCEVKLPVDSEISTSKTAGEMSSDHVA PDSMTGGVKYSLALFLVPLSLTTYSALAPAFGRPVRRRHGEGTAIDGGAADTGDETVS LWGNERVDLKQFDGVLFVNPTGWAAKVSRQKINERVSLLNIPYSEHCCFSELIDFVEF VNPALVVPTVSKEAFAQHESLFAEKAPRLRTRYSNMQPICRLLARASSVDSPETRTQP GDAKQEESIKTEKQRNPFAIGRSLNAEPSKGLSSANTCESRKGPECVDVEDEESTASE DTHNRNESGSLPNLVENARNEVVCKEMNIQPERVMSTVCRLGSVTQIRKRGRPHSRKP PSVAATTQAAIRRWCQSGYKSSDRANAKEVIDLSSDSE XP_844299.1 MSDGGISTGSHQHLGSIYRSLEYLPRYFQIFALFGAFFLASIRE NPVIAWLAVTMHSSFGTEIVWSVEYQRRLELMTLNERLSLTLYGVALSALAMFTYVKT GRDVLYHLVQESGVDSNSRRWPVAIMCLMLACEGMVILKEEAACELSSSDSIAHFVLT GFRGFEQTGFDPRLKYANPHFLIQVQILKAYAMSFVKRMLPAVILAFVYRSGRDARRS AHAALRWYIMTIMIFRSLCEAYTMMYGDGLRQYSSWSLLLTSVVWYAMVNYTFGPSKR AGWTASTSSASSVRETTNGISKCIVLFFMVYLSVLALVVSVHVVELLLIWLMFFLLTV WIPAIIDSCAVSYIICITTAVSFVVYKMNHTTKWGSLRLIPLFSLWWLDICILYSLVA NVTHSRFGGTLAVLVALGFHCYHSLEDLEHGVRTSGSYVEKVVTMLREVVEETVTMDE EVSAGPRTEKACYKTLVVVACAVMGFLISVAALLEYSQSSDVRVHLLNQNIILVTPRV LFRKFVRTFILVISLLVFVIAGLVMYRLVPQLAMFVPDFIALGVAVGVACAILGRLID LQDPLYDALMRLIGAMEPPALTEDSVLDEGYGRWKHA XP_844300.1 MRAIRLTVACRYLGPFRSVTLSPVVLPVRLFQTQEITPGEVSLV EATDESDRAFGETRKEDFSFDGSSEFPECFKDTKHVDTFSRARVVSFIKRFSQGAVTS SSEVFEGREIVNDNGTPLYHSRVRLPFRSHTGELWAHGVACNSKDAELLAAMHAEHII DEFGYHIYTLPSMQRKHAEAARKAGRWAPLPDELERTQSPVRVPLPLRRIVDRDETEG GKWLLIDMRPNHYISPSHTLLSPCLFDTTAVHRIKSFLDEHKLSFAQLCTSVEEPGEG GGQSWYVATVSLPPELSTFSEIKAQGKALNREAAVTLACMHAELVLDAHSICLYPSDS TKQKQHALAAWSYGRPAPLPGEDQKNPSHVVCPLPLKQLAVRREDRISCISYEEDIIR RHRALTDQTCEFIETPTLDSSAVEQLKQFLQRENVPRTDPFLVEEVNGYYKATVVLPL PDLYGIRGGVGIAMNATDARVLAAMHAIDVLNILGFHLMDGSTARAEWIAARRARGES VPADTRDPNVLSPSGRRRVATGNSSTQTPSSSTNARSVAAAPDVGTSDPTAPQNTKRR VAKRARVADAQPTEEKDAANSDSDEATSYLKMRETVSKELWNLEPDSPDGYIMVSPTD PETRTQFEQALYSPRQVDLGSKSRIKNYLASVGRRIEEVFFVQRIEAEDNGGQAICRC AVNLPVPRRFGDRIALGEAVDPKDAENLAAMHAELILDTLGIPIYTDSALQRLHVRLC AKCGRNAPVEYSESVAAATASPPPLRREVVGSIHWENKSKRRRAAISVQKGGGPANSQ ETTSALREDEEPLIAPKERREYTFVPEKDLDLVSRARVHYYLRRNGIAKLEPEYRMEL RGLGNVLHIAELTLPLPDVYGKRVAHGSALTKRDAEILCWMHAEQILDAVGLCLFDNL PMLQRRHVECVKRLGRWAPLVSENATKPPHTPTPLPLTLGTTQEKPQYPTPPTNVRQD WEQYAQECQRYIEINVMREHNIFYEMGKTPRTGDETYDAALAEVESMPIDPDAKTVLQ RYCNVANVNYPTFWKSRTVGPISCRVCLTTIEVPGHEYLRASGVAWNKEASQRQAAMH ALALLRRVEPDFAEFEKQIKAEVVDKVNLVDPAAVLDEEAPVLRRTARVSKKSLGNWD PVSKDFSHEGKVRIIELFTVCFGLQPPLVRHLNRRSGSFVQHFTVVEVTDEDGKTWVG TGRDAGPRFNEPAAFDDLFSKLSRGVQGFQALMDLIRAHPHLDPEHIANVSLTDSQKE RILKAVDGLPMVEEEDVAHPEQWADADSDRGIGIMALIAMDASQRAQESQELEAKLQA KLTNEEYQTRYASQRQRLRIYEKRDEILRAISSNQIVIICGTTGCGKTTQVPQYILDD MTEKGMGGDCSIVITQPRRLSAVSIARRVAAERLESIGETCGYSIRLDAKPGRNINFC TSGVLLRLLHSAPLLNGINYLIIDEIHERDINSDFLLILLRQLLHRRKDLHVILMSAT LQADQFGKYFGNAPIINVEGYVHAVEEMYLEDLVPIATERNVMTPLLKEAAAALERNG AADGFCPTVVPPTAKYGFLEATADIDYMTIQIAIDHAVRSLDLTDSSILVFLPGWDEI NRAKEILERNAKFHIICLHSSVGAEEQMRCFLPAPEGKIKLILSTNIAESGVTIDDVA AVIDVGRGKEKSYVMRKGTTSVGRNEMGSMSQLVTVYASRANCVQRRGRVGRTRPGMC IRLYSKKHFQSLHDFQTPEMLRTHLDSLCLQILALDLGDPADFLQQALEPPSSDHIEA AMKRLHELGATTSTRQLTPLGLRLSRLPVAPKVGKMVIMGAILRCLDSALTIAGVSDT DVFISTREHREAVRLHKEDLSYGTQSDVIASVNAFNFWVTSHYAKTPAEVVYDLQERM LSVPQLLTVSKYKQQFFEIVAGSGFIHMKQNYKDAKNKDRADIFVDQSEYSADSLNVG LVKCVVASGLFPNVVMNRGKRLMRNKLANRLDPSSASVVHRTSQENIGQPYFVYDELV KSSESERLLVRDLTNVSLWTILLMGTSSMPVTYRDDLNLAVVDEWIMFRATFGTLELI RKFKRALNVCLGRKFMNPNDEENNAKLEELRCIIKELVCTPFKPNDLAEKPWEEKGVI IEPCTEPKGGSSEAEKTHVNSSHTPTTSAEAGGDS XP_844301.1 MPEVENDSCCSMSTMEEVTPCPVPPIKKRSQRMVIKRWLNMETA CEGEPRTIPLGCAPHVWTAAGYPRNAVNNRRYSIITFLPLSLFHQFRPFFNSFYLFLT LTQFVDALKVGFLFTYISPLALVVILSLIKDAVDDIQRYQRDKTINEEKVEKLLLNGE VSVITAAEIQVGDILILHHGQRIPADCVLLRTSEACGTCFIRTDQMDGETDWKLRYAL KGTQTLNNVSLSQLRANIRCEPLHKDIYKFVGAFDIPGKESEAISLQNTLWAHCVVAS GTLAVAVLHTGVDTRSAMNRSKHSTKVGLIEHELNYLGVLCLSVLVLISFLLVVQQHF EGSWLTMFFRFLILLSSIIPISMRVNVDVGRIWYAYVIGKDDKIPGTVARNTNIPEEL GRLQYLFADKTGTLTKNIMNFRFIQVGSDTVLNYHEVDRFQSSIAAYFGENYVPRGTN TVDSGKINSHSTQRNFTRDVTSVGEAIVSLVLCHNVTPVVEDGCLQYQASSPDEVAFV NFCRSLGVTLTHRDVTCMQFTTPGGRLVHYDIIKTFPFTSERKCMGIILREKVDSESV SCGANSDGVYKYFMKGADFKMTSVVRSSSWLEESCQEFAQVGLRTLVFAQRQLTKEQV DTFLARYDEANADLSEARDSLLDAAMALIERDMKLIGVTGVEDELQDDVTTSLETLGM GGIKVWVLTGDKVETAITIGRATRLIPRHGKIEVMSCRTEEETQRYLDSLYLQYYNSM NDAPLDVPWTLVLDGGRLSMCLTKATSKTFVRVARLAYSVIVSRCSPTQKAEVVRTMK KFTSRNIRTAAIGDGGNDVGMILAADVGIGVEGVEGKQASMAADFSIAKFSHCVRLIM WHGRSSYRRTCNMSQFVIHRGMAYSVVQAFYSLLFAGTSMSVFNGYLLMGYSTIFTMA PVFALVLDEDVKEGDVREFPQLYKELLKSRSMNTRSYLQWLWISVFQGGTMIYLALAL FGKEMFQITTVAYTTLLLTELVIVAGTLHLRILWTQRRKHLYYFVAAECFSIVTFLLA VLLLPDMIDQDYLFSWSCAWQVFVISLAIVIPIYLIRLLDTYILSNARMK XP_844302.1 MNPVGVACAAAPKPSTFDYFTERYYHQYVVKNCKGVEGNNCRLL VHSNGICVLCLDETHRVVRAAKSSAGAVETNVASVVFGSGRGNSQLSSGSIHVVGKRK KQAAVCQVDTKICIITMSDGTVYHIPACVDGFVLELNSALQQHPNLLLDAPTAEGYIA LISPNYSKVKFSEFTKLSAPTGGDVVEEEEEPEGLHK XP_844303.1 MWGGVKVRAHHRDIFRAWSASQNLETLRRQLYREPRPWYMAFKL HQHRHLLSDYHCARLLSWCTAVVQLERRPVPKRKAYMYAQHVFQFMVHSHKVGKESYQ EFFRLCALGRDVTAAFRWQQYLVEAGQSFPLSHYTWLLHIAAASPSDEITEDMTEGVW EAYLGRYCSPVKNDVTGQTAMAHFQPTTSEEQQELEGLFAAFKALKLRIEPTAKPELL QFIDNLPNTPQVVPGATSWPTVSRHTIFPHMEAAVPWEAPTLVQPRLRDSLLHPSFVA DLERTAWTGDVKGVVALVNEYRQRITAEKEQTSATKHRSGERDIWRHYADPAAVAFRR VLVEEGGVTAELYHYLIVALSATQPSLALRTLRRMENAKLRVLDLTRAVMIVRSEGSS EEQLNLLKEGLKSIEERCKIDEDYDVTREVELYWKFNYAEFFHYRNALNGVELYRILM EGLGPVRVQELLVGAKGAVSYPSEDVVVFDEVFRIAVARHYRNCTGEAEVERALDEIT QHMPKLDISLVGSCQHFDGYALPPSDAVATDITSLARKLLGYHTIYLLDSSFVESSEA FLGLGSLNEEENGGHSLVLVPYFCLRQISSVLDAPDGEVVSYDEALQEVGKEDQFIAS QRLRSLYALLLNDKGNVKRRVLHFSECLLSQIVTGAVDSAGSDNDHLLLVLAMVRAIA PKETNVVLCTDDAHLVQRLRHDEVASLFAGQVNVLSSEPPEGAVIGEGGLVDDNPNLC VGLDFEPKLNFPAAPRRVTGAGEGSVKAADSAHLHTLCGEEVREGGVSWLDMLGDDED SGKNSEGSVSALLPVNLTENEEKSAQDQMKLMDMYESEHSVVPIGVRMAEASTLGSLF EQFDAVNPDAALEREAAAAAAAAASRKEPGEAHKKHRRLAPLELEQLRNRGASNKQRY RLARRLSNLAGGRVPFNFRYKVVEVDITDERNKTYRDAYSNAVAKKREAFKRHLQR XP_844304.1 MLRVFSGSCLSLEHMETVRDFNLVKQPHVLGPGADYFTEDGQPV RPQPCAHTLHKVFSGSTLDLNAACGEILSDEDVALSNGTRGSPHRAGQQGQQQQPKIA PGATVSTILSQLSSETEKERAKSLRSKTVVLIVGGVGYVSSHVVNKALEAGYSVRITG SGALTPQQQAALSSVGRDHEQRLSIFEADMTNTNSLRDALRGCKYVIHCGCPVSSTDK DTVEFHLSAVQALFNAIRQAGKSTVKRVVIHGAASSVFHVTDPEPPSGAFDESCWNSV ATNDTDPIPYARIYFEKEAWRLKQMLGVELVVILPSITIGPSRTEEVSDAMKRIQHLA TASSYFPYAPNLHWNFVDVRDVAEAHVRALECQEVKDQRVIVSGGCFSFAEIGKLIRN EYPHLTPPTRTANTLMTLIIGATHSQVSIRFLWRTLGVRKCLDTRKATTELGMKFTPM QETLRASIEQMISAGELPPADGSVNARSVSRAGLVVTTMVAGLIGVAAWIVVRRRGKN XP_844305.1 MELRCFLAHISSRPNEHHVDFPFPLSAAKAPVLGRHSPELYWHL GNNPRCSRRVMTFAVEASEKETFDSADVHHAAATPIHPQVAYCLVLTCVGENPLTVVR RETVGNCSSLQAVEESRTTVLCRNESTILRVGDKVGIMNRVWMQVVLARICVSETVKG PPVVQEADEGTQCYLPPGESECIVPLPTIYTNVPWKRLRHPVRSRLIGAAARQQQRVV TVRCSPILAALPNTQAPLALNGSLNASMDVLSIEHEGESEAAANACASGTRTSGSGGR VSPRVHPRMECDSASTAVTNTDRKWGTCSTFLGDSLDEKSLLNSLDEVHLKRRRMSLG DGSIMDNRSTTSVPDTNSYMDTLDERLNEELCRLEKRTADAEQLISGRSMAVLGGSPP GHANETTERKVGVSIATTVSRDQLQMSSRLSGRNHGLSGAIVRRPVPADSQVVYYRH XP_844306.1 MSDLNFERPFFMEFGDFKNGYNREEAEAGINEAIRKQRKLRLQS HVEGIMLGCGIGEAAKQSVHKGVYKPQTTINPSLLCEEDAQPSAGGDEVNSNNGGSRP CPPLDGAALLETSPSAVMKDFATVDVQVETLQEVAEQLDQNIRVARERIGQGCAPFTF EDVLEALTIVLTVVEKGDPTVKVLLPIQPEGSEPLEGAEEVADFAYSNIVPLTVALSS TIYATEWMLQYTLTFINSIGVETEEEAQLHLELRARCVRLLGEINRFTAFAIAFKMKC EMYVAFDDLRNETEFNIGGILDSVEEDLKETMQLQFPRRRRRVLKVQRSIFKRLQRAL VLLHELDTCWTPVMMLQKVWKDEFLAESYERIYTIIAPTFFSATLGFVDSSLYIESDS SNSVPEPNNPSCPIQRAPSQCSISRHGRQVGSAKPRVVAERQSKKLVLGQVKTLRVEE KRSFEKISYLVKGTVNRTVGMQLCLGARYSLVEFGEEHDKNWDFVANEPKDPQDVNAY VMELVKKFIRILRQRTKNTDDTDALVP XP_844307.1 MPLDSPVGVVGRRKDVFLSGLYAVKSNSESVTPNGNGNATDPKV SERLRSWADTISSPLTCTACKLRMRATERTLVYHLTTTYHIEHTSEGCFSFVFPVSAC DGTLTSLSARINKKIMMWELVRVVSPPSERPLVLCPAVFDAAAGMEGKAEQEEGERGT LYAVASRASDVLDETERGVGNEIQLEIRWTTKSVRQLTNLPAIVIAYPFACAPCLPEE IVYQTVFSKVVKLVSSANSRDGTQALDWRLRGKYCTVHLSPEDTKIMLKPEDEIFVLT FYFENPMDGEQRDTTTTVVSFVIMVAILLWFLLTKDLEL XP_844308.1 MSRGERGVAPAKRLNRRKRGVRRRRNRAQTKASIPAVHASFACH ICSKNHWTVSCPRLRLSPRDYPLMDREKGCWKCAQRGHSSAQCQVKKYRCSDCGGLHD TRDCEFDHKSEEWHEFFDSTTQHVFYCNSETGEVQWTPPTHKLDVVLWFCSNCSILLP TSVPECVKCRVPRPHSKVSDAASSSSSSVSESGSDDGSDDEGSESASDN XP_844309.1 MALHAAHRRALYLHHAKHLSQYSDAHDYYRLMMWCHDQHIFIHP TVRIHRRPSPYRDHAFVVTEDVDRLTPLFAIPEALAIGFKDTSEAENNLRDEEREKEF NKLNTGEQSDADICQFFFDSLGMIVSDLITSIGSPLTDPRWAFAELLGRVRSMKNAPY FEDDVVLDAEATGLADVLLQMIRNYINGGPLVGKVDRAQLRWAVSTCLSHSTPLVIGA TRSIGIIPMVHLFPHGGKNTNSLVVARTSQQSSATRMAAYFARHHQYDFMCEQDGKWI YVVPARALRAGEEICMQTMAPVCGKDSEAEKMWRLSCGTPPEDYVPSAAVAHQQDIIT NELIRKGEQIISTEYGK XP_844310.1 MKENGVLGGVLVPRTRRFLVGGILFSLFVILLNLLIAYAVRRYY PRSRAKKERRSRRRSGSGSGKNSGRGKHKERKRSDPKVILLVGMPGSGKSTWLKQYKG RCDDSCRIVDEDELRVEITGKFDDFSKEDELCAAMINAIVRHISEKHNVVVESNRYVL DEKFRKQIISTVPSCRLLVKEFDIKAHFAQARLAKDAEEGKRHHTYTETELEDWEVRQ LEAKELFKKEGWSQMH XP_844311.1 MIPPAKLNDFFNIVDDFLKKTFRDESFLFAAVKSRQYSESFPGE QLFFTSPPSATTDQPSDESLQAGGGELRKTQNFMYFSPRLIFNRDGGYHGKVKLHSGV HVPQVCRFEQGIAVNSEGLASGSVKVSDLLEGMEVKGRLAVNTIAPPSKDVWSVAMDY QRRDFYSTLNYQRNGLGSSDLLVDCGTKFFNLLAGAGFERQKVSFLEQQDHTAQLDVL YAGVGFTGVNWSVGAKLVRANDMWSAARIAFYQRVVPDTSVACAYNFDMEESRVHVSL GFSQGFRLRVPTILQQRACEQLDVWTAILPFVGAFKAESGGLCAATIRGIFNGVVHWG LVAQKNVLVENSPIRFGLTLSVESG XP_844312.1 MPFNREILAAISFESGPLSSAEIAARRTPTEEPPKNLEVKSCDK RDEPRQNEATINLIRCMKWALGQSITSISHPAKQRPLNPKRDFSIKTKINLDVGVEKP KPGFCCSTAAATGVREQRNHHAPFYDAHGDSEEASHLDESDVESHDEVPNVPLAFVDY SPMCYRHIREFFNIDHRAYRDVLLRSRWHSTPTPGKSAAQLFFCGRDWVIKTMTHEES KFLRRILHRYYFFVLDHPYTLLPHFVGHHRLEINGEKMYIIIMQNVFVTGNTIHEKYD LKGSTVGRFATEAEKKKQTCTQKDLDINRPLHVGATRRNLLIEQMKMDCGFLNQCNIM DYSFLVGIHVLPMYEAHRPAPSTGSREYLGGAAALATSALEPSYLLGMGETMQPMALN GHPTAAADSAPTDGRCFKADQGGMMSADHPGGRREIYYIGIIDILQEYNSRKSMETLV FGMLKDRQKISAVPPKEYAARFVSFVSSIIV XP_844313.1 MLLMLVSIHLFQTRGHARAEIFLPLTLSKGVGYMSGSLPQTKDL VNGAFTLVKEYTKLTADLAEEDYDYHMAFPAFRHQITRHSEAVVSLMDKFCQLLPAKR RVTLSSTGGKLTDPQRAAVMEAADSLLENVDGLLDELKGRRLSAKDQLSVKFGSELQG VVPSSSYSVFNAAGVSVLRPQLTFEHPVDNSPTPFRPVYYDEKGVRHVGEPGVHPFAE RIKAVSVPSEQLLLKTETPYLSLVTCPLTFVDTVEDLEALVAVLLNETEIAVDLEHHD FYSYQGFTCLMQISTRTQDFIVDCLKVRANMYLMAPVFLQPNIVKVFHGAREDVRWLQ KDFGLYIVNLFDTSIALQNLHMPHSLAFAVDHFCQVKLNKKYQTADWRVRPIPAEMVS YAQQDTHFLLYVYDRLKQLLLNCEARASVGNMLLHVFQESRLLSLERYEKPHLDPDVT YKQALGRSLGGLSSSQLQVAREIFNWRDMAAREADDSPSAVMHISCVLSIATKLPTSA NEVLKCCSPVSVAVRTNVMKLLQIVKDAIGSADSLKDGANGDVKPKPLPNVGVALRYM GVHRSMTGTLPSIEQRADPAFTVEDAHVVVRTEPSAWFETMRNVAAILRRKPYYPIAL PGHDVVTRMSAVKRAREVEEMKVKGEGEEEEKRVHVDAEEPTVERENSSERGEGMAGP CLHEGLEVKDEVEEIDVPTQKPLELKEGAISLRQQYGTGSANRKKARLGKKNKS XP_844314.1 MTARDGAVEVMASIVVYSIFSTVMTITNKLLVANYALNYPMGII FVESGTALLFAVMGKMMGWVYYPNFCSRVARKWLPLTLFFVAMLWSSIKSLETMSVAM HTIMKNIAVVLTAIGDSQLYGTRVTPVMYLAFFFMSAGSYLCAMGDQWVTTWGMIWTT LNIMATVGYTLYMKRLLGDVSKTIGRYGPVFYNNLLSMLVAFVIALPSMGSMIHTIRS ISLPPLLALTVAGTGPLLTFATFWCMEQTTPTTFSVVGVVNKVPMSVAGMVVFNQFPT KTGYVGITLGLVGGVIYGCASRERDSGRVGPLLHVAGFSVWGRNTPLLTSSGKYEGRS RSEETI XP_844315.1 MPENPQRRDGAGNEVEPVPPLEGNVETSAPDAAEECQTSSTPTE AVIMLDEPCRNLPKLHWQDFKLIGRCISFLGESVVNDETLFLHYEGYVSMVNKNTITL LNVNRFTSDDFLKRRERLEQEKTKRNARREVKAQEGSSKEDEKDIVTRENIWGENNGS TEEVDESGVASGAPADENNRRSMFLSLFYVNSRRGKGDAETQSERQKLICGAGSIGPI PYVTFARSAIHDVVVGNDQRSSFMSIFRHSSKKFFDMQCLRMYVRRFLVSASRENKEQ SLTLRSFVESKCNYKGIDDNVLVSVAKEELAALANIDKNIAKCSSRPTDARVGIQLQL FRAPPGLFSGTGILFLTRLPMQTFWLAVVQSLMTLMLLTVAANTFIGGRNGIVNGYMF RYISPILIGCFHCLFASAVTAFHAIRMRMPVRFSPHAMLRAAAVGIAIGFCSLALLAV AEAISFPRIQSYIRERAEDPIALCAYYKSNECRGYNENCDVDRDSPTCLWGVCKGEWK GAPCTRKLNRTIVMGFVPFGVLSILLFGMFVIDGFLHYRLFRVSRLIAAITSR XP_844316.1 MGVEVADCSSGASAQNITGSEAMTVGHEKAKEQHMHVKRDSYTT AATFVAGGVAGACSRTLTAPLDRIKIIVQEGHLVSGTGKKSLLRPAQLIDVFHLIRND GGWSAFWRGNGVNCLKAGPEFALVFTLRRYFLSLYEDSLDEETARVTEWEAAMKATGS EPIAYDLSTVSVLPAPLNRWFTLTSIPRILLNFLIGAWAGFGAQLTLYPLEVIKTRMA VSRRSEYPGGMRQVIYDTYKNSGISGFYRGLTPNMVGIFIYRGLEVGIYSTAQQQMIM YRMNNYGMSRHDSSLSSIETAAVSMFASMFAQTVSYPLNVVRTRLQTQGTNGRAVKYK GMTDCFVKMVRTKGVGSLFSGISANYLKAVPASASMFVVFEKVQSILVGDD XP_844317.1 MDKAVGKLVKVGKVLAVAAAVSVISRAIIQRLYKLHKIHCHRGQ GIRPVKPIVQRSFTADELQEYDGVRKSDVYVSVKGVVYEVAPQFYGPGQPYHIYAGRE ISRCLAKSDLTGDEINKDWRPGSTEEELQQLEGWVKKFESRYPVVGWFIWE XP_844318.1 MPPKRAGSRKRKEVLPDPQTLPSGTCNYYIGEWFVNKEIDSLSD ISHSVVEAHTKSNGEVSVLPRTVVLIPPATLGDVNTHYAVEVADNLRVESIPRSAVEP KPKAIPVEGEESFDPLSTREKKEEEGDSVVPDDTLPSAAAVQKVGSSEAAAEVVIQGT VHIEGIVPRIVITPDLPEPPVVPKKKKSDARKNSKRKEEEQKRLAEEARIYEEKVNAA VAAAREEEEYRMQFAHPERWPNVQFANMTFNGQVIVAHAHVKFRNCCFTSRSKDTTQL LVAQYCKVECERCTFDQPQKGSVYGWPMSNIILTECYFKGASSSRDGCTLPVANACES RPTCVGLHTDACKITVKDCEFSALGTGVIMRGTFPGSCAGQPAMSIKNCKFYGIYAAG IVLDSVNGVELAGNKVDECEYYALDCVKGEGIRVYQNTFLSKIRVQKGAHARFLNNET GTIPFTVEEVENPNWQPVY XP_844319.1 MSDVDDLIEELFPKKEGGRAPPEGKGGAACEPPASQSNWDDTDE ANSEAETTTRNRGTSVSLEAAASTTANVGDGRGEDFDDSDESVEETVMGGRHTVPFPP TPGNMSMACNSKCFVTNVGSGELRHPSVAQLLLTGEGKYDKCSSNEQLLLRKGAFHAL KADAGNGCTDKTADEDGRKGGCPFILCRKCNYTVIRLQDAEWDDEEGKMDLYLTVRNF YPDWSRLASSLPVGQMRRGEQNTVLKTCPDSAAYCCQCSWLTVKCAQEVIDTRVTDHT KFVGKELTCCFATQLPLISGEKRRPPLWVCGGHVPKH XP_844320.1 MNRTLLGLVDNKRYVAAGLMNAQGNRSLNRLMTNSVPPSSMAGD ERGNSARCSGKNGDDAGKDGGSNKSAKVSAHSPPRKPAPKAAKTRVTIGMRLKKWVVT GRIGAGSFGETFTAVEVDRSSARSEDLDLAHGASSHGLTDLPTTSGEEVCIKVEQENK NVLRVEAAALKKMQPCPYVARYLGSGNSAGMNFIVMQRLGPNLADLRRSTPQCMFSIH TTLHLGISCLKCIQGVHELGIVHRDVKPSNFVIGLGGSSDPRQCYIVDFGLARRFRRP TGEVRPPRANAGFRGTSRYASLASHRQQELGRVDDIWSLLFMLIEFITGTLPWRKHKE KEDIGQCKEQVIGPELIKNLPQEFGPFLEHLQTLKYEDEPKYDMLFSLMERAMERGGY PRNQRLDWEPEEEDTPPDDVPLSSTGSLNSETTSCVNDVDSGCFIEKFTSKESSPKGR LLPPPPPSRRFMPPPNAANAAVKRPTSAGRLMPPSPHIGENEDKYIPGSTRQEELRSN IRMSDIHVSLDITRCDGGMNDATALSVSPTNPPPPPPPPLPPAPLAKNGGNNRRRKKK RRGGAANRDRCKERHATLEVSEDLDVGSTFSPCEVESSPRNRRRGPPDQAVAHVAIGG IQNTRGHSASPEPPPPFQREAKQGATLETKSIPSRSPTVQNDNKLVRAEGSVGKRRRK EGSTCTCHCM XP_844321.1 MAMRDVIGDPDLLLRKTPANPKYEHVKPVVETGMTAELARFMKN TGAALYRVSGEPFMRIRTRLIGDFVQKQQSQSGEIKDGGFAHPMDEYRAPDTQLPKEY LILDVRSEEEYAACHIKGALHYPKRRMVHAINPLLPEMFNFKNKENKLIVLYDLEEEM TIAQGIGQNVFEKGIDNLAVMTGGLREFVQDYADCIVGESPVPIVPRDTRLLKRAEAV SAARSETQRSAFSHKPKSLSNSLAKPRPR XP_844322.1 MARSLQDPRLSFYCEQYDHIAHRMNHYVLQFYFEDRTVEIREVT KNRLHLKRAHFPHLNRDDFKVGSSLSLLGGVIKLTAYADEVTRELCGERGEVTAVMFG EQLLPQLGRCLAVLTEECGFVALEMQMAWLPVETAAAYGVPPDLVEGRIVVVKCANTN ALQRGIDFMARMPGARAAESVEEVGRWEQIVEKAKEQPVAILGDPNSTVVIIKPHALQ KLAGGVIVQQLIDAGLEISGISLTNMTSQQANELLKPYKGVLPDFPDTMRSLMGTVWV LQFVSLDEGVDVVSVAREVCGPFDPVIAKELRPTSIRARFGVDRAHNAVHCCDLHEEG PLYSNFFFRPEDVDE XP_844323.1 MDTECLRARHSECIDLASVQLRRQLMDSGIPFTEAEIAALPARF VELLISRLEMFRQREVETRAAVDKCRRETEVEEMRFEQLREATERVQGEKRIISSKIS AAVSEYMREDKLEKEKQRERHNELQEVFRQVEKKEAEHRREIIEMERLRKMLKKVTK XP_844324.1 MPLLYASRAKHTRFKSIVQRTRRLLCNGASGANGIRKLSRGCGI AVDSGGQSMEKAKFVEALEESGVSLDSEDIEAIVHVLDRSGDGVLDPTDFIAALRRNL TPLKLTWITRVWYTFTQSKDGSVYIDEVLSSYNAAGHPDVVQNIRSEQGVRSEFEAAF STTTNPDGAITRQEFEQYCSGVAALCANDLEFLTLMRGVWPASVRTPLDEETMRTHRE QNPCNMTFSSYQTAAEKGAVTDVRTTVAVVDDIILSSHRPVVIQSPLAVRQLSIALRR QDVQRNFFLSRETFLEVLRGHRLYLKDPESALTVLDTAGDGSVDYLLYMNLLLPPLPP ARLMMLERLWELFPKDTCGTADVIELHKRFSAEDGEEQDAFLTAWDVRQALYRRFTFE EIVEWHTPLSAMFELDNDFETMLKKRWDFS XP_844325.1 MFRGSGQASTVLGGASYTRNEVVYEPLPKNDKHRLRRREVERFR RAPLPPLRPRWNASVADEAHPFPQRPLMHTLEYYKTDEQMMCEAGFLDSIVEKPRSTV VAKWHPETTKVASHASTAARSCTPLSNRSFLERVFQQESVEQKVPQWEWVPSTLTGTI PRHTFRGIASKFAGTPVSFPTVHGNEYVNPPRRVQMLNELIREKKRSKRAPSKISALP HVGGFKVPHTFKMSNIDEWWPLDPTIPLRAPKEPELNATVTSREMSASGSR XP_844326.1 MLRLTRPFLFITKIAKISRPPASGYEIAELPNEKVFTDFLDHHK TRCVVAILHTGSLHRRVGDGAETSIAEDPLTRSFISSINAVNLGNPNEVKLALVPGPR AKKMVNEYGVLTFPTVLIFLDGKCVERVVGARVRETAIKSLFTLRNAGRNIFSRE XP_844327.1 MFRVSRRMLTGGFEGHKPGMENKSLKDKAKGELIKMLKIQLVLV PLVVGWVMIMYPQPSPEEEKRLRAEYEKNAGWKT XP_844328.1 MHKLTQLLRYRKTEDELVESPSVDLTLSFSAGGWLQMYHFGGAQ AIVDSGLLEKLAAEGKRVRFCGCSAGSLAATMLVSKMHCFEKVRERVIMYGEHYRSSW IYLFCMINYLKDSLDMFGKHMRDLENNPELNGKLNDGSLEIYVTKLPYIKGKVITTFK NYDEIVESMLASCCLAPLVGMPFKLRSTGEWVCDGALTTVTPRMGEPSTITVSPFYYS SATIHPTVFVPVWWGLCPPAEATHRDLFTLGYNDMLKGLMACGHVSKEVGEPLLKPDV SGTFKDMKRIGIFHHWAGRPLLLFVRLVVCFFIYFELCLACMACIMRSLLRVDREPLR NMRANVVNMLTFRTLRRLLFNQDVQGSDQHLERTSCIFRVFRPIVL XP_844329.1 MLTLLNLFRLINRTVTFSPFLSLFSLSVIITFTLLSHIIARIAL GTSEHTNMSHCKFEHPRHGHLGFLPRKRSRQIRGRARSFPKDDPSQKPHLTSFMVYKA GMTHIVRDVDRPGSKVNKKEVVEPVTILEAPPMVVVGIVGYRQTPVGHKTIGTVWAHH TSVEFRRRFYKNWKQSAQLAFTKRKQFARTTEGRLAEARTLKAFEKKADIIRVVAHTQ LRKLRNNRVGVKKAHVSEIQINGGTIAQKIELAKSLLEKEVRIDSVFQQSEACDVCAV TKGHGFTGVVKRWGVACLPRKTHRGLRKVACIGAWHPSRVMYTVARAGQHGYHHRTHL NKKIYQLGRAVSMEPNQATTAYDLTAKTITPMGGFVGYGTVRNDYVMLKGSVAGPRRR VITLRRPMAPQTSRKLTEKITLKFIDTSSKIGHGRFQTKKEKSQWYGPLKKDRIRREE RLRKERAARAAERKAKGGATTTAAPRKSKK XP_844330.1 MSHCKFEHPRHGHLGFLPRKRSRQIRGRARSFPKDDPSQKPHLT SFMVYKAGMTHIVRDVDRPGSKVNKKEVVEPVTILEAPPMVVVGIVGYRQTPVGHKTI GTVWAHHTSVEFRRRFYKNWKQSAQLAFTKRKQFARTTEGRLAEARTLKAFEKKADII RVVAHTQLRKLRNNRVGVKKAHVSEIQINGGTIAQKIELAKSLLEKEVRIDSVFQQSE ACDVCAVTKGHGFTGVVKRWGVACLPRKTHRGLRKVACIGAWHPSRVMYTVARAGQHG YHHRTHLNKKIYQLGRAVSMEPNQATTAYDLTAKTITPMGGFVGYGTVRNDYVMLKGS VAGPRRRVITLRRPMAPQTSRKLTEKITLKFIDTSSKIGHGRFQTKKEKSQWYGPLKK DRIRREERLRKERAARAAERKAKGGATTTAAPRKSKK XP_844331.1 MLSSTALLLRGQYKTRLKKRMVGFIPKVIPRKIKNNMVALRSEA NTGHMEGYLKTETERLDATGRKVQKVLWDPVLQRHCLFKETKIKGPFMTKSAIAKKVD FPIGGAEKMLKK XP_844332.1 MTEVNDVASVNINIGILGHVDSGKTSLARALSSTASTAAFDKSP ESQRRGITLDLGFSATCVPTEGIAPDLFNAGIQQVQCTFVDCPGHASLIRTVLGGAQI IDMMILVIDACKGIQTQTAECIVIGEVLCKPLVLVINKVDAIQGSTSEEKRAAIDKLR KRLQKTFERTRWPSVAMVEVAAAPRREESGGTTCEGCMMSPINVEKVLPAVLSLVDAD TIKRNKEAPLRPEDFVMMVDHCFALKGQGTVFTGTILRGQVSVGDSVVIPESHVVKKV KGLQVFRKPVQMARRGDRVGLSVVQFDAEGMERGLLCSALQQQQRQRSRADQAVHPVP VFESASVMVAEVSRVRFHQLPCNVHTKFHINIGHSTVMGTMRFFSRPTVCLPSDSQAG EELAEEPPFDLMQESQYVEELGEEAFITFECPSEDKHRWPGRPIAVKRGPLTYFAIVL LEKPILAAIGTPLIAARLDVERENMCRIALAGRVRALLHDDKDDAVMWRSMPIVRYKS RSLVVERVVSDRSCIASGVVTSEMHEARSGGGMSTNKKERDTKVKDALHAEVQKFIGL TVYFRPTSGDDGCCVNENGPGGLREGIIDSAFGKTGKVKLVFKEPVFAVDDRGAEAGK GKRNEVIFLSEGVVELTLKKYPLALHSQLQQ XP_844333.1 MSNHNHITVQFAGGCELLFAKQTSLQLDGVVPTGTNLNGLVQLL KTNYVKERPDLLVDQTGQTLRPGILVLVNSCDAEVVGGMDYVLNDGDTVEFISTLHGG XP_844334.1 MMVEAKVQKSLINSESLAGAARGTALGDAREEDPANWAPSPPPN NQREFEFPEDGLSFSSKFDSGNLIQVERTGPFRYLMYTAPDCGNSPQQTNNRQWFHFA IRGGTKGCVLAFTFVGMMHCKMFTYGWMPVVSVCPGKPQYCRLPGRAIVTPLEVMPPT PGYPGFVLKPWAKKGGDGEPEAYGGDEDAEAKGCSEGGMPEINDLTVAGGQTGTKKKK KDQYVAMNLTFDVRIDAETPLKSPYPLGHPQCPAIYVASNHPYSYTTLQQNIKVWKQL ASGIMDTTDVSELSGSGKATPYTTDVYFSHEILCKSLDGLNVDLLTITDYLGVSEKRV PLFSNGEAPYSSVRGETSRPHLFSGKLAVVLTARVHPGECPSSHMMHGCIEFLLNKGD PRAEALRSHFVFYIVPMINPDGVARGHTRVDTEGVNLNRAYRTPSKRRHPAPYHIRGL LDSLSETKGKLALFIDMHAHSNKRGMFFYGNSMDAPELLQCLLYTKLVSLNTPYFEFQ SCNFSEANMFATGKTGEGRDNSSRVTLYQRTGMIHSYTIEASHVVGNALNGVAALMNS PVEEAEVQQNGTCPRYTTAMFGDVGKALLVALLDLKGWNPMSRLTATAYHNPRGLLMA LQRQLQIEIAERYFKLAFMNGGQVALARDSTGDPLVTVMDAMKAEELPEAITIKDGRV FPPLTVKGVPSFLPYDQAVQLLSHTHPSMPPRSFVCGMVRRAMIVSGGSGSGPLRSFC NPNTGIVVAGSGTSVATAAGRRGLLGANRTSFS XP_844335.1 MDFDLLLFLKEIQLQHGLRAEDYARYRCYTTNRLSTLRSQLGML QNTKKFQQKEVTPHNATKPEHLQLLILCAERCWAAAEDMQEKHRTASSAEGTEAKPPG GIPPKCQYRKRLNKSVKWATKLQAIAQVVANERLQKEVSAYVLEVKGRAAAAHGLMAE ARDHFRDSRELYYELRKCSNPEQLSLIFSKVTELDDRVVFCMLRLGEDPSSYKPNLSL EDTPESIGATTIEWNNRQLNVASIKVRDALRGARAVNVEAAKAKATEASSLIPVGLQN RALDLMDRRIGSLNDALAHARKDLRTLSDEKQKTELQLLVHYLIFQVSYETLQRTLFM VEVHARRFHATEKCLSGNNVDRSARTVSVISGVGTGGIAGGKSRKEIQPSQYASPMEI VRLYDAALETIGDMEMLPGVDGRSDVEENSALCRAGKLLYLGESWRITKESERAQNCY KAALSVLDGIPSTPTVENLRLLVEKSALRLASSTLLSSVAGIGEENKKRQAFVPYLVD AGDEVAVAHNVIKFPPDYQAVPCKPVFVDIASTYVDYPTSEAPAAAETKGDGAEAADE GSRWKWSWGWRK XP_844336.1 MAVARTKANSKIRRIGKKKGATLRDVHPWRWVKICSQHFKQEGK MMVPNCAEIVKTSHGRERAPQNPDWYYIRCAAVLRAVYLRPGVGYGGLSKRFSSKKNR GSRPEITTRASKGLLHWCCKSLTKLELLEKCKGAGHRVTKLGRKVADTIAFKIALRKM GAETKAK XP_844337.1 MQEEEGNLEELVYFYPPSTTPPAVGKYTQLISDLLQSVSIVDAL PRGAAQAGILCQDTKEVLESENTVLEIAIPRQDNLVIVGDIHGQFADMLSNVLSIQLN LNNTKATDGRGSPSTEIYKFLFLGDYVDRGPQSLEVITLLFALKVEYPEHIFLLRGNH EEAQTSRLYGFFQECKSKLEGTGDRGPASVDITSSTWLQYNTVFCWLPLAAVVACPSG MFFCTHGGLSPHTLSVPLLRSLRRNEYGMVDDFEDTFYTPTSRGGDDMLEGPGAKARL VIDGLLWSDPEDQVSGCQMNNRGCGFIFGPDVTRSFLDRNYSYGFPPSKRQLIGEMKP GMQFILRGHQCAREGYMWCHGGLVLTLFSAPNYCGMHGNKGAVALLRGQVQAGKDRVE LEFNVYDTVVRGGSDNLVACLSPFAFAHYFSGDS XP_844338.1 MLRQHSPDGMAGKTRVSSITWEDELLLRGVRLDSDLVPHLQTTL SSPHNRSEHGATTPAGSSAILAMVNAGGSCTSSPRSEVRMKNVSPLRDLSAKLRGKDS AASTCDECGVGYSSDSGLSNTWVRYGPNAMSSPRVVVPSLLPLPQNITPPPRGGKDIS HNCALPPLGAEGQKSSGRSTAPLSRTGHEQPGNSPAPKLSSSTIAIRDTEATLVLRTN TPLSCGRKEKKLSLGGTGKSCSNDGRSIATPSKTTIKRAMTPLSKQTTSTSCERSSSQ GNGRRCESGRSSIQANGLQVLDPIGSRRDD XP_844339.1 MSDLDRDSEASSVDELTKRFVTLLSKEDFETHVVGEPEALCALV VTTFLCPHSAKLLPLVKERFVLRDRHQTRRVRYFNVALVPEERTNIQQLIEKDPVYIA TKRPPSKVQKQELHRQAYEMLVELLLFLEVRSTPCMLFFVQGKIVKDSDDLNAPRLIA EGSSTAKWEAVLQNAVIRRNTIMKEYDEAKRMEKRRLERERRREARRRAREEEAEENE EEEEDY XP_844340.1 MPPTRPEFEAPPDILYNADGAQRYTRSGRVQMVQRAMTRRALEL LALPSGCPALLLDVGCGSGLSGEVLRECGHTWIGIDISTDMLGMAKASEELMREDDEK EDEGPIEGVDLRDVRWGPIHDENENENENDDHDSTDGDGNEAEAVLPPGPSIVEVMRG DMGEGLPFRPGAFDGAVSISAVQWLCQSDRRGQVPQRRLRALFQCLYNALRRGAKAAI QFYPANVEQLHMITRAAMLCGFSGGVVVDFPHSTKAKKHYLVVQAGQVAGGFMPPRPL SVCNEGGEEVEEEEECEEEDGEEEGEEENDEGQRFGARVGGRVAHKRSRSHGGRQAGA RRRKDNRPVTGTKEWVLLKKAERRKFGLKTSEDTKYTMRRRRPRF XP_844341.1 MSSFNMQAYPAVGSTAVASFGSPLMDCTNAISNSDNIINGAPRP ATMKSSASNVFRGHLRPISTVVEEPVASTRHTHDPYCRTSNDGLPCVPRSPFTAEMSF IMSHSDEGNSHFSNSANSSFSTVPQATNRPLYHQPASRGTTAAAYPTVTINNNNGASS SSSTTTRTGVKQGGFRRAAGGNTAGFGVTPSRAAPAVVSTPVPAAPVVAAAAGGTSVM RVPCKRVYVNGRPVIVSVNEEGQTS XP_844342.1 MKRVPCHRAMVVVAALLFLLCVTTRTQALKPTVPRTERRYTVHV QPLTTGLDDDGLESTLVSLTMTTSLWLPKGSAEDREEHLSFNEELDPFWVHVLRRRRF DLIEWSGCGGSWRPEWTSTLHNRKHPVDKSTAELIHDLSHHSVCSQSTLSACGWVSTS SNGNNDGNATSAGEEDLRHHHQWLAQFVSSLLSSPLTWWDDTPRFVRPIGALHSVPAG NSVFASSGYSGKFGDVRWCSYHHAQQDTLCTQHVTALLNGGRSGKDVEERIPRGIFRA GLVSMEDFFSSVFHHFRFEVKQSPTTEGGEARGNHTNEEAEIKLVMRMAFVEQNKKFD ALSSHWKESFPEYAPSVDFITGSQLNAKLSSALQTLKKIQGARSGNDNQALGNVEVTP TAQHFISAVGYDRGTYRLVVNPTPEVPTSGNSNTKSRHEQSLMLQPGDTLEALLFFPL HLMRPSLHEMRAGAGLESRVENAFVDESANVLVVLVHTTVTETAMRPLEAGVQSNGFV LCEFPFRFGWSANKDMPPDANSNRILPQPVIRVGRHVCSAGGKVGEGDKASGAQNCGM SRCASISNKRIDHQGSLESLMESMRRDNNRKGPCVCYFWLRCSNVAGSTLPVPDPAMV FNVVTLGLIFSAIVAGGVVRSTKRLFDVEESGADDENPLIIRIILRIMKRLRKRRAAA AGTG XP_844343.1 MATWADDMEPIALGEDFGGNQLTPQEAKALADKEAAWKNAKVVT ETITDAENKQYEIVKRVLQYRVDREATPVDVRAKWKRFGRATNPADQKDLVSRDPPIV LELGEVDPFERMAREEVMRLMNEVERYTVEVKDVHLARYAKVKEEQERAAKEAAAPDD QGKERTWAAARGDKTSVQHKEDTDRRLRITNISDDISREELYNIFNTDEYRIDKLFLP TDGKTSNYRGFAFITFETPEQAERCLSRTKGVARFKNTVMHIVRALPEGAKQRN XP_844344.1 MADNSSASSPAQVVATVRHENILLKKCLAEVRHENQLLKKQLYR LSAILDIALSKLASKGITLETPVSIRELRAAIPSRDVIDMPAETLTSADVNYENNPVP SVPNRRFQLRREMREHTKPVQCVAFAPGDMPLLATGGLDCRLILHDFWTGEKVHAIHG HEQNVADVAWFEGSGNLLSASFDSTVKVWDPRHMSGSPSPIYQLKSKGFVLSAVPLDK AYTLVCTDSKRYTSIVDIRTKKTISWRHDVRVNTLAFNGSKTQLVTGHNNGQIAIWDL RKVGSMLSSSMEGEGPNGGSQAVVDQTSVAHIPRLASVENEPSQSPITHISFYHNRDD SKRLVVVSADNMVRLYYEPGINPSLPHELMLRNVVGGVPTRGYTSKAAFWKGVREEQN VPAFFDDGEREGEAPSRRLTECDLMVTCGADNTACIYDVTERGNAVLVERLEGHRDRV VGAATHHADSKPIIATYSADNTVRTWVPVKT XP_844345.1 MEENEGIGTITILYGTQTGSAEQLAFTFASLAIKRGFKRCVCLP ADEFPLDKWRDATPLVIICSNANQGEAPDSIRISWAQLLHSTAPSMDGLRFAVFGTGD SIYPKFNYMAKMLHNRLRQLGGTPLLNRGLGDESDRKGYDEVFLPWVLELWRALGLVS EDDSHLKEENPSDAPLLCKYEVVPCNDESAPQGGVDVAVRRREPVFNCRLVQNKRLTA EDHLQAVHHIAFSRETTPAEGSLLPYDTPLAFEVGDALGVYCTNEDAIIDRVLTQVNE DGDKVVCIKPNNSQGIIQQQEQPFFNRPMTLRFFLKHYVDLEAVVSRSFFRMMAHYAE DAELKERLWELSSSDNLDDFMWYCQREKRNVAEVLDDFRAVRPPLALLLSFMPPMRAR LFSISSSPYVDCDTFHLTVALVEWQTPYKRTRRGLCSSRLTSAKPGDVFTCFLWDGTM ITPSTPAPLLCVGTGTGVAPIRSLIRECAGHSDIWGEVPILLFFGCRNETKDYLYQQE WADLKRDHLKQLQVLPAFSRDGEKKFYVQHQIGRHARRVAKLLDAGATIYVCGNSKQM PKDVATTLEDVVTQCCCEGDEAKSQEYMKQLRKQGRYVVDTWSV XP_844346.1 MWRCCCFFHLIPLPFPLFFEGPPDTVVMDGFISLENLESYQDEW ERNCCSATHSSPHSAGNDTGPPQLNSPRSLHACKLCNVDSSVVLAPVSLRQHFEYLQG NPELVSQKFAVDVPMRDALLACIEAGITGGSSLLKLQAYRRYMDHVKARKEALKMAQE ARQRLITQETFETGRQTLREGDATLSPKIPQEQNRSNTATSTVALKNACDSMGGDSPE EEANNSDNNDESGEKPQQHHERARGQKVSADSPHLADNAGSSSLVKEPDNGGSSAAAM RQERRNSSLNQSVCITGQHGGEQHDTAKGGKGDSCTPHQCGKPVISLPHVESMRNGAG SKAVGREVGEIRSRDPSSACEPEEVFYVYCANATASGEEA XP_844347.1 MGGHHGTWWTYAKLTATAVIGAVALNDSVNNHTLPSVPTPTAAA AGVASEVEASWWFLIVADSILLCFAAIFAGLTLAIMGLDTLSLEIIADSGPEPDKGHA AKILPIRRLGNQLLCTLLLGNVMVNTLIAQITDSHLSGWGATVVATALTTIGGEVLPQ AIMSAHALRVGAKSVYLVKFFVLLFYPVCKPLSMVLDRFIGMDPGQIYERNELKKLMF MHAARGAESGLGEREADLMVGAMELHEKTVMDVLTPIWETFMLEASQPLNEETIQLIC ERGHSRIPVYQGNRNNIVGALFTRDLLMVNPEEETPVLVLVKFYNRSCHIVHSETKLS SMLECFQTGRSHIAVVQEVQQRPCGDPYYEIKGLVTLEDVIEELIHSEIFDEYDTVPK RLVGNSSYTQRRQVGLSSRCSRRVHMGKNQLKAVALFLSRSLPEFHDCSQGECAHLLR AVEMYAAFYKTRAPHDARGLSKDDKANIWLYRAGVPSDVFTLVLSGKVEIFAGGEEIR FEQSCWSVIATRALTDERFVPTFSCRVVQDSTFVQITREAYGMILSMLSNISL XP_844348.1 MLSPSGASAAELDEALNQYISAIHPTVCPHLTPRHLLRVIPAQP LPVRTVWRRAISMETVPSDMSFEAFKSALMPLVPLFLSLSSTTLGEDGDEGPLVRWQP WVSDGWRNEQERTREQCGDGVISELSGVVPTYFVSYALLKEQTRLGQLSVATLHTLER KELVGGSVPPVLEWSEDHSVVRLSNEVIASSSSTRTANSFYSLQSQPEVLRRAVNILA LLLPTFKASVGEVRAVYKLNFITPGGLRHSAITHEFAIRFPDALALVDHPDVQFVIDR DSEGCVMLHSTFLHTSRGAANVLYMCTSVGHPLSISAHGVDTNEGAGCLDRYYLRVDL PYAPRCGDEVVLQAICSATVTGLYCVQQPDKDRFSLEIATAQGAVIVFDSTISLLCRL STAGAPCCGFPAEIVTLLASPNIVKLIHAAPTVCTGNQGVYGNVLDGLPLLYAHLKTD FQCVCTFHQLALFVGIPTTETERPGQYSLLSNRLITCHGGVALPHVRPALLAAVCASR LIALHLADGHEFDRALLQQLLRDAVEGSNALVMRNLQLRLKRYGMEPHGTCTKHSCEA LLQEFLVVYGPEVCFVDKFDDFLSRAASSTEIARTVGKNRSEEDDGEYIRELLLDQPT STVERDEEDMDVEHDVQGELSKCCKQNVGSGDSEVTKRSEGEQDNMEEAYNFLFDCSG AGATDKGSNIPPSHRSSNHMNCSRGNEQSERSTVFVLPLPNLKGHHMTSRNVRWTLPP SFSRTNVRGTTTTKAEQSVKTIHGASTRSLLPEQRDPTNWHIDKSDEHTEGIASEGAL IERLTQEVLCATSSSKTNKRRLPACSSDRLISE XP_844349.1 MRRLSVTAPVLFLCFKSYCTNHGEGKLIQTVCDYIYRSSSAREQ LRQLIHDMSVENALRHLGEFSEEGCSGATPPWLGELVQAPGDLERINIVLREFGVWYI ARKAPLRVTMGEPWDWYPKARFMRRRFIFHHGPTNSGKTHAALEELVKAKSGVYCAPI KALAAQVWKRINASVPCDLLIGDERQFGGGAEHVSCTVEMTPIDYQIDVGVIDEVQMI GDGDRGWAWTRAILGLPAREIHLCGEERAIPLIRSLLYKTRELKGLRLVPHQRLAPLR TSAALGGDLRQVENGDCLVCFSRKMIFAMKSQLEKLPGVAAHYIYGSMPFAVREAQAD AFNRGVREAVEGKDSKKHVLVSTDAIAYGLNMSIERIIFVSMKKFDGKQMTSLPQATT VQVAGRAGRFGVLRANTFGRCTTLHADDFPTLESAINARLSPLQRAGLLPTADILELY VTMNSDKKRLKTSGVVPQDVFYGHVKDFSSQCKTSDLFFPCDLSRSLLQVARELDAVP GLSLTDRILFCYVPVNTRNKDTFDLLRCFARDHATGGPVRLRIDEEFEQLVGQCAHLK THKDSERAHRILSRMEDLYRHAEMYCWLSWRFGNTFIYLEAGSALKERIVAKMDELLR RL XP_844350.1 MAETNIRAEEVRDLTRVERIGAHSHIRGLGLDDALEARMSSQGM VGQVEARRAAGVVVQMIREGKIAGRCVLLAGGPGTGKTAIAMGMAQALGAETPFTMIA GSEIFSLEMSKTEALTQAFRRSIGVRIKEETEMIEGEVVEISIDRPSVNPAEAKGRTG QLVLKTSDMESTFDLGLKMIESLQREKVQVGDVITIDKATGRVNKLGRSFIRSKDYDA MSANTRFVQTPEGELSKRKEVVHTVTLHEIDVINSRQQGFLALFAGDTGEIKHEVREQ IDQRVAEWREEGKGEIIPGVLFIDEVHMLDIECFSWLNRALESPLAPVVVMASNRGIA RIRGTQYKAPHGIPIDLLDRMVIITTKPYSEAELSKIIHIRCEEEDVEMDDEAVALLT MLGKSTSLRYVLQLITTASLVAQKRRSSTVSIHDIKKVYSLFIDLRRSVELLQEHEKD FLFGEADRGAEMSYGAQCQNEEEQ XP_844351.1 MVEETLDEKFQRLSKTLGVKATRPRMSIQTKLDLYGLWHQATGG PVKTPKPSRVNVVASTKWNARKKYEKLSKDEAKRKFVELAEKVVPPSNL XP_844352.1 MITGLFFLNKHGEVIIEKEFREKVPRSSLEDFWCTYMTPLRSIE EAPAVITYSRFAFIQIHRNDVVLLAVATSECFPLFVMEVLALAAKVVQKYLKVISEST LRENFSLVYQLLVELIDNGYPLTTEMHVLEELVLPPSLENVFRSALEAPVAIKRRHMG SRAVPWRDPATKHSSNEIFFDIVENLDCIVDCEGNVVQSAVRGAVEVNCRLSGLPEVI MRLTGIDCIEDIAMHRCVRRSRYEVDRMISFIPVDGKFTLLQYRCKMANSVQVPFYVT PQITFNASVGRFNCMVGFRGSGLAARSREYEIQKLIIHLPLPPQTEAVQVHSISHGNT NFKKARNMLVWNVGSLHRGTCSLSGEFTFGTEREKEGLAPCTGGSALVEFSIPNYLLS SIRVDSVQVLNDLTKPYKGVKYVTTAGRFAVRTI XP_844353.1 MPSYPSHDDRQRTKNEGAKEKGQGAENEIRVTARPGSRGYITYA LALLRGEEGKPKYDSVKISAMGAAIRSAVGVAEVLKRRVAGLHQTTEISSEVIHDTYE GNDDKTEKKEVERKVSTILITLSLKPLDVNHIGYQAPLPESEVKEEEERSQISRGRGG GGGSRAGGRGAGRGGGSRGGGRGAAGSRGRGRGRGRGGTGGGAHGSQRDGSDLGEGN XP_844354.1 MPSYPPRDEYRRVRKNEGAKEKGQGAENEIRVTARPGSRGYITY ALALLRGEEGKPKYDSVKISAMGAAIRSAVGVAEVLKRRVAGLHQTTEISSEVIHDEY EGIGEKKDKMEVERKVSTILITLSLKPLDVNHIGYQAPLPESEVKEEEERDPVERRRG GRGGRGAGRGGSRGGRGRGGNDRRGGGNEE XP_844355.1 MLCVDRQVPGTLRRSHYLVRLCCFPPLFPLLRLFRWLIGVVPLI FIFLAGIHTLPSVSVFVRPQRQPTYCPCNEEEGGSEHNRAFRRCTCCLRGATARQKGI MRREWETKVENEEKKRDTNGKEERERSIVLSR XP_844356.1 MEASVGVEVAWSSEIVGSPQVGGYLQLVKQAQPVDVASDAVPSN TSDLVEGMSSSTSLSSVEMITTQHTRRFDGDGWKCVLDNHMVALCDVFVKDAAAACDV PLENVALRELRLGSLYVTFHVTHDADIDEEEIQQRVDLYPFREVMRLYANRDAPPDGV DALLKRNAELEAETKAMIARLKDENDKRIEKLIRDHEKALKDLQEKVDERERELVGIN NDLEALLQQMTEQKNSLEEERKKAIEAIKRERARNEKLAHRNSELVAAIIQENKKEME AKEREFEEQLLEKDVVIENLRAKLRTKNEATNGDVPHAGRSPMSNADPDRAEEFAKLM GRMEEMAIVLEKTQESETEARSEIQKLSEALKLSEEARQSDAVIYENNTLALKQQLQS FWDTKLAEDHQKRQEESRARRGASGVRGEKTNETIVKQYEAALENIIRTLQDRLTILR EEHASFIKVSEKETINERDILNENEAESQRVRQTFRTVSLTLQRVFWGTRDDEVPPLI TELDNAAACSEKSRASVKMTLALLDSRLQSFVEQKEWMETHQQALEELMTSLRTLNKR AFERQLSLMNEQSARLPSAP XP_844357.1 MEILEFDESVLSQVKSDVVSVGDLVAFDTLRDDSWCYEKGYVTF LSDYVARVSVANNSPSQDVDERATAYKEQLLALRQQLRNGRAAVEEERIASLRIQVER ANTMVEGIEGACFRELQSYVSPPPIVRKILDDILIILGITLPTSTWAELRAQLRQPGF IARVLSFDPSKLPPERRNEIIKRCRSNDISDDDASVASRAAGPLHQWVKAQLNYVNHN HVAATTLAASSQEHLERIVLAIRDIQDRLAAVEGGNALDDLPMPEKTILRSSIIPTAL IEGSIPKIATIHRSELWRYITLQSDSLKYVNSKNKVGLSSSKPDVVGACSDASLERGQ HSVDEDERIKVVEKDAAELRTEMENIRSENRTLLQQLAICERENKVLRGQLEEAQKAE EESARRQGELIHSLEELQRTNDMLEGIIKKLQNAIDEGGLLVATQGEDVSTQHNQLPC SEGSFKHTIELQRFLEERNSMEEGLDDRHGMVPDQERKSTNAAIHTGRHGPLVVTADE EKDDKGMCGFSQSDAARSAHSRVTNTKDTHTAAANTDVDATGDHDTGKQSRLSKTSTK ATAAKKKGKGTAIISSEAQEHTDSPRSQSDTHLPKENEDKTYTNEGGEILKRLPSAAN ISSNSVTSDREEGARTTKKLTARTAVDHKKSKKEVATKISREEKDKTHKVRKTQADSK EAREHLKLTKYKADQTPHKRSKKQQPSPKIKKTKESNPTQCCTEFAADVESQSQQQQQ RIISIESTVNKDTAQRTNAAIEDELDSLRAVNEQYEAMQRTNAAIEDELDSLRAVNEQ YETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEDELDSLRAVNEQYEAMQRTNAA MEDELDSLRAVNEQYETLQRTNAAMEDELDSLRAVNEQYEAMQRTNAAIEDELDSLRA VNEQYEAMQRTNAAIEDELDSLRAVNEQYEAMQRTNAAMEDELDSLRAVNEQYEAMQR TNAAMEDELDSLRAANEQYEALQRTTADIGVGNQPLWVVQKELKAARRTNEDMGNELK LLRAFKEQCETLQHVNISAEKDQPTHRILKKQEKNTKEKIIDDERDIAEKRKYTEEYK ILQQLNAEVEAQLEYLRALKKRGRSTRHFDPNMEEELDSLRAVNEQYENLRRTNEDII NELDSLRALNEQYKEDSNKDTRVAIEQQPLQATPKQLKEDRTTNDKIQNELDSLRAAN EQYETLQRTNAAMEEELDSLRAANEQYETLQRTNAAMEEELDSLRALNEQYETLQRTN AVMEDELDSLRALNEQYETLQRTNAAMEEELDSLRAANEQYETLQRTHAAMEDELDSL RALNEQYETLQRTNAAMEDELDSLRAANEQYETLQRTNAAMEDELDSLRALKEQYETL QRTNAAMEDELDSLRAANEQYETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEDE LDSLRAVNEQYETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEDELDSLRAVNEQ YETLQRTHAAMEDELDSLRAVNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAA MEEELDSLRAVNEQYETLQRTNAAMEEELDSLRAVNEQYEALQRTNAAMEEELDSLRA VNEQYEALQRTNAAMEDELDSLRAVNEQYETLQRTNAAMEEELDSLRAVNEQYEALQR TNAAMEDELDSLRALNEQYETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEDELD SLRAVNEQYETLQRTNAAMEEELDSLRAVNEQYETLQRTNAAMEEELDSLRAVNEQYE ALQRTNAAMEEELDSLRAVNEQYEALQRTNAAMEDELDSLRAVNEQYETLQRTNAAME EELDSLRAVNEQYEALQRTNAAMEDELDSLRALNEQYETLQRTNAAMEEELDSLRALN EQYETLQRTNAAMEDELDSLRAANEQYETLQRANAAMEEELDSLRAANEQYETLQRTN AAMEEELDSLRAANEQYETLQRTNAAMEEELDSLRAVNEQYETLQRTNAAMEEELDSL RAANEQYETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEDELDSLRAANEQYETL QRTNAAMEDELDSLRAANEQYETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEDE LDSLRAVNEQYETLQRTNAAMEEELDSLRDVVAEDLDILNVYREFKRECNESGNVIST MTGQILNLERKLKEIERLKEVAEYRCEFIKEKSREIINCVMEEYKKPANNSGTAGGAH ETTEESTLQRHLSRLEELDEGIREQSKEEKAVNEELEELRHLNAAMEDELDSLRALNE QYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNA AMEDELDSLRAVNEQYETLQRANAAMEDELDSLRALNEQYETLQRTNAAMEEELDSLR ALNEQYETLQRTHAAMEEELDSLRALNEQYETLQRTHAAMEEELDSLRALNEQYETLQ RTNAAMEEELDSLRALNEQYETLQRTNAAMEEELDSLRALNEQYETLQRANAAMEDEL DSLRALNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAAMEEELDSLRDVVAED LDILNVYREFKRECNESGNVISTMTGQILNLERKLKEIERLKEVAEYRCEFIKEKSRE IINCVMEEYKKPANNSGTAGGAHETTEESTLQRHLSRLEELDEGIREQSKEEKAVNEE LEELRHLNAAMEDELDSLRALNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAA MEDELDSLRAVNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRANAAMEDELDSLRA LNEQYETLQRTNAAMEEELDSLRALNEQYETLQRTHAAMEEELDSLRALNEQYETLQR THAAMEEELDSLRALNEQYETLQRTNAAMEEELDSLRALNEQYETLQRTNAAMEEELD SLRALNEQYETLQRANAAMEDELDSLRALNEQYETLQRTNAAMEDELDSLRAVNEQYE TLQRTNAAMEEELDSLRDVVAEDLDILNVYREFKRECNESGNVISTMTGQILNLERKL KEIERLKEVAEYRCEFIKEKSREIINCVMEEYKKPANNSGTAGGAHETTEESTLQRHL SRLEELDEGIREQSKEEKAVNEELEELRHLNAAMEDELDSLRALNEQYETLQRTNAAM EDELDSLRAVNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAAMEDELDSLRAV NEQYETLQRANAAMEDELDSLRALNEQYETLQRTHAAMEEELDSLRALNEQYETLQRT HAAMEEELDSLRALNEQYETLQRTNAAMEEELDSLRALNEQYETLQRANAAMEDELDS LRALNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAAMEEELDSLRDVVAEDLD ILNVYREFKRECNESGNVISTMTGQILNLERKLKEIERLKEVAEYRCEFIKEKSREII NCVMEEYKKPANNSGTAGGAHETTEESTLQRHLSRLEELDEGIREQSKEEKAVNEELE ELRHLNAAMGDELDSLRALNEQYETLQRANAAMEDELDSLRAVNEQYETLQRTNAAME DELDSLRALNEQYETLQRTNAAMEDELDSLRAVNEQYETLQRTNAAMEDELDSLRAVN EQYETLQRTNAAMEDELDSLRAVNEQYETLQRANAAMEEELDSLRALNEQYETLQRTN AAMEDELDSLRAVNEQYETLQRTNAAMEDELDSLRDVVAEYETLQRTNAAMEEELDSL RALNEQYETLQRANAAMEEELDSLRALNEQYETLQRTNAAMEDELDSLRAVNEQYETL QRTNAAMEEELDSLRDVVAEDLDILNVYREFKRECNESGNVISTMTGQILNLERKLKE IERLKEVAEYRCEFIKEKSREIINCVMEEYKKPANNSGTAGGAHETTEESTLQRHLSR LEELDEGIREQSKEEKAVNEELEELRHLNAAMEDELDSLRALNEQYETLQRTNAAMED ELDSLRALNEQYETLQRTNAAMEDELDSLRALNEQYETLQRTNAAMEEELDSLRALNE QYETLQRTNAAMEEELDSLRAANEQYEAVRRSNSDMEDELKSLRALNEQYETLQRSNT AMEDELKSLRSLNEQYEAARRSNSAMEDELKSLRALNEQYEAARRSNSDMEDELKSLR ALNEQYETLQRSNTAMEDELKSLRSLNEQYEAARRSNSAMEDELKSLRALNEKYEALQ CTNVCGSAVNDFAYSTVDNMNVKVSKDSAFLCEKTVGHCVADALRVMGCRVVSDDHDV VFLKSGNVGGAISSNVLCPVDGSNSLFGGGVDLDRRGATEGAGGDAGTCVPPLKVKEE VGFPLSSALAASRCEEDDAVVSKINDDCGSLCVSYRGGSGDAFEGSSLLSSLSDVNDC HLCSVLAKRVLKLRESIRVQEETMKYYVESQEELRKCNDLVIKRLEDEVKALREELRG NEA XP_844358.1 MQQVDFGQQPIFDDRQSIDELSDLCASLKEQLAAKELNEYEQEV RLRDLRQQLKELSDVEGKLILANRNARVLEEENNALLMKLKSGETADTSGTSFRAEAV KLIEENSSLRSEVHELRGLLNKAQQRESHLDQRCKNINEQQEKNDLQVSLLKKRNAEL EEQLHEVQHQWLLAKETWENERSRNLQDYEELLASVKRFDGTAQQRENWDVKTRGSNQ HLQQALLKEDIAELEEKLQLAEERYRAKEREWREMEAALRVEISTLQGNNIGTGGDVY RAIQHQLDSFKHEVTVFREERKPGRMKNKLRLGDPSVDDVDDKVSEIDDSDASRESRI QSLEVLNGNISRKNELLMRENEELHKEIEHLHLRNSRAEEEYNDLEVRVKELEIALVS TEKELSLQKGYNINREKDSRCYDVHEMKAVLDENEQLRDSLLEYTRQISSLKAANEEQ LAASESSRSEYQAEIAKLLLQNESLQQRLLLRNSRNNSAGELAHDSNDLGNGENEQRP RTRGVSEATVIDKFLKDKLDSTEDFMRSLETAWSEEDEAKRKMRRMSLQDFYKETEQR QNSLQLLQSEKNRLSNEIVDLRHANKSKENRIHELQESLSVLKRHLTNDGLGIVQGEP MRGSGIESRMRRLEEALAQKEQSEVAEKRDKKLQELNDQLFALREANDGLWKQLVSIK DERQEGQRHQSRRNSRSTRRSARRRSMEQLAYPPASPHLADKKKNAVAAGAHLAVTIV ELRDLMKNGRPIIEPGYVVIKVKSVKEKYKTSVKELSSAIRFNETFYFYLAQPDEDVI TLHVFYKSKGNSREHHVGDAAFTMATLHRGVPRQRVAIVAQNPGTRDARRAAEVEVTL QSDDFGMLSTPTLAQIEEDNIRFKELQKRVEMNSPESLHCVDVLMAMNTH XP_844359.1 MLLPHPSFVGRFFQCRSKEMAGDRLWEDRNNRFITIFVSEWGGV TALSTSTAVIWCLHSIYGLRALCRCYPFRTANKTRVNTNICFILQVYKCFAISARCLY VSPFWMCCFSAPDCQPVGPLCGVDFVVTCTAEVPRLGRRSWALIALFLGVYVLVVRGL FCSWGGGTSSI XP_844360.1 MTVIHLFLYVKMYFVALCFPMQLDVVITQDFCCCGITPIGAVFL VRLDTIDFAVTLPFLSEYGAAYVDIVGRTGDCRRENGVVGASAAEYLNGAHHVDSVVY VGNVRTLWMRYRSATQTFELSCLLSSPTKIASYLLGKAWNCTASQKEKGRY XP_844361.1 MHQHGKTSGTDGSGATVSQSSSGFMETPWRIFEYGVASEQGSRK TMEDQHAMVAESFPFFAVYDGHGGTQCAEFLRDNLHTFIFGQPEIETDPERATRAGIA EAENAFLTKCADEKIESGSTCAVALVVDDTLIAGNVGDSEIVLCRAGAPIVLSTKHSI QDNVSEGERVKACGGRIISNRVGHPKFNPQLLSLAITRAIGDAGFKLREFTDGKPSGV IADAETRSTRLTDDDKFLIIGCDGLWDVMSYEAAVQLCSRLASEGETPKAIAGSLCQE ALRQGSTDNVTCIYINIRAKSSAPGCGQLGSGDPLHNM XP_844362.1 MESPTCGDAVGSVDAGRETSCPGSSSGVPYPLFHLIAGDTVILA MEHNNHSKLLALSTSRNEIFVTNTQSLPMEAVQMSDGEKERWDPAAATTLVDRLRHAC TQLVWAPWQYGNRLACISRGKHIRIYRLSHNRWALDEAVPVQDCNAAAFSPYLTMACA CAKGKVLIFAQSNSDGNSAWSCCSTYSLESESSSSFNQPIGRNRTTKGCTCVSWDESG SLLAVGDGAGSFRVMYVTGESRRVVGTAYGPRVTTDRAAVRHLSWAPGAGRSFLILAV VTLESVTLLFFKRPSGGAVATGGAHALVPDRLQLMTETSMEKQDVVGLIWNSNGTRFV TNHTAGTLGVWTIVVSFVRPVRRAVSDMGDRGMGLPHAGEPCEDQSPVLVAQMRQISV VHLFQNGGYWWRGGGS XP_844363.1 MGSDVDLRNETEAKNSNHFGKRTNLDRSSVKRQRDGKGGDSLHR ACPNAADVQRAVACGGGEAKDAADGGKLSRTRVGRLSDPVYRIMHMLPRSDVLEVVKC RFGPEECQRAASYFDKSFMENPLRHLRLQIGCDRATGLNLFFPKLQHHRGTLRHLDLS RNRLDEDDVATLVQVLDIRRDETNNNSSALEVLDLSYNRHIGDRGGVMLLSALRGNDR IRAVILKGISLSDAGAFGIAALLPQRPKPLLCGEGGGCDYPLSSSASVSQNPTFFLNL NENIIGVKGIGALGKGIPSYVSVTASRQYPSFSSRRSARVIR XP_844364.1 MADTLQLLGDVTDVSNAAAIVGLDTLVEEGRLIEERADYLKEKF KELHNRVLVVYKRDFFLLKRARQLRKELDAQKERMAARGKIAQDDDAEIQRLNKLLMD TEEELSDAQERESILQVEVLELDRKKFTVALEREDAIAAEEAQLRPRMEAMRQEVSST GKELEDMVEQCKTLSAKRDVLLAQEAEATEALAKFKTTMAETTRQLANIERLPEHSLR QAQVILKSYEAALLEAKALDEKLAAQADMIAKLELKKNNRNLDYEQAVANLQKLKSKI DSKRVTIATLNTSLEMEQEARLGYQQRIAQIEDLINTTNATRAKQCEDVEQARRDLEQ AREEYAELDIALCKIIKEQKDVKDNITSVMKTIERVETTRKRNAQRLDDAKRDAERRN QLFLKEQGYEKEFTAKVGAVSADIKVIEKEIINRTLQEETKRREIKALVVRLQELSKE KAKGKSRIIIGKHELRMKEMYVSDARKRLSDLELRLDSIIDTFQSIKHERTHKAAQIQ AITQKMTEMTEKKAILENELVVLCRESAVKEAELVKKRRQIHKLRQSCRALRLEKNNQ RKCLEKRSLEERNIKAQARRVASRIATMEEEMGGAKRNYQNIIENRDGAGIQLLDRND ELCVLYERFKTQEKVIRDGITVDNAREEEIRALRIKFTDVRRQVDLVRASVPQVKELE DRLAKILNDIDDEKWKVEVLENDLTNPKNAHRWRLLKRQNAGRSVASGVTAPQQAHSD VVATASPGEAAPSAIVTASDSCSDDVVDLQQRYQQLEERVRNVNERIREKELILEEVT ELSTRLAEQVKNGREFTLALTKQVNSHHHSIRAKTRQMMATVSELSVFQASAIQLQQD VQRLEGIVEEAERLMAEGEAPFAEAEERYIREVEGKARYRNVLRRKKEELESEKSKGP KVYVEQRPNAYIPDDGLSVPKPFGALMPFKPTPAVQTSRYYRSKSERSRDSDGPKRGP YSESYSGGGSLKGNDNGPPKTNHFSLTQ XP_844365.1 MIVGQMRRASVAGSSDSVLAIRSCFDNRDAVLFIQPGDGALLVA DGHRYVQVILHFHGVTRFPSLIVLPWEQHRFSVRVNNVLYDDGPISLDVGDEIELMDS RSRLTADRCVFRVELLTTGCGPYVSELLANGNRTDNAVPAHKPYKVGQGSCWAEFVNH RVGKLDKVLSRVKR XP_844366.1 MTESYRSSMYLFQHSFCSSVVLAFCLLNGTLAFLFAFMIDSGAL AAFAVPAVERGWVVSEKATACRRAGGLFMLLSVLVLVAPSLRWLRGPCRLLSSRLRYR LHWGYRTFLSNDCQDVASSRCSDGDCEMLEV XP_844367.1 MSPKLYVCIAFSFVNAVTAFVISWLMQARITTFDITAFTHGWDM PQKTEACRSAGVLYLILGACFSAYALYLRYATRAEQLRRYEMELAGMPEGTPLLRGPV TNGSFPQSKQNQGATGPRSKESYGADSSN XP_844368.1 MALVKKFSGSVAANRSKKIHQLTKKTTRVKRDRKSPRLYMKGTL AGYTRGLHGQNKNTALVRIENVNTTEDAKWYVGKRVCYVYHGYKIKRCVRWSKAPARR SNTRAIWGRITRPHGTSGTVRVKFNGSSVPASAIGRRIRVYLYPSRI XP_844369.1 METKEKSPMLDDLRDDCPAFSRLDCLGNLTGEGEGPAVGLKEHA LQQRAESSFDRDRRLAGIFSLDGVRGRNRLVKEDDNGNVEYKWRLTGVSAGRLEHLIT QMRFRVGEGNGQCLYELGVADDGTPRGLSAADYQESVETLLRMAKALGLDTTVLQEFA VQETPVPLWCGEILVTQRQAKQQDCRVAFCGTTGSGKSTLMGVLLTGLRDDGVGSARQ LIFNHKHEITTGKTSSIVTRVLPVTESRVEEMPFAYRPESSERPCRSITMIDLGGDVT KQMLFGLMSRRPDFTGITIAVDQSVNEIARYAQVCCAMKFPFFVVVTKIDTVVEFEVD AFLLELAAKLSTIGCSSLVLATSSDVVAFQKTWRKNKQVPLLSLSSVSNDGIEVFQQF LSSLPHVEIPPTPNSMFEVLLDGCFLVRGVGPVVKGHVSKGSVELGCRCNIGPDAEGK FHAVTVRGIHVDGSHVTRAQQSDEGTFALSELPNGIDMSQKGKMLIPNSVEVCWEFEA LIKVLAQSITPQLEPILYSGNLRQAVKIVPSGVETQQLIDEKVLVRFRFLYHPEVMRE GASIILQWQPSGIAVGEVQSVFANV XP_844370.1 MIGSSATTVSCSNDVCSRANERWDEDRLAVATHVQSLLVAIRSN ERFGAKARFLGSSDVVEHVLLRRLRALQRKIVTPCLVRPITEEAILLPFCDIWISEEM SYTIIGTAMTSLSNLIDLRCTFITVKGIQRVLELAQRSVGGSEDDLTYEDLLLRKLKL CVSCVKHPCARALPETFFVDVVRLAFVIAMHPDTSLLLRCIAKEAMKDTATAMYGFII ENISSYNLGQGSTDGVENFQAHESCVDFQLTGVPMLRYVCSLIDGTVSEIKEGRFSPG MFGSAADSSLVRKVQLEGLHLAQSILFVVKDHLCMPECGNLLYSVQHNLCRALLVAGV GTEDIGLLSQIFPTVHTVVKVASFHLLPQTYSFLKVLHLDPLVRIGSDLNTNSTSSRV GSVTTSSQRPSPAAQMSVSKMLDLCEKRELILESLVGFCTDANFAVFCYAQYDLSRRF LPLLEHMCSLLVENCFNITNSNVPLEGGKPGHNGLTNDVEVGTPLTRMDALALEAIKG LLWQVSQVAPPQRFHGDSNMAAMINARITEKNMLVELASLFRTNALKSGIPFLLEKAI RLPAGSLKGVETGSIGCDVPMLILEEPAGGREVGACLYRLSGLLDKRALGDYLGELGR EPPEPDPDEGEHYQAALAAWMEDRKDDHLKLGTVRYHQEQLKGFLQSFDFRGKSLLSS IRETAYHMCMPGEAQKIDRVMEVFSRTWLEANRGEGKDINPFQSEQGPFILGFSLIML NTDQHSGKMSKPMTQEDFRRMHRDSDGGGSLPEEYLRAVFEDVKAHPIIMAEMMDVGF SNDVTWRLEMRPSEAVESRKSDPFTRVSMQAVSPNALESCEVEALRPFILRMIWSYCL TAFGNTLVGCTKSVFATQKDSTQETGAEGGDVIPQLATPEYAYNCALDGLCLLAKAAR DRGMASVVDRVILTILSQLPLDLENVGSTIPQLSTQPSALLCLEKVFRVLDECVHDVL DAWEKLGRLFSNFFLLGMFSRDVVDSPETVTLRAELYANPCAGAEEIGDTQPDGGWLS TLWGSPNTQNRTKELRLQREQETMERVKALLPTLEELLNMIDGLDVDSHGRFFSVLCE EVRHKLRQKDGGRLSTHLLVLITEIAVRRPAEQTILDRYIKLCQQTASHYFTAHEHLD DADLYRNNISEDGESSSRLYAPTTERFGVSFGAGASPASFDFFEEWLTSTTRVVKAVL RALVCFASNAESRHVFSPLLDLLMAAPPNVFKVAVAADLSLTLLELTQEAPRLVNPPY NLSLNGVLSALSLSFTTCPSPVVQKRVQSALSFVVREALYDSLSESDDIVNVLVTCAL QSSRMQEEYPASADTTWPRTNVKPSDVPEQGELVESFIGSLVTVCHRFAINHALRDAP ADNAKGPAVWIIALKGLSNLVVMSRHSRDRNMALLCLQRCLLDLEIGSLSADTVVLVY ENVIFPLVEQTCASPSDSPATLGERCDQNDGCQGGHATDKVLPQILPKQFSVTSILSS LAPAPPSRRAAQSAAAAAAQRSQGGRDKHREVVDLKCRVVSLLSKVFLHYAKLMGENP VVLRDLWQRVLGTLCALYTSLSEESGTGLPGGEAATLDVPAFNGPRRIGAMAEDNAVL REAIQEAVKNMIYVLASILVGTGSTLEAVEAQQFWTSTKNLLRTFDFAEQLLVFIDNL EGT XP_844371.1 MKATIITPGETYRILAPASSLHKYLTDSYWCSAMEEVCEGKLDG VAVRYTGQQLVLLQFRPKQLSPAGYRYPISMSIPVEYLVPISTEESTEDHNICKHDTN YGTKESTFLGSGFAPLCVVCGRYNVPGMVLRHHGYKCPECVGHKSTRKLRMEGARRR XP_844372.1 METTCKEDVPQVRFPSPTSSKSMTTSPQKLSILGDITAEPLSAR RSFNDVSKVREPDGRWCRKFLSTYFRCELCQNVVTDPVQILPNVLLVCRRCALSRRVP SKDLQELPVSLTRAFEDLYEGQREVQLPSASRMSEIGRASTTSDEAICKRRMVRVKRP DAVQEGSDHSQTFPLAASINGTLNASGRSSVPLLLNKALCELENKEYCMRVEIESAEA SGALELKKSYNSDMRKITARSCGTSKTLKTDADQKYEQAEYTLALELYTKAIELQPRD RLTRLTALYGNRSSAYFMAMRYAECIADCMKVVELDPNNVKLFARAAKAAAIMGDLTA AVSHMESIPEERVTPNIISEREKYKNGLDTYKRAESSFGKSDSDDAWQMLVAQFSDTI FFRIRYAESLQNQKRFLKAVEVLDVVPQERRTPKLLYIMAACLFMCGFEHFDKARTCL EDVQQLDENCAQLLKVLNIVDEGKQKGNQYFQQKKFVAAMEHYTTAIGAAVNNNQILR ILYCNRAASYKEVGKYREAIEDCTRTIQLDPAFSKAYARRARCHQALSDFASAIRDFK AAIKYDPNDQELPRELRSCEQSMAKEGERERDYYYVLGVSRNATEREIKARYRELSLR WHPDKCMSLPEEERVVAERKFKIIVEAHTTLIDAVKRRDYDLKMEKERLTRSGGFGGF NGYSSETFRGHSNRFRQGSSGFW XP_844373.1 MIYELALLISTFLGLSIFVIPFSLLRTWTRRQASSRFDSSSARQ RHPTKLDEQVNAKRPLKVVFLHPDLGIGGAERLVVDAAIALQRYQKVTPVQVIIVTNH HDPQRAFAETVDGTVTVQVFGSWLPASIKGRAKVFAATLRMCWAAWVTCWMHPDADCF MVDQVAAVLPLLSFVAPQIPRLFYCHFPDQCCDGNRDENQQYKKKPSIFRLLYRKLFD EVEVFAMNYASSIVSNSKFSRAATLKVFPKLSNRIDAEADIFYPPVSLAVREGAKPNG DTKVFDTEELDKLRDAIQGRSVVLSINRYERKKNLVLAIEAFARLLNSGKTTCSGAPL LVLAGGYDTRLEENVAHLNELQKVADTYKLMDSQILFLKNITELEKRYLLSQCCCLLY TPTSEHFGIVPTEAMISAKPVVAVNRGGPCESVGEGGTLCDPTPEAFAEAILLYLNDD ELRRRVGEAGRKRASDVFTIERFGEKLATRFVKLWTETNAAMGRAAFWGKWLEGGKKA D XP_844374.1 MQTGPFAAVTRVPDHVRNMILNEIASENIWLFDRGRCEAVTVGD MRSSSFSIPMAESPPAVGCELDNNEHLLLYDLMRVRNGQVMFENDHFSRIRQNCILTA PTACDAANVSEDEDLGKLSTYIEGVRSTTRDFIKCNPWENGDVNLKFVTWVLPSQLSD VSTAERRSELLRTLSYAVYYVTSFFPPEDWYEEGTRYAMLYDAQRHTPNAKILQVPLR ERAKALQQTTNAFEVLLVSDNRRHYLVPEGSRSNYLIVSGDESLLCSLEEDILVGITL RVARRAAACARLPPLRHRKLVLGDICLAKSIAMLGTSPGVLPIKEIQVFYDEESKQNF LLAMEDYMQDKDEEIQRAVINVRGRILNDDGLLRLESPSCDTLKRLRAAYEAEALL XP_844375.1 MILRHSRRLLAMEGERKFTFPSTPATLSTGGVPFYGNIYAGRVD TQNYVPPPQEGSGLIKPNSSAWDDPQVRSKIERCPYFALCDQVVWHNMDPAYLDPGLL TMEEHQLLLLFSRAYYEKWKLIHKRPPNALPRVRIKYGSTVLLEEMLELIDVPVPVRR ALVREFQVDDASLIAMDPDCWSAAYLDPFNRDHFSGRVLEFADMSEAHREKFYLLLRQ MRRIVVVRRDTPEEERLVLPFSSGITLYDLSKYLGIEPYGGQLKVYDYVDLQKTQQVI TLKDEDSYFDYLVTTFFKANLRNATLSDVADDMDNTARYTITVEPFTVAERRIGRWF XP_844376.1 MSSSRPATGNRLAVPIRTNLPVPAPRKRRFELTDEQRQEIREAF ELFDSDKNGLIDAHEMKVSMRALGFDAKKEEVLRMMQDCAARDQHNNPLMDLAGFTDL MTERFAQRDPRQEMIKAFQLFDENNTGKISLRSLRRVARELGENMTDEELQAMIDEFD TDQDGEINLDEFLAIMLEDDDY XP_844377.1 MDEYGDFDNRDTYDTDEAAFLTSRLAMRAEEVEANVSGSQFLNS PRNGSTLDAEEDEEANFTPNVLGGPTNDTPLFYGEELDSLIQSQERNVAGNVTTQNID ASEGDALHIDEHEENDDIDNNDVDDDDEGDDDDDDNNNNNFLIDNYEPPEHTDMASGG SGCEGGGDRTPFALSRVRELLKFHSNSTIVSKDAVTTASEAVVLILQDLTRMAAAQAE RNNRKTVRYSDVATVVQHYDRFSFLLDILPPVPEVKKHSSKPVQRQTAIVPTGSKVGQ TPRSSQKQQQLQQTGTQRGSHMRQAKLSFGNAADCI XP_844378.1 MLPHSVPTSPHQERDRPLEQMTDEELRRELVMLIRTNAILAEES AGLQADISALCEESVRFQQSTEIEEDKVTNQLLRRLQSEEVQKHRFHHQILCEEKARR KIMDQITQVRQQMELEKQLEQEQEVQRMELQRKLIDVVNKKIEVERQLLEERRVQLEA LTTKLASIKEGSLAAKSKVDGAVGSRGTQGPSASSQQCQSGTANMTHESPAAAAAAAV AASSQPPPVKTETVPSSVDLPASDVAGDDTHGTIIRLEEQLNRLLEQHAAATQASATN ESLCTELGRNLETIQQAASVDRARTLKLKEELQETKRRVAVLEQRAAVGTAYAASDDS SDLPTPSVSSLYDDKRRESLLNCHQRYPSSCVPPSCSASEAGEDTRSV XP_844379.1 MIEGSRNSSAVKKRLVAKPLEECAPLNLLGAEDVMGALHTSSVS FFTWGILQTVIVHCFAGALFGYGIGFVGPYYTLYKMGSDCMTVTTQSTCPMFIPEKCT WKDNACKFVVDNCSGQLKEACGASSSSSVSQTCYWNHKTNVCQPMAGFTALQNGIFAG AFIFGGCIGSLISAPLLSVSGHRITFLLCGFVGTVGGALTHFATYTDSYMLLVAGRFL TGVSAGVLCVSSPAYVEETAPIQHRQLVGVFFQIACTFGILSASAMGLGLAQRSFSTQ HDMQQRQQMFCLPGTIIALLMMFISGPMRESVVWMEQRHLSRPGEQDDLVTFAEGRVS YGTVISSDPKPGWGGVKGQLLTALVLCIAQQLTGMNAIMNYAPIITDRMGLEPLFGNF VVMAWNFITVLTSIPISSRAHADRAYVVALLLASLSCLLVAVAVLPFFGFSSNLKVKL SATGILLFITFFELGMGSFFWTLSQGIFPPNFRHRGSSFTVLVHFLINIVINVGFPLA VEWLSGGPSGNQDVGMGIAFLFFGIVGLLSTAYLHRHLRLWQSS XP_844380.1 MSAVSSPKQSQHSDVKFPEIVPRMDEAMDEVKEEAQVEREEVEE EFHLPVCFRMHYDALPYPRTNVFRGPSTYRLHSQIVLPFIKPLSSATVGELVSRFTAR AFKCYPELSDFVVEALYPCFQGTTTSGDNVFIGHLSDRIVVKEHAASPLLSFLAPTTP GKTAVYDLFTLWRGTKTRCGSAQMPVLAPICHNADMKNGGRQQENAMIYTWPNVSLSE IPYPLKHRCPLTKLPPLFSSGEEGGLCDGLPAVHSRLNPEQRKLLDQLVGFESEERHA RSSILEDEGVSWRSFREWELDARRRTVVLLRLKSRDASKVPLCGLEEFNVPEFPRTYP VDTVPLISPEKMVKLEKVKEYSIDTVWLSRAFARYEKRQSCDTNDTQPQPLPSKLREC NGNAVASSAQLGENIDNSVDKGDESDEMDELIDQFADFRRDLLMLERKEFRSLTAGFR LILERQREFEEEYMKEIMADELRGTDVLDAESYEAPYDSQNVNGAWTCVARMSASPQD YSANLPNGCAASSPAPPSTCASPAKVVKEVELVGDSPKFPSYEKRECDIGVKAVTCPD FEDGIPYRKILTDKEARVRAALDTIERIDSQWSEPMWLKVGKRRVEHCEADVRRKLYA DEVARRCDICICQRQDVEHMKEKKRLALVRAFEPIVEGEEEDRMAIYEEEERWRSLLL SLCLRGVVLLKTFRPQRWGWDDDV XP_844381.1 MVLMRKFRCHGGVQCNCALHCFYFYRCPLLCTNIPIRTAAVLSS LRRSSPLLSDPYESGTKKTFSPRRAFIHTTERSKLMSEEIITKLREVVGLDEKNAKDL STKPERVTDLLEFFGVQGITAASPREQKVMMFNIWTKVKLSEHRDHIATYVKNNKLDS TQKVDAAVRFVNALKANAAFEVAKFEEECGVGVVLSRDDTVRLVKEALEAEDPKVLKA SWVKNPNMLIGKVKRAKGLQWADISVVKSAVEEMVPPMIEGVVLEEAPSKPKQAAPSA PSGKGAGGAQQCPRNDDWKNVVDTKKRNLIGDLPKCKEGEIVHVIGWAHRVRHQSRIS FVVLRDGSGYIQVVFGGETEPFHRETSLAIRGRLKHEPKAKAELQPPYELHVEEYAII GNSDGSIENVITAESSPDKLLDDRHLVIRGTYGSTTLKVRHELIRAFREYFWSKGMYE VTPPTLVGTQCEGGSTLFDVMYYGKKAYLTQSSQLYLEACTASLGDVYCLLSSYRAEK SKTKRHLSEYTHLEVEYAVCNFEEMLTYIEDLIVSVFNMVIERVGDLIAFMNPKQLVD PNGNPRDPKNWKLAPKKPFRRLKYADAIKFCNEHNILNPETNEPFKFGEDITDQPERA MVGMIGEIVLMTHFPAEMKSFYMARDDHDNRLTESVDVLAPGIGEVVGGSMRMWDYDE LMEAYKREGLDPEVYYWYTEQRKYGSTPHGGFGLGLERLLVWLLDLDSVRDACLFPRY MGRCQP XP_844382.1 MVVFHQLILPLGVLLLLHSIYLALSAREQLQEQHHGTGESLNRG DYFPMTSLRTGAVTGSSGATLYIFAELLVGVAVSIIGYVKRSTFKPARLIDKNCFDRY DSIAYTGVGFMHFNHRGAGTARRSAKTPNGESIRASTGKKHA XP_844383.1 MFRRTFFTPMIAQPTLLMLGNKGGTPKRKKNPMQLRRKVYGLHF KEKYLKMEEWYYCPLCAEPKKPGEWCRREDCRQIKP XP_844384.1 MIHICNPTEERCRMDLIFPEEAHTVTVRHFSVPEPPGAPELRQQ SNFPITSNPQISPAPDPVVVAAIQRRIECGSGLSSCGESGDSMDNASDPARRLAHLQS ALSILCHTAAMDKSQFFLYRKQVSKKSTNDNAECASTTDYSITDIYTTVRALKLEYDE AVKHSRDEKGTQTANAEVLELARRRTECRVDDCLRLLEPTITELILDFTHVKKVPVAY TEEMLQGLPQVFAMERWPCTILRKETDDFVGQKRPRVSSRPLAGESGRGESFFEHNDV AHAATAVVFKALRDLRKNDYMCLLGDKTLTSAPKAADVSRSHLTYGELVERTLSGVYR ERGLLAALYDVKRLLYGAYDAAPAEHAPTIKSLHAQFEQDLRRHYSAFLSKTGLRCRA NDFVLQVKTMFDINPLPASREVKDGEGGVPLYSETQKKCLKLLGHLRAVDSKGWFEYP AFDLANIELSSIERWICGPPFSTKTDREAFVALRDVLEQMVDNCVLSYGPTSQYSRVI TMVRQRLVEASQEVGLL XP_844385.1 MAKALSVSFKIDSVLQEAFGKIAAEDSRLRALVITVEDETMRLR GAPLEATGNQSDDLVKLRDAITEDGLSAAFLIVKLAKGEFAQISFCSEDVKPKVRMMH SSGAMHLAEVSGLQKPPFKLTKRNVERMDDICESLFKKESESSRAELMTEEEKLHAAV AKLEVAPPVAVMPGVTVPISEEGVLLLKRMANSEVNAVTFAIDKEKFVVEKSLEAAAA VSALTAASLADDVVALLPEGQPRVVVMRCPTAEAGVIIVNVCPPTCKPRERMTYSSCR ASFVQQAQQHNVKCVRRMEVGEMDEFREAVVEAFAEQTSGDGKP XP_844386.1 MFLRRLIVSQRRHVFCAGVVLSASRFCSGCGTTSSRADSPPTSA VNVESFTLNEEVVKRDMEALAKWNDLSSRMDAYREEKQYGKILSAVDEGIAMLEEMGA LSAPIQCETLLLLEASQAHYNLQQYDLALERAKKAKQTLMAAPEGMRDAAKLGETNQL IGYIHLKSGKLEEANSVFTDILRWIDVDARTATPMQAVAAVNMRRFIVTGIALCWHKV AERECATGGDGREMYGKALDLLLEALNTHIDENDHEMVKMSLLSILQCFDGVGDGSQA VITAEKLVRWCSRHKDEQGIAEGNEWLTKMQEKYPQKKEEGDKV XP_844387.1 MAKGNEECVDLRQSWRHFMVTPVEQTHAPVGVEQAQIKRGEFTL LQRYHLVGTNADEQSLFFLSLISPNAELLRATCHLFIQLNFQYRQLLLDNEEKLTLLR FHHEEQLTSLLEASNAETRDMVVGSDVTEHFVLKHQRELEEHQEENRFSENTLLLELR QNFFTFLKASAQGTMDSLEGEPGWLKLRQNDEQHKRGMNIGYFCDGRVPVRVVELPNL LGRNLTGFRPKDPLLRPIVVDISPLTSLRECLHCLYSEERDSVLAVEEHLLRLSRQVH AVLLFIGLEDEAMRILSSNYAELFLDQESISPSRPAPCFVPLRHHRCLRVMLSTQLWG ANIILLWTPQAANGRHNCEPLIVEDALNLALSWNADMFTVAVLGSAARSHATSSLHTE DPTREIPMEVLRQLQCGVGRLVFEAATMKASAVWGGSEETEQRQQKNNWDAGQTVPQL VSAREPQLEFNLPMSIRVFLPTTMASLDESGDRSESLELSLQGGGGAAGRVERHGFRQ DEDVGDVSVIDSSSLTDVHSIRHTTNSSFSSNIGSRRETDRRGRRQMTFGRLLAYNFG ESAVLR XP_844388.1 MSSHGKLVRKAEDLQEDLSWDSHIKHVLIELEKIYFQRIRPIEV KFDYDMCCPSWFGESMVQKKPFITFLGPFSAGKSTFINYLLQGNLLSTGPQPVTDRFT VISHAKDVQKIPGRVLMADSKQPFRGLNQFGGVFGEVLEGITHPHPILQSVTLIDTPG VLETAGNAHSRRYDYVNACRWFVEKSDLVFVMFDPTKLDSGEELRAVFQQALRGHESK IRIILNKADTVEPQELMRVYGALFWNLSALVATTEPPRVFISSFWEQPYRMGTDHELF TEEKADLIYHITTVVPMQALDRRVASVLQRATRVIAFAILCATYKTKMPSLFGKAKAR KQFIEDLPQICEDLANKYRCSVADFPKKEVLENFFSRAKTSDFFDMNQLLKRKWIELM RITVDRDLPMLLKPLEESAVVDPNDRKHALLLQREYFKRMSMEAHGQLFVEDVCQNLG TVPMIQSQFTDRPAICDVPANNTSMQLQSTAPPNGAGGNVNQEQLAVMMQMMQTMMAA QQGQKPSPQQQGVPLISQQAYAPQQVQPEQGGPLFPPSQQISTTTQQMNPYQQMQTMD TLQQGVPQFPPSQVCIEMPQSNPYQQGQPTFMPYGGFPSDPQGQGLPQNPQQQGQLFP XP_844389.1 MLPKLNPFTKKPYTPQYYKILAQRTTLPVYQRAKELTQNVRDHQ VVLFVGETGSGKTTQVPQFISEMELPGVVVCTQPRRIAAMSIAVRVAAEMDVQLGEEV GYRVRFKSMVSDKTKLLYMTDGMLLREAFSDRDLSRISVVVVDEAHERTVETDVLLGV LRLLMQRRQDFRLVVMSATLDMERFQAYFPKAPLIQVEGRMYDVQVLYSTVPVKDYVE ACVERVCDIHLNEPPGDILCFLTGEAEIERAVSRTKLKLEHLLADDGNTVSSNGAQLL ARVLPLYGSLGVDDQGRVFSNAGKNTRKIIFATNIAETSLTIDGIVYVVDCGYHKQSL YNAEARVDYLLPAVISKASAEQRKGRAGRTRPGKCFRLFQQSDFSSFPNQTHPEVLRS NMINTVLLLLKLDVANPYQFAFIDPPSQQSVMDAYCQLSLFGAVDDDLQLTDFGRLMA DFPVDACLARVLMRSAQYGCAADAAVIVAMLETRNVLVRPASRGIEAEQKHVMFRHPD GDHLTLFKVFHAFWRSGQSSQYCFDNFLAYQALQQAVNVYTQLTKLMKKKNICFVSTY DDRSGKLDSVAIRKAVLEGFFTQVAYKPPGGELYKTVRDSQMVALHRHSFPSMSGSPS WIVYDRLEVQGQGGTFIRVASAIEPEWLLEVSDFFNDTSEFGDGEICRILQDLKAGGT TQKG XP_844390.1 MEGERGRNSQNQKLGLELVHDDGRPIASCPIDMNELLKKMGKTV EVKEGVRVRLEEYNEDEGLYYSEDSWSEESEEESQSEENDSAEDIAETPPPGCRRVED WNSAVRATQRGEKPPMVQASGILSRSPKHVKEKGTADIKAGQIPRRELWVSRAPPADT ERTYKRLQTVRLMPCPTSRRFCLPPLTFHAAASYTGNAARGPRMLVYGGTTNLGKTTE NELYEFSLLSGDWRRVEGKQSHSPGVYGHTAVVLKKHRRVVLTGGVGYGGVPPEPLES LTDDYRRARFHLLFPHKEPIQSNAQAIPETVANTELPTLWTLAHAAESVPTFGFLPVI FDVDLRTRRWRTIETSPKLPVVFHSAVAIGTRLYIFGGMNTHLQVSSQLILVDGETYK ISLIPPSTDPPKARFLHSAVVYAHWMIIYGGFDAHNQPLDDAWAFDTVNERWEQLHCH GSHARGAHAACVVGSRLIVVGGFDSSFDGDEAPASTCLELNLIPNHEDKHLWRPLPLH PTVPPVAFAAVCPCGDDASFLLYGGCIAAKKGDDSKKAQGGGGKSPGRGRHTNSGHTG YSDCIGDDGDNLTIWKRLVPSNEGFLLTFPVKRPEKNNEGDAEPRYNALGVEIDPDEV PAEFLAFVKRQHDFLLKKTGNVEQTMKKIFLEERESMEPHLYLTPDEVERLIEESEEL CTRFSEYKMEELPPHVPERQLRLHLNEECVSLSRQVRDVIKSMKGNPAAAAAVKSKTH RFKVGQKFESHSAPKPFRRVVIMSLMKEIKRNIKRVLLSNKALKTVEWPNKAEYLEAI RRMQETADTFLLSVKEILDVYIEKRVDSLVSAIDTRKNKLKRLTEAVERSRQSFPVHP LDIVARVGGRGGRSSPSPQSISSRARSRSPSARHFKGGEEATHTLNKGEVGVLLNKAS AVRTNASLFGESCQSMIPPAPKQVATALLVPGMTPPPEGEDAAASRETVWHTACGQVQ QIVKCVDRFIEGIRASQLEQKPLNEAGDGTEEGSYRVNLALATVWPLETCKDKLDELK KGMPEVRNPSPAAGGDEAAKLKKTQQHYTRLSKSLTALVQSVKMSILSRAGAKPPPAV HITSRDVVAASPSSKGRAWRPPQSRRQRSTIEVLDLHADDATEPEGYSPTAEEEQRHC HAVLSPLSPKLVPIEDLFASPRTAPAVEAPNRIPITSREAAALVSAPQAAVAATGECV IVPSKKDVRVVKNSSSASLLFHEGDDTSAAMHSRLFPPQAYHDYYAAAATPQQPTCIA VSNGPKMVAAGENRSSDAVISLIDVFDGVHPVTVTDEGKQDLKAMVSVTPGFFHAHPS AVDTGTSETSDHVKYCDSPMLEDDYFFFGRRLESSCLPTGPVQPLSTPSAKGTTRKKT QKREPSVKLAAKSRTRDTKSSPHCLSFSATPLRDSKRRSVSRGERAVRQLMEPDSFAR GGGGGPLRGKLTPGEARIAEARKRGSLMSGS XP_844391.1 MGFWPRSLLARDYEVPKRPIFHLEGWDVVQGTDAATYVSGVVPD GVMYGTNGCLGVRHHCESETVGYRTSLYMNGVYHSAPLNAYVDSEALPEEIQVGATVC EVTLDCFIDGESGTLGEAPSQRLNLRDATYSSTSVDVVSGDGGLTFDSTYRQVVSLNE LDLWCAETVCTNFSIDALSSGTDFASQNNSESSAKHIEEDMYSTESSTYSVVFIVSVT VSLDDWYLVGGDSTEKLLIESTTSGILVGTETEASCTVECGDRTYKIVPEQQVRNVPE DFYTPHRDCGFSHAAYVSESESEHPHSSRAAWRGTSGSRGRVCFQKQFPYTITPDNVP QKVTLSFHARHHNGGLPLSRPFPSFADVVAEQRLVLDNFWSTFWIDIQRQDELPPNRM KLGLIFNSFRLFCVSRNLQNGLPQAGCSTTKSNLLYDLQQYVYHGIYYILTSPSSALA LLRSLYCMLSQARMNALRLSLEHGAVYPRRTITGTECWHCSTLNNARFHVNAEVGYII NMYFSAVESIATSDRLWLLELMLETARVWSQVGEWVENEGVFRLDNIAGPDEYNGNAA GNFYVHLSAKLHLRNAYNLYEEQQKIVGDEAIFCLLQGINMDISELENFRTISNEIVV RRDNHLDVFLVHDHFDTLKEWKGERPKHPLSMNYHPLAIYRHKVVNIPEVLLGMLLYP AEFERKDLLQNLSYYAPLCTHDSAESLAIVACVEFRANGRFTHGMPLLCSLASLDLDN IIYAADEGLDFGAMSATWIAVVMGIGGVKITSRSLHLDPSFPAGLSVLSFTLHWRGAT LRTRVEKDCITYDLMAGDSIRFIHASRHRIHLHSGCRQSVARKQVAIPQPMSSMHGEF AGAIFLCETLFDSVMEMNFIAWGKTLESLYENYRALHLRHIPPLTAEEFVDKVIYQAE HREIAFSGIHNVLLDRGIDLPLGSPDDAEIVETRYGLANAKVAELEEMWSREAPCVNP AMRALLRDLEDNGIPLALVSYSRTLKTLMQYHPEEALRFLTAIDGDEAHERHIKSRPH LDIFVRAAEKIHVEPSRCLIFSSHIDSNFKVSELSYFRMVFDVEDPFAARVRGSKTCE YPSMLRPAAADGDVRPLVINLKRDKFPTTVDGLESILCGPVASGSDLAAAGDAPPSDP CS XP_844392.1 MTLADTLHPVFVFILIVNAVSCAFPLLSHRLVFYPIVFVALGLL YVTLLVLLMGATVMMRNQSFSDHRVKENTLIIIKRDVNMTTWMVISTVVMVAWMYCWA RRVWLVYILPMVEEYNAFKSRRWADALDLRGRMKPTVS XP_844393.1 MARHPNTAIVLQPTNSAIITLDLCLQLGGPSAPLWRKSVARDEN EAADRAIERLRILIAQSAGDKKSKKTSREEILKNCQPIEVLGVSSEGEQILNEENGLT KLDTSVSNKEFWRNVRQMLIGSTVVPVKYNVPTITAVVPPSALYVGAPAVCAGITALF TTEVDVQYEWCARAAPKSPAGTGASSDATVRVISTKPVFTPTTRELGESLFLRVLPEP GSDLCTQVELPQVRAEVPPMDRWTQTKQSVEAPSFRMVTYNVLHEEFCSTSSAKKTIY PFATDDILSLEYRQSRIVQELLAYNGDIICLQECGKKVYQQFFSRVMTQYGYEGCYTN KNGGVREGCACFWRRSRFFLQEKDEFPLNWSTMEKEHPALAAEVTRHPELKEALENVT SIGALVLLKDNATNEELVVGNTHLFYHANACHIRLLQVYMLLHKLKSRSDSRRGVVLC GDFNFTHTTGGYKLVTTGRTEASHHSWAKGEVFHWGCDRMLGINAAEGAGGSAEVETG LDVRRGKGESATCASKNNKNDTNNNNESNGNSVDASTSCAATSADTGVASSVKADYRP PFDVFRADMEAPMQFTDAYGVTDPEMPWTNYTMTFREVIDYVFFNPDRLLVVQTIPIP PESELSENVALPNRKYPSDHVALIADLSYK XP_844394.1 MFSQTRCSACIGKELYSRASTLPRDFYEACAKHFGIKMHQETFI GVRSSQIRGLFLSPSINKPLEANKPIATIPLSSLYTVTNIHTKPDTLHHVTLSNVRDA IRDVEFKAMTSQFYLGLQMSAIISSLPDITRAQNAEEMERITQILRGGAMPWVRLIDD EDFNEQFVFGMYGMALDSWQRQSYEEMTKVFHRNITSIHEKTNPPFSVDTFRRITRLI LARAEHFPPLEYYNGSVLWRRVLRFVRRWSKIPEPSELCLVPLLDLVNHSNRPNCAIR IGPSAAMDGRPAITIYSIARINPGQEICRHYNFAINRPNALFRYGFLPFDLISIVEHD AIDEYLVKNQHMLREETEEAQIKRAKERAELQRLEQIFQKARSGSVPADS XP_844395.1 MKRPSFLLVSLLFLAIGSTVIEAFPFTASSGVVELTPATFNSFL GSHKPVFILFYAPWCGHCKRLHPEWEKFAKSVEGIVRVGAVNADEHQQLGQQFNLRGF PTVKFWGLGEKRANAAMDYAGERSAGAIQSQAISLINAPGIKTVKKAEELREAAQAAP EKKAVVLFSAKSRVPPIYAVLSLSPRLKSMPFYFAGEQEKSGFASEFGVSKLPAIVVL NTTAGEVKPVIYPGKKVAYEPIAKFLLACANDAYDEANFSLEGGESEQATKKGAAKLA LPVRPLPASAHGLENFCSPDARKKAERTPLCVISLTSEFSLNDVHKTFSNEPLVFFEA GDARDILLGALRGKLGLEKIADKLKDDKEHNALLLRASKQGTVHYRLVGGVNTAETLS SVLQMVVSGEISLTKEQPK XP_844396.1 MGACIAALRGKVAGKTNDSHGISDQSLPTAESTDDSVNNRNGKF NKCIRGEESISSGDMHGKEGSDLSKSLTSLWGVLSKTEFADFFRAHPELLKSNSAAVM CTGAFRPGELVGAYCVVKELPGGTVGRSFLVKAVDDSGPPTPTVTTPEVEDSGKREFV FKVMTFINRKNLVEPVLDDKRALMNLTGDGLLRPVHLLLDEGNETVISVTPFLKEGSC ARLAGKLEEDRLLSILRDVASALRLLHSHNIYHCNLKLENVLLREDGKACLADAALWR IFSTQSRDCLLFNGELACMPPEMFMIDEADNQSKDASKVDIWGFGLFMYRLAYGREPF DIEGKALEQVCELVSVDRLQFPQRNWSIASSLEDAIRVCLDDDPERRPTVPGLFSFSL FRNHNFNSVVAGGSVGLPGFRASHNDTGPSGGRSGSDNYIRWAYPRYHWRKNVSLDEM LGSGGICETYRVHLRRHPSKQFVMKVLKRSVLKAASQYRISTDDLRHALAVSRLINHP NVLNLLEIVDSRDGCFASQQLAKSRFLYAEFPPLLNHKNPLFTLKQMLADVLQGLFVL HLNGVPHLRLTPSNIFYELGVGFRVSDFGPLFLAREEIVESMETDQPLYSVPQWVVDD LKVPIHMSRFSLDVFCVGLLAASALPSVLHEDWYRFSNSEGCILDVKGVCEKVKNASL YLKPMLVDFILQALTNPATTVRDLMNHSYLSDAIDVSRLDEMKPLNISPADMEMAVSE RFTTTDESGLWNVLGHDPAAGNGHLWCSMFRNEALPDGCIRSGIQSVNEVAAARRVPF VKKLVCGLCRCELPIVLFLCDKCDDYIRCAKCSLVDTHADDHKLSPHLVHTVGQDGVD GNFFALLVPTCNICVAQSLEALEMQANLPHGTLTKDITTQSVTAERALRRLTLAKLNP GPKVLPKVSDTEGETWEEEVASCRETRNTELLLHQFELNTVPKELFDPPLLHVASIDL SYNKLTSLPDDLALLCNLRSVSVAHNALTVLPDSMGELRQLDRLDASHNKLKDLPLTF VKLRKLSTVTLDFNEFSGLPRVLDDLIVATASTPQLSTIYLAENTNITRFPDYTNLAI LPTLKLALDNEPSVYQTYLNENLAEKLPNIGMLWNKIYPDRIVDNVFCGSLRTTQSQV VYDKLGIKNLLTVGRDLVPVPPVGGKHLVISLDDIEEADIRCTFDEAVNFIDMSVEKG EGCLVHCFAGLSRSATTVIAYFMMKRGMRLGDAYQLTKRGRPSIYPNEGFFRQMIELD GELFPDDPPLQLEDIGRESPNVRV XP_844397.1 MELLNVLPHEAALHRLMRAGVCNDDQMHDYFISQAFRGIAYAVN RATLPAPVFVQQPFLSGSSNTTGSSGGTNDSPTLNPCAAGSTTATTTGAVCVLCRMCV QAVTSHMSHRTAAYLATRLLADEATAFGEGNERPCCTVAWVEALCSVGKASFLQKQLG VNRRMALGYLYILAETVRATARGRVIGKRLSSHFVEFAHRILDDVIPYLAIMADAREV FAVTRHVPVAGNPSEGNIPTETTSTAAVVPRPAWHPPSRLTVEANNTIIHLFHDIAHD IIDVHHRSGKNPGERCLVLDRDMPSRMWPAVSILQSALHRALDWILQEGLACVRTMAL ATEHREEKAAIEAVQTVKKALDDTFAQMFERQETLECSRQAVERQYGKGAKDYHGITD TLWANTLRLCLLCRNVTGAWIEVYGGAGYTETRSTDKNVLSFIASFVSSVPLMDGDET ESSVFLATIALLSAALAAVVAAPVPFMQVSLWVSDTALTGQVHNVVIQRGLRYKDELV QRLSALISQLILSGSSLSGASRAIVESSDQLLELISNGRDAASHCVIRMLSRAILERP HSMLPALFRLLQHGDVKTRRNVLDVLSALPQMDDEAGLGEKLVAEQMRPMLRQLSEEL LLRIQDEELLVRLQGSKLFAKVWPEDVIRPLLNLATQKDKSHKKQSAAQQALRSVVAA HTGDSRVVLLLLDESLKLVGETTPTAPTAPNTPAGMLAFAQLHSCVGEREGEGDGAGG QPGCAAATGGLTTISAAGGFCQRLMGIALSLVKRWAEEVPSWSEPVLQPIVDRVVSAR SPKEQEFAVRFIAQVSSLCGITVEGAAALTSCVLRVVQGGKTGSLPSERWLTGLCGSR QSNNSNEKRDEIALVEECCPVDSEYRMIAPLLCLRGCPRRPFLSCRVDSMPPSMLNLW EFIWFVLFSSDYCEQLTPDTQRLLLELVCKYRTSMVLERFAHFERMHLEKNGDKERKS CEGNSAYLICADKQACFLFRAGFFCTGTLVAQSSLAFTKPATKDGESQLGVETATTSS PEGSCEEAMELLDLVHAAELVSRWTESVVFPWLLGDNDDETTCSRNPPSDLQRLSRSA IDCIALLTVVALNRAEFDAHVSRLVRNPLSELALLYREEVKQSKKDVGAERKEAFALE KCGDLLGRFEFAVQVHSRVLNILRASQMGRGNVCTWFIAFMPHLIELANAACGVAARL GRNDVGQVAVECCNVLFLAAMSSSGGPPAVEGERRATSGESDTKPLLMDLCTSDRSAL LAFAVGSSRYSSLPAVQSEGVKLLSALLGAAPDIFVDTAAGKGTALDEAFSALSSVAL LHEDCKTRSLAEAVISTIQKTEQI XP_844398.1 MQRVLPFALHCYFNLGSAMRDWHALAPSAAIHLPMTLCGGQCFR WRRTPRGTWVGVVRHTAYELCDPLKMSIAKPEQKKARKTASFLSPLSLAATAAPPFIS SADVSATGQPSADLLWFRCLNCDLRSESDAREQTLFLRHYLALDVDLQKMWLRWTADN PMKSHPLVKCLTPCGSTDLPVSIRHLRQDLHETLLGFLCSQNNNVPRITSLMERLSIS YGDYLCDYNVVTGDVRHAAHCGNVKGNPSEQNDGGGWIALHALPSMDQLAAATEESLR ALGFGYRSRYLTECAAIISKSGATRVQKEVKDKKMKKKEVGKPAGPLAAVQPYKWYEE LASNRLTLQERREKLLALPGVGRKVADCILLFALGHHELVPVDTHMAQVAAEYLACPP AVVVAAKKQRESEASERLKRRRGSNHEELANAVDSGDSVLSSLSWENTLVEWYRNGRG KKAKFPALLLKHHDAIQYGFKLLFGDYCGWAHSILFYARMRSSGNKEATA XP_844399.1 MSNNVELLRFVALSAAVHADAVQCLADDTRRVLSQMAVDSDQLE ALEAQLTDLLQKQRRLHTLRDAVTKARQERSEESGSGCKSSGSRAPKSTAPVIPPTSA GNVDRNIKCILQFASRAVEKDEIQPIGTRRSKRVTVTPTSFLPKIPHEERTETTCDST DGGREEKEDTECTIDEEVERLKMEIRHAEAMSVGKKLRDLEALKQQVKEVDANSLVQR YVGSFSFPMEVEELWRGNNVLNCTNIDTFVSGACKRFAGRYDDALRAISDFPLSEASD AARVYGSTLYAEELTSSSNYDAVGVQVLERSGESTLPQFYRRKRRLARVSAPFRRRAV GTVLGPEEFTFLPTDWPATQLILPPAEGHLPPAKHIMTPRFSFASTDELKALQSLRVD IQRSAVAAFMDASKALDELMNPTTLQRDGIDYKATLIRLLEAERKGSSRVWTTLAREG XP_844400.1 MPDAYVFAASLLCCLWALTGSGVRGGDTEATIRSQPSGAENNIL PLAVTPPSGLLLLLQMDGNITARWLDSGSHMWTVNTGGNIISVDVLRHATREVIRRDP FALPFIIEGGMLFTRRRYPASDAGKQLTTRYTKDGTFDDGDDYEDDYIDDAPLTPSHP QFFMNLSTLIRRRHVNVENTEIYVTTSVQLMDYDSHTGIPIMRPTPLTSFLHVVLCNV TIHVVRRGMYKWKMSIAQYKLSESFPPQGPESDEGGEKDQNFIANVVEEQSQNSVRQA ILAMQARLRDAAMPFDFKRKTFNVDDLVMREVGNQRYTLWNTLTNVAEWPAAVGTTSS IVNAFFWHPGRGGVYPIPLYRFHRPPTADAFTHAGRLQRNGFPLLSDRPWTHRTVRLK PYVATWEDDIDADERETEELQRSFQQCSWLQECSANSRQMVAYSFREGMVGNESIPIL SLSYILLNTMTRRTMFFAFQTVCILVSATLVGFGMLLRPRLRNAWAQYDSLMDNMRQT SKTTPTGFAAADRSGRFDTPAGGSPAAVFVESSPFSLTMTAAPLDDGVEQIVRMGKPQ VTDSVNETSAGLGDTWWKNPNDYDDDDEYVAGESSLSVRGSVSATQPVTTPMPQLFQQ HFDVLKKIGRGGEGNVFCVKHQVTGAMYAIKAVRIREEDKQRCVREAILHSSLDNPNV VRYFYSWIENIARSIAEAHGIVNNDNVEGSVSFCGDESYGTINDTVSSATGAPLNVLF IQMEYFKSGTLADHFRNRNAFSRLENVEHLLQIVRGLRYIHQQDVIHRDLKPTNIFVS DAGIMKIGDFGLAKRWQTPLGWKRASVEEFGSATALFDDERSFAGGTPLYWSPEQQCG GSATAASDVFSLGLIAVEFYCEFTTQHERLRTLGDARHGELPSALEDDFPEEAEVFRQ MLGEQPDGRPSVDEVVQKLKHIVVEIRSGGNGSAKLGDNGATDDVGDVELAMPTSSSA DMLSVNKTPADSMNIVPLGNVSSVESSTAGRLPSAN XP_844401.1 MTTKNRPIEEAAAVYILRIIHTILLWLGVTLGSVLVVCSQPRFV PIRTAISSFVYLLASLCVIFVSILELKGHISTISGVTHWMDRVGCSYWFLLLFIRCIK PSHAVEWVASALLPLLVLTEWAVVTTYILISSTYHPVITVDLFLTVLHTVVFTAFVGL DRLMISDITVIFSAARAAQDADAVEACGGNMGMSRSGPGSFRSDDMLELSHELQSSAS SYSSALCRGNSRCYILRVVARRWGGTYAVLVFVRLLYEFGGLLPAHLLRILVDNLSVV ENKHGKKHGSDGLCMASVMIVVIIAYNLICTFLRAHYRVALQKIVLYTRGLLTSELFR CTLCRRKHLFDGRTQGDIMNHLSLDVARVADAASSFNDLWALPLQLSLALYLLYIQIS FAFVAGVVVSVALIPINMWLTKRIQGVMSELMRENDERVLRITEIVRNIIYVKMCGWS QLVQQWVKESRDRYLVHLRWLKYLDAFCVFFWATTPTLVSLVTFITFILMGGELTPGK AVTALALFNSLTMPLNAYPCVINGLVESYVSWCRLSPFLVMRPDACHGDLYGFASGES DSGTKMFTEITEEYQDMVVSSEEMPCASSLNEIQPLLQAKGCVGEMRRQRLAGIHGLP HPFRRNHDLLIDIRSGVIRLNTQGGAGLPRPSFMLHIPTFQATSGQLIAVVGVSGSGK STFLDGLAGEHLIDPNDATGHAAVSRFSTAYVEQQPFLMSGTLRENILMGLMYDSVRY EAVINAVALTQDLQTCFYPDGDTFLVGDRGIRLSGGQRARVALARALYAGKELYLIDD ILGCLDPTVARHIVTNALVGSAKSGSCVIVATHNQELVERADVVYRCVEGQLLFSQRL VKTAATTVAEPSSPAAKPHATQQHVALANNEKGHGKVGNVLGDVPWPSSDSSPARAPV ATPESGSIEAAEVLETSKHGTLAWETLACYIGRVGWKLSAFIIISAALMQISRNAGDQ YVVTWAKSGDGNTTRFIWSLAILAGVNSVLALARGFSFAFGGLRAAKRLHNELLGHVM SATFTFFSDTPPGRIINRLCGDTYTIDDSLPFIMNILLAQTFLLCGAVVVILLNSSSL LIVTLIPVSVLYHRIQCPYRASSRELRRLEEAANAPLLDTMRDALDGGVVIRSLGGRV VMSHLRRASRNTDLLLRVKFNSMLLGAWFTIRLELVGLLPLIFVGGTAIYYHGSASAP FIGLALAYVQPLTSYVGGLLGAFASTETELISVERVRQYFSLASEEPRTLGMFFSPPL SNWPSGGQVVLSNVSMRYDPSGPEVLRSLSFHVNAGEKVAIVGRTGAGKSSIFSALLR LVEIESGSIHIDGYDTRRLPLEVLRTRLSVLPQQPFIFSGSLRQNIDPFGLHSEDAVR DVATSVKLDGVALDYNVTDSSRVSGGQRHLIALARVILQRSPLLLLDEPTAQSSAEAE AALWSSLAEHLRSTTVLCITHKLSHIDFFDRVIVIENGHVASDGTVAQLRAASVWPFS SPNADASLT XP_844402.1 MRRPNGMIAILTGAGISAESGISTFRDQNGLWENHRVEDVCTPA AFLKQPTVVQRFYNERRRALLSPEVKPNASHQALARLQREYKDGQVVIITQNIDDLHE RAGSRQVLHMHGELLKVRCTATGRVFESREDVIHGESKCECCGVVETLRPHIVWFNEM PLYMDVIDEVVQNAGLFVAVGTSGNVYPAAGLVMIAKAHGAETLELNLEPSGNCRDFD RSVYGPASVIVPAWADEVLHGKGPAA XP_844403.1 MGFGAVVSYFGLIFLLTVFIASGVQIMMYPTSGAMMLAKSNFPK LLEMAGIQYRLSVAEYTMVVQGIGASCIGFSLLMLLGVGRSFFAFLLAVLVSLLTLAF HIDLRAPTKMSETNAFQVLKNAAIFGGLMFVAGSSGRSRAAPRAATNATGKEKKKN XP_844404.1 MLLKVKTVSNKVIQITSLTDDNTIAELKGKLEESEGIPGNMIRL VYQGKQLEDEKRLKDYQMSAGATFHMVVALRAGC XP_844405.1 MSLWPFRTGNDERFQVTSTEETIYDAIKNEMPAYPYGTEVDRYL EWRWRRGLVQSIFPATCYGAFVGFAVGYRQARVEGRYIGRYKVVWRYSSTFAAVGLLT TAFHHVLVVRNNYHDRFYYPMMAGASGAVVLTVASQMGTLGQGMLAGSLVGVLYTLSC YGMTYYHRRRLKMFLRQQQTQQVPVHKVSPELQRMYRAYLYDNRPLEEKDVMVRRAVV LSMSEDDTCLDAKRVLQNMTPEIYDWVNFPDWWPLKFPQQTEEERMIYERQRDEEVER RKRAFLETDDGALIKRVNRAKKYRDL XP_844406.1 MTFNGRFRATLRGINIRNASMTSLSLTGSHAQKQTVLGSTPGAL GTIPTVALNGVNPLPRYRHNLLFGTLSFGDRWDRIQRRLAVLGRASTGNVVVPFSDSA DAFRRMWESVDSASDSVLWQTYICKDDFVGQKTVKKLEEAHRRGCKTELLYDCGGNIT GRRRLVGDLKKSGANVIEHRPMWEHFFPYFLRGMKWELSPGIRNHRKILIVDNKLGFC GGLNIGDEYCGTSEGGNGRFRDTHCVVMGPAVMHMREVYEDTKAPKPWKWSLARWRQI ASATMNLRYLEGMNVKHDYLEPTTTFVRQGGGVYLRRQMERAERSTVRMMERMRWKRQ RERLNRCLLTLAKNLREGQGLVFKAATGKGDDVATTDAGSKRNNEVSHGQWKLMKRRI DEYRQRAIKNAEESFFRRLRVVNPSMRLLEDTSPVAEAEAYGQAHAAVTQVLSCNPHS RDWSIPYAFWQVSKFVHQRLWVTTPYYMPHRKLMRALQLAARRGVDVRILAGSNRTTD PWFMWYASNYITGMLMASGVRVYEYKGGQVMHAKTVVADSIWTSIGSYNWDMMSNKNM EICVCHLDHNLALTMEKQFLDDMNVSEEVNYEEYQRRSLWLRFSSWFFYTALRIAEKF TFRTFWDPDLSSEID XP_844407.1 MTNNHVENNCIAHFLRQSGSGEVTGRVHMLLLAATKRLTSDIRE LNKEVRPPPEKLGALERTTRKIKEIVAAAFSTRPLDVVDSTEKHSRGVVDVLCHGSHV YSTALADSALDITVITNDNPRARYYWRSLRDNVESMETVESLLLLPVKGTEAAALQPY PASLPVTLPLLERTDMLQYLSTVISKSSLSRVSINRHSHNTFERICLGGAADEIGMNL TVNQRDSVEACGVLRQFLRSNEPVRHVIILMKVILKQLHIHSSQLTPYVLTLMVVAFS RHCANEYPLCGSFHYPHRRNMVESGYLLLSFLSFFSPPPRGLFTPTDMLIVPMHPDGI IKRSTCVPAPSPGECVNSWKVMDPICHNQNVAASCSAIDQCPLFFERILNRLLCGYLD ASVLPLQHVDVELADGSNKWEANHCHWMNAFTGCGRPLFALLKAWRAHKKTDLS XP_844408.1 MLRRRPQGIIGSCRFGLSYRNVWHITSRLCNAGNTGSPQTATGA SAETAIGGRMDDTRAHQWNDTTLLNDIARILCQLLSQRGTAKQDKSEGSQETKSLHVH ELFRELGVELRYQLQNSSFGLLDRALQSKPEWFVLSANGSAVSCTEAMRLHLEGIGRE GFTDTIPGAANVPNLECKARSVRCSKPAGHLISCKTNVLVHGPLATTPVDVSKPPITP EEIEQLKLRRLICPLNLYFLLDGPPVTLFPSGDKPRRLSDQFQELDALYVAKGFFSPS EVAAAFIHITPTFFVETRHVLNAMSPDVAHSFERHMSRDHIVDAFFKKYPMIFKLKTG KFQKASVKLNLDFPFVRDYPGCGRADRPLRRYNQEYRATVGGLSARPLPSAEPAANAR RGEPHIDVKIFEVLVRNLPRVPTDPTIPKQQLEEQRCQSFPLVEWINKFSQDDIETLN SVPQARVLTLMTRYVRIFQLMCHGEDGNVFTESKCLDQLRNGRRSLPEERSNASRAAS DSTKLDEDLQGEVVDFGVDDIEDEDGKTPDTALIASKEEVKRREANLSAALRDDLIGL DEILLEGKCPSVEPVEKDGVTSGQDNGDSASLKNPEDGDDEVDGFEGGSNCAEGDDVF LPCGEGNEETEFRRSRNVDSSFPNEEEGQSGFYIPSRYDIIYVRRLPKHIAPRSLSDY NVATTPEPELLQYLVAFLNPPPSLHGNLMMKKVPPPLKFAEGKPPMPWRWVPVQRIYA SLSKEQKRLLRPYKGLVHFMRLHGEVFELSDDLLHVIAHDPQGKIPPFVPTQTVFNSE DRVLLPPTLDDDENSKASLIGDTERNKFRSILGASQIPTDRRQLLLLDPQNPLLDHEV LCEEVSFFMPDHPVSLHQLVARLPPILKAALSHRHRNNFKSSKHLTVWSDGTRMMLQK SKLGVPEAALQAEEGLSVEDAVECIREVVPDEGIMVGHLQRMLPSAAKRTLKEQFGGL HTALLGYPQYFYIEKNGEDRNSSMLFLVERLQHE XP_844409.1 MRAEPNPSVGEHDPRESVSLSDRSGNIMTDCCASLLDTEWPNIL GERCFTPSDEEVLSSATREQRIERGIEAPSFESLLQEIMKCYRAFCNCSGTVHFKHLE FERFLRRCLFAAHETNGLSVSSPLEGTTCKPFWLHYPPSYFLMAHDVVQSVECGTPHS SNDDSKTSSATTATLHRTPSEDLSFTGDSENEAKRREHLRQLYILSVQPVVPRTIRKK LAQCAKRESAASPGALAEAVRYMLDVYFFFIRMQFLPPFNRPTGSMYSTCVQFMTRVR GRLLTPEVAVRFTEVALVLILLSVKHQSATRCALDETTDSAVSSRLELQFLFSTYFPA LVVAFLSASPLQSMEELRHSLTRTDLAECLPTLQTCRKGAELVRELFDFSQGAAPLWS VTLSEVVAFATQHLQQKDKGNEDGNSHACITSVLGVPSSVDDCLFVCDDTTLDCLLRS APKSVQKTEEAGHERLRKEINQTFHRNQTGMSPESDEFHRKAMRVTSSSPSGPYNTLY FSLHTLANMESLSPTALSLLLTAIEKCLGPKGDLQPLCTFASSEVCTSLPVIAAELLR VVVSVLEAERSMKFMEVINEVRDLVYRGRESL XP_844410.1 MHSVNPYLSAMSFKSTDSRFEVTLPPSHCEALQGINRQEKPKTT TVPQTNHPLPLSDYAQPIVRKAGLVKASTSSVVSQFRAEGSVMEPVHALHTCIRHFLS HPEVYGSDVRAMLDRELMYVMRVKASESALLTSTTVPVSSVSLPSIANTVPENNKVGT SFLGKCSNWVVTPRSVDAAVNLDAAFGPNGMFGLGSAKLVDGSTGLFSLLERNRNLLT IQERQLPMEDGEEKGSPSTMSPLNDWEEKSVKTDGGRYREKSRVHFSVNTQSGPDVSS EYGAKLLIDGAGYEISFLRSQLHQVEAAYNAKCIRLHELQQESNRFATELESLEERNR QQNAKINELSQQVDGLTREAERWKRRANEININEKAHGGRGPTDQTRRMQASQYVELK REYTHMSQLWRETEKSLQETRRSLESAEKENRVSHRYLTDAFSFIERLERRIKRRDDF IEKLKLRQDSMEERYEKILWCLNELQTLRGQHSYVDYLLSENNVWSMFLFSRLQCRLA SVGHVDEVAYDTSIPLYFRRTAAGGKLADAYSPQLVYRLITEHVQGKRRGLPVANDTF PFAVPCWRGMHSISYFSATGGNRTPNTSIMLSGELTHDRPRRSLPLLTLATVLLPPQN GAAPGEVIVDEDIPNSGQYDQLTLRYLIYCFWGERLTQYKRETERRREEYIAAREKAA ALDISVDVDIESGANDMDESIKFPTFLAALVEYVRRLCPYKAPKGEEESAPKLVGPVF VRGVVLNLEGAPANPRMCLPFIFQKEENGSETPVPLSPQARELLFALYYYAEEYKDVD SDFRLFHLVAHQQLPELVAINFYASMEAMRTECDNVICESLRGTRNAPEDKTCDGAEQ QCPDEATTELLFTDSPAETLRKVAVMVDEAPLAEEDTCMEADSDGEGYGVILPGAVGE NEGNKEKQEAGQEPTPCYLTKLKHDILACLNRNTEKPEASATNTSVRSPKADDTTETS DALSVPKQWKRIDEKLQHMLAPFSSLLHAFKKYKERRSLGGRRCDRHKARCRLDMLPG SRSLIAIETFIAILHKHCFATYALSCSGSVRSSLLDAAMKGGHGGNDANDVGPFTRVG QLPPMNKHIRRLRFAIAMDQPSSLLRYTDLFTVDSRFGTPSHFHNEYLRLTIDTYLEQ QEAYMNIILGSCVVRDGRTIPTPVVDSDGILPFPALRAGFEAVLRKLSFAPKESNIVA KHFLNYCDLLRHEDDIRQEQYVDAPLVICAEVVSKKDFARESALLREHSWSVEDEAAS CSLLHLSFAARMMYIVWGLNSSSKGRLAISMLPGAARSFVNAGCTIGGQLVPSESSDS AEGLCPLREDLEPLFRFEVEEECRDALNRAKVGYGRRNELRQLLMETEDVPTTKATES SAAITDVREVEGMDPWELLVDIGCFCPLFGARLRPGTHSLHGEATSVCSRKTRTSKRV SPRPSSCDTDAPAGAIHLSGGASLLRFFGARRAAKTKGDKRKSKNRRNSQELPGAVFA QVLRSEVAPVHYQSILENLAAVSSEANASVKCVVDLANATSLLRNPHVSSPHSASLQQ QTEVSNSTIPESPASRRSRAAKGRDGHASFLDGSEQRAYSPLAMSSSDKSELEGGDLA PSSLARQLHVASAVLSLL XP_844411.1 MCFTHVSLVCFCDSFELYDVIPRSETRHLRPLTHTRAHVLLFLN VFYRHLMRRMASEGVFFFFPNSSQSLDVNLYTLHLWYYGHLQLFAVGYTPRQVGRFPP FPDLRLYSLIILNIYCVWQYCGLQGHIGPRGCQVPHVSTPDQSDMASNFQPY XP_844412.1 MRGVVYYGEQFVEVPDATVTLRDICTSFGLTGEFVGFSLKNRAS GLVVATLPFEVLPEVSSASGDVDEMTYDLITPADGDAATEERDPTTDDMTDILKQLVQ LGATPLLSAETDALIDPYEPRAAPGLLLRTIYPPSAYCPYRYRGDPVKAVGRFGATSS AATHSASPPYMSAVEVYTVEKATGAALRGRPDLTPKTEEEANDIMRGLLTAATQAKAM IALTSAEERRHAEVDVKPNIYPTAT XP_844413.1 MKGVGTWESAMEVAEEQQQQQKKRGGGFQSFGLEKPLLDGILRL GYNVPTPIQRRAIPPMMQGNDIVAMARTGSGKTAAFLIPMLHLLKAHSKIVGVRGLIL SPTRELSMQILRFGIQISKFLDLRFVALVGGNSLEQQFEMLASNPDIVVATPGRILHI MEEASLQLSMVKSIVLDEADRLFELGLQPQIVAIMQKIPESCQRSLFSATMPSVLAEF TNAGLHNPVVLRLDAEMKLSDKLKQSAFFVRNDEKIAALIVLLKKVIGIEASDKKSES AEKQALVFVESKFHVDYLEAIMEAYHISCSAVHGQMDQEGRRNAVRAFAKRETSVMIV TDVAARGLDLPLLDNVVNFSFAPTPKLFVHRVGRVARAGRSGAAYSILTFEDFPHYVD LMAFLNRPLQCRKEPGDLLFTADDGCYGRMPEDALQLELDFIRRLVQNDVELKGMTKV VENAHKKFARTKKKATHDGIQTARQSEYQFDSTPLHPIFVERLEKKEIAADEARVGLK RFKAKESLLEIVHGEKMMEIKKPVTVQSLWREQRQRGEDKEGSEKVDTGSDKKQGKHE SENCVSMVARKNGAVKGSSTMGRKLSLAESLLLKAQERKKREREAVNGEENDDVDGIT VFPARAAYHSNSSAGAIGGMECNSDKYRDREFFMEDVKRNTIEDVHYSVKDATFDIGA DTAQEAQQRRQVYAWSKKKNRYVRMNVNDAKALLRGVKNEAGKAVNFKTKLKTYSKWT KKSNLRIQDAGEEEDLGPLRQARDAQRQNERGVGDEDDGFDETEEVDISNPNQGKKLR IGRKLRRLPKDGHVRTFEEMALMKRKAAKEKARLERKKQKGKRKR XP_844414.1 MYGVTHPRQGNGGQKRKQKRPMDQAAATGPPVLLPSIKTDARSV VEARNFDVMCGAEITTATEQKYRNTGSMSGGVLGLDSSPETILNMNKEAMSLLNKGKM GACEDVLQTALQLAESGVQNCRHAIMMSADPTKQEELEAWQLVFATTLSNMGSVQRRV DQPQDALRYLQDACGVEEEVFGQPTCSTLLNLSTVMLNLGLFDDALNMARNCASTAEG GDPLLHIIALHNYGIALRSHPSSEMKQSAAPVLMKALREAESNLGKDHPTTLIIRQRC GMAAATPARTSTPGRSVRTPLQQRRSGSSGAKSSVSSGYSVKQRRKQGSGSRTSRGNS TSGKRKSATGQKGSTLPPVGQAPVDRLRVKQAIQRLDYGEIKKVVTTKMEECESTPSA VSSETDEEPVTPRPSAPPSHLPPPLPPIAVQEMSVSTHNSQKCSDASPFTPIFAVLND NVMSLGSASGDKSAFSNEMVWRWQPPVEVVEAAVPSLRETQEEAPTSKVEATATPPAV PAANEEEKVVPTIKNEERKEEMIQKQSRASSIRHLNKRGSMRRGKERRNEERDSDVRN RSTGSKKSSKLGCCGGYINLCESFSEGRPSFLHFGDQEAAPHAAVSATEPMQHQFPGS VGPTNDPVSRREQRRRERLLLGFDDFDDIDIDEEEEEEEEEEEEEEEEKKEREKQRDA KERGEGTPGRFAVGDAEQKGEKKRMGISKIIGTSPAVRRLQRIEKEKQEEEALRARWE REAAERAKKEIFERSLEKIVHRTRVRAATTIQLVWIEWWENIGKRRRELLNKRAADRE RRERLRLALLDYESRLAQQQSKKAAPAVVEVGIPSVIKCVKRWMEKTACIIYAVQKGL RREERDNNFFRSMIVKIQSAWRRIQAKRRSREIAITRDQRRAETLEREPQEYAALVIQ KFVRCAFARQKRKQLRTERYRPAVIKVQRWFRQTYARARMRGTDVVSCWRRKYSARLI QRAWRGYLGRLNDAMNNLRFKLNETRRREREAATTIQRVGRGHLLRCQVAKRAKDIDR IYSTMRFLELKATDGVEEQQPLSTHATLPAYLPSDAEEVARVAELEREKYHIGMFVEE VAKREREAWSDALRFRPFEVQRRRAGEDLLCQLEFNVYRRERAATKIQREFRAWRRVR HDVLRDKTLLMKGRGDYMQNFFDNKVQKMLHRRRYEGGKELYGDHARPMREMKEEAQS ELQELCPLVRTHAHPTELRTREERCKAEEELLHDEELVASEQVSDWLNFRRETLMNRF REEVSSARGSCF XP_844415.1 MIRDAEFWIEARRLSNRGNSIFTTLLPLLHSRQLALDSGVQVNE INPACKEVPLTENLQRKEPYEENKTLQLPSATAPRGSVSESTLRVLQQAMMFAVPMYA LRYQVTGMSMSSKTSAVVDRETKQVATFGAGDGVHIPNNIRCRGCAAGDNFFAVLTDQ EEVWASGGLHVLTCDEGTLTASPLGKSNEMKKVASKALMVVGNGQRLSCLTESLSVQP LSVVLNPVTSIFPFRRIRFMDMGYGDDCYMVGMDSVLYKTVVSSRTLGTPRRIMTLSR TTVSRVASGSGFYVFIDENGQLYTIGCNNKGQLGNGQKQHARRRPFLHQSLRHHYFVM AAAGSGHSLALTSSGMVYGAGNNESGQLGLGEHVTEVLTFTPIPLPAKCTGIAAGPKG SMFACANGCVYTCGANDLRQLGLDVDDPVVYRPMPMPAVTSGVEAYYMDFGAYRSPPV AVLRSFCSSIPSLDVSDLDKPEVLPKPVSSTVSVVSEEVPDTPQLPSPLLPEDGVENL PEPSVNHHPSKRRERKEIKEEREKQSCGKCCVVL XP_844416.1 MPRQRSFLSSVLKGRRNTPTYYSGRGDMAGNPDILAEAACCGRP DAEWAWLRLLCFVCVSSTVGVWLWQVYFYKQAMFFKDEPWSPFKN XP_844417.1 MKPTKPLLYITGYGPFLEVTENPSATIAQSVAEQVRQSGEADVH HETLDVNLEAVSKYFNRLNESVTAHLEATHPENRVLLVNVGLHSREKEKVLRLEVRAF NELEGNPIDDELPLSTCKDSAFVKGCKLETTTALIEELNAIERNGSDHHEKPRWIISY DAGRYYCNYALYRGVKMQEALNSRVFAVFLHIVASTVVCMEEQVAQVRMLVSHLLKHM EAVE XP_844418.1 MQPPSPTVSFIEYVLLALELPPNMFVSKLAACCTMLFLTRSDPK LLRELCRKWVIRFKKDRHLIISRIRESTRSANCSDMYSRASMDSVVENLPGSDECDPQ NCMEHFLHLINTFVPEYFSWNWHHTELMIQTNRKSEDFVRERLLPLCRNSSEDADGLD DEDKDKDEALASFFLSKRPADTRGFNKCEEAWTNGLENPERPPLERYASRIRFATFSN LRNCFRQSPHGVVLIFHANYSSKSCETLRTFEEILMKQMLNPQPQVCVVHIVAEPELA RLYQINWFPTIIYVPPLSQPKSGPLLEVVESNTNGNGCSFNQVRTSNGTPTIRGTGGE ADDVSCDALPPVRLVSSDAEESSDDSPCAASPLQEEEISPSVKHKKHRVYPPEGDLSA PSLAKWINSRGSVAQLMPADNRAVSRINQLPERKGFPNGLKHSSSRDSLQTLSSSAEA ADSHETSAKAPVFIFLGGGVAAGKSTVVSVLSGSLWWEEHKANSVIISADNYKSQSYL VSNDMEKQHEYSTRLAEELMVRAVNGRRSIVFDSTMMWKPFVQQVIDMVRNSHRVLYE QGPGYRDGGKVEEYFRTVGPRSESHLPPYVIRLLAITVEPEVAVRRGILRNFSTGRSV PIKTQLRSFRLFAENFVEYVSLVDEATLYNNNVIVDLEKGELPPVVAEKREGKLVVVD HDAYALFLGQRGLNENAGNALELYNPKQS XP_844419.1 MHAQAWSFWRRFLLFLFFLCLCVVGVVVVVVVFYNYSCKVLPLI SGLYVIPFFFLFLPSPHLPLLSSLPRLFFHTHMHSFVFPLFFFILFKERNKKNLFQTR LNHFSFGVGLKSRPAERISLLGELLCCISTTLFPSLNSTFPTIILIYIYLYLHINKSV VVAICGGVFFPPPPSPPSFLLKLLYTFIFSFSFLCVGNKILTNKLAHTLTLPPQTHTH TLTSNTAAVCCCSWTVEPIERQTKRVTKKGIRTCQKKNSGGKIKSKVDKAGD XP_844420.1 MFRTLPLRSSIRMVECPRDAMQGLPHFIPTEQKIRYLKALLKCG FYALDCGSFVSPRAVPQMRDSTEVIANCWKTMQEEKAAPKLSVVVASLAGFKQALETP GVSVIGYPIGCCERFQQRNAKKSIAMSLDEIRNIKEATDAFNAQRSSNPVAPNEDVNG RELLIYISMAFGNPYGESHSIDLVEKLVGELVASGARDISLADTTGVAQPPLIFDTFT QLRKKFPDVTFAGHFHSNAVEARGKIVAALDAGCTMIDSALCGMGGCPFAKDDGLVGN VATEVVVKALEERGVLPAALNKEQLKKCVLIKQEIFGVSVRDMLISQTLHDEKRFATL CQEHFKLYDVNDDGTLDYEGFRDSMIHVFAELGAPQPSEEKIRSSFAKVDIQNLGFIT IDAYTMGARRLLVKRLASNTNTTTKNEERGEGVVEASSC XP_844421.1 MKRIAKDLNVLKTDISRSAPVVSLIAAVESENVYQWHIVARAPA DSIYSSNSDEAQHKKKNAYRLSVIFTDDYPDKPPKVSFITNIYSPLVGEKGDVCERLT EKDWTPDQRATNVIMRVLNEVFSGYKNNDDYDLNHDARRCLKEEPEVFEKRAQCPF XP_844422.1 MQRNVIQSNSGRGLRSILDQYEDFKLIMQLRKNGRGNYYRYQQK LWPSAEALERDMTERLYSSTERTPLALLPQPKRAPSPRTCVLEGCGAAALLEGAENAV QISEDLNDNQKEVNEPLDLTDSTETMFAELYGTDQSINNKIITDNDLQGTVLEAAQQR ARTLIFNDLRVNELATSPAVASTNSRRTTTILSAKDKGSMAWEALGLCPTLSKQVIAK YSAAPTRLQCRLLPALLHEDHNDVLFNGVTGSGKTSALLLALLQAVRNESAGLNVFVA SNAITAMRARDQLQALCGELGGAMVDRPRDDFTWLFMGIFSGEYEAYYRTLRRSLNSD HGPVRIFITTADTFCQLLFEKKMEFEAFGYLRRVYVDDVGVQLPMVPPHAPVHEMRER LRNPLPCELLLGTLHQLPGPHIRSILQLGLVSADMETALKDHLKSLCVKLERHTIVLS AVRIPSTIHCLFSFHLAHEDVYEYVVQLIWNARATIPGRAVIYVRYEDNILQVRTKLR QLGMDVKLFSEVYHDGVFRDSWKFLLLHESEAFGVDLPLVSHVFITFCPHSTCSFQHM CGRTGRLGNVGWVYTITDKREAKAVREVVTQLEVDFCSHVVDSNLAQVPPSDMDRLTK EFELYGLDPQYAVRQHYVVQSENPDMAYRSREFFSKPAEKQFQMEDYTPTPVLHRRFV NAKKLAKDVERDPSVALTLQKEGLLNSKLAPTKKMRNLLNNKSRSFNAALYGMKSNK XP_844423.1 MTTLSLDSTAVRVVARCRPLLPSERNHTTSRIQINAEAGTITLA EKGLGVGRCFTFDRVFLPNAQQHDVAEEVSPLISHVLEGFCATIFAYGQTGSGKTHTV EGFEYIRNGRGGPKVNIDTDPEKHGIIPRVIQLIFDRVREKQFADANTSYHLKCSYYQ IYNERVTDLLNPSSEASKGTGLKVRWCRDDTFTVENLYICECDQPGEMRRMFLSGAKA KEMGSHMMNQQSSRSHCVFTIHVERSDSDIPGNSVKSQLTIVDLAGSEKLTALDANPS SKLTKESIDINTSLFALGKVITSLAQRSKHNQKNGAGANQLHIPYRDSKLTKLLKHAL GGNSLTTMLACISPSDNYAEETMSTLMFATTARTIKNVPYVAEDPVTQVVNQLRSELG NVKEELNYYQNLVGSNLINSGPTRPQPMYHVQASNDEAVNAQVNELSDKLLQACDALQ KIMSINTQLRSAFDVVKEAKAELERREMELNAENLALRERIEMLESVVLKEDLTECFG NGAKSQEGYLKNNGYYYSSARASLLTNASDPKSCHAPTRPHSASVHESPAPTTAGQTN PRAYAADGAWAAERPPIAEDNPRPMTGPSVRPSAEGFVPSAQLAEERLRGEQSINKRR EKLTKGLAEYANRYCQPDRFVNYAEYYGKARRLVTTNRSVSSTVDDMSKTLRQIPESI ASTVPNSLKTTSQFGMLHFGGSREELGELEERRRQREEKRQALIAQQDALRGDVARAF VGITEKKEPIRAGKEPYEQGSLASTDTTGKQMPKLPSAQPAPADSVSRLKAYLEQEIG MRGEL XP_844424.1 MEAVFYAFASFGTAPTKEMDNAHFSKMLKEAKIIGKTFTSTDAD LLFNKIKAKGARKITFTEFNTRALPDIATKLKMTPEQVAEILTKASPASNSTKAEAVK FHDDKNLYTGVYKAGGPTNVDRNAGSLSGVVDRRVDQVDVRGTTSSQK XP_844425.1 MSTTSSRTADDGLTELDGHVPGHFRLPTRGSQQPLDEDEDRALV SNGTGGDDGSCFSGDPHEVPHPEEPTQLNTRTLRRMQRAVAGGNIPLRADLLGGTNLR AQRTASAITAMSCTSGGMDAQSSGCDTSRAESKQKSNDVPLGRAHDSVANLSMASVES MCGSTVMPNILNRKNLDFFSAQLLSGGARLRQEFLSGEDVKPRGGEPSEMATADLPMS LWTEGGGSEHLSRFISQSDIGLNTEETRQGNAADSLSEATNPRTPANEEGMQSVMAEM GTFGSSSRIADPTTASEGSAQKK XP_844426.1 MEEGDHMEFSAREIEELENDTINPTNCNHKLDKEHVEKLRQATL QEIEEKDKLVEKGMRLFFMNKVKDAEDIMNNNAPLDPLHALGACCLAALKSLLSMGVN DTKYALQKADFSIAFSTEVATIPKGFFGSVTNLFWGEAGREFQPGMFRAKTIRAQSFA VRGILLISQQGDMMALLRGGMALKNSYKLLQSLKRELEDLKKKKDANSYEGLGADRNS VYGLLLMVGVTHVVISLLPSRVLSILGFLGLKYDRKHGMKFISMTWESRTLFAPFAAL FLMVITSFVPGFCPLHVPRWLPAAKAIAEHTVDQPPMGESLLHLWILGRIKRLEQDVE GSMSALSKCLKAAEEGQVAKWMPQLRDFAIYDQGWNFVITMQWREAIELYKRLEDHSA WSKLFYGYAQACCFDMLALEAEQAGNKEGVAKYQQEASEALWRTAHYRINIMGGRAVS VEEFVSVRLGETFKHCGIEHPNKGNKRIVEPTPFPLEGLKLRNPVRVGVIELLALFDI THQMPQSNTEKFLDIIDRVSKKCSDSPQIGSTDDGNGACGNEAPAEKGDEKNIETYTT IVCAAVKANLLCRIESRREEASACLEGAVAISSKYKREKCSVSWVRPQMIYEQAVLAS VEGDEGRMNKLLDKVKESNHKRLFQNVMDVKLHLTEYGKEAPVVSHII XP_844427.1 MAVTPIEKREGGMELENQEVVVQSESPREAARNGGSSLNSELKK VLNRRARYRTPLIFISLTSVVLMLTTLATSTIPVPQFKNNTKKRVVMIVAPSLRPDVL KLSIESNKAPFMNLVTAAGGNYLYTNWGAKKNWSVTSAPDTSRGSGWSEANGRSNSLK LLQELAKEGKRSIVLASSSDDRQSNKEEKSSNGGRRGVEILPVTTSSSELLEEDVLHA FERLTKNDGDLLYIHTGVGGGSKAAEGEGNAAAFDDINILDSMLKKLTIAVAGHSQKT AEKWLVAVVAGGGRGGNVAHEVSMLTSTYDRGNLLNSDSLTKDISSDCVQSTLLRWFG VREATAS XP_844428.1 MTHCDPSNLTGDSILSLGDCTSTVASETANSPAPHTDMKAMPWP SPIQKQQQEGPYIQSLVHLHADRQCDEGGLGLNTNNGEDKTNISALQDSIVMSDLSDQ VKKTQARLATLREEEKVLQLRRRALLGSEEHCIAGDGSAAVGYIHLASLTSPKAVDDT CVGCAVGEKREGENHSHLCDSPSVGTDGTPCSTPAAVRESRGVQVSCVAAKSNNTSKH SRATSPHLVGDRGFTTGTTGSRLQSCGLVFSLVTYLEPLWAVDVEVSRGVFKRLEVFA GENVNEVARRFVEKNGLEEVRALQPLQRYLAALAAQKMNQGEGMEPSGSTPNVHTGVN RMDEKLVGPLQTDCDRVGTPLRFEVGQRNRGCSKKVQGSDAANIAQGGTVQGSDTSDI THPDVKMCGRDGKLMLKRPGGSRKIDAGRNIEEEQEEKWKRRGTPASPRRPERDRFGA RRTNSSCAAVPRGIEDTNRQLVRKMEAVPPRDPSTTRGQAARTAMSERGRRAATPTAP YTDRASSGCTGTHRNGMGATSTILCSSTQHGERPIITEPGCAREDEVERLLIRRQQRS EQVKNTESHRCTPQLSKARAVKGSGAQPQVLNCGAKKVSYSTQRGKIEERVAGPPLHP ADEQSTSDMESLQVSPSAAQPRGEAERQALHGEERSYERLNTSKSHGSPSPASQKRSV HVKSTEELELEACTFSPAINPRSVHIFNTIINGRAASERKPPLTKREGVRFVSPQSRS TSTTRGGSPAGNRLPNQEIIQRKLSEEMKCHRTSENFGTGKRASNPFHGR XP_844429.1 MIHRSLRSSYFSIHVTKPQRVLLMGYRQCASSAAAPLINAKGAG VSPQKDEVRSTCQELRRVMASLLEDRQCLYRDAVLSAALDPYRSKLQSLLEGNDSFGG STETPKSLTLGKLLECRDGWAAQVGGDAISLVDFYNAFLLSALVNSHADVQLVLDCMQ QHDHMDPTAETYVTIMHSFTAQRKGPNPVAGDTAFHYFGHALKTRGEGFITPELWSAV FVVCAVTGAAGKLLDQWWELLLKTCKEGSSPLPYGAVHAALTWCSSKGDVERVMRYFN TANSNSMVAFDQNDVPHVIGSGSLTTRSSDQVVQQCQLRLLVKLMVTAKAIELDGGLR ALVVKDVRRLIDPEVLRAAPWGIINDLLSGLSLQSAMQLLKFRSAAALEKDGAVPFTL WASLLRRCARDHHIDQAESLFLFIRKRFELSGEQKAELVEIMIRMFATLPQGDFASAT SLFIEHVIRHPPGEPRVEPNATFYNLLIRAADTRNAAMMTFLEACAAGIDMNIETFEA LCRSNRFGTISSLSKKLPHGYQASKLDQQIRIPADADAHLRREEAMRLRGKPLVDSTG EVD XP_844430.1 MTVSANDDPVNESFCTAYNSSKKEGDETTSAQVSDSTAPRVTPV PLTTPRHAKKQRLNRRIEVTDTSADRITVTDALQQHTREVLTVHNGPVVYEEQMIFHP YELYKHGKNKIHTGSVGYSSGADLVMLRDAVSEPSDADLAYVRRSAEIGSVICLDPKA QRPLTETEEEEQKKNKKRLAGAGYRKYPGIRAPKNRKVRNRLLNTYLATELGREILDN YREMQENGETDADQTPPLRPIFSDLPQVFYDILDLDEDAQTAALERYGVKIHAPAGNA QPKDPDSGEVRFQNLNSRLRGELVHAINSEFLSKQIEDLEQNFTAFIKSGDPSKSLTY RFRDGYGRLVCHGVAAYYKLVSQSHQQADGSKTTVVSWPKRKRKNTSSLTLPKITLKS LLRKKRNEMPHTPLQSSTLDTPLFDFNDGPTDMEPLDLGAAASNDANPDDWLQPLEPD GIMPVYQPFSLAYSIGNENSGTKQKGKKSKGTKTSN XP_844431.1 MHRVITILSGYTRFFFLEKDVKIPSCIHPFRVRLEVFLHVPVFP SSLFIRFFLPFILRLALLSSVRRGLASKTSMGSHESTTLFFFSSCRLSFPPLLNSLSF SYLTFYFSFYGVAACSFICFVLGKRRVEGRISHENFFEYLLLTFFFKSFPHLVDPLVV NNYQPAFVCVWRCCDELESRTRERWKVGQLQLHPSAASFSCCLFVAVVVLVVVVVLCF PVPFPTCFPFAVFVVSHHRNYRRCFYLLYTSIGRGPMHPLEFWLMSE XP_844432.1 MNLVYGSHLPPGTEGPTCGELRIRVEKMILREDIVNSAVRSECA SANARPISVSVDHCAVAPLFRGEARPSAQCTPTTPGKVRGVLSLVYPIKTHEAQFVEY LTNMSKSFNGGVHVLVFVPTSYSGRRKPTHIGKTIVALDRLKPSSPVGGWFHIMRDMS STDGKTAEKGTSPVTLVDVGKVKLSFTVTYFSQATPTRQRSTSTTASHALQQGGAETS DQSRIEKKNPPPGAARVSSPTLVGVNILPPQAIKDEQSGEQRPRGERSLGRDDRTTPN STPGPQLMPASQVPLCGSFPRASEVPMGFSTNQKDTISQLLQRGHTLRARMERALDRS ALMEGALGATTYTPMLPYANSIERAMALPGVTPGSNLMLGLFPVSAEADTSASSRTSL SDGSVKGTPENSVASGVVEPNETPKESRLHTGVTSGQRSFQRTGALPTEGGYVEVDLS RISFSSGPATLGMEEMRIDIRLSKDVKTDEPVESYSSYVHRVPLAKGPEHHIVIGFQV RSFSEDKSRMVIGFYRVFSAPVSNPPSETLLPAPASAKRVLVNETLLGICIVGLHAQS RDIVAHDPFSGEDPTQAHLCVRVRDSAPAVVDNGPKRKEASRVKRVTVVRSDQRSNGS HSNEKLVETRREGHRVHLKEGADEPVQWKRECSEDRESCSSSSTSTPSSTGRSKRRHR VGDSSNRVEQTEVYPVQICPTTPVKPTVQNAGEPEKKNFRSILASPQVTGGLHRGKTS TDGPSDRFRMHVAIRSCKDLPLVALRRDGLPMVSTVVPTDQMANGVRSALASDGSLVL IDSEYRVFTPPSTFFTVEDIYNATSSSALSRGVVPDWYVEAAVRGEYDRTLVVPKSQS PQYDYECILSLPHEAVFLRQASAENQRTAIEAAAVREGAAPGFPPSLLPADKTWAAQG AGKETVPCLSEMRLTLWHHAPEVDGVETRAQRSEEEKLWVNAAPLGECRIDLRSLRFL RVIDGWYRINEIDRLDNVVGYVRVSVRML XP_844433.1 MRPGSQFTPKAIQVFKSFATAAPAVMEVGTQSGIPKDLIGGAIG QLCNEEGDATSNGGVPFKAAEIRLYSPPPLKNSKAQVMLLFRGTKFVTVEESIGAGPE GTILFFSSSLLVALPYGVLQEGESNAFRITLCRVERRPDSAILDKSHLPDKELAILEK HANDVEKLKASVSQWPHEGSDNTVYDGTMEYGDYGMVYCFNTNYVGVSTLLSADVQWG ETVLTHSSEGKRV XP_844434.1 MRCHVMGPSGHKSEYHCATLLGNWQEERRSFGFVDPAREPLSYM TVYKDSFRGTKTDEELANAKPPSCFHENALPELLFYHNEITNPPKNLLTVNELSYTNA KGGEEVNTLHDILHKEGVSKKRDKTIAIRRELGFIGYGAPHEGSLLASKGATATPLDY TMRCGTTTDQNFLESTRGGCYKPPVIPAIGRMQEKQRLLTTKNVTVDATGEYLKDNID EYPATSSECWGMFMKFTDNMKGKKLRECYED XP_844435.1 MQSTKGTTLPKQRPASTAPLEATKTLMPLHELPSFRRDLLSRSV FVLGLRTTAEMRELLSLMKRHCGIITGAFRVADFASGGMSTGGSMAVQGTAVDSRSAL SNAGGCVVVCLTFRTEEGAHLAQRMDNTALVYGSRFVVLPATRFHQNISQVSSKKQRN MPQHERLWQLLFSPTVGGSNIDWAAAAGAVNRGELLMLHPSDLPVDVTSDGTSSGGGA SGAAYMKRQVDGGTHSTGDDDKFRLSHYPAAAAPADDLNGARNFSTTPATTTAVTAPV PLYTPRAAVDTENLGRSNTLQQQYYNDVYESSRTPFLSTVSDIASSILTSTGSGNTEY IPRVGRAAQQTDLYGSPPLRQRVEPSRGQPLAERVTAHSQRLTVEGNETESRTNNVTT NSVYIPRVHSLGSLVMDALPFASSLISTLLRAVSSPSQQHLTADATAGYERINEGEAE ESRIREMLGMGDWRDARGRKRMRHGEDARGTPVRYISSRGNEVAGTTEEKFYNFEGGF TTLSPDVLNTRFSPSGMAAVPMTVAGGEAGGGVTGPMGSFYAAGRRHGGGQDLRRSLL HAMMSAASLRAQGNGSGVGNDNSDLTASAARSDLPLPPVPDNASNEEVREYIMRNVRL LPAEGQRQQQMRYVRGTDVAAAAAAATTTPAGGGSSFIPFVSAVSSLFRRVNSVWRG XP_844436.1 MRRGVGVAHVQKSRETKRQMTDLGAQLTAERAGQIADQLESLEE QLRVLARKHKDEIKRDPVVRARFKQVSDSLGVDLISSKKNVFAGLLGLGDFYYGLAGK VVEACMKEQKFFGSYVPLARVVHVMNKQYEMSAQGGKRCVISEGDVRMALSKLHVLGD GYNIVKLGSVNYIQTTPDGSRGVDHVPILEYVLAKQEKLVANYRAAHRKSNSRDAVKP LGGPLRHVGVSCFPLKGGKELVCSDAVGKSSTAAKENNSSSESNEDVPFEVVCVSVTH DELEKHLMWQSHRVEAALQCLVRSGSVWIESVKTSTEEVPPTTWISWPTAKGKVASGT ETIYWFVGVASGN XP_844437.1 MNRQQRQPQGGLTSRSVNLPPRSANLANKTSTVTKPSASPPASA TSRSNRKSDPASNEKVSDSKLEYENALAQLQLIQQKLRQQALTACHLEREALEFYYSH VICFEGYSGEPMPQQQSSSHHYYFNSTIARARQTDRERRATEEQQLQRERCRVEQLEE ELRSSVVMKRLEEERCAVRSAEAEKEALERQLAQKHAERNSREEELQKQREKLQQMRR EFLEREAGIRQLEIGKRKQMEDMETIRLQLKTQRGELDSAQTELQRREKVVEDLQQTI ERLSRKK XP_844438.1 MMNPEDVLQSIANVCRTGHLGDAAAAGIKAYIAAPFKHSNTSHS STTVTNSSTMANIPPANVTAAPPTGLGGVGGGFTTSIQQQPFALLNTVKDTQASHVTA TDELDEQVSKIKSLMNLLLLCGDPERFGSVTCVIASIAPTVSSNVVNFIKTEISPTSL SLIDHGPVASSLASNGKSNPVLLAAQCLFLLTRHFQMNPDVVRGICELLEILLQEMSC HTNSSTTSAASFRQHPSTRGLCALTWFVTMSLVNTLAVWQKLRGATGVAQENALLGSN IVADIEKWATNLHARLERTQDTGFLSSSLQMRRGISQQYQHDEAAWRGGNGKRPRWLV IVDGYMSIVLLALGMFLCGKGDERGLDKAVEAFVGDCAHSTGAGRRGKVMKYIVDLAP LQAMLAIPLECIYIIPYEMAAYMFDEMLVAVKHVADIDVSSCVRYLEALQSKSDASYA IKGDVAAADGGLQRPEANKAQAGEEPFISETAHILRALTICLENLPSTVLNPDIDVDC AVTFFIFKNCVTHMRAIFETQRSSGAWEAYTLKVVTRFLDVLAMIGRNPQYTQRVVAL FSEMQVNCAELQWSSLIAKAQACAGASGDAVPLTLCDNGGSMAALRKPSVSGAAAPGR HRQFTPEYLQEKQQEFASSVFVLLRQMATHPLLSEQVRQHTTLNVVLGFLHATKLSQL VLGRVLSLIGSLITNHEEAACVWTYLEESHLICSTENAAAQTKGGQGSSTVMGNKQRQ GDNVAVTVEARSLLGHCQYECHHATYSITIGFLDLMLAMFKYHRPDVTCLPTYATIIR FISEEIFRGVSRRSFSCEKERYIVTALAAVVLNRALSLHLPLHGKNNVPSFQLVMACS KAPADVLGELLHIIGEAAGALHEMDNYQRAAVRQCLALLRTAITVKEEQRLDNIFTFD ARTHSSKEFAAQLLPLCVSFDSLLANKALQVLLLLPHSTLSEAARYWDGRPDALETVI TPYVSTLREEAVSNPVIHAPPALAVLDSDEVQLLMPYVETETKSLMLDLLIQHAAAPQ PSITSWLCGYQMYGSTEELLPGYCLEPIIDGARSRVLEEKSPHIAVKYVKLLYQLRAN PSLCTPSLKRLMRERGTDEMFHVLKTLRPDQCPPLVMSKYAFVMKLLGLELFSVGTEF LEELNALSPLTPHASVVELLFQLLQAAPPHELYRQTSESGAQLVLEDHNNGVALDFAQ WPSSCLMSLPPFPANCVAPGGAESYVFRASDDVPQYSIPRLYEALRRENIANKGEAPS GAELREQLGVFARANECLLAYASAVQFLDGWCTLTNITVVVLDRISHERVVYLARSML GSVQLISNLTVAAQEQIVHRVSRTMSTLAAQLRRKLGRNTNGEGLSGATATCAGDEGP VEADVSVPQTAQQDVTSFLQVTGTKISAFGTNASLTRGRLTGGSKRDPRGAVVSRMDA TGVISTLVEAPSRAAIHSENLQLLRTLLIVTVQWGSRVPQARQHLYDAISAFLSISGT NVDDITLQQYHNALFDILVQDTSATATNGNKFAALPLLSQLMYISPQLCELLCVSLTN DGVSPRLMSCVAQCFQAIDESICAFFSHGGASVSSILWFVRVVFDMLMVISQRHSLQL FHANALTHCMGMKLWSTCARIMLGHVKYAAGTSLSNTENIEVFKEVIHNVLLSTIRWF NAVLSALDNSESALGAVADFVFSQQLLFQSVLVSPTTSEHRRFIDTPTLEMYGEIGGL LLRLASSPLVDECRPFVQLFALTELLDVLTRSEFINTPIFLEDRMPNSVAAVPLSSSQ SGSLPSIYQRQLIAVATQNIVLFIFFVERLVQHFNGYEGNVPSAQQQPELQKKSYLRD DPRSRFLITTITENVVAIMEKFCDGQVLHVATVVPYFVATHSLVLLLHAFLLVDRAFS LNNVNSNNQWGGIVHALEEARRVVGLWRALHSDYHKGVKVSRTGGRWSVAAPSLSNQT LHHAAVMPVPPAEVESPSAAGSRAAAGEMIHADNAAPAPAENGTNMASITSGTVCDRT TIGALVELSRIGCMDTVSDEPPVYKAVDQVVLMESFLRRVKVAISNALREASRM XP_844439.1 MNSASQDIADTVRQLQKLSRELQPVKAALAAIAKRERATPNAAT AAGSLDKVASRVQEVSRKYSSFFSRVNFLLDAEFPRLQAITPLLEVCRDGEDTSGEGN SGQDPFRLVCSPIVPHILNRTSYHVVCGVIRLHMEMVDYLIATERYQLACHVADAYGL PLYLFPRLAALVLPPLPPIQNNSAGSEERGGGRDSTSLPASALVSPYLGPVSAKATLG SNETGPPHDSVPPEHIAVKCIEAKHSVMEAIAYCEGKLLPALESIEPERRPKEMEVLY DLLLDLHVTRILQLFQGGTTGQEAIHVYLANNILRWATRRPYFVQTVINALTLRSEAG ATAVPPQKSAVQNGRERTPNGRSACRTSQKDEEAIRLVACMMSVEGYRKLAVLFHRGA ILAGSQILSLAETLERRRASAASQSQAVGSDACASTMEGCKCELPDLVVRAVAASILL KDEYFDRMVISRRSAADAENGGGETRAIQIPPTHLNTQSGSAAFDGAVMAIVEEMLRS FFCNKQNSEAQQSGRGKSLDGVNSCVPLNASDLLQVEERWSNDVIFIRRPTRFYCYIT KECFDGGRGGNYPLALPNGTVVSKLAIMQYHSKNVSQSDHAQPVVVCPRTGEEFPISA IKRIFVT XP_844440.1 MTESDMYRGSCSVLLQAVLTQACRIILALCATAKGKGTVSERIT ATVAAYISTVEASSVVEGASKYAMLTAVHMIHCEHHPYRACQHQHGELGAAVGVVLKE AGLRLHILTALTQLIMKRCGSSEGSVGVLLSRAASLESVRHEAASTMPNAEENVSTEL LLQVFPELGVAPSKAESGAVVIIHAVHCGCPHQWFSLMLPTFLLAATMEEHVRVWRRT TLLLKAFRRLQQRRGMRLLRAIVEEVNAPSSVAPPMERLQALPDTITCGAGQEGYIET SIVGVSTVMLEDEEVGKKDESRDGIHTGENRSDPPESAVTNSSIVGTCIIRGDSDKPT VSGGVPDPVRHLSSSTREPDSVSSAGHIRDKLVNDFVKKRNTLAAEHLKRFCLSHWRY ARMHERCAIKQQLRKLAERTTQECWTHWKSRWQCHREMRRKQDMEKQCDSFVTEKLLK LQKHVFSFWRALFLLRQFQICRLGRRCFVRWRCAYTFIIHSRGDKLPLMLRQDMGSRC FLQWRDAYRQRVADRMCARRYFSLMRERLERWSIWREQEQVAVMRERTAVQRRCLSNW YRAWRVQSLCTRFISSACKRLKCRVMTVWRVRWLRREDTAERLRTLYAWWNRRIAAHS FHWWCKRVRLQRSGRRLSDVRERRFYRFAWARWSSRVLSHQRLTQKHELNASRRRQWG LLRATWCTWHRRYAKRVQQRHARNQEETLKYAAAMREQSLVASAYFTWKRKAHMIRRR LPGKPPAAVGAGGGTSIPLGLNRSFTNRDVSLLTNDESCDGEKTGEDCSYANIHRVPT YKTSHTGVFNVSKRCLDHREPPEALRAERLLIRSLDSPRWQAIAHSRLFNIGGNITRS NTKVDKSTVCTQADYRQFQHQKRYYEQARWNRNDELLDVTPTMPSDIENDACPSVEDS QLPD XP_844441.1 MRRCVRAATTPPAAPWRAGSSFIPIWRFSAFYSSSKFIGRRSSG SKKLGAFPRMPHAVKKSSTDVPRAAESPVDTTAVQTSVIEEDKEEEQPHMCNNKRTKS KRSSQPCTEAKQCSNSREGKQAVAVTGTKSCNPSEGSTAQCLPCVTDDKNTQKKEREG ENVCLVDRAVVLKLFVVSDALAFMFATSPKDQRCVNWHLKKVDQSVIMQPPTQAPRSQ HKGQEGITDNDSNSKDKKDARRESRSRRREQRNAVTREYNIALRVLSEESADNVHYIH VREMERLVPPADVLPRNAMSTVLASKKGNENSKRRQSSEKRNSKVQKGRGTSPAEPSA AALEALGRVMALRQWQTAALRKAQESQRLHALPPACHAITHVYMNDPSLPDTTVVQGC NIKKSVTDILDLMKQSTVSGNLLGFVNLHKGRKSSSSGNKGTTPSATLSMPFELKPLL SVVASCIPRSDAALGVRFDEDGFLRSVLQRHSRKSGSNEPTTAVAQVVSEEERQTATD RELSARRVPPVPRYTTRVVLLIDYDSSESWDLHSDGVSSETKAKSAKGDSGEEVVNRR RRRKEPHIYCLIADGGEV XP_844442.1 MTCTPPAQDVNDGKLFAHSPSFPTVSFAAEDASEDLSASLHRRQ PRVSIFPAERSGSITSDSEQGGTGVPLPTDGRSPLSSVRRRHDTAGSPQNFQGNEAQQ PGRNPGQQLASRHREGSGGSHKGQQSAANSSPSRRQHSSPTNRDDSTAHRKQSMGQGG GSPSAAKKGGAERRRSTELQPRGANSALSPTRRSSASKGGSQSKGSAKQDAAHGKQTK PKTKSSSRDSSRSKQRQSLAIKAASAKDLSRTDSEAQPASGRRLSTVSLASRGSPRGK RGKKAAAVLVKNEETARKEIEVAQEEAFDEIMMSIFIHSFQPQRNLIKKLEYEMQKQK QELTGKLAQAKNSAMQMLEQVALLVDTVQKNGVEAMKESQILEAEVPFIHQELAPKGR RLSCVNAVPTAENEDERRIRRKDQEEGSESERIKAFFSADLYSSCSIGTFKDMRTDDI VSSVDKMCGEAASLSNVFSDLLNRTQRMINQSRQIIKAQQAALDECVTHVELADETLR DATEREKENIDYAFQIGRQFSMELRHRIDRIEYEMFEGLDEVIRRSTEVCCDAIASTD QMQRQVDKDIVAEQFMTRMELAAAEKAKLLRDVRSKLAYLWRRRLNVGSEERATLTYT GLPQEYQKSLELCDYDMLCRLIHFVSLNNDEARELFMGALDEHESFLKGNSVEAEIAS QRVTLETAVRSLLRKLEDEGNIKVNPTRVPQTYEEKVKDLVDHYNIYMSKVEKQRRRI LRRMAAEDALSCLPYFDRRRPVPEEYAADLDSRPGFVKPPAICNSLSIPKEEEAAQKV NVSEISRPPSFRIKRTDVGSFRGPTGPPLCARPPHDVEVAEIKEYLRRSHPSADKLPG PATVVGYGRWGGQVAQRPQSGLPRNSVTFSDATETRGPIGPLKDVQQPHRKPPPPMVP PRRALLTHVLEHHTHNPKAPLRAPNEYCTGVWGEDKPFVAKQVELLQKDIRL XP_844443.1 MRRSSMKFGLRQILSEELGKAAIFLRFKANEYIASTARACQTLT GAETNPEQANKRRKHRQVYEEILRQRQQVAQEAARRAMEGKSLRERLMASASAALKGL KEATSTNAGALALLQHCTAAHAAEVAVEQGIDVKSVTMQVEERKTGSQVGSETVVVGY IDAPNTSEEEMMAFAEQLSKKCPMANAMRGKIEWRRANGEVQHNDEQTTGNDNSVGST SDKAGDAGSIPHGMPGTRYYSHESMRRGAGGVGDGDELHLPGVTKGSGKGPDK XP_844444.1 MKKCWVFDCAAPGLYCEPRRLLKPFSTDSILDAAGRAFETVEDG LKATTWRTHHAFSARCGLTSVTRSDSTTCGKGVEESSVSRSPEDYREWHADFHLRSPS AEPHVLLSVAPHYLPSRGSGNADDGRKMEVVGSRGRWLKPWRPQTAEEQAAYDAAVLG TPLIWEEPLVLSLDCTADGVFLWSEDHGIYGEKVANRCFERHSSDAGDDSAEFKQEAF LRFRWSEQPPAQTSSVGSGRHEMKEEHVESPNVSKCGELRVFRGQRTKVEAEEPHVER ISYEEADVDAGSGGFLWQSVLHRIISCGPVPKEVYFAPYVTLMESGDEVSLL XP_844445.1 MSLHGHPYQRYVSVKLTHFQQGDENDGPTKSGGVESGSNREKWR AFGVDIGVNLASATSQSSCTSSSTQSQMVNDASITKTASVQESLRQQLAVLTSRVGQT REQIFNAVRLKEKTSSKSTSNTCTPSSVTTDTEIIGGGSAPPSQKRVPGKDGLSRDSA KNKRSTTSDNNIVLNKGAGSTATHQQQEAGQQISEKKTKTRARKSSTLSNAEATVTSR HSSKCATQRTLFKLPVDPATSPQRGLQVAFKEELDGSALFNDARDTLNDPNRFQVPTI FVGFATREGQTNFTISSSSRGKVHFMRGVNPELSHLEVSFLVVRWKDDVIVYSAAVGL ELLVRVAEELPGVEIVTFNAPCLLLPLLSYKQGKFFTSCVSDVRLMTWMLEPSADTAE FADYDKLLQSYQQQIGLPAGLNAAECKGSKEAVSHRVFYMEPLYRMLYGRLGSHGLLP AFLKQEKRISIMCAAMKLNGFYVNLVEVDGFKARCAEKMEKLRSEARSMIPSMTNFNI QSADDCRVALYEVLKLGPNLVSKGTEGSTENNSLILTRGGKLSTSEETLRILARHHEF PRILIAYRKLAKLLQTYVVGFMEMAIPIEEGDGEFTDFSISEQGKEAIPNASDRHKAK WAKLHPNLVQEGTETGRLTSVEPNMQALPRSTQLVATHEKNNTNDADSENGNNENING DVNAADAGGNESDGPVQEKEVSFIRRCLGAPDGYSLVSLDYEQVELRVLAHLSGDSAL INVLTKSGDIHRSIAEIIFRKTSVTGEERSLAKKVVFGILYGAGPRGLAQQMGVSVEQ ALRVSSLFKSCFPTVDAYQRRIIDQCRSDGSVRTLSGRVRSIPDINDRVLTKRSHAER QAFNTVVQGSAADVMKLGMIAVEREVLQPHAPDVRLLLQVHDEIILSVPNHMLHSIVP AAMHAFAHPISLLVPLLVTTKVGRLLGDLEEWTVDHALGLRVPS XP_844446.1 MNARDELVEQLSIALSDIEQLQPCTDTALDAELLGAVADYIESG VNAEATLKRLRGTLAQVAKKGSVPQAPVQVKKESEGEEKEMNGNGAVSAPVLTAEELG RQRAQLILRDILKERYARH XP_844447.1 MTTYGHRPEHMLHAWRTLGLRANPTYEEIRAAYRRLAFATHPDR SNEPGTKERFQKLQAAYEAAVENCRRMAALGGYRLSGDAANFDWRAEVARVREAWARK HGLGSDAAEEEEEETTARKKRQQTKTAAKGTKTAKPASASASAAPDFSGTNSPTPARG RKKASPRNSRRQQKRGSATTSRWSKPVANKKGKPKPPFTLFSSPRSPPPPASRGADGV AGENKSLNTSRAGSPGATTSHTSERRAQVVRRRRRCFLSNEDKMICRLMAVEAARRLA LYVFEGATRRIISQMLAEVRIRCAIKQFEDLAWKKLVKYVQLGPSDALVRHKGKRRIV A XP_844448.1 MFLLTFIVRVLAETAAYMYKHEIPSYLPSLHLYHLKDTIAVSAV FIRWCVSVAAEMGLVHSDSQPSAWSSHINWFKSFGFGASQDDDILGSPVSRGELQAAE QIQTEFTSLDHEAEYVNERLRRCEEALVAVLEKEKILSQGVEAIMMEMHDYTAAGGSE WSESDLERFRENQQSVDSVLKILEEIREKREHLQQRIFKTEVYSRLLEAERKEAEKTL DAVRRSVGDRTGYIAVEGGNESTLVIPPAPLVVSIVEEFRNEVVNEEPSSTLASLI XP_844449.1 MSLSLGCRDDSLTPVPRSSGETASNVYTVEQYTKLLSKYEAARR HIAQLEGKVALLRQQSNSGGDKQPLSPRSQGYGCGTEYTPSREEFQQVIDWNKELVST VETQRCELVRMDTENKLQVVMLNDELKRLQETCARLQQENDRLKSSVVDDILQRRRKA RAELATERSRRICVVRAAGRGRLEQLEGVLMASHDDMYFQPRFDSLRLPLHDATFVVH TVASVTYPLRGTEGFTMGVYHELLKDLAVKHNGHHVCFEGHLQVFVFYCATHALRFAG ECHSALLKVDWPARTIKIPHFAPVTDFGELIYSGPRIHTCLYTCSPGVEVDPITGSWL YYGKEVRDALVTTLEEAPVGEIVANKKWCSMFSREHDITNETTTTDHLTIQSVRAKLG AQWSIVPVNDSPNELFCSILPAFLERRRGIPPYMVDPVPRFARKIIDVKEVVPDVIGV MKGVGPVSLAGATQQGQQPAPANGWVDHLNTLSPSEEGVGDKVLSLLIMKNEKDRAQH EWLKLQEVSAYHERVAMEGEDRYIHRFRPIMPGQPCFVCTVDIGENEAWQQVIGGKLS VNEKLDLHDRLHCEVVACGDRNDGLYVNGNSRDVVTFAFHQACQALRFAAQAYASVSK SCELKKTTTCIVRAGIAVGEIHTIGHGSSRALKGRTSDDGEAGNTGSGGRMGSMRLCA GVAVTLSAKLCDIARGGEIIASAGVVDSFYTKKSNVISDEYNILRYGVRFLGEGSTLT DIYSILPKEYAYRRQQQMGDKRTVQGAGEEKMWRSQRSVKEELVFKSPHIRRVDVQHM LVEKQGFLERNEAAMMALHDAAWHVSVRQAIRVPWLFTQTSRDATKKRKLGFLFCAAA GLSALSRLVTNELYKELCGQYNSTVQEVALANDGYVVNTDSISSYIIAFNDAFRALEA ALQIDSKLLALQWPEEILTLAPTLRVKSARTGVLLFSGIRATTVVHASDGYEWNRVQS STGEDSGIEISGPAISTLMDVSYRAIGGEAALTQAAHESVESSTRGRLLLKQLAMEVA EDNNSKTEVLYAPRHLRERLKLFSSERATSPAPAGTDRRSKTPKSVWTNNPLRGDLVW WMRRNGFASQLPTVHAAQWAAPQKQQCRSTDAIMSEYTERVVDHFKQELATNLYITSC GECFFNVVIGVLVALSGSFGNLSPSRRTSLPSRASSSGACASSPSTWGVLDPALTMSM GSEGLSRSSGRRDNRQSSQQSAADECDDPYKYTLTVLNETLKHLLQQSHRVLGINVSF SFSPIKPNSQTFSPLRGRRNKLPLLKR XP_844450.1 MDSQARAGKSILHKGIPRYRQAAHVRRGDEEALGHVAGSGAKVP LRTHTITSGRLRIKTYQDTQSMREAFERLKQICGAFSLDEDEEISCMIPRVCDSGNPA PAQLNRMPGHLIVHCFSFCDLSSLGQLSRVSRRLAAFANAHHIWVEHAKRLNKPVRGP ETARADLRFEVCGRWDAEIQEHEQAYEDLQRRLQERAAAERAAVLDVEGVLNENRRNV RGGDILRSRGSNNSNIYSVADVQALQAKVEQLEAVKLELLRSVRDLGDILAQQQAQIQ DMQNRLAVRVTAEGDVVTTTSSCNTVDGTATHVSPPELTLHELTNFERRMCRLVLGTI PDLSVVLRRGVDDFGTMEMLVQHSGGELESGIRKRWQAFKSFFPPLSNDYSKARFYLL SGESAQSERRNESITRCSAIVRRLMKMSDSEITDIVMQSGSS XP_844451.1 MLRRWSECGRFSTTLSWCAANPVGRQQTRRVSGETTAKDASVDS TGDEDKDKEAQYRERLIQEILSRDKEIFELKRQHELSMLRVEQNQKRVLKDQEDRGMY YEQNCNVHTFDTVSVGLYTQRNTLYHTMSIERLRNVKLFTTLLVTVLTCFYLYYRYMI NNDWVYVEKPMKLLGSRACALSEIREKMGEQQEEKEHRERRFAA XP_844452.1 MFTRALSNAARVVAVAARQGFSHSLTVSSASLQFQLRARADIRR RYRSREGNPQLGNSGGADVGSMPLNFAVIPVSRINMRMESQLESFLKKQEKETLELGT SAESPKIRLLNVPRTTLKRAVLDFNVRGQQVRAVGQSRRGKVAKALCCMHAAMLIDHF SIDGVGCHDMPLPLEEEGVSSVPSNAAQTPHHKHAQGSPLSTTLKLPPPWAPGMQWER YVSDCENYIRRREQQERTDAYVQLRVPRSGNPLVDRAADMTKGEKNINHLALQRLNNE IRNATKDIHITRVSRRIFVATLLLDDTSQLSATGVATSLKEAKQRCAMHALNILKLVK DQRLASSPLASVCREGSSTSAISSSLSPRYSKMLDFFTLLCGVKPKPSFTRDGRGYKC ELLLDDVTSTGRGVNRFEAERDAIEHALSEMELYDERLQAINSIISRYPKIQPQCVPS AKLPESLRNAIHALVQRVHKELHLADDGTTLTGSKASSSKDENKSDDTDDVVDAETRA TINALQEISRDEAYANRLRDRLCTLRADPKYLERYHARRSSLSINAVSQSILNELQQN RVVVVCGTTGCGKTTQVPQYILDAEIMAGRGDQCCIVVTQPRRLSAFSVAERIASERL SAVGGDVGYAVRLDARPGRHVTLCTTGVLLQMLVGMPSLDAVSHLVIDEVHERDINCD VLLALVKDLMESGGNPRLKVVLMSATMQSDMFASYFGRAPVISVEGATYPVEVRYLDD VAALLQKQTNPRNAYYSTMFDSLTAARGAGEVGRRGKGAANRGRSGFGAKKQLLSSPL KTDYSLIAQLVRRSVEVDLNNDVFGKSVLVFLPGWKELVAAKQAIEGLGDGQRYHIIL LHSCVDAAKQRECFAPAPVGKVKVVLATNIAESGITIDDAAVVIDTGLIKQTTWVSRV SGLQQQGRELGILAAPMSTTYATQLSLRYASQANCTQRKGRAGRTQGGVCYRLFVRDV WDVLPAFQEAEIHRVPLTQVLLKLLALGHDRPKEKLRTFIEPPSEKNVEDSMRQLQSL GAVSADERLTPLGLYLSRLPCEPTVAKMIMMGAVLRCLDSALTMAATGDVNPFLSSRD MSFEVRVRRRVFAMGSQSDHISVLNAYNAYSARRADAGFAKENFLSANNMRLISRYKQ QYRDILQRSGFIRDTELNSPQFTSECGSFGGDGSHVLYFDDGPLSADATDVSLVKACL CAALFPNVAVLDPTPLLQQQGKKAKTLVMRTSTRSAISPSKDSACRRTGSPQAHTCST PQDLFSPHNDEHMDLPSMLYIYQGIFSLKESREEFLTQVSSVSLWALLLFGVGEADMH YDNQVQLCVVGNWIGIHMDSESYKALVAIRTILHACVWRKYKHPEDPGNNRALEELHR ICKGILKSPPNDKEQYLNNLVDTGRIFSPMEVQQLTDDVKMERLNGEQDDDGNRDVWE LHEV XP_844453.1 MTDGNPGEDAIRKVIVSASPHCDLLHFVGHVPHPYGVITPQTMM EKNAECVKFSLTPLEREPSVTVSGNHPLFAPVVIPRVKATSSAPAAASEAGMSPYFPH SGVGLAQSAFRSNFETFSWGVVSSDEQRQQNYQSQRHKVTERGDHQVQQQPAHNEAET PNPDAVGEQAFIPTVTDSSSRLVNTSRIGGEIRYEVNFLAVRRSLLQVFLILHMPERS PAVAFRFCSHDPLPGGTTCLSVLPRCAERLPTLAHPAEVTFTDVQATAHWKYSLRCDC RIIQEGEEASVAEGKSQRFISMRWTTGGTPERTLELSALLTEVMTPMLFAVPAKLLKS TEERGKTTPQITGFFQRRSFHLMALIAHGVWCEKVARYIINESNRSGLVALCAETCSA KLKLRDDVSLDANEKWETELTFHRTESCDCTTTERSVQKPKPSKCLHVLVRGCEDVMG WGWGTASTRSGLQDLAEAVTR XP_844454.1 MRRSVCLLLLSLVMHANGNFFGISLENRCQPLSSLKGVNRAILN KSGEEYKESDELFCERGAPEQFKCNCGVATTCLSKKDPWGNNIGVCGCCPPWLFLFYT FFTIIVGFAIASAFYICCCRGKWWFDGYPKAIIPAFSRRGPGTVVPAAVPLPPTLFRG YRTTDFVNDTAATRPVVDGESAGTRTQREGASSLWNNDQIVDDEP XP_844455.1 MTTQTGGKNHPPVFFFRLPVRLRLLPWSNDDMNHSHWVTTGRNL HLHTQRCLLTAVMHENYNTNQKKMTGIARTCSSACRHGSNNEKKKHVHPLTFFLFTTN SSPCFRHLRTARRHACSPERTIYWLEYERISDLPIEEQEKRGATTFVAYTLF XP_844456.1 MEIIDRPLSEIIKEQNIAQSLRRNRRGGKGNQQRRPRGGARGAV TGGNRGVSRPRRGLGRDRFREVDRRRLLSRRPDGPFRRFRPSYGRVLERRRNAVDYGD VRSRRSVKSTDYLRERRLSGSERNERLRYQRRREELSRVRSRFSRD XP_844457.1 MLRHTCRRLLLNVSGESPSFRAKPIRNLQMDVQMSPYKEQFKDY WSTPVPWADREYGEKPKLGAPVPTRRAATAVVIGMNRHADMAKVERGEDNDYKVLMMY REGKSRFVRDQFILPSMPVSLEDSSEDWEKILRRRGVKTQWTDLHHRLCAMRALFAQM NILLIPKEGGGLAEVEGPPGPKKWHLLVHSNPKAMKNLVDVLELPMETCLSQLLPFRS ITTPTTETFRFCNLNYLVPFEKVPDVRFTISTLGEKLVWVSPMEAMARFNAGIMNMPT PSLILLSELNNECPTFADVMKRKPHDSYRDVLPELFRHNQTKVATILLPGDLQHTSTT EEDKAQQYVRRFVYEKDYPFGVRAVFEERPATVEESAGPLEQEMPKLLEEANEMDMVY AEVPYPKREKRPEEIGRTAFAVPNYTFQEGVENADGVIPVKKRNIGSVGDLYSHTK XP_844458.1 MKVSSFIVQLRPGFFRLMIHAKPGARSTALAAQPQALDEALEVR LAAPPVDGKANTELVEFMQTLLEQQLATLRITQKQHLRKSGCEDGEDEVYTYEHYAKK KKSKNNKRMNEDSKSGEAKVEYPDDKVRVSLVSGLTSRNKVLEVTFPGTEEDLLAVLK SADFS XP_844459.1 MHPFYTIRYPPSKGSNESQPILVTDTAIEPLFFCIVYSIEFQCP VQTTESRTANSRSSSFPFGGRASGSGGKREANELHVCPVENTSKLLGNVTYVSCLDHN PTLLASLQTAVDAARAIPIPEDEQKVPLQLCVRLLHKQTRWLWEESRPLVEWTFKIVK TRGLSYAAVPEASSGASARNTNVEFVHASGPAQIREVLQFILKHSYEAIDLNTFSDFK SGELVFEVFVDQAR XP_844460.1 MPLCVPLLQNVLAWYGDELSISLALLSTSPSVRFFCERHAEPPL RILAGTWTVQCRTDGPRFITHNVGIKVADALGADVFSSMAWWCNRIVTSSSGRGREPV QLHLNLNGARCSVEQVLQGALAFRTLVELSLRRVSIKDVNVLGKMPSLQKLDLVEASV ADSGIVGLGDSNSLVSINLWGCEDIADVSVLGKIPTLRELVVAETKVTDCGTAGLVDC VNLRELNMEACPSITCVGAVSELPVLQRLLLVTTAVTSAGIASLGNSRSLVEIDLWGC RGVDSVNTLGKIPTLRRLDLFGTSVTNEGIIELGCSQSLEEVDLTFCEAISDVGTLVA VPTLQRLILLHTSVEEGACRQFSKRVSIAI XP_844461.1 MSGVEPEPRARTDLASMLKEAQSAILRLVKEAPELRDATIEPSQ YEWACQRMEKAYGSILKIQAPMVRCSRPAFRKLCRLWGTDISYTHMIMADSFACSEAA RQAEFSIYSGETRLITQLASSSGPTAATAAAIVAPWCDAIDLNCGCPQRRVMADGLGA ALLRNPEVVADTVRCVRNALEGGVELPCVVKMRVKDDVRLSVDFARQCEAAGAAWITV HGRTPNCSAHAPVRFDAINTIREALGVPVVANGGVRDPSTALQAALTAGVGGVMSGMG LLANPACFYVPGADEKFHFEPQHIAYGTGSCPWESGSEVREEHIDARKRCLSTGHSGG ERDAKTVWPKGFSHCPLEVLSDFIRLSCVTDLGSKATSMHLLKMGGNYLSPVERTFIA EMHSSFSVIAAFQQLGLYVREGRFQVE XP_844462.1 MEIEGHETEAMPTATKYDPTECGRTEGSHITQINVTVQGRGRPP TVPEGASVEKPKSILKNTTGTSTTSAEASRKRTVRFGGSDTFEAAPYFSTPESNSEEG SVDSRGGGDNIGAAAAPRAGKERHDDDDDQLETGSNTSEPTSPRLGAIGWVPLAVQRP PAGEHRKGKQGNKPTEGKRNEMASWEMPSTHQGGKGKGEGSLLDVSVSESTLSPGSEK SLIAAADDENNIEKHRKDVKAVDLVAKSRTSGKPPRGEVLTVTGLSLDKIPIQESRGT DYKENSTDDLPRLFYPTPRPQKDFNNAEEEKPPLRHRDSHTDGDDGDKPGTVSAELLD HLQRKSGVGDLLNPEGGVDPQHLMFDDNLAPPARRKGSVASHTNHDDYDRLIHPSIRL FPDLPPPIAASDSEPSVIVEVMAIEQANADNAEVGISRSGNHQQAGWMSSSVKATFEG PNTISPIGTGYVFNSSGAGVDTCVSNTENGWSAVLNATPLKIGGGSKNVVHRKNSNTG FDLKSDFLMSSVQTESETIRGEHRPNVAKPVDVQTVSCATEMWREVLNNDEEYTMQSS KAGRYLPGDFFEGVQASMGNDATNKGIRKGSASKSASLLPIRGMEIVRPTKPQGESTR KTSVYRKSRSVERRKTEKEQPKVLAVEVVAGAESRSALDESTNPREPQAAARATTSSK ERSLCVVQPCGGKVSASELSALASTQLMSKDTSLSEDCASRLRKKWGVTPKKAEKEDD EEERIRRAERFERINLALLKLEVCRKNNHSSHDTRGWASPTSDLDSRYETKPWTQAYS PSGATTDTLDNGYTSSIDPPRSKYWDREMAGGTSKRHNSRPRSLFPPPHSPVKTSADP PVDGLIYTPAKTASARSASWMFERSPDEDRYNSYFMDANSRLQELRVCDSMAAKNFAR DLSSLASAVRGSELFSMIS XP_844463.1 MSVPLTEEDLHDLYVWVDEIPISRPKRNITRDFSDGCCVAEVMK FFFPKLVELHNYVPAMSQSKKIENWNTLNNRVFRKLHFEVARDEISDITAGVPGAIER FLRALRTKISEIKARREELAAAEALTGVPGTGLSPSRFRYGARHADRAGTAGSTLSAH DRNVENEAERGQRGGSKVGVTSGYANTQGRSNAAPNVKSGFDQRNGSARTSGSVDDGI DSRTAASLRQLFDEKDRTIAELRETVGLLSEKVMKLEELVRLKDNKLHDYRSTFGHM XP_844464.1 MSARMQVPLSQRRHTNVAAVRYTKNGHKLEIACYKNKVISYRNG VETRLDEVLQIDRVFTNISRGLFASEKDIQAVFGKGTTEEDALKYILVHGELQVAQHE REVEVNQMFTDIALIISQKCVNEVTQRPFPAQVIEQALRSIGAAVRLDQPAKKQALAL IHRLMDAQIIPIARAPMKLRCTTVDEQSLKKVTEWCESNRATILEEPGETCQDETVHG AGKYSLLILLQPHLFRDLEIFVKDELPHGATVHMIDSVAMDVGEVDVMDADLIARANA HTNAADKSVGGGGGSHQTGSSSNPTQCLNNNNKGGKKGGKSGRKQKNAKYSLQKNDDT PQEEQGTPLTADLSSTGPGVVDDKEISDVKTALSKLGLDNPCALDDHDDDDKNKRGKK KAKRRQGAQQQSQQPASSKKEVEVEAQEDSDEEVLVNRKQRKMAAVKAKDISNNHDCD FDDEYNYEYDMEEGVGEE XP_844465.1 MWNAFSGFQPPKLRDGNGGWGSGRPYRPMRVGGQPYHKERFGAP SYPQTQGGYQHHGNLDPMNRSYSRHTPQAAHRIRQPNIDEPFAWKAFMRRSTEGAIYR GTTQLEGSQRTKESGETTSPNGLARHIDGEAWNAYWGEYSDHFASRIDDVQEHLSKGE RYNGKRCVRVATPIPKDSLMESFKSRMIDYARHFGAIECVTRDGGVVGVQFTTHRSAE LFAVWAASMTFVEILGDTVKPDEVNGPVEMVVRLAPHDPNRLTTTLRLGDNIVLSTAF VEGLFKGIFDAEDIKFHAGAFQITFGSVQAAKVALHSVQRHLFDGFGLLLTFSEVPLG AGPLMPHAK XP_844466.1 MKQGNGATHSIPHNVPFRRIVILSDYGSPWLNAVTAVTCATLPC LSALSNGWCEKRGNVVVASKLDSPRVLIPAEAGVAPDGTSCSSLSSLSAVCRDLADGR NIASRIFFTADAASNPRAQTFAPSVEDDLFVYVSAWSGEKDAAHLALRSLSNMFGATS FGIGASGVLTGPCGVPRLIILADESTRNSVCETARHLSNWCVLSCIVPSFAPPAFNHQ LAASVVRECDVVCGVLECVALGVALGTVKRLPFGFMDPVCVMPLDAAISTALIAFLQR LKGGPAAVCGGTSATIIIGSPSDSAFVWGMFAQYLMDYYGRVGLLALKESQLRWLFDT SPALQLNADFMNWVNYGTSTMMPFRCYYDNAAARRRKQLMEHYPHSNVARKVCGTLTN IDTRIHNMVKLTQHSEPTGQHDGERVNKQGKPNTAGFYSDLLRAVEQDAALRPLYPYI SLSCIRWDVFVKVIAQSVLEHAARQIAGHPTVVPPPLPLYHNDIIFYGPQRAPRNCLL TRFFPESVRWAQRSGLRPNGVLVAAEPGLTEESLACILQRPSIIQVVKKTAKAEGVTE EDVLRRATAILRGMGDNLNQLNVRVFGLMVRKALFRLFDRVSLNASAFERLHAATTQP RTHVMLLPAHRSYIDFIIMTYLLLVMGLTLPHVCAGDDFLRMGQITKLMRGSGAFFIR RTFRDDPLYTALFKEYIHNLVLRKQMIEFFIEGTRSRTGKTQRPMLGVLKFIADTLVN AQEAIDDVCILPVSLSYDELLETKLYAAEQLGLSKPRESVGNLVRASSVLSRRHGKIH VHVAEPLSLRSFCHNPSQCPEGFEPVTGGVTAAAPNKSSDGEGARSPSHIPKQVLTNI AWHVTHTLQDNTIITPTALLAAVLGTLSPPAGCMSLREAQAKMLWLRDHIIRRRAHVS EDCVNNNGEELSRMALFHLAEFVETRVEAGETWVAMRQDSRAPLGVAICSNQLIHVFV DEGVVALVARASGELSDNKKTARVSTDLLKRECELLRTLLAGEFQDYLPWCPYTYASW FKYAVTRLLAHDDIHNDGTVTLEGGGSAESTNKVKKGGTYAVASEPRLHDSEDIVIHF TRRFRFITGILYPFVESLYVVSVAVAAVAETHVEHLLRRRPLLLACQKWALELCNEKR LVVHIQSCGSVALKSAFTSIMEFIGLKSRTEEKDLVYILPIPPNGLETQLQPVTEQLK KLLRPLIEVKEEEEREARNHMVNVYKKIQMSSKRASRM XP_844467.1 MQGDTKTMGKKKTVSSLNETRERRRLDIGKVRDVWNTFQTAMWH VCLPNELVMCFDPLLRCIFALYYLPPVALVVAITHSALARIVWVLVTPVAQEMEKQPY DASRIVTLALGLYQIIICMLPFTTLSIAVATLGHKALNCFMESMVDSWCTYEQRDYPL LAARLRFMMEDRWRCCTFLVVLFLLGTLVLSGFHTDLLVAWPDREGNVALNVWLIIFI DLTFVVVSAFTCSPPGLRLLNSKKDTADGKDGSFLEYFFDTWWRKRVFYIRYATGYMV IALGVFTTYHDGPLRALSMLLETLMYLLIPHVMVHAVLSISIVAVNFHRSVRWLSHHR AAVPHTIAFILPYEGVLLYSMYYFRHHWMTVCLLVGVSVLLIYRSIDIAREFEVTEAG SVLWKREDDGTVAPPDLAKVLEEAHENKSRHLNTVSLGVSGDMERMRLISDGKEIPLQ RVVIERIGESRSLSKKAVFLAYAFPRVLHAQKPNYLGGKHFRTGRLLLRTITSILLTF FALLVAGVILQAAFPELRKWPVRLRISEGGEILTIDHIVVRMHLLSRNASANPLSPPV TSAMTPAHAAFQWGNTTAFNTDWYASLCAREFHGASVWEVSLLALATYLSTEEEVRQM LHFMNTHMETDWIMRERHGMDCVAVDSSTKPTEWNGYFDFYSAKHDLSVVAIRGTDMT SAIDFLIDFNMFFEVVLYHLLSNFVPGAGILPSHLIADLIGLASLRSDGNFQYGTWES LIAESKADDKNNKLQCVSNNYRRDFFADVYNHIRYIGSRSKRPKHVILTGHSLGGAVA SIVGAKMGIQAVGFGAPGITLARKKFNVDLRSINKHVGNIISSHDIFPMIGGNVGEQH RIECLATTRELCHAMEFLVGALWRSCGSIRSRFPSMGSVL XP_844468.1 MSTTGSWTIERSDGVIVKIDKPNVSFRFGRGKELPPGQQLNDKY ISRNHISFECKSSSLFAKQSGRNPTFYSAAFRQVPRAGLEFRPADANKTSAAQPDGSG NKNEENIVFVPCGNEKTNQVVISSSGNEIELCTLHFPEELRLPKLTVRYTPPRIEKVD HMPRGVPAVPLVFPKDDDDDDDDDNNNNNNSHGSTPPAWRGILDAVLQSNKGSDS XP_844469.1 MPAPPAASGVRRLQKELRDITLDPPPYCNAAPSSESIFTWYFTL DGLPQTPYEGGRYVGELRFPPEYPMKAPKIIMLTPSGRFVINSPICLTITDFHPEEWS PMWGVRTIITGLLSFMVSEESGLGGMTATAESRRALAAESHRYNVERVPVYKELFNSE YQKDLKKLNEEAEALRKNCGDVSEHLGNKTTNAGRAQLRGIVASIVVLVALAVGLLVL RN XP_844470.1 MVRIVPVVFAAISVVLVDSRMQETDKEALSTLKQIDCVVKKLNW MINVTEDLLLRTRVHAEEVYEKRYSAEEQNAAWRELFLRGKPFMKKEKGDKMKEILNE MESVFEGESYLRKKFDLSVSYMEEKAMDVVRTGTRYAATMEEMYRVGSEAVRMLNNSH GFCRNNGIQVTCDGTLTGRDVSDIITEFDSSRDEHVDLRFHNGTAYSTGGRKLADRVD SVSTSHGHIFKHRGIRGNLGRDKVLANRYGLVEDVNVTRVFKSFLPHLKEINDVSSEI EVMLSKLSERASRIDTLSDKLHSLFSSLMASSV XP_844471.1 MGNKKHMLSKLLFACFVYEGDNMISVGGVVIMFSFLVVACANSG PEGDEKGKGKALTQSDANAICSIVRKLRELRNNVTHNMKFGEKIVEDCEKIVAHYSAR VRTKTILVDVLKEVIPDGGKRVNEVAKLLIETEESLLELKKSIKNMNTSLRAMRLPSW NLLNMSDSYAYWIKDMVEVFHHAALGYGNCCLVVDGGATGDCIRGGKRGSVPRDCTVE PPQHSEGGLAEYENPLNTELSLTMGNAGDQGADAVYGCYITTTYQPYTKGKKPFINEL SGGLFYTQNDRLMANFSSIGEEFQELIDLLSASVIKISAGNTSITAQRAVLDRLLLEL EEKVRSIVKMKERTNELTETLGNAKDSPWNDNYSEDNNGVVKFQQTLPWVALLLCVLV PF XP_844472.1 MIRILLVMLFVVWVVLAENMSPEADNDESLTTLNQIDCVVKKLN WMINVTEDLLLRTRVHAEEVYEKRYSAEERYAAWERLFVYVKPFMKKQKGDKMKEILN EMESVFEGESYLRKKFNLNVSYLEEKVMDVVRTGTRYAATMVEMYRVGLEAVCIVNKS HGYCGDNGAYVKCGTTFVGKDISGIVGDFDSDADYMDLSFTGGGFQSHGGNKLADKVN TASTSLGLVLKHRGIRNNLGKDNVQTNKLRSVEKVNASELLKLFVTPLREINDIISEI EATPSKLLEQTSRIDALEKELQSIFSSITISTA XP_844473.1 MGNKKHMLSKLLFACFVYEGDNMISVGGVVIMFSFLVVACANSG PEGDEKGKGKALTQSDANAICSIVRKLRELRNNVTHNMKFGEKIVEDCEKIVAHYSAR VQTKTILVDVLKEVIPEEDEILTEIIKLLSDMKVLKDELRNLVAQMHSSLGYMRLPSW NLLNMSDSYAYWIKDTVESFAHAALSTLSCCLVVDGGATGDCMKNGKRGSVPRDCIVE PLQRSEGSLAEYKNPLDEGLTLTMGNAGNYRNYSEYGCYITTTHQSYTSGRKSLIYEL SGGLFYTQNNNVVANFSSIGEEFQELIDLLSASVIKISAGNTSITAQRAVLDRLLLEL EERVRYILEKKETTNELTETLGIVKHIHWNDKESNESDSVARVQQTLLGVALLLLPFV FGNS XP_844474.1 MIKISQVTVTVVFFVLPYAGRTQVSYREPCFTLKRIDCVVKKLN WMINVTEDLLLRTRVHAEEVYGKKYSLEERFTTWKELYVYVKNFVRKEKGDKMKEILN EMESVFEGESYLRKKFNLNVSYLEEKVMDVVRTGTRYAATMVEMYRVGLEAVCIVNKS HGYCGDNGAYVKCGTTFVGKDISDVVRVLENSSEHIDLSFQNRKTQKVAQSGHGGSKL ADKVNAASTSYGHIIKHRGIRSNLGKSKVITNGNGLVEFVHSPRIFKSFITPLREIND IISEIEATPSKLLEQTSRIDALEKELQSIFSSITISTA XP_844475.1 MGNKKHMLSKLLFACFVYEGDNMISVGGVVIMFSFLVVACANSG PEGDEKGKGKALTQSDANAICSIVRKLRELRNNVTHNMKFGEKIVDEAEDVKSYYGAK VMSKKMLVSVLEKVMAEEKSSFSGMERILEKMGELRDGLGKTITSMNASLRAMRLPSW NLVNISDSYAYWIKDMVDFFHYAAFSPGSCCLVVDGGSMEDCPFDRKRGIVPRDCIVE PPQHSEGSLAEYENPLNTELSLTMGNSGNYGKTPNSYGCYITSTAGGFNTKKSFINEL SGGLFYTQNNNVVANFSSVGKEFQELIDQLNTSISDIFTWNTSITGQRTILHSLLLRF EEEVGDILKRKKRVMDVTKRLGSIEDVSWYDNESYESDGMSKKPNLSEVALLLFVFSV FWK XP_844476.1 MMRMIQVVFAVTLVVLVVFWGVIAETTHGDESLSTLKQIDCVVK KLNWMINVTEDLLLRARVHAEKVYKKKYLLEERYAAWERLFVYVKPFMKKQKGDKMKE ILNEMERIVETESYLQKNFNLNVSYFEKKMMTIVRVGIRYSATMEEMYRVGLEAVRSG KEVPKSCEIYEANVTCERAFSGGNLSIIVAEFGSGTEENIDLRFENGGHWSKGGNKLA DRVHNVSTTFGRVIKHRGIGNSLGKARVLMDERDLVEHVSAAKLLKLFVTHLREVGNL TSEVEATPSKLSERVHKFSILEKKLESLFNSL XP_844477.1 MMPLKRGMVVACLVVVCAYGQAEGDIEGKGKALTQSDADAICNV VKQLRELANNVTRNMKLGEKIVDNGENVATYYSTRVEAKKMLVKVLGKLIPEEGEKLS VMGKLLSDMEEPIQVLKRSITNVNASLGCMSVQMWNLTNMSDSYAYWVKDMVELFAQT AFGSGNCCLVVDGGATGDCIRGGKRGSVPTDCTIYPPQRSEGGLAEYKNPLDEGLTLT MGNAGDEGEYPLCGCFITTTNDTYSRGKKSLIYELSGGLFYTQNNRLMANFTFVGKEF QELIDPLRRVTNNFSAWNTSITRQRAVLDGLLLKLKKEIRHVLEKEKNKAITEALGST KNIPWFGNESGNSDGMGTQPTLRGVSLFLFFLVFF XP_844478.1 MKRRGCAGVLLLVALLWGSIRGSQGQQKGVFNITDYQHNTGEFE ILCKIYRITQAKVPRPEFRNREKEDEIMKRFEVMVSEARANGKETSVSQKAREGIEKL YKRAKAVKGEIDRNRKRAMRESSKAEESMMKAVYGDLVDEAHKTNRPIDDIMETNKSL LFNSNESALESCGSNGNETGKTLVNDFFCVCVGEAKDEANSSSYYYNGHSSPCRTYLR RPEKNKWTGMSNECALAEEKCEVNSSMTLKDAWQVVKRGCVYRHIASNSKLLKAALEE FDKSVNMRQDKFQVEGVFGHVNDTSNETFLCDGHRPGTTCVNYKHTLSHGGIPWHNRL TNATKNMEDMEKYESEANSHIYELEDLQHDAEEMFLEYKLGGNIDIWGKAENLDVDTE GDAHFDLMVSIFVLLVFS XP_844479.1 MKEIIVTFLLTAFGAFHLVCGEDSKLVNEKEFNQMCEILRLAEG EPEKIMEEPANLTSIIDRLQMFVKATYVKDSLYKEAMERRKGTVNNSGAAKMSMHRAN DIIRWRVTHEKLIKLAEKATILVSGIREERRLANVSRKFALERMAQVVYGANAGRLME KEEFEKFAVHTGDTLIGNSAEETCGAGYHPSTEDAMAGRSLVGDFFCLCVGEENNETV CHKSIKGPGRKKLWKNVSYDGSDVAFGPGWFKIKDEACPKNENLKLITPEKIQDAVRE FNKLLGRQRENIIMNMKESEGFRTYVFGRAKKIHTGVFDRSENICTGKNDQMCVNYAY RLLTQKGIEWQLRLLDAEKYLVEMERHNKEAKVLLGRLWKLAENMKSAAAKINLNLEH LMMESEVNEVEEDGAGFSISLTVLAMMALTAFVA XP_844480.1 MFRIPRSAHLSFAAAALQTSKCFCATGSNSDGKADAPKEGEKAT VAGGVSSKSRSNNNTSNTNTSDSNAAVGRAPGLSSEKRYPKGFEAFYSVSLLRRLPFS RPSSESVNRAYAMSSDERMLIAIISAKARKARRVRMLVIFSCLFGVTMAVRFYFLHSR AEGDISGYTTVEVDLAGHRAVFRGQKGEALGVRNFVDYRAFEASCDSNKDILVGIQTY YPWVPMLLLCLLPLLALTHSLFNTSARLASLTAEKSSYSFKRELSVATRLSDVAGLTE AKHEVVEVIDFLKNPSRYQALGAKLPKGVLLDGPPGVGKTLLAKAIAGEAMVPFLSCS GSEFEEVYVGVGAQRARELFKQAHECKPCVVFVDEIDAFGRKRKSDSGGSLRGTLNAF LSEMDGFKDSTGIMVLAATNRADILDNALTRSGRFDRKITLEKPSHKDRVAIAEVHLA PLKLEPSGTIRGFAETVAALTPGCSGADIFNICNEAAIQAAREGKEYVSTRHFHQAVD RVLVGLEKSAVKLSDAERERISFHEAGKVVLHWFQEKTDPVIKTTILPRGQHRSGVTQ KLPQTAFISTQEQLMQGMVAQLGGYVAEEYFFKDVSTSAADDVQHATNRARQVVCTYG MDPENIGHFGYNLDQEDSIQKPFGPLKEDIVDEAVHKLITEALNRARSILKQYLREVR ALAGLLARQETLTAHELWLLLGDRPVMTKEFRTYLES XP_844481.1 MSGGVSPEVAAQAAARREAAAQLGSWRDMLLTLSKEFPPGSGLK PVEETLKYLREAEDKAGVVNALKSLEAGVSHACNVNDTDALEWKSRGNDAYSCKKLEE CILMYTRGMMSASEDDTLAALINNRSAAFMAQGRITEALADAHAAFTLTPSYWKALQR RGSCLQRLGFIEEGTKDMEAASREEASGANGEEVVKKILHAGRSDGCCDGSSGNVVCG NATVVSGSANISYGTGVSGVVAKGPLDPGDIIREVPVAYALYDDHWGVRCCFCLKATR LLYSGAAYRSRGKSARGLFCSEVCAATSWERDGQYETTNAFFHLCPVDALVACRMLRS EQVLRGEELPLRSNFTGELYPAAVIGGYETAVSLLALVLGAADADGADRLRLAQRQVT LSAFELKFHTGTQININTETREALIDESRTIPVGKAVYVTAARFRHSCQPNCFASFVG NPLGCSLQLCIRAIRSVQAGEELTIAYHNMTKYKAVSAHTRRRSLVERCGFLCECSAC RDDKDESVTSEKKAYYIQASDLYQKGCRLIREGQFETAVNVLSQSYALTMEHLCPPPR PPQTMVPKVHMALAKAFNRLRDDGKCVEHLVKKVELDNTLYGTDHVEMADDFIRLAFF EATEEKRRKSAERAVELLRRFYAQSRELDEQTRRIESFVTRSSPCQRAL XP_844482.1 MALHDNAGRNYNEQEEALLKEFPTFHLLPQTNQLHYLFTIIRQR ETGRTDFVFYSERIIRLIVEAALNLIPTIPYDVITPTGSKYQGCMTDGQGIIGISILR AGEAMERVLRETCRGVRIGKILVQRDEKSLEKAPDERFNYSKIPSDVSGRHVLLLDPM IATGGSVIKATEILINEYNVPEENIIFLNLISCPEGLRRYLTAFPHVNVVSAALDPGL DSRKYIVPGLGDFGDRYFGTCE XP_844483.1 MPASASTRTVGDSSKNASTSSVTNAVKDPNVTLSRADVEAAFAF FDLHNHKCLKPATLKERLSAFYPNMTSSEYKFLLDEASGAPFTVDTLWNIIDNFNTTR TAMPPAVAGSLRFDPIREAFRIYDPQGSGSVDVVVLADIMKTIGFGDLSPNELTLLIR PADFDNDGKISLEDFMNFLSNHR XP_844484.1 MNLPFPTHNKEALPFNEDAGPESRSHPSSDSVRIYFSLGEMAAA VSPLHRCFSFPVTRPFVSPCIRCPNCKGCQLHMQFCSGAYGLRHTLAWIVRRHPPAAE ERRTIKLRSQPPSAGGGAACRMVRRVCRFEVAPVVITQHVTHVDYNQTIDTARHGGSR GPFAGMVCPRPQEIRSVYSRIFHEHIRTGGSRLPFRHFQRTAMRSLAGEAQALWEKLT FAPEGSRLDEPPMRTERGDKVPRRVPIGWKCPMFFVSLLPRAAAGNNGITFKLWLPEA LVHDFLTAHIVEVERVRELPHTCRFRCLSTDTMLEVEDAIASVNALLPRWEGELKCPR CYGRRNHWGFICNRHRRQLDPIITLLRVRQSSVRRRVQRRAELSGHVVDGAMKNEVVW MNKRKTRAQVMALMLANRMPTTVCGPFRQRHLPAPPSFKEGCAR XP_844485.1 MLGDDRYPGPPPPYPHDPGLDFCYDSACPPHGQLPWSDQSPSGD REMRDDHISFMRTVKAFREYIKYAMSSREARLASFNKFNNEYREVLCIDLEATFGKYA KCIEANSEFFECICDASKGLFDAYWPNGTTVTPEEVPPPTPLDIDKVFSTLRQFVRDW SAEGAAERDAVYKPMLQTLEEHFPDVSRRSGVQILVPGAGLCRLVVELALRGFSAQGN EFSYHMLIAAHYIQNHVVAPGQHTIFPYVDNTCNLVERDHQFVELNIPDLCASEEVGR RSQQPYSFGELSMVAGDFTEVYAKGSQLKKWNAVVTCFFIDTAHNIVEYIKILYNLLV PGGIWVNCGPLLYHFAGNAEGDSIELSLSEVLTVAMRFGFVVRRDPILIDTTYTNNYR SMKQLLYRCAFFVLQRPPVDTREAA XP_844486.1 MKVAAVGTLPEDLIDAIRGGAVELHWEGDSVVVFHDDINCCSWR CELDAKPDGKELQPVSFRHQRTGEGGSACVPRIGRITRSEVHRVKDLADTTVEENAPA PVQSDGSLNPPIMNVTEVLTKYRPLVVRIVHYLAPLPRESGELMRFFSSEDGKVLKAV LNAFTTMNGRGQYELTAAGYELVDVESYDSKAVKQQVADRALQKIAHNKVLVDRFSLY ADRDVLLAACSKGIMAGLGSSRSKRGRDDVDDNSGSDDDEDKGNGAEPSASGFKSSAQ NAVSIAAKGDVVMFTSMSQSYIRWNDADLTKEPWEMPSAAAEALKQLQDAAKNARASA GKSLPPMSIMSPEQLSTAQERYGILRSEYTKIHDRLKRLEEVSEEVRGWSQTQGDRFT RELNEELRNWFERQEAPRLKLVTAMDAVHEALYRLKRDIEDYVNLRQWGVMM XP_844487.1 MSSNTCINTALLDFCTRLDNGPKASSGESNLPKRSPEEMQWLRE ALASVEQPERKIRRILDAVAGEGLSEDVCLEHLEELSDMVEDTNWAVEFVLGGGHRII LDFMHKRKLAAGSAEIRQAAAMVVAHAAQLNERAQKCFEEIQWQSVLVPMLMKEEDPA VIAALLHACSCLCRDYAPNAQLFARADGVDIIKGFLDVDSIGSRSNDKIVKRVLFFLS YLAEVVTVDVPDLTQRIAAVIDSDDDEVQVVVARALLSLAAKNLSAVRAVLQEEKPGC LSQWQSQLLEDDDCRRQLMRVLSGDELKG XP_844488.1 MAHLDLNKQPMVGVEDLVLLPQLSDKAIMENLKLRHSKDLIYTS IGSVLLSVNPFKNIPNLYSDECVAYFRNGGKGEGSGSYGGPHIFGLAEETYRTMVSDG ENQCVIISGESGAGKTEASKHIMRYISAVSGDTEEMQRVKHIILASNPVLEAFGNAKT VRNDNSSRFGKFFEIFFDQMGGPIGGRLSNFLLEKSRVVSQQKGERNFHVFYQMCCGA KPELREKLRLRDPGNFAYLNQGGVHDRSGIDDVRGWEEMLTSMETLMLTPEKQQAIFE TLSLILHLGELQFGPPSSASAAGGDSNTLVVSNRDELEFCAGLLGADAAAMEKAFTKR RLAMGANEVVDVPLDAQQCRNTRDAMAKTLYHYLFDFVVDSVNTALGKKQYDLMLGVL DIYGFEVFNKNGFEQFCINYVNEKLQQIFIELTLKVEQEEYVREKIPWEEIKYFNNKV VCDLIEGMQPPGLFPIFDDVCATMAKEKESVADIKMLDKLDAVHAGNRHFNRTERGFF VKHYAGDVHYDADGFTNRNKDTLSPDLIGLLRSVTNRFILDILAETLNEAAEAESAAV SGAGGRKKRSTTAGSKIRQQAGHLVKTLMACNPHYLRTIKSNDEKRADFIDEARVQHQ VKYLGLLENLRVRRAGYSYRKHFDKFIKRFKYLSSATFPRPFKGSDRDACAAILKQVG GRLPDGSWQLGQQKLFIRQPQHLSILEELRDAALSDIVYKIQRAWRRYLQNKHGILLK AGMGRMYTKASKVRRADSVFRPYLGEYLDYRTQLAPMHPIVDYDPVGGAWKEYWSDGG KKYYYNYILEQSQWECPREMQQRRILFTATVERVYDHQQALIETDILIVTDMALYLFT ERIKSLPPPAEKGPKKKVTGSAPIPASSTVQFVLQKRIDLRLLSGLSVTKHADTVLVI HTYQPSVPYRTVICTPIKSTRSCECCGCKLTPATKKQNCPGCGRLCCLKNCLPFSRPL PMLLAGGKDVRVCPNCVSGEPYEPVEDIVLLSPMKTEMVAVLRKAYHQLMGNKLHMNI NDSLGYNLFGEEQQRQLTAIPYSSSSDTTITPGGPNTLVVSAPAGITKETIDAIEAAR EQRRQAVAEQRRKEEEEERAREAEREREREEEHKRIVEERRRARAAAAEAAEAERLHQ ENAARERREAEARVVEQRSKR XP_844489.1 MWRRTWRVMRIASASASRTPPTASKPSSSDVGISTSTADCSRDA ATQMSLQGLGVAAYFRNSETASTDEPIAIGHITAQISESVYAVFFKEIALSPVIEVGS RVYVSCRKEAPTPKDSTAPDVLNHSNTSNTSGNEITAPVNLSSPKGEGVEQQPVGGAE GSAGAAVGGGGGGGGGGGTTAPVTAGPFCPYSNGGGTIIGGLVAKVNGNGTFGVLLDD DRFDLAVPREVIMLSEGRSKFISNEKFQEVLEWVKSAGVDRRSDQESTSCILYHRGWR ADKLYLLESADVHCLSHLNKSVRMSVLEKSEWERDQHRHRREEIKERMKEKELRYVLT KYSGVFSACVAVLGVMSVFGWNFKNYTKQQRSYQLAIAANTLSQRTVRHPIKGTVPRE AEEQRVRQILRQQDVTHPRIIVFSGFHGCGKSSLVRSAIRKEKMAAVLVDIRANEDPV RSIVKSLGVQNIEACGDLLDFIVDASERAKKAMNGVSPLFVVKLCEGSSLMRVYNELV ALACDRRLCHVVIEVPIESLTLAMTALPRLDFHLIPNFSVGEAFRYTNHMIDPLEFLN FVEVAGTNSNDIDELFATVQHGRMSASAYTNQKLVKAMRQLEAAWSKDPSLREAVINL AKFPFEAGQREGYDYSSLRSEALRDIVMYNPVSDVWMFHQKVFHTAARCWQ XP_844490.1 MDIREIIDLVNGYQSTGETSADESLLQAVQRLKSALVDIPQLGS NTQEALKALVRAAVVWPSEWNDILQLLHDALADAALSCAHRTLLLELLPGLLEGDSDV KAHGESVCDVLLQWLLATVRKQGESAGDSKHQEDWRAALKALLVLPKECYSKIVQFCA SQLPQSLPLCKAILTNSSASDLLKRAFEEWLVLSGNASQHADCARDIERLLRENFSGF GSLLCEKACSTLLSSGSLSSMSSLRTLQGALQVVHAFSMLHREIVRTHVPNSFVVSTT VGLLDIVGAENKDDKQQDAKGPWPCIKMTQEESTLVVSILRSLVKLSGIVTAVPGCVS ISEVRKLCAPLLAIRNEAAALDCHLPLVECVFNLTLRILSCVCAGADEMRELLQELVD VSNVMLPVLSDSHNQIESFGPFCEKKSRRDNHSGPGGKEKDYDFWNMSDEQQQTMLSA LRVLRAVSAMCRVCRAQNRSKPEDKSDEEHWKAVASDIASAARTLAPSWKIEVVEQPR PTVTSNPRLTEGTTVGRSAPTSRHESRNPYSQSHSSPSNHQYYSSNHSSYSPTPRHHS PSHHPHHHPPSHTHHGVPRSSRYSDYEGAPHRLSKRPRR XP_844491.1 MVSEAGRANGSRFKPVLLEVAGALVDNRCADVTRRLRQYRNRYA PILRLVTTLIAPVMEESQLFGDDDSCVESAAVADDGRLKEGVTEMAGATAVVGGDGAL RGRNGGVKRGRGEPLITAPRCAVVAEKRIIVVHRLHDKEVPDAVEKLERFMSYHFLPL ALLHNCQPNISPDDVPFVLRHYVHEATRTASFLVADLSKRAAAIIDPQVDVSCYEEDL AFLQVQLVGIVLTHCFVDIAMGHAALLEHHPTAKLLSGTPFVSTGYGCSDGLSLHLSS RLHLRCISVPSFSPECLVVELHLDAQLLALFTGTVMGTDAVPRYEFFGDFPLPLEPQG DLQHTSAMPAPEVARRFLRERVWDAYFFPDPAAGHVQPWDHVVVFPSHGGYSNVTHQL DLYWAVHIGDMKRMKHSRTVMDKILDPEKYAQHMLDRPRLPKPLLVSHVREWNLLSVP SAFGGSGEGLLRRRLLPPPACALTSPCPGPVVVDIRSAADHVTMHLKGSVNVPMTFPA AAYGVKKAELWLQCMLKPLQPVVVVCANERQCHLARQRLELISPGASVETFTLQELCP PCGVHAVDGQEKEVFNRVDVTTSLPATVDSRHLPRHLTWVFDSTASAQSRLDCYQKLQ FIEPAEDTLVLDCRTPYEFRNGSHTRSVFLSLGDLCHITALDLVAQQLTPEADVHCGG WPSPELGRIILDRMYEAALASGLKCRPGCRGIRKIVVYCATGYRSLIAASLMRRAFEA ASLDIVVYDVAGGALQVMQQRPDLWTVKDRSIICIS XP_844492.1 MLAPKGGNDGSVVPFTAAEGSNSNTNFSTARDGQCTPVPPQQRL NSWALPRAPETPAKQRRTRHEERGGQTITYLSGGASHKRGAAPDRRCNLASRKRPSSE LSQFSALRLDDPSDTVPFPPPECSTSEATRSCLRPHLGPLATGGMSLMNSAPNSPQRD WMARVGSSFPFMPYSPDQPEYSQAESEFSNIFNRRILTDYKEVKELGFGSFGRVTLYE ETGTGALVAVKTSPPNASREQRQRFARERAVMSITKGFPHVVQLLDSWEEGHAPQVFL QLEYCSGGSVAERAEQRRQRGEVWDERELFVFFGHMALALDALHSANIVHVDFKPDNV LIDEAGDYKLSDFGCSVFVDDDGFPRKTFAAAGAKTGNLFSAPSSTAGVNNNSMADAG PLSFPTQLSVVSVEEGDCRYLCMDMLNQKRYLKEGDMFSFGISLFELMSGEPLPHHGA GFLELRQHPPLHLLERRGYSKRLTAFVAELMHAEPTSRPTARDALCFFQLPPHVPQVM SQWVVGDTPPLDSQVGEDETNLLLDVRYTHAALEVAARILDKARRLLRRGSIASSQST GVAAGRRVFAEVDGMCTPKDPATDQNSQGDRGDSAKF XP_844493.1 MGQDQSMAFANDTISGEQYRAHQVSRQDIIRRAFQKCVVPLNGG AGDKNGLGLDSGERACVEEFALLYSAYGKNGFAQFSQLYEQYQRDMFEKARVEMMTQQ ARKELSR XP_844494.1 MHAHAAAFPAYTTNVAVSAPHFPTQAEMVDRACAQVLLGLRQTQ QGVGKEWKNVQPCVMLASQLLLQQAQLHGERLCALESKMDEVQRAVEVLVNDSHLKEE RYNAERTGTGVALEGVRRNMQQLKEAVEMQRCDTQKFHQEVLFPGMNDLQRQIDNVVA RSLARSVLGAAQSLSPTASPGPGDSIPAETDNSVREMVREVKLLRNQWLKLLQRPLPP VRSTSGCHRCRRSGSAAEATTDRRGKGQASKRFLLDCSVARWRWRGANISSCGSSPDG PIPWGSLCVRRTATGEWVRLAASPDSRAAFAELSGGRAELPFVWRAERHPGVIELTRS GICRIVVCMIASNAAAASGRGCGHHSAATGTPSAVLRVNSSSVVTFYSSGSTCYTLRP VRGGSRSRLCGEGIAFATWTFSDYLLLPAGASVAVCTRGSTGACSVHEVLLELEFVSD XP_844495.1 MLRRLGANVSNMARPMNKYAVTVSPRRHLEPMSTWYLASWAMVW YYAFFFWMPMVWTDIMVPSFVYNKLPVIHFLQEKRAEQKLRRVLDETYTEWTEELDQA HVTDAITRSLNI XP_844496.1 MRRLQKELRDITVDPPPYCNAAPSSESIFTWYFTLDGPPQTPYE GGRYLGELRFPPEYPMEPPKIIMLTPSGRFVINSPICLTITDFHPEEWSPMWGVRTII TGLLSFMVSEESGLGGITETAVSRRALAAKSHRYNVERVPVYKELFNSEYQKDLKKLN KKNKDTS XP_844497.1 MSLRDDILALTATLSRLSRARDTATASDCTETRVDDTISAVSVA AEDDETRDNTAPSLMCMPVPRSGGRKMEEDTFTILPNSRDTTALPRGEATADRCCASN DNARSKRLSTPSGQEVAVSQRPPLAPTEGVTWNHSHGRSSIADDETNSCIDPVSSESC GVSTEPSGAEPSPCQVEEAYKRIARRLLSEIQRLDLCIATVSARHVDERKEFLHTQRE LKERLVERDALIGTLQHQLKLAESSESTVRCRCCFGRANCAPVQSRATATVSTERFTS RSRSDSGVPTTAAPICHQGLDIATNTQGTDEAKGPRGRSNQHHPATEEVDTYATRASS LAGLACHENVTAVIPKTAIAAGTSEGPVPVTTSGCARARASSLSRSEGRDGSDDPAVR GTVTNSVTVPTDRAPSPHASFPTDCCPRVSQASYRVGVAAAVGGRAKGLRRTPKTTPL RRRRQHVLECSGDTAWRGSLHCRTCREDVLPTAPEVQQGTLTGSSANPLPSSFPSPFV STATTGARFNHGVPNRAFSQSPSHRKKRRSSNRVRCPVAASGDELLRGLIAKSAFLEA GLRGVMERLDEQQGELHDVTCLLHKLVLSSMHGSA XP_844498.1 MIFFGVLSLLLTLCAFILAGCACFSPIFGRATGYKTIYLFEVGQ ASSTGKDSCFRNTVYFNLVRGSVVTSSVIGFIAFIVVILTILRGRMAFLMCLSPCFSF IAFLLMALCTAIMSVEYFVGFCSDDGGSGKKPLKGVFKLCCGFYLLVTSCAVYLGSLI AQFFALLSWAAFLNEMCMYLEEL XP_844499.1 MSERDEDEVDRLSLSIGDEHESVAQSDDERSDAGAGVVVSGPAP VRGSAKRRDDRDRVTAPVLTKYERARILGTRALQISMNAPVLVALEGETDPLTIAQKE LREGVIPLIIRRVLPDNTYEDWRICELDVDFDRPVDERYTNI XP_844500.1 MCCGWLTTLVTLFAVASAVCALVFPVFRMKNETKEAIQTLWYYM EKVDDVVKQTKVHESPCKEYSVYFQVIEAGVLISVLTGFAALVFIILSNCKIPLCCLR IISTPLSIISFLVSSVSAVLITVGYGKGFCQWDDQLREDFAPFKERDFSVDAGFYLIV VTAVLFLVSSVAGCCL XP_844501.1 MSERDEDEVDRLSLSIGDEHESVAQSDDERSDAGAGVVVSGPAP VRGSAKRRDDRDRVTAPVLTKYERARILGTRALQISMNAPVLVALEGETDPLTIAQKE LREGVIPLIIRRVLPDNTYEDWRICELDVDFDRPVDERYTNI XP_844502.1 MLCCGCLTILMTLLGASTSVCTIIFPVFRMKNETKEAIQTLWYY MEKTASTESKTSVRESECYEYSVYFQVSMGAVAAAAAVGLISLLFLCGVVACGKKMKH LKAVSLSLVFFSLLGAITCIGLVTLGYLRGYCQGDPKLRDKYAPFKERGFKIDVGSYL LCAAVALYLLTTITHCCL XP_844503.1 MVHVDTSTEAYRHMAKELTFLSTEEFSRRLHRFSRTNFDPSQTA AVHAHVSELLSRRLAEVSYKCVREYGQLAHVAGLHELCLAIYYGRANLVCSSSMAEAN SVAGSFAVSDSVVDSAYSLGSTSDLVRLGAHCMKHLQHEPSLGWEVMSSFSLARTFWR CVCIVAHLEKARSVDAKQALQDAAYIFKEYIAVLYPEASRELSVQDAIYTVRRFVQYA SCGDEGEMLFFRFCLRRGFLRRGCGTTNLTEEGGSNLSITTSDTGSGEVSYGGSANGA TRVDHGVISEEQWFYATLIATCRAGQHVHEALHYFDEVGSRLGVESVGCDVLLGHRSR AMRSGTAADGQDFRAVGECEKIMEGVSDYLFFQLLTVLHAAKDNRKIVFTARAMLRCG EKLSISVWSIVLIAAGEMRAADVALGAFVQAKDALSDATRVEDRRGNEYLLQTSLLAL SKCQVPRFEEEYLRPCRELLLTHSNEEVYFCALLQHAHNSTDPGGGAGEVSRAVSEKG IPFSSRIVSRLMKVYLRTESPKLLELYRYATRSCNTFKASWLDELLLWADRRRYDLTP EERKYILDEVYRVYGDNAMESELSGLRTQLALLRYDYEHKPRETFLATLQPPPTEPTV LDSRAHFLVKKPYCVHQRRPKNGKDLNEERSGDRIVVANGDQSYGGHSIIQGQNFSGS DGNMRPEEFRVYMEKILEVLQRTNNWVS XP_844504.1 MHSRGVYNRGEPYNKHGRANYHQPRPSDTSAGAIVAIAPASGGS GAVVSQVAGSDRGGPRRLTFNNFRTFSARNKKTPLDANTSAAPNTKLWQLSEQVSFYC NKCRRDNIISDSLAIDAVHQLMLCTRCFTRIIRPRTYRPSRVVPFPSLLSWLNYTPSR VMEMSEDIASRPAEAVAPSADRVAVPTIPGGSRPTHIQQLPATAMNTVSNAGNVGGAL LARGMTAASQQQQSLESSKHPCHRVWGSCLHGETCLFRGAPYDLCICFLMGLCNGDEA TCNLLHQRVFALPDAEAPMPLQRCEGDLEDPGSAWGKWVGKKKNSANSAEWQLWNNGP ILELINRHAPATPVAVAEEKGGDNVKPRIKLHFADISAALKGLQK XP_844505.1 MVLPSRRSLNRSSRKGFKKHRPDIIVIDLKDHVLGRAAAIVAKQ LLLGKKITVVRCEKLTIAGSEIRNKIKYLQFLRKRKLSNPKLGPFHHRSPSDVFIRTV RSMLPRYTKRGQRALRQLVAYEGVPVNVVRTGGRVVIPKAQRHNCYRNERRFTVLGNM CKHVGWKYSDVVEKLEAARIEKSGRHHKKMEKVRVAWKNARKEALKKMPQKNVEVLKK FGLA XP_844506.1 MSTIVRKRGRTNSSEAQSGRWSHGARPDPSNRDRETIQRLVESI LKDWQSAQELLDGQIISTILRLVRPILMAQPMLVRLQAPINVCGDIHGQIGDLVEIFR AGGMPPATNYLFLGDYVDRGKHGTEVIVVLLGLKILYPDGMCVLRGNHESDSICRIYG FFDEVKRRFSVKLFKEFTDVFNCLPIAALIDDIALCMHGGLSPDLRNLRQIERIERPL IVPDTGLACDLLWADPEEAGSGWMESERGVSYTFGEDIVKETCERLDIDVVLRAHQVV DKGYQFFAGRQLVTIFSASNYCGEFTNSGAMMIMDENCKCRFEIFRPQYD XP_844507.1 MCDHMYSPVFIPFAFFSIVKCHNKCSFVCNRSGKDMSIKDVNVK SGKLEEKLKGKLFLGGVKPSEEDVKAFNDLLGGDNTNVFRWVKNIASFTEAERTAWGA PVKITPPVAAPVAAPAAAPAAAPAATPARKAAEADDDDIDLFGETTEEELAALEAKKK KDAAAKSTKKVIIAKSSILFDIKPWDDTVDLQKLATELHAIKRDGLLWGDHKLVPIAF GVKKLQQLVVIEDDKVSGDDLEEMIMSFGDAVQSMDIVAWNKI XP_844508.1 MRRMDPDAGGGDHDLLQCVLRLPLPNPEVASIMLQVLRVEPPVP GTSREVQLWADDSARSTVFARLCATQERALRAAVAALLEQTKLVLRTLDAFPVDAPAN V XP_844509.1 MCDHMYSPVFIPFAFFSIVKCHNKCSFVCNRSGKDMSIKDVNVK SGKLEEKLKGKLFLGGVKPSEEDVKAFNDLLGGDNTNVFRWVKNIASFTEAERTAWGA PVKITPPVAAPVAAPAAAPAAAPAATPAKKAAEADDDDIDLFGETTEEELAALEAKKK KDAAAKSTKKVIIAKSSILFDIKPWDDTVDLQKLATELHAIKRDGLLWGDHKLVPIAF GVKKLQQLVVIEDDKVSGDDLEEMIMSFGDAVQSMDIVAWNKI XP_844510.1 MRRMDPDAGGGDHDLLQCVLRLPLPNPEVASIMLQVLRVEPPVP GTSREVQLWADDSARSTVFARLCATQERALRAAVAALLEQTKLVLRTLDAFPVDAPAN V XP_844511.1 MAQPMLVRLQAPINVCGDIHGQIGDLVEIFRAGGMPPATNYLFL GDYVDRGKHGTEVIVVLLGLKILYPDGMCVLRGNHESDSICRIYGFFDEVKRRFSVKL FKEFTDVFNCLPIAALIDDIALCMHGGLSPDLRNLRQIERIERPLIVPDTGLACDLLW ADPEEAGSGWMESERGVSYTFGEDIVKETCERLDIDVVLRARFQYFGSC XP_844512.1 MTLVQTLLERMLSAKGSATQQQILIREEDIRTILNTVRDVFMSQ PMLLEITPPVRICGDIHGQYYDLLRVFEKCGFPPYSNYLFLGDYVDRGRHSVETITLL FCYKIVYPENFFLLRGNHECASINKMYGFFDDVKRRYNIKLFKAFTDVFNTMPVCCVV SEKIICMHGGLSPDMTSLASVNEIERPLDVPDKGILCDLLWADPEDEVKGFLESDRGV SYLFGEDIVTDFLDMVDMDLVVRAHQVMERGYGFFANRQLVTIFSAPNYCGEFDNDAA VMNVDEKLQCSFLIIPAR XP_844513.1 MTLVQTLLERMLSAKGNTAQRQILIREEDIRTILNAVRDVFMSQ PVVLDITPPVRICGDIHGQYYDLLRVFEKCGFPPYSNYLFLGDYVDRGRHSVETITLL FCYKIVYPENFFLLRGNHECASINKMYGFFDDVKRRYNAKLFKAFTDVFNTMPVCCVV GEKIICMHGGLSPDMTSLACVSEIERPLDVPDKGILCDLLWADPEEEVKGFLESDRGV SYLFGEDIVTDFLDMVDMDLVVRAHQVMERGYGFFANRQLVTIFSAPNYCGEFDNDAA VMNVDEKLQCSFAIIAAR XP_844514.1 MTLVQTLLERMLSAKGNTAQRQILIREEDIRTILNAVRDVFMSQ PVVLDITPPVRICGDIHGQYYDLLRVFEKCGFPPYSNYLFLGDYVDRGRHSVETITLL FCYKIVYPENFFLLRGNHECASINKMYGFFDDVKRRYNIKLFKAFTDVFNTMPVCCVV GEKIICMHGGLSPDMTSLAAVNEIERPLDVPDKGILCDLLWADPEEEVKGFLESDRGV SYLFGEDIVTDFLDMVDMDLVVRAHQVMERGYGFFANRQLVTIFSAPNYCGEFDNDAA VMNVDEKLQCSFAIIAAR XP_844515.1 MSVLVKGWGRGTCKINHIRDDDISSSESEGDDANVAVPLEELNV GTQHMITEEMRFRLRRKEKLSVQDDELGRLREAMRRQVFASGLLQPGQSAGVAPRRAE IIFSCAVEIQSSGLMEEAPTASPRVGDTSAWLKQLRKFILDRVPFQRSVRVMVPRTWL ARAQETDVV XP_844516.1 MRCFGACGRVFFSVSTLHAPSLRRCRSISTGSGANLQKPSWIAQ LCSDAQKSEKTISCDVKVYDELSWRRRRFSFDKELWDPARMSSIVRRRLCLGNEEAEY TVIGEAFAFADREDSPVVNEPQHSCKLLWDHNSSVEKLLIQISDHFPPLLWYAVKPTL ESLKRIFSEFLDVDAEHMKKNLPYYESVQNAMETSTQERLGVTLSPTSVKDRFVADMR RRDPKDLELDYPCEYSVFLGTSPHFRLVEDRMMKSNPFVFGWPLLLSDGNEHLDGTPL RMAAFRTVYSKSLLMFHTRLDLQVDHRLASSEAGEETLLDVPIFCNINYPKNTRLCGG KPLVQRFNTVMGTTYPLDTPVDILAALAKEQCVKGPNELLEELRFLTAAAERAPDEER VFRISDDQLSANRIVGQLAYTIIYVALVNYDRFIKDVFDVYKTHRSDMVRVACARGAS IVGRPDLIEQLISAEREGPCKNMLRGVVTTP XP_844517.1 MEKEFTKALQSCDKCSTFHDLCDCFDATVRPLVENCLCSALLKP VRDHVSPNECNPQVSQLRDVLCNIRGGSDDVWFFLYRTAGSLLSRFTKKRMRNDKCNV STLAVNVFVLFYGRALPDLSKLCLRWDFLRDEKKNYESDEAYVHSNAAERRKLMMSSV LSVFSERAHRHYFTALWMPCLQHAAEAALHVHILHRMGDVILPYLTNPLVVADYLSGC FASGGLIAVLALHGIFILMLDHGLEYPNYYQQLYTLLTPDSFASRHRYDLFRLLDLSL TSLRVPAYIAAAFAKKVARVATLSPAPVLYFVLPFIRKVLQRHQNCLALIHRSTKEAF VPANDDHEVAHSDAGDRAREAGRLAATLFDGNDPFVPDAPLGESHALHSTLWELTALE RHFIPTVPLMVSVFSSPAEDQAPLRYEKTYARLFTSEVTRPISKSQLPTVAYREPESE DGALLFV XP_844518.1 MFTPSTRFLCSARPLLWFGRRGVFAAPHPEKAKTGGEDAFVVHT SGIGVADGVGGYASYGVDPGVYTRNVMKHTLRALQEDDNRGTIGALQALTYGYTEAQK LKQPGGCPVTLVTLLDGRFASVLNLGDCGTICLRSSKLFFATEPQQHSFNCPYQLPED PPSVGDRTTLEVSEGDVFLCASDGLLDNVDMSDILRHLDAVNRDGCQRVAENLVACAC RNGANKGFDSPFAKQARAVGYHYMGGKQDDVTVVVAQLTRGTVAPDDCPSLITELLDV HKT XP_844519.1 MLRRTVTSLRNARELHRLLPALLPLLDPPVPTGASVCAAHLIVA TGHVSKQREPHMSNCPVLERKIPLSEIASDDNFLKHPCVPCLKHRINFLASEDFCYDF VERCGCGTDGALPPEWRCIVERQFGSMAALVEELVIHASNRREAGWTWLVYDAQQAGE RPLLVVNMPAQRSPLLLGLWPLAVVNVTEAVLVEAVRAESSGSVAAAPPPPWCRAARA SLYDTKAAAEAFAMTAPMSEGVGKKLSEIREKVARDAVSRMNWGFILQQLKKAETYYA SSDRGEKIRVHRMKLEREAVTKAMSGLRDSGATFLTHDTVEVTTTPSANSEPSSPERV PGVQAKQPQTEGMDPCENKASAEPDPKCTQLPDGTWQYSYRNGDVTLLKPDGTRVFRK RELTTTAYPDGSMLFQYANNTSILDRADGVRVTTFEDGTTKEEMIRR XP_844520.1 MRRSLTLWISKLWRPHAEHIQSLIARSVSEPRELQQARTYLHEL KFEQSIEEVDDATDLLYFMHRVSYPAESHLEMKVGEFLSKCAPQVCGQHILAIGKRIQ EEPGSGPLWSRVVFERGWFTSSVVAMLSTMTLEEHLTLCASYWHSLLPTPQSRCGRQL VSGSFVAVSTRLAALTAQRIEGCGDNRPCAVCLCAKLGIFLRGDTFAELRAVTLSITR EMLSAAQVLEVANVLATRRSAETANSEWLVALQEALCRRRTGEVEAAHAVGLLHSMAV LNETTLSEMFEDLLLQLLPGMSQDEILKCCESLSTLSGTAPRIRTELHRTLCTMAVAG AKGKNFVDKAVPWRLRCLSMVAPQEAGKLLGRFEENIQDAEASLSPSDTRDLIRAMRS LRVFPPRAVTLCKASYLANPLQSFNQQDAVYMMYAGARTGESATSAFFKEVVNRFTTV RKFDRRKSGPHQTSVLTAELLEMVLQALQIAKGRSLLDDARVYAVLRRTLEQLQREGS LSTEANIRLLRLLAGLNVGNASLPTVLLTNVSRALTSLTSADVRELCEVLVALKSRDV LMFRALLEHLGRCGPDRTSLTAIAVAARKLKFVTYFQQSGLLQNLTSLEGWSVSDIVL IAATCSENRRAGFLALPGADVLAQAQAEDLTTLDLFLIISIVADARKQLLSMASALSK RQPLGANDLEAEDALRAIVNVVDDKDALAAVCRSATSALQAMDENMLMRTLEAVRRAP ELPNVFFRVVGRCVLRLANSMTADNAIQWLELYVKHSIRDDSVGKALLSKARTRSSNC ADLTDKSIRKAAAMYGKSYALHCKLKKNKEKPEWRSFEVG XP_844521.1 MRVNRTQPTCLSLLFQVLLYCTCSWCVFWFVTTLSLLIFKGATL YFPPTALFMEIISVFLLLVLGISTLALGKRGNLLEEVGSTSLTVFLLLVGIGGAVYYM WLQTYVMMLDFIVSLVMLVLDTLTALCGACTAFGLFRSRRSKWNGILLVGKAPPIAVV VDIKHGKGD XP_844522.1 MPVKNPFAVPLFSLWRGPLELNQLLCTTVHHLSAQFCCHCHYIP ETMPSRRVTKNGTRGSHQVHMNKVKFDPYRYKLDDEKVPASVLARMTSLCCRRCCDIL QWKVDYGKYAQLQRRKKCNHCGENSISHAYHHICQTCAATLRCCAKCQKPPGTPYEAT TMESSGEDTEDCDSEGDPHGDASVPRQGGGTGAMPVKRSSCSNFLFVDREDSDEDLKP LRGLDIRQLKASKQANVRQQERERLGRLRERERRTILRRSAKGPGCDDEDDDSIDEE XP_844523.1 MFTGRWVYTKGCTVADLVTDESTQKPIMNETDGMTASCGCVLFL KSDVVGAMESDGPQSGKEYDEQDLLFSVVSWARGAGNTHDEYLTTNGAEAPSHFPITS LGPWTVIEQCAGDPCSFGVVAGFVLRRPAGGDSDVVLWEWGELASCSTLSQLSLYLDN PTSMGGKCLT XP_844524.1 MALLNVISENPLTLQPGQVIAFDHLANGEHWQWALGTVVSSDKH VVVVEQWAVNEGSCETLKHNISSEIQKEMKRMGVFQEQLSSARDKLAAIRSENEDRVS AARAVFEDAKARVASVDEVHMREVTSQACPSPVAVEVLKSVLALAQNDPTVTNCSTWD DIRMEYRRPNAIADFISADITGKTYPNAEEICSSLNEQRLSSLAASRDSEAISSLHHW VLSALAYQEAYCRLTTDTRVQEQNDAIANCIAGMKGCRLKVMKLKEELERGGTPTFGG QLTSFTKTSVQLKAPLSSVISIVGVDPSAQDCVLTDDEVGLILDKAEQTRLQINDHFS HLSNSYMEAMAELHCLSMYTSELEERRLNLQERFVFSLFTNAGKTNAPRRERIETDVG LRSVEAPRGDSANNIKDLQEIIKELSSHDERWMYRNEPTVTTKHRKSYPGREWSKVVE RKPEELLSTFRTEQAAACHVPEDAIRNIEFTATSEKLQVSFDVQHPVKQTAAEINKRL QEFPSRGMDRMLCDVDQPKKGLDRAIVEVCRAFDLREHAFRGMTFDKFIEEVAMKGRV GDKDAYESEIGDLLMLLDKIHNENRSLQYTLEKSAEEFRRQTASTMREQESLRQRNGE LHAEIGRLRDLVEKLRDLADNQASELELLKLQKTQANQIRAQRNLSTFRGDDTAEPVY CVTLDELREQTEHCDQVERELERQREQCQNLLNAQDDLLAELSGVSEEKEKLEAECER LEAELRQMEEKSRLSEQGLSEMTQRLEEKQAEIEGLLENLEQLDEQLEALRAAEKSAQ AHIEARDREISDLQQRLEGEIDDHIKTTALLEELRKHYNNLEELFDKQEAELMAYREK RQNAHKVRSLEPTLRPIGTQTKPFQEMVSADEISSEPLLSVTLDEYNDHMHRSNQFQQ ENDLLRQQLQQANDERENLHDRLEQLMAENQSLSEQLHNMHEELEREERDRSGVTLQN ERLAEEIQRKTAENEQLVLENNKSRSDIRNLNVQVQRLMEELELKAAENEKLAEELEL KAAENEKLAEELELKVAENEKLAEELELKVAENEKLAEELELKAAENEKLAEELELKA AENEKLAEELELKAAENEKLAEELELKAAENEKLAEELELKAAENEKLAEELELKAAE NEKLAEELELKAAENEKLAEELELKVAENEKLAEELELKAAENEKLAEELELKVAENE KLAEELELKAAENEKLAEELELKAAENEKLAEELELKAAENEKLAEELELKAAENEKL AEELELKVAENEKLAEELELKAAENEKLAEELELKVAENEKLAEELELKAAENEKLAE ELELKVAENEKLAEELELKAAENEKLAEELELKVAENEKLAEELELKAAENEKLAEEL ELKAAENEKLAEELELKAAENEKLAEELELKAAENEKLAEELELKAAENEKLAEELEL KVAENEKLAEELELKAAENEKLAEELELKVAENEKLAEELELKAAENEKLAEELELKA AENEKLAEELELKAAENEKLAEELELKVAENKRLAEEVTQRLSEKELLAEDTSARLLE ADSANSALQCKVKHLEEKLTLLSSEKETALATLEAEIVDLLTQLKGLNGTNSALESLC ASKEKELVFLREHCELWTDPTTKKEKVITRHVKVFDGNEWMKLITDRPEALMSAFVID AGNACHVPGDQIHEVSFLNNKEKH XP_844525.1 MCNIRSSFLCALSPFLLSTTILPAISVGNKLEQLGGPIMFSFTP RVGLSFDISGRRGADNSGLCRPHRLRLATVVMLLLFMAPPALSEDVMTVRVYSLLYNP LIPDNLCDAINAGLNASLASRKWTVAPDVKVELISPTSYKIKPVEVLQVALERHKGEF FVVIGPVGDGQALASLPLLERENLVGFAPSTGSNAMRGWNPHVYFLSASPNAELLTLV RYAVSQLRLLRIGFMYLRGISFGDTEYKMTTSLMSSVGRELCGVFTVDGLMRGRVNDD DFNTAWEQFAETRPQGVIAFAPPAKDLIKFVKRLLSDSRTHDAYLLSSSSLEFALDTW REALEADGAEFFLGQLMLTRTGPLARDTHYQAIRRFQDHMRSYLSANPGVTVFNGTDN FDHDDVDGELMVYGWIAGEVLSQALSSREWLTSRKAFMESLYNQRRYVIDDLVIGDFG GDCKGGAGERGAACNCNQGGNVLYINVVGNDERFHTVQGGTTVFEPSRCLAESVRLYS PLNTLMFLMLDRELAQVSSEALYYGANVLTGNGRFGQSDRLFISMIPSPSNATYLALQ SELDTRSVTAVFGVVDDAMLSIAEVAFVDPVMLTPRLHHRGRNVIQLSPTLEQQLFVV VGYVTNTSASAPMSAIVRGADATIIEVALRKIVWMHGGTLQTVAVLDDNATLVGRLPN RGNAFVIGLAPGDPSLLAAHLDRNPDVRVLIPFFDVALMYDELVSAFNGNPNAERVQF ATSLPHWADANTSSEIVREFHTALPDSSAWKPLPLLGYAAARFAQAVLPRMEYVTPKT LLDTIYMQSIITADEMRYGPFEEEEEKECFTANDPVPEQGEVCVVNYGATRISMWSLA RALNASVPPLTSPVTPLIRYADPNAIKLSSAQLAGVIVGSLVALALFAAPLVVVLYVL RRGARDNDSAPKEPMEPVTLIFTDIESSTAQWAAHPELMPDAVSTHHRLIRSLIVQYG CYEVKTVGDSFMIACKKPFAAAQLASDLQRCFLRHEWGTTAFDDSYREFERQRADDDN EYKAPSARLDPEVYRQLWNGLRVRVGVHTGICDIRRDEVTKGYDYYGRTSNMAARTES VANGGQVLLSRAAYLSLSNSERGQLDVTALGSMSLRGVPKPVEMYQLNAVVGRSFAAL RLDREVVEDGDLSSTSFSDTGSLRGVLSGTSQMIDSCLHAVLGTLGPSQRQKLLMPLC ERWQVSLPPSSKATWNEEYCEGVIRRIAVKVGRVVDHCAASGSERSASTLRSASLIII SNHGLDGEARTT XP_844526.1 MVRNMGGNAPNAARKPTPAVPQYVVFRYPTQNIPSFEPLRPMHP KLHDIMTQRRGITSPHVPAPVTGFVSRNDYGGGTLQFRTTTAPPHAPVTRMEGINEKY WDKCKRSYGTKLNFAPRVAKKNLHTQHPSQGMVKWVAGTPVMGESPANYPSAHFHQSY VKRNFPQTFNSTTVMGMCPQKRMRADGASEPQKNYPPQYKYDEWSERERGEGSNGNSD NNNNNINIINNNNNNSNNSDDDPLLLLHGINELGQVQKFRCRKSYLLELAEAVRIADR LRCEIPNAINEPYREQQPDTSSRSESADNNDEVQDEEMHSEHLNAQSREQMDGEETSS ISSTSSSSTSNSISDSPVKDGMHFRDMFRKRAHTLGYEISDNVAVEVAPPYGMEAAGE KRNEIQSEG XP_844527.1 MSYTTESAFYRKYHLTDRTPIGKGTFALVYKCVNRITKESYAVK IVDKNMTLPNDIQSVSLEVHIMKQIGNHPHVARLVDYFETRESIYIVMDLLSGGMVFD RIAELSHYDEKIAADLVRNVLSGLVHIHSRGIIHRDLKPENLLLRPQRDSDDTSWLSD VCISDFGLASTGPGKACCGTAKYIAPEVVKVGYYGTVKGTYDNKCDVWSLGVITFVLL SGKMPFYGATHREIFRRIVDGKWSFGGPIWNTISLMGKSFIRSCLTRDPAKRPSAREL LNHPWITDDQPDKHLGESIEEIRSLTAITKLRGATAVIRTSHEMLGGIDSCASFTKHL RHKDKLSTIIEVVSQTDPTVVHLVDFGKVLASRGGHKLQDCCSCSSQTVCRHIQNVHE YLFVGNRRRKVYPFLYSLRAMQSNAELDVKLSLGKDDRAGKVLSAVEKIIEAAFAFST ALEAVPQERLKGNVPIDCEWRQVSERTKALVSGCK XP_844528.1 MFRNRFFIRLQSNKGVGHHKFPRRATHHAVSSAKLGKERFDLME SLRRDREEAERNRMLPWRSRLKKLQEYPWKFFIAFMVFWSWLGTYAVPYLKNMRPGEL PTVWEGRPIPKELKERATPMPHFRRLSKGIDES XP_844529.1 MVPVKVKWGRETFELTVDLRSTVKCFKEQLQQLTSVPVERQKIM GVKASQCNDNEVTLEAAGVAAGKTLMLIGTAAEVVRATPIVATGNKDSAVQAPASHPA EKQAVGLQNIANTCYMNAAVQMLRLVPEVRDVLNFNANDPIVSELLKLYNTMDTKRDP VVPASLWSILLAHFPTFAEVNEKGHPMQHDSQEALNALLQRINSCLPESHKRLFTGNL SQKMVCKDDPDDQPVLHDVPFLMLSCNIDAEIETLEAGLEAAFNETVKLHSEKLAREA LHTRTSRIAAMPEYLFIHMVRFSWRSDIQSKAKILKPVTFPMTLDLHALCAEEYKPEL EVERKRVLKRRDIEMERRRAAKNKTKLDDDEAAPAEDASNTAEPINTTVGNHSGYYEL CGVISHKGRSADSGHYVFWGKYADQWMVLDDSNTAAVSEEDVKRLRGSGEAHIAYVLM YRSRDPRTKQSVIPL XP_844530.1 MEKRVIPTLYRHVLKKVVMITRMGAGRIALDRYAEYLPTAYVVG EGDGLSSIARKVFEETPLKACNVTNGFHFVKDVTDSVLDLEVLALWDAYTRKGECELL EGIAIVSAALRLAGVPEAKGDGDMTTLVNNTLCGLQSIVSDIRGILESSDFVSKVHRR RSYMSFLRSAVSVLQERGYSVSELVVEECSAESLVCSKKASAIVMNAVLVVVLRELGL QCTLAGVELQQPWIRVEKSNRAPIFLSFARAGAYTAEEVIGFAHESQRTHRSLHFTPW GMHCRRQILLAMLKRQLMMLSSDPKVSVVREKQQHMCQTQILFLLS XP_844531.1 MMDDELLLQLNEVEEITDDDVDDIHRVAGMENVNTADIDSEDIW EIISSFFREKGLVHQQVDSYNDFLLRIPRMARSLLEIVPRQDDQFDPGVHVESEPDQY RLSLEDVVVGNPSHEMSGFSRTEMHPLFPNECRLRDLTYDAVAQVTLAIRVYRSREEQ PYRTFLQNMELGRIPIMLKSMRCNLLNKDEEELPRLNECPHDQGGYFIVNGTEKVLIA QERQAANHVYAFTRPKGLLCEIKSIVEGSLNKPRTLQILMPYKKSGPGHGYENLLCRV AQMDELIPLFVLFRALDMGSDKEILQTVVPDLKDVAMLEMLRGSMEDASTLEIFTRDE ALWFIGKRLGKQDSRENLQREAQDLLMRDLLPHMGVDCAADRGKCLFIGYMVHRLLLL ALGRREDTDRDFLGHKRIDVAGSLLTFQLNQFLVQVRREMAQTVHDYSTNRGGVVSFG RILHNRLITDGMRRCLATGNFGDLKSGNIKTGVSQTLNRLTYSSSLSNLRRIQNPISA SSKATRPRNLHCTQWGYICPVETPEGGSIGLLKNLALMCLVSRGSDHTGVVQAVQSRI TGFHSIALSDLADVRVARVFVNGTLIGVHGDPERLLRDLRARRRGGELSNEVSIVRDI RDREIRVFSDGGRCLRPLFVVEKSRIKLQKAGIGELLEPSTTLGGRREISWNKVMKKG YVELIDCEEEDSLLIAMVPSEVGKNYFYSHCEMDPSMILGICASIIPYPNHNQSPRNT YQSAMGKQAMGIYASNFNMRMDTTAHVLFYPQKPLVRTKAMSYMRSNDLPAGHNAIVA IACYSGYNQEDSIIMSRSAVERGFFRSVFWRSYKASEEKKREGREMFEIPDRKVCHVK RADYTKLDTDGLIKPGMPVIGGDIIVGKTIPVPKTLSEESPVSDTRILKRDCSISSRA AEKGVVDRVMLTENKGNRFTKVRIRTIKIPNIGDKFCSRHGQKGTNGIQFRQEDMPFN HDGISPDLIINPHAIPSRMTVAHLIETLAGKVACYKGGEVYATPFCSVVVEDFGKALT QLKLNRYGNERLYNGHTGLPLDHLIFFGPTYYQRLKHLSSDKIHARPRGPLQPLVRQP TEGRAHEGGLRFGEMERDCMLSYGASQWLRERLFRVSDYYSVHVCNMCGTICAADTDQ NLYKCQGCDNDSHISQVLMPYACKLLFQELMSMAILPRLGTGPL XP_844532.1 MIKCPVLTFSPYLLVSQGPPSPLMNDLRQRALRCRAQLTERLQP YTEKLDGALQTGFDISLRTLKRAFMILTAVGAALLILLIVLLVCLVASVLGSLFIRWY VLLLAPGTELFTLSFNTMPLETEQWRLHKLNNMVSQLEPTRESPLLHRGDENSTASLV GLGGGVNANLVSVLQNEAAGLKMSLITKLVRNTVATSTLVIPSRVYRQVFLPHGGVSV EGLFKQRKPMFNARGVYAAKMQLVFAKEDIGREVSMFLESSMLMAKDPYISQSLGALD VLFKMDSSFTLITGEKPRSWPVELFMWSVSKFLCVPIWCYQKLAPLLNPDVFPMFDPE TEVAVVTPVYSDFEPPLYLQPHLRAINFTLYQSEEGLQPKVRLRRLHLHTSVELSGLA YYFSNYAVSSFVGAAVLLQVLLGGAALVALVFVFIVGRSWGAELMQLNGDAPDDEGY XP_844533.1 MEPRTVRAYLEQRVQHQYFDVIPSRWRPLLTRLAKLTQTLQRDG ALAVGNNKAAAIRSDFDLANALLEEEHEIYREGLTYLRGRNNGEECANTAALRRFLHG MLSCIAAKEISITHWKNCLTSVSPDTLRVYCHMCVAHPHVQKDDTARICLLYSQPA XP_844534.1 MSGNGGISGNYAPYEENEEVEYNDEEFGSYEEEESDYFSDGEEV EDDDDFEAKAIKFRQQMDKLHSEAAAEQEGDIRSRTAKPTLFGDAEEQEEDGEQVQLL GQQHTVEELRDRIGETVHVLSHFKEEREEGRTREDYMQLLRADIMELYGYNEFLTDSI LLLFSPTEAVEFFEAMEKPRPTTIRVNTIKAKRRDLVQALVKRGMNVEPLEKWSKVGL QVFESNVPISGTVEYLAGHYMLQSAVSFLPVMALAPQINERVLDMAAAPGGKTTYIAQ LMKNTGVIFANDVSEPRTKSLNANLQRLGVTNTVVTNYDGVGFEKVMKNFDRILLDAP CTGSGIISRDKSIKTSKQYEDVQRASQLQRSLLLSAIDAVRVGGYIVYSTCSFLVEEN EAVVDFVLHRRDVAIVETGLPFGRPGLTKYRHHRFHDKLQNARRLFPHVHNMDGFFVC KLKKLSNNAGGPSTNGLKKEESNVAKSENVKTMKGTNKRQREDDSKAKGELKTKNNRI SVPPATKKSPKSKGKSG XP_844535.1 MQEEAISSAATGVGHVMVTFFTDSYAKAMPEESYAVPLNVLPEG LNVLVQSVLSVNDQVFDFLYNGEYITTTLQKFLSRRGVSTEELVNVEYTPALQAKESS HLPHDDWVSSVRVPFVGHSELLLTGSYDRCIRLWDADDCVAIGAHHDECVKEVVVHPM KPRSTATNTTRDSVKKRARSSLLEDFYCVSCSKDGRAAAWVFNATASKFQLLSSVKAH TDAIESIDISPGAGKYVATASWDCTVKVFEWSQVVDGATEPSAKEPLVSFTDHTRPAL SCRFSAAKGAALLYSSGLDGTLKCMDVETAVLQKQYIGDHPVQGLAVRTVGGGGGGDL IIAACTDNRARLYDTRVGESTGSVKVFSGHKQWLYAASWLWRPDEGEVNGGNFFATAS EDSTVRLWDLRCGTNALLTLDTSHTDGVLDVTYSGNGEIVSCGKDNSTKSFQCFKGDS LLN XP_844536.1 MIYHSETDGPHTPAASKSAGYAVWHCRLSTVMALLLFSNVLPAH SEGNIKVKVYSFIYSPYVEYRLVEAINAGLNASFAARQWTVAPNVTVQVVPPPPNNVE VVDALQRVATTEKGLFVVFGPLSDRRTVLLLPLLKREDLVAFAPSTGSSIVRGWNPNI YFIRVSPTAELIALVRHAVSQLRLLRIGFMYLQNASFGDSEYKHAVELFSHMGRELCG VFTKNIDDEGLYGSHNFDFLWNDFALTEPQGVILFAPPGKDSIQFMKKMVADKRTRDA YVLAPSALEFSIVGAWREALEAAGAPLKFGQVILTGTNPLAADIRYQAIRRFQDHMRS YLSANPGVTVFNGTDNFDHDDVDGRLMVYGWIAGEVLSQALSSREWLTSRKAFMESLY NQRRYVIDDLVIGDFGGDCKGGAGERGAACNCNQGGSVVYINVIGSSYRLFPVNGGVT IFDSKKCYINKPRIPSPMSILSLTLFDTALPVDTYASMSAALHSPISEKDSVLSRQLF FHSMASSSAESARTLQHQLDTRSVTAVFGVVDDAMLSIAEVAFVDPVMLTPRLHHRGK NVIQLSPTLEQQLFVVVGYVTNTSASAPMSAIVRGADATIIEVALRKIVWMHGGTLQT VAVLDDNATLVGRLPNRGNAFVIGLAPGDPSLLAAHLDRNPDVRVLIPFFDVALMYDE LVSAFNGNPNAERVQFATSLPHWADANTSSEIVREFHTALPDSSAWKPLPLLGYAAAR FAQAVLPRMEYVTPKTLLDTIYMQSIITADEMRYGPFEEEEEKECFTANDPVPEQGEV CVVNYGATRISMWSLARALNASVPPLTSPVTPLIRYADPNAIKLSSAQLAGVIVGSLV ALALFAAPLVVVLYVLRRGARDNDSAPKEPVEPVTLIFTDIESSTAQWAAHPELMPDA VSTHHRLIRSLIVQYGCYEVKTVGDSFMIACKKPFAAAQLASDLQRCFLCHEWGTTAF DDSYREFERQRADDDNEYKAPSARLDPEVYRQLWNGLRVRVGVHTGLCDIRHDEVTKG YDYYGRTSNMAARTESVANGGQVLLTRAAYLSLSNSERGQLDVTALGSMSLRGVPEPV EMYQLNAVVGRSFAALRLDHEVVEDGDLSSTSFSDTGSLRGVLSGTSQMIDSCLHAVF GTVPLSQRQKLLMPLCERWQVSLPPSSKATWNEEYCEGVIRRIAVKVGRVVDHCAAVG SEHSVSTLRSASLIIISNHGLERELHGN XP_844537.1 MIYHSETDGPHTPAASKSAGSAVWHCRLSTVMALLLFSNVLPAH SEGNIKVKVYSFIYSPYFEYRQVEAINAGLNASFAARQWTVAPNVTVQVVPPPPNNVE VVDALQRVATTEKGLFVVFGPLTDIETLHALPLLKREDLVAFAPSTGSSIVRGWNPNI YFIRASPTAELIALVRYAVSQLRLLRIGFMYLRDISFGDSEYKHAVELFSHMGRELCG VFTVKSSMEAFADDRAFEAAWEAFAKTRPQGVIAVAPPINDTMRFLNKIVADKRTRDA YVLAHSTLEFSIVGAWREALEAAGAPLKFGQVILTGTNPLAKNTLYRAIRRFQDHMRS YLSANPGVTVFNGTDNFDHDDVDGRLMVYGWIAGEVLSQALSSREWLTSRKAFMESLY NQRRYVIDDLVIGDFGGDCKGGAAKQGAACNCNQGGSLVLMNVIGSGYRLFPVNGGVT IFDSKKCYINKPRIPSPMSILSLTLFDTALPVDTYASMSEALYASTRGRESALSRRLF FHSMASSSAESARTLQHQLDTRSVTAVFGVVDDAMLSIAEVAFVDPVMLTPRLHHHGK NVIQLSPTLEQQLFVVVGYVTNTSASAPMSAIVRGADATIIEVALRKIVWMHGGTLQT VAVLDDNATLVGRLPNRGNAFVIGLAPGDPSLLAAHLDRNPDVRVLIPFLDVALMYDE LVSAFNGNPNAERVQFATSLPHWADANTSSEIVREFHTALPDSSAWKPLPLLGYAAAR FAQAVLPRMEYVTPKTLLDTIYMQSIITADEMRYGPFEEEEEKECFTANDPVPEQGEV CVVNYGATRISMWSLARALNASVPPLTSPVTPLIRYADPNAIKLSSAQLAGVIVGSLV ALALFAAPLVVVLYVLRRGARDNDSAPKEPVEPVTLIFTDIESSTAQWAAHPELMPDA VSTHHRLIRSLIVQYGCYEVKTVGDSFMIACKKPFAAAQLASDLQRCFLRHEWGTTAF DDSYREFERQRADDDNEYKAPSARLDPEVYRQLWNGLRVRVGVHTGLCDIRHDEVTKG YDYYGRTSNMAARTESVANGGQVLLTRAAYLSLSNSERGQLDVTALGSMSLRGVPEPV EMYQLNAVVGRSFAALRLDHESGEDGDLSSTSFSDTGSLRGVLSGTSQMIDSCLHAVF GTVPLSQRQKLLMPLCERWQVSLPPSSKATWNEEYCEGVIRRIAVKVGRVADHCAASG SEHSVSTLGSASLIIISNHGLERELHGN XP_844538.1 MIYHSETDGPHTPAASKSAGSAVWHCRLSTVMALLLFSNVLPAH SEGNIKVKVYSFLSLQRLPLRLTEAINAGLNASFAARQWTVAPNVTVQVVPPPPNNVS FMETLHDTINQNKGKFIIIIGPMGDVETLHALPLLEREDLVAFAPITGSDSVRGWNPN IYFIRASPTAELIALVRYAVSQLRLLRIGFMYLQDISFGDREHEHAVELFSHMGRELC GVFTVKSSMEAFADDRAFEAAWEAFAKTRPQGVILFAPPAARDTVKFITKMVADKRTR DAYVLAPSALEFVVEVTWRFALAAAGKQLKPGQVILTGTNPLAADIRYQAIRRFQDHM RSYLSANPGVTVFNGTDNFHHDDVDGELMVYGWIAGEVLSQALSSREWLTSRKAFMES LYNQRRYVIDDLVIGDFGGDCKGGAGERGAACNCNQGGSVVYVKQFAENYKLLPAKNP VKVIQHGMCDADGIILYAPLNGLFILSNESHRKKKINREIHKSASATNRNADMTQFHR LFFHSMASSSAESARTLQHQLDTRSVTAVFGVVDDAMLSIAEVAFVDPVMLTPRLHHR GKNVIQLSPTLEQQLFVVVGYVTNTSASAPMSAIVRGADATIIEVALRKIVWMHGGTL QTVAVLDDNATLVGRLPNRGNAFVIGLAPGDPSLLAAHLDRNPDVRVLIPFFDVALMY DELVSAFNGNPNAERVQFATSLPHWADANTSSEIVREFHTALPDSSAWKPLPLLGYAA ARFAQAVLPRMEYVTPKTLLDTIYMQSIITADEMRYGPFEEEEEKECFTANDPVPEQG EVCVVNYGATRISMWSLARALNASVPPLTSPVTPLIRYADPNAIKLSSAQLAGVIVGS LVALALFAAPLVVVLYVLRRGARDNDSAPKEPVEPVTLIFTDIESSTAQWAAHPELMP DAVSTHHRLIRSLIVQYGCYEVKTVGDSFMIACKKPFAAAQLASDLQRCFLRHEWGTT AFDDSYREFERQRADDDNEYKAPSARLDPEVYRQLWNGLRVRVGVHTGLCDIRHDEVT KGYDYYGRTSNMAARTESVANGGQVLLTRAAYLSLSNSERGQLDVTALGSMSLRGVPE PVEMYQLNAVVGRSFAALRLDHEAGEDGDLSSTSFSDTGSLRGSINASAQKFSISIKA VFGAFAPAHQQQLLMPLCERWQVSLPPSSKATWNEEYCEGVIRRIAVKVGRVADHCAA SGSEHSVSTLGSASLIIISNHGLERELHGN XP_844539.1 MGKKTRDGPKGGVGNAIKEMSTTTVTTTTTTTSGEGKWTSSEGG NLLTWLSQVAPQQQKQNQQSRGAIDYLNKANARKNAKPSKSSAGRPPAAETTSTKPEV PKPRSLQQPQQQKDQDNAGTNAVEKLAALFPEKQVKEAPAPNAKVGNPIAARVAAEGP KRNEKERRNETVRAQRHRGEREVKKERLQRRLEMMKEAQKFHEEALRTDPEYQKRFQT FQELQAAQQVAHSTFLDRYAKQGSLNINTIVSERIDIPVILNLIDQHDVVFICTDTGS GKSTSVPKALLELSNDTRVVSTQPRRTATVSIATRVAELRREAVGEDVGYWIRGDKCG DVQTRLWYMTSYTLLLHLLNTPLRPPFTHIVLDEFHERQPDIEVTVALLRLCLLRRTA QFKLILMSATLDTEGWEAYFAGLKVATYKQSEPKHLIHDYFMEDVCTLVGTMYDEPPN IVQRGVEYPLMEKHTAIAQALLLYLNTCTDPQHAILVFLPGRGQVEQMHMWLELNLRK PVDIIPWHSAVDLSVIQEAIKRRGHNRQKIYLATDIAEVSITLPDVVFVIDMVLVKRP KVNKENPATVLHPPLMTQWVSKGNIAQRRGRIGRVQQGFYFCLLSAEAVAALPEHMHP PIENSRIDELSLHCLQLVSNPVAIFSICHGQPLLETIATAMDTLCNLGCILHRKDPLA VGECISEIHTNSEWSPLVLEEAKNVVFADIDEYEYTFVGRLLQLIPVSPLPGMLVLYG FFTGLESLTILAAAVTSSLSPFAPNQPEKKRRWRHDVAGAMEETENSMREMCCGMRSD ILAVMKAVLLFRVQFAKSGEDVTAAKMWCAQHHLSYDKLRSILDLEQHIKYELANFIP VRDVPDATVLLNQLEKAAAVMLVMTNVAFVSQALEVVSEGNTYQKTKETAVGIFMDVV AVPDIHSPSCLRWQEGEIIVPVQLSLMGNKMLASFSTAISSHKQFWLSLLLLTHKVMY AVFADDEGEFYVFSVKYYSTERYLETDAATGSAILQFRQMLSSVGQALRLLRDYQHLY DDEFADVLNDHGLKPLRDMQCEVVTAMAAFFNNTENITVDEVEHEEDDLDEVSMISLA LPKRG XP_844540.1 MASNSSAGKDEWLVGLQQFINTRLRTIPFAAAARVRPQVSVPLP LPNTQYLIDGLMWSVRDCAAWAADAWLCAQSHLHACTQRYAKLNETLTYNAQQRQGGR ESKQGEDSAAERERMYDCHALIQDIYIAFCLVDAFLRHMSEVSKSVKELVEKELPRLV ADHMPLWEPVHNHYAEEAVEDHRLLFLDLLRSWTVTGLLRPDTQRRIEEYVRHKLKTV REAIADSSRRSNDGQVAVSSRRERQIRAISSGLRAFLYNTAEQKQQQQLSVPLPSEGK SGERTNAVDAVRLTMASFVSAIFPSSRTEAGRYRCAHCGLVLKNASAKTSHYRYHFCS RSYNTELKIVRLPFPALEDYISHEVDCGETGSFVRVTHDMLDVFRSPQNRTVRVRERI SREKPK XP_844541.1 MQDEQHEELPPSPSFETLDSLRQDNDNEAIQPPNAPSPILERRV ASSDAFPPQLSSRHRCGEGSNAPSLLNLNRQLEDLSRDIQQLNARCRSDSMPNINKTP LRPQHLLPEKQLQLLKQRLPHLNSALSARGASTPSRTGRESSQRRQDALPTVSPSPPR SRCATSVTRPYQSKQETPCSSRVFPGRASERPIASPSSPSIAANMDSVTPAAVTEDPQ ADGKQCAIMRTRGGRIRVVVRKRPLPPDENSCDCVSMDPPNVKVAVRKQRVDLTEYAD VNDFTFDDAFGEDKHNEHVFNSCCKELLETTLQGGSASCFAYGQTGSGKTHTMLGNSG ERGLYILAAAAIFSSLEKDQEVYASLYEIYCNSLFDLLNNRSPVVVREDHNRRMQITG LTWHAVTSAEELQLLINSGADQRSTGSTTANERSSRSHAVLTIQVRDREDNRFCGTLN LVDLAGSERAADTATNDRQTRQEGAEINKSLLALKECIRALDEKKKHVPFRGSKLTEI LRDSFIGNSRTVMIANISASSQNYEHTLNTLRYAFRVKGLSIVNFEPSRARNAPRPLK PIVPDVNPAQGVPLMSGVPSRTTPGRRRTASSNVTSHAAAGAAAPPAASHAKGYNSMV PVTTRRNVSSNNTPFNLRNNAGSPFRGGAQGNNVDSRADDEQVAEYVRRLTREKVMNI LPQTKLNEAREQPSPKNDYSLQDESCSFSFNHELMKELEDRVVEEIKAELTKAIKDVL LKRDKTFLRLRREKALLMRANTELEKRMADCPHCKGQAIRPVQQQPN XP_844542.1 MSSFLQELGPVAVSHRQELEEVKRELDIKRDELDCWIYGFLENK KFDVKETVAKLQRRFAMEVNEMAKYEFTEYMRTSLRLGIIQVIGEDKCGRTIFYVTVN RDKKAASHRDGKKHTFDLMVSYGTRLRADNKRCQMVLLVNYEDASMWSNVDMSLQADV ALRISKFFPGCISKVYICNMGRMLCSVAKPLFSQLPSAFSDSIMFFSKSDRASGRLLE FIDESVLPVQLGGTNDCDNQEHWDRHGDIIEDYYHGMKAAISERGLTVKEWELQCIEQ PNVPVRHPSLASETESMVSLLTFGSSLTATPVEGNLNGMWRHDNDISPPLEEEENEWC LLMKPLPNNLSLFFLEELYRWRVAVSAEEGEMRCALMDDYAAAWKREFDELPMLDLSN KKWYRFIPESLRELYHGVLIVVNVASSLSFLVALLFFMALTSSAAVTVFLAFFIEWNY VYALFATLILVTFQGTALCSRAVTVLVVIMKHKVVPPLDMLGKTRGSVAQLILFCSMI FLQMFSFGYSMTHSSLLRSIQVTFATGWLFAVAFLALCHIVLFFDWIPMGEQRRKKNQ GSMLALYLLLDVQESEEKTEAVLTRRLSTTIACAVPITLDFLLGIAFIISGMIPFAVA TCIATVAAILTIDYCSLGRSSMSSRSVLRSTVAFACMLWLYLCFSFRFLQYDGPWIVS VCTVAAVNVVFISLAVFCLRKRSAKKTLRGLLFLFVILLITSCTASFFLVGSTVGVIS LVLLIHSGMGIFCVRRRSRNIGGTFIFYGALAMLALSCVLLGWLGAKWQYWVPHSLPP VNASGPRDPLEEYHQYPICTLRTAGGFGIVDFALLTEVSGASKENVFHEDFDNWFGST DVVYNNTVKVYGERNEQWSISRFDSLARNVTVLTLQNGRVYSSIITMTVWIGYIALAP LQILLPNNWLKQVAFLLSFLTRAIDFTWYDVKDEVVRYLTEMKNTTSNEIVLTAWGIA GGIASLAGVESHTQTITFGSPGLMDALHYTNYTEGEYHKYVLAVVSELDVLNAVTRYD PTTYQRIQCSAGSNTCGSMNYISSELVRVCDTTGRRHVGQQVEESVHEM XP_844543.1 MTEGLGEDKSAISHEPTAFEQRVHDEALERSRRVAKRRNPDNAF MKALHKIIPYGGIVSSSFNLASTTVGAGIVALPVAFQMSGIVMSTIYLIVVAIMVVYS FALLTSVGERTGLRSYEQLTRTLLGRGADYMAALCMWSLCFGGEVSYVISIRDAIETF VKSSDATSEGLRSESSIRLLTVAIWFFFMLPLCLPKEINSLRVLSASAIVFVLFFVIC IIINSGHFLAVNGMRDDIIYFQSGNASINGLGIFLFVYVSQVNCFEVYEEMYKPSVRR MTVSAMAGALMSFCLYFLAGLFGYLQFGSEVNSSILKMYNPLTDVKMGVAYVGIMFKI CVGYGLHMFPCRDAVYHVIGISVHTVAWWKNALFCCAMAVASLIAGLFIPDIRMVFGL VGGLSGGFIGYVFPSLMFMYAGGFSVAGVGWGHYLGAYALLFAGVIAITFGTVTAVYD VIV XP_844544.1 MTSSGGLLANGNTSALRTVAVTLPEFNVEDDEMRIVRVTTPLPD LLPRSFEDIERMRKMRQDAESGRKYVAPRVELSPPYVNDDECDEFEDATINTTVEFLS QLKKPGSYLLRGPSVEGKVSNVFEHGMLYKIITSNDAWHFYNDTEKYEMRVRFRFGAK SELQPGDGVEIFAKSNKEIEASLTVYPGETRKLIEGKVNGFKCMAKAVALSNRKRAEF YGPMNGKIQSELEQLAEELHLPSADKLEEEQLLEHCTANQVPYIDCSFRPCDRSLSRP DVDVHNLQSLPWRRPCDYIPKSQLDEVRLFRRSIAPSHVTQGELGDSYFTSAVACLAE MPDKIRDLFRHPVSPSVGKAERRVGAYWVTLNCGGWWRPTVIDDYLPAYREGPEYAHC AVDFRRLWVALLEKAYAKIHHSYSNIASGDPLDPLQDLTGFPITRFDTDWESAVNGDD KLFKRLVMYNKRGYITVLYTPPPAALKAAQPSDTSPTTEARKPRVTCKELGLQAHSGY SVLQVKCFEEMGLFLLQVRNPWSTGEEWKGRWSKSDKLWEEYPSVQSACFPSGMESPK DDNMFWLQWSDALNVFAGGGVCHVRNNWYDYRIRGNFVDGYPTVCLEINVSDPVAAYI VLSQEEECNTADGSQQSAKQQQVQYAALLVSVSRHSGKYEKMECASDVDVEIPGRQLK FNFSRSVALQYTFEPEGGPYFVIPRIHDVNTSKPYVIGLLLDTYAGNGIQVEFKVIHK SCKVFQNMPTFSVKGMLKDVATEYQIRNSRRPTECVASELVDERLKEFDLGE XP_844545.1 MGCGGSKVKPQPPQAAAPPPPKPPTPPPKSPSVPSEASVVEEVE EVPLTPRAARLQNVIAVKETGFVYNKCTVTGEVTSFFPPKGQCFRIIDEEGRWFFYND TMNYEMCVQVVIAPESYVEHCEATTVNTKENGETVISAVVYPLETLEFLSGSVVLNTV DVYAHPLSQQYYNHLQIISEEGKKDVEAVMALPWEEVDDEELLKLCSDSLVRYADINF LPSNSMFSRLDGDGRFIQPVEVRRPSEFAQCGEENIDAVRGVVLSSCVEAGTLGDSWF VSALSLLATDEERVKAMFASTTPAEKQMGAYRVLLNKDGWWKNILVDDFLPTVGGVPC YARCIDDSGELWPSLLQKAYAKLYGSYASITGGDTLLALQNFTGAPVYRFDKAWRDAA TDEEKKNALIQKITGYVEAHNPVILSVPTGRKAATAVANGLREGYSYALLSVHNFPEE NITLLKMFNPWEPAMPWSGQWREGSDKWTEHSEIQSSCEPCFEAHDGIFFIEWSEAVE VFNGCGVLYLDEKPVYDYRVAGEFDNEHPNLVLMIRAKETVEVVLTLSQRDKRGLPLE SPDVKLSPVLLCVSRAEGNKQFVYQCSSSDPETPAEGFNFVVGRDVAMKCTFEASETP YFVIPRIHRGGTCEGRRKGFVIGIRSSTPLDEKLDIHFTTLEPTCRVFRNCVTFTSYR VPGAVREWQVKAADAEPTPFWGWGLSPAEVYYENNEEDAEAIREYIVTEAEEPVSLNA ETILNDDQPQMDKEVSQAAHEESCELTEELEAEEGPEAEEIATEPAAEEVPVVEETQA DEPEPAMDVPQPQAPPSPQNHGNDNSESSEDGLDRFRVAASDAFEIPGDETDSDE XP_844546.1 MSLDISRFLPKEINAPPTPLVAHGCFIHGSRSCGKTSFAFQAVI NTVVGEKERVIILCQEHVLYEKMPKPFTPLEELNEDALTRIEFAYLSSMAEVVRELSS WTVGSSLPALVVVDDEAFLDAGDERLTAVMLSMLENVSAWAKQINGVFHYIVVTNSYP DRGLREKLPFATFPLIWFTFGSVGSVQVAVAGTSQVSTHPLMLEWRDSLTVRSLWSL XP_844547.1 MSAGSEPITPPVFNEEAARGDEGVRRLTVEKSGEDPSTATKDVV NTRLHRRSSSGHRVSVLTDDTNASSGAASRAMHPTRKKSSGNVNFLNNVVDFLCTLYG RDVVDKDEQQEPQPGPDASIKEGVQNTPLLPDVLTPQTPCLAVGGDIPVVNERHHLAQ RQHPHTHYGFISDRPRILSAVPMGRSVSEDRLQFIVEQILDGYRRINDKSRATPQGRH SLKDACNNVSVFVNHAVDNLVVREASFSLAETRSNQSILSTAYDGCFQTPLTFRTFSE IGEMDEESEVLIKPDFHAVLPTFERRLPDSCVSVSAPIRMRDDQPIPEDATINELEID STPLGLRRRQRSTNSRAFVSFLPSPREREVGTSGWSEMNMSLQSNGVDSLATTGSWET AATGVNSLTDTAAQGRSEPDGEIARFISREEIERCVLVSVEGRFRTHINSLKKHELLL SPPEAEKIAATRRSVLSAVNASTTSPMVQNIIEFFSN XP_844548.1 MFVLCTVENMGKSNGCNPSGRKRRRGTENTNVPRVPLSLLRSPF ADSVPKHVGHGVADAARNVVVGVGMGMSGLIAATIASGKEEGAVGVAKGLGAGIVTLA GGTLAGVVMGARQLGRGVMNTKAALQQTVRGRCYWCEIYGKWIDVHLDDMLADLPPTD DDIYRKSRKRKNKIMDWVKKSLSPDSNEEDAADADSTEQQDGELGEDFYSILGVGREA TADEIRSAYRHKALLLHPDRNIGDADASQRFQRLLDAYNVLSDERCRIEYDTSGKVNP NRVGNGSYSNIEEILGARHWEPFIGRLGWTLHFTSRLYLDGELRKEMKNRRRLRLAKI LLPLVDGDDATLEAARPAIVDAVCTRGGRSFMPLVAKQYAAVARQHLTRVPLRREIDR FRTSKWACISSMKLLTKTCVTTLYKAARKRLSGDQLINAALAFCQRDVQQSVGCAANL LLYDLSVTDEHRARRARMLVKISEMIMEICSTCSSSRSEATSQRL XP_844549.1 MLFLFHPFVPETLWVKEVPKSANRLQDAMSNSKQQQEVQTPNNL SNEPVQTEDNVKKGRNTFFTKVSLCVATVLPPGGIAASAFNMASTTIGAGIFGMPPAA NSTGLIMGMFYLIFISSVTVFTMHNLSVAADRSGAPTFERATRALLGRGAAYVLAGIR ALLGFSGCVAYVISVGDILSAILKGTNAPDFLKEKSGNRLLMAVVWACFMLPLTIPRH IDSLRYVSTFAVTFMVYFVIVIVVHSCMNGLSENIKNVSVTKSEDAEIILFNSGFQAI EGMGVFMFAFISQITAYEVYIDMKDRSVRKFVIAAIIANTLCCIMYIITAFFGYMDFG KTATSSILLMYDPVKEPAVMVGMIGVVIKLCVSYALVAMACRNALYDVVGKTADSLPF WKHCVSVITLSFLVLLLSIFIPKITTVFGIAGSVCGGSLGFVFPALLIMYSGGFTWQK VGPLYYITTYVVLLCGVFLIVFGTGSTILDTVRG XP_844550.1 MLFLFHPFVPETLWVKEVPKSANRLQDAMSNSKQQQEVQTPNNL SNEPVQTEDNVKKGRNTFFTKVSLCVATVLPPGGIAASAFNMASTTIGAGIFGMPPAA NSTGLIMGMFYLIFISSVTVFTMHNLSVAADRSGAPTFERATRALLGRGAAYVLAGIR ALLGFSGCVAYVISVGDILSAILKGTNAPDFLKEKSGNRLLMAVVWACFMLPLTIPRH IDSLRYVSTFAVTFMVYFVIVIVVHSCMNGLSENIKNVSVTKSEDAEIILFNSGFQAI EGMGVFMFAFISQITAYEVYIDMKDRSVRKFVIAAIIANTLCCIMYIITAFFGYMDFG KTATSSILLMYDPVKEPAVMVGMIGVVIKLCVSYALVAMACRNALYDVVGKTADSLPF WKHCVSVITLSFLVLLLSIFIPKITTVFGIAGSVCGGSLGFVFPALLIMYSGGFTWQK VGPLYYITTYVVLLCGVFLIVFGTGSTILDTVRG XP_844551.1 MLFLFHPFVPETLWVKEVPKSANRLQDAMSNSKQQQEVQTPNNL SNEPVQTEDNVKKGRNTFFTKVSLCVATVLPPGGIAASAFNMASTTIGAGIFGMPPVA NSTGLIMGMFYLIFISSVTVFTMHNLSVAADRSGAPTFERATRALLGRGAAYVLAGIR ALLGFSGCVAYVISVGDILSAILKGTNAPDFLKEKSGNRLLMAVVWACFMLPLTIPRH IDSLRYVSTFAVTFMVYFVIVIVVHSCMNGLSENIKNVSVTKSEDAEIILFNSGFQAI EGMGVFMFAFISQITAYEVYIDMKDRSVRKFVIAAIIANTLCCIMYIITAFFGYMDFG KTATSSILLMYDPVKEPAVMVGMIGVVIKLCVSYALVAMACRNALYDVVGKTADSLPF WKHCVSVITLSFLVLLLSIFIPKITTVFGIAGSVCGGSLGFVFPALLIMYSGGFTWQK VGPLYYITTYVVLLCGVFLIVFGTGSTILDTVRG XP_844552.1 MCIARENTSNTVPHNCFEPIGHTVVNNNVGNCETSQNVQSNEPQ SGKQPPGEQSTQFAAFIPPGGTIASAFNIAATTLGAGIFGLPSSAGSSGLIMGMFYLF FISCMTIYSMRNLALAADRSKAPTYESVTFVLMGRRVAYAIAVLRALDGFTSCVAYVI SVGDILSAILKGTNAPDFLKEKSGNRLLTAVVWACFMLPLAIPRHVDSLRYVSTFAVT FMVYFVIVIVVHSCMNGLSENIKNVSVGKSDTAAIILFNSGNEAIEGLGVFMFSYTCQ DTAYEVYIDMKDRSVRKFVIAAIIAMSLCTALYIITAFFGYMDFGRAVSGSILLMYDP VKEPAVMVGMIGVLVKLVASYALLAMACRNALYSIAEKNVDSLPFWKHCVSVIVLSTA ALLLGLFIPNVNTVLGFSGSITGGSLGFVFPALLIMYSGGFTWQKVGSLHYLATYVLL ICGVVGIVFGTGASIWGTITG XP_844553.1 MDATTQEGEGTGKGQMRPTHNPDAVACDFYLSHKRRFCRTAKRA GGRYCPTHDVNGTTTGETTPAVVLSSSGSAVGPGRTAGEGVSTGPSRALQRVPCPINP NHTVYADKLQKHVKVCPDLRFVVDKLPYYSKDLHACKGVAYCVTSQESEKERFTHHHM DTVVLEDLITRVRECYFNVIQPRIVLLAEECQEPFQDNSSTGSTRRISNKHGPQHRAL LRCVQTVIRGYAEGRGNLGNTYVRIAGFLELGAGKAGLAVSLQDALLSGSIRYCHSHP GFQERKEGRTAVNEALSADNGGFPRIVVVDMDNFRRKADACVRNSRLPLVRLRINIKD LDLAKALCGASTCKRSREETSSTSDQCTNAIKQSVSVEEQWVAVGKHLCGACTDFALS CVTAPNLNTEGFASVCAVVFATCCHQRCELKHINALLSPSECRRGRIAIPGTNHTFSE REFAAITSMSSWAVSGEAVDAERRLTGMCCKRVIDAFRLEYLKQSGFRRTYLCQYAES SVTGENICIVAFR XP_844554.1 MDNTEETSRVTDQEERTLTETELPAPAEHVLEGDNSASEENRLH AFLIPLEDGEQFARANLQHRWYRGLTKMLWDEELERNGILIVERGESGRNNQSADEEE EEDDDGTDDCVAKMKREFRIKREQDKIVYEEDSTRTETLREEQNAISLIVTLMEEAMD RSILMNEAETMFALILDVLKPRVHYRYIYAWGVVTCCSFKPLKSEMDRLRPSDRHKPP YMRKSLPTCRVPLEPIYYLPDGGKKMRAMNELNDVRAIGWV XP_844555.1 MTVFELCKEYIASRSRNHELCACMLTGGVAAILAASAGLRSNKP LPLKAERSKPASSVNGKEAQAQDKVKVQSFAAAVRRFLALLEIAIPSIRSRESGMLMI ISLLLISRTFLSLRITSVAAAVDKSAISGNPATVVRVVGLLLCWFAPVALANTTLRYC VGMLGLRLQSNLAHHLHRIYLNNDVFFAVASSHSVKNIDERITRHVASWSRNVAGFFT SILEPLINIVAFSYKVGSTSGSRTLAMVIGYYALFVAIAQKFSPDMEGLVAEQLSREG TLITAHNRLLKYAEELVMSKGQLFHRNLMNQYLESIVQHRSRAAFVQGRYGLMENLFL KYGSRILSNFVCLGVVLSRNTEATSGQDLLALFAETSYVFMKLSQGIGGLVRNCRGFF VLRSLTDEIYELQESIQHATEVQRTSRRALDDAPKGSAGEIVRGDYIAFENVPIILPT NEMLCRGLTLHVKPGMNLLIVGANGCGKSSLLRLLAGLWPLHGGRIVKPRMDQIYYVP QRPYVPCGTLRSQITYPKQLSELEVSESMLYECLEMAKLEDILSRPHITWDTVFSWSD GLLSLGEMQKLAIARLFYHRPHFAVLDECSSNMDIEIEERLYSMCKQLGISLISITHR RTVWRHHNWVLWFNDLGSFMFSPLSFNEHGTAVLTRVVAATDSSMIGRQVTLDVSDGK Q XP_844556.1 MSQKHIFQRPSMACEQVVGTAAVGTAVASPGSPAPAFDALQRIS SLRRSLSNVVASRALSLDRSNTPNPRMPPDTDGLQESTARQLLASSQRRVEELLRENH KLELLCMKQEDTIKEIQIEMTLNKKKEDEPAGNVADNAPGEPPNISQTHSISYKSRGP GTKSKAGRAPDNDGGDIAEAHDGTHRSPSVLAELDEVVQQLVSTAIALFPELEARAES ASRDGADEWSVARDIIHFIESHLEEWSDHASQENVDIGEASAMLSQTLTSVEEDQHEW GKSLFDLANRLQMAWSEQRHAITKRDFLISKLKKNIKSLSTELSDAVEVRMQCECRCA ELEGALSRMMENHVEHDANVQRLQQECNNLRSETNALLALAGQEGTAAANSSNAGRDL DGISDSDMTKATENGAQSTTADRDAELLRHALERVTKERDQLQVQLDVANDALKGAEL RANVTKAEREAVFSNINEPQCSDVAVAANPAAVVRFSQNSADEAMMPLHGPLLSRSWN ADDKTCDTTVTPNINLLRIIGDIDADIWSEKNCGASEQGGLLFQVGCAIAQDSELFDG MPEPDLSLTAWKRLLLHIQNGFGSGPFHSADRAADNMQFFYSLMFHSGMLERMTPVER IATTTSALCAMYRYVVPPSETHKSSDAQLRDVASVYPNSLEKEMLESYCNYETICRLM GRCYFNFSAHWEAKDRDLLLRTMRALLLPQESLSQLECLIYAGDIERADGISPRLHAA PVRHQFVRLFIALAQHAFTMRTAAVSRYWASERFGLYQRQTQFINEPSVRPVEVPYNT RMVAEAQLLLINTTVLPLCQTAVRVFPRLQPCLVALQGNLCMWTLCNSDHGDVPPSSI ALPPEMKVTPSIPEKNILCKDFGFRLVATEGVTPGTVTLDTAGFISTQDEVSALYKEN AMLRGLLNRLFSEMDVPLECTESSQISGG XP_844557.1 MHVAVKDKTTRHHIGYGKVILFGEHFVVYGAESIVAGINEYTTC EISRLKHKPNVVEVIDERPAVPGYIKEKREEQRVAHGLVLRHLNIDTSKDGLLVKLGG PLVPSSGIGASASDVVSLSRALNELYSLNLSEEAVNRSAYAGECGYHGTPSGVDNTAA TYGGIILFRRALKKSVFSRLALGKTLSIIVCSTGITASTTKVVADVARLKAAQPSWFD DLFEQYNACVREAKKALQSGNLRRVGELMNINHTLCQKLTVSCPELDAIATCCRTFGA LGAKMSGTGRGGLVVALAANTQERDRIAKAVREQCKEAKFVWRYSVQPGGSKL XP_844558.1 MTTVVMSVALTAAVFLGFSLYNRMVVPLIARVVGGRIPTRAQCL KTLTFKDRLFIALSKLFTVLFVYHSYLFITDTEVSNMSLNFNDFNVVLCGVAWMPVHL VALFIIYDFFYTLFHWALHWRPIYPLIHKHHHRQVTPFRGNDDAVNDHPIEYVIGEYN HIFALYLLTRIAPAGQVHVLTAILFVFIGGTLASLNHTRIDVYIPYVFNVRAHDLHHY QFKYNYGQYIMLWDWVFGTYKCSRVHGS XP_844559.1 MTPAASRLRRALREARRLLEEVKLSEDTAVGQCNQRQVTELLKY IKRIGFLLSLVKQEMQANADNSDKRETATTEVSLSGDDVGNAPTVVDAAEAFLSQFLD SYFPHLHVPVACVGLVARHRAPKQPFAVCEPFLIHEDSNAPCVRASIQLEPSPTVKSS TTPAKMGQSGGGDIAITNMAARSTNASSLISSSAFSSAPQDADDAAQKQVINEINDAI REIKEGALRVSDIMNQEKGRLEENAALLQRGVDGTTSQCKKMDRVGSAFGGGPVLPHF LRALPGVEVFWDSILAPLWRIIAQAVFICAIVAVTGGTVMLMLVTPKSYVYER XP_844560.1 MSSEQGGDSYGVAKPRRKRVRWDCNGRRKRVTATAFVSDFLPAP QANKVSDDVPKSPRERKGHFGNQNPPASQKVPVRLKPTVTRQVGPGKTLHAGKKHFLA KGKLRNPDGPFNHRARYSKFKRSIVELRREVFESLINGDQQSSIQDALAAVAVGSSVT SSSENTALTSPSHTLQPLQALVGKLHSLYARLHSEMNRKSYVKAARCLTTIRRLRKRL NKTALGWKGGVITVNTGATREDAPTVEPDRSQTRGECNEGASAGKQGDSEGAAKADNV GSDGEPNFVNFYPSLSLMGIGTHPLTAVIDQRSGRHPRQLTASEVAQLHNTTPDLLVA AANSNKPRNPIPRYLVSSCANILTDRLDDIVFTILQRQYTLQKRMKKEKPLQFKARKF YSTGMRETLRALRAAATRIPVVLIASDIELNGTLSPNIDKEFCVEREGTAENVVATVS RSQHKTVGELGVSGTLEEIHSHCVKGNIPLVTCMSRRKLAYALFAKGCNISIVVLHSA EGVHEEVRALRHYAHQLCEQYRRITPSEQGT XP_844561.1 MANTALLEREYALPPMGELCLATASSGGAATVTLLAQVEDGGEE PRAEIFGTELSTGVVVHLPVARSLAVFSPTGCRLVLTASSAVHQICYGTTCNATRARS VADIHTHLEVQRVKARRTGADGIGPHVLFVAERRAVGTSTYVRTLINYAVRLGYHPLL LDASVEAPRFGYPGVVSLYAMQYTIDIENEMAFVPGLHSHQGTKKHEDPALFLHILRQ MMRLSTERMARSDRCRVGGIFVDYGTISRAVVEDAEAWECAEEKPEGRPKVNPLDVLV STILAAGIDHVFVVGSSWLRFKIAQRLHQESGAQSEIPQITPSTITCSNGLKVQLFLL DSTECGAVPDDAFFNRQCWLQYFFGSRTMAVKPTLFTVDASLIRLVTIGRGDTSGTST FMPMIDDDSDHQDPTVSGQADVALTYVHPQDVDIKNRVLALSTATEQEELPDGTLQRI PFAVFESRLKRGLLMGFALVESVTAGSVTLLTNAAGIRKDIGLCFIVTDQQLMAQADV EPPT XP_844562.1 MYFEEEENNDDYNKAEGDESPHSRASSNVSDMPMPPLPPPTPPA DVYGLATEEIYSIIKELRRQIDDPTAHHDIKAMLKKEPSILLAVTRVLHESGLLRRGV VNAHGQVEEQIVPLPEAPAPPLPTGYSSWV XP_844563.1 MSLEVVDATAEALPLELLNAVNKELTAQLSRHEEIHDGHVREIQ DQRRRLEFVKEHLANVRGEIVNTQALADSKRREMESESHMYRLLLRECGRLKQSQMQM QAEQADVQERLQVVQDRLFTENLRMAELKSSMAYNQEALEQWDAARQQKESDEAAVAR YAKDDAVKLRQLDIAVERHEAQLRERRRQLQDEVAVMRSVQLELNRVATDYRRQHKER GDLIDEWERVVKEIRERDNSIRAAAQQYAEGAEWIEQRRVALKKLHDDYDAARAEEAL MQAGIEEREHRAEKSRQTRSSLETHVTGLENEVETIREELGRSIKERNNARIRLEQSK VAVRDKTAAHERLTAKRDDLKEQKSSVYSKGADLSTQLATIGRLFKEAQDAEKQMDKE TEMLKKENFTMSERLKEVRREQSDLLAEISGGQLQAQNLRTKIGQLDGQYFAQQQLLY AVEFSVQQMQRKVNRAKGERSLDERNKLQEKIATLQNTLADLMKQQRAMEIQVKRVRE ETWHANVELERVTSEKKIAAEKLLQLTLGCDSCTAELTKLRKQHEEKLVLVDTQELQL QDLKRTLHQRNGELGSLAERKRQLTCDIAERLSEIAVHHDMMKMEAKLVEEQRRRLAS DLRERQKSLAGLRNRYDVQLVRLDPEKVNWTPAQVVMEAAREREDLQLRGDTLDVRVS RMEREMDKLKRTLSVIRASNSNYRHMFDPVPESHDMVKMRIALQQQQRDLKAAVSRRV MELNDYQQVMESKEQDLRQIIEKRERVRATMENLQKACEQVHEGIMQARETVIRYTQT IQKASANVPPEVLADVELQEKRDMFNSALGRLLQLAQQHGDEVRHHVQTLLASRLVIE XP_844564.1 MTAVKEPRVDFELHNSSIARDDACLQWFFSRYRAHTSTTLPSRS DTSAAPTTKGNDNIKNATEALLVQLENDVTACKALRWEKFRYSSPIYEAASPTYPLRR GSTIETVVEEVEDSAATTGTVGKPHRVLRVKLQESSPKHAHEARVRSVQEEPSRHVFA EMLRKKNRENLVRSIEEAEAARGHNGHSGWLRPYFGGYFHMPLPRGPTRFLVFPQWHH DVTVATEKQQWFPYFLRGSCYQKVPHARVPIVGDAVGPLRMPWNDLVLAVSFAREFPR ELSEDFGDRVRLWRDVDAIYLDGHANQVRTERLVLCKTRSKSPEMNHLSAGETAVVGM TEEAEAEGKETETEIITAKLRESGFRASLQFRNPRYSLTVKGTTCIPERAPNQRITSL LVLDEYDSFVQHFTSPFLRWLFPRATYCLRWAGGPGVDFNSAERSLTFFGKLWSEWWV ELPLRADRFFLRLRNKSSLVQPLTAGQWKQTFSDVQVNGSHGSHAVRSGEHSLFWATQ GPVWDAGLIRGFRDIYPGMHYASRWYTVLSMELGFGGKLRDESKMLVDGASQTGWRKP GGMIYANACLMDSFKNPPRASMGFSLTSRPRAREDAFNTLAPSSFECSFNWWLKFGRD GMKLLSGLVEGQSVDKAVLRPSATEAFHHLRCGLTWNL XP_844565.1 MYRLYRRTVGYQTLHQRLSACHVMCRHVSTDNSDGTTPPKPRRS GIRRVVPSDEEMAELHDLEQEVASTTSSRSKQSALSGVMVEPMRFSTSGDSGMEGDGD DLGELEAEGDEEGVGTNSLAEAENVYKRHNDGGALEKRGLAIPPSGKPTDPLLANRDD EGEGGAVPLSQAEEMTVSRSTLERQACVRSLSLEELVEAVTLYLRATKNPRLVSADEE HIFFPVLMERLNEFHVSQLLDVVECHWARSTLVRYGTTFKDMVRDRIALIATAAAKSA SKRPAAAGESGNDNRDGGAVEEEADDYDEQGGAVYVHEAEEKTSDLIILRAAEEMSPE TVLRCIIVMGMSAGRRKRDLQFFQAMGMFLVHHINHYKDPHELVRVLTAFARAKIVPP KRFLALLGRRFAVLNKRKKLGSLPSYRASVNLYKMGHDQMNTFRFLADCILETIDSNI KAEKKRLRLAQLQGSSNITAATTNENGATNESGCGGSTSSSNPTVTNTTGAGDLKATH TSEGASDVAFIGDLDPHLLQNLRARERFKRLTELKPSMFTKLLLVLARFGAPHQQYLR PTTVPLILPTLRAFPPPSFTRLLRAMSLFRTTDLDLIEPVIDFMADSLGPTNVVPADV LQMVRLVAPPDVPVPRNLVKLISLCEAVYSSSASFSHSDGKSSDSADAAACAMTTLSP IRPGDMCAVAVVLLKIQMKDDVPLEALDPLTRLMEFFAERMYLLMKLHIVSLTHVDVF TDLCRQQQHPDVSGHIERLCAERRRVNDAEGDDEYYSQLDIDVRETLHRILIVNDYNT YGQYRPTPGVLQVDFKQALTEVSAFDVLEAADLFAQAFSNALKPAVERHLSRSIIAKL DGGGEEVITEGNSIVLRPPRELLLTREDLGKFVCLLQRTPLRRVRASPVVWRFVEEKA KKLGMDDVLRVVENKLATAV XP_844566.1 MYPFIEPRKIGEKYRVEKNMRCLSLLPRGCGPMISVMHVRFITS LSPAGATAAGFQPINSTWTNTLGTATTFASTDQSKREVKAEGGACTTYLAPKSRARKR TVTGTVKILMSMACTSERETKRSTVITTAACAEETKEAAEGTVAVDVTPQPVDPRRLL RVLRAYIRSEALLEKLCRSKIPAVRRHYLVIRARRQRLPVLHRDILLNEVTRVVERRE VPLIEVARLLKLRWPAQFTDLSGSRCLSFLKRSLMSWIAELLREGKLAPDGARTVLSQ CPRLFHGHISLMSSIVERALLDVNTIQNPEPIIVLMWSVNEAGTHAPNHFWRRVVGRL AQLNRSLRHRFGDTVQLGKCESGHKGDTAVCSAPGDKGKDCGETDIKSNNDNKEGNIN DTKRNYTVGHVFSGLTTRQLFRVLRVLRKECWCNDVSTVYDFVDKALKNIALEVDAIG SCEATSQKRPMSRQTITQRVKKSADLTPKELLSLLSIAGELGVDFHASLARTSDFLLA PMVHYLDRGQLLQLSFFVRKTHCDSPTLLQSMANEIVRRGVNYPVSLSVSKAVLRTAL QKPVLLSQLTLTPLVDHVISLCKTYGWYMRASQLLGWAEVLYDLSRRHDPSSSVGVNV RSCVERLAAPLRAMLEVGVVPMTVVSRFIELTVILGMRAKPLQYTQSIKLWEERNAAA NARITFAKSVLNKENDTLIPMAEFPYNAAELEDSGEVGEVTSRSTAELCRAARQVYDE LIYLFEMQMIMRSPITQKEEDRLNDTFSHVGLYNIVVGARAMWRVHLQPSSFPSANAS CVEGVVPLSSPHRISSTPPRALPVWVERRVNAIVEGRIRRANISATSTDEDVLRLFGQ RRCNAAKVRHFMQLLMEESSLVLVKQQRFVWIFTVELARRFGGEQEQQMAQEMLAKVL Y XP_844567.1 MYRCRSAYKLLELDDKFSLFGGCCRTVVDLAAAPGGFSEVALQR MTAAEDVTSRPPVKPLVIAFDTRPMKAARDLHVVQCNINDHKRVIASVEEFIQRRGVG DGADRQVDVVLHDGVSVAKSHSAFSVTYAQNQMAVGALRLACALFLLSSQPAGTRQPP RAEDGLASSSKAEPQGPTFVTKAMRSAHFNQVLSAMKAYFRHVSVHRPAECNAASSET YVVARGFMSHARNRRNPLFLNQRQRLLSLPPFSEDVLPGRQIVWRCWGCHMYCMGAAP CINCSRVD XP_844568.1 MSDTESIQFNEVTDNEEPNVSVGSRAMPQGVDKTGSAQQFNRPP LHGVLSPTARKGQMYSSSASTPKFVVLNDEGVGDSDHTESLQFKELTDVDSPSTRGEA KALSRSISNRPVTQESKRPPLHSAVPQASRRSHGQSSEGTSLHFVVHGDAEGDDDFDD SDSIQFHTEGASRNVSFKVQSTTSMAETKRGASAVRGQQLVNMETADDSLVFFVEDTQ SSIGKKINSQRENSHDDTISFVVEDPVTGGGEGTSSAEKSISFVIASADEASEKSPPV PLAAGGKRISTSPSPKSPSEKVSKRAAGSGNAQRKSKRTSVSKKAQGPKEVGTGSARA NSRGSTPQHVSNGGAGEGATFNVYKVGGKHTLNKAGATALRPAAEGGLAPRTTNLAGG RVLNERQAAEDNETIASLVPNAATLLPLPFPQTPGRKVSLKAPDVEEMVLQAKVHTEY WRMFNDVQREEVVQLTERIVRTREGGTNVWEGKAEKERPSQTSRPLCFSDLFKKTRKD SNRSGNRGRTNAGGAHTSPGAMSTGCGRLTDENKPSANHLGGCYETGIGTLRIPSPNK RRWPLTRKARRPRPPKTEKTGNSRFENISKEVRDDALAKFAELSGVAWPPSSRDELFF LTGVRLTPKQREQFYESMQLYTVASWKVNMNVYAACEQETAPSNAREVKRKVRVTRTT LLRKAFAVMDIEKTGVISVALLPSVRQLLEEERRNLQDALSGRKTQEAFLTRSRNEKA KLSGRVNTTVSQKKTEGDDDVKAVSALRIYRLVLDVLLPLLGASGLLTFDFTTVGLLV FGTLGLSTAGASPSFLKWREATLRCFDSLLKHPV XP_844569.1 MGKLQVCICAARNLHEQSAPCMPDPYCCVRLGDTTYKTKIVNNT CNPVWNQTLRFYVADENTAHLCVELWTTDVITDGVLGSICLPLSGLTMGIIQDSWYLL SHSPTNAELRIRLLACDFGKQPHPNQRWKITNDINNCSVLAADEKLSAAAPALMA XP_844570.1 MRSNCAPLGRVKIRILDLTVLSEDASDFVYDGLYVVSRLGPDAQ RTNILCNGADSAGGRCWNEVFMFHFEGNPPEFRETAPTLSKSGLDVTVGQHISTYHDG PSLGNPSREYILPQQPHEVKRGLLAPPWMGGSCALPAITLELWRYSLSSDDCLARYQF CIPLEMSSGNIADRVVRLASASSYSVQFALRIRVALSGYDGGALGFENYMPAMSPANL PVLWRH XP_844571.1 MRRYLLASLPMAHRPRYPSHTGLVISMSHVSFEPKQQSKLQVLT AYSVARDSSPQFGRSSPFNHLRQLVTAFGTSMAVKQLSRGKILSAKPSDGGNNTAGEQ NGRDKKEEESPIFPNSSSEDDNTEELIVARSVLFVLVMLGTSYVLYKLSQPLGKQTGW ASLLKNAEIVKSIKLYHNYAQVYLSEGKVYLGLVDDQHTQEKLEKLNKAYNDAVTTRI KEPRPQGNGETVTTKSEGIEVSLQGTPLGEQALVVLGVFAWVVPFVFFPVFVMVLSNS IGKSLALTLEAGKKTHKSKEMVFRVELSSSTRFKHIAGLKEAKKEVTEVVDFLRHPER YTSLGAKVPTGALLLGPPGTGKTLLAKAVAGESGVGFIPVCGSDFVELYVGMGALRVR QLFEVAKKQRCIVYIDEIDAIGLKRTGAGIGEKQEQEHTLNELLTQLDGFSSSRRGDV MILASSNVSQEQLDPALVRPGRFDRIIHVDMPVISERIDIFKVHLSALTLVKESNKGA KGAEVEQQTEEASTESSESKKSDAKANAKSDDEGKMESGTVPSSENKSPTADEAKGFN SCEVSTANATTSSLVVSKLQEEMEAEQELLEQEKLTGDPSYDFRTLLANKSERERALI NSYAERMSSLCPGFTGADIANVCNEAAILAARENNSNVDITHLERSIDRVLAGIEHRS RVLSPFERQVVAHHEAGHAVAGWFLNRADPLMKVSIVPRGGSALGYAQYLPNENNVRT AAEIRDSISVTLGGRVAEKIFFNHLSTGASDDLNKVARMAYMFVSSFAPRPVYPAPGT AGTRIVKPFGPKVSNHIDVEAKKLVDEIYEQTYSLLLSKKEEMETLAKHLLENEVLTF DDVVRYLGTRKARETDRKKGV XP_844572.1 MRPEFPGLVEAATETECTEASTEPEDEQWEDEEQMFVFKVAIVG DYSVGKTSMVKRLLDIPYEKIASSSSAPQPPGSSREGEVVSNSLQPLHTTTPTVGTDF FSRVVRNVRAGQHVRLQLWDTAGLERYASVDKSTFRCASAAIVVFDVKNRESFAHVTS QHLDLVMRYNPDISGRHIFVVGNKVDLIDNTEVEDMDRLVTQHELQFELFSAFPDVQY YEVSTLTNYGLREMLHGLCHTLLNDHTTCEEGNIKENGPTPAERGPLHSHLPLNFDTG TTMDGETGTDGTLFTTDGVMASAPSLYSLGSMVTVRSAQIAESGWFTPRERGSEGDTC ACDKYMTEEVKESPTHGPSGTDTTLVAEDVDGHEETACSHMHMDPTALLSCKEEERDI TLYSVCSALESGVVDNSVAEASNGSGSIDALNMGDETGVESTRQQTSAAPPSVENTAR FVGGSQRLHNSMSMDSYFGSLSHSWAATGRNANAISSDDHPEASSHRRRIDDMLRRID RDAELENSPESRHVAEDVDYGATPVDHGNEKPSKVNLSSCSCFGSKGDRSKRSGC XP_844573.1 MGRRMRHAATALEKGANAHAVVDTASTGGRNGHVFADHITSPPG RSFRVDSAPPPADVTRNCFTYCGPDAGSDPQKRLHQQFKALPKEERQRIAAQSAEETD AIARTVHLRFLPTGMLQSELAALCAQCGEYLRVRICGNSTNTQNWIYGFVEFADRSGA AAMMRQSGLELPNGPGKPPLRLKCNAAKQPIVDRVFHDASPEGNVTCIFGSGNFANRT LKEAVDSYYNLKRKEGNMGAAQARMNNSSHSNNGNGSSGWSSKHNNNDNHHNHNHDHH HKNHHNNNNGGDGKDQRASLPPIITETHNWVEYTAPTSSLIDQGSPCNSVLGAESPPI ASQQQPSPGCVDVPPVNDAKWPSSGSYLFDSPVYFLPCPSPVSNFGHGAYDVEFAGCK GKFSSLLGFAPKHNEFGPTPQLPQYANLQQLVDRARTLVLTAMGYAQVFVVTRERSHD AVGALRRLYELTAPCVTPQALNDFRKASSTASDEGMEMLQQRLLQLRLLACLLLSLLY SIKGDCEEMLQAVRNAVACCNVIPTVPLCRKGASVDAKRVETPAAPGDWFNVVGSREG GPSNSDNGNENENDEEETKSVVGFGQAPKEDTVPVFNPLAHVLDLFGDVSIGPEAGAE GRPPASAIGSATGVETAYHRCMRFHSYVLNVFLTIGFAMEDTQPVVARCVYVLVHRRA KELFGEAPPELERALQEGGIHHLRPVFLPELRHDTFVETFFTNFDVNSAGAAGEGMWF YLPPEHMVRTFGVDR XP_844574.1 METESKTTVTSGAPWKYNGALVRDPTKRKSSDLLPKNLRKCPYC RRFGCSEHISRCGQQLVECSCCGMEVEMARLAAHEEYYCKGRERSQTRVLCSEPPTPP CEQKSDVSCSKGHVCEDVLPSATERTAQVCRKHTDRKVKPAVSNGKQAVETNLKGVSP ECKIKGDDVQQTATHVYPVEPPPLPNTVQLEERTAVGALTENPSERSKMDGEVVRQKP SFSGFVKASHEVLKDIKNCRSHATHSTKCNTTTSLWGASRRLSGMPYPRLRGSSAFGA FTGFSKVAKGNVGVASRTETRHSRQQKDDTLTETGPSIPPQRVCVRSKSAAAGQ XP_844575.1 MAPALRGNRIRRCTAHKRRYWLIIILLAVSAAIVTFRTLLEDVE RVRRRERKKITERCTYWKRPLWVKQSLTYVPAPVVSAWEERRYLVVIGIPSIDLDVRR RRRDLQRAACWTYAGVAVRANGFSGEMLPLYILARHPENGYTYTKALVEEATQWSDIL TLPMNEGRPSGRKRVGQGGKWGIDAEIGMSRKTFLWFDMSVCLFPYAPYIAKADDDMF LRVPQYLADLRNLPRRGLYWGTIDVHTVQGFRFNYAYGACYTLGRDVAERFVSYKPLR TIIHVPYTAIRDEEFQSLCVMVEDAMVGITLRRAMYHTNITYVHEPKCSFHDVHAGTT LGAVTKSSIMVHHVNESDYNELRRRFNNEKNISHRSLTGSQGPLREMSCL XP_844576.1 METESKTTVTSGAPWKYNGALVRDPTKRKSSDLLPKNLRKCPYC RRFGCSEHISRCGQQLVECSCCGMEVEMARLAAHEEYYCKGRERSQTRVLCSEPPTPP CEQKSDVSCSKGHVCEDVLPSATERTAQVCRKHTDRKVKPAVSNGKQAVETNLKGVSP ECKIKGDDVQQTATHVYPVEPPPLPNTVQLEERTAVGALTENPSERSKMDGEVVRQKP SFSGFVKASHEVLKDIKNCRSHATHSTKCNTTTSLWGASRRLSGMPYPRLRGSSAFGA FTGFSKVAKGNVGVASRTETRHSRQQKDDTLTETGPSIPPQRVCVRSKSAAAGQ XP_844577.1 MAPALRGNRIGRCTAHKRRYWLIIILLAVSAAIVTFRTLLEDVE RVRRRERKKITERCTYWKRPLWVKQSLTYVPAPVVSAWEERRYLVVIGIPSIDLDVRR RRRDLQRAACWTYAGVAVRANGFSGEMLPLYILARHPENGYTYTKALVEEATQWSDIL TLPMNEGRPSGRKRVGQGGKWGIDAEIGMSRKTFLWFDMSVCLFPYAPYIAKADDDMF LRVPQYLADLRNLPRRGLYWGTIDVHTVQGFRFNYAYGACYTLGRDVAERFVSYKPLR TIIHVPYTAIRDEEFQSLCVMVEDAMVGITLRRAMYHTNITYVHEPKCSFHDVHAGTT LGAVTKSSIMVHHVNESDYNELRRRFNNEKNISHRSLTGSQGPLREMSCL XP_844578.1 METESKTTVTSGAPWKYNGALVRDPTKRKSSDLLPKNLRKCPYC RRFGCSEHISRCGQQLVECSCCGMEVEMARLAAHEEYYCKGRERSQTRVLCSEPPTPP CEQKSDVSCSKGHVCEDVLPSATERTAQVCRKHTDRKVKPAVSNGKQAVETNLKGVSP ECKIKGDDVQQTATHVYPVEPPPLPNTVQLEERTAVGALTENPSERSKMDGEVVRQKP SFSGFVKASHEVLRDIKNCRSHATHSTKCNTTTSLWGASRRLSGMPYPRLRGSSAFGA FTGFSKVAKGNVGVASRTETRHSRQQKDDTLTETGPSIPPQRVCVRSKSAAAGQ XP_844579.1 MCRQFNSRGRILRSSISLTVLLFTICVVTSLLTTLSNNSERAGK REINVPKTAIANKGQHLSEHPLWVKQSLTYVPAPVVSAWEERRYLVVIGIPSIDLDVR RRRRDLQRAACWTYAGVAVRANGFSGEMLPLYILARHPENGYTYTKALVEEATQWSDI LTLPMNEGRPSGRKRVGQGGKWGIDAEIGMSRKTFLWFDMSVCLFPYAPYIAKADDDM FLRVPQYLADLRNLPRRGLYWGTIDVLSVQGFRFNYAYGACYTLGRDVAERFVSYKPL RTIIHVPYTAIRDEEFQSLCVMVEDAMVGITLRRAMYHTNITYVHEPKCSFHDVHAGT TLGAVTKSSIMVHHVNESDYNELRRRFNNEKNTSHRSLTGSQGPLREMSCL XP_844580.1 MNEQLRVALESVEPQAGSSLQAEEVQSTIRKKEMFAPRIATLAA VAPLAEEELPPAVYRKPKFSFLSDPSERHPPDALSVYEVPNNSPKAPLPMWASSLTSP QLGRQRIRVENWISTDSMGTVPEDHVQDFLAWFREELIKSSQTVLWNMLTDNVDFHLR SQYSRGLVARKPFHKGDMIFAVPLYGALGADSADEFVPSSPAPSVASEGNLTPWSLTI NSENLQRHSVAAQRRGVPSYQSVEAIVGQRKSSFDPLPHPLFVDQVHFALLLACERAE GAKSPLFSYLRLLSPFDDDFIRELHLGVLDPATHLEYTDHCGRFSHYLRQIRNRWQQE YESAVCSGAGEVSSVDGSVSPNDGNDVVNARSPIEEGKTQCTTPGRLPPPSMDDLTWA LRVVLSRQRLLPLRRHTEHFEDICSEEKVLQKLHLWTRLITKMHMGVMDNIFRVVDRN RVGVNDFQPHTIATIVPIVDMLQHPPGGMSNTSCSIEKISLSNDSNKVANTAGMCKEA VCAVVRANSRIEEGDELTQLYPRCYSVSYTLYRFGFLPLRRRAGDAADAVLRTQR XP_844581.1 MHRKTIVSNYFITPEHSIAVPRIFTPNVSVEDITLSDTPTQLST SVAQARAPGPQAAALTVGGKRGRESSWYSRCHNNSIGENTVGFAGATPGSHHCDVVNP YDESHQGPVRPISDFGERAIHSESLDAYRHAKLPRKEPITAASTAGNRESASFASMSA DLVRLQEQYEKLNRSIEEARDELRRSREEIERSKQHADQAITDLRVEVAQLSKHVQLL EGRNTSVEQSVSSLNGMRRVVECLQSELLTLRKETTQNSTAVAVLEKLLDDIKHQPRI PQAPANLSAGSANRQPTSSGVAPFTSPAAEPPVAPVSTGTVSSSSTGINAVSTNKSSA TFGTLISTEGSAAPPKSSGTFSFGSVAVTATHEDKTSSPFGTVKPAGGPTEGTATTPK SADTYSFGGLNSATAATSEPPKPFSIPAAPASATPTGGSETTPASANRFSFGSISTAK PFGPNASTPFGAAVSTEGATATQAQAPSGGFSFGGAGATESSTSSFSFGNAPKPVENT TNGNNAAVASAPGPGGCFSFPSSSAAQTSVSTGPEVTRDPLPNASPFATLSEPTAFGN NASFPVGALAPTTAFGSATTTSNSFGSVQWDGSGALKPAAPQEGVTSSVRKRPCRRY XP_844582.1 MFSVCYVTTPTSEVAREISRILVSSNKAACVNIVPSVTSVYRWE GQLCEEQECLMMIKTRTELLQEVIDSVKKNHPYSTPEVVSVPISSGSEEYLKWVEENT MPTSSNNNSGCSCR XP_844583.1 MKAQRYASVLTLDNLTGRLVVVGDIHGCLAQLQGLLRAVSFKQG SDTLVAVGDLVNKGPDSFGVVRLLKRLGAHSVLGNHDVKLLKLVKKIREKGPLNERDT KSSLAPFALTVPVDVEVYLSQLPHIIRIPAHNVIVTHGGLHPQRPLDCQYIDEVTTLR NLIEKEQKAGGVTLVATPETKDGGVPWASLWRGPETVVFGHDSRRGLQEQYRPLAIGL DSGCVYGGRLSAAVFPGGHIVSVSGWRREAKL XP_844584.1 MVQREVFTFEPISKRRLETLMADPFFKEAYRRWGLQHRTKISAF RFERDTTGTNSGTVGTVVSGHFRFFGFHKGLLQEFLASLFDAPEVREKLQLRGEPKGT SSSGGGVEYCELQCRWTTLEPFKRLIDAGIVRCVEDEDKGDEAKGTVMVSEFETPLFD GVRMPIVKRADVYLPHDIVVCDELRALFLLAHNKFASVGCEYDEDDDYMGSGAFTFGS CAGLSLAVLRTVFGDVNERAEFLYHILWRLVAGGGTTNQWDDELTVYLEATRMLYKAL VSIRAVPTAAAAGAAATNGADEPDRVVEMKDTPHQSLQPDVVSTVVSVHAVAGLHLFD RDDGASPNNLNYCYVCIDPLLGEVVVWYHSF XP_844585.1 MYALLQLLAILTLLCVHRGVETAVVVVGQREKLCLWDFVPPHTR ATFQFYVVETGGKGIKATLHDQNGTELMQWDRASSGDHEVLAKVGATALHACFDNTGP HEASKRVSFHFRFHVDYNSVSDETKLLDPVERLVVTAAKSMRRMQLKQERLQALQYVH KETVDATEKWMMLWSIFQAISLLFVTIFQLYFLKCFLERKSFV XP_844586.1 MGCCSCCIDENHDLKYATPDREPPDPELFPHYLQNKQGLWLHFT EWAPPRDVPNVRGVLFVVSGLGEHTARYGGVGRYFSREGYHVFCMDNQGAGASEGERL YVVDFEDFVDDIFLFRRRVFSLYPEYAKLPRFLLGHSMGGLIATHVSLRDPTSFAGVV LSGPALEPDPKIATPFKRWLVGVLSSCAPKFGVDSIDPKLASTNRQVVELMEQDPVYF KVKLTTRWAKTMLDAMESVWEHVERATYPLLIVHGAKDALCPVSGSRRLFSCVPTTDK QLIEYPGLGHEVLTEVRWREVLGDILKFLNAHCQ XP_844587.1 MLICPTVSSPICRIFSLFFSQFLLCFLFKLGRSQETNSGNMKNA FTAKSNRRRVKSWMKNHPMEGDDIITSFYDLLCKRDPKTMKPCRNVFVPDTVVYEHNF PRGWYTTDVRGQEIVRRQGKDLDAATIEFGFSREVAENFPIVATYLSIHEEILDNGVT ETTTRVQVLNKDDIGAFVARKNKSNGILQRFVFPKGYHNSVIKVVWSPRIAMIQRRTN KYPIKDRKRAESDPFVVTVTYEGPEYLSEESGVSAHVAFEVKNVCAEIIKHFFHAEHK YITRMVLYFKSDGKDRLWLLWCGSLRVAERATQCHMPMNLAPRFGEPMREGSLSEQEM LRRVDKAYYVITRDGPFCDAYLRGNDSRSDVSSDRRSTRGAKARDCFLSEGKESDSLQ SKRFVEEEDLPPELENLLVEMRKLEEMVLETFADKFYNAYSYFLGSTYRPFELVVPPN VVNVLTQSSTRELMEFLMLEKKPEAEGKAPARPEGGAAAVGADPEGAEVTEESRVQME ADTSERPMVFFIPEKHRLPISLLRERTEKWIKELFSSRYDEVRKDYVGHTEGAHHPEG GSTESDNRANVKNAEKFPSEGAEL XP_844588.1 MIKMSPLLLKAAVVTACLCSLAVATTVEEQTAPKPIENATTYQQ ELGGRGKVDSPIAPGDAVSITSGIKVMSVTTATAIIFLASAFGFSFAMYWWYVASDIK ITPGKGNIMRNAHLTDEVMRNVYVISKRVSDGANAFLFAEYRYMGIFMLGFGALLYFL LGVAMSSPQGEGKDGRPPVAVEAPWVNAAFSLYAFVIGAFTSVLAGWIGMRIAVYTNS RTAVMATVGSGGSDDDVLANGSQSRGYALAFQTAFRGGITMGFALTSIGLFALFCTVK LMQTYFGDSAERLPELFECVAAFGLGGSSVACFGRVGGGIYTKAADVGADLVGKVEKN IPEDDARNPGVIADCIGDNVGDIAGMGSDLFGSFGEATCAALVIAASSAELSADFTCM MYPLLITAGGIFVCIGTALLAATNSGVKWAEDIEPTLKHQLLVSTIGATVVLVFITAY SLPDAFTVGAVETTKWRAMVCVLCGLWSGLLIGYSTEYFTSNSYRPVQEIAESCETGA ATNIIYGLSLGYISVLPPILAMAFTIYLSHHCAGLYGYALAALGILSTMSIALTIDAY GPISDNAGGIAEMAHMGHEIREITDALDAAGNTTAAIGKGFAIGSAAFVALALYGAYV SRVGISTVNLLDARVMAGLLLGAMLPYWFSALTMKSVGVAAMDMVNEIRRQFQDPAVA AGTKEPDYESCVNIATGAALQQMVAPACLVMLAPIVTGILFGRYTLAGLLPGALVSGV QVAISASNTGGAWDNAKKYIEKGGLRDKSKGKGSPQHAAAVIGDTVGDPLKDTSGPAL NILVKLMAIISVVFAPVVQSKLGGLLVK XP_844589.1 MEDVNRQNHNDSQKRHEYPGRSGENEPSHNQSPLREGESGYRKA LCRISLQQMITRPLLQNYNRREVSGNIDVYTYIYIYYIIQVARGDVLRGASQGAQSLQ HGNRHINTSLCTYVCLLPPSLFTWNVFHHVRLFLQPLQYLNNILCVVTYLFSSFSFSL FLTMVAFFASMSVVAPNETIIGLR XP_844590.1 MMSPVCALLELKGWCHFYELRQRLRGFVAEELCSKLEQEDVEVV KWIRCREQEIYSAIVRRFLYPVFEDRSNAECIKVSDDFVLFMRESVVGSLVGVCKVLP VCAMLSGSDGKLCTANMSKMPLSSVTEEGGPDVAKFVGTRCGSKTHGGTASWEWINFF FNKEIGAGKGGRLKGACTLPPSFEQWDASMAAALQRVGDVVGEVQKDLVSALNGLGDG RFGRVIDDYSGEGCDSLWAELVFMVESAFDAVVTDVVEFILWSVHQCFVGGGVFAGHP IFTLKAFWQYGNRSVSLSPAASEVQERLMDRVKKELIWSVVPELDLNHIIKRQVPSAS WTRRATLRNRLLDEFKVKRMWDAIHFAIGQRCSELQDSLQDLTELYSFYFSSGSEFHQ MTYQQLEEVRKGMRTSLEHPVLSVGPGALMICRDYLSQVVTEKVEAALKNLKNESEGT DALGGETITAARMKALREKTDMDPSSYFHNVLMEAFCRRRKDPGKYDGVPDPWASVSR FIDDQRQDENRVRSELTVLQKRQRLTTRDFTDKAAAVAPLSEPTAGPVAMPHIVNAES EQIVAEAVTSLRDSNSLQCVVRLSIDLEEAKLRNKELPPPHSATVCAHGVKQRQPSDG KRRSICEEGTSSALPQELTERRERITSEQQCDKQVAVAVGVADGTPAAVGSSGGNNTA EGVVDTSIGHRRVPCPTSSIDCTLSSHRSNATARPVSSGETFCSLINKQKIAVSRKSD SNAMSSTLVKPFWNKGHALTDTDVGKQTTRYVGTISNEAGSGVFEELPSAVNEVSICA AQMGRKVPEVGVHISSDATYGELKCEQELHPFNLSAIKTSEDRVQAFKKLMPSLHDMP CEGVFIEDIDVSDPRLETLISRLQAVRENAAVGDRREVAVVEESVSSVVKLLAEELAA MTLGMLSRFPFLESRVCGVLLGNLQVLNVDNEFQWLEALYRSSQRERGFVRSIEQCLR ARAEMLAKSSPYVVPVERHKGYMLGLPQKIGCGAPRNELAGDWDQQETSQGSETPSGD RYRRSGTPTPCLDTSKLTERVGMPNLPPPLPPSSLNGKSGETAPPGRFVRNVHVQEHP PTPCTPGICVNRDKASSNTTSIAQGRELLPPWHSTPSPGIPCSSSTQLCTELNKLTEG NASQKHFTCAGETVLLERRALEGKRLICEEVHRSHLHPPSSVKSGTAAPAAVNNKRQP SSAPLPIVRQESLIFSVDSLACEASHDPGELKGGEQLKTAKTAHFDATTGNSMDKSMR LGETTAARRPVANGCDSALSDSKQKTSEFGAPNTLSTSPGAPPTLSTGECRSQSPKIS SSVEKTPTPSRRTQESTQLGQPLNHKVLSQVSLAGRFASGVPTTSSCAGLRLNTSATS DTLHNTTRFCGQRGQDTVVTKWGKGSEDRGTCVESAVGVGGAEKREQKQWGESSKVTS GSDKKRSCDLGIIQPSLKKVSGTSGTLCFKDTDVIPKYSRASVSSEEEPEEAKGLLPA VSVAASRGTSIDPIYKPKTPQNKQIPWGNSVDLSGPQSDPAPLNSFSFSCSAGASRGE HNNSFLPRLIPAAKFDSPICRGQEEELDAVEMNLLGLRQQYVAYCRRACVKPNSVLMR YFPDKPGIFVSRVDTSMNYIGPKGILPVVQVLRLNSGLEYLNLSHNNMENNEVVELVQ VLLTECGASLGHLDLSNNPISTVGGAALLRLVQMRPHLLTVRVEGTLIPQKMCKSIQQ VCEANAVARE XP_823698.1 FHQMTYQQLEEVRKGMRTSLEHPVLSVGPGALMICRDYLSQVVT EKVEAALKNLKNESEGTDALGGETITAARMKALREKTDMDPSSYFHNVLMEAFCRRRK DPGKYDGVPDPWASVSRFIDDQRQDENRVRSELTVLQKRQRLTTRDFTDKAAAVAPLS EPTAGPVAMPHIVNAESEQIVAEAVTSLRDSNSLQCVVRLSIDLEEAKLRNKELPPPH SATVCAHGVKQRQPSDGKRRSICEEGTSSALPQELTERRERITSEQQCDKQVAVAVGV ADGTPAAVGSSGGNNTAEGVVDTSIGHRRVPCPTSSIDCTLSSHRSNATARPVSSGET FCSLINKQKIAVSRKSDSNAMSSTLVKPFWNKGHALTDTDVGKQTTRYVGTISNEAGS GVFEELPSAVNEVSICAAQMGRKVPEVGVHISSDATYGELKCEQELHPFNLSAIKTSE DRVQAFKKLMPSLHDMPCEGVFIEDIDVSDPRLETLISRLQAVRENAAVGDRREVAVV EESVSSVVKLLAEELAAMTLGMLSRFPFLESRVCGVLLGNLQVLNVDNEFQWLEALYR SSQRERGFVRSIEQCLRARAEMLAKSSPYVVPVERHKGYMLGLPQKIGCGAPRNELAG DWDQQETSQGSETPSGDRYRRSGTPTPCLDTSKLTERVGMPNLPPPLPPSSLNGKSGE TAPPGRFVRNVHVQEHPPTPCTPGICVNRDKASSNTTSIAQGRELLPPWHSTPSPGIP CSSSTQLCTELNKLTEGNASQKHFTCAGETVLLERRALEGKRLICEEVHRSHLHPPSS VKSGTAAPAAVNNKRQPSSAPLPIVRQESLIFSVDSLACEASHDPGELKGGEQLKTAK TAHFDATTGNSMDKSMRLGETTAARRPVANGCDSALSDSKQKTSEFGAPNTLSTSPGA PPTLSTGECRSQSPKISSSVEKTPTPSRRTQESTQLGQPLNHKVLSQVSLAGRFASGV PTTSSCAGLRLNTSATSDTLHNTTRFCGQRGQDTVVTKWGKGSEDRGTCVESAVGVGG AEKREQKQWGESSKVTSGSDKKRSCDLGIIQPSLKKVSGTSGTLCFKDTDVIPKYSRA SVSSEEEPEEAKGLLPAVSVAASRGTSIDPIYKPKTPQNKQIPWGNSVDLSGPQSDPA PLNSFSFSCSAGASRGEHNNSFLPRLIPAAKFDSPICRGQEEELDAVEMNLLGLRQQY VAYCRRACVKPNSVLMRYFPDKPGIFVSRVDTSMNYIGPKGILPVVQVLRLNSGLEYL NLSHNNMENNEVVELVQVLLTECGASLGHLDLSNNPISTVGGAALLRLVQMRPHLLTV RVEGTLIPQKMCKSIQQVCEANAVARE XP_830032.1 MMSPVCALLELKGWCHFYELRQRLRGFVAEELCSKLEQEDVEVV KWIRCREQEIYSAIVRRFLYPVFEDRSNAECIKVSDDFVLFMRESVVGSLVGVCKVLP VCAMLSGSDGKLCTANMSKMPLSSVTEEGGPDVAKFVGTRCGSKTHGGTASWEWINFF FNKEIGAGKGGRLKGACTLPPSFEQWDASMAAALQRVGDVVGEVQKDLVSALNGLGDG RFGRVIDDYSGEGCDSLWAELVFMVESAFDAVVTDVVEFILWSVHQCFVGGGVFAGHP IFTLKAFWQYGNRSVSLSPAASEVQERLMDRVKKELIWSVVPELDLNHIIKRQVPSAS WTRRATLRNRLLDEFKVKRMWDAIHFAIGQRCSELQDSLQDLTELYSFYFSSGS XP_844591.1 MVTFMRELYVRDGAFTYLVFSLLLQVLTASSLANDKIQVKVYNM LYSNKISAKIYDPITAGFNASITNGNTPSGANVEVVYVPPMDDSKYADYLGKHMTTHD GKELPVILGPVGDKNTLGLLKYFKEKNVISFSPLTGSSKVRSWNPNLYFLTASPAAEM LALLRYAITQLRLHRLGFMYLKDVSYGDDEYELAVELTTRMDRKLCGVFALKSQIGKE SDDSSFSAEWNKFANTRPQGVIVFGSPINDTKKFLMKSLEDERTKGGYLLIPSTLQYV IENKWEKELGRDRFVPDKIIITGTNPLAKDDGYDAIKRFKGDMAKYLKEKKDILSGTG QWNVNLNVDESNFTEQDTEAELMVDGWIAGEVLKQALSCREWLTSRDAFITSLYNQRR YVIDDIVVGDFGGECRGMAGERGASCLCNQGGNVVYMKKMGKDLRLYPMKEGVLALTS SRCYRDLSQLYAPLSGIMFKLTDDPKALRTAEAIYDGAFYVVGKGQLGHSDRFFLHWL SSKSHDTSTALYDEVEKRVVTAVFGVVDDSLLSTKGMAFIDPITLTPQLSNPRRNVIH LSPTLEQQLFVIVEHIIQNGAGKMHAIVRSGDTRGVRKVLQKTLDFFESSLSSFVASG EGSTLRDKLPTAGEVLVAGLISDDIPIILSHLGKHHNVRIFVPFFDVALLYDELVSAF RDKPFADRLLFATNLPHWGDKNTKSDMVREFHRVVRDESKWKPLSLLGYVTARAMINI LQRMGHVTPEELVDAIFSQSVITVDDMRYGPFDDKCTNGASFEEKHCAVNYGATHISV WSMSRVLNPSVSPVVTHVTPSMKYGDTEGFRLKRGHIAAIAVSCVVVLALFVTVLVLV SASRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLIT RYECYEVKTVGDSFMIACKSPFAAAQLACDLQRDFLNHDWKTTELDESYREFERKRAE DDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAAR TESVGNGGQVLMTRSTYLSLSGEEREQLNVTPLGDVPLRGVPKPVEMYQLNAVPGRTF AALRLDREFEEEEDNVTGSITSGSGSPERGLTATAQQVAGCIDALLGTFPATQRQKML ATFCERWRVKKPPGMDAWNEASCRCVTRRIAAKVGCVMDFGTRNTSGSVPSFERGGSF FSLGGVAAAIMLSSSSNSFCGEGDCSGVQLIDLDNVSAAS XP_844592.1 MVTFMRELYVRDGAVTYLVFSLLLQVLTASSLANDKIQVKVYNM LYSNKISAKIYDPIIAGFNASITNGNPPSGANVEVVYVPPMDDSKYADYLGKHMTTND GKELPVILGPVGDKNTLGLLKYFKEKNVTSFSPFTGSSKVRSWNPNLYFLTASPAAEM LALLRYAITQLRLHRLGFMYLKDVSYGDDEYKLAVELTTRMDRKLCGVFALKSQIGKE SDDSSFSAEWNKFANARPQGVIVFGSPIPDTKKFLMKSLEDERTKGGYLLIPSTLQYV IKNKWAKELGRDRFVPDKIIITGTNPLAKDDGYDAIKRFKDDMAKYLKLNRNNFSKDN WNVNLNVDESNFTEQDTEAELMVDGWIAGEVLKQALSCREWLTSRDAFITSLYNQRRY VIDDIVVGDFGGECRGMAGERGASCLCNQGGNVVYMKKMGKDLRLYPMKEGVLALTSS RCYRDLSQLYAPLSGIMFKLTDDPKALRTAEAIYDGAFYVVGKGQLGHSDRFFLHWLS SKSHDTSTALYDEVEKRVVTAVFGVVDDSLLSTKGMAFIDPITLTPQLSNPRRNVIHL SPTLEQQLFVIVEHIIQNGAGKMHAIVRSGDTRGVRKVLQKTLKYFNASLSSFVASGE GSTLRDKLPTAGEVLVAGLISDDIPIILSHLGKHHNVRIFVPFFDVALLYDELVSAFR DKPFADRLLFATNLPHWGDKNTKSDMVREFHRVVRDESKWKPLSLLGYVAARAMINVL QRMGHVTPEELVDAIFSQSVITVDDMRYGPFDDKCTKKSLFGKKQCAVNYGATHISVW SMSRVLNPSVSPVVTHVTPSMKYGDTEGFRLKRGHIAAIAVSCVVVLALFVTVLVLVI RSSRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLIT RYECYEVKTVGDSFMIACKSPFAAAQLACDLQRDFLNHDWKTTELDESYREFERKRAE DDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAAR TESVGNGGQVLMTRSTYLSLSGEEREQLNVTPLGDVPLRGVPKPVEMYQLNAVPGRTF AALRLDREAEEEEDNVTGSITSESGSFAHGLNATAQQVAGCIDALLGTFPATQRQKML ATFCERWRVKKPPGMDAWNEASCRCVTRRIAAKVGCVMDFGTRNTSGSGGSFERGGSL FSPRGAAAVTLSSSSSSSCVEGRCGTVQLIEQENVSAAS XP_844593.1 MVTFMRELYVRDGAVTYLVFSLLLQVLTASSLANDKIQVKVYNM LYSNKISAKIYDPIIAGFNASITNGNPPSGANVEVVYVPPKNDSDYVGHLNSETSFSN DNNGEELPVILGPVGDKNTLGLLKYFKEKNVISFSPFTGSSKVRSWNPNLYFLTASPA AEMLALLRYAITQLRLHRLGFMYLKDVSYGDDEYKLAVELTTRMDRKLCGVFALKSQI GKESDDSSFSAEWDKFANTRPQGVIVFGSPINDTKKFLMKSLEDERTKGGYLLIPSTL QYVIENKWEKELGRDRFVPDKIIITGTNPLAKDDGYDAIKRFKGDMAKYLKEKKDILS GTGQWNVNLNVDESNFTEQDTEAELMVDGWIAGEVLKQALSCREWLTSRDAFITSLYN QRRYVIDDIVVGDFGGECRGMAGERGASCLCNQGGNVVYMKKMGKDLRLYPMKEGVLA LTSSRCYRDLSQLYAPLSGIMFKLTDDPKALRTAEAIYDGAFYVVGKGQLGHSDRFFL HWLSSKSHDTSTALYDEVEKRVVTAVFGVVDDSLLSTKGMAFIDPITLTPQLSNPRRN VIHLSPTLEQQLFVIVEHIIQNGAGKMHAIVRSGDTKDIGEMLNKTLDYFFASLSSFV ASGEGSTLRDKLPTAGEVLVAGLISDDIPIILSHLGKHHNVRIFVPFFDVALLYDELV SAFRDKPFADRLLFATNLPHWGDKNTESDLVREFHRVVRDESKWKPLSLLGYVTARAM INVLQRMGHVTPEELVDAIFSQSVITVDDMRYGPFDDKCTNGASFEEKHCAVNYGATH ISVWSMSRVLNPSVSPVVTHVTPSMKYDEPKWIRLIYKHIAAVAVSCVVVLALFVTVL VLVIRSSRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIR SLITRYECYEVKTVGDSFMIACKSPFAAAQLACDLQRDFLNHDWKTTELDESYREFER KRAEDDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSN MAARTESVGNGGQVLMTRSTYLSLSGKEREQINVTPLGDVPLRGVPKPVEMYQLNAVP GRTFAALRLDREFEEEEDNVTGSITSGSGSPERGLTATAQQVAGCIDALLGTFPATQR QKMLATFCERWRVKKPPGMDAWNEASCRCVTRRIAAKVGCVMDFGTRNTSGSVASFER GGSLFSPGGAAAIMLASSSSSSCVEGRCGTVQLIEQENVSAAS XP_844594.1 MVTFMRELYVRDGAVTYLVFSLLLQVLTASSLANDKIQVKVYNM LYSNKISAKIYDPIIAGFNASITNGNPPSGANVEVVYVPTMDDSKYADYLGKHMTTHD GKELPVILGPVGDKNTLGLLKYFKEKNVTSFSPFTGSSKVRSWNPNLYFLTASPAAEM LALLRYAITQLRLHRLGFMYLKDVSYGDDEYKLAVELTTRMDRKLCGVFALKSQIGKE SDDSSFSAEWDKFANARPQGVIVFGSPIPDTKKFLIKSLEDERTKGGYLLIPSTLQYV IENKWAKELGRDRFVPDKIIITGTNPLAKDDGYDAIKRSKDDMAKYLKLNRNNFSKDN WNVNLNVDESNFTEQDTEGELMVSGWIAGEVLKQALSCREWLTSRDAFITSLYNQRRY VIDDIVVGDFGGECRGMAGERGASCLCNQGGNVVYMKKMEEDHRLYPMKEGVLALTSS RCYRDLSQLYAPLSGIMFKLTDDPKALRTAEAIYDGAFYVVGKGQLGHSDRFFLHWLS SKSHDTSTALYDEVEKRVVTAVFGVVDDSLLSTKGMAFIDPITLTPQLSNPRRNVIHL SPTLEQQLFVIVEHIIQNGAGKMHAIVRSGDTRGVRKVLQKTLDIFNASLSSFVASGE GSTLRDKLPTAGEVLVAGLISDDIPIILSHLGKHHNVRIFVPFFDVALLYDELVSAFR DKPFADRLLFATNLPHWGDKNTESDLVREFHRVVRDESKWKPLSLLGYVTARAMINVL QRMGHVTPEELVDAIFSQSVITVDDMRYGPFDDKCTNGASFEEKHCAVNYGATHISVW SMSRVLNPFVSPVVTHVTPSMKYGDTEGFRLKRGHIAAIAVSCAVVLALFVTVLVLVI RSSRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLIT RYECYEVKTVGDSFMIACKSPFAAAQLACDLQRDFLNHDWKTTELDESYREFERKRAE DDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAAR TESVGNGGQVLMTRSTYLSLSGEEREQLNVTPLGDVPLRGVPKPVEMYQLNAVPGRTF AALRLDREAEEEEDNVTGSITSGSGSFAHGLTATAQQVAGCIDALLGTFPATQRQKML ATFCERWRVKKPPGMDAWNEASCRCVTRRIAAKVGCVMDFGTRNTSGSGGSFERGGSL FSPGGAAAIMLASSSSSFCGEGDCSGVQLIDLENVSAAS XP_844595.1 MVTFMRELYVRDGAVTYVVFSLLLQVLTASSLANDKIQVKVYNM LYSNKISAKIYDPIIAGFNASITNGNPPSGANVEVVYVPPMDDSKYADFLNNETSFGE NNKISIILGPVGDQNTLGLLKYFKEKNVTSFSPFTGSSKVRSWNPNLYFLTASPAAEM LALLRYAITQLRLHRLGFMYLKDVSYGDDEYKLAVELTTRMDRKLCGVFALKSQIEKE SDDSSFSAEWNKFANTRPQGVIVFGSPIPDTKKFLIKSLEDERTKGGYLLIPSTLQYV IKNKWSEELGRDRFVPGKIIITGTNPLAKDDGYDAIKRFRGELANFLKVNGNNFSKDN WNVTLNANENNFTEQDTEGELMVSGWIAGEVLKQALSCREWLTSRDAFITSLYNQRRY VIDDIVVGDFGGECRGMAGERGASCLCNQGGNVVYMKKMEEDHRLYPMKEGVLALTSS RCYRDLSQLYAPLSGIMFKLTDDPKALRTAEAIYDGAFYVVGKGQLGHSDRFFLHWLS SKSHDTSTALYDEVEKRVVTAVFGVVDDSLLSTKGMAFIDPITLTPQLSNPRRNVIHL SPTLEQQLFVIVEHIIQNGAGKMHAIVRSGDTKDIGEMLNKTLDFFESSLSSFVASGE GSTLRDKLPTAGEVLVAGLISDDIPIILSHLGKHHNVRIFVPFFDVALLYDELVSAFR DKPFADRLLFATNLPHWGDKNTESDLVREFHRVVQNESKWKPLSLLGYVTARAMINVL QRMGHVTPEDLVDAIFSQSVITVDDMRYGPFDDKCTNGASFEEKHCAVNYGATHISVW SMSRVLNPSVSPVVTHVTPLMMDGYAKWFRLKRGHIAAIAVSCVVVLALFVTVLLLVI RSSRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLIT RYECYEVKTVGDSFMIACKSPFAAAQLACDLQRDFLNHDWKTTELDESYREFERKRAE DDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAAR TESVGNGGQVLMTRSTYLSLSGKEREQINVTPLGDVPLRGVPKPVEMYQLNAVPGRTF AALRLDRDVEDEENEESEGIFTDVSDICSSTHELCGSSLHVAQSLETVLGTLPATQRQ RMLTSICERWRVPPPKSVKNRWDKATFRLAVHHIAVRAGPIMNVVIRNSTGTALSTER SSFALSHSICDVSQLGRQHPLPSVRFHTPMEVKEYLCMSPTDKFDFSIYSCSTIDINM FNGTLEKFDSREPLFGTSLGDNGKNS XP_844596.1 MLKLLLLPLLFHTTASAAQINVNIYNMLYSNKISAKIYDPITAG FNASITNGRSHLGANVKVVYVPPMDDSKYADYLEKHMTTHDGEELPVILGPVGDKNTL GLLKYFKEKNVTSFSPFTGSSKVRSWNPNLYFLTASPAAEMLALLRYAITQLRLHRLG FMYLKDVSYGDDEYELAVELTTRMDRKFCGVFALKSQIGKESDDSSFSAEWNKFANTR PQGVIVFGSPIPDTKRFLMKSLDDRRTRGGYLLIPSTLQYVIKNKWAKELGRDRFVPD KIIITGTNPLAKDDGYDAIKRFRGDMAKYLKEKKDILSGTGHWNVNLNVDESNFTEQD TEAELMVSGWIAGEVLKQTVTYTEWLTSRDAFITSLYNQRRYVIDDIVVGDFGGECRG MAGERGASCLCNQGGNVVYMKKMGKDLRLHPMKEGVLTLTSSRCYRDLSQLYAPLSGI MFKLTDDPKALRTAEAIYDGAFYVVGKGQLGHSDRFFLHWLSSKSHDTSTALYDEVEK RVVTAVFGVVDDSLLSTKGMAFIDPITLTPQLSNPRRNVIHLSPTLEQQLFVIVEHII QNGTGKMHAIVRSGDTRGVRKVLQKTLDIFNASLSSFVASGEGSTLRDKLPTAGEVLV AGLISDDIPIILSHLGKHHNVRIFVPFFDVALLYDELVSAFRDKPFADRLLFATNLPH WGDKNTESDLVREFHRVVQNESKWKPLSLLGYVTARAMINVLQRMGHVTPEELVDAIF SQSVITVDDMRYGPFDDKCTNGASFEEKHCAVNYGATHISVWSMSRVLNPSVSLVVTQ VTPAMLKNENSGPTMKTGHIAAIAVSCAVVLALFVTVLVLVIRSSRRNARDNNNAPKE PTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLITRYECYEVKTVGDSFMI ACKSPFAAAQLACDLQRDFLNHDWKTTELDESYREFERKRAEDDSDYTPPTASLDPEV YRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAARTESVGNGGQVLMTLAA YHALSTLEREQINVTPLGDVPLRGVPKPVEMYQLNAVPGRTFAALRLDREAEEEGKES EGIFTDVSDICSSTHELCGSSLHVAQSLDTVFSTLPATQRQRMLTSICERWRVPPPKS VKNRWDKATFRLVIRHIAVRAGPVMSVNIRNAAAAAVCAERKPCPPSHSICDVSQLGR QHPLPSVRIEAPMEVTEYLCMSPTDKFDFSIYSCSTIDINMFNGTLEKFDSREPLFGT SLGDNGKNS XP_844597.1 MSTRCAQQRDGMSLRCRSGRRGFHILDGPDTCRRSGVGTRGSRT PDTKSSPISARRAFSCVGIRTRSPNSCMGTRRRLTRDVMPRSCRTPSPRARSQRQQAC RGQNHWTPERNSTCGNVKDDNAGNNNSDNSIVSASTADIANDPKGEPGVAVKKREENL TLKDVEELRRRREQLRYGVDTSLSRITELQLLTQSFRSGQRNTTGEGSNSLSATAVSA AAVGDGATGSVDNDEMTARPTFAEDYDTTSGKEEALLFLHAAEEEYIEFRLQLTLANA ALSAVPQSLWADIRRFRQPPPAVAAVMDAMLILLGFPRIRRMRLSFGSGGWPSLPQQL IRCEPLTAYLNIAELTGRDSQINGCSPLEELEQFLRQWTHLRVSRVHPTLGPLHQWVK AMLDASRAAGRLKTLRDASRAADITPVTDRVALLRELEENEEYVQLAQEEIRAIDALV ADVAAAGAKGHYRTSLNPGSLYSPRGSVAGGIRETGELAEKVGGAAAGRFGAARTSGK EVSRNRRLQNSCPTAPRFGAKAALAAGGLGSLKADPARPTLSFKACMSSFNQVEQGPR SLRERFERLKTSSLCHSPRVGPGSHLEGSTARDGLGCSTEVVNSIGGVSLLGTTRVFR DREKLLLRVHQLEEQLSDICSNQPGEVELVLMRDELAATQDEVNKLRKERDELQQRLK RYEAYDGPPSPRRSIARRLNHNIANTDEDASTAIASGEIMTIMALEQQLRAAHTRIQH LESVMMGATSDDGTRCTSASGSGNESPETPYDSTVRPCNLAASNLGHNASSLGEMVFL QRRLDDMQQSLEVQQCAVSEAEERLNNEMHAKNEAQQLVRQLQAELRSVWQKLEITEY QLKLALEGSHCHRSCMDGNNLGTEFIRDLQRQRQREAICEGEIERLRMQLREQKERSA EGRRRRLEIRRVRTALLLKLENALSEALRQHEG XP_844598.1 MNADSGEESREPLLRGGHSNDSNNHTDTNDAGTTEVHITADVTP KPTMDILLRSEQQLNTRRHLWQAQIHALLGPEPPYALRPEDRAGFLFGRLYHTWTGPL MSLAARGVSLVPEDIPLPTRDVRAFNSGLRLLQTLEEQKFRRFGWDSYTADGDDAAVV RHRRDRQSVGLLRWVGPVQQLRRPRQMYAGVEWKSAPRHRVREQRRSMRKREKKGEEL DTNGEKNVMPFHNGVIDGEHLFSTTDGAHTATCEAVGDIVFISPFESQGQERQSNPQG STRKTYKNGIFMPITSQKPPKHISVARALFDTFGSSVYILIPIQMLQDACQLAAPVIL QKYIEYVQMSDQDWKGGVALVATLCFFSLVQSAAGNKLTQMSRRVGLTFHNALLTVLF TKCATVARKGLAHPDMSVGRIVNMVSNDVGSARSLPTLLPIMVGAPLRLAVGALLLYQ LVGLSALAGLGVVLVFLPLQGVLMGRFFGFLNTIARLRDERLKATNELLSGIRVAKYM SWEPALVCQIEKKRREELKALRSIQHMYIIVAFLSNAVPSLVVAAVFVLFHVLGNELT PTVVFPTIALFRLIQMPFIMIPVSVSAFSRFIVSMRRISAFLENDDVESGLLEMQKHE RDGRQIRGPDHIRWSLIGGDSTAVIEFMNAAISTYAPHKLPPCESELKKANKKGKSNG GITGDRVEGGDDADDREGRGDIQTTENNNGNAGGGRGHGHGQSHAHGHGHGHGAADGT TEYYEVRRKELLHNVTLRIPKGSLTCIVGETGCGKSTLLESLLPGGYEITSGTLRAPA TVAYVPQQPWIMNATLRENILFFSDMDEARFRRALRCAQLDCDLELLANGVETEIGEN GVNLSGGQKARVGLARALYAERDVYLLDDPLSALDVHVGEKVLQDCLLGELSGTTRVL ATHQLHVLRHADLIVVLGSEGTTVFTGNYEEYKTFTGEAAGDSHRGDDDVNDGQSAND NSACDETHEGISTEMPRERDDTMGPGERDNGVTTWDPLNNVETTSHGNGGDVAACESP LIDDYCDDSPAETGAANGKHTKLQKLSPLEDGKKEVSGKLMTDEEVATGSVPFAVYAR YAAASGGAKTCVPLLILFVLTEVVMVSPFLWLSFFTMKTFNLPVNTYLFVYGGLVFAS VLCSPLRWATGYGVLRAGSYRLFERLLRSVVAAPMSFFDTTPLGRVINRFSKDMTNID EIIPDSIVYLVQCALSLTSSVAVMVASQYLVIAAIIPCGFIYYRLMLFYNNANRELRR VTNRVSSPVFSILGEMLAGRSCMDAFGKTPSFLTEALRRVDVVSACSYVEVVCNCWLA VRIDLLVTVVLTAISGLGVYLVLQFGTVDVGLLSLSLTMAVNISTILTSMVGQAATVE ANMNSVERVLHYAHNIEHEDLMEDMEKAIKKQEERDRQMEKNERKKNKKGVASAGKNR TDGAEVNRPTNGMSGPNGHDFEAARGSCVSIRVTGGAESDEENGGTDKPSTAHVPSRH FHYTTSSAVEFCNVSMRYRQGQPLVLRDLTFRITTGQKVGVIGRTGSGKSSLLLTLLR MVDIEGGNILIEGHPIRSYRLRKLRQLFSVIPQDPVLFDGTLRDNLDPLHTSSDEEIF ETLRLVGMQDRITSSAEGLRSRVVDCGANFSVGQRQLLCMARALLRRDSRFVLMDEAT ANIDPALDRQLQYAIRHTFVTHTVITVAHRLHTLASYDLLLLLKDGRVVETGRPRDLV MDENSRFSRMVAAMGENALKNFMEATERDSFPR XP_844599.1 MSECPRREVGDSWRKDKPLVEGRRSQYTFATNVAPERKSLHYTS SNTCTNSVTAANDNNAGNGGSNNRYFASVSGVIASRSVSAGRMRAPLSSAVRAADGRV SSTNWEDPAGSQTTTSLLTSSSLPSPSLLLPERPISITEAPVRSTTCHPHGNMKDKYV RARSVMAPMQSTPQLGSVTCSSGRRISTSEGVRIPYGADWLTSERISSVQISPRVSSG VTRSSSGIRCVGAANSKLGFATHSPQMRVVNSSRSALSTYARGGDVRDAIPAGRYPSV NPSISTPTRVASARNGESGVAAATLTNDVIHVAAPRATETNNNSNNVNTEVAATAVPD TTLVACSGAQGMVVSDKCMFCTEKFPAPADVSRRLSFDDGCGGAAEPNSSVESRGAAK MLVEVSARLPEHASALASADSRHRKEMSRVIPAPVQLRAGRDAVTATITSTRGVVGGT DNSCTQEAPVAGEVQARCRTLDGRAKSEDLGTRLTDLSHLHSYVGTPVGGSGQRPFIR HSVRGVDSGRAKLAVAPSLAALRDHTLRSALRPGYNLWSGRYSRSVTQVRLGRSHSAG TRLTSSPSENGKPLFNNGSSCYSTRSHVHDNGTAKSSSCKQGEEEEEQESGHAVSVTL TTAGGRLERAARRPIPPASHRNRPCPPADTTAASHSVVPSGRNTINRTSSEPNPPTED RNSTYLSGPKPTPSNFSGIVHRNINVTASLKGVETVPSFVRRSSGLCPPVEQELGNRL RVESHPVARGAARESGYLCVASVRLARCTSGRIGPQLWDCAHRDDRCPQQRHSFPVAP LQRSATAPPSRQRLLKVEEAGPPVGDAGSVNASTSAASILLRMRSGACQQRVRVQTSH QNSRGDVHTGCGKGTKGESYGVADQPNQQHKFNRGSGSGSGSSACCYGVSDSNTPGEV TERVEKSHGRPEEAERTRPSCSLRGYELHVHRRDETVDKTAGIADDDVQLRDRIINNT HNSSDGMTSHASFERGTKDSRQHVGYNDRIREGYDADSFSDDYYDESDCSDEDSWWFF WHFWSGPAIVVFPPAFVPPLKLDNLRIPNDDLALTRYAIPMPTAVQRSPTRTPSIPPV NVIAPPVVSAFSSSSACSGSRRKRASEIKQAKPLVEGRKEREINIKLLLLEAAERRER RLVHQEEAADRKALQQQWAKKLEY XP_844600.1 MLLHGPLAERFNRGASDCHKQMQPSVTLPLMHVRFVSSAKLPQC PVTLDPVVAGPKPIDGPDQQQQQPQRTFVPFKDRLRSTNFSRGEKSDRSATLCGSSVT SQQLSKDAGSLSVSFSTACWRLASSASVRSGDGSPMNNDDGGGGCRTQGQPLRLPTYT GTQQGTSQTTARKQTSKLSFKDRQRSSVSNNHSVSAGEEGSGRDAEPPCNESNTYTPA NALTSNDGGQQKHYQRKFKARVQTGALAPPLPPGAPPLLLPGTASPSANYVNYCCHSE QWKDGEAEGTELDNTNNSSERRRDKMLPRASSTRRGSAPRASAETLPPVVDASIPMTD EGGGRLNPSCGGGGGGKHYGDRVGAGGNEGEARDVFSFLPISPRIAAEFPAAEEGSAL AATRGNRRRSGFSSLFFSMLKPLKVEYQLPFLVQPSIPLDDETVDEPFGLGSSDCSLT DEGLESLTHSLTSGVGVVETEEHVFIPHYELLKEGRSRAEVILSTVRAVCSGLNLSVL SAPPDTIASCRKCDEGGADETKAADPSPCGRSGRADRRHTREGGVGLSQSSSTNEDTK SVSNVGTIIASEEDDCFTFGVVSTSYPLFPTEDQDKSDCKVAARLLFNAEKGVMLISA EDEVKKYMNKPLKAGTPNGRAEGGKGARCSFERSNIGVSRDSAVQERPQQAQQKGIEK RQEEEEGEETEGLLWRYGGNQESGTLDATLVLPSHGTEKDSTRDRNAFYEEDGSVSRC TCTQQLITKDARGVDYSRYHAHKLYHHCKCCDRRPASFLCLHCLTALCPSHVTRHYND SIPAVGKSKRGASSVCETMDDEETGRNAVGACSLFINILDIMTSFDRVYWCEPCQSFT WRYTEVYDPLVDQLAATRGTYLEDPVRDIACVGYEVQIKCYGEAQPSFCGRTSAHSSV LRDRPLVNRNALQTDIALFYPPNAGQDVTPTEGGSAAISGTIECGLGSPRLVGSASPL PRCSAMEDTLHVGVTAPKLISLGAKVQGWRATQEDAEAAFVISIPCLSDTPDTGADDD KAEETIAARESNDAVAMAVFCVFDGHGGDAVAKLAASRFESHLRKAVCSVRHDEAEAS AVLRRVDQESTTPQYSVSEASPLRPRESGCFPQLSSNDVNSGAALGDVGVRSVSNVTV EMVRQLTEGSADKSVARGSAADHSETSDTCHGLSASPTVSRREMEALRLYFAGIMEEA LLSLDDELRSSDEGRRGDYNCTGCTACVVGITTNFILCANIGDSGAAFYTPQDIVPIS ITHRTTDPGERARINAAGYTLVEHRIEGLLAVSRALGDYDFKQCGGRGPREQAVTAVP DVTIMPVPPAAAVGRWGVVVACDGVWDTLTPHQVHHAIMNTPNDLEVASSATEVVIRA REMAYASQKEGDKSHINTEKYRCGADGERENGGSKTVDNVWGGKCCHGGASGASIDAL LLTSAAGIFAQCVAPVDNEEGVGMDNCSLFLIEQR XP_844601.1 MLFHPTVCTHDGVLVASLNTVNPLTASTSAPLSTSPGPQPLMAL PSRQLIRVTRADGPFAKLTSPPPPHSQGSVPSTSLNLNGSAPSAQPTNAGSPAVFHSV EKLLIAGGNAGNAFPLHPQHPQYHSLGGGGGISGPGNASPMPPATVSPCPWNLMPPPP SPSVYVVLSHGSTPAALQPGVALAPAPPPPPPPPIAAVVSRHGNGMMYGLGEWYEGIV KRYNPMRGFGFLTATHHLQVTSPSGGSGTFSDGNADGRSQQQQQTTPPQPTLERTPVA VGDVFVHQSYIRMHGFRALSAGDRVAFRVGKLPGKDANQAVSVQLLATARPVLPSTPA GEGEEARVSSSEVVQAVPSEFFLPQDLKDQKCGVFENGYDAEGCDDFGGDITHSSIPM EHVGASIAEQFASDAGVVPEAPLNDISDVDYDNAGQTNITSGRDINGDGCQVERADDV VTQCHNLSFTAPSDDVATISESANLGVDAKVTASWGFSATNINGHRPFGSFDDN XP_844602.1 MSASTSFHHPSRRTSKDSTESSLWGLTNSNVGATSLCQAPVEPA AARSWSGDTAREYEVSAGDVSGYDDDCGRSGRSKVSRALQSSGFGNINSLALAAIVQR ACIEEEVFLRGRIQLQQLESWKKLRVQALQKQPRSLTGGCSVSQRVVRATPRRESAIC GPPPHQPQKQQFETQLQEQPLTPRQLPLEWPSGDSNCRRTQLSEAHKVAATRQVTKRE RRLRGQDRRIMERELQGVQEAEAKALREARTNAERIQVLEEQLSATQQTLACTAAPNR RVAEELQLSDRRVDVKQLQLENTAPVVGNGEVGADQKEYTEGLGTTMTTLDEENRGVP ATRHQEAPCEPNDSQGPQGEQEEQLSCELQGHWLSDERITEVERRLREVACTIEKELI EGAKAAETQAKLLQHSQSMCEELQHSYREKVQEIVDQAGRYEAVLVARDASTAATTSY LRQEADAHRKQCRELQQLVLRERVAMSITFEAHQAEASRLRQTLDALQEGKLLLGAEV RCADLAASRQRLQEELTLGRQAEAFLRQTSSEVAGLLMTLTVAQQEQHHLSSVPHDMQ SELDQPQPQAEDRKKQRQQRGLSLRDGNATARGERMNLSLEQCVGVNKDGERAHRSSP RDYCEEPKEGQCQFRLSRKNAGESEEESPNERSVATSHALSPQDCSVSRIEHSVAQRN VESAPLLKPISVRPGAVAPELFKHLEGHLESHLHELETRVTEAIEALSLVNRQVVPQQ QGEQLQAGGSQRLTLTNSIVTEDAVTRRAEKTPAGNCVVKLLDAVSALNVVLPYFDWL SCQGVPERIPRRVDKRLEGSLRQQLGALRFIVQDMEEKRNATAAPPALSGSSTCSGLS GGLLIYSLTSALKEGMEVLSAVGYVGKLASPTKNEVTVQTSTRSSGFCCPLVATMLLE GHLTPSSRRPYELLKQLWYPRSFLQSPRPPCADDAQPRHTFAAVGGRLELAEEGRSIR RLPLPPVVDIMSCSALGALDHQSFSVSPCALSSLSSSLPSPLCFIYTVRVVAPCGNVL MGFSDRRLPLEVFAPALNHLSYSNSYWLHLGRGTLYCPRLGIADLPYSPFARSNSIEV NGEVTCVLDMAARTIRFKCGDADCGVAFGGVDLSQPLFPAFEFDCGGGALKFV XP_844603.1 MPSITRCTGQIICQGCQVTLAYPIGAPSVRCPLCASVTHVRQFS VTCVQCRVVLILAQNTSLAMCPQCRVVMSIPACMRDGWSSLFPPEQCVYIERPVRAGA SRTLPRIAVGTKLDDDEDP XP_844604.1 MGALPSRETQRRGLYSHRHGRNRRSEVILVPLTTEFFPSESCPL FERLFQARHHRTAKYYYRAVRSADTDRESDYYCQTTVHANNADLDSPGSLARDKRVET LFTDDISGLYQRLVRETECCMSETDEGLRERYKKQIQQQQRHQQRQQQYKSPHPQEYG AAFGDSYEEYFGFGPGAFANGYNDALAYIKGREQHTHCNEFGTHVTSPSAYYLRPVPC AIVSRSEAHKSAPDAGVEFLGSTVKVLGMFGLLPSTISITVHGEVVEQHMLKATMFVD KDVGDQILGNVVMLAVHMYVRQCIEAQIRAPYIPMIPMILRTPISNIPMLQFVREMRV RLRSLLNAGGKRRSFCSANSSGSSASSSPSTDSVHDAVEASSRCDADILYLFEEDVPG VVSQHRLFLDPSEAQSLKLRPAHNTNPDGTIPILLVSGVNVQATPLTHPSVTSMSHVR VPPWVLDGASDSAGGGPPNSCPQREAFRAASLLATRRNRFRMWVEDGRLCIWASAAYA RRGCLMLAQQLTQTVDNSLLPIPRPWNYRGLLRGGMPMPEPELANDVFIVAEDMPSMG LYQGDVLRCSTPAEIGDRQRRGSPCKTPGSNSGTSLEMTFPHTKKSLNSTSTLLEPAT PAEQKSPPVNYTSNHPSNVLLQDPGEAPSNAFWVCFPFDRDGPSVAPGERRQQQQQQD GVAYRATNVEEVLLSWIKIISLKTRDAAEEDDHWVRDPTTGAPLRVDRYIIRRVEHDG MRYFIGVTPRFVGQQRRLEKMLVDIKAQEDGGEDLDLERDKYYVAAMHYDAAFDREDD DSRDEDALGRVAMAKMLSAPSLILE XP_844605.1 MVEEPSGIVLYGDVVERTGIVEGGTSKNSSSGNGNIEKGRSILR PIQAVVGRAFPHIDPNRFYGNNATEMQCEGDPNDGRMPMKTTKSATFSSITTSGFTAH VQPGLSGNIEAASISADRGFGAIDCMSRQRQWDPKDFENLECGYYTVPLNTCNAAPAG TCVSHPEVPSGELYVELLLQFLRSTVRKHRECAMEALRARLLVDRPLRELFTTGTECA QLLHLIINELASAGHALPCRVAAECLVLLLFDPTMEVEEAIGEIGCPPTDLAEISTNE CNDMTFAELSECMRSGEDKGNALERLGMTKAVLDSLNLIPCTLASRLLLGSGVLLLPN VAIRVASDPRFVRFVRERLRSVLLGSETVSGVVEELLVLRHVLRLQQTCATLLPALHE TLMLFIRFVCSIPVEQARSPHDVVGCLAAFLVVRAAVRQGFVGLFNDTAEGLLSATVL GSGIPAEMWLLLASSSEGDGVGRVFPTMEVFAAELARAAVRTIQAHITVAETGAANGE ETGHLVSLLAALSSMHYLATYFTLYAGSDDLLFLLGTEHETQSRLKEFMAANVLTSKG LQHAFLRLSSKRHTPLPLDLLNTRNVKTTVGGGEDGKICLNKIELVGACWASLTHARV RLAAAFTGLVHMTEWEVPVGYATALATSFEEKCLGLKDVMGRLLPDELCTMVEVVQLM RRGVHGTSAVGGGSTVSQQQQRDSCAQNSSSRACTQKLHIAETFLLHSIFLTKHGQDV APGLIDAILGLQDVTIADEAAVIDPFVEPTVTLAESLRRAVAQTRWPWFLFPLYDEDL REKGVWCGWVHRTLGMHQRMKDVLQWDLILCHTLRWAISSCHSFDKWEEADVRTDEEV KRLLHELCTGVLPRAGRLIATSASDVLKALASTIPLHEEVSMEYCLFVALAITCTACE PTAAVSIARLLSNTLLEGSTYCLTSSSDFEVTEAPIPHHSGSNSTAARSNPAVSSAHG YDNKLLNQWAASGSLLCDNTQVSATAQWDLGNFTEVLHSVEFYGPGGPAHGSNAIKKG ILQNLVEGIARLYLRQRPLTAFECQALRQDLQQLQWCPPCLREELDKCNN XP_844606.1 MGVAPSRETLKRGLYIVPPPTSGNGNSSGGHKKLDIVLVPLTKE FFPSADCPLFVQFHKTRCDAGVNYYFRHPGNPGDLSRIPNASSSDGKTSYPSREVLYS GVVERTEEMLFDTDEEMRLAHERSLRDERSGGPSSLSGERDKTADVKEKRVLPIMCAV AFRYDPEKLGQLQQNQATTGGGRAPHLQTLMANGVRVGGSIVNIVGCFGFVPMEVEGM QSLTSAPHTPVCPGIARSGSGSALTGLPRGPHSLAGVRGATSCSSSLDNQYLSVSSLQ HCSMISGLTFHDNPTSFPTVYMQPNQRTPVSRNGTSSRVSSLCTTPTYDPYARQWTAL QPEALPRERGRAMRHRSVAPEENFNRFFSLPPPVAAPLAAIVFLTKSAGEQNLGRVTF VAASTYYNQLVEAGVLKRRGKNALASSEVEKCHLPPLETFSFSSLMTNVPIISFVYEM RCRWEQFGLLSRQNSAPHSRTDSLNFSSASTSGLKAGAPPESANMGVSHENLEMWLTD DSMFHGRIGKELAERLCLSMAERPDVTSSRAEPLPDDRNYWRFCGLVDRKNQPPTQLC EGDDVSVDPVNVYVMGAAVIDGFDEGDVLRYDRTSRSWVADRNIPLDAVVLAAMRPFC KAARTAPPDDIGWVTTEVEEEDEKEVEAQDHAETAGEEGVDADATMRRKVCDGTVERK KKRVHVREGNFYIYRFELNGKVYYHGTVPDFANPNKKKLAQNLAAPAAGAVSPAASTV PAHPATGEKEKEQKPVAEKVKVENSTNKESVSTISALFSFFAGCGEGSERSYMSYLPT RVRYGAVQAAAQLMGVLFHTAPQFQPLPDRSAVATTSITSQTAFPPSAGLSAQPARRP STFVKVGPEAEVNETRQVPNNAATGPRTFAKRFIDETAFIWDWRKS XP_844607.1 MGAVPSRESLSRGLCRLPDYGQKHKMVLIPLTKEFFPSPDCLPL RQLFEARKDPFVHYYHTFDESFTRVQPSGDKVRSASATTSGDGNSVWHAEEFVPLNCT PEEFYDAYVTRARKSLIFDTDKQMFDLNAQDPEALRPVPCAIAFVCGPAGSLPGSDNV GRPPEKGGLYMHGCDDDAEFMKDAAEGEGEDDGVGGAAEGKSVLEESVVVCGLRLRVV GFVGDVNGILPRSCDDNLGEGPFQLQVFLNKSVGDHNFVHAFISAIYTYVAQWREALA GVMSVKDEARLAKQRRDKMRDGGLTDSPDIDSNRDLLLPPVGSVVIASRRANVPMLCF QRVLRATLSRLFAVAEADKATDPNGSFCSKCGNRSDHVSWLNKVGTEEPLNAPREDNE TPEECATVFGPKTNFGMGDDLHMWLDNDVLFTRISQDAATRAVGCIARRMDCPDCITD IVRPKYFEMQEISDRDASTARTRTTVSVTETVGSLPPAIDARTHNTLNEAPVSILASI PSRGTESSQNGNSTAVAAAAAALKPHRKLNDRPGGAVVVEAWPEGGIDLVDFADSEDS TKDQQLHLYWVVGHSKEAQRTATVALSSWKANGRSVVIGRTCCSSVESGRKGSGGNKD DDDEQVSIGELIHLMVDPCQSSESQSWVIERLKFPLEELLLSELHNSCKLAREAHVDA ENWIRYRDSGSEQSRPTPLLLPPDITEGNAEGSPELADAVAQLVGEGTLCLWRLSLVT GAVRVVAVTPYFLRPPRKKRSRRKVARRQRVLTKGVESSTKLTTPAPTRHLGPPMVPQ QSKAKRTVTAKATTTGFLAPMTTVMGPVKTAEQGTPFPLVNYGVCKEGAVPPMPTPNF PSVGFGYVLPQQRLRTYGSPAPAHYAEPLVLTHEPQHDFPCFNQPVVGTNRPPASVLN HRVRHPPAPFTSSRSPTVDPCEFVFCDDPKDSRRGSSNRDSHRVSIPSFSTPHWDDTG VPWSYAASGERSSTTRGSSIVGNQCSPFPQSADKWLNPTNPRSGAREKVHYLPGFRSS FGGISDSDDTTACVRNRVHSVPTNLESGKDVYSDRSGVMTPSLNVWVRHGLQH XP_844608.1 MVPSEPQQELPVKQVLGDAVSTPTTNVEGSPTVTAGMPAQRLRP AARRAMGHRRSVSVFYEVSAQSAASPTCSTRGTSTLNAHFLNLPEMLQTVLNAPADKI LPRGWLRLLDRVLGHGSFGEVVYGELARNVSAVECHVDPVLGLPRVAPPCRSSGAATG GPADDISISPTEPPRNFGSAAARSDSISAVADVAAGDCRSKHGSANTENGCSFSDMAE GTAAGDGVKCGRSTLNRDSDGGMCDLEDAFLASTSCVSTQSSSHSCISTTVADSPQPC RDQFVSSRTDTSRLGDLEATTEPSTQKELSTVVAVKRVDKGRLSKRQQFVVAFHSEVH LSCTLQHPSLVFVHGVAEDETELYLVMEVAEGGTLQEYAKEASAEDLQLTVPRMIAEI VLALEYLRQHGVAHRDIKPCNILLTKDHHVKLADFGSACKIDDPAANTFGGTVAYMAP EVVKTGKATSTSDLWSLGCVVFELFAGQTPIQGKTHVLMMSAIKEYQNGSIAFPETFP TDAQNLVQLLLRCEPCDRLGSDETGGFESLKKHDFFANVDWAQYNCLSKPGP XP_844609.1 MSRNGELCLKKVIISYCPSNGAPNTRQFLATHLPHFHAKYPSVS IDLRPRLWPEMAITGVYRDGSERSYNTKNLSPMGIFLRLNNLVSTANDYDQPFCASHL HFQRRSVQGTWNPWLWNYETERRRTEAPQWRRKLSEKEWDYYVGQYSAQMKQEEDEIQ RRVADRTCVQEQSTREVQERWKRHVVPRMQTDLEFNLSHFKRQHARGQLQQRPVTMGE YRLFSVPDPRELGQDAVDTMRRRESHNMEVWWRKRKEQLKPP XP_844610.1 MFTVSCNVAFLCHPAVHHSLLLLRALRQRHTLAIERMGANVTNI GGTVSLSQCGNHISIVPPNLHGSKCVTSGGSIGTVGESPLCVAEHGLQRVHDPQHILY LFSSASPVRQSALDEQIQSYLNAVVVSNQVLRAADDVLIALSIGEMEAVRQTHGNLID CVAALDASLQQTTENEEGGGGNGATQEVDCLSTWPLFTTIQFLVEEGGLPLGPFPRMS RAYYRLKESTPVVAHSQLVWRTFELSRGPEGPTGELPAWPHRGFLRDIQKQIAEYTTD PPERIMAGVTGEKGPLRARVSGARLGLQRTPARIPWTMQGLHR XP_844611.1 MIRRTAPAVSFTTSHRALMLRTNRPLLSADMHSLERFKVAWDEM PVHLIGASRKQSFEWYWKCMYQLGIRSTYRMTKSRVVMNWCAVFVFMYLTYISVCFSS FYHIYYQDWPEEFKRENARAYAQSKGSDVWAADGKFIKPYFHINPPMLTMTTEDL XP_844612.1 MSADPEVPVDPNRRSGGHVIRNMIYQHDSSHNIEIVTGWKDQGT REYNQQIAPPAKLDLSPKHPCYPLNEQLVRCSLECPMEMKLAGRIASCNSERKNLMVC LTKNKSWKEEPASAWYKFF XP_844613.1 MRGTTKPMLFLCSTAVRLNFFPLGLSAGPLNSHILLPRNNFDGR TTHGMKKIQGQSKDPQMVMSRDELKLRCEYCRFEWIHDTLCVRCPAQPSHDQREMWLH STWMWGKQQPYKYYKYMPAVRNPRTGMPMAREDARGMNNERRGQGLTTRTLNLEKERR GISRDVSRIGQYNTRWKTRFPFPT XP_844614.1 MFTLIGLGLGDANDITVNGLKAVHDADVVYLEAYTSFLINSSPE ELSAAYGKPVIVADREMVESGDVLRDAESKKVAFLVVGDVFGATTHSDLVVRCREQKI ECRAIHNASIINAVGCCGLQLYRFGQVLSLCFWTETWKPDSWYDRLKTNRDAGLHTLV LLDIKVKEISDENLARGRKVYEPPRYMKISEAIDQILAVEKRKGRGAVAVDGGTLAVG MARVGSATQQVVAGPMQALRAVDFGTPLHSLVIAGEVHPCEEEHLRLFYLN XP_844615.1 MIIWAVGTTNKAKLNSVALVVNKCFPDQQHDIRPVEVSSAVRAQ PMSAGESQEGAQHRAREALRHIPDAHYGVGMEGGLEKIGDRWFECGWMHVIERSSGRE GTGSSGRFEMSQKLMQKILNEGKELAEIMDEMTGEKDVRSGQGAMGVLTAGHLNRAES YTHGLIYALAPFLSSRTYWDN XP_844616.1 MTTSPSTPSNETRLANAQAVESTMNNATVLRYPFQSIDLGWLVD YIGACFQPEDRFPAALRLLNDFMAFAVLHKDPFLGEACYEFYNATAAKDEEEWPRFPK GMDSFCDIARRVFEAIRLVSKYPQWDVNSKVEALGNIKEFILDIGEPRNTPTKFSTSN AEEAMKTTLSLINGLRTSLDNLEIFGALMDSLRALFFCFSPCVGVYSMFQGANANGTG TYVCCDRCPCYVKFRSTYTLFIKDIEKMKVIDCLLMMAVKEDDAFACKLVEDLLQRLD YRNPFLDKPVRRVQNGSLFAARVALFDAFRHVKAIMTGSINLHTDLPSHVASVALALH VVRREVKNMACASEQLPVLDRALTTADNIYTRLGKGSVTQHLHTLKEYIITTFAFLSE PQYHLAVHSKTPPNENGVTPKCHNPCCPGNVKEVLKCAACRTVSYCGVACQREDYKSH RPLCMEMARRKVAPTIIKTAETEVQTLKSAAFS XP_844617.1 MIKNYFDLSSILSNEELIPVTFTTPLFGLGKDVNPRTAEGETHD EVQVGSTATLPLWAAVAFRQVGHIVPQVPSRYTTSVFREFKTDPLAVSLHAKSPYYYE AGLLLCAMLPTGDGNRLAGQLFRLYQLRYLKIIRAAAKKGFDLSDVREKLCESERDLL DALIRGMEEERQWYRSAF XP_844618.1 MIGGAVLNPHKPATEKPSTETPQRPSRLPTAAAAQHDKKYHHCD CYGHPLGLPNYKVLAQPRTNNYYNATGGCPCIPRSAAHPGSWRNKREQLRSTANHFPF GCTKKRPGTTCCVHAGETYGWLPGPHKVLAKNCAASGLCDTFGNMAFKKPIRSQDKDS DVSSVTTQKLAKLEELILREHGERRRIEMDVDELEDIKKRGAENDYKVDYENEKKRKQ AMAASEGQLKELLREVRGIVNRPLNQTNIDILRGIVDRQEQFMQLRSLRAHEDFPPII HP XP_844619.1 MAQTSNNVTHSDKYHVCECYGHTQQLPNYKKLTNPRTLQGFYAK QKVSACPCIPNKREKNFNTTYCSENPAKRNVAFPEETYRDFEPGKHRVHKAASVPGDG ATLRDPVTRARLVFPNACNYGGTVAKHTFPAIGQPRRLTPGERKYEQMYDRKEFLKAV LRDEICHRAEAEKQLEELENETGLRGSRHARLLAEAAAAAREAQLSEDHEEPKTVGEQ YDAVMDELRFVTRQPVGSKLNIIRMYYTLEEQERMRNFARSFGSQKTSGRV XP_844620.1 MTSSWGPQQHEALVQFLRNVTSSDMNVQRDNILQLQRFAFSGGA AIFLLEVLCSGNESYEASIRHSAGLILKQIVQINPTIIDCLNADIVGPPLLRALADTI PSVNVAASLVVASIVRGEGLLQRWPQLLETLKNESSLTFLRCQQATDMEGFFTVSRTV QGLATCTRIVCEECNEELRELIEADSSACECLGELFSYMLQAVAFMLRSGGDVASAMQ LVLSAATSCFVEKVFFLSDNPDESFDDSTPEASLDVSTAPDHTLHYAKQLHEQVCALV AELCTAPFNQHVLVATTEYLSEALRWDTESSASTINATVLFLPHVLYRIRSHESEEES IVIRCLTLLGDIAETHATVLSGIAREVAETLFYNAVHLTDIFPNTSEEETTSAPDDEA TVRPVVLNRRNVEAGDAEDHSDENKSDINSCGATDRSDGQESDAECATTKKQVFTHIL DVMSCTCPGDLLAHLIPLITSALTREANSVESLKEQQAALFILAEVVDELFDDLHDDF LGHVSANCWGALGPNSTAPHHLRYQAVRCVARMSTGWAASARRPAHLQGLISPPPVLH LLIGVTASEVSKQVQLEAINSITKVTLYSLDDCRIDGETRAVASALSTVIRGLVSALP SMQYAARTATYRCLSEVLSVASGNEQRQQEPLIDDITTMHTITALGKQGQQLASLLHH GENKKKSGTNAVELISLMNAMVEVVSAASKGTLEDVVSPLTEFALVVLNCSADTEGNS SSIMEVYGSDIATLVFDMLDGCCSALMNEEELLCMIDSSLRTAVLLKNVMVGYGTGAA NNVVSVCAQILEERQRRQQFGEVELARSCVAFLSTAMHFHRDPHMVATICRLCLTEVA CEMPAVKAISNVFLCLGLIFYNLLFDHNADPVPILHVVVPSQSLNNLTETLRSYLTSS TAQDRGYVKMNAFICAVALAALHQVGRLHLDVAEPLLFAVIHASPSFIPRVKNHIGEA YRLLLMLSLLLAADQSNWAPVVPTIVKAIDSFTSTAPTVLSAEVRRRYLPLVGANGQ XP_844621.1 MVLAEVCSVSWRRFAVLKAPVWKRFPIAEKRLCVSSWGNRIGVS AEANHLRPLQRGKTVRVKPFKQVKHRELRADKELLPQNEALNEHIIRSAGGSQAAARS AAEASCIGEDKAESGNARLVQHDPATVVTLDSQREELHLDRSQRELLWNARRRQYQQL LVRIVTCMESHLAPIEKCHVLLALHDEVIDKRIRLRVDTYEDIFHVFYAVGTLGSSSP SLDAEEVAGRTAKAAPSLLPAEFVSPIIAAASLLTGPMLQSLWRVYRYMIDSGTNPTS RVVQHVMGILERHRKKDVVVEARAHSLMMDLDRFHLAPTEYTIASYIGVCDVNAVMHL AVARVTDYRTRHERQVSAGVCARLLFGLMHNQQHDEALACLSSIDSTVITPQLLNAAL HVTCHSRDPLSAFAIYKSVMGRPGGRASGMAPSEHTFTILLEAMEKAQSYGELNYFLR EMRRFRVKGNGVTLNKILRVLLKLNRYEEASALLVTMDKKGVTVFDELRQEYATAVRA PLREEMCKITEDANAATGR XP_844622.1 MTFEAAAQAPNKCPTNEATAEPLRTPNVLADNLLARSNLSEGES PEKSGEAQKPEEQGNALMRCFHFILPRGGALSGIFNLASVTLGAGIMSIPSAFNTSGM IMAIIYLLLVTVFTVFSIFLIVSAAEKTGYRSFESMARNLLGPRADIAVGFLLWLLCF GGASGYVVAIGDVLQGLLSHEKVPAYLQSKGGRRLLTSAIWFVFIFPLTLPKRVNSLR YASAIGVSFILFFAICVVEHSAEKMVTDGGIKQELVMFRSGNDAVAGLSLFIFAYLCH VNSFSIFFEMKKRSVTRMTRDAAVSCSICCCVYLLTGFFGYAEFGPTVEGSVLKLYDP YANPVFFVCFIGIIVKLCAGFSLNMLACRTALFQVLRWDLDTMSYVRHSIVSVSFAVG SLVLGLFVPDINVIFGLVGAFCGGFIGFIFPALFIMYAGGWTRQSVGWVQYILTYVLL ILGVVAIVFGTSASVYYTIKRYS XP_844623.1 MYAMTPERAREYGFVSYYNHTGSEASDKLANNITLTGEEWAQLL TSPVQFILQSGGWGGAGFDVTVLPQLLLCLPWTLAFLIFRIFAQRQLSRFGLWLQVVV PKDGSKATLNNAQRRKLRKFQNQVWLTVYYIISAVFGYAVQCTKPWFGLPVSESNRIA LLTPHPYKPDGGLMCYYQSGLGFYFSEMLALPVENDIRRSDFVEYFVHHIVTCALIVF SHCSYEHRFGVYVLFIHDASDIMLAAGKVINYVVSAEGKRAQRLKNNGGGDKQTKAKP SLLYRVIFNEITVNVCFALFTLFFVFFRLVCLPYLALANIVYGVKIRMFTGSYCLLII LLQGVLQGLHVYWFTLIMKIAINSLTGKRVDDIRSEDDDDGDGVEGQAVPKNSKPHRE XP_844624.1 MQASRSKATRSPHRNTSGTLSASAPASSFLETITNRVATKYGVS FFLIKLGEEKAVHGTELQETLIATGKRAVSEKNPNKVQEVEKLRQSAEAWSNSWIRSL DPLRSQSEQQFRVRPLLPAALLLLLADIGLVKASESLCLLGVLEPAAAVEQHCRRSVG TSATKKTTLQPIISLCINALEGIVDVTERLSQQAKAMYRHTSKKNAANQGMEMTSSDS QGAEDDENWAEKCWPRFFLLSPCVPYASLRDVVNRVAREEGVSTLATTCGAGEACEVL LVYDFRHLTFPGIGEHVPDHNPEIWGRVKYQQKQQECNNGVVTDGEVEVANGNGSSEE CDELFFIAHTLEDYLRLGSSFSWVYGWQLCYASQGPPPRSLPWLKLFAPSALAAVVAS NTIVKGSEPARNVGASAQVSDAAVRKTAARS XP_844625.1 MKTSLPPPLIAEETSSDGLSYEEKLRQAYAAGETKRPQYLSASL RDLFEVTLNRELLLFRNEETETPVTRRKKWLQWYNEIYNSLRCTHNVNALLLYWVTGI VSYELREMERCLAGIREREREKSGEQQLPPQGQGVPDENVTFEGEKSLEAIQHAMELR NVIRRLPALVAFFFAWDMNLGDTSKFEELLHKVIIDNFSEGAELLLLEQLLTWTLRWC RQLQWKGTNSSAKLPPPLRQQLQTALADICQLIMLFSCDARTAERRIIVTALRSVVEG CEMYFNRHALLAMLERKANNVETRQVFVLERWPQYWLSELTILGDIPSVDKEWVKAIM LNMALLWNPESLSGEGMASGVEGSPVDADDTYLFHLLLLTLQPMFDNLFGVPAVDNKE FTPSTANSQSVEALSTERTTSGEKKDPLKLLLEVADVMACIGSPLCRDIHYWDCIGVG PLLTQNVANGNKDIGSFVMASVCRRLLQEQIPLSVNSFLLSNDAPKKQLQRLITYLIR TERVFDCLCGIQALKACLLGTRTSDNDTKGCFYYILETALANHQHQLRGASALVEELL YNVYEYLLRGNETSPATGNGSLSGNEDLRAALRIIQGLSGRTQLFSFYKENLAIRLLS ILHPKPHDNAVSTEELRHERLQFEGSMCRYLMELFPGDREAVQHMVQMCEDVKTANEM SEAFNQQQMQDIGNAALSVKLTTSILSPHAWPVYPKLERVPRPLKNTMDGFSAFYQNR HSTRRLIWIRTTFERVTFDIAYPRGKKLVTGSLEHYEIFNCLSEAAGAGVTWENLAKE VEWETAKLQQELRKPIFNGLLVLPQEEEAKVAERADTASQQALRLNPEFTSSHTHFNL LPERSQRKQLTTCTRTNEKAAKGLQETRIGAIQAAVMHRLKTVQHGSYKDLFQFTQES LRHHFQLSDVEFKEVLRRLIDKEYIVRDQEDREQFVYRA XP_844626.1 MPISILYVMLALLVFVNICVLIALVVVLVRRSAHRMQIRERVAN GLDGTAGQTAVVTLAQHYPPLIVGDYRHQGEAVIFNGGSGVGGITDGNAIPNAADERM ARQQIHPLTTDDVSLLHQLAVEGMVVSPTNQMTNSALTETRHFPSWGLSNRIAVPLPA LLLAADEPDAIPFLEGEQQWDEEESSSPAGWTTGTDPQHAGGALSSCFPSTVRGGSRD ESHIVYGRSCYFVGPHWDGPRGEVPLECVLRDGCAGSSSESSSDISSVATEPARCIVA NRCAPPTMLSSFPLSLYPFSFSFPSHMHLSVSSSNSLFASDEEEGEEYAAG XP_844627.1 MICVVHHTPPAYHSSSVTLSCLTFPCFFVTLYPSRRSHMETRLF FFCFCFFLSLFVCWFIAPSLLSFAILLYALKLVSGKKKNEGKERKERGGHLKTTCVYL GKYIYLYMYVSRHAYTFIYQTHEMKEKEKKGNRSVEFVCLLVSFSFFKKKKSDLLNVR GCLHLSDCSITPVKGVLLRLG XP_844628.1 MSNAPTLQAVLVVLLMLGLGVVVIIYTITKTRWRLGASREQQRL RLGSTANNLSGELLRNRLIRQATEVLVTNKHAVEGIPLASTVGVTEVLADREMRARRG RSHSITTAGGQGTTTTNGAETSRSNRQVPEASAGPTFIELLTGLHHHLQRLNRSQPAS TDSHCALVEELIERIMQSEEDAERKADIMNDADEVYGMGFEYSLNPANSRIKYVEKTW EEEPLIFSPR XP_844629.1 MCNSLNFLLAPSQCTDSIALCYISFNHISILSYLFIFHMHVCIC KYVLPLIPYTFSPFTLLYYYYYYYYYYRFSSIPFHSTPPPRHTHTHTQSPKNPCTNPI VPHSGRGCYKRQAEVIPLPPYPRERLAS XP_844630.1 MHVCICKYVLPLIPYTFSPFTLLYYYYYYYCYYYYYYRFSSIPF HSTPPPRHTHTHTQSPKNPCTNPIVPHSGRGCYKRQAEVIPLPPSQRQCYHDIEISQQ VGSSTFLWVLGSEGHRKGSEIREGEEEDSKKMELTQAINLAFAAFLFACVAVVMTEYM MVKQRRRRAQLARAHRQGRREATGQSSQERARSRLTRQAIEILLANNYVHEGIPLASM VGVTEVLADREMRSNTYQGRPPLITMADGRRATISRVAELVRENGPTPDALPGPSVVE LLESLSQQQRRAGGAEPFGDSGLSVAELTIEEFAKLEDKKKKRNRAEESSVEEVYGKG SEYTHNPANVRTENAFNHLCKRGDVPSTTY XP_844631.1 MHVSAQARQTLCYHCCWMASAVVPTTDREQINKADVTSPFGSCE VISGEDEGLKGKNALPANEGEGEQSSMKCFTSMIPPGGLVSTAFSLASICIGAGILGL PAAANSTGLVMTFVYPIIIYFLCVYSLYCLGAQMERHRFRSYEGMARALLGQPCLYFT GVLRVVNAFGAAVAQIIATGDIVSTILKGTDAPNFLKEKWGNRLLTFIMWLCFMLPLT IPREVNSLRYVSTISVFFVFYLMVVIVVHSCMNGLPENIKNVHVTGAPGDEGIHLFGT SNRAVEGPGVFTFAFLCHISVFEIYFGMAKPSAHRFTAYSAIAMGICLVLCVMTAFFG YLDFGRDVAGSVLLMYDPVKEPAILVGFVGLLVKLFASYALLAMTCRNGLCGIIGLDT EKLSFFKHCTIIGTISIIMLLCGLFIPNINTVFGFVGSVCGGFLGFILPSLFMMYGGN WSLSTVGWLHYIATYAVLFAGVALSVFGTGATIYGVAVGW XP_844632.1 MEVRNEPIGCCDAAVDPKSQEQREGTGFLARMSTFVATAIPPGG IAASAFNIASSTVGAGIVGLPSAANSSGLVMAIVYLIIITVMTIFSIYALGVAADKTK THDFEGVAKVLFGAKGSYLVAATRAFHGFSGCVAYIISVGDILSAILKGTDAPDFLKE KWGNRLLTFIMWLCFMLPLAIPREVNSLRYVSTFAVSFIVYLVIVIVVHSCMNGLPEN IKNVSVGRNDVAAIVLFNSGNKAIEGLGVFIFAYVSQITAYEVYVGMTNRSVGKFVMA STIAMAVCFTMYVLTAFFGYLDFGRDVTGSVLLMYDPVKEPAIMVGFIGLLVKLFASY ALLGMACRNALYSIIGWDAEKVMFWKHCVAVVTLSVIMLLCGLFIPNINTVLGLAGSI SGGLLGFIFPALLLLYAGGFTWQKVGPFHYIATYTVLITGVIAIVFGTGASIWGAINI XP_844633.1 MHVSAQARQTLCYHYCWMASAVVPTTDREQINKADVTSPFGSCE VISGDDEGLKGKNALPANEGEGEQSSMKCFTSMIPPGGLVSTAFSLASICIGAGILGL PAAANSTGLVMAFVYPIIIYFLCVYSLYCLGAQMERHGFRSYEGMARALLGQPCLYFI GVLRVVEAFGAAVAQIIATGDIVSTILKGTDAPNFLKEKWGNRLLTFIMWLCFMLPLT IPREVNSLRYVSTISVFFVFYLMVVIVVHSCMNGLPENIKNVHVTGAPGDEGIHLFGT SNRAVEGPGVFTFAFLCHISVFEIYFGMAKPSAHRFTAYSAIAMGICLVLCVMTAFFG YLDFGRDVAGSVLLMYDPVKEPAILVGFVGLLVKLFASYALLAMTCRNGLCGIIGLDT EKLSFFKHCTIIGTISIIMLLCGLFIPNINTVLGFVGSVCGGFLAFILPSLFMMYGGN WSLSTVGWLHYIATYAVLFAGVALSVFGTGATIYGVAVGW XP_844634.1 MEVRNEPIGCCDAAVDPKSQEQREGTGFLARMSTFVATAIPPGG IAASAFNIASSTVGAGIVGLPSAANSSGLVMAIVYLIIITVMTIFSIYALGVAADKTK THDFEGVAKVLFGAKGSYLVAATRAFHGFSGCVAYIISVGDILSAILKGTDAPDFLKE KWGNRLLTFIMWLCFMLPLAIPREVNSLRYVSTFAVSFIVYLVIVIVVHSCMNGLPEN IKNVSVGRNDVAAIVLFNSGNKAIEGLGVFIFAYVSQITAYEVYVGMTNRSVGKFVMA STIAMAVCFTMYVLTAFFGYLDFGRDVTGSVLLMYDPVKEPAIMVGFIGLLVKLFASY ALLGMACRNALYSIIGWDAEKVMFWKHCVAVVTLSVIMLLCGLFIPNINTVLGLAGSI SGGLLGFIFPALLLLYAGGFTWQKVGPFHYIATYTVLITGVIAIVFGTGASIWGAINI XP_844635.1 MASAVVPTTDREQINKADVTSPFGSCEVIGGEDEGLKGKNALPA NEGEGEQSSMKCFTSMIPPGGLVSTAFSLASICIGAGILGLPAAANSTGLVMTFVYPI IIYFLCVYSLYCLGAQMERHGFRSYEGMARALLGPYGAHLTGVLRVVNAFGACVAYII SVGDIVSTILKGTDAPNFLKEKWGNRLLTFIMWLCFMLPLTIPREVNSLRYVSTFAVV FIFYLMGVIVVHSCMNGLPENIKNVHVTGAPGDEGIHLFGTSNRAVEGPGVFTFAFVC QCYAFEIYFGMAKPSAHRFTAYSAIAMGICLVLCVMTAFFGYLDFGGKVTGSVLLMYD PVKEPAILVGFVGVLTKLFASYALLAMSCRNGLCGIVEWDAEKLSFFKHCTIIGILSV IMLLCGLFIPNINTVFGFVGSVCGGFLGFILPSLFMMYGGNWSLSTVGWLHYIATYAV LFAGVALSVFGTGATIYGVAVGW XP_844636.1 MEVRNEPIGCCDAAVDPKSQEQREGTGFLARMSTFVATAIPPGG IAASAFNIASSTVGAGIVGLPSAANSSGLVMAIVYLIIITVMTIFSIYALGVAADKTK THDFEGVAKVLFGAKGSYLVAATRAFHGFSACVAYIISVGDILSAILKGTDAPDFLKE KWGNRLLTFIMWLCFMLPLAIPREVNSLRYVSTFAVSFIVYLVIVIVVHSCMNGLPEN IKNVSVGRNDVAAIVLFNSGNKAIEGLGVFIFAYVSQITAYEVYMDMEDRSVRKFIVA TSIAMATCSVLYAMTAFFGYLDFGRDVTGSVLLMYDPVKEPAIMVGFIGLLVKLFASY ALLGMACRNALYSIIGWDAEKVIFWKHCVAVVTLSVIMLLCGLFIPNINTVLGLAGSI SGGLLGFIFPALLLLYAGGFTWQKVGPFHYIATYTLLLSGVLAIVFGTGATIHGVVVG N XP_844637.1 MIPNRSMCLLGICSVLIVSLLSNAGGQERKHQHTVKGKFQKPKG WKRLFGVLSHVTPLAVSTHKAAARNEGGGGTGETGTSHEKAGNYRPILDLLTVKTASV SDVAKTNNEGRGNFQGNEVRSQMSMNGSSQPQGGYVVHVSPNTMSYLEFESSTRSEVK VVTQLLSHRYDANIPSDNCIGYFVSVEIRAKKDVNLTALTERVAHAATNGKEGNGGGN PGDGSGTEEEHEQKGGWKAFWNAIVTMLDTSNFCELLPMVMLRVEPEPEPQPYVVLLN AQLGVSGSYYRAGKDCQVKVYITQTPKEKERLMQNVFALGVPLFILLITAPITFMYAH VLKDYLADIDVVLWLVYPAVALRNTLVRFFAYLYQLVQGTRARRQEEQRQRQLEEQHR RIMEQTTFTPTGMDMNDGNNGDVDTNLYPQSQHLGSRSPLEEEGGRGNPSEQEFREAS SGTTAVRMEFIPPHAPKGGLRADDVVILEDMVDMDLDDNEDNTRSNLKRPLIASPKKA PKPFGDARDEYAGSTAAAASLSRNAVNNGSNCSNNNNYSIYSETEVEQRNAAPSVPAV SCSEQTKVVGDEEDEGERICRICRDDESEEPVISACECIGSVRWIHASCLDKWRIEST KRNIRNVDRCEICKKPFRVPISRRALVVKNLKGVGSGLLLVLSSVFAFVAVTAGQRVT FGEMTCRAPWHAVSYGTMFEFDGVILTVFLQFMLTMLAAFAFSAVYANFHTDPETLAY LMAFQTLPPFWTRRNTCIIVLIFVGGVLQALALGFLVKLFIYRTSSIVWSWEASPCTG AVLFLGYATFGTSLSTVIHDWLRRRQQRRAEGQALDVEEEMEGSQQQVVVNEMQNREQ QQLP XP_844638.1 MYSRRLHTSYSKLQVRRYWRVGTHMGHQLCEGDVELGDRGTTFG HSEGINQLKQGTYKLYISEDDSLCNVPQGSCTCTGGVGEPSHPRCTGVMPPRFHLITQ STDGIIYERRDLTGMGSLTGLLHEAAVSDPPLAFFWIDTSGVPQPEDLSALFEFVNVN PYTEWRWRALAAASIRNDSGDCPPSNGVDGATNELAQEDADSYYDNATELDCLRAFVE EQYVQLRITVLQAQSAMPCCLRSAGNGEIGGKVEGPGKHQQQQPRNLRANLFDACESG ALTSVQALCFRNGVVTWRPQPAIEGWEYISHRLIRRLQDASGGEDIKPQNLLSTSLFA LTMLDELYMAFLPDITVVNNEVDEIESMLPLVRQRPSDQADVLRRVQLLRRNLSVHRR ILMSKVTVLELLNRPTVRVLLSFMNTSTTSKLDTVVRYASSYVDIARHILPTLFKLDN ARRVLTNSIIIYSSGASATNNCNSNKSDTLNVTLGYVALISIPPTIVASQWALEFHVP WRAGDSTTQFWAMVGVMGACMLMVLVYPVYCWIRGRPDKFVFR XP_844639.1 MAQVTQRQRGQWRARRGCDFVLEGVPMYDSPDLSSESSDSEEPQ GVITRGFQSVNPTEHGYPARHVATYATMQPTTAVWHPNAGWQKALLQQRLYEGQLQQQ ELLRAEQPRHQQQVARNRYGAREPRRLPGLNPEGRESPVMPKQCQLVDDVDYVKYFSE EAKTGLFTMSSAFNVRSLGAVASHSQGARNLRSGQQQVQCLDNSSNGDKSTADYYILG ESGGGSYVETQQTVNGGESRQLETRGIQTTSGCSPRNEGVHYLSNEFNSTGALNLYAI DLDNSGIYTPATCGSVDRAAASLERRCNSDMSQSNRTSVNTSSTGGWTTASTATISQY RNVVAGESGHTHFCNTDYNFAPSGMWRSNSSTFRGVCLHIEPTSPSAPPHTNANWRDD NEGCKMSVSPFLAESRNSLLGGQNFQHLLYKQEDPQVDERYDNAISDHPMPREYCYME SYLTDPKAPIQAKPDKVRPPVLSDEITGRGETRASGKLSTRLSPVRGFCYGV XP_844640.1 MRRNVLSSLNRSSSVLIVNIGTSGVVPAAAVASRLQTTFHGPPG NVPPNFRAPPLRGAAPTQHQMSPQQQQFYQQQHMQQQEFHQQQQHMQQQQFHQQQHMQ QQQQPQMHSSAVGAEAEDDFEPPRKPSEPPRLLRLDTSDRGITTVALSRAPVNSLSLE LFEEFNSWMLWLGSDESCKAIILTSSIPTVFSAGLDMSEMHNPEPERLRRFWKSFQET WLILNSFPKPIIGAISGNSPAGGCVLALGCDSRVMVRHPADKPDRPYRIGLNETKLGI TTPPWVIPAYAYVLGSRRAERMLQLGETPTADEALRMGLVDLVVDGEHQLREAAVKEV ERFLSVPQQSRWMSRDMLRREFLQFIGSEEDREYDTQFFVELMMNPEVQKSLEAFTAR LKGKAVRK XP_844641.1 MLLFILFVVILTCIVFIIFVCLIRNNHIVLRLFTNGHRPPDALP PPAVLCETRRLRALGGGVNGAVLIGDENRQLLSALDIPLTPVPSLCEDCKELCRSSSN CSTLDIAINDGCLATRECAKESAVLGAHDCGKCQLGYPKRIRDLSTDRVFELYVSQPS SALYGSAQYITIDKEPDASVRCTRESSKLNRRASESLGSICCARTPTSTTKTQNMAGE VFAEPFSSFR XP_844642.1 MEAFIEAARQAVTVAAVDFGPVQQLAEDAAKGVADGEALRETIT TLVDTYSAADSSQVVLQCSLMKAIGSILCATASNIGGSNCQLAVDDYILLALFFNEIS TVGDVQLFSLLTEAVTALFRQTAAVAFKSALSPSSNETEDCSSITSLRGELQSLRLVM AKRLVGPSNASEFVSKQHQRHDTAMQLKVIDCLRVLLLSLLEVSNSEDATLAAVKEMQ KGGTGNEAALQAARQVVEGLFLPLSTAVDALRPHVTNSSSGKGRLLVTPQPNGAHGTA VSPLVLVSTFRVVEELLWHCAGRGTDDSIVVVGRFAANRLLTAVQQYLVLQQQKSVES TGTESQEKPAAAFDVLRAAGPQRAIRRLLSVVHRQLPVFPSIESAVTAAIGVFGGQLD VDDPLKLTNLQQDGVGAENGCNNATDVSGDNRICAAKWEEAPSSSATSRLRECEQILP APSSDAVVNEGAAADFDDPTLMEDGADGGPRETLLKSTVGPLPANALVEMVFLSISRL DIINEQGIQLLHMQGLQQQQYEAVRRAQRAELQRLRRIEQQGVENIPVGRLLEHLKES TAMYAAGAQLLSNESGLATMQRAALFSLLDAYDLLVDAQEGRVREAQALIARTIAQMP SSMMDSALDAVCARLKKELAAASSFQKTRGGSPVAKVPPLTSAYQLALQVLFMLYSAQ APVHEHDGKALMFAVSPSSAFANMGGEVQLQSRAVLTIDTENPVDWLQAPDMQSSLTD RKRPRDDGSSFGGNDLDSFHREGVSGSEEAQSATDGPSRFFREDSIQNPCVYSHFLCR MMEVLTEGEMPVLLLDLLLQCPRITRYVWHYLHKHYCLSPEKARCLLGMWLLKSVAAR RAVCRRYALNSLLYMTTSRSEYPRRLAVKQLGALLASSAGLDGRRVIDDNVETLLVRH AKRQIAAIPVTRLPTAVTSSGSAVAKQEEGETTMTGGDAAETRAKELQKLTEALDRHL GPFLMLCARQPRELIPALLDVFKECVERQNTAMVQLLADHVDVRRMCQRLFQTDALSF MSNVMPYLRRYSNSATMLVQRILWAVSAELRSMGKGGEVSAADLEGIAAALLGHARVM YENSEIPFVYHSALASNGEPADVVNVQESPASLHDVRFIAPFMSLIPMEELKRTYLRS FLHFVEQQLQQRAEETMDNDTAPESMRMSEEEMSRLIRDVAQEVLVRSPVQFSDGSPR GVSRVDLLVYLHHATRGVRDGDAYQSKAHQSSPHSTVVETPMRTHAETRGILSSSGPQ SPDGSVVGNNAKARTAEGETSLIAENLPLSALTTKEVICVLLKLRRTFDESTTEYLYG PAEIKGAVRQLMKNTGATKVPSQLMATLIFACGLHPPRAAVDLVRFVHQEVLLPLAKD GTWEKDVQLWRGVLLFAEMHYRECSSFLVNLPDQVLIEALRVRPQLCEYFREEHGNNA YFGHILGSL XP_844643.1 MKSRGFTRQPSEGQDGGPGSPPYFARWSVYDVHEDKSHFSNPHR KSHGAGSNTNDSYGNHNNNNNNGNDEEPGRWWPSGEDELSATSSVLNVHVREKHSRPT INYTVPLQQLVGGAGIAKHNHQAVNIPLFMEDDEDEDENAVGGIAGNDVVIGDSVIGC GGGGGGHKNNSPEEKDAENKEDNWLDYYCRYNCKGNNSCGFSGENVDYSHNYNRNNSQ YSHVYSTHSAYGRIPMTSGDADVERGDKRKAPATTPTITVPNGEWTQSFTGVSGAVNP QAPTGATVYTASRMRYKNANSNDGNAHISNVYNINAVSHGSGTNAGKALPPVRQTAAT AMATSSASSTIGFEAAAASIIAAAGLPLGTLSLANYIMEGQPRLPSRQPVQKQQQGGF DRNHQELTLKGNPMSSPVSPPPYCYSSVGNTSSLKMAHFSNATGATNNTCISVWTGKS WGNEQNSPFIQIKHAQQPTARGSDGQKQQQQQTKAYSEYLSDLLYFHADFFPPPSPPR GLPFPPSYDAAGTTTSSLYSLSQLSPYCSSCCGRRAALWYHYASKWNITHRLPPPPRT PLPEMREQEESRRRRKQQQQQQQQQQRQQQQYHYQQQQHHYKVEGEYVRDNRQGLPCT PYDISGWMKMCERWFDVAQRQFNYPPENIPVRVSPGFVLRHVALC XP_844644.1 MGILVVTHCRSADGKYTNLYLFIFLSSTSSAITDLYLNGHIGIY SEQGRVDLVRIMQSGSEKRNGQKRPRHAGEAAASSPAVALKQKKLKPKKKTDNAGEGN EDQLQQRRRQKEEKRNLPIASSSPAAAQQVKSPVLDQVQAKVPTEECRSALLVIGTYH SVMAGLLYRRRRFGLLFSIKHHVGCINAVTAGGGAKYMASAGTDERVFLFTNKSHSVQ KQLKLLKKKQKRQAKRLKKGGEPCGNGGEMEETLAFAGPSAETNSGSSAEPLALRLTD LGHVSPPSEVRCMKITSNSQLMLCGCTDGQLITYRTRDWSINSAIPLHEKCISSIALH PGSNNDGGSGAALAITCSAEDHHIVVVDLLRGRLLSKWRYSTSLATAISRYRANKDNG GRDNVASPAAAQTTSDSDEPGRPVKLAKWERHDEPREVHFSPVGSYFAVLSSHALLVY ETATMRAVAQYRAPSPLQPHNEMHTFCFMDEQTIMIGDESGNIRCCCGPWQGACVPGI VERRIPHGSEGGNVGVCGTNNSSGDTTGSFAAGSDASQHSGRHPTKHSTRVKALHCAG RTLFSLDAAGVAIAWNVDKGGISSNNSISASGKSSDLMLHYICSANCRGRVTTMDVLR L XP_844645.1 MVEKPREQHQLLPVRAGRATGYSATAVEVTTSTPHSLMSKSGGG RQAHVEPTEMRRRRESKVLLSALLFCFVFMSVEFVFGVLAHSLALLTDASHLLIDVGA YAMSVVSLCTASRSSCGKYNYGWHRAEVIGTLVSVFSIWALVVWIVMEGLDRSWTVLK CSRIHAMLATTAQQYKRNNSTSYYGFGNISQHPTVDKDGALTEATHMEMCTSIDSPIM VVVGVLGMVVNIVCTAILYFGGSHGHSHFGGSHHHSHSGNGEEEDSLCEENTEHNHSH DHGHGYGHSGSEGEGHDHSHSHSGRGFAVHAALLHALGDCVQSLGVILAGIFIYVANR YSYGVPSYRYSIYNLADPLCSLLFAVITLNMTRPLLRDLLGILMESTPPGINYSELLS ALRSIKGVEGVHDLHVWSIASDYAALSVHLEADDKDAALQKAQEVCKRFGITHTTIQV DTVENGAGLCHSTCGTV XP_844646.1 MQSAGGDSTPKPTGASCICSATKYIYSFRRKEWVVSDTNVQIIK PLKPFAKGGMRVCYEVEEIEDDGSRTRCIAKLFLKVVSDVKEEDYFCEGEAQCLCEEF ASNFNKAPFHGPNKPRISFLQCQVLRISRNIIPREYRRLKDGFFSHRTVDTGDVLFVM EPKLGGHFTKYNSNYGDVYEDDKHCKTDSQKRKRQHMLHVAEAFSHFTLVDSVGSMLL CDLQGVNDLLTDPQIHTEDGRGLGLGNMGVEGITKFVVNHKCNEICEGLDLKPLTGVV PESSDEAKELNVYAYLRAQLRQDFIPPPKPISEMTEEEKFEHALQLSRVTY XP_844647.1 MLSATFGTLGTSCGRGFLQRQGLLTTFMGRFCHSGGGGNNQNND SSNSGNDGSSTPGAIRVNVTTAEGEKITFSAPSGLTLMEALRDVARVDIEAACDGTCA CSTCHVILREEDFGKLTAASEDEMDMLDLAPQVTPTSRLACQVKLSKELDGITLQMPS ETTNEMR XP_844648.1 MADEEPRDIKLDLEKDCLANNCQHKVLAYNACLERIKDIPSEKE PHCYHQYFDIVHCVDVCVDPKLWPTLV XP_844649.1 MSAQLRQKLFRIPGNIAVSVGLHWDFVGADPVDLDLSAVAFSSE GVLLDVVFFNHPFPVGTDEEALRDCGFLVDPQQLPYMFISGDSRIGGEEENRLPGLAL AARRRALQMRGGRDDELKRFGVIESIFSRIYNESELEMVEEVLNDKRGGGHIFDEDGR AFRSENSREMCDESVTFVMHKIPSEAAVIFLVVTSYTGADFSVLPTVKLVVVNEMTNE QVGTIDLKHATGNGTANLACMLCRVPTRLSGSTAPGSANGTITMDGGSRQLWDLRELN IRTFGYTFVDTLPTMMDVLGVEVNSRTNAVWQLPDYSLSKDSYGASRQPLSDVRFGVG WGGDHDLDSFMVFLDEKNNYVDHINPKPVKLQSRFPHTARHSGDAINGYSAVGDEEFI DLVTYRLPLEVHTIIFGVCYVEGGRSTRSIMDVPKFYMRLQNRTAAWPNAIEVDRWNV HEEIKRADEERKRLKEAQLQGSKSADNLPKVSELSSRLLHTYKGADGKMFPVRALVLG MMVKTAEAPLRELYPEACAQKPEGELGEVERRNSSGSPRDSDAQVATEEEDGNAAGAD PLPESDTVVSVFQYLPIHEYVPISNAKGFVEMMPYMRCIAKYCRNKPSSNLATRSSAD QNINNPLRLQTFQPQLNTQTSMWDQVRASSGVLSYHAVRVQFLEVRHLQPELPHVFKC HGEVWVCEKTPFTEKRSLTVYDQPTFRTPYLMHRQNMQWDESNPATAALLFVREFDRI RVVIYERAAFGYVDIDLMDINELWSQNPQPDLFVPNATQPASLMSATPAQDRWFQLSG GPLSDGLVRLRISRAPIGKLLEESERTIAAAKKKRRDHARAVEEEKHEAAREKYSGPC CIM XP_844650.1 MLMCMRPVAVACVFVALATVATVHGAIHFHEKFSSIDHWTASKA RSDYGKVELSAGKFYADAEKSKGLRLTEDARFYALSTPLPTPITNEKKDFVVSFSVKH EQDLRCGGGYIKLLPQMDPAELKGETKYWLMFGPDRCGYDKKIHIIISYNGANREWKK RPSYPDDRLTHVYTLHITPSNSYEFFLDGVSKEKGTLEADWDFLPEKEIDDPEDKKPA DWVDVPTIDDPEDKKPEDWDSEPEKIVDPEAKKPEDWNDAEDGAWEAPMISNPKSKGP WAPRKIPNPAYKGPWAPRRIPNPAYKNDEELYKIPEPLTHVGIDVWQVESGSIFKDII IGDDVKEVLDIVKSTYDGMKKAEEDALAAFEKKEEQDEKEEDKKETDGEEDKKKKEDK SDL XP_844651.1 MSGGAALPVSQMELQKVNEVQFEIFKERQIKSYAVCLVEHAKSY ERGRPVRGGINDLRMGTTDFEFACETCHRKHPECPGHFGYIELAEPVFNIGVFDLVLQ VLKCVCKTCGALLLNTREQDVHKKLQHMTGLNRLRQVAKMAEAKCRVSTSTEDDMGID GFDSAPFNGGSGMGPGATRGCGASQPRVSRFYGIYPTLVIKAVHEEQDAEWHADKVRQ VLDRVSDDDARLMGFDPQRCHPRDLVLTVLPVPPPQVRPAISFGGLRSDDELTHQIMS IVKRNNQLRRDKESDVQAAIDRSRALLQEHVATYFNNASTYYKPTKVNDTKKLKSLTE RLKGKYGRLRGNLMGKRVDFSARTVITGDPNIDVDEVGVPFSVAMTLTFPERVNTVNK KRLTEFARRTVYPSANYIHHPNGTITKLALLRDRSKVTLNIGDVVERHVINGDVVLFN RQPTLHRMSMMGHRVRVLNYNTFRLNLSCTTPYNADFDGDEMNLHVPQSLLTKAELIE MMMVPKNFVSPNKSAPCMGIVQDSLLGSYRLTDKDTFLDKYFVQSVALWLDLWQLPIP AILKPRPLWTGKQVFSLILPEVNHPATPQDRPPFPHNDSVVMIRRGQLLCGPITKSIV GAAPGSLIHVIFNEHGSDEVARFINGVQRVTTFFLLNFGFSVGVQDTVADSDTLRQMN DVLVKTRRNVEKIGAAANNRTLNRKAGMTLLQSFEADVNSALNKCREEAAKKALSNVR RTNSFKVMIEAGSKGTDLNICQIAVFVGQQNVAGSRIPFGFRRRTLPHFMLDDYGETS RGMANRGYVEGLKPHEFFFHTMAGREGLIDTAVKTSDTGYLQRKLIKALEDVHAAYDG TVRNANDELIQFMYGEDGLDGARIEGGQLFPLPFRDDKEMEDTYKYEYDVDGTFSGKV GGNYMDPHVRKMLRADPQNVRKLQEEYEQLTADREWSRKMLDLEDRDKLKLNLPVNPG RLIQNARSTMGKRSQVSNLSPITIIDHVRKLQEDLMKLFPSYHRGGDGYIRNTLSRER IESALTLFNVHLRQLLASKRVLKEYKLNDRAFEYLLKEIRTKYHQSLTTPGENIGAIA AQSCGEPATQMTLNTFHNAGISSKNVTLGVPRLLELLNVSRNQKHASMTVSLFPPYDE KRNAQKAQHLIEYCTLESITRRIQFIYDPDPRHTVVEADRDILELEWNVMDESDAELR IQEVVAGSPWVVRLELDVDMVTDKALDMKDVKQAILRVDESYIIETGMANNVRQRTIR MRSRYNEGADSIPKLKREIPALLARVHLRGIPGVRRALLKDTTEFTVDQATGKMSGNK IWAIDTDGTALRRAFIGVVGEDGKNIINAVKTSSNKVPEVCSLLGIEAARSKMLTELR EAYLAYGLNINYRHYTILVDTICQHGYLMAVSRSGINRSDTSGPLMRCSFEETVKVLM AAASFGECDPVRGVSANLVLGNQARVGTGLFDLVLNMAALQQAVPQAEAVAPGKDVNV YHSLGSTLQQNIQSSIAYRPRDHDATPFVNNASLFLRQGFGGGSSSAPVTASAPYNPS TTYHGGRLEASAVHRSQAYSTSPALEYGGREASASQMYSVMSSASAFNPVSTRMSSVA HSYSEYSEASSYHLQHSVAPTSMQASLPRTDNSMTMQGIGSVSVPYTPHAMSSAAPPS QVYASTEVGRSHSEDSRSQSALYVPTLSPTHAGYAIRGDEPSTHRSDSNVMWREAGGG REQDEEDDLSTNYMPTAKTPQQVAPPTAAEFGDEEEEEQ XP_844652.1 MNCDEIIKKLLLNPVHNTAATRGPAGENCESNQRTYTRISRLAA FQSAQTQESTPKTNGTGRATTEGLTEAEVRWLVMESRALFMSQPMLVEIAAPVRICGD VHGQYTDLLRLFDLGGFPPDANYIFLGDYVDRGDQSLETICLLLAYKLSFPETFFLLR GNHECSSINRIYGFFDECKRRYSVRLWKQFTDTFNCMPVAGLVEGRILCMHGGLSPEL TDLDQIRRILRPTDVPDSGLICDLLWSDPSTNMESNWSENDRGVSWTFSESVVKSFNK KFDLDLICRAHQVVDAGYEFFAARQLVTVFSAPNYCDEFDNAGAFMCVDENFMCSFIR IEPTRTLLRYFF XP_844653.1 MISATFHVTTPPHVVLVINTVTTVMIRLCRVCSLRSACVSYIPS NLPRFDVCVIGAGPAGIAAALRAVDYNKRVCLVEAKRIGGCDLWDGALQSKTLWEMSK YVSSLSGASARRVFDDDTVREIQQKLDLSRVQQTLQEVSATRETQTVELLRAAGVTTV FGRAMFSSPHELDVHSPGANEYHVLTADYFIIATGSVPRQHEFVVADHRRVVTTDTIM QLPIPSSLVIIGAGAVGCEFASIYANFGRTEVTIIDKAKRILPKEDEDIANFVEEHLT RRGVRILNTCTLFDLESWEESDDVGGCVYSVRHNTTNNRNDANSVETYEAERALVSLG RTPNLSGLGLENTACKVTGGQVTLDAFGRCVPYKHIYAVGDVSADRGLVNLGEAQGRG AVEHIYGEKPARPVNSSLLTNLSTILFLEEEVACVGLNEEQCRALGFGYIVARYDYSH LSRAIAMGGAQGFCKVIVTNDRQKLLLGVRAVGAHAGSIVEVASLAIRQSDSVYSLLK LTAAYPAVVQGFVECIRMILGRSNIKPNTMPNLTLTEWRPPHGARGRAGGSDASDCAP SSPEVTHEQKEMERNLEATRLEQSVVRQSLKVTEDIERR XP_844654.1 MGSAAGKIGEKKHDRGEKLRARENNGDITSGGLNATTGHTNDNV HRPGEFCRGSVPTGTEVTEDDIDADDDEDDCDTGNVNSSYNEREMVSPNLQIFRRHLR RPVHSLGVSEPREGALTPYFNPKPAEVVGTEIIASGEQMCSGSDDQHRLSASHRCRPE SDSEGLNTSRVTPVSHFILKADEPASALPYSLSDGLSCSNTQVSLSGRGTRGLAAVRE LQTTSTKSLRGDSCGARGFSYSLQSNGNNTYATSDGSDLFLFSPAMDRCTPTP XP_844655.1 MSTHEVDVLLSHLGYEATTHRKQLQDLKNRIGIAHTHFDCWLYA FLESKDFNVPETIRIVEERETMERTELCNYTDVDTDIHSELARGVVQIIGSDRLGRVC LYVCTARYATGVKNHSEYVKMLDVVMTYATRLREENKSCRVILLIDQQNAEVLKSMEL CFQKTVATRISRFYPGLIERVFIVNMSKIAAVVARPLLNRALKDISGSIVVVSEAELR RGFLLQWFDQAVLPTELGGELNTVEPARWRAFADAVTCHFCELQKAIKQDNLTVKEWE LQQLQLRAAGRQLQCKDGEAEPFGDITKARHEYGDRHERGNRSYAEEQYHLMRNYCSV RDEIIKVELIKRNLVLRSMSGCCKDGEQTCHYFREKYRKVKRHLAEEKHYLFYVKIAA GVAAAILLAAVFFVCRVTLIWWDWVLREDITTLIIAVVAGAFSAVHLPWGMNV XP_844656.1 MVSLLALEVNALIVPAFIVFVLFIIPIPLLSRAMSRAMGYAERV NFYGVSVLTIVTVTTFIGFVLQVIDWRRKYSGGKPSFAEMTMEIDWEGRKWRLERNMY IHALATVLSAAVMKFARLHNALEKKER XP_844657.1 MYSFWASPSESNGKEPRQFLPPREALSPSSLLVHVSSSASPRQC IRASGSAILGYPTSICCKRPSFHRRVTFLLNLLDQLASLTFSRSMGHWWVSLYTFHFL IKASGNPSERAIGFSMHFSCVCLKCAPLLTLWSPTCPVNIKSLLGTFYSNPTLISLSS PSLTVTSTSSLFLFWFQHRRGYG XP_844658.1 MKGLSRLDVFPKFDERFLRDARQRTALGGVLSMASIFIITFLVV GEVRYFFSSVEQHEMYVDPHIGGIMHMKVNITFPRVPCDLMTADAIDAFGEHVENVLT DTARVRVNPDTLVPLGEARPLMDMKKQPADGNGAEHGKCPSCYGAESNPGDCCHTCDD VRRAFAERQWEFHEDDASIVQCVHERLKMAAASASTEGCNLHASFSVPRVTGNIHFIP GRMFNFFGQHLHSFKGETIQKLNLSHIVHSLEFGERFPGQSNPMDGMANVRGATDPSE PLIGRFSYFVKVVPTVYRIESLVGGGRVVESNQYSVTHHFTPSWETPKGGENNNAKHD PSVVPGVFISYDLSPIRVSVKRTHPYPSIVHLVLQLCAVGGGVYTVTGLIDSLFFHSI RRMQIKMNRGKQF XP_844659.1 MRASTPPLYQRQPEGLAPSYNTGLPLAMPDVPTLRIPQHLSATS HAMPPTAAAQGEFVMAATAPRLGSLETSTRATNPAPFITQFPAAQAPNLHGYVSASPR TSGTGTETSASDYFRYAANLMRNTLPPPMTELAALEEEEKSLKREEEELRPQIIELER MREQLEEQTQKVAVELMQMVVLEQQYYVEPIVDYTAQIKNAEVQCVHLASRVEEAKHS LEELQRQHQSYDAVMEEKERLKKETCAVRERFATLENRRRLCMLQSEALFDVESRRGE EAASQAEETENQLMEMRNNEPLLGIKRSRRSSRSMSRGVSFAQKSIVLDTGSDGDDDG YARSTRHTGDTVSGLTQRGSWNSAGAEDEESEQDCDRDDICGISGQSVRYSLNDTKRV QLVVPAV XP_844660.1 MAARRHRRHHHHTAAHAQKLVTPKSSLSPTVAQHQHEGRKATYE HQNTPFGVNPPTAATPEVQSTGVAPTVNSTAFKLETALMPPKSLDEAHRPGVAKFSDG IDPKSRSVTDKERGTVGTKTTGHQQLGMHCKPTTEVSDDASKLVAEAKKKTEPTAQGD VGAFKSMKQHQGTQCQLPKPDVDTRISETATMTAAPVQTTAVQMTVSALEERQRLWRG GTALSMATGNIGATHCVEGPKGTQVETHYDLNGSRHQAHAAPRGFESRQPKGDTTFPP SVVELTSTKNGINHTKQSVTTPAHLAISSVLPRNVPEMHSQGLNYGDGPVYSKDFVCQ TPGEVERARNNGDALKQKRVNSSVSRPILSAFVDVKTFQKNNMNIVTDETAFNTATKK ASMERLETRQKRSKTDGERKRAKKLSARSRMREKDTTAKKAEEAARKKAEEEAARKKA EEEAARKRAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKRAEEEAARKKAEEEA ARKKAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKK AEKMRKRAQARNARMKAEEAARKKAEEEAARKRAEEEAARKKAEEEAARKRAEEEAAR KRAEEEAARKKAEEEAARKKAEEEAARKKAEEEVARKRAEEEAARKKAEEEAARKKAE EEAARKKAEEEAARKKAEKMRKRAQARKARMKAEEAARKKAEEEAARKKAEEEAARKK AEEEAARKRAEEEAARKKAEEEAARKRAEEEAARKKAEEEAARKKAEEEAARKKAEEE AARKKAEEEAARKKAEEEAARKRAEEEAARKRAEEEAARKKAEEEAARKKAEEEAARK KAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKKAEEEAARKRAEE EAARKKAERARKLAEARKTLRKRANKGARRMAEKVSRNQLQSDAWTKKEEAERMKAEE DAERMKAEEDAERMKAEEDAERMKAEEEAERMKAEEEAERMKAEEDAERMKAEEEAER MKAEEEAERMKAEEDAERVEAEEEAERMKAEEEAERMKAEEEAERMKAEEEAERMKAE EEAERMKAEEEVKRMKAEEEAERMKAEEEAERMKAEEDAERMKAEEEVKRMKAEEEAE RMKAEEEAERMKAEGGAGRKNSDEEGCVVEEEGLGGNRASDGPPRIASNQECTLSEQQ RHERARKKLERYRKQVMARNQRPVCKVGDVTAAAVTQAVGDVPLISTSRVSGLPTVTP VSSPSEATLVANALIFPNGSVAIEKFDENRLVVRRQSLDVPWDIGLRFDWTVKTLAIG SLPMYRLSDPRRLHPFMRTYQSKPVWFLEEVNGTKANNIREVMEVLKKSLLATFVFRK XP_844661.1 MHVTGCREGGEGGANGEMNPLQEVIEAPPEFIGHVIGEGGSTIK SLQCETGATITISDGCRVCITADNKEKLSDATSRVRDIINAAINPDYEGPEGSRLRKD AAAWATKRAELLDEVTRLRGEGKKHEVSLTLEEAKKAGEQMRLKNQEAANAIARHNNE NKGKGKDYFDMHGLRVEEAVNMLKSRVERLREASVGEVAEMQIITGAGRHSGPEGAKL KKAVADFLRESNIEFVEVSTAELRAKIVGICRPVKETKVKKATCNCF XP_844662.1 MEFVPLESFEFLNSLLQGVEAQGCLMTVRLEAFTCRCTRKKKLL AASIAEYANKTTPPLRPLCSANAPVPPLLNLSDDSAHVVPTEPVEPSDIDDRLVFLVA ALNSIYGEDGYDFSVLTEEDFVPCDEAHVRAEVNNTLRSFPSSCGPAVGQFWVRVTEH ASDASQGCEYFRFASPSCDPMASRALFSQHYFLYNKRARLLVSLLIFAEGNLYRGDDG AMVENSLYYETDDNSTHSRLWFSSPDGASEDDEHVLVGKNVHYYCRA XP_844663.1 MFRKTLLFLFEKDGPTSIRRAVMAKARPHIGSGSTSNATSSIGG GASTSFMRGDVLPRRNGFIGGEPRSIIDRISSPNGSGASSTSSRRSALPPSEREEGKA SGDASDDNYKFLLSHIDSKIAALKRRVPAVLDQRKNAEGSQNRRIRDLFECIERPWLL LASIPPPQLPNKALDVFAKEFYTRQNGTSTSGWEEDAIFLSTCKRNWRVLTTLQRKPY EIAARRNEQTRKELKKKMSNGCSYFEKLCEQTKEWTAEMVRDEMRKAVSAKTRSSPVV SKSRASSVAKKPVARRQGANKTEPKAAASKKEDKIVEVLKFEPVAKKEPKGAAGRAAR ERPLKGQVKKTAKGKAPVVAKKGKTSPRPVAAKLKKVKKK XP_844664.1 MELSFAFLLSTVVLIVFYAVDFFFARLLNVLKQDHLELDECSPE ESPDLDPVLVVSSLQQTAVEMLEYQRRRFRMDEGKGSSVTPEIDPAPPTTVDVGCLSI AASPRRSENVVHVVVIICGLVGPFATVCQALFPNAFGWCRFNFPYFVQYIGAFSGVAS VSVYTGRVWHCSSYSEVSRKQWLELMWFRLDFMCLTAVAAFLGAGSWVVTICLLAVGF YLAHRVMRIEKRIEALQLETQLVRGEIDVDRVFAPGTAEAARALGTSDRHGYDALA XP_844665.1 MPLRESAETVEAIADAYVNMDLQTMRKFHDLVSNSMPWPPGTAP VSCEEMMLQGLAPGTCGGGRVVGATPTVPDAADGSAGNGSTADATVVKKVVEKATVDV SLKGYPAGSKVKLIKELRAVTGLALQEAKAAVERCPGMVATALPRGDAEKLKVLLEGH GAEVELL XP_844666.1 MKNIEVNPQRKRSREAPPGAEAAESVEEQRLSESYWESKGARSN SASRLSPEIPGDSACMYEYVSGPTAVSISGGNSGNSPGGTHPSERKLKQATLPSYGLV NDTAVFRKELADRDAQIDELREKLAAVETRMSERETTLINTQAQLQEVMDRNNRYQVV LRQEMLRAARQGRCDARRALHLKHFELGQIAVWHSNGREVWVEGNKMRQLTMQLEELS VRRDEVEELKKTAERRARQILRSNDEDSMAPEVQAALMEAQEAALLYTAEFAALGSAI QSLKQQQQDLNHEKKVFLKEIRRVNDEDASAFVAVPALGHNGRYVMMHLLGKGGFSEV WKAFDLQEARYVACKVHRVQREWSQQVRQHYRDRAVRELKIMRMLEHPHLTRLFDAFD HGTATFVSVMEFSAGTDLDTHLKRCGTLREVEARLIIMQVVSALRYFAAQDQPVIHYD LKPANILFHSSNQSSLLIKITDFGLSKLIPKRDGTNDNPTIELTSQGAGTYWYLPPEC FDTTATPRISNKVDVWSCGVIFYQMLFGRRPFAEGESQQQIWQNKLIVSSAHTLTFPD TPRVSQEAKDLIQKCLEYHPADRYDVMQLSQDPYLQRNTRRSARADRALPAGAQHSAA TAPVMAHGMPLTSSVEEKLSNLS XP_844667.1 MTKRHSGRGVETSPDLAFIKRGHLNMLIHTKDGERRLVPVDSLA FIDDPQLVRGRTMDRVNFNNECVFKVTLEFTEPIPCMEEIAVREMTDWVLCSCKGNYS FYSPVEKLLVLQNCMVCVQSNVLPLVDPFILVLFYDEGSWVVERVLK XP_844668.1 MSVLGSGFGRGAPGGFGAPACSTAGGFGSGFNTATTGGFGAGAN TATTGGFGAGANTATTGGFGAGANTVTTGGFGAGANTATTGGFGAGANTVTTGGFGAG ANTATTGGFGAGANTATTGGFGAGANTATTGGFGAGANTATTGGFGAGANTATTGGFG AGANTATTGGFGAGANTVTTGGFGAGANTATTGGFGAGANTATTGGFGAGANTVTTGG FGAGANTATTGGFGAGANTVTTGGFGAGANTATTGGFGAGANTATTGGFGAGANTATT GGFGAGANTATTGGFGAGANTVTTGGFGAGANTATTGGFGSGFNTAGGLGSGGFGAGP NTAGGFGTASVSFFGSTAAGAESRPNAVGASQQQVINCQSAVGRYLLEIDHAYNAMHP NCRFRSFLYNVCAPGQSIMAVERERLIYAAAGGECKEEDLLRAQQRNPDPVHLYPTRV HFMQELKNRVEKQKEILEAMSRHVDSLATKADHFRELDEANAAQYRELQQEQAMLQRR WYSLLMKVETLRQLGLPLAEESRMGGIASTLSAQLSAPGMYKTALTELQPFLDAESST ITSFLRRNSVGGSEGAICTPSEGVGTLRNNRVDQALLRDWARFAERIQQCVEGLSELL ERDAADMRAIYQRVASS XP_844669.1 MSDSVRKKEFFDYESRVRIEKHAQQLNTNNKQYISRHPEITLVL HDILQHLLIHKPEDPLAAIQEYAIDRQPPARRAQLLKKEE XP_844670.1 MFPNAHYPVARTPHIREGYSGGYQRANKHTRNWNTSYTRHPRRT YDRDPPPYTATDVPSVTGSYGLNENFIVVAAPPNDVNCESVITNTHGNAAKQNGSSRA TVETGVDTNACTGSISEKSEGTRAVTSRAEIDKGVDTSEKEKYKDLQKLLRRIIDLEF SERCTQRSITVVTTICDTLCVNPVAVVKVMEVMDQCFNESVQNQQPQLLMHYWYIVDA VLKQFNDKPPLLKAALVAIPHFVQKYLPWRGSNLASQSWSNLQSYKSAYEDMLDTWKV FLEKQTHQEIMNLWREGVKDQPTDDTKSEVGGSGLARDAAINTG XP_844671.1 MPIPPNNGREGLRQRFSEAAKSVSELYRDATYSYDAGYRDALLL VQRYALIAAREEVTSYNLFGPNNRDNNVDGGRNRDFVGGGGGFSNRTNISDFSTSEVP SVTSSMRWVTQSSQLLDVKQLLWFIHDTLKRHDALNSASRSLNRRRKRSNGSPNNRSN AANTEGSENDNSGGHRGNVRDDVDNYGRGLPVRRLCSPRRHSEDRNELLLLGEVRVAA PSDVDSIFSEDDV XP_844672.1 MSPLKMRPTQARRRPRTADIAVILLLVMSLLIICCNLHRIHVVS TFLDENQAGVSQPIDEDEYLMFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQR QTCWKYSGVATRSNNFSGSLLPLYLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDV SPSTRKKIGENGNWGIEAEVAMSRKTYLWLRFALHMFPNVSYIVKGDDDIFIRVPKYL ADLRVMPRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNM PFSMWDYFDFLDLGMFYEDVMVGMILREKVVYRNLISVDTKACRFHNAGPGSVRKYIS NKSVVIHHVSEEHYNELFDIFPVGEGGDKPSAVRWLYPGSGTLPCFQH XP_844673.1 MSPLKMRPTQARRRPRTADIAVILLLVMSLLIICCNLHRIHVVS TFLDENQAGVSQPIDEDEYLMFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQR QTCWKYSGVATRSNNFSGSLLPLYLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDV SPSTRKKIGENGNWGIEAEVAMSRKTYLWLRFALHMFPNVSYIVKGDDDIFIRVPKYL ADLRVMPRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNM PFSMWDYFDFLDLGMFYEDVMVGMILREKVVYRNLISVEMGRCHFHNAGKFGVKKSVR NMSVVIHHIQEADYEMLMDYFPEGVIPPAPSKLMWLNSNWAAMQCEFRLGRKREILPS FPFWRKRA XP_844674.1 MSPLKMRPTQARRRPRTADIAVILLLVMSLLIICCNLHRIHEVS TFLDENQAGVSQPIDEGEYLMFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQR QTCWKYSGVATRSNNFSGSLLPLCLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDV SPSTRKKIGENGNWGIEAEVAMSRKTYLWLRFALHMFPNVSYIVKGDDDIFIRVPKYL ADLRVMPRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNM PFSMWDYFDFLDLGMFYEDVMVGMILREKVVYRNLISVEMGRCHFHNAGKFGVKKSVR NMSVVIHHIQEADYEMLMDYFPEGVIPPAPSKLMWLNSNWAAMQCEFRLGRKREILPS FPFWRKRA XP_844675.1 MSPLKMRPTQARRRPRTADIAVILLLVMSLLIICCNLHRIHEVS TFLDENQAGVSQPIDEGEYLMFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQR QTCWKYSGVATRSNNFSGSLLPLCLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDV SPSTRKKIGENGNWGIEAEVAMSRKTYLWLRFALHMFPNVSYIVKGDDDIFIRVPKYL ADLRVMPRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNM PFSMWDYFDFLDLGMFYEDVMVGMILREKVVYRNLISVEMGRCHFHNAGKFGVKKSVR NMSVVIHHIQEADYEMLMDYFPEGVIPPAPSKLMWLNSNWAAMQCEFRLGRKREILPS FPFWRKRA XP_844676.1 MSPLKMRPTQARRRPRTADIAVILLLVMSLLIICCNLHRIHVVS TFLDENQAGVSQPIDEDEYLMFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQR QTCWKYSGVATRSNNFSGSLLPLYLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDV SPSTRKKIGENGNWGIEAEVAMSRKTYLWLRFALYMFPNVSYIVKGDDDIFIRVPKYL ADLRVMPRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNM PFSMWDYFDFLDLGMFYEDVMVGMILREKVVYRNLISVEMGRCHFHNAGKFGVKKSVR NMSVVIHHIQEADYEMLMDYFPEGVIPPAPSKLMWLNSNWAAMQCEFRLGRKREILPS FPFWRKRA XP_844677.1 MSPLKMRPTQARRRPRTADIAVILLLVMSLLIICCNLHRIHVVS TFLDENQAGVSQPIDEDEYLMFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQR QTCWKYSGVATRSNNFSGSLLPLYLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDV SPSTRKKIGENGNWGIEAEVAMSRKTYLWLRFALHMFPNVSYIVKGDDDIFIRVPKYL ADLRVMPRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNM PFSMWDYFDFLDLGMFYEDVMVGMILREKVVYRNLISVEMGRCHFHNAGKFGVKKSVR NMSVVIHHIQEADYEMLMDYFPEGVIPPAPSKLMWLNSNWAAMQCEFRLGRKREILPS FPFWRKRA XP_844678.1 MFVPSNVAAVWKAQRFLAVLGIPSVDNSERSRRRDLQRQTCWKY SGVATRSNNFSGSLLPLYLLAPHQSNSYLISHSLKEEAARTHDIITLPTNDVSPSTRK KIGENGNWGIEAEVAMSRKTYLWLRFALHMFPNVSYIVKGDDDIFIRVPKYLADLRVM PRHGLYMGRYNYYNRIWRRNQLTYVNGYCITLSRDTAQAIISYKPLERLVNMPFSMWD YFDFLDLGMFYEDVMVGMILREKVVYRNLISVEMGRCHFHNAGKFGVKKSVRNMSVVI HHIQEADYEMLMDYFPEGVIPPAPSKLMWLNSNWAAMQCEFRLGRKREILPSFPFWRK RA XP_844679.1 MTEHKRPANGNSALPVPPAEEGTEKKDPLEGILGSRAECKYVKK KLLGQGSFGSAWRVEETATGLIFAAKVMDTNNMSAKDRGFVTNEVKCLSRCNNANIIR HRASYDRGGMLLIIMEYADGGDLYRQIKARQHAVRHFKEHEVLYIFLQLCLALDHIHG NNMMHRDLKTANVLLTTTGLVKLGDFGFSRQYEDSLSNPVGTTFCGTPYYLSPELWRR APYSKKSEMWALGVVLYEVMVLKRPFGGRNMDELIDNIVHARRAPLPNMYSDDLRRVC DQLLSLDPAKRPSLRQLFQLPFIRRGLETLRRSVEIHKKIPQQVFNEIAQNIDEVLSS ELPEYEGSRVTPHRGMLQRHTADRGWKDCELSLDHNGVLMRNVETGDVERVDLEALTS VCPIDAAMAHEKYVFALKNQTGKAYWFKDRSEESYERWISVLQNAVPR XP_844680.1 MDNGPHLFTKAKLYTALSDQESWTEMGVGVVFIVMRSVANEGEE GFGEGKGEGRSGDCGRLEQTVGCLEMVDIDNPQELLISTPISLEDIYVVQQETILLWS DVALGRLLSCSFNTKEGCDKIYSEILDYQRSRRPPTEVGGMNNGGDDGGGLVVTGSEA EGYIDGCGGPLALCRNWLVCRENLPIIISVMQTNPQRFGLFVRSHETYIKELVDLFEY CKGDNDQRGMNLIGTITTTLLHQPFCTDGKIISQFIDREVIDRVLQVVQFAIGRCDSQ SGFVDIAQRRATFRNPLMLPESTVLKIHELHACSCLKDMLPVSLDEADANPSSLLSNY IMTNKSQLVDDICKSRHILPEAFTRAYDDVKLAFEVTAFLHDMCRTVRSATMSIEYKS SIFGAMIRTSLIPFIRFVLSRALALYEAAPRWQPDQQCLISPNISPGMALLMACDIIS NCLTFYPQGRCLLTEEGHSKPDGCLLELLLQCVVVTHCGAELQAAVDAVVSCVTCALL QSPAGVAICAAERRDIIQFWLNGDDGKRRPPLLSLTEGLVNVLGSLERVMRGFHGETR VIHILRVFTVLVDAVDEPLSRPLANLLQQSCLVQCLDNVLQSRARSVANVQSSVASFI ASLLNCNKRQLVALPLLYAGGELLDTAVRRYLVCSPNSNSLFRSSLAHLIDSLCRAIR MEKGSALRQTSFCGSANNPFAPSLFASEDESTVISSANGDGADVDVDECNRNMCHNVG NRLWQAYGERMRQHCPALATRLEHSLLETPEEAASAGAETSSVASTVERNGWNGRDSD FELLLAELGVDAQPANNKLQVMGSGVVGYDDPLESHYTTSAQQAPDNSDFASNDDSCN CDSEENEGEENSSSEPPAKRSRSESSSATAVE XP_844681.1 MKGPLSRAALRILREKESSAPSQDEEDMDYNQDSVFFSIPSPLR AHEAVRYTKPIRIAPQKSAKFNHTVAREFGDGRVPHLSRFAGDCKAKRERRADNEGEV HAAMTPRRHAFFVANKRDVPSPPRTTRELLNTPMGKQSPRQEFVGSSAATGHANLLAA AVAAVSSATGNNHITPRFTGDALILGSPRQTRAALLRREYTAKTTRDRALEAFAQPVD RFLESNKHPSIDTNLKADVITKSESIQTCQRESRGAVMVRPESVPTPIQPMRQSPASM TLAPTSSISRGSLLLTNVRSAIERNRECDDKKKVSLSAMRSPRAASPSAGVKSPARRR DNGIAWCAGGKLPSTEPHRLGSFCGNSQYRSSEQSFVMKKNMDHAAAGPPLTSRRQKA NVPFGREISDWCPEDPEFAYEKCTLRWNAGCDIFGASSRGCSAPQPQVRAGQTYFGHA SVEESGSSQFDVVEISDIIGTEEVTREDQANIDKKQMMEICENPLPSPPRERRPAASG DVRYDDHRKVLDSATQTDNTEGRMCQGLFLLSQPILNREKTNCGGDNDTSYLKPMSGK GVEMDPLVKAAELASNMSHFVENLHETIVGDVLGSVPSDAVTGDSMLTARSFKTCLEQ EEDDSQAPFLRTQTLPMGPRNSPRTPSGGTSVDGKIPSERGSQLVEKNDDIFLEPLHS PQVWPSSTAQRWGKNVSIPLKRSVRCDEERLGTGGGHPTPTAFAALLNRVHLEFLRRY FRTWKEFVKRHTTQIAPSIRYVNAANSPIACKPLNNRCINRMMAAATCCKKQQLGAVQ NATFKANGLTVDRLRLHARNSLQAAEKSNDFRYSKPLENTRSHRRMGPLDRDGNGSPG SHHRSLCSAETSFSCPSDSVRALVSMM XP_844682.1 MEVEDFMAVSPSTVVELNVSPDSSMKIVDYSHRHSLVISDRASS PNSRHSGRVSCRSSVRDEAQTSDELFRKQRVVRCMQIERERAARSKMERAEHDDWLRL RSVERSEVVKHMTDEQIVELLQREAREIERERLEAEEAIRRGVEEAAARQRQRTSQNL NNRSSTEFSHRGGQRPLASDIANRTCTDSGDTVGDRMRASADIKSVTKLLGPQRAGTE AGSKALTKPSTAAVGKFPQALATAADVHLTSGDKLTPKGSTTHVRGDEPPGANNSATT TTAPVTDVATSTRSRPERLSDIVTKLQRAQEDALALRGEMKSLKQAQQEAEMRFRVES ERADNEAKAKRMFAKRADTYKASVEELQRKTAEVEAELRTLRQRETRNQTMQKVKDEL KMATEQIRALEEENKDLKDRQQELYNENARLSSNVRRISGASQGELLPEFEGIFEGVS GDMNASGSEMGNTGQDREALGSFVVKYNMLVDQFEELQRQSAEQQLEIQRIISENKGL KNRSQGQPPAASKRQPVSEATVRSEGASGDGKEMEHLRWEARKYKQEAIETRKELKAL KESSENEISSLKEWCERLKVKCKRQTDDIKKYMSQNKAVDATKGETKEKSPDTAEDVD GAKAACAAEVGRLQDEILQLRSRLSESTKKEQAAISLAESLKREKVDPYSRDKRKEEE LQRQVDTLTRTVKERREAEARWKESQQATENELKSLLAELTASHKTIENLKHEVSSLR EEQKACTPLKQEHSDENAELRSTVTAINTENEELREKIATLQKELEELRRRYIDLERE AEERNANAQKEINELRRENENLRRPPATKVNSNKCCQ XP_844683.1 MEFNASTTNERERRIKSVEEVLLRIAKHEGVVGYLVLNPADGRV MRYSGFSSDERKVKKYADKINGFTALAASTIRTIDWKDDLTFLRMGLGLTEILIAPDV DKQYVLIVVQEIRS XP_844684.1 MATNARILGFNSPSALVAAGDDFLLGAGGGIVIRRKEESSQWIP CEGRYAIGALAFSPSAGLLCVTEVKLDVSLHVFRFPERHHLQCIDNVATVDVQHMLFS SDGEMLALLTCIPTTCVTFYSAARGNRLVKCASTELGGVFCKHLTFPLHRHDCIAVLE PHGVRIACNMDSATFVPSILTLSSKGHYFHSCVWGTEGLYCGAGRGQVVLLDELRTDM KNYINCETPHNVTALLQNGTLLFIGTECGDVFTYNIDQKAQRLLVRLGRSVVRLLTLP DVNDVLVATSTDVTKISVDTAQSVFVRRRSASDTVKLLVLGGLVVIVCLDGSLVTYDQ DTNTAGHTPVRFPEKVVDACVVGSVAVVVYDSGFVRSFTVENTVSVVSQMKVSDCPLT ACTSDGVSLLAVCDKNVVHFIEVADGLLETAASSDIFACAVTNLRWAVNGGRSVLAAC NNGEVHNLRFTGKCDSASAGVTVDMTWRLDFPVNDFLPLYGDGDVINIFVHSVDKDTK MYALERQRVKESKPLRPYFLMRDHECGGNVLQRLGGDSIISAGGDGRVVVRDISHYLM KLPPVPPTKEKKHPLKEFLLRPFGRGGITCLSVWNAAGGFVCGGNDSVVHLVPVGKSP IHYSWSEPFWHQRAISTSPSRASSPSDAETLSAERSRCRIISALADLRMEVEKLLQER TPTVRAEDFLLPEQRQAFNEECEMEIHKAREDDYYSLVHNEFVQHTIKTECWDVMEVQ RSKIVSMTDPETEVHNFHLRKPCAQRAKIQKKIKLMRAIQIKTEECFTLSSLVKRAKE GNLCTEQQVCGPPSDVDELLYDTLDVYTGPRATIQLILLECKILHEKKSFNIRFDTLR ERKSRELNLIAERNGRCVRIMQQLGEHTCPPNVLFTPVFDIEEDPQTVFEVFDSEIDP ELLKLAVKSDDGELVVSPSDEAALKTWMDGLEKVTEVLRVNVPIPPFADNSLEQYVPP EERSDEQQRIFEEYEKEVAEQTVLINEKKELLRGEVAALVKANMTSAKAIDDEIDVLR TDRMLVAQLVDELELHQVNALCLFLLKKTIRNKFLGVKREEEDLLCRLRQLDSLYEYR LKLYLASEARVQDCIEEEKNMITDMRCLPPFTDPDWGERLNRRFTTWRSKYEDGLAKV PEPTRSGVVPIPLWEQYCQCCRAVVEARDKIIHLRGEADALNDEVVEVETEKKKAQFA LDDKEKAEEACRKEVIEKVLDIQNLYTLQQGQVQDENAMVSDDFTDFSIRWVKNITDY NDLIFASFDEIRSLMSRSSQLRQSMKTCSWETERLLYCIGTLEMELRQLHTLRVTRQM QETIHTGAVTSLEREINKMDARIEAVRSVMSKKVEERNRVISKLKMQINDRRAENQYL NNQVQALTNSVEDKKAVWGMLGEHNNDKDRLRERMRELYENSELEELARCQQEELVRL KNEVDRLREATFPSFAVVTRRTAR XP_844685.1 MAEPFSTILGTDGSGGRCKYLNKGIVGLGSYGEAYVAESVEDGS LCVAKVMGLSKMSQRDKRYAQSEIKCLANCNHPNIIRYIEDHEENDRLLIVMEFADSG NLDEQIKLRGTGDARYFQEHEALFLFLQLCLALDYIHSHKMLHRDIKSANVLLTSTGL VKLGDFGFSHQYEDTVSRVVASTFCGTPYYLAPELWNNKRYNKKADVWSLGVLLYEIM GMKKPFSASNLKGLMSKVLAGTYAPLPDSFLSGFKHVVDGILVADPNDRPSVRENFQI PYINKGLKLFVQALKKNERILDSVKEVLVSQVSEILSSEVSPDAHRFLESQINYDVTH RGHVNKLGGGNGKSWKPRVLQIVRGQLILTDDEEGNNPKGLNLEQVQGACPVPHSTAK RDFVFALNTVGGKGMWFQAVSHGDMEMWVHAIQRGIGVA XP_844686.1 MESRSDTKGTSHIAVAAAVPVLFMTLMRCGMAQTITEPSSDKVT NLCTEAKYTAHLADHIVAAAEEPEREISDMQIYAEAWNLLAASTNQTDKRAATYALAA YMSAAAAKAKQLQNGKYKAAVLAATYLQRRSALTRTLQAMRVKGKTAVGQFTKKQGEE ASCSHKETPTLSDEGDCGRQDNAINHPESIAIDLRTATHIKLVHGSKLRPLELTHEAD VRETTPSEQAQVKATGCKAVLRSDFYVTFIASTTKKEDKTGVTAIKIFAGDDANTSCA ELKDATKKSEKDNDLLINKICTYITKPDPQIITISSLTPAMLANTTAVQVAFRSFVHA ATGKAPSDAEIEMQLQKLYGGDKQHFKRDFVTILTTKNMTYHTGSGFVTETFLDAAKN NHAHKVLIYLKGQRAAAQAKQPRTNESEINGPVCGGEGQEECKLDEKKDPEKPNGTQM RKWPKALQWMITRQGGNCKTIQQEDCKEDCTWDEGACDGAVGISASMNISLFMAFLLL A XP_844687.1 MESRPDTKGMCHATVAATVPVLFMTLMRCGMAQTVTEPSSDKVT NLCTEAKYTEYLASRIVAVAERQEREISDMQIYSEAWNLLAASTNQTDKRAATYALAT YMSTAAETARRAHAGKYKAAVATAKLLHRRSALTRTLLTMRIRGKTIVGISKEANGIG ICPGEATPTLSGDSSCAHRTNDSNQPEGIAVNLKAATHIKLLNSSALRPRGLTHLVEI KETKWPASREALVERCGGLLGGDHYVKFEASTTGEDSKIIVEPIKIFEGDDAEKNCAV VQKESMKSEKDNDLLINKICTYITILDPQIITISSLTPAMLANTSTVQMAFKSFVHAA TGKAPSDGEIELQLKSLYGGDKQNFTRDFVKILWTKNVTYHTGAGFKTETLLDAVRNK NAQRALIYLEDQRPAEESKQTQTDESEINGPVCGGEGQEECKLDEKKDPEKPNGTQMR KWPKALQWMITRQGGNCKTIQQEDCKEDCTWDEGACDGAVGISASMNISLFMAFLLLA XP_844688.1 MESRPDTKGMCHATVAATVPVLFMTLMRCGMAQTVTEPSSDKVT NLCTEAKYTEYLASRIVAVAERQEREISDMQIYSEAWNLLAASTNQTDKRAATYALAT YMSTAAETARRAHAGKYKAAVATAKLLHRRSALTRTLLTMRIRGKTIVGISKEANGIG ICPGEATPTLSGDSSCAHRTNDSNQPEGIAVNLKAATHIKLLNSSALRPRGLTHLVEI KETKWPASREALVERCGGLLGGDHYVKFEASTTGEDSKIIVEPIKIFEGDDAEKNCAV VQKESMKSEKDNDLLINKICTYITILDPQIITISSLTPAMLANTSTVQMAFKSFVHAA TGKAPSDGEIELQLKSLYGGDKQNFTRDFVKILWTKNVTYHTGAGFKTETLLDAVRNK NAQRALIYLEDQRPAEESKQTQTDESEINGPVCGGEGQEECKLDEKKDPEKPNGTQMR KWPKALQWMITRQGGNCKTIQQEDCKEDCTWDEGACDGAVGISASMNISLFMAFLLLA XP_844689.1 MQVQRTTKEVLHKAVAAAVPVLFMTLMRCGMAQTVTEPSSDKVT NLCTEAKYTAHLADHIVAAAEEPEREISDMQIYAEAWNLLAASTNQTDKRAATYALAT YMSTAAAKAKQLQNGKYKAAVLAATYLQRRSALTRTLQAMRVKGQSGAGQVTNRQGVI SSCSHKETPTLSDEGDCGRQGSDANHPESIAVNLRTATHLKLLDSSRLRPLALNHLAE VEGTAPPAKTEVQAEGCKAVISIGYYAKFTASTKESDDNSEVKAIKIFAGDNASTNCA LLHNAERKSEKDNDLLINKICNYINKPDPQIITISNLTPAMLANTSTVQMAFKSFVHA ATGKAPSDGEIENQLKSLYGGDEVTFTNDFIKILWTKNVTYHTGSGFVTETFLDAAKN ENAHKVLIYLKGQRAAAQAKQPRTNESEINGPVCGGEGQEECKLDEKKDPEKPNGTQM RKWPKALQWMITRQGGNCKTIQQEDCKEDCTWDEGACDGAVGISASMNISLFMAFLLL A XP_844690.1 MSHVCDVFERRGLLVKHMAQQLSDIVAAVEERPKRAREDYGADD GKAFKCRWCAIKYTVYAWLQKHTEKQLTRGAMKPQDVSGSDGEAEQEEQDKREFVCYR CQRVLKSKTWPTRHKYEPTSNTNSEDSDVAKQSVTVACSICRKQYHYRWLPQRIPTKH LGHDESLRPQPRVKPKRKVVRAEAQAQSEVSESLESAGRDNGERPPKRLRVVRHTEGK EGMDNVCGGNSSVYKQWCSLVWHTRTPRQ XP_844691.1 MTGRKGSRSSEQLLAVAALLTLVYATKSASTAAITDADTGPAQI TNVCKEEFYLSELRKEIAAGITRRRRQRQDLLKLERKYRLAATMATQPNDRCLYSALA AKLEQKAESVQQQADKADDTATTAMGLIDEHVGKLKYAQKLLKTKAAVDATGYSRAGD SSNIYLELTRETIGNGRCTAIDSWGKFSSAHTAIDAAKLTEIKITPDTDLATKIFKDK ITITGFNGCTASAVAKTRTFSSVLSTCTVSGSEAVTYARNSADYSYSTSTAAFYKNHD PDQGCEDATAAGEEGATADIKLRYAVCLAVKTIQTDGGKVPPLSGKALKGDKLVTNIL RNCLPAYQAVSKPWDSVEAKDLNDFIESAYGADDGKFKEIFDTPLDSRQITVKLNDKS EDKALTALATASERNAATSHSGGQRNKKEIETSKKQPAGAPVASKESEEICKDKAQKE CKEEDRCVFKEEKCKVKVTTTTGKDGKTNTTGSNSFVINKAPLLLAFSLALLNF XP_844692.1 MFLRTVVVELLFLGHWRHAEATHAALDEEKIKTLCKIANQLTLT PAIVVSKANQIKKTQEAAQEASQLAQAAAAATSDVNTSTVFQATALQAANCAETAATQ YTKLINDAQEVMLNGPKTAGHITEIAHLLLKLSGDNSKWCLGTSSTKTTHTTVAQLGC PGIYVDTPPAATATDPTLVTQTGFFGLAGAANVESSTGYTKCYLLKSGASTIWGKAGP INVKLAADFLGLTAQDSHGSETANLETLNAGATNGKFNPASTGPQKLFNAIHRLNNFE LQNCGKTTEEALQLAAADPTTQTLLAQALAARNSYEQANQATSDAQHMLKAAADGKAD EIGKKVMENIKQTSTARIENTKQTSKQLKETPTAEQRRQSILLEHMNQRYQILQLSTD LEGEKIKRNAATSKAKLSDDDCKAKTGGACKDGCKEISENGQKKCVVDKKEATKVEGG EKDNKTGTTNITGSNSFVINKAPLWLAVLLLE XP_844693.1 MIGQWSKIFLIALALTSPALASFQADNAADLQLLCTAIALDGAE PTKLTTADSAETTIKHARALNMSAADETWQEIFVGGKSKNSWEAKKQKVTGEPFKSHW EADYDKWVEDKETVDSGTGQSKWLTLNPRPASAPALQAAAEKINSTLRQLLKQQAALE TATTEATETYPNEAKDELIQALYGTGVTQPKFTDGKTIKHAATYANGCAKNAGLSIYG DVMCICGTQSADTSDQCADPVIAIKWSGGNDQSVISQLKAKCKTLKPAEYTAGDLEAL VQRIVGRVRSAKGAGNDVIHYLGKVNLGTCTGANSQACAIYASDSGKHSAITGGLNIP WLNHLAKAAKALRKAEAAAKNTETSSKHIKILADAIQTAYSATRYNMMGKPAAAQTET QSKKTDGEDGKQHHCKQKTNQTKEECKKLGCDHDEKENKCKPKAGTEDKVAGTGDATT PNCASHTEKTKCEAENTGKSSPVCGWRKGKDGETEPEKEKCRSSSFLLNKKFALSMVS AAFVALLF XP_844694.1 MPPKLGLALPLLIASVTSPRPGEATAGNVIKKANWQAICKVTAD AGNLAGLALTNIRAPGLQVAADIKALLRTLIYIEGNSTSAATKVQGKAAAFLAGQTAE NLEYYSSTSVATDVTTARDAGRLHGATHEFMSVQADGSTSANGCIGDNESGSNALAGF SAVVEANPNCQLSWETVTTYDGTVTAITKTGLGGKFANAIAHNKFTSGDRKCNINSAA SGFKLNNDGTSVDTSGNKPKMAAGIISLDAANGIRTIALANVMGDDEHPYLKAAATAA KRSKQTPKAADLTTADTALASTDFKKAARRHILGKKETADDSDTTLAAKVKKAFGSDE SINKLISTNVNNMPIAGILTDNTDAKSLGQITDISELVRLYFYYSDLNKQKLADTAKK PREAEAKTATKSAEEKEKECNTKGKDKQDGCEKLKDQGCVFNKDGDRDKKCTLSKEGK KEAVKAAESKETNKDGKTNTTGRNSFVIKDSPLWLAFLLFN XP_844695.1 MAIKQQFTAFFAITIAAAASDLHKRDAKITTACDTAAYLDHMAG EASAAFTNSMQATNTAWQMATKLAAAGNKIGSQQGAGLVLLAATVAEDAANALQNLQF KGPALIRGIRSLQALSTQQDIVGDLELTAIERKEAAAVASFISANNGDAISLNLNIKT FRACFSADNTRQPVPGGGQAADGTNNIVMSQLKVTPHTTTLTQGPRLCYTAGTTATTE QCHDKSSGTSNMMLLQGKPLTTAAITFKRKVAEGGEYAATDGEASNAIPIKTELTQLL KDVKELEDNVADLPYIFTADKAFTMTTTAEFRQRMATALVKEDAKYSTATIKNQVDTL EKTLYGETSNDFQRWVLDLLDSIEVSGSSIGEDKPVALNKVGPTIKVLRAAGYYSAAK LIREKKQPSKTQAQDGSDQEENDCKTKSKDECKSGKCELKGGKCVEKEEVKVEGAGTQ GTQNATGRNLFIINKALFCLQFLFLG XP_844696.1 MRFVSKGVRRIWRTEDYLVVAGIHSIDNDERFIRRNLQRETCWS YHEVSRKSNNFTGKLLIVYALSAHTNNNCVLRDIVEEEAKLNQDVIIFPVCDVNPTTS KKVGDAGNWGWEAELTMSRKTYLWFKLAVETFKSTNPYIMKADDDIFMRAPLYLKYLE VLPRGKLNMGRALGELDEYIDKMTWYVVGYANTISRDVVKEIVGFLEISKLLVRKISF RNFEKYIDYAASNEDIMVGEVLRHKMKLDSLLTVDIQDCHYVMHVKRPLHKFVRENPN LIVFHHIAEEEYA XP_844697.1 MWKKSVESIVGVSLVMTCVSSAEQDILNVKEFQTLCGFVSLTEQ INELLERMKGKLGVDVTSLQIKAKDILFGANVDGVNKMLWKVHREMDCGQDSGNQRTH GGKALVRDLICLCEGTNRQPDLKDLCYTGNVRKVNSQEWPTTQKHRSTWDDLRSRCIT GSGKGVPSETEFHENKVQFRMRIKKRKNSDGRQHFYTYGGGKEYGLHTCNGAESENDG ICVLYPRGSNEDNASGIEWLNKLEDLVREVEEMSKDESASGSTKPRAEGKPSMEKNPK PNTVPSTGDNSPAKGTEGPQNDGNPNAQATTSSEKDATTTRPPEEQKSSSKTILQFLR IFLFWLFA XP_844698.1 MPLYLLGTVGIMNLLRVFSDDFPLSLCSSNIRETMFKVTAPLFL ALTTSNAIALSRGTSVSVSSEGGKCNEIWYSNGKEMCGSPLAPQSSTVQRALPPAPAP AKKPESSLRSGDEVATQHEERGGLDLRSIASRQPSFDALSATINGERQVGRASGTAIR GGNEGSAEPSSDEGQQRTTELWSGQAEGQVKQMAEGEQRNPGSGDEGQNQGGDVAPLQ VAKEGESNMKWPPAQRVILGTVKENAQKETRKDLDDLEQEANSTQVGRTTAHKRHVML ITATLSFICVQRLLV XP_844699.1 MWSESVRYTVALVFAVVDVSTAEESIVNMEEFKMLCGFVRLTER INELLGKMEGTSGPNMDPLKKKVNEILFGTGISDVSKLTWNLYRDLDCGRGNGLAAAP AGKSLVKDLVCLCDGNDQKSTIKIICYAGNVKQTGSARWRNGEGHEKLWKTMQSKCDT GLREGMPTVAEFQEKKKQLKERVRRYTDTSGREHHYIFGGGKDRGLHTCNGAATESDG ICVMYPRGTDEDNASGIEWLSKLETLRSEVATVEERAYSNAHPQTKETSKKEKSDRST PSKTEGPHTTARTDGSTQNERPNAQRNTTATTSDMTNNTKRPPEEQRSCTIVASRPVT LFLLSFI XP_844700.1 MPIMCHRNPFHSNTITGYTSGFLSSPPRECHQALKSGISLKKYP IQNVSFIVSIISRIRFCSETCLWINFPEASRCCCCCFRSAVEQCKVVRWHLQKRSVIR VRWFVDVWVATATLQFHNISLYPGFYKPLSSNCHSDKLGAAYHFFFVYEIALQPHFSL PYALRGHRVFESLHCSHLQSREWSSGISSTVPYNFPFHTVSRCWKFPVTFTKTSSQLP TNNV XP_844701.1 MLKIAAVIFLTLRTCNPSVVFTRQPDVQVTYGDEGPREYFAPTA EQLARGKITPKHETQPMVGPLTHGSIPDERSEGGESENKSSEKVSPKASDLENEDGTV VEVLHQPEASGAPVVAGEESTYRSAQKSERTKKQHGEDDEGKGGKNREDILGIQAKNR NYSHDAHPAPRGAVSVVNQGSTQGVKTVSKRSVDEEGKEISQQQTSRQYESYVALPLR NGMSEHEVSRPSEVALDDVGEPSSLSESVPRGNGASRKRYAILLYAGLSVVFS XP_844702.1 MPNSRAQQLRLMELTKVSDRRSLLSSGTSMPTAAFTRHTEEISK TRDAVHKPYAKAERSHCCHDVKWEREPTATCKCSEIRIVEKRLAVDTMGESSQARETP ALDSVTRRMVKTVTLSWRRHRNDHDVGERTGKRCKM XP_844703.1 MAKIVGIVLGLLVIADTLRSGHAAGTYGVTKASWQPLCEATTDL RTIPSDVAANLKAGVTKVKNMILDSTRAAIYSQLQKGTKEAARVITISLYMLGAALRA VSYLESTDIINGVDLAAKSAYLKGHIDEFLKVAEKTTRSGEHCLLAAGDTGAATFAGE SLNRVNCPTTMVDTTPTKASLKKLSSKGHKGAMTPSNGGSHEHQASGTYRCRLFSKSN ANGLAHSGGNVEDGIKWAGGYYSLHSTPDNPLTVADLRETPDTPREASTAWQQVFTAI SKAPNSESATYTNNSKAIDSDTEATELLSQVLHNEKGENAEDIKKMRDDIFTTKLASV VDSTFANIHNAKLPAKISGIDKGKSLGEITAPSDLAALLAALLRKIDTEVATLKKKLE TTNERPDPVSEATCNTIKGEADCNRAAACRYNTTETYENKKFQFNASKASASGVPAPQ PQTGGTETTTEKCKGKGEKDCKSPDCKWDGKECKDFSFLVNMKLALSISAFMSLVAF XP_844704.1 MAHGSIPVIDVGPLFCDGEKGMMDVAKQIDHACRTWGVFLVVGH PIPRERTEKLMEMAKAFFSLPLEEKLKVDIRKSKHHRGYGCLDAENVDPTKPFDCKET FNMGCHLPEDHPDVAAGKPLRGPNNHPTQVKGWVELMNRHYREMQEFALVILRALALA IGLKKDFFDTKFDEPLSVFRMLHYPPQKQGTRYPIVCGEHTDYGIITLLYQDSVGGLQ VRNLSDEWVDVEPLEGSFVVNIGDMMNMWSNGRYRSTPHRVRLTTTDRYSMPFFCEPN PYTVIKCLDHCHSPSNPPKYPPVRAVDWLLKRFAETYAHRKTKM XP_844705.1 MARVTFCLAVVLIASSASVFSHVGKARASETQTNEADDKLSRAA ADVLCAMTVLTKNIVNPLADRLVELAAEDTANITRDAELVDAYVDDIDGMLGKDSDDP DGTKKRIKELCDGAKTKIKDMPENATTREASIKEKAVKAKESGKKTLEGTEASEGGNP VVGLKEVMSSYCRGGRKCEEGVVSSTLDPPEGEISCTNVTLDEKEKWISTLMVEAESN WTTSKPKKVVNCSTAGEPPCTPLDQWGTHYNDTKSHVVGIVGELDKSYKDMLICENQL LLVYKIHKGLQEEKNHSEIISEGERISNRTLDVLIEMSGGHRSSSLEDGVNNTDLQDL EEARTLFAKKRRWIMLLLLYIFAPIVAILILVLVFFLIRSRRKAAPEEASAAAVAQPK LSQPVHADPYHSVKM XP_844706.1 MTSLLSKLSLPLLQLLFLLLSFSTTGRAEDNITINVLSLMYSPD VQEVEVDSLNAGFEASLTARGWKTNSKARVSFIRPPSYDTPVAEFFESVVKESEGKLM IVFGPFGGPNTMWVKGELSKHGAVSFGPLAFSTEVREWDPHLYFISVEPNAELLALFR YAVVFLGLPRVGITYLKGTPSGEALYEFALDISSMMGHELCGAFAAAGGVGADEDALA AEWNQFVETRPQAVLLFAPVRNPATEWFIGRIVKDERARQMYVLAPSTSQGPLIRTWR DALYASNVSLNDGQLIITGTIPPSNLLTLASVRRFREEMDNHLKSNSEWGGFSKPPHF STDEAISDLMMTGWLTGEILSQALHSTDVLTDRTAFMDSLYRQRRYVVDDLVVGDYGN ECGEFASMQGAMCNCNQGGSAVYMKEVVDGFRMYPVINGFLMWGVSQCSSANVKVYAP LYGVFILIVDSYITERSARRWYEGASSVPADGSFENDRLFFHPFRRKLSEVAGDLAQL VDNRIVSAVFGGVTRDALRLPNVTFINPLAASPIVGKFRRNVLLLSPTVRQQLYVIAM HLSNASTGAVSAAIRSKRAEVIGDVLNKSLMTFDVALKSMLLLKESDTLVDHLPSSGD VVAIGLTPPDAHAIAQYLQSRNDRRVYVLFSEVAQLYDEFVEAFNATPAAVVSASRLV FATNLPHWADKNTESDTVAMFHAYNPRESTWTPLRLRGFATARLLRSIVPRMKRISPS LLVDFFYTESNIRVDDMHYGPYSDVECVKGRVTAANRCETNFGAGNISVWSMSRVLDP RVPVLSRGVTPSLEYAVMDGSSLSPSQLAGIIVGSVFFVALAIALCVLLCLFVFNSRD NNRAPREPTDPVTLIFTDIESSTAQWSTHPELMPDAVLAHHTMIRSLIMQYGCYEVKT VGDSFMIACRSTSTAVELASDIQRSFLQHCWGTTVFDDFYRNSEMQKAEDDDHYIPPS ARLDPEVYRQLWNGLRVRIGIHTGLCDIRHDEVTKGYDYYGRTPNMAARTESVTNGGQ VLLTYAAYMSLSTEERDQLDATSLGPVALRGVPEPIVMYQLNAVPGRAFAGLRLDRDF SFEESEDPTSTSASEHSSTHMELSGSAQTISDALHSLLSTFKAPQRERLLVPYCERWN VSLPRRKGRVWDDAYCEEVIRRIAVKVGHIAEHGARGMSASSGVTTQSGSSLIIISCN PYLSDASPKQCSRVPVSSGREVNNGMTENDAN XP_844707.1 MTSLLSKLSLPLLQLLFLLLSFSTTGRAEDNTTINVLSLMYSPD VQEVEVDSLNAGFDASLTARGWKTNSKARVSFIRPPSYDTPVAEFFESVVKESEGKLM IVFGPFGGPNTMWVKGELSKHGAVSFGPLAFSTEVREWDPHLYFISVEPNAELLALFR YAVVFLGLPRVGITYLKGTPSGEALYEFALEISSMMGHELCGAFAAAGGVGADEDALA AEWNQFVETRPQAVLLFAPVRNPATEWFIGRIVKDERTRQMYVLAPSTSQGPLIRTWR DALYASNVSLNDGQLIITGTIPPSNLLTLASVRRFREEMDNHLKSNSEWGGFSKPPHF STDEAISDLMMTGWLTGEILSQALHSTDVLTDRTAFMDSLYRQRRYVVDDLVVGDYGN ECGEFASMQGAMCNCNQGGSAVYMKEVVDGFRIEPLQRGFLAWGASQCSSRDVKISIP LSGFVMHLSDYFFSYRSAKRWYEGVSSAPVIRDDFGEDILHFDVLPLSTQMGVIALEA LLNMREIVIIYGAVDEDILELPGPVFVDPLLLYPRINRFNRNVLLLSPTVRQQLYVLA MHLSNASTGAVSAAIRSKRAEVIGDVLNKSLMTFDVALKSMLLLKESDTLVDHLPSSG DVVAIGLTPPDAHAIAQYLQSRNDRRVYVLFSEVAQLYDEFVEAFNATPAAVVSASRL VFATNLPHWADKNTESDTVAMFHAYNPRESTWTPLRLRGFATARLLRSIVPRMKRISP SLLVDFFYTESNIRVDDMHYGPYSDVECVKGRVTAANRCETNFGAGNISVWSMSRVLD PRVPVLSRGVTPSLEYAVMDESSLSPSQLAGIIVGSVFFVALAIALCVLLCLFVRVRG QRDTVPKSSSDLVTFINDSEVCVPMFEMLRGGECGVVTIVCTDIESSTAQWSTHPELM PDAVLAHHTMIRSLIMQYGCYEVKTVGDSFMIACRSTSTAVELASDIQRSFLQHCWGT TVFDDFYRNSEMQKAEDDDHYIPPSARLDPEVYRQLWNGLRVRIGIHTGLCDIRHDEV TKGYDYYGRTPNMAARTESVTNGGQVLLTYAAYMSLSTEERDQLDATSLGPVALRGVP EPIVMYQLNAVPGRAFTGLRLDRGFYFDEDVENFSFSSNSLSFDSLKLSGSAQTISDA LHSLLSTFKPPQRERLLALYCERWNVPLPMGGSYAWDDAYCEEVIRRIAVKVGPFVGR YAS XP_844708.1 MPRRFAFARSATLCVFILLSLLTEAFPEYVGGKIGQTPPSDFPP GNVRFGIDDAAIAPVVPLILKTLKSFIGSVTVPEQRLHGVSLETMQVQDINIGNMSLH MNSTNRVDVSVWNMTANVPETNFSYNLVFVSCSGTAKTDVRNANVSFAMRLSIGVGGI LDVSVEDMAIQLLDLVITPSLNGWCKSMDFVVGTLMNIFKRSIADYLQQYVPAMVQPI VRAKTVDILQKLPISFVSPPNITDGRMELTLAIHSEIPINRTTKAGMFTEIGGPLPER DISMICSFAAANNVLRLLHLFGNQSLLNMTIPIPGIYNSSLAESIYPDVVELCPNCPL KFVTGWTAAPWLEPVSDKAFITNAQGPTLSMDMVMDDNTSVTVLGAHLNATANVTHLS TDNSGRITIKLASVNAAVALYAPLGRKINSTSLNTDVQVLLNEIVVPLVNAQTGGFAL PFDVTDLLLKVSNATISVGLNAMEAYPLIGSCIDRIW XP_844709.1 MSTMPVTLRTTATVFLLCGICALDVSKASNLPVAYKQYVTINGR KLDGEAVLTLCTMKKLLDGVADRADFLERQSWKYLKVAREIFQKVSEHDDMRAALGQK MLDQMRGVQRVANRTKRSVEEAWEKAKRAAANSSKVLKELLKWHCINKEAIRDSFDHM ANANCDPSAYKHDYHRNFGHDDARAYVIYCEYKSIPAAKTDVTFSNMEGAVEAWNRAK PKADARDAVECSSGHSSRSASSSDKPCTLLESWRWDYDAARYAILKLETLVRNSLGVT HYAQRFQQIGRESLAQYLEWKKAAEARAAEEEAKRQAAEKAAEEARKALEEAEARRVA AEEQAEARRLEAEKAEKAKEAGQPVSEEKKKMLLEAVEEAEATEKAAEKQVKDSRKAF EEAEEERVKATEDAEVARWDKEGAEESEEKLKKDVEKLAEELKEESKESGEEDDVNAD HDDEGSEAKSGWIGTTKVLIFLIPLLLLLLGLLVFFVIRGRRKAEVKDDINIEEANAK SKNTKTAAGFDSDI XP_844710.1 MSTMPVTLRTTATVFLLCGICALDVTWAEELSVAQKQYVTAGAR QLDGQGATTLCEMKKMLDGVNSRVDMFEQQAYMYVNNANANFRKISDDKGMELSFPSN KLREIQYMKSLGNGIIKYMGGTGERAKAAAANASAALDQVLTWHCVDRTASHDVLPGY GRNENCLPNAYKRDYYYEHSRLDPHKYSILCNYKVVDSVITQTTFSNMERALEIWNQV KPKPYSARVMICGAGAPAHQAAPAGRPCTVLENWLWNYRVTAHLISKVEKDATLALRV FHYSKKVLEGYKESLAQHEERRKAAEARAAEEEAKRQAAEKAAEEARKALEEAEARRV AAEEQAEARRLEAEKAEKAKEAGQPVSEEKKKMLLEAVEEAEATEKAAEKQAKDSRKA FEEAEEERVKATEDAEAAKEEKKDAEESEEKLKKDVEKLAEELKEESKESGEGDDVNA DHDDEGSEAKSGWIGTTKVLIFLIPLLLLLLGLLVFFVIRGRRKAEVKDDINIGEANA KSKNTKTAAGLDSDI XP_844711.1 MSTMPVTLCTTATVFLLCGICALDVTWAEELSVAQKQYVTAHGR QLDGEAAATLCTMKKLLDGVNSRVDTFEQQIYMYVNNANANFRKISDDKVMAASLSGD QHQQMQYMKTRLDDIAYLMNATEGRAKKAAANASAALDEVLKWHCVDRTESRESSYSS TPNANCEPNAYKRDYYYEHSRLDPHKYSILCNYKVVSSTTTQTTFSNMERALEIWNQV KPKPYHMRVMICGAGAPVHQAAPPGRPCTVLENWMRSYEVATHLIARLHRDLDVALFV YRYSEKILESSKESLAQHEERRKAAEARAAEEEAKRQAAEKAAEEARKAFEEAEARRV AAEEQAEARRLEAEKAEKAKEAGQPVSEEKKKMLLEAVEKAEATEKAAEKQAKDSRKA FEEAEEERVKATEDAEAAKQNRDTIGRSEEELKKDVEKLAEELKEESKESGEEDDVNA DHDDEGSEAKSGWIGTTKVLVFLIPLLLLLLGLLVFFVIRGRRKAEVKDDINIEEGGA KSKNTKTAAGLDSDI XP_844712.1 MMPVTLRITATVFLLCGICALDVTWAEELVWWKSYVTSGGKKVD GEGARSICVIKAIVDLVSERAFFLESEALSQLSKAKTVLSNVTISDNIRTSLTKKKQE EILMTRELVEAVRKHIEAAYKRTKEAALDASRLLSDILKWHCIDVEKMRGSPYNYSTG ANCDPDAFSRERFHYYHVNDRRNNSILCDYKVIRSGDTVASFETMGRALDKWNFVKPK PNLTRLSECWNGQWRDEATETLVPCMLRESWLEDYNASRYSMRRLYDLTHVAVRIRKY AEMLSLGEGTSAEGVHEEDKAANERAVEERSKHQAAGRVVNEARNVFEDVEKRWLLLK RDAEARSRDADAVREAESKLREVTTKIEELSKALEEAKANKEKLEAAALLMAGESRKK IQAEKDAKSRLREVEKEAEISKKALEGAETEMMKADDAAIIAQWEAEDTLRSCADLKK EVYQSLARVSKYDKGDEVFDAHQRDNHRITRLLVRFIILLLLLGSFLFFVIRGRREMQ LYHNIHNDGLFSEERAVKDTPAIDAFVELPRITPTVKHEKEQSI XP_844713.1 MSTMPVTLRTTATVFLLCGICALDVTLAADLPLTSRQFVTNGRM LDGRGALTLCEMKKTLDGVNSRVDTFEQQILTFVNNANANFRKISDDKVMAASLSASR LQEMQYMKSLGNSIIKYMGGTGERAKAAAANASAALDQVLTWHCVDRTASHESSYSST PNANCEPNAYKRDYYYEHSRLDPHKYSILCNYKVVSSTTTQTTFSNMERALEIWNQVK PKPYSARVMICGAGAPAHQAAPAGRPCTVLENWVRDYRVTAHLIAKLEKDATLALRVM RYSEKVLEGDKESLAQHEERRKAAEARAAEEEAKRQAAEKAAEEARKALEEAEARRVA AEEQAEARRLEAEKAEKAKEAGQPVSEEKKKMLLEAVEEAEATEKAAEKQAKDSRKAF EEAEEERVKATEDAEAAKEEKEDAGRSEEELKKSLEKLAEELKEESKESGEEDDVNAD HDDEGSEAKSGWIGTTKVLIFLIPLLLLLLGLLVFFVIRGRRKAEVKDDINIEEGGAK SKNTKTAAGLDSDI XP_844714.1 MSTMPVTLRTTATVFLLCGICALDVSKASNLPVAYKQYVTINGR KLDGRGALTLCTMKKLLDGVADRADFLERQSWKYLKTVQEIFQKVSEHDDMKAALGEK KLQEMHNVMAISATTGTYVGEAWGKAKRAAANSSKVLKELLKWHCINKEAIRDSFDHM ANANCDPSAYKHDYHRNFGHDDARAYVIYCEYKSIPAAKTDVTFSNMEGAVEAWNRAK PKADARDAVECSSGHSSRSASSSDKPCTLLESWRWDYDLAAHCIEKLERYTRNALQTV HYAQKFLHKGKESLAQYLEWKKAAEARAAEEEAKRQAAEKAAEEARKALEEAEARRVA AEEQAEARRLEAEKAEKAKEAGQPVSEEKKKMLLEAVEEAEATEKAAEKQVKDSRKAF EEAEEERVKATEDAEVARWDKEGAEESEEELKKDVEKLAEELKEESKESGEEDDVNAD HDDEGSEAKSGWIGTTKVLIFLIPLLLLLLGLLVFFVIRGRRKAEVKDDINIEEANAK SKNTKTAAGFDSDI XP_844715.1 MHMYFMRVKMSLQLLVPFWFTLVSFASAENQQPADGHFDANSAK ILCSLYTLANTVGEGEKNIVNHTKHKITYIDNATDLIKKEREGLEKLSDGKGSKDLAA VEKAKKELDEALAVVGSAKTEVEKQLGVIQSGISKVKEPARKAVGESEDTGIRKVLRS YCQTISKKPSNAHSDNENNNCRGVSTKSVGEGIYEIECRGQPKGLDHLGMGAAMEKWN DVKPKPSSPTHRNEYAETACRMDGYFTSTACTVDESGWIEHYKKAIDAMKPVVDACQA VQNAVDRVKDQVQHVDAALKPVAGPGKDFKVEQVGEDYDAEKEDGVIEDDDKLSEGGT SELSSGTRIAIYVVCAVVPLFLIIVAVTIFFLRKRRPGTKEDVAAGETNGKQAPSYLR E XP_844716.1 MGARLRHSYILASVITAVAFAAAEKGQRPALKRFNKEGARALCI MYGLAGSMQSVADGILNTTAQKIKFIQNTSSALNRTRQKVGKLVDSIKAKNATVGDAI KRHLDAVLNASAKADEEVKKQHEIITNASSKAVEHAKKAVGDSESKGMNKVLTIYCNT TITGAKEGKKSHNVNNNCDDGIAAAAGAGAFKIDCKSIGGSDNVTAANVTYEAMSNAI NAWDGAKPGLAAVKHTSKQWNASCISHGYASEGPCTVPEEEWTGDYNSSLHELKKLEE ATKSVHNAAEELKKHKNALKELVRSSTPTGKHHEKKSLKSSIDGIISKDIVTCIIVVT ASLLFLVLGFLLFRCIKNSLKVQSAYAPVSAVAEEEEDC XP_844717.1 MGARLRHSYILASVITAVAFAAAEKGQRPALKRFNKEGARALCI MYGLAGSMQSVADGILKSMHDKIAYIEEAFVLIKARHEEVVQMEREEGETIEDAAKFS RAKKELEDALEVLDWGQRKLKEQHEIIAGASRKAVEHAKKAVGDSESKGMNKVLTIYC NTTITGAKEGKKSHNVNNNCDDGIAAAAGAGAFRIDCKSIGGSDNVTAANVTYEAMSN AINAWDGAKPGLAAVKHTSKQWNASCISHGYASEGPCTVPEEEWTGDYNSSLHELKKL EEATKSVHNAAEGMKTQTGDVVQRLMRSSNLNRNDSLTEPLVPAPDSSDRGTDIVGYL VAVAICFVAIVPATSVLLLLMNRRGFNRGSAFGTKRSGSRLMI XP_844718.1 MRVWMKDDFPTREKSYKRMHTPLLLLVASVLLLPVCSNGSSSQP AGVEKRRVELFKPWNRESLLSHSDSSHDVKHGLFEGNSLVAVGGSVVALAEARYNAWT AGYAGVWLKTIALGETHQSAQAAGWMSEKKWKTENVFVNEPVADHRYAQYGPRAIVLK DKIFLLSLSSNKAKDVEPQEGAFDLEVKLFVGTIGGRGAEDGKVVKWNKPSSLTDTFK RPLLEHSWKEFFESGGKGAVVGDTILFPLVASAESGAKTCVIARYKHESESWSFTPAK LDIDDCTEPSLLVWKKELLLVVAHQTKNKMYRSVDVGMTWTDATEGERHALSNFQYHS DDDDRGDFVNMKVDGSDVILFAYRAFFNSGNAGLRPLLLWVTDSKRTHCLGAISSGHL SMLSFSALLHTESGLYSLHEEGFSQLKSIFFTDLTDRVHELKAVVGLWRKVDGSVMAL YGTTGEESTSAPKFDPTVGLVGFWSEVANRTHWRDGYLGMDGALQGPVKRVTTGFTLG TRAAHVVFPVSGKNENKVYHLIDNGLTVVMSVAVHKAPKNPTPLLGVGVRNKSGLIAD VGIWYDEKAWTQLSGTTAGAKTLALEEGKTYQVVLTVRGGVAHTYIDGNRVGDGQGSI VPLSQSAEIHEIYVGANERVIGKGEATGDLSVTVFNMLLYSHELSVADVKALLAVKHR STFDAVLEDEDEDNGDHGDGQGGKSGGRNLAWIAAVVVPALLIVLGLVGFFVLRQRRA AQNAAMRPGAGLPQMPAGYNPYTVDITDDTLDVRK XP_844719.1 MLRRVGFLSATGSLLQAAASQPPPTQQEQRVHGGLKDQDRIFVN LYQDFGTDIDSAERRGDWYRTRDLLLKGHDWVINEIKASGLRGRGGAGFPSGLKWSFM PKSKPDGRPSYIVVNGDESEPGTCKDREIMRHEPHKLVEGALIAGFAMRARYGYIYIR GEFYNEWRAVDQAIKEAYARGYLGRNACGSGYDFDLYTYCGAGAYICGEETAMISSIE GGPGKPRLKPPFPANVGLYGCPTTVTNVETVSVSPTILRRGPSWFNSFGRRNNAGVKL FCICGHVNRPCTVEEEMSIPLRDLIERHAGGVRGGWDNLLAVIPGGSSCPLIPKSICD NVLMDFDALKEAQTGLGTAAVIVMDKSTDLIAAIHRLSMFYAHESCGQCTPCREGSPW LEKMMQRFVHGNARMEEVGTMLDVSKQLEGRTICALATAAAWPVQGLARHFTPLLQER IEKYWEANPHWGKSGSPWRRWKTHRYYAMQKGEKLNWDGKIVRNWN XP_844720.1 MVVLKGGGTTYAVGNGRQMPWEEVPEGFKRRLAEQEEITPCVEL RAIAQRCIEVEGFWAPNCVEATEQFHSCQAQHLAGCLPNWTHHNNNNINNNNNNNNNN SSDGNEGG XP_844721.1 MLPSFTRKPADHPIGYLVALSGLLMQLMSYGIDNSYSIFSEDMH NDPSLGFPSITAISLGNSVSLGLSPAFGVLAGFCVDRLPPRFMMALSTILLFTGLWIS STLAANIYVVTFTYCLFASIGTACMLSPGAAATSSWFNRYQGLAMGINFAGGGIGSAI IPPLAGKWVVAYGWRKAFQLMSIFCAIGVLATALSARRREPKRDDSSADDETREGNKS GNGSLVRRSNEPATVGGEGAANNGHNEGKEDVREMGRKNGSHTNTSKVPPNGRGVGTN QQNGNDGEGLDVTEQSQRNNTFASAIDVDMDTSMDADEPQVIRSLHTHKLTPWELFLS MFTLPFMGNFLCWFIYSWAFYSLIYAAVPYISSMGKPGTVYAGVPPIPTDVAATLFTF YGVFQVVGSVLVGWLASLVTAEFAYVFCATVGGIGCGLLALGRSYVAFALLLCIIGFC MAGMFAVMPTLIATHLYGPNLGFYFGAVFLAGVVGGFVAPPMQATIQLRNNGSYAFVC VVMSVSMTLSALVCYATLWRSKRSGIVLAARKTKLVEIM XP_844722.1 MNSPAASTIAVTYAAESNVMAPTRRGIEMAERSATSSEPFSSSV AEANANILLAGRHSGSGCLSLNRVGAANLQREGNSNGNSSHNNNNDNNNNNNNNNVYG GGGNQLRRGYSSDDGDGDGEQRDAANASLSTLASSTVSQGGGKEKVISVPPAVQLVPP AFLQSSAPFGESEDVCGVCLEAPPEGCFVELLCCGNILCVGDAQLLGNCPFCRRGPLM WSITR XP_844723.1 MLFFCWFACSPTLTPFCVAELQSAASALGETIELLHRPPWLHAD STLSLFCVFEAASVDVVRGVAARCMLVRAIYQLIAAAATMEGLLLVCESHCRNVSITA FEHTSDQLNIHKNCVHGVCGAADAADGRAGGSKVAHGSGGAKCDNNPASNMSGNDGSD SAIAFTDKTVLSCYSTRAGCNGVGECSRCVYNRDSLVGPPLLKQLYQVSQVSIPHKVK EGEEMGRRGSDTNINAADTKGHGADKRDAVSVRVETVGRHYSAEEKDALAIRLADAIG ISLSATCGCKKQKSEKDEEVRESGLPCEDIFLILEHAVENAPPGAKAGWSPSGPVQRV LCGTFVVGSSRQGLLTRYSLQCRPYIGTTSMPPEPAFVMAHLACVRRGSFVFDPFAGT CGVLVAAAHYGAVTFAGDVDGRAMQRGTQRGLRSAQQRQQRAAAVRALGEEALQRAGV PLEEALEGPTVRTNFKIYGLNPPERVRMNFALWEKTLRLRGWGRGGSLKNVAANFEGF GSTANGPCISNVTNDVSRDGSNDASNNVSDGLGMAAVSEARLCEGFLDAIVTDPPYGI REPRKSAAPKQTNTVAMSTGDNEGRGRVAVTSHNDEGNKGSGGNAHRLREISEDGSGQ QSTGKVLNETPIYLNTELSMKNTSNARDTCGERRDDEGCENQMNDDARSAKTTAVETP STSYAVSDIVLDLMLFAAEALVMGGRLVLWYPSSSVHYCSEELPSHPSLQLLYNIPQR VSLKIVRRLLVFVKTHPPPAVRPVREMCMGSSGVPDLRELMDQTELPDNEAYMHYREK LMRKRVASQRFHSAQLDRGITGGNKCDVRKDENKEDGGGEICSSVPGVLEVAGGALAS PSLVQSSPSLYFPETSAAVCTSETRRNRMTKRERRELIVLNRERKLLKQHLEKQQQHN RHQPAPQNEKE XP_844724.1 MLPPIRRIGGKTASVPGTSPGRGGAVANTAKVGLGTATPTDAEE GGEEKVPGPATLSPAPYEATRAERLTHWLRKEGFPPSYTEISPAEESIFKATRRFQSH FHEYFPKRAPQLLAPLNECRTRKMICTFIRPTIFPFDELFDVGSCARFLAGYMRYEIL EDTERLPEVVVSPATTLQWQIGNCFELSILLTSLLVGVGYNAYVVVGYAERAVCENDS SQREWTDEYGELSTSGKSKSKRRTSNKDSTYCSNVVGTTGGREENANTKAPVTDDYCC YVDSDADDDGSDMVDAEYAALVKDRLVLRPADEIDMYSQYHHQQDDDKDTRSNTSFAG SRVQGASESYANSVSLCSPLANNVVGATSPLCGPNTSFNAFNNPARCGNADAASVIGG DDAVKGPAKGESVNFPPVRRLHSWVLVLPGGRKSVREPVFVEPSRGDLIAPGDADSFY TGVEGVFNGDNYFVNLTPDAAVSSLVPDLQDASQWEAVLFELDVEDERNISHNFVGTP LSTLGGQVHLGATPGSGGGVLSASPSAVYGSRGLGNSAGMRRRYNDEGSPAQHPGSTF SGGFSTLAGGLTHLMQTTRDRAVHFCCASWVEELTLNRAQYESRYPGGMKFTRYVNAD VFRYAAYLMPDHRVLEVYLPDTQYPSQAQIHLLFEHRADKLRRRSVYPTASAFMRRTN SVSDFRSGAAAASGGEGLVALPAAEGEGKEPYDTDYDYSSGAQQRAGLRRNIVTSALD DEFRETNVGKRRSFVRHRSFSSGAFMRERTVSNSNSQLIPLVDVVVQSGNFRLMQEWF ERGRMLQTSVGGLRLLTYEPGVQRTMTFYWDARNDGLWRRQEFFYESRALRKVKEFYR GRDDRLWYRSATFDNVAALNMGYNLSHTNSQQVGSSPSTKGSVRGADDTQRAEPIRMS EKYHRNETIPPDDDVAKYVFVRPAAVAAARAATGTMHPQLVASAALSPVCAGPSTGSV GSLSEGGEMWVYFHYRPGSIIRPYRMYPKTDPGEECLLSAVAGPKGSISGTLPSIIVM MPNATPPSDMEQCNERNRLRWWVTMCQGRVRASLAECNAIVESTREPSGPVAGPDEAE AAKRSSVELGGLAGAQLTPVAEQKSQAQNQEYLLPGLCPSKRVALANEKQRRVPAVVS VFDTLRNRPRETEAERRRRLAEEALREEARCDYLAPYIAKLDLPTTFSGDYMNVALTM DQARRVRDEALRELKERLIHRGRIIQKQMDEEREELTRRQANYQSNVDAAVAGVESTV KASGVETCSIQGVLGAAEQKDMSDSVALAATGGGALGEAVTGIPSVMGASCTITANAL ASTSAAVAVTTSGEVARDAKEFAQYCKEATWRMKTLDELLVKHIDRASERYAKLAQKL ADDPRLSALYKNNPTPQTDKYSVQL XP_844725.1 MRRSIIRLATATAPSRVRLSSAAVTEPKTSMQPMHAHVRSSHAY EASAKGAEVGARNEAAFMNYELVRKLDLGGLFAIPHFVNLLTITPLYCAALAVGCWTW GLFYWDLYCRSHYETVLIARPEALK XP_844726.1 MLRSLVHSSRTLSLPGTHVAPTCIVYTPPPTLPRGTTASFDCTA AGVRAISLVGSGLRRCGVSVSSGCGVSATSLGGTFRMYHSGSAGAGGYNYSQPPSPYG GAASNYMGGGYYAGSGDPNYSIDNNSNAVAAGSGGNAGGGAGGGGPVGSGRLPFVSRP FRSPVHPSSFIERVPRNTILNIVPQGRQYVVERLGRYHRTLDPGWWFVIPFVDKIRYA YSVKEQGIEIPNQSAITCDNVMVEIDGVLFLRIVDTCKASYNIENPIYNLLNLAQTTM RSEIGRLDLDTLFRERASLNKNIVEVLRSEAADWGIECKRYEIRDITVSELVRRSMDL QADAERRKRQLILQSEGEAQAGINRAGGLRRAQRLAARAQKYATVLRAEAEAAAMALK ADAVGRSVGTVANAFNASPNPQSFRDAVALRVAEEYIEKFGELARRSNTVVLGGGGSG LPVSDPAAFTAQALSVFRAVSDSCGGNVGDAKVPAVGADPNIMNSSLGSGVGGTVDSN SVDNNSSSDSTSDDNNNNSSGFR XP_844727.1 MRTFFSHVMGAWKSKRQLPRAEVDCSDLIKSFPQAPTTAELRQV VHRRLFGSVGPASSEAEALHREMGIRHTVFDLQYRCGASGRCCCCERIEPSDGPHMAT SGGHVSDNSKMGPTSTTTSGTSDAASMLSMTIKGSSVCRFPRCFAMRPITKGAEVLAV RLAYEVDDAPTPKIRGLIKLAREERLAAAVHGIQQLVDAEIRLAAGGRVLSSAFQLQH QYSDASATSIGCHVGFNGGGGGDSGYYSYSAGGEACEVSPAALKYGSESAVGGGGVNP LLEEAALREEIVSLYLWRASLLVNMREDERAVSSLLNLAALLAPKSNTRTNHNSDDTK QQPNRDEGQKEEQFRQLQQDRLRLYTSAASWAALNDMEVIYYRSLLKRYEPFPLAETF AHDRPLLLKRVVEACRCDNGGSLNCPNGVNSGSCSYSSVADAETVAYITECIAHTVFA KEVTATVSRAQAAQQHGDLTEDPMRSLCLPFALRYYRFYVGGAFWEHFFRLLCMPPIP PSGRNEEAERLGLTPNYVLDAVGRSMLLHHLVMLHEVREREIADGTVASTIRELHKEQ SLAAAASACEGNGDMGGCGDSGVPVHPAHYEHVLTDRERAVAVTRLRELLIVGRGYES VLSGKGANNNDTGSSGNSAGGNNGDGDGGSNNNKTSV XP_844728.1 MKELKGSEGDDVPRHQEIFRIMAQMPLLIPEGAGKARWNLNSPG DVPFCVTHLTFFFLPLPSFLLRFYSLLGATSHAQDVLPSAFLSSSYGANNARATFLFW YLSPVPSVCDLLRFRICRATIHTRRMAATVVRKHIIVYLKLHRCICLAIFVCIPEACT ITCICLVYCYISFCAPTETFGPFSLNIQRKKHPHSFSTQTEKTK XP_844729.1 MGRGILNFNVYDGSLEAIVHGYKDGFLRPEEYASLVQCDSLGDM KSQLQVTDYGNFLQHDGQAQLSARVIVERAQEHYAKQLRELRSWAAPPLSHFLDFITY EHMIANVLKLIIAKRSGRDGMQLLTRCHPLGWFPELASLTAAADVREMFEVVLIDSPV GRFFNANGGLESDLDELSVEYIQGMLMKNYYEQFYDLCCELGGATAEVMCPLLELEAD LTVLRSTVNTMGVPDIHATDRRRLFPSFGSLVDIHDDIAEAESVEQLRERVRRFGLMH ELLDDSRYNSTSSSSAKGGNNNRGNNAVSGAASGQTGASAAGASSLERRFVEVTVALY RDALSRQFQYGVFYAWAKLKELEVSNLHWIADCIAQGMMHRVDEYVSIF XP_844730.1 MRKYHLSPLVWATTVHPSELTAVSHPALPLSGCYCVSRRHRLTI ATVLSNIRGMADETSDITSKLVYAQRRQEDAVAWAIKEKELLAAKAKRQLEEEALQQR EERKLEAYTTELEQTSGPEVAAAARQRIREESDTSVPYTRSVDAFGDKSVKLQHSFSG LADNRIIMWWELLYWNYIRFFFTQERLIAKDRFGNKFTVTWQFQKGREEQRRMYRKDS NKKHLPYGTLATDDRLWERWMRGHRGDPPSVAEEEHSRSYKKSYFGPMILDDEEVEDA LMRIMAHMNRSQQTFQELDDDQVYGDAHRATKPLRQTEHNPQTEYTQKAKSGATWTLG FVRGDLFYNEEEVEVMRTELGHVFRNMAWQELEYKRQVRMNKKQHPVRKPTSDDPNDP DGEPFAHYWERTDLGIPYHDAVPDLTTPELERLRIEADQLEDERLAIRKELGLTDLGD IREGRNPIDKDGAREPFQPPPVSTRWRPKCWEEAWGTGAGNKW XP_844731.1 MEGQKYDWANAHARLWTSFKKSQESNDTPTARGDIRMHSSPTAP SVHLRNSAAVRDITTAVLAEAMGRGKADEPVFTEELEARIVWLLENEGVDSPMRDLLG AIMHLKKRIEMRGGEVAITSSRVADIVGETLYHLTGRKVSGHVNEGASTGKDFDDVNE SPFLKVLMQVEKCKKTARKLAERNGICKSYDSESSLGEILDMMSSCRPCAVERTIDED NKETLRQVMDILGASPGVPVGQAPSLTLKLTKDLEFARRDVHTCSALLHQYEKAAMSR FSSCGVVSPPTVEQERAILLSDRPNVSVSSNDQWIEREFKDVISIMTQVNQRFHLNIV PNHLRGWPTSSSAEVVFDHMRTSLESARDMIAALAEREQEVRSKLAEITRKLRHSDDR SASECSDDRFSRKLSDDTVVSGHSTAIEMAQHLGLLVDHQLKKEENVNQESLQGYRKK MREILMRILRWLPDGDGSSQGDTDPTCLSPTKAPQDEDALAGYVESVVQRFAAQSNVP DTLVPHIRELCTNVSQLADSLACGNVQCIDDSTMTTLLSTVDQLRRWVLGERCDTRSS ADNALIQQCLCELAQLLPTGTSPSSCEGAVEIEPPVNNLLEMVQLLKRRQEQWVQEQH KHQASGANSRMEKARLEEIVREGGKQLVKRCKEILLISANSVEAEAVINALSDELTDD VPAVKDCAVDAAPGNNQGSTGAHSITLATTVFRDLDERMKLIKTRYHRLQHAYEANKV RLDNLIQSNIPRGKRWARICNTVGALCRAVGVEELAFRLEHDGRPESLQSPSAPTASA SNTTSPAAVASAFKQTLRADLTKSDAGQSVTDGELLEALKLVESRLKDNTALCDRVSQ QSRLLLLQEERKQWREEVVTFRQAIQVILQRLAEAGQRIKRSLYLLGTDECAEDDVVE TVLREQECRDDDKSRLKQRQDEGDGGDPDSEWNGADEERLQKQQEVNEEALAVLLRKF GRWATRLHETTEDHLHTQKKLVKYFAAVHRFFCNANVAPPNLDSCTEALLDIDTTLMH FADGILPVLDRAIDAAQSKRKKRTGVTSCGNKALLNILNSGKSSADTGDSEACRTVTG EKDDADARNEVMSLLLQNAPADRILPADHRITRLYEMVGKLRTMVSGLLSVRYLAIPV AVGNRGSGGNSDELVFADGFGDDDYIELDLNTLRAVGGKGSKEGTAGIEGDHNSGSGA AANIESKGSDGATYVSDEKLLRIVESNVTAVYQALAKFSTDYKLAAILLQKDTESMQH FIAEMLKYYIELDVKRGFGQDPEMLRELYILIHERAKRQRGCYFFSRVGGEGPCVWSA ALEQLSSGFIGILEKLHKRTETAAELRELVDDVVDICAMYVNWVDTTGATITSLHPLP EDVLTACIRQDVVAEEEVMSVVEGNQLQPHGNQSCDRCSDARDELEVEDVRDSGEEVP KPPLPPGHSRPKVSHFKKDDIVLKVIAHMFQVAQEVLSLSGSNSERTQQLEEQLQLLR ESVAVAEQGRDKALSEAAVSRAELRRWRRQHHVPDDQLQPPPPLPIVQQHQQEKRPSG LERPVSPSPVTTITIDDEGIHPGVGRAPPPSAVAPGRDDALLHVAEVMKELTDELRGV CGRRGSDISYPPVATVLDSTSKTLTASEGSRRRVMMDTESDFYSGASVSTAAFQRGLA TRGSTAGAPLVREQKGNAMQYGKSSPSFAPPTTPPRSGNKELSPMGEMSASPPSFQPP SQGLQIQQRPQGIIQSYDEGRHSPAARDTVVLASGGTKYTTRAPSTQHYRSHSEGVNA HERDVSTRQRHAGRSRGGAVSDMVGGLQTKSDGRRTTSATRAPVTRTPPSLSAALRGQ SPQANPRTPVRVAASPSSLSSANAAAPTAAAPIRRSSPHSRKYRDGMEELMDGLYANY HAYHDCVCGNQPKGHHGSGVLKSTNRPAAVVASRQRARNRKPGTTGDRYCENVKRSPG GSLSVRTTVSGKSYAAGGGQARPRSSNEEDYTLHMSSLHNTGSSIVISPDSTAAYPDV GDTDASRRGRDRRQIGNEAQGLFFRGLEREESLRGPARPVISGTRSPSRARDGGGLRG ILKQQHHQRQSTVTPTRRVSSLGYGVNDYAHGANSKQLLDVVARTKVGKRDEF XP_844732.1 MQQVPPEIKAMSDQLQMLLKENQELGEKKAKLIDARQRLGAQKS ENEIVRDEINRLEPDSKVYKLIGPALIPQDQSDAKAIVQNRLEYINGELKRTDAAIAD LENKQRSGQQKSEELFRKMQAKHSQIQRRQQQS XP_844733.1 MSQEGGKSEPDANEKEQRNQKLRLGLEAMFCAQEIPSEKRTDIE SDGEEVPPSITRDEDRYRQVAEAILRIDTKSKIIEINNIRLFSVAEIELDKLTECTSL SLRKNLLHDLIPFPEDLADRLDELDLFDNKIRKLNDFFETVTVPGDPPTTKTLPNAYK CLTKLDLSYNQIREIGGLDSIGGTLRELYLVENKIKEVKNLDSLVNLELLELGGNRLR AIGSGLEKLTKLKQLWLGKNKISSIGTALHKLVSLEILSLQANRITSVDAENFLGAKA NPNLREVYLSENGLTSVGNVRHLSTIKIIDFSFNSICSIDAEEINPQTMPKLEEFWLT DGNIADWEEVGKLSGFTSTLKTVYLERNPIEEDKRYRDKVYMYLPFLVQIDSWPIVNK GNLEADRKRKA XP_844734.1 MGRGFGRGLRVAIVTHAQGKNTGKKTPRGLRGSRQIKRKRFQQK HDDQLKLEESMGLGPVTKRVAEKPLAQQIAAVQFPHVINKRQKGFKQLRKQIIHGVKS IRRRHAERKRKQAGNSDPTRGHASS XP_844735.1 MSRTIVLGLGFVLLVCCSGGFADEESYGKSHYKAERKLDVAAGR ALCAMTDYSTKVSGESADYFVEESDRLAALLADDKKRVSYYLEYIGPRLDEKSNSYAT VAAKLREQCEAAQKDIDQGEATAQEVAEKIKGSIDGAKGAAAQALGEDEIEDHNKATG LLKVLNWHCGGDIKRGLKLSPYSHNCYVIGRRRDYSDGARNVIHCDGLRKSTPYQNVT GTKMKLALDKWDKHKPKAKSGKPPCEDKSKYSGPDICTAWEGWLGDYKRAMTKTHAIV TELRKAQRAVYVAETKLVVLYKIYTLLETDEETPKSILRNVTEFVKQRKDKLERTTVL GENGKHDVDISREIILPNNTEEEEELIQKARDTAPLGISVAVLVVAIVVPLVLLILLF LLLRWHMKKRDEVEGQVEKKGKEEELGSDVLEGNIL XP_844736.1 MSYNALCIGHILLVFSAVASASEESYKIDRYKADRKLDKEGAIA LCKLRDVLTNISEETTDYLVEEVQKMGESLKTDMDAINYYVKRVRSLKESGREDAKIN ESDSNEIDSLCKEAKAHANKKSRDQVDQTVAKIRRVSGIVKDAATKTLGDENELEDHD NADGIFKVFNWHCGGDRIGEVHAGSQSCDVIGFRKNFSAGQRNVISCKKWKDEYGETI PHENVTVTQMESYLSRWFEARPKRVGEDICKDGEYHSPHSCTVWEGWVTGYEETMEKM EELRNNIKKLNRIRYDGETQLWVLYELFEALRNPETVGPLPEVLENINKTKKSRLKNK SVGNNLTQYTLLDEDFQVNETEREHVDEARDYFAAANFAKLMMYIFIPVGAVLILVVA VTIIVIKRKCADASNAESMAGTLPGDKVI XP_844737.1 MSRTIVLGLGFVLLVCYSGKLAESSSDAQHVADGKLSRDGANAL CALKETVQKIGTEGADNLVKKAEDNVTHLKDYRKRVGYFGSQVISLSGRGDPDSDDDA KKELKEFCEEAENETRDDLRDAKELYTEIEKDAKKSKEAAKATLGEEAKGTDSKGLSG VLHRHCGESQGKNKAPSQHCDTDVYNNESDGGKYTISCGTDNTHHRGSPSARLHKAFD EWESKKPKKAGGNLKCGAAAENSSSPCTVSEGWKENYEELNVSLSTLEENGHHIENAT REGAKRLTSVYLAYKMLKDGKTAGEALQEAKSRLEGNDTDCPEEGKNSSRCLSNDDLL SGARDHFIFPLTIPELLMYVGIPLLVVLIGVVLFCIFRARKKDRKEDVASGSVHQGKG MPSTNIDPF XP_844738.1 MKRLPVRPCCVTGEGSFSALTFSFSLYALLVLFALLYCCDLVSS KIYERTTREVFLEGGRWVRKSEWEKGSWKTSPEWNAGYEWWAWCMDSVAKEAKGEVCR KEWLSQRKKGYTLVPRTKVPFREKNGTQWMRNVHSFRVPSFVEISGVLVGIADVRYIS SADFTFTETVAKYSADGGDTWKTKVIIENSRVNTNFSRVVDPTVAVKGNNIFVLVGRY NTSSKYWTWQHYGEDWDILMYKGTVIKEEKDGNVTASITFEAPQNLKFLLATVPSPGG HPPSQFLGGVGNTAVTPDGAIVFSVQVKNTWNQVVGKLLYSTDDGKTWHFSAGETPVG STESSAVWWKDKLLVNARTDEHIGCRRVFETSDLGNTLKESIRTLSRVIGNSPLRNQP GSSGSAISITVEGMDVMLISQPKNTKGRFSRDRLQLWLTDGTRVFMIGQISQGDDNSP YSSLLYTSDGKLYCLYEQNIEEVFTIYLARLVDEMKMIKWVVLLWKAQDTLLVGDCLS SAGGTGPCRGIPVGGLAGLLSGPAVGHVWPDVYKCVYASVSGAVANKDGVVLGGTGKD RVVWPVGEQGQDQRYYFANTHFTIVATVQFGVVPQRDTPLIGFVNGEKNANKTLMLSI KNKKWFLTYGRIRSEGSPVPSNLEGSHQIALTLQDGLVTAYVDGKLAVAAINVRKFGR VGFLNIRRFFVGTPVSIRTSSHTTVTVHNALLYNRRLSEGELQLVFTNREVIRAANPT TPPPTPFESSAGGDEQSHDRAGSTFGVPFLSGGSMYCEGDALERVYILFIITLCALAL FMLVLVFQKQWDGDSTII XP_844739.1 MRLIVLFLLSLLMVAPVVCVADNVTVKVYSLLYDPFLPDVYNNG VNAGLHASFAARQWSTASNVNVEVIHPLSYEVPPPELLQSIIEENKNEFFVVVGPLSD SDTLSVLPSLEEEDLVAFAPFTGSDAVRGWSANAYFLHVSPAAELLALLRYAVSQLHL LRIGFMYLQNVHFGDSEYELAVELMSQMGRSLCGVFTLESSFDGEADDAEFTATWELF AGTHPQGVMIFAPQVSDAMRFLMKLVTDNRTNSAYILSPTPHVTNIESAWTLAGATSG VKMFPGQVVLSGVLPLVSDDGFRATRRLRADIKAYALSGTGAVEFDPLAFHGDAAYGG QVMFGWIVGEVLAQALQCSEFLKSRMTFMDSLYNQRRYVIDDIVIGDFGGECEPLAAA YGATCYCNEGGRMIYMMILGDDYLPRPAADGLITFDACDESGVQMLAPLYTLLFSSVN DPFARSVNGAIHRGALFVSGNGHLGKSERLFIHSVPSTSGNVMSDLRKMLDTRAVTSV LGVVDDATLSTPDVVFIDPITLNPRLRHPGRNVIYLSPTLEQQLFVIAGYLASENAST LHAVMRDDATRLIETVVNRTLLSFNRSLDSVVSLDRDAPLNAALPTDGSLLLIGLTAS DVGGIAAHLSANRNVRVFIPFFDVALFYDDFIRAFRGLKSAERLIFATNLPHWADHRP SSETIRRFHANRPNASDRTPLALLGFTSASFLDAVAQHIVGVDPQKVLTTIYTHSVIS VDDMQYGAFADEDCSRIVGNHTDAVDGCLVNYGATHISLWPLARALNAAVPPLTKPET PSMHYHNLEEDDHPKSVLAGLVAGVLSVVVMLAVLLLVLFQLRGGARDNVNAPKELTG PVTLIFTDIESSTAQWAAHPEMMPDAVATHHRLIRALIMHYRCYEVKTVGDSFMIACH NPFNACQLACCLQRCFLQHTWGTAAFDESYRQIECQRALENEEYAPPTGYLELSVYQK LWCGLRVRVGIHTGLCDIRCDEVTKGYDYYGRTSIIAAQTESIANGGQVLLTHATYMS LKTAEREQLNVTSLGPVPLCGVPEPVVMYQLEAVPGRAFAELRIGHDSGAAGACGTAS ETGSLVVELSDEAQVVATSLESVLSTFAPAQRRKALMTFCERWRVSLPRNAKEVWDDA FCCDVIRVIAAKACRIAEYEPRSTSNSVTQTPGHSFALPSRVFGSVSLGSQLPLAPPR VCDAVNEAPCPDATSSSNCDNSSESYVIVVEFPEGFGENTSRNGE XP_844740.1 MMWYLCRVNCSDGKGRQSNSFFGLTHNHWFVFVRFLNSLKFAFV VIALPYVGFVFAFCARVWNRSASLYTYFSEKKTSDIIVNKKTYTKMLGMRSYIVFVAV VTLQHICVNSKAHENNIVNGKEFEALCGFINFALDTQTPQDLVLKVEEAEDKILSDTF AAGGDAKVSKELQELKQKVETYKEQHSDLWSSSTPTIIQKSLTEALYGNGNKQVVVKH VQGNRSDVCGRAGGQTGKKAGETLALDLLCICAASDQDEDDVVTCCNGCNTTHVGVWE PQQNSPAHWNLLHPKCSNVKREKTRPLQVLSAALRFFVSTLNTTSNSSSGPRNLLGAH DGSVEHGCSGGILDGHGRCVIYLPRHVSGSAPTIPWYLKLQEAATKMEELIQAEEYLV KIQNQVNELKREARPVPNNSSEKEKDESNNTDDGLEGKKPTTSSKRGCSGFESKVTCL QQKPRCEWNGTECVTSIRRLLTSSGVSRLPAPLKLFFLVF XP_844741.1 MQAANISEESIYNEARYSLSKLKNSCRDILGTSRVTPPVEVVQQ FLDFLGVDILPDVFSVEIETESLTLCTSAPLVMVLAELSTDCGDDEISLVRKQVLRTR CTFIASLPERLANIICELQAVGKDVDCDWGVLPDRICQALCCFLFAICTASSDQEPTI PNEGKTYELKRSSALAVCDFMIQAVLARGYIGMLFRHFLISLRSATNISTMSNLTFPF VLTSIYNCAFTSRGKSELLEATSTPKRYRATVYWTEWVSWLLEASASEPKTKKCLIST LLLMREGQDDPVMAGKSSHVGQALEVEIFATSAFYLPTRRDVLRVIFREVLPTISFRG DGDKPGHIQNFELTLFAEVFRVWGDRNFAESAPIRLNTTLSNSVMYILLHIKENGIFC ERSHFPSALLQPLLDGISLRLESVRSEETRNEGITVAAAFALFFSSEDDTLNGVSDLE LFPEALSKWMRHEPNHALMPGLSHEGITERTHRVAGEDRAKFFRHSAENDEYPLDPDA EFTFFCAGDTSKSNVLVSSGTDNALFKHIPIANNEELTSFGKTSHEADSLDGIDVLVT LRESYNAVMGIGRSTSAQLYEVQQETESGLRGLKSSLQVLKGKLGRWNERVIDGNVLR TRSTLGEELHVMLPSLLPALMSITIHAPEPRHKELVELRLSVLIDIIIVSPEVALDQL SKMIYSSGFGIFQRVEMAKAIGEAAKFLSHVKIRVEYEGKLKQYNDTQFRDPQKRIYP PIPNESKSITSTVFTEGRNTRRWGNSTRRRACQEENVYTSYLSEVAPLFISALLQKAD DDYFSFFRDKDPYAPAEILRTISTVVQRIAAVRHVAPSLCENIVPFILAVLTRHPLSV IRKQAWILIGEVMRCWCGAGPLVLDDCSSTTCREGFGGSSSHLFSEQWINVQQALEAI FDRSRNDFLCAEVALLVVVDLRDLLVARADFEEMNKRVVSARLISAVE XP_844742.1 MWRRPPSFHFIFGASCLVSPRRLLRRKRVHKEEENKQVICAGVL SLRTGGMPAAPDTTLDKIKAEIHRMRVEQTAREESTTSEPVPTSHGDRTFNYLELDDW LEAIRPNEAYYRGDDVLSCVKAKDYVAKKQLFSEADEVLRGRNRENTIRYLRIVYGDI GSDHREEVMRYANTLSQLVEEEIQKVTNSNQSLCNKDISLAEEGPISGGEVKQIAWIN VLSAMSDTEIRKLWRWGLLDFETIEHLAETGPFAGERETTGVAKPGDGDVDSKLLPLV GPAGEEGEESFSTSLPIEENIYTLKAVKEMDELTASVSESVFMGFPTIDVAPAAQKVK QGRYADVSERELRLLERYEETKYTVKVPPGEATTLDTPGIGSGTGVSGTTSVKLKYDT ELGVDKASAVNNSRVMEGNAVPSSSSSSRTERMLVVPHLDVTESVMDRAFCPSNRFLY ALTKYDDGLRSSFEGMERIKRRALLDPVFQAAVEEAHLWEDLSTQGHEEESSVGAKGK IIPRNQRHLRHGGVRSPILGGPLAKKHHAADIPYFYGSIRSFVPPHGRFNVPAPRVSG RGGSRPRRVSVKPRMRYSQ XP_844743.1 MAFGNASKGTDDYTPEEHLTAAVMEYKKLEAANQNSVEHMYNVL SSPFKIGSKPCEAIRGDALRCLSEIIGNFTAKSTSGTDGSTVMANSASIVSQELPPIH RCYESVLHYESCVLERTLSRHNSMVATFEARRLREADSAREAAAAGGDI XP_844744.1 MGGGAAVSSGDDSAAASSGNDTTTTDGNHGGRYAWECVDDVGGA FNGSMNGNTSLPKGSNICETHGCWSKQILRRDICCERSYVHPVSAAWRCSSVLSLPVS LLDEVFTFLHPEDLCRVLEVCRFFFSAAVRSDRTAWRSVCLSLWKNKQGLSRVVREWP SVEEVCRQEDLESICVQQAFAHEYFSWGTINNMVPHMEDGEADCETYFAATVLFRDAI DQDTGDPTGRRHQQVWGCTPSSTATYDAIVNTTGARAPLFTVLPFSGRYTTPGETEGI TSTSSCVAAEKKGLYWWQMTLERQQQELSRLRKTGGSSKFQHKQPYCPARPLLSHTNT PQNVESVEAPFRFPSTTGCCEGSRLQKVCDTAVSQHVFCSSGCGKDSKREHGGSVDDF HRGMAVVRSLSAQLRARRKARTYVFAKKTAKYYDDEDDSDEDLLQLPVSWKFAYYMSL RDSCRQKIAMQELIEGTWFVCFRKTGNTHPACFNADNSLFVYPATYTRDGGCQVHRPG GSSGEGAASAPVMPPVMYQLQRGGTELVLNSFPPLKVQRRSRSACSLSSTQSASPNAP ATGRAMDAQTHNGGRGIRAGTSAAEAAAIRAFMSEPNATLQRLASAAERLHHPHDTER VATAGEGAGEGKESSSGGTVHDGDDEDFDNDWGWVIQNYFVKIFSSTTSIPLYVSRLE YSCGL XP_844745.1 MDSVGDKEAQHHSPQRRATGLPPLRSPRAECGGAPSGPFSSIGV DPVTRFVNFLWICHRDIEIPLETSLQRSVELAQFVESNRCSCFLPRQQQCIRWAIWES LYGGVERARSLAEVKNYIESYCCGPLRRPLRPHFGATAFRGNDSGGGVSTSPCRGATD VRCKLVADNRAWGELNVTEENSLLALLRANGRYHAPLPRHASHCPRKKSGKGFNHRLP LLQLVRAIQSGRFHSDQREGEDGGTGFSHFLELSTSAFRGGSQSTEVDGVGADDPPAS ENSFATLSDVELLTTNCPVCSAEGLLQGCWLSVCLLQLVNMLASAHLCAAPLILPHDV VSLKPREAIAVAYHIAQHQLHLAVGLFYSIVELPSTSKSSTRKSDGGCFPGSASSGER EHHDRANGTIGELAHHSLVVCVHVLSSLRVLERRNVYAVLLHASVLAFCDRRGTTKAN QLLRGFIGSIVNMSEDLRGSGKICLPPGGDIYDAWMAVEPLLYKEKSVEDEQESDLLV LEQITTGLLFSVFLELLEMKDLPAPSEKHQRPPNIYSTAVISCLRARDVLDKYACPRL RKLCDAADGSISSRGRQSFSLYWLLQGMLFHNLNALSLLPSVSTPFHSYQGEIPIQCM QAAARESDAAREFTEYPLAPQWMEILNDCEVVKAAKPCVDADETHTWPCEWRLPVSVD TSKPSFTCGSLPPEQCVTEVALLPPPVMNVWFDFARALFRNTPADLVSAHTGDDETEL RHPHGAPTAFPPDAAVASPPSINALSSKVGKVPLGRQRDLDEKAAAAKLEKTRLHAAQ SEIGFWSYWCEVFASHQLLSLVSDRPSLLDRYRQLLSPFTGVVGCDNCNKTPFMRALQ ECYPLIPSQGGSHSVAQYPFVLPSDGQQGVQRSVAQLLQERELSLAAIDCRLRTAVDQ YERQCTRPAPGLRVGPGGRGIKGGGSSGPVTAGPPLHLRLRGPNRAGVRPN XP_844746.1 MASVSRRDESGWRRRLRALREEIAREGSPERELSIKKLRNKAVD FLKGSGPGSGDSGDFFDDAETTLLREISDDSRLNMPDNEVQPAPWTQDSASRVIYRYS LLSSGQDGEMACANDQSLGLQELLGQHVSKWVKKPEALMTPTSTRQGSASATSSDSAF DSTSPTPTELSDSAVDPTAPNFKAPRESAGDSTAPTSTDKASMPQLLNSGEKCPTQSV NDHSESSTESEEERHEEGLFEID XP_844747.1 MFSAGASEEAYLQQLRGIRQKLHLKNSEVWEQTVDSYLCDAVDF LKGSGPGSGDSGDFFDDAETTLLREISDDSRLNMPDNEVQPAPWTQDSASRVIYRYSL LSSGQDGEMACANDQSLGLQELLGQHVSKWVKKPEALMTPTSTRQGSASATSSDSAFD STSPTPTELSDSAVDPTAPNFKAPRESAGDSTAPTPAPLPPAGSGVPLPPTTAGKSHQ DCSRLSRKRSYQFLKS XP_844748.1 MPSTICHRRTSHKVAALLFAPRHRTQRTQSQFGSTTGPTSGAKT DFSGKDGSNSINLSSDTDEAKGNDWHGGGVPRENRSFHFDPNKLPDTIPGLERRELAA RLEEQQRELAQKYQQSAADDLAKAIDLMRRAMPPEEFKRFLQDVEKAAAEGSKEAARI SAMSPAQLYRYQRRQRRRQRVQLFAKTVMLFVSVFGCVFFLFFFFFFFH XP_844749.1 MSVVVRVVVRNGSYAARGPYKNRNSCMAFPHVSYRVYSSSTSGA LRPSCRVLRPQTIKPNPAHTPSGKFDRSFEKLSSEIENRMPFRQQQILFGSKYDRNFG EESQDELKKAAQDDLFGREPERAAARQARSYFTGDDLSPTALHVKSPRLKVSQLQHRQ NYDKNILKTSKEADDYYYRRETPSERGARRRVLYSVASVVGIGACWGTYCLCQYMLE XP_844750.1 MSGSADILDGFFVGPEISSQGGGGSALWGSEQHQQHPDLRRQRQ QLSNALQPHTPDNSVDVATTTSICSSTPNNGDKDISGLPGSRGEVDYKEAGGVAVVNL GMNGGIDRTGGVNQNSSSDVSNGNNNVNYVRVGVEPSISPVPSGTASNEAFAPLGSRY IPDVGQRLPHQVLHHQQPNIPVQLGPASLPKVDRVNEAGVPSTPALPRGDGMLDQLLS RLGESKIMATLLLMQTMHSSPQGSPAVAILDRVVATLEESITNITQSRDLLSPPTTNG GVPFHGMPNDVLLDPLHAPPLQAQPQHQQFQPNGGDMPYFPGPSAPGRYNDRAIWFPP QMPGSNGDGGNLMETGCPTVTVGAMHQPHPSPSQKQQNQKNQRRHRQQPHAPAVQGQR PFDSGMLPSNQMAPYINGGGPAAGAAYNNSNAPLLDMGDDSLPAYDTSADSGAALVDG SRGNHTGSSNNGVGGGVLGQGGDEIIRGPPHRPSELQLPPGSEEVESFLAFVEFKRGR IRKYECRIDIFPGQYVIVDGDRGRDCGLVVQTVKCKPDGNAAVCCMDGCNVNSDKIKL EKGRVLGVATTEEIDYIHHTMQRAERIALETCRKVCDDMGIRINLLDCEYQFDMEKVS FYFNSEHSVDFRPLVRELYRTFRVRIWMENTNPRVKNSMPTGGSGGGRRGSIKNSNNN NGDDSDGIGDDVSVLSDVADAVKRHEGGNGASGPNGGGKRRGCQRGFQRQKQAKRSSS XP_844751.1 MMHRTAARLRMPRKPTPYVRKFLEGCPLPETLVDDIAGANLKSM APFFTTAPRYIVAAESRLSKLFFHHALYPAGGARRPCRVLIVRGGRSVREPSFTINTG GGRGEVGGGSRGYRDPARRAYFYARPSTVGPFYSGNGGVSSNVAKCHSGVGSEGAGLV KRASVDGLLSPLCGVIEAHFAVGGTCNDAVATEGDGTESLAKGGEKLCATLAGLLSGG HGGLMMDDGNCASNVRAAKRVARLLHDAAHHLSSFFYVHTQLPDSALFVSGPEASIAS NGKGDGLLPSHLAREKDNDGRPSVEGVAVFRLAGGLEPTVHFAVGAPLSVLQRGVDGT ASRGEKNSAEEGLNSAASTTVLPFGHIQCLLRVRTRGGKHCAVGKEGSEGASNTPWCN TAGNDDITSNFAASGPQIAGGIVEPWKLGVSLDPKVPFFMRTLTEKRPSFSCGEGNLG TCSRSGDVDGNTVNDVSSSSGGSRTRAPGEVHMNQLLVRNDCETYLLPQRELLLSFHV PEEAEAMCKEQNEERMRRQAALGYGSPSHVFAEGPRTFARVLHGMKANLAAVEEASST FRQGAAEGISPQVNGGSTTSGSSRVYEVRALPGDVVFVPRGWKYSVERIVGTAIIDAV AASTASPREALRAVFRTAPDPPLPQDVVRCDEGHAGAGEMPGGDSGNAEIVGVEVDAF VLCYKPYPVLSNAQASTYVAANYVHSGIDDFYAKGGNDVYHKYT XP_844752.1 MYVCENVDAALAYVNKWRAPLLILVNSADSAAAAATCSLNCDDI PEFYASGRVHGKKVINPNFRFSSEAIQHSGPLQRRALEVFLKESRLDSVVVVHLIVAG SAAHELFVAAVPEAKDVAPCLFVFSPKQSGMERVALHGPNLTPANISNVLRTSFQALQ VPESTGARSTFLTQVGDMNAAQQRLLLSQPQDAGAPRFGALSTVAVTPAARAAPAGDT TRTHPAVPSQMGEKRAGDKDEGGVAKGDSDEAPHSKRSVVECRDGEGCRVKYRGGGSD ERLETETVSGPEEGKQTEHPPCGSSTVNDEGDKTAVATADSQSASNGIDLRCGLPNGE TYTVRGLDSSTATLAANVREQVATLLEHNEFVFARPYPPHRFTVEEETRTLETIGMRN SSVVRVIPTGAPSYGAATSQVKSGATEVFGMVSSWFGRASGFGNSSTAPQASGTSATR AARPPNSRVRTMAQMLAENEEEENRRALRNSAMEGGQGSRANRYYGGASTEYVGRDDG EDSEDEEVRRRS XP_844753.1 MGGDGRISRNTLIGGKYHVVKQIGSGSFGDVFQGTNIQTGEHIV VKLEPARGQQRLRSEVWMYHHLRRAGGDHLVGIPNILHYGVQGDFNVMVMDLLGPSLE DLFSFCGRRLSLKTTLMLAEQMIARIEFVHSNNIIHRDIKPDNFLMGTGKKGHHVYII DFGLAKKYRDARTHQHIPYKEGKSLTGTARYCSINTHIGIEQSRRDDLEGIGYILMYF LRGSLPWQGLKAPTKRERYMRLAKLKMSTPLETLCRGFPAEFAAYLNYTRSLHFEDKP DYSYLKRLFRELFVREGYHMDYVFDWTLKRIHDTLHPSSDAALEDGDEESDDTE XP_844754.1 MSVELRVGNRFRIGQKIGSGSFGEIFRGTNIQTGDPVAIKLEQV KTRHPQLAFEARFYRVLNAGGGVVGIPNVLYHGVEGEFNVMVIDLLGPSLEDLFSFCG RRLSLKTTLMLAEQMIARIEFVHSKSIIHRDIKPDNFLMGTGKKGHHVYIIDFGLAKK YRDARTHQHIPYKEGKSLTGTARYCSINTHIGIEQSRRDDLEGIGYILMYFLRGSLPW QGLKAHTKQEKYARISDRKQTTSVETLCRSFPAEFAAYLNYTRSLHFEDKPDYSYLKR LFRELFVREGYHVDYVFDWTLKRIHDTLQEGRADQQQQQQQQQQRRGSEKEDEHPV XP_844755.1 MSTATSAPVVESMSDTVVCPQLSRHSTSLEELYTIGYNRSHSPS CFSSAGCEEEEGQKNVLAERYKTKLCKNFVQYGTCPYDIRCMFAHGEEELRTAEMNIM DGLVTKDAITSFQKLWHRAVSASSGSKHHSSHVANRRGVMTTATTTTTAGGSTDAAMH ICRRMQRVVAAAAAAVPCGGRRVVSGSIRIRGCVRHNPYCHNILPTVSRYYSTMPDVF TRPYSKSDSCGNEAITEGNAWTYANEEGNEKETPAMISSGVPELTAWKRFNYVAERSQ CTSVPEQNLPCADFAAIKESNNTGGNASGVDVENVDDAEHSTCSSVGNESGYFCRASR SASCGEQSQSHLKREGNEGRGEGLHMFLSL XP_844756.1 MRLLSALDEQLAAHCRRLEMSKKVVERKDTPLDNSNATGGSSGG GVSIMTPGTSGSSVLSPSCRVVLYGVDLGVKPCHISSMLEQLVGLHPLDVVRPAAQLW ESVCAVIADQEAKATASTITEDGGGAVGTPAGDSQGIATAEGITSSTVEHRGIPDGCR HLGLYEVGAAGGIVILDLPQASDVATVVAALNGACLNGRFISAAPAVG XP_844757.1 MLYKDLLHPLSLSWLAYDVLCVVVRSFSPETLVRKRPKRGSKAK ERRHRRDHSLLESRDYRSRHNSSGDHESTDPSPRFTSHNTSLQANASAGSERPPTVPS RAAAGSGSSRPPSRAGSRLNSRSSSRSGSRHHTEVPLNEGPPQSFFGRLRNWRTINVR EPVLLLTHVLRAMPVAVLHCFTQLRTDVATLGLLRFSDLSDTAAALLVFNEDAVFAPD FVWNFTITRCLLGAMQQYVLGRVCLETNFVVNRLRSRRAYRHIIGWAMRLLTRLLTDP ISCSILSPMLLCTVKDFSPVTGPLRYTPSGLCAAALTGFVGVVLEQLVLPTAAICCQR VVVHIYDGLDYVLTRRYATKNIMRDEAVDSRVNASGIDSATASEDDRESGQRTARKDA ESAKRHSHNREDAQQVGKGAQMAVFRAIICRVVGALLAQCAVQHPLTVLSRLLYARAV LHGTGLLKSYADFPAKHLVWADFAAFLKQNAHGSSSGVPALEALRGIGCFVGCEVSLI RSSILRCSAQERAIEELLLQAERRRQGGADSAGMSFTSSVSHASALESMELMTRCIAS TSPLFNMVHLTSFTKLLSFYMDMWVRLRGE XP_844758.1 MPKKDLFSSSSSDDSDADDLGQQMAVAAAVEKRRNPHAYDPTSG KRKGKSLEHSTTSVAAVQPLDSSDDDNEAGHDKVEAVNDVGPIDPALKSSTTESTGKP KGKKSKKKKGDVDGANISGDDDDDDDGGIRINKQYAAKYEEVKRRKELQQLTQKYSNR LRGNNGLGDLESDEEDEEDDGAVLLTESKELAFAKTFLAIRQAGLAKKRSGKQAKGSD RNENTKTDSSVSDADAENKILLNTEQRFFPPPEEQVRENTEVFAKAVATKREKRNKFT LADEYRRGVVMSAEKGGANEKDDGEDVGKDESTWGSRRIQPQSEKERKLRESFLQSVA ESGESFSVKPAFTPPADQQQSNEESEAKRLLAGAFSIRAPNRDGATTDGDDASANHDA DEEFLRDFFVEELWKPENNRKVGKNGSKASRRSSVTAEDGPEPADGGESGGNSASDYE DYEEGNNYAALAELAQAEEDERFFAEAEVWERKFQERAYRHQEENADHVQSFPRAVGS NAEGLLRKTAQSSRKEAHLRRLTRMRELREQQVAELRRLKTLKRQEIEEQRALIASIA GISREKRQNHRDVKVSGGKPSDDEDAAVRRLMSLWSEKDLDEDFDPSKFDSKMSKIFD DNYYDEKNVDEEEIAFFEDEEDINGVGEPEGQGEENEVYKQQLEDGESVEESLLGGGG VAGKGVELAADDAMELLYPTVTMPELEETPATNSREQIERLLRQQKKQPGATDPDEVL EQLQTTLKQKEKEYWQLHHESTLDGGSLKTRFKYRRVVPENFTLSVEEILAQDDRQLN MLVPMNCYAAYLSAEENRRDRIRADKRRRRGFREIDSSRSSRRYGDVSKTSLVDPNMK EEEGEKWAENVRKSLRRLRQGMGLDHEDSLEDENNPGDNMTRSGKRGKSNRGGDSDNR GLNGSTLGYQQKDLRHQKGEGQRGNIGVRSDREGEIREFPRHPGGSRKRQLDDADERD FGGSGGAVEGRRRGVASKPRHE XP_844759.1 MSAQVPNRGDSQDSMDNVTLTSERLLWTARTVTGLKQPATTAVS DGSGCETSGSGAAGGTGGLTEPTDSPATSECTLTWNSLMTAVFQQQVNEMRSQRAATK RTRSDDRVSQDRIFCLGEEEGRNSIPLDAQRTGKCHRLDLTPSTVRAHAPQSAGGESM LSPEGVQRRLSLHLLGRLSSSLPTLTEQSPVAAVAQGRNSTSSIASVPGTAASASAQP RPPTIGTPTPTPLRRYGDPSSQMSLLQDTDPPTESRPLLSQPGRSIPSPSTPLWATQD EAGDEGVLLDELDGNIIATDDNNSNTLNVGNDDDDIIVRVPLRRRPLSLQVSSLPFMS FPDTAAALQVREGGDRQLEGQGRSEAGRRMSVEEWAQQMNEFFTRVDERTLHTISID XP_844760.1 MRNRFVRISFSLALLIAAFLAANAGSATNADNEATDYSSHRYKY LEMLKEADLKQMLHEKGEAFHHLRSKRELILAVLKLEKREEALRKARVTDTVQHEVRV EYCSG XP_844761.1 MVVGAGFMMNMVASSLLQSGAFEVYLNGSLIYSKLETGAVPTAE TLADHILRQIISGTAAGTRTA XP_844762.1 MESKLATKEICRPPCDTVDPFSAVILIYSVHRAYDIRLTSVRLY GELIHEFDPWFNYRATQYLSDNGWRAFFQWYDYMSWYLFSYYTVS XP_844763.1 MTKGGKVAVTKGSAQSDGAGEGGMSKAKSSTTFVATGGGSLPAW ALKAVSTIVSAVILIYSVHRAYDIRLTSVRLYGELIHEFDPWFNYRATQYLSDNGWRA FFQWYDYMSWYPLGRPVGTTIFPGMQLTGVAIHRVLEMLGRGMSINNICVYIPAWFGS IATVLAALIAYESSNSLSVMAFTAYFFSIVPAHLMRSMAGEFDNECVAMAAMLLTFYM WVRSLRSSSSWPIGALAGVAYGYMVSTWGGYIFVLNMVAFHASVCVLLDWARGIYSVS LLRAYSLFFVIGTALAICVPPVEWTPFRSLEQLTALFVFVFMWALHYSEYLRERARAP IHSSKALQIRARIFMGTLSLLLIVASLLAPFGFFKPTAYRVRALFVKHTRTGNPLVDS VAEHRPTTAGAYLRYFHVCYPLWGCGGLSMLVFMKKDRWRAIVFLASLSTVTMYFSAR MSRLLLLAGPAATACAGMFIGGLFDLALSQFGDLHSPKDASGDSDPAGGSKRAKGKVV NEPSKRAIFSHRWFQRLVQSLPVPLRRGIAVVVLVCLFANPMRHSFEKSCEKMAHALS SPRIIAVTDLPNGERVLADDYYVSYLWLRNNTPEDARILSWWDYGYQITGIGNRTTLA DGNTWSHKHIATIGKMLTSPVKESHALIRHLADYVLIWAGEDRGDLLKSPHMARIGNS VYRDMCSEDDPRCRQFGFEGGDLNKPTPMMQRSLLYNLHRFGTDGGKTQLDKNMFQLA YVSKYGLVKIYKVVNVSEESKAWVADPKNRVCDPPGSWICAGQYPPAKEIQDMLAKRF HYE XP_844764.1 MTKGGKVAVTKGSAQSDGAGEGGMSKAKSSTTFVATGGGSLPAW ALKAVSTVVSAVILIYSVHRAYDIRLTSVRLYGELIHEFDPWFNYRATQYLSDNGWRA FFQWYDYMSWYPLGRPVGTTIFPGMQLTGVAIHRVLEMLGRGMSINNICVYIPAWFGS IATVLAALIAYESSNSLSVMAFTAYFFSIVPAHLMRSMAGEFDNECVAMAAMLLTFYM WVRSLRSSSSWPIGALAGVAYGYMVSTWGGYIFVLNMVAFHASVCVLLDWARGTYSVS LLRAYSLFFVIGTALAICVPPVEWTPFRSLEQLTALFVFVFMWALHYSEYLRERARAP IHSSKALQIRARIFMGTLSLLLIVAIYLFSTGYFRPFSSRVRALFVKHTRTGNPLVDS VAEHHPASNDDFFGYLHVCYNGWIIGFFFMSVSCFFHCTPGMSFLLLYSILAYYFSLK MSRLLLLSAPVASILTGYVVGSIVDLAADCFAASGTEHADSKEHQGKARGKGQKEQIT VECGCHNPFYKLWCNSFSSRLVVGKFFVVVVLSICGPTFLGSNFRIYSEQFADSMSSP QIIMRATVGGRRVILDDYYVSYLWLRNNTPEDARILSWWDYGYQITGIGNRTTLADGN TWNHEHIATIGKMLTSPVKESHALIRHLADYVLIWAGYDGSDLLKSPHMARIGNSVYR DICSEDDPLCTQFGFYSGDFSKPTPMMQRSLLYNLHRFGTDGGKTQLDKNMFQLAYVS KYGLVKIYKVMNVSEESKAWVADPKNRKCDAPGSWICTGQYPPAKEIQDMLAKRIDYE QLEDFNRRNRSDAYYRAYMRQMG XP_844765.1 MTKGGKVAVTKGSAQSDGAGEGGMSKAKSSTTFVATGGGSLPAW ALKAVSTVVSAVILIYSVHRAYDIRLTSVRLYGELIHEFDPWFNYRATQYLSDNGWRA FFQWYDYMSWYPLGRPVGTTIFPGMQLTGVAIHRVLEMLGRGMSINNICVYIPAWFGS IATVLAALIAYESSNSLSVMAFTAYFFSIVPAHLMRSMAGEFDNECVAMAAMLLTFYM WVRSLRSSSSWPIGALAGVAYGYMVSTWGGYIFVLNMVAFHASVCVLLDWARGTYSVS LLRAYSLFFVIGTALAICVPPVEWTPFRSLEQLTALFVFVFMWALHYSEYLRERARAP IHSSKALQIRARIFMGTLSLLLIVAIYLFSTGYFRSFSSRVRALFVKHTRTGNPLVDS VAEHRPTTAGAFLRHLHVCYNGWIIGFFFMSVSCFFHCTPGMSFLLLYSILAYYFSLK MSRLLLLSAPVASILTGYVVGSIVDLAADCFAASGTEHADSKEHQGKARGKGQKRQIT VECGCHNPFYKLWCNSFSSRLVVGKFFVVVVLSICGPTFLGSEFRAHCERFSVSVANP RIISSIRHSGKLVLADDYYVSYLWLRNNTPEDARILSWWDYGYQITGIGNRTTLADGN TWNHEHIATIGKMLTSPVKESHALIRHLADYVLIWAGEDRGDLRKSRHMARIGNSVYR DMCSEDDPLCTQFGFYSGDFNKPTPMMQRSLLYNLHRFGTDGGKTQLDKNMFQLAYVS KYGLVKIYKVMNVSEESKAWVADPKNRKCDAPGSWICAGQYPPAKEIQDMLAKRIDYE QLEDFNRRNRSDAYYRAYMRQMG XP_844766.1 MSRLIRICVLFSLPVHLPLFSFYRIFSPFSFFGEVAYSGEVSFP SLFDYTSSILPMLRFLHRPLTALGTQNKSYRRFVSQRHGKGRLLEKEVEETRQAPDSV TSADLLREVKRTRDEVQLLATSAEGLAATRDGGGRESDWAEPSLKDLDRSIPQVDCSF IAELVRSRNRQLKDFLQRKAKLEEKVALLTRRQAGSVKESVGYPVLSAFRARMSDANA TASSTEGDTAVAVAPEVLAELTDEERDLLLCSRPDYGDDFVLLDCRTVNEVTSWGIIE GAKVLPAHEMFEAFHLTPEEFEVEFGFTKPRPEQKIICYCQYGPRSLMAAQVLSWMGY TKVLHFRDGYYEWGKQYNLLLRRWMLHDKESGNEVRRQVAFQAGLELQREIAPEFNEL PMQEAARYKIDTTRSRGTILVGEGLRDEAYNQVKALVDGMEPPLLPGVLDAEDQVTNS GGTVGRCEHDLQRFLHEATGMDAHEDGAQPLSLAEAQEKAMHSFAQRDDNNRSR XP_844767.1 MVDGRSSASIVAVDPERAARERDAAARALLQDSPLHTTMQYATS GLELTVPYALKVVASADTFDRAKEVADEVLRCAWQLADTVLNSFNPNSEVSLVGRLPV GQKHQMSAPLKRVMACCQRVYNSSAGCFDPSTAPVAKALREIALGKERNNACLEALTQ ACTLPNSFVIDFEAGTISRKHEHASLDLGGVSKGYIVDYVIDNINAAGFQNVFFDWGG DCRASGMNARNTPWVVGITRPPSLDMLRNPPKEASYISVISLDNEALATSGDYENLIY TADDKPLTCTYDWKAKELMKPSQSNIAQVSVKCYSAMYADALATACFIKRDPAKVRQL LDGWRYVRDTVRDYRVYVRENERVAKMFEIATEDAEMRKRRISNTLPARVIVVGGGLA GLSAAIEAAGCGAQVVLMEKEAKLGGNSAKATSGINGWGTRAQAKASIVDGGKYFERD TYKSGIGGNTDPALVKTLSMKSADAIGWLTSLGVPLTVLSQLGGHSRKRTHRAPDKKD GTPLPIGFTIMKTLEDHVRGNLSGRITIMENCSVTSLLSETKERPDGTKQIRVTGVEF TQAGSGKTTILADAVILATGGFSNDKTADSLLREHAPHLVNFPTTNGPWATGDGVKLA QRLGAQLVDMDKVQLHPTGLINPKDPANPTKFLGPEALRGSGGVLLNKQGKRFVNELD LRSVVSKAIMEQGAEYPGSGGSMFAYCVLNAAAQKLFGVSSHEFYWKKMGLFVKADTM RDLAALIGCPVESVQQTLEEYERLSTSQRSCPITRKSVYPCVLGTKGPYYVAFVTPSI HYTMGGCLISPSAEIQMKNTSSRAPLSHSNPILGLFGAGEVTGGVHGGNRLGGNSLLE CVVFGRIAGDRASTILQRKSSALSFKVWTTVVLREVREGGVYGAGSRVLRFNLPGALQ RSGLSLGQFIAIRGDWDGQQLIGYYSPITLPDDLGMIDILARSDKGTLREWISALEPG DAVEMKACGGLVIERRLSDKHFVFMGHIINKLCLIAGGTGVAPMLQIIKAAFMKPFID TLESVHLIYAAEDVTELTYREVLEERRRESRGKFKKTFVLNRPPPLWTDGVGFIDRGI LTNHVQPPSDNLLVAICGPPVMQRIVKATLKTLGYNMNLVRTVDETEPSGSSKI XP_844768.1 MCHTRVWASMRLHGCLLSPLTSVSMCHPFWLQFFVCSFHLFLDT VARVSFTGLKSLTHRNRLVGVAMFSGPAEVYYTKSIQNNHRQLRRNVGGAQPGRGIYY SLLAVLLVVTFIKTASAMLERVRVGIDMIRSREMAMVESENPRVIVVGGGLAGLSAAI EAAGCGAQVVLMEKEAKLGGNSAKATSGINGWGTRAQAKASIVDGGKYFERDTYKSGI GGNTDPALVKTLSMKSADAIGWLTSLGVPLTVLSQLGGHSRKRTHRAPDKKDGTPLPI GFTIMKTLEDHVRGNLSGRITIMENCSVTSLLSETKERPDGTKQIRVTGVEFTQAGSG KTTILADAVILATGGFSNDKTADSLLREHAPHLVNFPTTNGPWATGDGVKLAQRLGAQ LVDMDKVQLHPTGLINPKDPANPTKFLGPEALRGSGGVLLNKQGKRFVNELDLRSVVS KAIMEQGAEYPGSGGSMFAYCVLNAAAQKLFGVSSHEFYWKKMGLFVKADTMRDLAAL IGCPVESVQQTLEEYERLSTSQRSCPITRKSVYPCVLGTKGPYYVAFVTPSIHYTMGG CLISPSAEIQMKNTSSRAPLSHSNPILGLFGAGEVTGGVHGGNRLGGNSLLECVVFGR IAGASAANAKYHNETHLWNNRWAKMVVESVLDDTNGYQWLYFRLPSTLQRSGVGPLQA VVLREMEGEGRLDVRIPFTVPGDVGVVGIMVYSNDENSSMGWLTALLPGGMVEMKAGV QVDANYERILALPRKVIIATRDGVAPMVQMIRAALHEAKDEPALQIIYIAERVATIPQ REKLEQLQRDHPNKFKFTFVVHDPPPLWTGGVNIMEEISKSVFPDASLGVFLFASATE SASLQVQLLELGHNKSNIVTL XP_844769.1 MKRVGTLEEYTKVKRDANGLGLVVHFSASWCEPCKGVTEALERF SELYKGSVEFVEVDSEALGSICEAEAVDCVPYIAFFRTSGDGKGQERVADVVGGKLDQ IEQNMLSLYGDGTDGRGSFSDLQSYLKYLTSRKGVVAFITGTPSRPRCGFTVKLIQLL DDLHVKYVYYDVWASDEVCEGLKKYSEWPTFPQVYADGEFIGGYDICAELNASGELKS ALKL XP_844770.1 MRPLDVLLNGLRGLCTAIKETPLFIWVYLSILGVVARKLTYRFG LTTKSKKIGKHVIRVTDAEETLSEDVMDVEEINATFDDVGGLEDVKKALIEHVKWPFT RPELFEGNTLRSHPKGILLYGPPGTGKTLIARALARELGCAFINVRTESLFSKWVGDT EKNAAAVFTLAAKLSPCVIFVDEIDALLGLRNSVDAAPHNNAKTIFMTHWDGVVQKKS KIVVIGATNRPLAIDEAIRRRLPLQLEVPPPDITGRRKILNILMEHDVADESNRSRLV DYVASKTFGYTGSDLTELCKAAALMPIREIGCDNELPCLECRHFDEALKRVRPSMASS V XP_844771.1 MAVQPGKVLAEQFSRQGIQYEESTDVLWCSLCTKADSLEIISRA TVEDVLEHCRLRQHLLLMEKETVKEHYCPVEINGRSFLLDHNCVYPSTMFGKGRMLLD DTLGCVMTEDVCGGVKLLPRHKYTVVEIVIPPAGTPIPKDPSYYVERPVRSRKCHEKF DSWIQVHNSSVEGESALMKRRRIAFSQLNM XP_844772.1 MKRLLDSAKHLLRGGSLGYLASGEPYHPFGEDFGLTVFPDYIQL REKLALRSGYVDVYTQRSASIKLSDGRFQLPPLPKHSFLPLVERLEQDGIVPRGWLNN QTANLYEPEDFIRAHIDNLFVYDDIFAVISLGANALLRFVHVQNGEELDVVIPDGSVY IMSGPSRYVYFHMVLPVEAQRVSIVFRRSILNSDGGFRPVSTPLGDLMPYRSTQILNT LYAKQIGGVRVAVDDKYLEKEEIGAFDTGKWVKGLHPLRDWTLLSQLDEDEARVLELR EKRYLDVDLSWRFTELRKRYKELEDMLRV XP_844773.1 MDESNRVPTGEAPSDEPPLKVRRHEGGHGELRETSSGDTNPQGA TLFIRCTSIHGRGELLNGFISEHREALGVAKWYNVAGDESDGRCVPTLRTDAPFECVY VRSSRKPYFLLEFNEKGDVAKKFVEKLVGLRNTLYKGSPVFVEVAKGGLTVATERLKL EKRDAARRSATAVPLSFSSDKPTGPTAFVPRAVRKR XP_844774.1 MALRRIQKELKDLERDPPANTSGGPVNESDLFNWKATIIGPEDS PYAGGLFFLNIHFPSDYPFKPPKLQFTTKIYHPNINNNGGICLDILKDQWSPALTISK VLLSVCSLLTDPNPDDPLVPDIARQYKTDRNAFNKTAMEWTRQYAM XP_844775.1 METAAEPRLDPIEVDRSFVLSLFHGFCNSVPNDMRKKLVSEQTD VLQFMLAVRPRITPFAHRQLFSLRHPAVSWMSRRYFTALRTFLDENDLRNTEQGISCT STPTRSGCEPADRSSRNSTLLPASLNPKSIKAKDLRAYNWNCIESCHKILIGESPFSA LALESILSNEKLLDIVRRYWDRLTCPTTGADIYGAFDASGTVHPRNASSPVPELIQRA NQMTPTQYTYLHMRFAQILLPPGALEAEIFDGIISDLRVDGKYPRRTAGLFPPASAVG PFLQDTVDILLDPERHIAKQMLPNLSDNVAMEGEMNSIRSALALPKTGASLLALPEIT FAQFFYSIVELADNWTSTAHPNEYVAFLTELYCEAFGHDWDDEDPVLKKAFRPAERTP VPLPPDSRTSNEQLADQGDPAAHLLAEYATALSASKPPLYVFNKITGMSQDYRRGVTS AVRDLGLSRPRTGEEQADVTSVSTNEGLEVGGRLPRRQRRSEGSFIEADSEISGLEGL YEVGDVDDRELRGSQRARLPWRPHDRRWTGISRGGSLSSFPETYNTDMQTDSCGTSPV PESFGFHHRPREGRIPSLLDKRKSVTRAVCGEGGELTNRFESGESRESFELSMSRWES VGTEGSESRVDKGRAFPSSGNVTPESAGRRSPRKRKATAVAREGGSKSKRSNDAKASS GAASKARSVRSPGGGIHHITREGETEEFDEEAEISDSSSADDLHSGDERDEEGDRRGR GRKAGRARGHVKTLRWPEGSGHSETSEYDDEQSEEGLPAIYDEEGRRLHPPLHQQKHK GRSPRTSQGFAVGDADGSGGGVSAAYGYNARMLQGKGRWLSNTEMKCELGVSHSPGGG GATGLRPSDERSEGSDSDNEALAPLLLDQKRIEQKLRELEAEQQRLQASRGRGGRNIH ELLEGTSDGVGRLIKFRLSSVERQRLTTEEVNQYRNVIINRLLAKGVNIPPESLTDDD VLNLMEDNLQPELVQRLMQLMEGYSEDLACSDLLDALLSDDAKIFTASGAMEASVLGA LCKKSEHRPVETAYMRYLREKRERELREEIKAAKERYRATQNALREAQAKDATPTAVV PITEHIPTPPRAPAFLPALPLLSSARVGGPKRPTPKGPNAFYHEMDDFDTVVHMRPQP PSRGPMHLVPRHPLFPRRLPQAHRARFTLPSIHLPTQRYANEYYCEEDANGIRVRIHS KRRLRLFYREIRVDRPILGRAPLAPLTSIIGGTYHQLAALRVRCRRRRRFRLHMLRNL ANERNLLPLPRYVPVKLFSLTTKTTLAEALRESLMCYINDKAYLDTLYGDMRR XP_844776.1 MQRTKSLLHVLLVVALVLFLLITEVSVAGGVVDLGNEVREDTIP LLNDDTFDSYVFSKGSNDKEGRKRGPWLIFFFAPWCGHCKAALPKYADANLQLAKLGV QHARFATVNAVKSPELALRFRVKVYPTLIYTTGKAENWHVYRGALTPETLMKFATQLH LAGTVGSFADLTSSPDEFVRAHRQDMGRRVPMYVYLPPTGSRSANAPHQREELVPGQH GVGEGEGQQGVQANNSKSIHEEKHMQKQDRLSDAREARWNVAVDAAASMEKIRFGVIY GDDVPSAWAETGITPYIAVLEAAQRCKGSGPDGALVLVDSDAYKQPQCYEGPWFEESV NIPQKSGAESVTPLLHPSFIAFFMLNGLHAVERASSSLLSAVTTTNHHYLGLLITNGP ITQTDTNMLPALREVVQERNEARRAVAVGLEPQRRVSLAYVDGRTHEAWRTQYKVKLE ELPAFVVVDPRRNKVYRLRRHTPHFEVIKFFLPWPRGGEQQSVIVSFIADVERGVAVG EKMTFVGEVAEHLLRLPGVEFLYEVLEFEDSLLVVVVLALFVFAIVLLIVLVVEPVFE RRADERMTGYGERDTSAVEKKRN XP_844777.1 MLRTSLFLRCVPPLSAVYGKSFSVTPRVWRRISEKNAEEGYGND LRYLRLMIESGGCHGYAYKFLFEENSELVADEDVVVAESDVVQLPQPKSQELRTVAEG EGEGDVGESKAKGPPPRLVVDKHSVAKLLSAVVDFHSELKGSAFVVIGNELVDKSCAC AMSFSMKKQQQKV XP_844778.1 MSMAYVMGKEQEEVLPYRIMRLNRAPAVGPVARKEDNESTHSSC GYDSSPATLESERQYQIEGEGQECASCTACSGKVYLHDKITDDIVPVMATTTKPSVTT TSPPAHRLRRGRGQAIVRAATDHLKGGGVLVEDAPELIYAGRRAPRGYCAAAAQHVQL FATPTSPSETPADIFWAKVKSSRQSRLAMLRGSGPLW XP_844779.1 MASSTDSPPPREVFHGPRSISASSNRAPLFIVKNGTSRHQSLSP PPTCGSPLSNPECGDVSCRKLYGLSSTDCSNYPKSECYSNFSVPSGSSNTCRHEHFKP PGRKSSFLDRVKAFLTPSGHPTVHSQIIKPSEPFAEDSPEDVVVFNSEAAKGGKSGKK QVSGSSSGRDAGFDVRTSFEPTHMFKEEWEERPYVSHDHVQADGQHKMLYFSLGDGHV RKLLREEAKCRRRLVEEGMTVMRDIGRTYVAMSRSVAAEASAGLLADRHRGK XP_844780.1 MSVSFSTLIQRSRPSSNHATTKLLSNVLAKIPPTTLSTVGSGVR VACEENPIASLATVGVWLDAGTRHEPAQYAGTARVLQKCGFLGTSNQTAAQIAAAVDE LGGQLTANVGREHTHLYMRVAREDTERAVSLLADVVRNARLSDEDVEVAKQAVLRDQH DFEQRPDDICMDNLHRCAFDSTTHGPGTPLYGTEVGTTRLSNAQLREYRDKMLSAGRV VVVGSGAVNHTALERAATSAFGDLQKGTVTLAGVPEARFVGGEYKLWNLRYKTVHIGW AFETCGAACEDSLPLALACEVPGPFHRSQHELGQHAMHRVLKTFSSLDHSTPTNTHFN EKCIEIANPFLHQYKDTGLCGMYVVGRPAQAGPGDGTAMIEVFQYTIAEWCRICQKIL HEQELAQAKVNLKSQLLFNMDGSSNSAEDIGRQVLHYGRRIPLEEMYARIDDVTPTNV QEVLQHYFYGRKPVYSYLGYCANIPGYDWTQHWSYKYWY XP_844781.1 MSAIKELVERKVKRGPYAHHELIICPGYSNTGNASTNTKGSAAA SDTRSNSLPGVGSKGHRCWLCASLVKPIEPQEVLLCFRCLRVAFLDIIMPLVDSPERR KADAKFISKISRVKDTEGTTPLVPKLPTVVSATPPAANEVQSTNHVSQSRSTLPSDPS GRTQLANDSGLGRGVDSHRCSSAWAHRRCIEVGDQGTPAGNGHDELTVKCPVCHATCS ATDKRLTRCLDGKTVHLIWVCSMCNTTNTQNSDECHSCKAPFVWPCLQCGLTQESPCC GDELRMCVECEALNTPADILASLKRACLGGEGGYAETDGDLGLDDEGNDGTAGDADLV GSVFGVNDLDTVAEKARQREIVEGRARLQRRMRRLGVEANVQESDGNCLFRSLATQLL GDPGAHMTIRRLVVGYMKVRQESYKILFDGEEEWSNYISNMYCSGSWGDELCLNAACR CFRVNIHVITSAASGWHLVFQCDDLQDSATAPYGDSGQGRLFETAGSICLFLNYIAPV HYDDVPVFRSQVISLNAKLTEQLQYMLAEEGRSRSRRDDARRSGAVRVGQLQSCPSIG GEGQPLQKLEEQGQRCAKQQLESDENSACCVDGKVQQRMDAKLPLQLSGEQRQKTDEK YLTDTCRDRPRLINEGSPRPATGHPHRACNDHTVRQMNTHKQWRTGEEMSRDVDEGRL WLANSETLSQGTGDRQRGMGEHPKRLMNEEIMRQVNGQQQQQWVNEGHRRPLDEKYLT DTCRDRPRLMNEGSPRPATGHPHRACNDHTVRQMNTHKQWRTSEEMSRDVDEGRLWRM NPLSLHRGGEVQQPDHSRDHQPRAHGLPQRAGRLHDPHTPREQSVSGQQHRQWVLPPP APGCNNEFTLPIPSRPNTMRQFRESRPSTLSSDSAVASTGLFPRPNGQNWPLGDLRGP H XP_844782.1 MLDTSGSPDGFGDYTAPSKRGVLFFSTVPRDMRPQEVERHFGRF GTITRRKFTPFPRKERRPNGPLLPLQFMRGYIEFSKAEDAEYAAAAMNGTPVDCKRRR RCSGQLWTVKYEKGFTWDVLLEEREAAVRSRRQREVEARSQEREINEAFRAAVAKRLA SRAKNRKGDGAAKVSTAGGGETLVNRDDNSKCKREPGNDDVDESRTKRKRSRVEATSS TSDATNASKDLKKKKVKKN XP_844783.1 MATAVVGKKKGGATVDLKQLQEPDFWKTRNAIFDELYAAQQEKY KSMQSPISITLNDGKQLPATSWLTTPIDIAKKLSNSLAERVVAARVNEEIWDLTRPFE GDATLELLDWDDADARHVFWHSSSHVLGYALERVFQTRLSVGPALEEGGFFYEGETNR PVTEADYTTIETAMQELVKMKVPFQRLTVKKEDALRLFQYTEFKSKILASKVPENGTC TVYRCGNLIDPCRGPHLPDTGRVKSFSLTKNSSSYFEGKAENAVLQRVYGISFPKQTM LTEWKKLQEEAARRDHRTIGRHQQLFHFHEASPGNAFWLPHGARIYNTLIEFQRKQYR RRGFEEVVSPNMFSSRLWMVSGHWDKYADNMFLINVEKEDHGMKPMNCPGHCLMFAMQ PHSYRELPIRYADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRMDQVKDEIHSALI FLKDVYDVLGFKFFLKHSTRPENKLGSDEMWDEAESYLQAALNSFCGIPDELPDPFNK GSTFVYDGRKESVKKLRAMLKKRGDKKNDENSPADDVWPGPTHEDAWEENTGDGAFYG PKIDIVVEDALRRRHQCATIQLDFNLPKRFGLKYTLPSNAAGDDGPAPKATECHKDPN AELKADKPEGKVEAAAGAPKSSYEKAAHELNIDQRLDANQARPVMIHRAIFGSLERCI AILCEHYGGDWPFWLSPRQVIVVPVSLENAAYAQTVRDTFFAAGFFADVDNGTATLEK KIRNAELARYNFILVVGQAEQEGQSVNVRTRDNRRHGTKTLDEALQWLRELADKYDPS F XP_844784.1 MDCGAGFALGQQLAKDALHMQGGVRPGTTGNVEQDALMTGMMVP PTGPMEDWAQHFAAHQHHHQQHQQMMMQRQHNDALMIQQQHRDMEEAFRASARAGAPQ QANAGPLMMPPGPMMMAGGMAPMMHAGGFMMGGMPQMMPCTPMGMNMGMAPVATMNPA TTSTVSGAREGATAVSSAAPGVVDLGGDSAWAEKLHQAEWGQDYKDVEVHTVEGSTAQ TVEEHAKTSKFYEFMDKIRKKELLVDEDSGEVVQGPGPDPDVEADTEYLARLAAMEGI NVPPSVMDHMQGQDGVQRGTDEDMEGMMGDDVYDPSADVEQWAQEYAQMQAMQERLQN NTDYPFEANNPYMYHENPMEEGLSMLKLANLAEAALAFEAVCQKEPEREEAWRSLGLT QAENEKDGLAIIALNHARMLDPKDIAVHAALAVSHTNEHNANAALASLRAWLLSQPQY EQLGSVNLQADVDIDDLNVQSEDFFFAAPNEYRECRTLLHAALEMNPNDAQLHASLGV LYNLSNNYDSAAANLRRAVELRPDDAQLWNKLGATLANGNRPQEALDAYNRALDINPG YVRVMYNMAVSYSNMSQYDLAAKQLVRAIYMQVGGTTPTGEASREATRSMWDFFRMLL NVMNRPDLVELTYAQNVEPFAKEFGLQSMLL XP_844785.1 MGKTVLTCRKGNGSVYQVHGHKRLGPAKLRILDYAERHGFMRGV VKAIEHEPGRGAPLARVEFRHPYKYRRVKELMVAPEGMFTGQSMLCGVKAPLAIGNVL PLGQITEGCIVCNVEAKVGDRGTLARASGDYCIIISHNTETGRTRLKLPSGQKKTVPS SCRAMIGIVAGGGRIEKPVLKAGNSFYRFRGKRNCWPKVRGVARNPVEHPHGGGNHQH IGHPSTVSRHAPPGQKVGLIAARRTGRIRGSRAVRGAWHPEE XP_844786.1 MPGLLKWKKRCSPVAMRPSRGLVPSPRKSPSKQRSSAHSAAAGE ECYELQAVPSVIPALQAISAVRRAGALMARVMSPTADRIEGELWYIAGEYGPGKGNAA APQWVTCDRHRLVVWSSWCGQGRIIDTVSFDRLRLILNFSHAHTHKVCQRQAPVTRYS AAKNFSLPSARGSRSPPQTFRRGTTTFARKKKLGAIATGRIRAYGGTSMPRYYYFGVE FTCLYRTEDGRNHRRRRVMMFATDVQHDLITWLQFLSDVEVKKVRETVCGGGSQGTKR ARKSKQCMSPNVSYFLNESDCTAVDTSNETSLASSSPLDIDTTMDPAVAPSRASDREV EAPGQSIFSLFSSTVTDPTKSPSPQPIEKEQSEEVISNGSLLDISLHNNEPPQLAARP HTPKKKKASLKKVKKKTYEELRNERMMRENTELKRKNEELKKEIKVATDKITELQRNL QASERTNRYAEEECEHLRDSLLKVEKQKANLAKKLSESTGNEFQLQKQAVELHATLEE QAACIRALSEQITAADAYLRDAEGECGSLAGLLGLSPAEDGGDLGTGSAGLSLSNGAL RIAERLRLACSAVHDLEQELKASGERERASIEECDRLRNELQKLESQRSDLEESLNAA EALECALRRQLHESLEEVRSAENALSAAEQALRCIPSGMDQYARDADGGSVSNDDSRE LPTLALPARIEGVTRQACEFRDEMYKRHQELLDLVARGVCNEDALARVRELRCAKEAV ETELQDTLREAEKRLCVVTACEGVLGETLCSDVVEQRQAELLCALRADVAEACGVSGS HVIDATIADDGSNVTFRVAHPYCVPAAAVLARVDSCAFDRVRRVNKWSCGDSEAVSFA EEDEAEREFVAVGTPAGEAFLARITELSGRFASVNGELRAAEDALAERPAVVVCGLEQ RVSETNATVEEQAACIRALSEQIAAADAYLRDAEGECGSLAGLLGLSPAEDGGDLGTG SAGLSLSNGALRIAERLRLACSAVHDLEQELKASGERERASIEECDRLRNELQKLESQ RSDLEESLNAAEALECALRRQLHESLEEVRSAENALSAAEQALRCIPSGMDQYARDAD GGSVSNDDSRELPTLALPARIEGVTRQACEFRDEMYKRHQELLDLVARGVCNEDALAR VRELRCAKEAVETELQDTLREAEKRLCVVTACEGVLGETLCSDVVEQRQAELLCALRA DVAEACGVSGSHVIDATIADDGSNVTFRVAHPYCVPAAAVLARVDSCAFDRVRRVNKW SCGDSEAVSFAEEDEAEREFVAVGTPAGEAFLARITELSGRFASVNGELRAAEDALAE RPAVVVCGLEQRVSETNATVEEQAACIRALSEQIAAADAYLRDAEGECGSLAGLLGLS PAEDGGDLGTGSAGLSLSNGALRIAERLRLACSAVHDLEQELKASGERERASIEECDR LRNELQKLESQRSDLEESLNAAEALECALRRQLHESLEEVRSAENALSAAEQALRCIP SGMDQYARDADGGSVSNDDSRELPTLALPARIEGVTRQACEFRDEMYKRHQELLDLVA RGVCNEDALARVRELRCAKEAVETELQDTLREAEKRLCVVTACEGVLGETLCSDVVEQ RQAELLCALRADVAEACGVSGSHVIDATIADDGSNVTFRVAHPYCVPAAAVLARVDSC AFDRVRRVNKWSCGDSEAVSFAEEDEAEREFVAVGTPAGEAFLARITELSGRFASVNG ELRAAEDALAERPAVVVCGLEQRVSETNATVEEQAACIRALSEQIAAADAYLRDAEGE CGSLAGLLGLSPAEDGGDLGTGSAGLSLSNGALRIAERLRLACSAVHDLEQELKASGE RERASIEECDRLRNELQKLESQRSDLEESLNAAEALECALRRQLHESLEEVRSAENAL SAAEQALRCIPSGMDQYARDADGGSVSNDDSRELPTLALPARIEGVTRQACEFRDEMY KRHQELLDLVARGVCNEDALARVRELRCAKEAVETELQDTLREAEKRLCVVTACEGVL GETLCSDVVEQRQAELLCALRADVAEACGVSGSHVIDATIADDGSNVTFRVAHPYCVP AAAVLARVDSCAFDRVRRVNKWSCGDSEAVSFAEEDEAEREFVAVGTPAGEAFLARIT ELSGRFASVNGELRAAEDALAERPAVVVCGLEQRVSETNATVEEQAACIRALSEQIAA ADAYLRDAEGECGSLAGLLGLSPAEDGGDLGTGSAGLSLSNGALRIAERLRLACSAVH DLEQELKASGERERASIEECDRLRNELQKLESQRSDLEESLNAAEALECALRRQLHES LEEVRSAENALSAAEQALRCIPSGMDQYARDADGGSVSNDDSRELPTLALPARIEGVT RQACEFRDEMYKRHQELLDLVARGVCNEDALARVRELRCAKEAVETELQDTLREAEKR LCVVTACEGVLGETLCSDVVEQRQAELLCALRADVAEACGVSGSHVIDATIADDGSNV TFRVAHPYCVPAAAVLARVDSCAFDRVRRVNKWSCGDSEAVSFAEEDEAEREFVAVGT PAGEAFLARITELSGRFASVNGELRAAEDALAERPAVVVCGLEQRVSETNATVEEQAA CIRALSEQIAAADAYLRDAEGECGSLAGLLGLSPAEDGGDLGTGSAGLSLSNGALRIA ERLRLACSAVHDLEQELKASGERERASIEECDRLRNELQKLESQRSDLEESLNAAEAL ECALRRQLHESLEEVRSAENALSAAEQALRCIPSGMDQYARDADGGSVSNDDSRELPT LALPARIEGVTRQACEFRDEMYKRHQELLDLVARGVCNEDALARVRELRCAKEAVETE LQDTLREAEKRLCVVTACEGVLGETLCSDVVEQRQAELLCALRADVAEACGVSGSHVI DATIADDGSNVTFRVAHPYCVPAAAVLARVDSCAFDRVRRVNKWSCGDSEAVSFAEED EAEREFVAVGTPAGEAFLARITELSGRFASVNGELRAAEDALAERPAVVVCGLEQRVS ETNATVEEQAACIRALSEQIAAADAYLRDAEGECGSLAGLLGLSPAEDGGDLGTGSAG LSLSNGALRIAERLRLACSAVHDLEQELKASGERERASIEECDRLRNELQKLESQRSD LEESLNAAEALECALRRQLHESLEEVRSAENALSAAEQALRCIPSGMDQYARDADGGS VSNDDSRELPTLALPARIEGVTRQACEFRDEMYKRHQELLDLVARGVCNEDALARVRE LRCAKEAVETELQDTLREAEKRLCVVTACEGVLGETLCSDVVEQRQAELLCALRADVA EACGVSGSHVIDATIADDGSNVTFRVAHPYCVPAAAVLARVDSCAFDRVRRVNKWSCG DSEAVSFAEEDEAEREFVAVGTPAGEAFLARITELSGRFASVNGELRAAEDALAERPA VVVCGLEQRVSETNATVEEQAACIRALSEQIAAADAYLRDAEGECGSLAGLLGLSPAE DGGDLGTGSGGLSLSNGALRIAERLRLACSAVHDLEQELKASGERERASIEECDRLRN ELQKLESQRSDLEESLNAAEALECALRRQLHESLEEVRSAENALSAAEQALRCIPSGM DQYARDADGGSVSNDDSRELPTLALPARIEGVTRQACEFRDEMYKRHQELLDLVARGV CNEDALARVRELRCAKEAVETELQDTLREAEKRLCVVTACEGVLGETLCSDVVEQRQA ELLCALRADVAEACGVSGSHVIDATIADDGSNVTFRVAHPYCVPAAAVLARVDSCAFD RVRRVNKWSCGDSEAVSFAEEDEAEREFVAVGTPAGEAFLARITELSGRFASVNGELR AAEDALAERPAVVVCGLEQRVSETNATVEEQAACIRALSEQIAAADAYLRDAEGECGS LAGLLGLSPAEDGGDLGTGSAGLSLSNGALRIAERLRLACSAVHDLEQELKASGERER ASIEECDRLRNELQKLESQRSDLEESLNAAEALECALRRQLHESLEEVRSAENALSAA EQALRCIPSGMDQYARDADGGSVSNDDSRELPTLALPARIEGVTRQACEFRDEMYKRH QELLDLVARGVCNEDALARVRELRCAKEAVETELQDTLREAEKRLCVVTACEGVLGET LCSDVVEQRQAELLCALRADVAEACGVSGSHVIDATIADDGSNVTFRVAHPYCVPAAA VLARVDSCAFDRVRRVNKWSCGDSEAVSFAEEDEAEREFVAVGTPAGEAFLARITELS GRFASVNGELRAAEDALAERPAVVVCGLEQRVSETNATVEEQAACIRALSEQIAAADA YLRDAEGECGSLAGLLGLSPAEDGGDLGTGSAGLSLSNGALRIAERLRLACSAVHDLE QELKASGERERASIEECDRLRNELQKLESQRSDLEESLNAAEALECALRRQLHESLEE VRSAENALSAAEQALRCIPSGMDQYARDADGGSVSNDDSRELPTLALPARIEGVTRQA CEFRGEMYKRHQELLDLVARGVCNEDALARVRELRCAKEAVETELQDTLREAEKRLCV VTACEGVLGETLCSDVVEQRQAELLCALRADVAEACGVSGSHVIDATIADDGSNVTFR VAHPYCVPAAAVLARVDSCAFDRVRRVNKWSCGDSEAVSFAEEDEAEREFVAVGTPAG EAFLARITELSGRFASVNGELRAAEDALAERPAVVVCGLEQRVSETNATVEEQAACIR ALSEQIAAADAYLRDAEGECGSLAGLLGLSPAEDGGDLGTGSAGLSLSNGALRIAERL RLACSAVHDLEQELKASGERERASIEECDRLRNELQKLESQRSDLEESLNAAEIPEQI LRGHVDSFLCRVFTSLKCLRDVVAGFAVFMCSDVDVDGSPFLSFGQEGTLEKNIDLSL YRLELLVPTIDVLCRGLVGLCRFYEADRLSLMNGLTGLGVEPGGLVLNSVIPCADARR SLSGTLVDIVSAAGDISVVGDFGGNDNSVAEGGTKSLLQAVGVWQTSVEEFSAEEQCS YSKVVAGIVGGFRDEGIAARRALVNVRKALEGKVPCRDDVIDGNVVASQGSDLETSEG REVVRLIAEQRKSVLFALTSLLSSVSADGVERAMRLSKNVPFSDLVLLLRHECVNVSK AFMSLNAIVQEGSNIAIVTSGESGESSQPGSSVTGFTEVKGDNVVPSKTSAAIENVSS PDLAPSQSAGGEGGKLHTGKGPASASDSAMDSTVASAGICAVDGMPSHSTSLSRCPSV AAEDTDERADLLFSLRDFVEAEEASGKPLAIVQQVREHIHARTEELQQLRTAAVKSVE TLSGDPLPAGAKPYDVAGALLAAVKTTSDSIGGVHALLSGVTLEEAPAQATRSLKPKA KPQPVGRCNSSIVLSLKRAVLLNPRLAQAGGRRSRESVQMGLSTASRSAGNRSSVSGN SSSGGGGASVGPQKLHELIKAIESKLKIVQHTRDTCSVCLYLLGDKEGGNVPAEELPT RLLLQAQDLFSGLTQIQNLDLGDEDDEPNADDKSSEGNSLVGKPSWITSRLLKVAKVF RSLQYINESMKQREAVAEKQRSFLLLDVNELRNTHAEEKRRLQDMLEGAKVQLRERDL NIKDMQQQNAILRADYDKACAESKHMTLELNDMRGTLDDLQHWLEGVEMAAGVVRRSS AAVWGQICSVEQKLTERLSAFIPTDHGRYRRTSKTNVEEKMEVSLTARETGGEVELVP VSGGKLVVQLVEDSASCLRQCEESLQLLERPLILVPALSEWIKQQYLSLKDNVSHAEE EVRSILRSCYRSAADLLYDKLRAVQRHREAELELDASHARWQGRLRDIEAAHKEHTAV LNRRLATMWDLTTQQDVLRAETARWQACAEAAAEETERLRVLLRAAESREVPQPTEVV KIIEVEPPRAPKPSTREVSIMTDLLIVEEPAVPDYDEATDVLKIRAELSDALEYAKHQ ADDAARCRSLMQEQLHLLDGGQGAVVDPSLSLGKLIETHKKRLLMHVDERRKLLEAWQ TAQHNISRLKQEIDERERQRKKETRELETQIKELRVRVQRKLEMDMITERQIAEAERS IDREFEELCRRALSGLSVAAHLQQLRSSLMARIRMQMEAQRPRH XP_844787.1 MCGKDSGVEERKLQHPHRVQQFTAGVALSDIATAGVVGFTAAIP ISIVDYSIMARVAGVTNSSGRVLWEGMRTLFLRPHRFFIPCAENKCAPVFGACFTVYS LTFCTSNLTKSYCEAKGYTPERSNLITGLAGGATNTLMTMWKDSLILRILPPVGGASA GSGRPKPVPWLTRGLFVGRDVFTCLAAFTITPMVATWLTNYFWNAKENAKLTQPRPLE GKTHIPLATVDLAQLITPTALQTVTTFMHIFAIRYRQTYPNFTFGDLSTSLRETYLSS LILRIVRILPAFGVGGILNRELRSDLQDRVEGPAYH XP_844788.1 MALRPFPLGNTPLHAVIRRSAREFECVEAVPASNAVRSPSTASW VSAESLDSIISLANRTSEEMEVDQLIYRLCRSLYHVAKKKSAQIHCHPNHQERQQQQQ QASSSGWRSVECEGHFSNMRGREDWCPLLHLFDPRSYYKVMGQPSQHDGCKLCGDVVG TESSPEGVSQWLMSELSVTRLTGGNSNHVYRLGHASFPKKAVLLRVYGDGGGGDVIDR ARDIKVMRLMSKSEMGPGLLHTFHWGRVEEFMDGVLTCTTEKLLTSPSLLADVYGGLS KMHQLDYTPLLPENMRTKGMSNGHNSSQSVSDTVSKSGGGQEGPDGTVGGVNELESTC HTSFERVCLRLLRLVSLNVVEEHRHSLESWLAGEVMFVRRELQYRGIPVVLSHNDLNP GNILLSDGAGEASGTVFSDEELRVENTPLSKRYVTRKGKNMNLVERRGFLFIDFEYAD ANYRCFDLGNTLCELDYDYTRGTEAGGRGFIKYLFVFPPPAMEEAWRGLGEEYPRMPE LIYDAWRRGVCDGSSDIGSVALLAVRRYFAERDNVPLETVELSEAQVGEVLLGMLASH LYWTIWSMTMGSAPDNCVECEDCDDQMFAVGGSGLDYMRYGECRLREYIALRGWLAEK GLLS XP_844789.1 MLSKRNREGSRGAMGCGEKNPYTGRVLSSRYHALRGVREKLPIF AAKQKIQRLISRYQTLLLVGETGSGKTTQVPQFVLEMNPEHAIACTQPRRVAAISVSE RVAEELDVTLGEEVGYCIRFDDTSSDRTRLKYLTDGMLLREAMGDPMLQRYSVIILDE AHERTVHTDILIGAVKDLLHRRPDLRVVVMSATLEERRFQSYFPEAPLVHVSGRMYDV EVYNSRLPEANYLEASIRTAMQIHLYEGPGDILIFLTGEDEIEQAVERLRLGIPMAEH TNADCHKGPVAVLPLYSALPPKEQRKVFQAAPEGTRKIVVATNVAETSLTIDGVVFVI DSGFSKQKVYNPKLRVESLLVTPISQASARQRCGRAGRTRPGKCFRLYTAKAFDTLLQ QQTYPEILRCNLGSVILHMKMMGIEDLVNFDFVEPPAPETLMRALELLNYLGAINDDG DMTKFGRRVADFPLEPEMAAMLLHSPEYGCSDDIARICAMMSVQSPFVTPRNDQRGCA MRCRDQFYHPTGDHLALLNVFNAFYEGSNQSGSWASENYLNPRVMKQSVSIYRQLIGI MRRLNLSICSTYSAAQWGGAGDGESDEYANEVRRAVLRGYFTKVALSLPTKNQFLTLK DNVKCLLFPSTFLNRRPKFVVFNELVLTTNTYIRTVTSVSDEWLLEVNPLYFDPSEFE GVSRQVFEELHRRNNRNNAAERDQNKDCLTGHKRRRGGDAPDNGDDDDDDDSS XP_844790.1 MFSMSPCVLTALLAAAVLAVLPLSSFASPELTEADFKRMKIKEL RNFLEDRGLTCPGCQEKADFVRVAFTNRAKKPLSEEGKREIPKAPLWEVWRDNAKLVC EEAAKKRGLDVTAKPQSDICSAVALVVENFFMQHGKRVANKLRKNHEALLKTSYKNVY YDAGHVLLKRLTEYCLVSEENQNKCSSIGSLTTMLESGKMVDFAKWMTNVGIENTNPM YEVLDGRGDL XP_844791.1 MENKMSAEPRVVSSTNVSPDEEEEEELGLPLVPLPHQRSPLGTS SKCVQGNHGGDVAAGGSDDDEDDLIKYVSNSSSKPLTSRVNMVYSSASQKRPRNDSEL EPGAMAADMATEHATLPDDTDHPQDMAEYERWKDRDAARAKDELMSLLSGSA XP_844792.1 MQWPSWVLSEENRALQRRAQRAATDLSEKMDEAAAHREVVELML RNIGQLRRAVDSAALALTEQKKLEESDEAELQQVRQDGSYFRTELQTLHRRQDQLQEL LLRSEAALKSKTLALERQQQELASVLHEQEELLQQRENEGIDGGEFQKHLSVFEAQNR QRFDQLQGALQREQTTFNAAKDQLDREREEYKRVQRELAAMRASIEREKREKLKSSLT IQQVHELMKSTEARTLENAALYATGKESMESQRTMLMKLQCEAEELERQRKLVDKHLQ DRLREQDRLTALLSSTTAEVENQQKEMASMRRQLSAQRDVNRELALSRDNAIMLRDER WNRQAQLIHHAQELKVVRDEIQRQQKEGTNVPGPRYLLQTLDALKANERQLDGQMERL RAANVACVSQLMQHARQGESLDGRMAAVRKSLAAADRDHAQQCQLREQCERQAEALTS KVEEQQQRLQAARLEAAARSGAQYAMLLGNAQDLRVRLQGEQRRGYELRRLVAPLQYT LNSRRRALLEDEEKLAHLVGEAGLRGAELQRLESEKAQSQQEKQTALLLVEEANLQLQ TLNRLAESRMVASCEAAGMQEIMRGQALAAEEAHQDDAKNALLLLHLEQRAVSDKHAE LRRRQQQLQALKDRYQDIMRSMARNAALAANVNVRAGQNSDPSSVTSSSPWEYMVEKD ESLLADTSVDPEVLHAKFILHASTTREKLWEKGNQLDARVMFLEHEVSALRKMLHAMR STGSHRERTIEATSSNLPKRSERQNPNDTQLLTSLREGLTRGQQAVEVELQGVKESLQ LLQKRMQEVGEKHRSEMRRLSELRSLKVGKEAQLRRLRDKLQRQQTQKVQQRLKPLSA LCGRSA XP_844793.1 MFCSTCVSLVGVISALMLLSYLGFVIIYVPVYRYLAITVLLLLL SYRLSVWRFLPTERAHVRRLAEKIVERRRAYALPTSEELRSDVGANALYVPAAPYL XP_844794.1 MAVIVKTGKCVSPGDVLYATDIYDAIGTIVVAASDTGAVSAGNH ADDAIIAGTGCYSRVVSKSDGAVSSQIITTLLGIVQWSGNVVSVRQPTKRARDATTIE VVSLNALKDAGTCGDSATPRLSTPGEATSSVSETPASTAVSTAPKSLWISTSVFGPRM GDNVHLRVVRVSRSFAYGEIIAVNGTWCSNSGSNGGGGGALGGFRGVIRMEDIRPFKP RKDQLTPPPPADAFQDGDVVVATVLSQSDVRQYQLSTVAEHCGVVEAFTVLNSNGRER RVRLRHIPECRDIMKCPLSGNLHRRWCPLIRLV XP_844795.1 MLFDCTLLSLLGAMVAAIGLYRVVQFVHLTFFSTGHDLKRRYSK AGDWAVVTGGTEGIGRAVALDLANRGFNVCVISRTQSKLDEVVAEIEKCGTRGHSIAF DFATAGEAEYKMLFAKLDSLAVGLLVNNVGVNYTYANYFDEADVVDDLRIIKVNCEAT TRMTKFFAPRMKARRAGGIVLLGSFSAVTPAPLLATYAGTKAFNVSFGDALFYELKKF GVDVLVVTPNLVVSRMTQGASTRAPKETFLTVGAAAMARQTLNQLGVVNRTAGHRNHI IIEAIARLLPESLRGEKMLAMHESIKKRAERKAKQ XP_844796.1 MMPVAGSSNCCGSVCRLSCGTGGLKHEEQMLRIGANSTVLTSSA ATTLIVEDTHDDTCTCESPLIPMQFTQPVEVLDAILFSEAALREKRREEIRMEDEKLL KARRENILKQPPLVVRPPLLSPFCPNGLPPLEIKQEPLFMEKDTVVSSIREELQKQRR EKAETEAALQEAELAALELKLLLQKVSI XP_844797.1 MAHVAIRRQREEEQRAREQAQAVEKRMRLAANFETRSEKVYEQK DLMRRLDLVRAKHDDALVARRQRLAAMLLREKEEHEAMLNNLTETDEQRRDRLIRKAR ELRAQQQHHLRVDAQKRHERLFREKIDCLRLAESRLRVMQVANARFEQLALAERRKEE QQREEEFFAQQRVEENRLANERAQKDLEEDYIRKQAVVKALAAQVEGNKMRAEQHQLE VKKENEAFCRAVEEERAAEAQKKMEARIARAALAKEMSEFNEQLRTARRQEYERLQKE DREVLDRMLAELAEQEQEEKRRKHELRANARLHLKEVERQMNQRKEDMENLDKLWEEE NNKVWEKREAHWRADEEKRRKLLRNVLIVRRQQVLDKRQQEKEAVERAEVERQEFRNM IAGLADIDAMERAQRFAVAKENQKYLESQVQRRNAEKEEVRMAMKTALTAEQEKEKVH AERIKREIENLERAKPERYKDVPLLPRQRFPPI XP_844798.1 MPLNAQQLLFHLARRNSAPPWRWFPTATENKLFERLDTSGSPTA QVVYSQFVQARLQGSCSAGTAPIQLDLFLDELTPSDDGDYILRCPLANSHLMKRLVVP APMRIAMHGLLQEVIDVFQQAGIRYWAAGGTLLGAVRHGCIIPWDDDVDLAISVEDEL KLRSAFKYPCAHGSDDNIGDNSGDCNSEYSSESSSNEVEKNDGNNGGNLVLEYVPLFG YKVYSRLLPPPFPSPSLPGVGSSCLRYGYFVDIFIMRESKNRFVLARESAQRTWPDEW WHVDELFPLLQKPFAAVAACASEQHPQTALQLSVGRYPLMHLHRLYGETCMQEALIPR ELHGRELSHSLRIPLELL XP_844799.1 MTESVGASTPTGGRSDKVTFYSRLVKEVQGIVEFPSKRLAPAAN AIINLSNVAASLFYGLNRHANPEAVLQSPPVNWLGFYLMHGPELLALGPFQGRPACTE IKMGRGVCGTAAQQAKTLVVSDVHEFPGHIACDSASNSEIVVPIKSAAGEVVGIIDVD STQLNFFDDVDAQGLQEVAEIVARHVDFSVFHQFCTQMPLAGTGPSAPEKPTANVGAG SETQPSVLPSNDGTDKNIKDKVCLTGSAVAALCASGLAAKAVTLPPSCKLSAATIMPL SNHAVQGQREASLEEGRPLVQASPRRWSVDISGWEFEGTDFTRILTQEELKQYEEELG IGSIPELQFPFNTLHITPVARHGKVPLLSFSLKDLLRAAAAYYKTESYRETTVPQLVI PVSETWKRTPYAVFDAKVDWAWRNDFFGFDKSSCTLKPLEPTMPGLNWDLLRDRTLPI LFFHNFDMLEDDLHDHGVVKSSVRIRAMPTAFFILHRHFIRIDHYRIWIRDVRIFHQY EVRRENGEPHIVVREEVRLLDINNDVQWSEKDSDECAQLAKLESEQEYYVSFDRDSAG RGWWNTINTS XP_844800.1 MVSCFVRPVDVFGNPKTALGENLEPQHTWLEWIFMPRHKPLFHN FSSNARAGLTVALVNVPLSIALAIGSGATPEQGIVSCVWAGTVATIVGSSHFNVVGPT GALSGLLASMVAARGPGVLSPLALQAALWIFLFFLLRVNRLLRYVSSGVAHGFGCGVA IVIAVGQIPSALGLKNVTPHESLVEKLKEDYRRIDTISIPDTLLFLSTILPLLILSKR YNKIPWQIVFTMLGIAMARILPSGSLVLLGSKYPNLSLNFFSFQPLHTLIEADYFDFK TVLYGFGIAIVALIETLISSIIANNHVDDKSYLNYSGARDTFGLAMANLMSSLVGGIP STAALARTALMIHSSAFSRVAGVISCMAVALLCSVLLPLFRDIPMATVAAILMVVAYK LVDLHDLHILHVVDTANLYSTIITCAACVLTDTFVGLVVGVFISVLLNYSDSQEAEVL FEEEDETFASPHRPEPVMFRVLIVRPQESLLFINAEIMKNTIFERSVTFCESTPSGLK RRLVLDMALVNRVDFDGTKALGEIISAHREKNWVVDVINAQHLRNSLALCTPFHDLHK LEYQELY XP_844801.1 MELLKKTAALRIEQQTLNIPQEEVTNISLSDVPLLPERIACFTA LSHLTLVSMKPKLKTLLAIPLDTLKSLRMLDVSDNNITVSADETLPVYPTMRKLFIAN NKINQWSEMERLATAFPNVEVLDAANNNAYDCSRRAEVFELFPKLSVLDSMSKDGREV AVLDTDEDSSDEEDGDDDDDESFQSFVIGEECEDDGSESDSEDDDEPPSKVVRTE XP_844802.1 MSRYDIGSEPPAEVIAENYREVQKCIREAAAEGAGGGSADRAVK LVAVSKTKSPACLQALYDCGHRDFGENYVQEVVEKAAVLPGDIHWHFIGHLQSNKVKE LLSGVSGLQIVQTVDSESLAQKLDSGCVSYRGGRPLDVYVQVNTSGETTKSGVEPGSA TVELARHISTKCPNLRLTGLMTIGMPDYTSRPENFECLLRCREEVAAALNLDATTLAL SMGMSGDYTNAIRMGSTVVRVGTGLFGQRYYPPKDGAAK XP_844803.1 MSCDTVTVVFDLRYTDWELFDSSREVFITTVGNLFAIALSNLSQ KQPYSLRLMATSGTSLLPVYDSACDRDNTKKTGIFNADKSTCGGSSNVFDVVRSLSEL TPVRSPSRDTDRHVVGILQRQHKNYSSDKLQHHSLIFFTTFTTIGDFSNLVHSTVPAA LPFLNDRWVSIHLDATAFTTTSLALTPATRSIRCPIAPACIRTALQQALATALSPVLP LVQVSLRYGRYTVPCIANRTYFTIPGRCCAAKDLEDTNDVEERNTLVFCGGRVCSSEY EREYHTTQELVLTASGIVNADVVDDAHLYGEAWVLRGCESLGGYTRSPGEWLHHLATE LRGDALLLRTQQPLLNPPHQLAFPSSLFVGFFMRSDEMYLRAVIPPELRVERVESIAL KASNSGGGGRLDPTLAAELRKATEALRDCRGEVELRQPVGVCRVLTQLRLNPSLQLRL EALQTEGAVRRTLIRPLHSHRR XP_844804.1 MSSEAASGLWRSEDMTLLRLTMQRETAHDSVLKLGQLAAFQFID LNSDVSAFQRDFVQEVRRCDGMERKLRYLHDEIEKAGLTCVSTEAIGRESLFALEHKI DEYEGELRELNGQYQSLLEESNRTQEHLEVLSREFGSGIRQSPGLNLLTGVIPKDRIA TLERLVYRITRGNSVLHTDEITTPFSEGEKERMVQKCVFGVYFATPRLWESLKRISEV NGASLYPYAESTERLQYMRDTLNSQLETMKHTLQQSLLRQRHLLTSISHNVCQWRQTV AVEKSVFSTMNMLKFSGSTAVAKGWAPVRSLDRIRASLQEAEYLSGAQVLTIVEEIST KEKRPTCFFTNKFTVCFQSIVDSYGMARYKEVNPGVLTIVTFPYLFGIMYGDIGHGVM LTLFAAFLLIKEKDWEGRKLNEIFAMIFDGRYLLLLMGLFAIYVGFLYNDFFGFSVDT FRSGYQWPPLNGNTQEGDMQPSSPSGVTPARSVIFGIDSAWAETENKLEFYNSVKMKC SVIIGVVQMVAGVILSLMNHIYFGDRIQIWFRFVPEIVFLLCTFGYMCVLIIIKWCTN WDQRTSEAPSLLETMTNFFLQPGTVNVPLYKGQEFVQVLLLLIAFAMVPILLCAIPMH EKKEHERKMRLQALARRNEDERHEGSEDDYDEDEKFDFSEVVIHQVIHTIEYVLGCVS NTASYLRLWALSLAHSQLSEVFWSFTFLMALDMDKGSGVFVFFGLCVWMCATVAVLLG MESLSAFLHALRLHWVEFNNKFYAADGYPFTPFNIAEVLKELD XP_844805.1 MMRRVLLRCPRPRRNSPLAFQSTSSPVTKCPLSPKLQRPLQTPT VVGESRGCPMIGTAPHLSCPIEKEQLGQSVQVRDVVTQLGKLKLSAFVTATALGGYVI CGGTSPLVMVAVTVGTLLQCCSANTANQIIEVEYDRMMKRTCRRPLPMGLISRRSATI LCAVELLSGSCILGSVSPAASALGAFNWLLYVAAYTPLKRVSAINTWVGSIVGGIPPL MGGIAATGTITGPAYLLGSLLLVWQIPHFMGLSFHCRRDYEAAGYKMLAFYNPWRASF YAVLLSVMMAFITLAGPALINMAAEGWYYPVVAAANAVMIYKALLFHSDPKRHCRGCF VFSYMYLSVVLAVLMLNHLEPVKRTTTLFQHFTAVAL XP_844806.1 MKTIPPKSRVPPDWIHPALHRQWQRRDKLRTPHELRLEELDVQR TEMEEASRRIMSIVSEKKSALEKLDRQREKAKADIDLDEAAAGEITGVLDRLTSQITV HKTLPRCDARLLDTSDSENFASGTAHCVLSVECGSTWGAVASCFPCDNEIKRSFFSQY APLFNVTSDDSSAAQKVGGAAFFDEGCLLSVDQVSCFNPACPYWHKEQLTHLKLTARK LVSRAANFIRGNRNNCDVAALFHRFRMSMEASTALHDAVRIERDMMNCIATLGWAAIF LQKDEVAENKQGRYRPPPSRITWDAPIQSKPHMPLMQKLYSLLRNPQECSAWESLIGS SPSTLIADAVSLFQRHVDVLSWRCLMRVAGDTPERLLWLASRGIEIFPTSPSIRLSHL YALLHSGNTASECVDVCLESVRILSVQASKCTLSSSGKVEWSESVARYIAYMIAMTCV RVAPMDAQAAMRLLTYAVKVPGRVCLLPLAQQNLTLMLIAICQTGKLEGMNDLPLASI SDVTFALSEHFPGRPQDACAGLLSRQLNMNAGCAAAGIDAELMDRMQSAVHLSLMRAF SSNAVLVERILTKVKMGSVTAMAELWCDYLRVVRQHDGTEALVSLIRPLLEECESPLL ILHFVKILDFNAEDTTAVARSAVQRFAEENGIAVENIASLASSETVTFPVSDWVPFIL LHARSLAPQQRVELIFSIPPVLYCEVPELVFLLWFEIIPPTLLLKDDELFRRCAEYGL VLLREPFLNHFSPIDCNFDEMIAIPHIASLALYRAVPVLLGAAHHLTAHYRKIVLDVS TELHVIHPYLYAT XP_844807.1 MPLQPSYLMRPPKACSVYPTCVFIFWEAPRAVTQVDEVENITGQ LRPSLVQWSYVVEWTTNNSPKTEVAEMFTASSHAVLELLYPQETLQVRIVARGSCDGS EITRVSTSCTVEPGLAVALPWLHPRSMRCLCIFDALFFSYSGIHCVDAIPSSWKHVRA KMESVRLLESRFSCAIVKAVYEEPPYPITSTTVYYFVMCPKALVNPRDKLRHCDIKYL LERDQEQKPCAVFCGIGETGSSAALAAHLFISAIPPSSNELCSRVFCIAYGSPRRLLV EDYLVLASSPPFAGNFLHYTALLAPKDPLFEFTGDVLNSDAPVGVSGAAAGGGSPKEV HAVQHFNMPLGVRCGPVFDGTSGNVHLEARCSGVETLGREECNELLDVSTHLSMLNQL ILQCYHSGDTGDILVPMINNVQHAMEDGVTVFLTIEGESLHFGPRVICTSSRNQAMAA VSVTAVTPTRLTATFSLMDMLTTKFFARHRRPPQSLLVEISLFTDHGYVSFNEYRIVI AEDISLLLFHSSHSDGPHQWIFGPPGDLVDGAISVEPLLTSLVAGGPNTSTFVPFQST SLLSDIAAVSELVGKHAVKKPETGLYNFIFRGAAQSTKPISIGSIPMHEAVLLKDALK RHAASETRDPNALRSELDPWKRRLLARLPSLDSGNYREKLLSLLLMLGGPKPAADTTA VALETLLLSKVLHNLRRSQNAIHLNYTNSLSTCMGFDVFYERMLPLALAWVKHSEEAE LEALHNIATLWIACLLYHLRGAYLFTHAVVVAGCAGSGCNTLCHAIVRERAARQHHFV GTQRERVIVVRRAKLGSLADVKEALARGLGITAIVCGELSDVAGKEFFDLWCSLRRML CRRMAQRLFAFLSKVDELLGRNQLIKPLEEACIGAEGGAVAFQSLQRQAALAARTEWD VGSEKRGEDASLAGFVAVSFAPSVLLLRNSPFVHSSGMSAEEFAQKLMSISSYQLRRV LAGIVEGEFVNAR XP_844808.1 MGTTSTDTLELEVLRNPSNVRGWLQFIRSILCSDYPNQVSKANA VNVAYERALRANGYSYKLWMGYISYRRENTRELTSPNEWFRSLRDIYDRAVEKLPMMP LLWTSFIEFAMDGSVAPRITLTRHVITRALEALPFTQHHRIWRLAKLWVSRPHVPMPT ATYIWRLYLLYDPSTENQRNYFHMLWEKGNASDFLVECAAFLLRDSTSHGGLLRDIAF WETVRTALETKGLCFGGDISQVEKIVQMAADYCASPAEFRLSYAVFLANQGELSMARE TLWAILNDVDNPAVFCRAFTAALAFESQIIDSLAMDSSIHALDEVKYQQLREKLCGDV PDPLYHLTRLTQQHPMLLNQLQLRADRHCTALWLKRIEILKEMECNGVATSSDVIALY RQAITQCTSGMPNVEAATAQLFESYACYLWENNLRTDAVTVADEGAWFVKFSSTTSNV LLMGLVVEFSQLTDPARTLDKLVSRLVKATNVSNSIRSKGLARQVVVKNLARDPRAWV LAVDVAFHRLLLKNTGESGGRSNEELKNLISLFCNSSGYTAEGACYVACRLWQSGDVS AAFQEFERALVAFAAAPLAVLHILQQYLSCLCVSFGTRLPLHRFREFSKLGLDVAQFT MRSSPVSTMEFLLNCVTLESRLGFSGSAVQIARECLHLALKHQAEYDSLLFGVLDAVL EVTFRLHGSQALRHYCAELLERQKLTPQLIQRLALWWAAVERRTGNADRAHTVMEACC KSQDPSSSHGAVFWSMWESICNTVKQFEGVHRRKQQAALKYSSGNNSADAAVDGVDGN KNNNVGASGNEAIEVVPVPALT XP_844809.1 MLWAFSRGRITSTELLQLLQKHQENIDAQSVFWLSEAQAKYHYR LQCRGGVEVPRDMLPRPAVYSIIDYSPSERRSLLQSLPLLAIRDHKWLLLTKNCTGSE PFAWKAATLEQYVGALLTSPASEANFDGTLLVDASVAVPSRPQPSVQLFNAQETSNPF LADDSLRHTHLITGKPFPHGVSSALSTLWSQFSYTSMRWLPVDDDATNLDSLTLNCNQ EPHAVFDPEPVQLVCIGQLAEEEQASILHSAPRWVLEHSLKRPIILSNGKWMTWRKME LDEDVRLPCTATARWRSKCQPPPQHQIWLRITNNIHHTGAPLQRCIMHRRLFYNSSQI AV XP_844810.1 MRKIYIAGPAVFNPDMGASYYNKVRELLKKENVMPLIPTDNEAT GALDIRQKNIQMIKDCDAVIADLSPFRGHEPDCGTAFEVGYAAALNKMVLTFTSDRRN MREKYGSEVDKDNLRVEGFGLPFNLMLYDGVEVFDSFESAFKYFLANFPSK XP_844811.1 MIAILFGFTLGTAVGACNRAHYSSYGSSVYIAPLPSNSHNSLAF MDIARYRAGLFTTHQSVCGRVVIELFDSISPVSTTNFRGLCQGKHGTSGTGHKLCYSG TRLLPNECFIRGGDVTFGSAAGGWSIYGRSFREMAKGSSSSSRSSDVKGKGLVYAVGA NAQWGSEFLITVDGFTPGEDHILLGQVVEGYDVLQRLQQQSLLPHAKRFIHYRILKAG VLKEAETPNYGKGSAFLRF XP_844812.1 MARAGEAAKAANDAEEQATKAELRAVQARRRANHLVESISRRSH SILTSFKAVLIVFNQTEADEDSVTAVPYNCPERDVQNVSREVLETEVKRMVTHLEPHI PVPRQDIIHRLVHDVGALNDTAVLSEAALRDTMAAEAEAKAAATNTIVEAIRGFKDGI ESSPPDDAEGEGDERRMATLAIVCLLLFLSG XP_844813.1 MIRCSLVAVTFAGLLLRAVEANGETKLNKEGALALCKLTDLAKT IEKRRADKIREKTQGFADDIQLWLDRLEHWLQTLENRAHSNDGYSKLSDADTKKVKDI YEKAKDKLKNKLPTAKMYGEEAKKRCQAATEAAKKARGWELDYDGQNSSGLHQLLEWY CGKKGDNAQTTSCEGITFKTHYSGTGRNTIDCEATGHKTTLYDDISSGTMKQALEEWE NKKPKGQQPVTNNWKADYDSATNKLKELEESHEQGKKTHDDVSGFYSGASAVHSGLSA GKPLSEVLVEAKEASRKGAKFTNPGGAAPETTHRGVGTSTGEGGATETTGGTSTTIST GTGTGTTSGTEPEVVGADADFGDLLETSDRSALSSKIKESKVILMAVLIPVAILAIIT AVVLVFVRRRRGNAEDVIDEKGEAVSSPDKKGGATSPCYRKE XP_844814.1 MFRYHESIREIRIDECELLRNVDVREADNLLKLIIEKCKALEDV YVGGCVKMEVIEIRECIGLQKVRGLKHVKELRELNLSGCRNLREITGIHRYEDTRLEK LNVKCCEKLEDLRFLRYCRVLRSIVISDSVVTQERLKLFNYRVEVISETEYYSKRSGD NDTSHSTHNDQVVR XP_844815.1 MIRYSLVAITFAGLLLRVVVANSNAKLTKDGALALCKLTDVADL VATKKADKIRKDTEGFADELKLWLDRLEHWLQTLETRAHSNNGYSKLSDADTKKVKEI YEKAKGKVSEQLPKAKEFGEEAGKRHQEVTEAAKRARGWGLDDEGQNSSGLHQLLEWY CGTKGDNANNQKCDGVKVKEHYLGRERNPIDCKGTGSTVPFYLDVTSGTMKEALENWE RKKPKSDGEPVNNNWKADYDSATNKLKELEESHEKGKKTVNDVSGFYNAAYALHSGLS AGKPLSEVLVEAKEASRKGAKFTNPGGAAPETTHRGVGTSTGEGGATETTGGTSTTIS TGTGTGTTSGTEPEVVGADADFGDLLETSDRSALSSKIKESKVILMAVLIPVAILAII TAVVLVFVRRRRGNAEDVIDEKGEAVSSPDKKGGATSPCYRKE XP_844816.1 MFRYHESIREIRIDECELLRNVDVREADNLLKLIIEKCKALEDV YVGGCVKMEVIEIRECIGLQKVRGLKHVKELRELNLSGCRNLREITGIHRYEDTRLEK LNVKCCEKLEDLRFLRYCRVLRSIVISDSVVTQERLKLFNYRVEVISETEYYSKRSGD NDTSHSTHNEQVVR XP_844817.1 MIRYSLVAVTFAGLLLRVVVANSNAKLNRDGALALCKLTDLAKT VGDKKADKIGRDTEGFAHGLKLWLDSLDSWLQTLQDPAHSNDGYSKLSDADTKKVKDI YEKAKDKLKEKLPEAKKWGDEAKKHCQAVTEAAKRARGWGLDDEGQNSSGLHLVLEWY CGKRGENAQSTSCEGITFKTHYSGTGRNTIDCEATGYTALFYLHVSAGTMKQALEDWE KKKPKKISADYEKWKSDYDSAVKKMEELEESHEQGKKTHDDVSGFYNAAYAVHSGLSA GKPLSEVLVEAKEASRKGAKFTNPGGAAPETTQRGIGTSTGESGATETTGGTSTTIST GTGTGTTSGTEPEVVGADADFGDLLETSDRSALSSKIKESKVILMAVLIPVAILAIIT AVVLVFVRRRRGNAEDVIDEKGEAVSSPDKKGGATSPCYRKE XP_844818.1 MNIVAKRAREETEVPYSYFQETLKIAKFRGLKNLVILSREFTAT KSLDIKQCDEFKTFKGVEQLYGLQKITVTDCRTVSELEPLKHCKALESVKLKHCQGIG RLKGLGQCKALKSFLVSNCGSIKSFSMFRYHESIREIRIDECELLRNVDVREADNLLK LSIEKCKALEDVYVGGCVKMEVIDIRECIGLQKVRGLKHVKELRELNLSGCRNLREIT GIHRYEDTRLEKLNVKCCEKLEDLRFLRYCRVLKSIVISDSVVTQERLKLFNYRVEVI SETEYYSKRSGDNDTSHSTHNEQVVR XP_844819.1 MNDRTSLLGNKWRKRGGEKSVHVKGSANGVGVGLRCEGKDNSRV PRLWVPLFMFSLLMLLPMPLRASVHSGDNVTVTVLCLMRGLRAPSPIGDAIISGFNSS LAARNWTAARNVHVVPVSWGSYGNQSIDALDKKLSNKSELLVLLGPLSDRDVLAVTPL LEKHNVIAFAPVTGSSGLRKWTPHLYFLGADPVAELIALIYYALSQLRPLRLGLMHLH NTSYGEVQYELTMRLMSRMGRDLCGVFALESSDYGSASGEVFNAMWSRFASLHPQGVL VLGPPNTDTFKFLLAVPSDERTKDMYILAPSALQPAISIIAEELRRSGKVAFASGQVI ITGTNPLATDVKHHAVRRFQKEMRSYLKAQKNESSPGGEDHFLKHATTGKLAVLGWVA GEALLQALSNREWLTSREEFKKSLYNQRRYVIDDLVIGDYGGECTGSAAAQGATCRCN QGGHVIYMNRLEENGNLSPLADGLITRDVSGCYSDSGGLHAPLIGLFILTLDDPIALR AATIMRNAASVSVSRGHHEQSNRVFMHTLPALSDGLTGSLQQELNTRTVTAVFGVVHP TIMRTPGLAFIDPVPLTPQLNRRMKNVIHLSPTLEQQLFVLAAYISSSSGSGVRAVIR GEDSNAIGDVLTRTLATFGVTPHSLVTARVNETIEGALPVYGNVFIIGLTASDVGSVV AHMERNPDVHVLVPFFDVVLLYDEVLKAFNGSSSANRLLIATNLPHWAEVHSSSEIVQ GFHAALPDPAQWTPLALVGFAAARVVRTIIPRIEKVSGETVINLFYNNIGVSAGDMYY GPFNHGECVNDDVDGLGDGGCAVNYGATRISVWSMARVLNASVPALALPVTPSMQYHD PNAAGSTGSTLIGIVVGSLFTVFLFVAIVVCQLYFAQRSARDNGNAPKELSDPVTLVF TDIESSTAQWSAYPELMPDAVDLHHRLIRSLIVRYNCYEVKTVGDSFMIACKDPFAAV QLAHDLQRTFLHHNWGTTAFDELYRDMERRRAEEEEGYTPPSAHLDPNVYDQLWSGLR VRVGIHTGLCEIRNDEVTKGYDYYGHTSNMAARTEGVADGGQVLMTRATYMSLSAEER EQIDVTALAPVELRGVNAPVEIWQLNAVPGRIFAALDIDKDTCVFGDSSEGSISSGER GLSGNAITRSAQTIVDSLNALLGTFTAAQRRKALMPFCERWRVALPPEAGFVWSDDYC RQVIHIVAAKVGKVIDHTVVSQSTGRSTSIATGQLSDTDGFTVTL XP_844820.1 MPGTDESAPYVQKIDDAQKRALVPAVKSYNNEAFLRSTQGRLIR ILCEFEEPAARLRKNHIRSTVLLFGSARSMTKEEHKAAMESRVTALKSATDPQEKTRI ETEMKRLRSIEWMCEWMERVTELSKRIAEFSLKEKELINASFSRLPDYFRPSLSAVNK EADVDAEADLFHDLVVTTGGGPGFMEAANKGASSVPGALTMGMGISLPFEAGLNRYVT AGLAFTFHYFFTRKFWMMYSCRAIVIAPGGFGTMDEVFELLTLRQTRKIPEFPIVLFC TKFWKTVVNWEALAEFGTISKEEVDSLCFTDSVDEAVAFIRNFFVKNGAS XP_844821.1 MVRLALSFAASLTAGVFYAARPSNGIAVDCATKQPSSFSPDKFS AFKLISAQYESPDTRRLCFGLETAETPFHMPPGACIIARIKDNSGSEITYPFVPITPN NTKGRFEILVKKRLKDKMSGELFQLRPGEELHVKGPFVKILYKPNMWKSVGMLASGTG IAPMYRMLREILDNPKDNTHVSLVYANEKRGDILLANELSNLQATFNNFNMYLALQEV PHRWLGGIGEINEEMIRAFMPKPGERYTKILVAGPPELIKEIAGEKIFNEGMAPEQGP LKGLLKDMGYAESQVFKY XP_844822.1 MQMDEANEAHVNECCVKSYLGSFHVYVFALRFFFILLLLLNSLF FLFVYYITIIIIIIFFSYVVVIIVLVRTFDSIQRSWKREKKQGRKIINDHNKKELALQ VWRMGLLSIRFNDMLLFRLTGNVLCRFYKGKQKSDDVEKESLKKKRNCLILCLFPSAV XP_844823.1 MNCSAPGLYSLVTNEVWKPSVFRPPTLQKRSRPVAKTTLTPMAQ PWYPSNANGGCDSTSSGPQSCQKDGPRLLPPPALGQSPTFGSDIEKEFPTVLCSSSVV APTATCATRRASAFTKAAMEARTYPLDMFMSVSKDAAHTPYGVLCWAVKQYVTCSYLK VPTHLQLSLRDFGGRPAEVDARGLMGTCLKVKSRAKPVRLTHHKVLSILSRLTEAKYD TLLSELKLLPLRQVEDDELSEICKIVFEKAVSEPSYTSLYARLAQDVCKEKKEERDSS VWNEGFQRRFRRTLVKLCEVQFHQPLQLSGDDVVDRTTGTPLDEEEVEMKRSRLKHRL VGNIRFVAELFKVGVLSGRVVTEIVQILVSDYNPDAPTAKEEHVFELFTTLLRLTAAQ LKDCEVNLLIRSLGIAKTIELSHPKPRVRFLMMDLGDLNRKNGWVEQEQIQSHVKEPK QLKKVPGPAPAAPASPTTSTPTAKRAEKLGSRLRDMSSRCCADAEVSLRKENGNGTQT PGSTSYGNKVADGCADGGNNKNNNGGVSNAVKTNSNGKHTSRTGIENRSGSDETAKVK PSNGAAVPSSAKQSTNASRRVSHNPYCKLSPANILDLKNGDAKAASGNSNIPYTESPL SPAASSTDLSLSSSSSGHFHNNQGNGGTAMHSGLCSPFLERDKMVNERKMNIEKITMQ LMALFRTGDEETTATILHTMGLKNMVMCLTWWLRLATTASGNSDNAQCYGDYSKVAAL LSALLSIRNDAYATSTVFSTVLEWLRFDMEKHEYESFPGMFEKIAQMIRQCHLPITDS LPNVEVVREIMHGGMFNVLLRELIINGGITAPVSDLVKSCHPASAEIICHLHDPADDS QMLFVAAQNRFHFLSYLLCVSSMTRIDAQSGFHLRTPLLPPRCNNSQAEWTPLVECLA LPSVASDPESLLFVKMWDHATNGDAASLWRDEAIRLALETPAGQNSTTSSLISVMRVV GVLLMGLVTKCMTVGACGTNNGSSGGNASGAHALAETADVHYVVSGILKQHPAPLYQA ATVVELVMHHTRALSGTSECPTFDNARLKALKNEFDDWCEAGLVDRPAVLELLRVVDA TKDNFNLYPAYHNCVADVPWHVAIRSLGGN XP_844824.1 MSDAGCVTSRSSSDNYGGGGSSDSGIQSPINSSGDVFDIRDVES PISDQDDDAIELQRGSTTSRVFMQALTNRLLLTQMEGDGTETLDFTACDSSSGDDFVS VMNTTTATTVSVAPSLSLSSLARAEQLLQKSVTLVNGGQHITLTKEDLERLRDSRLLH FLEANSATFVKHLHGQGQGRNMPSGKAAGCEENAEVKTEAEKVGGDADPFVNVMDDDG VEYRIYTDKDGKLLVPVNAEDEGGDEDVEEEEEEEEEEEGGEESAAIQGRTPVESVRA ASATEKSASSRSRGGRIVRFKKAAKPFVRGIGLTAEEDRRVMELLKTDFTNEPSPYDM DTERAEALEERLRWFREVRGPLNYQKGSAEFDGDDTEDDIPFGRPSTGDTTSTVSVKA IGNSYMREARDQRVLQVRLKSINTELSALQRLQRLEALCPTAGVEHLVGIPRPEWSKE VPPPLGDDIIRQLLEEAQCENRKAQAKGEKRPLQNQDEGLSYLQEKLRLAASRVEALF PQSPTSA XP_844825.1 MRQCVVRRYKMPKNMGVAPRFDTWNEKYEPWEHMKRMGRLVGTG FYIPPEWYNHFRMFPPINHNFQQEKTLNPHNASEPTQDDTSTLSPERVALRDELARKS RLVASEGMRYYNIFWVRKPLDTMEKEYYELKRRGVDHGEAIRKVLQGFYSGLAVKKRV AAIQAEEAKLTGRFITMREATVVLGVLAKLHKEQLTPHQVSLLAKEQGETTQSGAKLT AIVSRTQPHVNKEASSPATSEAVGSNTEEESLSADALASMLSEDGEQSAVGTRYQVEV KETANDSVRQLREKAEDQTGFPDWYTGESPTYSGTS XP_844826.1 MMRRVTSSLPSALKLGRSLGPNVRFSGGAAAVEASPAIPPNSSS GKTLVRNMKPRELMQELDNYIIGQTEAKKAVAVALRNRWRRHQVDAAIREEISPKNIL MIGPTGVGKTEIARRLAKLVDAPFIKVEATKFTEVGFHGRDVESIIEDLYKASLTQTK QNIMRRHEETARQKAENRILKALAGVSDGFREHLRSGALDDIEVIVELQEKKEKPKNS GTNEGVFISLEIPSSIGGQRPQTVKKVMKIKDAIPAVLQEELDKIVDTEDVSAEALRA CEEDGIVVIDEIDKIVTASGGYKGHQASAEGVQQDLLPLVEGTTVSTKGNVQIKTDKI LFICSGAFHSVKPSDMLAELQGRLPIRVELKPLTKEDFHRIITEPRYNLIKQHVMMMK TEGVDLVFTDDALWEIASIAAHINSTVQNIGARRLITITEKVVEEVSFDGPDRKGETF VIDAAYVRNSVESMMKKVDIKKFIL XP_844827.1 MQSCAVIGCGAAGMAASMALRRSGLLVTCFELAPDPGGVWNSDT RSSFSTRGLISPLHPTLRCVLPKDLLAFSDMRFDYTVPQFPHHSSVRRYLDRYAASKG VGGLVRFNTKVQSVRYDATSAVWHIITVNVVNGDVFEWAFDKVCVCTGQAHEPRYPEG LRELLVPYVESGGELHHAFHVKDFRQFKNKRVVVVGDDVTAWDYCWDLKRCGADVYHS GCSFPDEGHFSDSCDESGLIKGSYGTNMRDIVSAAVQLLSRTPGWRKDVARGNKVVSN WLRFRNGAIWGRLSNLGQPIGCEGKGILFSNECLGKVEVLKEMRACSMAGKASGYSDL PQSVFMDNVDAVICATGYHLRYPFLHEDIREVLEKPTCFLPQKGNKCADDTSANATKA VDHRSLYLGTLFAPNPSIGFVGMQKELLPPFLLFEAQSKFVAYAFTHRLNLPNDAAGL LARQEELMRRYPLLANLYAPYGLGLYSALYFNVLQEELQVGARDTYTSAIMERQKWIL LTGLLRLVHKARSLAPLKRKQQHILFSNTV XP_844828.1 MSKKPNPHSAAGAESEEARVESFGRKVFEIYTETVKPSIFDLQL RHYADYLHHFGFSIERETKHLREAPRIGGRRAPARSVELLPGAQWGIGKEEREEADAW AREVGPLEGVTRPHEIVTRLIEPFKRVTWRQSASQCALKKARTLYCWLCNNINPELPQ QQGDEAAASVPAPAGAGKKEEGNSVASSRSHKKKSSAASHTVVSLWSMDLFEEVLAQR RATCIGMAQIYQKFLQLAGIKGEVVEGFLRRRPPGKSIEWAWNLVQIPVDDDPPLSYL VDVMLSAHSGQCLSVNRPGDAAEDVPKGKPNQQGESTGRKGRAAPAQQATPQRSILEG PLLAPFCSQVKRMEDFYFNTHPEKFFSTHFPKRARHSLLQTPKRKTVWEGEPLMTHDF FRFPLALDPSGRRCSSITRSTPFYIKLFNEDPEHFELCCVLFRGTLMELPDNCSSATA LGPRWVWHQREESSMSETFTLMVPESGYYCMVIGARAIRKDPFSDLISEEPFVPVVAY QALVTFVANPTPQIPCQYFSPSICKLLEPLRYQVKEGLTRFIVMPSCANVVAVAVVLL YPEEGRRELLSFLNFSPKDVAYTGDVTLPGYHNAEVWILYAAPDHDYVNTTGLPSLSA RYKPKTVSSLSLVNTVGPSQGETAPCVSKGKMLFLPFVTNIEVKKLLPESKKVNFILP EPNLNDEQQITFRRLIGVTPELYKEAAAIADKDITIVGSHFTGREGDIEFPNV XP_844829.1 MALPTSHVVQTPKRQEYLASCLSGCVAGVCSTCVINPLDTVRVR LSVSRSATGKAHRSLLYTVRDLFEGGIVHAFSRGLSANLMASLPSNGIYLPTYRCIKD QLSSAGVNQNVQPAIAACGAVCVTNTILGPIFLVRTRVQVNEKLTVRQTFRDVLKHEG FSGFYRGTMTNIVGRFVEEGLFWSIYELLKRLSNEASFKGSSNFFLTSVAVASLSAVA KIAATTVSYPYNVVMNHMRSVSYVTGKPEYERIMPTIRHIYYQDGIPGFYKGLAPQLL RSTLSKAVQIYSFELAMFIYFSTVQRPVVSCAPA XP_844830.1 MPPTPLRRRATSAEPRRGSLRCGNTAATSSRRIGVRSGKSSQGN DLTAPSVATEVMLEDTPSFFQGITGVRSVPMSHQLSGDQDYAQLMPGETISTGGNSHK RSGQQTQDKVMWIELGLCKALVRAISHIGYISPTPVQAQAIPAILSGTDVCARAVTGS GKTAAFLLPLLHLLLTRAPMKQTRMNSKRRYIRAIVLVPTRELGMQCQQVLQQFLAFT TGLQVSLAIGGVSPSAQLAALEACPDILVATPGRLVDLIHNHKGAQSAVDITGVEVVV LDECDKMLTVVLRDQVVDILKRVPEETRQVLMFSATMTTEVDEFAKEHLFKPKNVDIG HVALQAKLRQQFVRVRLHADTSLQPTEENRGDVAPSAEGCQKKTRSKRSHDKPQSEGR QNHSEESESEAEHMTKVKSRYLVALCTGYFREKTLIFTRYRTTAHRLRLLFNVIGFPS VELQGNQLQEERFASLEKFASGEVNYLFSTDVASRGLDIKDVSTVINFDLPPTLTAYI HRVGRTARIGGSGTAVSLVDESRDSDIMRKILAVSGVVSNHQAATVRRRDVPEELLQE AIKKIDAAFPQVRAELAAEELHTKIERAERRYGREAGEKILTESAAVRPRRVWCLSHT EQKKREEEARRVYETEAEVTVNQFQQELANWDREENKFLKKQRNERRAQRETKARANE RAKNAARELQRKSQNKLQAGIVKKLKKKKIRDARKTRRAESREKNGKPAYKHRGGVKS MKKSRHKRRMSRH XP_844831.1 MAKKNKHVEVKPSKYRTTLCEHYQRDGQCPYGDRCAFAHGEHQL HTEEQNMKLLRETGLRRLDGVALPVKTPTRGMPSDSPSLVLTPTMRRQPTFISLPSQL TAEKDATQGGEGSEGGSKQVYNSITESSDSDKEPPHPKCRSEFSASCSTENPMRYRHN PYGLYVF XP_844832.1 MARNRKHDIVKASKYKTSLCTYFMENGECQFGDRCAFAHGEDEL RHEEEGPKSRDSRERGDTNVESAQGGSNAEQRSVLPCASFESGMESTANETTAGASGR VTYCSWHEAELLSPKGGSSVGSPHHLSNSEATVPFTEDTELKGVKPPPKITKGKLSRQ NRANMSVFTNKARGVEAKSTIQPTSVHYPTSGNNVYLSVVNLADTSGQLVQLAPGAFL FPFPGAPPPYVGPFIPSVGIPSEAAFGDGVAWISNGHMVNSFVTTRTTEVENANCATD LAFRAFDTVGNIPVNSGSSGNRLTPQSAGEVLPSLDPSTHLGGVYETSMKTSLPHQPT TWSSASCTEGIGSSTLRKDNDASSYDVGEFGNPKVKEPPSTKSKEISDFRSLLDSRLI SESLADKNAGTDPRDEKNGSIYRQCTAAGLTQLYEIRADRGSHRPVASEQSDGGVGAG GSVEEHNRNVDFTSILRGCDVNIFAHAALGGSEIQRDQAEVSSGKEWTSRAVERGEDF SAAGGSGARKVGEATSQVVCPSEQHRAIPPAQRPRPVVANYFQSSCAESDKCVPVYPL XP_844833.1 MVIKKDVPFKYTLHQGLCERDPVLSTPLIITAGVDGNELQYRLD MQVPSHSAIEGMEVTNVEFLFTSSKCNAGKSNQHLSKCSMLVYLYMQLLDGVGRPTSP PFPISCCNTHTGKGIFSCVKLPLQVNQQCRFYLVRRSCTDSASQSAMELKGVRFTSKL YLLYRSPLNSAKVRSQMDVLTGGDLKFTADTEVMTSTGAKRSREELERFGSENSDRKG KKAKRERETATTMDRASDDEPPNLIYAFVSGGDNES XP_844834.1 MSEPLMARCASLLDILVPRSVECLSNAKVQNESCCAIQYDRILR VPVLIMKGDISNARVQIPRDGKSLPVVPLPCTLVALQAYIGSFDTFALEVSVSTKTSL RVKLIIGTHFNKTSLEDTGSSLILFRMPLIIPRNRWVQIVFHISGIVTHLLELPPIKF IDAISLSGTCKASRLMASNSEEVVVNATPSDMTLFAVPAYAPPIWQTVAASPPPNGTC SDNNKKISGRSPQKVAKDRLPVVVKSPLRRDDDTANEGENDSPKHNVVRTAATSASNG TPSPPYKQIGREVSAIESTSSANSFDVPPEGRAPSLPGDFTDARRVKQMPKQQVGQPA ARCGSAAYIRLVSGANFPPRSSSNPTVKPRPLHLGAKEQSPSAVTTPPPPPVETYPGV CDLLFDASYGITGWGETACRASTAASASPPRAGSDVKCRSAPTAAVPTNRISGGAVSE CKTNGLAEQVSPKAKRLKRVIEIRRRSFVPPTRRRKPCGGDGQNGEGNETGAAAGGTS RRSAVSVRRLYMRKRIRKLKEAQNSARRMQEMKRLPASELPIDPQDEALMMVGEETMN VTREPRCGYGFGYLGVLHESGGFEADENADTELKGALTLELSELSDGEENEE XP_844835.1 MVLPSRRSLNRSSRKGFKKHRPDIIVIDLKDHVLGRAAAIVAKQ LLLGKKITVVRCEKLTIAGSEIRNKIKYLQFLRKRKLSNPKLGPFHHRSPSDVFIRTV RSMLPRYTKRGQRALRQLVAYEGVPVNVVRTGGRVVIPKAQRHNCYRNERRFTVLGNM CKHVGWKYSDVVEKLEAARIEKSGRHHKKMEKVRVAWKNARKEALKKMPQKNVEVLKK FGLA XP_844836.1 MENNDTTPSNANCTRGDGGVERAMTWEEYRKKYVEEQRRRFRLH QQGINRNDGEGGAISETNASAQASSLPLQQPTPQPNAPEQQQQQREEEEEGAGQPVPQ LVRAALRRGLTHFLNEETVIQGLRVVGRAALASVLVFRSFSVYNVFVMLGIYMGWCLL KFMFSSVRVEGSSERKRNQGRESVQPEGINPEGTEPQAAQAVQRVTPVRKLLYVITRC ATSFVLSISPTYSVEQLEAELVADGIVDPHLHVD XP_844837.1 MSLITSPGDAGFGVLLLSFVCASTVLIFLKLAIRRRQHLKEAAP PTIFIPRGYTVEELSEYDGVKSPLAFVGVRGIVYNGATSFYGNNAPYNAFAGRDSSRH FAKMDVGRQEANMDWTTLSPSHMKTLIEWEALLRSKYEVVGWIVPSDSFFKKDENLA XP_844838.1 MRPNSMLPNIPRDVSPHVVANNKTSPRNTPHHPALAGVGGLPST LVVTSGEELTEESKTYTPIITALRERVANLEEALLTASRCLELAKSVDENNDSSTRNA GVHQQYFCVDEEPSQNGGNELISTRDVNLTATWPQKLPSWSHGEKFQKYRKDITSWFG CNEKLVVDSSPATEMDGSEEVVVVPCGGNGGASTSWKVPTSSNLHVEPVIVIDVTGFV MAKREAEASASAGRTGNEELKDDLTVIIRELNEENERLREETAEVVVKLSEENKKLKE ETSEVVVKLSEENERLREETAEVIYKLSEENKKLKEESSELARRVEERVKQRQDESAQ VPWDLTDEEGTILGRPPAMWPPLSAAIQEDQCREAQLATLQNNLKNAESKLSVLQQER DAALSASSDAEKSLASLRKDNAALRAEGVRLRSEMEKAKDLISQLETGLSSWSSKERE LQRLRILMRFSELKKDSDRLPDVLGQVQQLEEERGRWLEEKRRFRDMIERYQRRLEEL ECECNVLKEGKAVYVEAEKNAGSSPVGPSPGVSSSCDSMSGGRAPAERTSDSGQPPSE GSSSSVSTVQILEVKPQHRPQRPATAMVGEKRLSGSAALNLRRLQSVTERPRSSRPVL SINKIYTAAANASTNRSPRLRKLLNDVTSSRKKRRSTTY XP_844839.1 MSGSATTALAPHEYREQSEAGANLSDCVFQSTASVEVESASGAI VTHRLVDEESGMRADANSRCANGTEMPEVAHVSDESRVDAAEGVAPQVSMKLDQRADQ NCTDAAERSGGAVLTQPSGGGCGTGKNANDPAMVSCVAAKDMLEVKMGKKTMGRADPV HSAGLSAIMRPRLMTVARRGQAVASSLSTESLAVRAASSTKDGHGERVATHVNATTSD VVGAASDIQSNSVPSAEPRLSGRHNDDESKQSSTGMHVANHNNHDHRRQDAFAPQVRS SGVVTTVSTAITNAVPVPANVTFTTWASSGRNPRPIVALSVHLSELYRRIDELCCQQR RMAEPEPKYNDGFDDKEGHYLVLYGEEILNRYTVRELLGKGSFGTVVRCFDAKRQKNV ALKITRRGLSFRAQAELEFDILSGLNGNPRLNNLVVKLFKAFNWRGHLVLVFELLTYN LYQLIKHTGYRGVGPRVVRKFAFQLVQALRELEEAKPSPIIHCDIKPENILLKYPNRS SIRLIDFGSATYTNNVIHRYIQSRYYRSPEVILYLEYGTAIDRWSLGCVLVELYTGLP LFDGKTEAAQLARFEALLGPVPVDMLESSPKLNGFYEKVGRNYKLKEPLPPHRSLKAV LGLMDGATPQEARRIPLEDEVEDALQLYDFVSRLLRYRASERMSCEEALRHPFLEPMK R XP_844840.1 MPPKNRNRAATADSAAIASSGAKLINNPKSNSSGVKGKNNNKSA PVAAKARRQSEADDFERVLADLNRATAAVGKEREKQVKREKPRKERHEDVRALAQKPE GIDEEFSMMLRKKQQQKQFQQLIQQLLAARSPFLDAPEKEVRCEVATENPNFDVAVGE MQGWRVSMEDKHAIDVTFPSGAKDSKEGFFCVFDGHSGDGCAKKCSELIPKVSRAHMV EHTDGFMEIDFEAAYMEVDTLLEKELTDQSGCTAVTVHITPTRITCASVGDSRAVLCR NGIAVALSEDHKPDREAERARIEEAGGHVAENRVNGQLAMSRAMGDFTYKTQKERGPR QQLVIAVPDVVMVNREADDGFVVLACDGIFDVMSNDELIKAVLIRKAENKPNSVICEE ICHECLAPPAEEGKYAPRPEGTDNMTIMIVDLK XP_844841.1 MLTSTAYGMELQARAIAPFYHSSNNSSSTHRFVAGTACFAGGNV IRLLTFQEESQLLECTATWSHEEEIFGLWSSPSVAGPSLLAVGASSYCRIFRVSDDVS GELEPVVNFNAAAAQVLWDLEGLQNEVKLISEDSLRIYSLTEGKMGEEIGRFRVDGCA ELRCAALDPHHSSVCLAAGEGIGIAVIDTRRKGPIVMPNTTTLHGLGMIQSIDFSNAR EGLFMTAGTDGVIMWHDFRMDGEKSVVERRGYLDAHDHCVQRSLFNPFHDELLISCGS DHTLKLWDTSKKGADGECAVCVSKLSDFAESVVACCWSNSNPWVFAGLSYNGRVLVDS VPEECKMQFIGKEG XP_844842.1 MPPKLRQHFHQKAAAAAAAAEVESGIAGVEETLRRLGLVGGADK AGRNSTRAKDSEEYLASIRKAKEEAARLLRERECRQYAVEEQKREDEVTLSGNDREKA LQSVIEEARFILEEEKRKAMESVTRQLGKSDDRVIRAKELRAALAVRDREVMLMEGVH SPAVCGESKDSMVVPDVKERRRALKAAAAREYCNIIANGIVELAIRVFDNMYTPIVYN NIPLRRFPQDLGVTKWRAWVEELIFSKTGAKPLSDLLATTSLRELRNTNYRAVKNLVG AAESANDVSQSVEFDCRETVDGRDATETFDGNAFDAETVMRKVTELQCRHRSGLIAKS VQEATLLLRCEEEKELEAQLDDIRRTHEGDAAEETVPGWTHKLPPVGCFLFGDELSGL KQMAEALYSDVPLEATVLSGKQRTSNSQLADVTRNTFDWDTTSGDCMAHRVILASQLI RGHQMHSGQGGSGSASTSGAKVYKGAGASSTGTSRLKDVKQAEDFVESKQYIDALCEA LVKELVAVYQYNIMLVLRPSADASEGVTEAPPMMRLLFLVGFPDTEVFLRTLHQRLHL ALEVLEHEVTRMLREEECGDECGSVTLLASKHPMRQTLSTTASSTRKKGSRLCLSPST RRCGNSRSSVIEAEETPPPRLRVFPPLCLVGVFLQYDIPSRYRRMRHARNRSTLTMSE ILSQTPSPLLSQPFSGPTPPQHGEEDTGDSFAEWPVWRLRMELIQQDRKMRQSWKTWC ARVSKAGLSHISEGERTPHVEKVKRRGRSSVTHGKSNENVSSNISQTPIPPGLAVPKV LFFERRENLPENTEHGDLVLYLILNLKYELRPIANVLANKSLIPGQLASPLKLSDYRL PLTVLAQLVEVHDRFHARWNDLMALTPQVVQDSVLTGSSTRVQSRCATDEGNDGEGPP RWETAIALEAEMHRVFHVILSDLLDFLTDEVFPPSLWGGRTDSIPPVTPTNVSSGDRL SRTLHFMTLDDNYGVSREALYGLMSEGLTKLDTVSALLLRQVLEVALADVGEALSGLC AWAHSHMLSAPRIPAEKGNTTPSPGSPTFSPVRNHSLPSNFSTYDFVKRDFLRAAPRI EFDEILHAVQQLDGRAEAVENFQYFMWAYSSRVHETALSCLLDSIDGISKRLSVEVSN SWDVTAPRVIRQLLSELFAVPPLEGNDGFEGGAAEERRCDTVYTPTQNNVLPVDSPSP PSPPAISVSVGRLVAAIALLRRCCMTSAMCAARWVDDMYAASLRIPPNGRFPSACRPS DVAGIFLPPVAAQEEIASRSSLAVQLMEQLVPTFSIDSVKDRNCWEETGVELVLQNFS MYQKGTVNEDEFTHCVLQAQLNCIWKLLPEGLHTIARDIGFTLPFAPKDCSGESAHIK GVSDSMFSWMRLRHCKGVAETPVLTGEDCARIFRNAVRCQKYVLLQMEYSSRARRTTL SSTVTQSGMMARWCKRPVLHLQQFLVDLLFRQPYPSGASSADYTKECSLVNEPSTKEL REMVQSFPPELREQGPDQVDGTASITMEAWHRIKWWHFSTNASKASWEDFLKCYLFRV LTVSFELKNGVLCSRLLPRLPDLLSAIARTRGAQATVERYYHALVALDAARTKKLRHS QLVETPLLAEAGQNHAYTLASIKGSLLALSPLSVFDLTLSVKEALYFFRPAFDSCAPG WSAATQGNAESTSPYRSVRGRYSLGGEGNSKISEDEESSGEYTISLEEDLILLLEIEG RTALSLPLLSSSHWGRHVLPRLTVI XP_844843.1 MESYKDVILSQPPAMYQRLPQPSNVAVENYKGILLCACPVNIPN GASMELRGNNATAPTGPVFVPAGGSNTPLGLGPSAEERATMERNHRQRVENLKSQRAN VCAVVSQHKRWLRSFAKQMRQMKEEEVVREVERARRVDQMRRKWAQKASEATAQEQQE RGAALDADRGGQGGQQQQQQQQRLSEGAMGNVPSAPEAKEKKKVGKKKKKPKWALTED EALEDEIAEADDLLEFAKNLDYDKFISDYEVAGALAIMRDRVEELTRENNWTKESVER AAKENADDEDEHECDYEGEAEKKGAYDAEARGQRRKELQQQLSSTAVARKAAPAQVAA HDKEWSNSTSIAGALRRAIMRDALQLAERILASSESMQRIHTKFSLARILQYCAVCGE DPREAMQKPSIGGKKGLEKEPQIVKLHPDATGLETETSDGQGGQRRVLLDLQRSKERT QGLPYLYRCPAI XP_844844.1 MEGSGSNFFTGAAANSNAATQQRRVHPIRPVTIKQLLEAQRVGE GVTVIDGREVTQATVVGRVVGYENDSDNRFSGGALTAKHHGYRITDGTGVVVVRQWMD ADQQDDPLPLQCYVRAAGTVKMWQNSPIVTGTVRLVSDCNELNYHFLDVILTHLRLTQ GSRRPSSAAPAAVPNTASAVGVQNMFPGGDGKVFTTDVVINTIRQKARGEEGLSMDEI SAAALQYGFSGQDVRNAIRTLMEEGKIYQTHDCKFGI XP_844845.1 MMRRVYAPVFCSVAAARFAATSAAKKYDLFGYEVDTNTAPWIEK IKKCKYYDEAGEVLVNMNVSNCPPDIATYNATLQCIYQSPSKQSTPVDNESKFCAMMD LLEEMQHRNRLKPNEESWTWVMKECVKSGQFRLGYCIQQVMETECKGCPADLVKANEA NAQKAKTEGKEHPGHLSQQAGLFDVKVE XP_844846.1 MRGSLPLLFNPVLPPSTARLRLLTYPMALAQPHASVPLIQPTID GTHDGRNGATVSLRTQARMHGTADSTMATAGDSSQNNSVMDSPRWLRNPDELCVAALR RSRDVNKINSYVATYKFDDPQWAPLLLPEVTLRPQVNSTGDKPNGGNEAAADVVSVGP SVSATPESTPPPPPSSSSSSPYSCHADCVSISHNKMIMLECMSRHVNFSLRHIVQKGH GIYLIYHAQHSILQPKGLVEQSFVTCSFGIRGERLRTDIVHVGPIDAADVMELQPSEG HDHPRCCFNLYQKSDVRRGVIAVSQVEGYGTWFQRKPMLWQRSRRIGALQSQLGAFAY DLVDPHEVGKWRDCEVSLLAPHMRFFRNGLNGAEAVGIIASSQVAQQRRLYLGEFEAP AITALDAVQQLAHASALRCKLVTPVVDPNGVGGTGSGSLGDENMDKHIDMETLLPLSW ATRTPPPYVPLEADLPFKLQMSRPTVFAESHQQNQAYPTGGTVGSPFVRGAPMMMFEY NMHQGVDHYVYDDAPSARPMKWWSQKSNMPYSGYMYFARSGLVDRFTPSEDIPNPLEP TSKRKPLHAVVPPTKVVQERLRKYRRKQQEGHKQRRRASSGSGVSNEPDAVNRQESVS RGTCE XP_844847.1 MFGSRKASRRRSYRSRSTMGSRVDIDFCKFEEPPSPREGERRCL FVLDPLEHYVESKDRMVELLPGRVETVDGVNTYYINGCTTEEKFPGLDYTCYRVDLRD TYRSRCAVPPEATPEEKFISHRHRKLIPYNSRKPVVVYLPEDAQLHYRIWTGGQEVVA KKAEE XP_844848.1 MGSVHICIFFSCVCASVYEGGTQRKRMERKQKKTRSMYSFVVFF FKKRKDFTAVLTSFLFFPFLSFFLFCLLSFVPPTSQDVIKCIFTGEHHKKATEAWGTS AHINIHKHTHTHKKKEAMKGKAKEGSERSCIKFAGLLVSVACLISCGME XP_844849.1 MYAKGTRGLRNLDETLAALRQNARQEARLTREELRRYVIREDIR RQNAERRFQQQGELLNGARSGNVTSASVGCESDAALPGWYDGQFAPPDAGDMNSDAAI HHGDAGDIVEDVTERVSRAAHTLYPQLLNEIDACAVDLWPRQHDCVDGDEDEESVQVF SGDCVVEWSGNRSPLLLRCTRPSSTGYLPLVPSSTGKEVLPRGRSGNTSKVTETKPPV VCFDGDMCSRLYEYDGGADPLKSALLSAKRMCEGRGVVSGNSPSETKGPLAPQRPTKR CWDPRAANKPVLHSVGTAVAESSAKSIAKKIRQSVKQQPHGTGDKGSQDVSERIFVEP RSFVTEPKGEEVIYVQVAQPLMAHFCDGCGACAARDVTTGLPDSCTSCGETFGPVAPM RRYISSDDDGEGYSMNRTAARGRSGSSEVKADVGVGGLEAHGVPPDVSRAKSHRAATM GTEVQRPCSVGGSAVATQAGARNGPKPLPLTAKGLYFLYLWDQAVSRS XP_844850.1 MRSYVFGQLCWICRVTVELLMAMGTGAKWMFMLTRLVIFAFMSF SYFVPMVYWYFSSPNIIHRVAYRSAKRSRQRVPRIEPGRALGSKRTVGSTGFENCPKV EEPDDIDTLKSLVTPLLLSRDGRNSEATCSVECAVNDDPKQGTTSSILSDELRGSCGG SVAFSSVYLGGERNGNGTISERTKETRCDNPLEDVVSSDDDEDRQNLNNRARLDIYLP VSPDSSSNPTEGEGTPSAGVENKKSPIVICISGGAWIVGCYLWSGLVARLLATRGYAV FCPDYRNFPQTDMEGMVVDISDAIAWVVHNADRYNGDVSNITLVGQSAGAHLSLMSLL SQAHLHAEEASGGEPPSGAAYYVKRYNPRTSIRRYIGLSGIYNLQELVPHFDKRGLYS SVLYRIAGGEDKLANFSPTAYFGPKVLGSTEESLPENIFDFLPRYIYFLHGDADESAP LSESADIAFAMREKQRLLTRRRCGKSVGRCAPFFTCDSSSSIPCQHTHCSPSHMSSDE NGMTSISPCASFASGEPKHQSNGGIRGRGSSESSDKEHRASAVEIRWVKIPDASHTDP FVEEVLVGHQSSLVEFIVKQDDYLVPGHRWEIEAESSEPDEDECNGATVNTPGDSPAE YSDSVTPVMLPDAILPLRVPHESRPLLMRAASYVCPF XP_844851.1 MDNQGDADTQYVPGDRYPLELAATEDSQLVSTRNNELPRRILVV CPYRYTYRAPVKGRWVGLPLLTVFVKEFAYIPEASSACLAATFNAPQACLDPRVTIPA YVNELVNGALRLHGREAECRAAGRAYTEELSRILHSTTLALATRESFPASVGHEGALC VRETQRVQDGERGEALGEGSEVDLISLLNTFPPRLVLRQRDVILHDVQRHEAPMPFGE PLLILRYDHTTHGASGHRLLVLKKPCGIPVHPSGRCRKNTVTSILEDVFGGVDAHRYH AVPHDGDDGGSTLEPGVQSIAIRHKLHDFELIRVWVGEGYVSCSAWEELQAMIQQETS PVGGGNAKLKVYVVHRLDSGTSGVLLFGLDSTSARMTAELLSQKDDKDVAEISSSEGV AANSTAPTKELGCTKQYLARVHGRFDAAKIAEDQHNCSLVSYTHSSQRSACNSGSVGW LCIDRPIGCYSYHDSLYWCPGAPQINSWLEEREKNGMVESSERNHKESEETSERPSPI RGKRPKYKNTDELEAKHTRMKKLTIGLRGAHLTGEETDYDEGNIECQTSTGERQLRET LKRATTLVRRWSYDEDLDESVVECVLLTGRTHQVRVHLASVGHPIVDDHKYIHHLSRN TPFTGNYRKEVKSRSPPYPSTIPHVASNCSSVTTQGIFLHAWRYTLQYKNSETPETLE APLPAWAKNADLRSDGNH XP_844852.1 MSSVGIEWSALSLPVEGEVVFASFVSLICPLDGRREGDDVSKKC SAASVLCNECANAIVCVLKDREVAFFDLADESAGPREVRRIGATPPLLVSRFMRNADP SVATCATLLPKDVSFMCRVPPAAVERVSSSDPSAGPHDGGAGAAHSVRGTVYLCGAVG TSDGRVDVFSEEGYVFGFVASDGPVVAVSVIYSTSFSSGGCAGVKSWEQIQPSGVSLP AEGSDEERTLDRATANLGFVTTNADGVVSVWRQDGCGLKPAVFGSSALFSRVFCSRVV QPSSRDWLLSGTEVTRTPFIVHTSPGLTADLCARSAVTFEDVESRVRLPGNMLTRTTA IASDGDSALVARGRSVFHVTFSGSSCDLVCTADCNVDGLHLPGSGPQKEGGAALAAAC DVKGTVYVLCGQPLSVLGRYSATGGGPIRSLSICAVSRLVTIVGGDGTVDVVLVPTDA DSVPTATVHQALASLPR XP_844853.1 MFRRAIPLLSANIPRSVWDPAQHNPNWSDSYGHDITNRRAWPAR KWTVGLEPCTPREWLQFSHRNLAYAYNGALRACHSLPSMLLLYKEMKQRGVKVDVDTM NVLLTRAARHEHIQVDDVFLLFDELVALGARPDLAAAETLHTVLSHSASMPEEWREAR RLQLVELYNNLAMEEVERLAPHRADRLLKEQMKRFRGNLQQLGSGLRPTVYCRYLHTT HTAAVLLEEVHNFLWELVPNDHPAMEIPALQLRVPFVASVLRRPSVNPGVSLASVSRA EFGDTDVCAVFLAAAERMVDADFDDQRPVSERRLFLSLLTMISYSGVLYTSDLMAQLM EMVKYSNNDETRDSDAQRVLRYALRGSSAAQDSASRTLWHSVEKVADCRVVGRYIGAR NPWNPIRVCFDEQGVFKAYPISTTTTTREVSPPEGSSAVTQEQRASCVEGRTLEALNM RWDDVRRLIECTGVLVTPPSERCPQQQKMEVFTGMAVYLRTVATGRRYEGGEDVLSDG AVATSSCEQRRRGTLFAEGYDFDVWVRLFSLVQEVRHDMEKFMADHTLQCVEPEFECW EALLVTLRCALDFCVVQMQGGGARGTEREVVERLFRDVVALREELIEESRTRFGGRMR VLWLQEA XP_844854.1 MVNLHELGGQQGCGGPQFTPVGVSLTPLLQYFSLLVHVVGKLPG FAAGFVTVWLVYIMFIGRDVLLNTCVPVAGFHITALLLLRELLTPWQEGIAGAAEVRR RVAAQRSFVRDVQLQWGAKLD XP_844855.1 MMCTATVMRTPSAVLCLLLAATLTLSTPVAVRGEVLKDATPTVV TVWYNATSGGFYATDGLASGKYAAIVNVSNTFNKTGWDVVTAVANDGFMHLPGEAEPS AERVLLAYKAVGFGEGYATYESMKASINNTFEGPEGLSALLSDAPQAQHWIEEHVRYM DAAKFESSAFYTQLRNMLALIDGMVAGYNARAPADERLDRMKLLMYNMQAEIGDIVRA TSPAEVLDDMRQMMPRWFVDTHCSAFVKVVKDDIYFGHATWSSFNTMLRQYKTYAFGG RFVTMSSYPGLAHSVDDWYMTHKRLAVMETTNVIHNATLLRNHVGSSSVATFLRAMIA NFIAVDAPSWVSNFSRESSGAYNNQWMVLNMGAVESEAMFKNMAPNTFWVLEQLPGTA PPLGITSKDMTSVLNTTGYWASYNRPYFPNVYNLSGTLKMQEEYGDFYSYKNYSRARI FERDQGSVVDIESMKRLMRYNNYTKDPFSLIPNCTGAVGMDDDGNVTNVCKPPYSAML SIAARGDLNPPGNATEYGPLVRSVGHVNSGAIDAKIATWTGMVKNPESYTAHVVCGPT TDNQPPFQWVDGMFDPMPPTYGLLKLYNFSFVVMETPIRPSGGSNSWRSTGIIAVVAA LVVGVIAVVLMRPRRRTEEDELLPEEAEGLIDPQN XP_844856.1 MSQQLACTYASLILSGSGNVDAAKLLAVTNAAGVTVSKGMAEAF ASILGGISIDEVLGNIAFGGGAPVASSGGAAAAAPAAGGAPAAAAPAKEEEEDDDDMG FGLFD XP_844857.1 MMCTATVMRTPSAVLCLLLAATLTLSTPVAVRGEVLKDATPAVV TVWYNATSGGFYATDGLASGKYAAIVNVSNTFNKTGWDVVTAVANDGFMHLPGEAEPS AERVLLAYKAVGFGEGYATYESMKASINNTFEGPEGLSALLSDAPQAQHWIEEHVRYM DAAKFESSAFYTQLRNMLALIDGMVAGYNARAPADERLDRMKLLMYNMQAEIGDIVRA TSPAEVLDDMRQMMPRWFVDTHCSAFVKVVKDDIYFGHATWSSFNTMLRQYKTYAFGG RFVTMSSYPGLAHSVDDWYMTHKRLAVMETTNVIHNATLLRNHVGSSSVATFLRAMIA NFIAVDAPSWVSNFSRESSGAYNNQWMVLNMGAVESEAMFKNMAPNTFWVLEQLPGTA PPLGITSKDMTSVLNTTGYWASYNRPYFPNVYNLSGTLKMQEEYGDFYSYKNYSRARI FERDQGSVVDIESMKRLMRYNNYTKDPFSLIPNCTGAVGMDDDGNVTNVCKPPYSAML SIAARGDLNPPGNATEYGPLVRSVGHVNSGAIDAKIATWTGMVKNPESYTAHVVCGPT TDNQPPFQWVDGMFDPMPPTYGLLKLYNFSFVAMETPFFKSDVVDVVWWIISGIGIGA TILLLSIVLYYNTECSVGVDEDELLPEEAEGLIDPQN XP_844858.1 MLFSLDGLLVYGNGEGDESESLHSNLNVSEGRQLVSLYRLMHRL HCTEERDTEEEGTHPVVFAKFLGAQWNGPSSVHDDVVPTAGVIVNNNINRAANGMEDM FIREGADGGFSNGALTVSTSTRTLGCVQVPLDDANAVLFSCTASDTMRREV XP_844859.1 MQQQQQQKHLVGSGATNNTMGRVDFLQLPASCNAEGSSRWRWRF QTQQQRHQHGDSDSGEGEDGGVFYNVQRVEDDGKETDIMYVAKTIGNEVLHHEVTPRY PNSRSSINDELSSATGSSSSNDICEEPLPLYFIRNAGKRRIGPVCESVTEKERTHFST ESVRKADITPPTEPLQVEIISKRTSYIGLHVFQEQNEIVAHVEEEKHSSDDSDYREQR EGDTPMVPSFVYYSAPRRGQHTSESEEYATEFDESCAPATNNEHHHASPLKPTLIKKR CYIPVPSPPEGHTKVSMSYHTSIQSATPPSEITSPLQVSFIRSKLCGNYRSEAEAQLP MSIEAIKGAFQRSDKSAKATLPPSMRRQARECKPQRQQQPECFRERREVSSSSSEDEY NGVMIPAKEGRRNAHTFKKVNTVREQEHKNGGLKEEQQMRESDIQKNRAQQVVFGFMP KQNHKHTEVHEACDEQMPVSVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVCD ILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVCDILEQPLSMAHVFDSLMKDAEVHE ACDEQMPVNVCDILEQPLSMAHVFDSLMKDAEVHEACDEQMPVSVCDILEQPLSMAHV FDSLMKDAEVHEACDEQMPVSVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVC DILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVCDILEQPLSMAHVFDSLMKDAEVH EACDEQMPVNVCDILEQPLSMAHVFDSLMKDAEVHEACDEQMPVSVCDILEQPLSMAH VFDSLMKDAEVHEACDEQMPVNVCDILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNV CDILEQPLSMAHVFDSLMKDAEVHEACDEQMPVSVCDILEQPLSMAHVFDSLMKDAEV HEACDEQMPVSVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVSVCGILEQPLSMA HVFDSLMKDAEVHEACDEQMPVNVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVN VCDILEQPLSMAHVFDSLMKDAEVHEACDEQMPVSVCGILEQPLSMADVFDSLMKDAE VHEACDEQMPVNVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVCDILEQPLSM AHVFDSLMKDAEVHEACDEQMPVSVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPV SVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVCDILEQPLSMAHVFDSLMKDA EVHEACDEQMPVSVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVNVCDILEQPLS MAHVFDSLMKDAEVHEACDEQMPVNVCDILEQPLSMADVFDSLMKDAEVHEACDEQMP VSVCGILEQPLSMAHVFDSLMKDAEVHEACDEQMPVSVCGILEQPLSMAHVFDSLMKD AEVHEACDEQMPVNVCDILEQPLSMADVFDSLMKDAEVHEACDEQMPVSVCGILEQPL SMAHVFDSLMKDAEVHEACDEKTILLVVRETNVVVHQLCAERELSPLLRFGRYLKSVI GTVCSVVGGVVGLGTLSPHLFKGFVVEGKKTSCLIPRVSGYPFKKCSYLPKGSLMVVM LMDAEGRQVLTKHFVLNSGSLGLFKELTVMADEDAASRQDRVLNVSRRLRNAVKLIGG IEGCVSVADSPQNPGRLRLQVMPRHVRTSKLISLWEVSVIPSIIITEIASKPDLFLFS LSGGIAHYSMKVPRAACRGDTRNMSWIYEKGEIQTDALDKLSVRRCRHRPNCGDGLST HCLTVEAVQNLMHGEMVEVGLEHLSGSKGETSRQECGNSLTNGRGRSTSSVAISAVPD VRESAIDDQVMRVVPWSPSAFVRDPIVSRDRRVLGHSDAPRLSPSLGSLSLATGESDQ HPAHCALVRLGPLSSAKQETRTNENRVGALQVAGDDTSLNGLYERKRLGLLDFVRAGR PDAPKTKVLSDRTQSGSDSPQGMGVRLPRTAVARQFLPDRTYGGVESAIFGCDVSQWP GDFEKREQHCQKSLSDIIPITQREAEELGRERHFIERILGKASRLSQRNVTVREVEAD EVAMELIFQSEDLSQAYKCQVSLLAKAYLEEVEAAAVRRVIELSRGSPRADTLQHALA VREGRRMQLSEKAANEMKAGTAIHNGPIDRANPRGVPQPWAHLCHHDLVADGNAQAIA AACRGAQHFGTKMFAYICELSKQKEEKNESIFNKYPFLPPLPYGVPLSDIELSTDLEF RQCALKLRENPNDEGSKDEMRCIVLRLAKVHAIDRVNTAPEGLRGLWDTILQHHPVSE CDNGSGFYGNTAVCVDEDRLPQLTGPTVADVRVLRGLGGGSAYKQFIACCRKDLPCAL KRWGTQLALGGADAFHLSTEDLGLIRGFYNGAAGGSGKLLSYGNFEEFLVQTLGSRPD VTLAGLNQLLQTTFAALSRPHVVKFDDFTQFLTALAACEYLGGGNPLPAVRRRPAGKL APLLVTPPRASVATRFPDSSRHRFDLVPLCPRETRLQPLRVNKTTDSPVPVSVASETT EVTTFAGDGAHERRCSTGAGGAFRRAALQRPSTAQWSVVPRERVPQHASPPLMPRNAE VWTPEVTGKSGSESVTPESTSESGNEEA XP_844860.1 MQFSTYMTNIVSEVKSFGKAYVDSLVAPQDDEDNKKERSVGATF GSASDGTDVGHSSVGALGDVLSNLVSTASPSGSRLMDDNDDANSAGGLSKIVEHGTMC SHNTWESNYYNGAAVWERGTVEQGFAECVSDGSVHPSPLVFKTSPETTEAPLVASTTS LQKKNLKRGNTIRSKFGAVKLVLDTAPTSSSAALTDTASVPQISRRHAEVNMVKLPPT PSPWEERRGAVLQLLNRHANRRSLLVPWARNFLRSFVDSVANQLPPAITEKGLSLEAA RQSTEQLPLEGSWNEIESSLRKCLTQAIPIEVWGPCAGSRVEAITTPPKSSPLCSFNN ADLSLLLQSSLQSLQQWHHRRQLYSVLVSKKQVQRHQRHSLDHAVEQCMIDMYSSCFF PHIRVAAERAKELLQRCVPQHEEVKGPMQVATLNNSFDQWVAKVMSLFCSELTAADGE WQRRVDHTAGATTCTASDSEDVVDAAVSGVESGTAALWLMCEVAVMSLLLVCTLFCEA E XP_844861.1 MLSCLRQIHHRKKKEPCVLSTAPAEEGANLLRRAVYDTIVSSEH HHIQTLQESQRIIESSEFETLEEKKAMQRMGSFMVSVVQKHMQAVTFESTLAATCRKQ QRQKPRGVSNVIMGSEEEVGQLLLMNRTMALGTTCTFLEGLINHDKELALAPILCTSE EREAEPVRHPSVQDPVPFLRQSHPALGAILLDTAEQAVGATQKDGRELSMTQGSGTLS QLGNRFTQSTLTQQREGVLKRRRQDDNSDNDNDGSVTSSCKRNPSFVVRIGEGVLKSR KQCDPDVEGTVTGSLPTPATDQTKRQETQRSEQNRIVQSQRSATSSQPHTQKGQPLPS GTTDENSAPRLSPLTGSTAKVSGSLQRSQLASGEGEAIERAENSSTANRRGDPWTGRH NAHGSRAGKGGDEENERGPGSSAGGFVTACEQLKMDLKAGRGVPPQFSMERRKPAPGV RYPGFTPPFQRQPVRPNGDVPSADKGTQDSTGGTPPPPPPTGSVSSTVKSNRLSPARR LKQRSRASSSDDDRSDFPSSLLLPDGSIPPILQSLDARLVAQVASEIIEHSGGGGGVG WNAIAGLEHAKRSVEEVIVWPLQRPEFFVGLRGPPRGLLLFGPPGTGKTMIARAIANR AQCTFFNISASSVMSKWMGDGEKLVRCLFAVAVVKQPSVIFIDEIDSLLSMRSEGEMD AVRRVKTEFLVQLDGVATNQGDRVLLIGATNRPDELDEAARRRLEKRLYIPLPDINAR AQLIKMLLEQTGTNCGQAVGQSAESAGKAASSVSDMDEKSIMHVATATEGYSGSDIKQ LCSEAAMYAVRELKEKLKDLEIRELRPIQRKDFVRALRRSRPSVGADEVRRYVEWNKK FGSFPTGVMDADEEEEEEDEGEGEGEATIKR XP_844862.1 MTDRPPTLADFKAPYPEPGPDQTCCIILLEEKPDEEENYRVELI PGRVMEDGLATGTVSGVVREEVIHGWGYSYYVVEMEPLVTTRRSIRSFHRPTRFVPVP TKHFIRYNSQLPVVVYLPHNTELRYRVWTPIDMQKVEPTEPEGLLKIEERAG XP_844863.1 MRSSDSHGDPRVADMSSEGPGSSGGSLHFSGVTDMLLGGLQRKE TSAPLHPTDIDAAQPCSVGAAFDAVMQSASDESRSADINSERTRKVKSSQLVQDSVCP GFVQSNGVTSSAHYLGVKYQGGLVQELQQSGRSQEEMDLSSCGPKGMEGQSLSMVIEV SKQREGVWGEFAEITSCSDATPRRASPAAQTSSIQPTHSSIFYPYGLEHLPPVLLHYV TLGGWRTVAGGWDYFSHRRLGDGEVSGHTVRWLHNARPRDRFAFIISPVERRDKTSRD TLRNKLRKYFFGTPPAFADCGRQSTFRCVPRADCEESMENSSALPPLPLRGLFQKKIG GAMSGSCASSKAEDHSTVGVEVVEVKHEDVTAMDYNPRLVSSRFAIEAKVVNVYFFPT LEGALEYYNCPGDQYLMDQQEQRGITNVLPCVGVRRHKYAGCTGSCTGLSPARSHASV STAAAPPEMSAGEGRWIHATRQEPSMRYAEHSAALDDCGRCDCRQQQNTTNDFPRDDG WVGTSLTPQCSFGTRQTSQQTVVTSVQTDPTVSTGEGNVLHESAEKFHFLPQIAPTIR SRLMPELTAVHFDTIVTLYSEDPNFNAVLREVLMPEPGTHPYTASLREQRRMLAMYEL GMPTWTVFLASTGLPYRRALRLTFVGLVNIWPIISLFVGLYDLYKHLPQMKRFFSSTF EPLLIWLEEHVTVRVSMMITYTVSVCVTVASALVSFLTQFYPLEIASYPLSIIVFLLK HPSRLLLDTLLAFVSIVASLVKLLWITVKILFAGPFLLVSNFASLEIGCFGVGGGGGA VFPAAVEGTSLTLKWWRAWQEFWVTVAAPVKNLAKAWYDSIVHVCVSATRREASIRRW YTAKLHGITLLTEEVHEAVEPSLVTLWGRTRVFVIPLVCLVCLLYWFFLPYVSALVRE EISALTNLMSGNIGSTQMEYGEGTVSIPGRAEEKAPLEVDKREWSSMFELSQLYNGDI YHLAVECLTESNAGWFLLWAIVETLVYKW XP_844864.1 MHEEDPEVAKYKQSVIDVGQRTQLELLQAEVRHNKECLTALRLE NKRLNVILQQAQRGQRRGVEIDPLRREEEQLHNKLCLLKRSLNSVHGKKEELTKEIAR TVEETGYILQEGKFTTDNSAMGQKIRGLENRLDKCLIKHNEVNAIRRTYEALLERLQQ EQAGFDTQLAAMEKTLQNKEKDLCDLNTVAAEASNGRDAAKAEVLRLKAQLTRERRAQ NKDLEERRAFVMTKQKQLDRKAQRLKDKIERDEERRAGQHMAGGNQQKKVSRVTTQKP ERREDVEQQQQLREAYNKLKEVTMSNTVDEVISKLQERQDANAQLMQTAEEAEEADAM LKEERSQLMEEWEKLQQQNVVHRSVLKGENQKPPATEERTTGGANSVANVAEQRALVR RRVLEEFESYLNDRKNELNVAQRVQEGLGQLLMDLGAGVQRLADTVACATINVMSAST HVSMFDEVCEDELAFCNARGDSIVSVLNSTAAKLENMLELVDVEDVASVATSIYNWRY SLPPTNVCIRLETSATPADGGSGELGSDHSDSGGVSGKGRRTSADEMPVGCRRWFGPA PTDDFPENEIHDRHELKQMSIATVERERKKARKLQQQRVKDETI XP_844865.1 MENLSDEDKSIGAFGTRPGTHRRKRRYRHSYAESDLSRRMYLLA ASLSIEPNLLRHYVASVRGGVVRGRRVVDTDKDSISEEGLLVPTVDVFQQSVCSPLSS EAAPCTGDAIEQNIPSELQAILEALVLPFAKRQSNFRSPTRRKGPSSVPVSTNKLLLR KNRKVSSLHWEVTGGTSTKKNGSHAGSWGWGSFMKSDVRASTASRNPLSCSDHDGLES ACYEDRRGDIRSSSATMISTCSSFSSSFSSSCSQGSYVREEEEISNGAFPLLLGEEGE PQSIDPLPFDTAAEAEMPVPRRRCNNAIEGDNSSNMCHATEKLLEELVRPLLLARENL RPPTISSGDGNANKAAYLGQCMQLLPQELRTTAGVTRLCSLYPPFASLLLEAFVKESA QRCSMSAITRIVVSRLTELVAPVLLSQRMRPRSDDCVDVAKTPEKVTGVPQSETYHTM AIRAQQSLARTILSLVGMLDDSLWTLTLCGILIRLQLVSPTELYPILHRHAHELSKLP QQWTHEVALAYCIVTYEGLKWAALAEEERCNNKKTFKQEESLLSVGKEEGAQRATQDG ESEVISQISITQIFIEDTFGRTSGIPNARGGEHKEIEEHDLTNVFEMMVSSMKGQNIN YTQGEGGGITPPINAPSPSAETALAVPHQPHDVQNSGNSKSNSVATNEVQWNFPEKVP TEVSVPVATVMTCMMLCAGPQSERTVVKLGQWCLRCLIVLRAAPCAVGLTHIMLKYPH ILQKAVVHSVFLEQNINYVSALLTATNSFNTSNVGSNEGGNSKGANSKATVSFCEAVL MEGCRLADAALQEQSRVLAAGHLRFVWDNSAQANGAFVGKLHPLLYELQEVQCYLLMV LQALIVLRTASLCNEAQEQLANLFVTSARLRVATATTGANNTTAPADRGVGDSCEESS LVSSQFVQLVDEVFRTVACAADVFLHFSTGCPRRFCESLGPLSEPQNTLFDELRRSGA WDAHVEYLETVQAAATAYSVLPPSPLISHPSKTNDKGAEASHAATTSSSEPHGEVACD STHMTPLTVREMIAHTEAVVGDAVSQQDGGEGETPLVAGRLPCSGEPFSFSEAVEECS NGSAAGVGGLTARQKHWWLRRMIVYFMERWWHCRGGVQVVFPFASSLVGYDNLGSNAD NLKLLSGVAERSFLQCCAQSF XP_844866.1 MHAGGTSSNSSGHDPVMDDIAELKALLQNGGLTSDILEGKYLNQ LRRARQLNVQLETERTRARQLTVQLEALREEKRKAGDRNSEQAGKEGRRRRQSSERAT NNESDEGQQNVTALRERLDRAFVQLSDAKVQLEEQKKESQRLRKIIQQEVGNPPQDIE ALLKGSSDSSRGWRGRAQQIVLLKSKVKELERALGATGGSSPAAIDGGGTPCVTKTST LSLTTDAVTTTTAGVRDRDDVAREHVVELQSRRLLQQRELQDELARQKAELDSMKLRL TASQSRHANLEADNRQLREHLQAVLQKTQNDNDLIDAYREEMEELRHVKVELHQAKVE LQKWEEWCSSAQQRNPRELERLEEQTPGMCDKSRDARYRTDGGDAGIDVNTAVALAGA DTAIELNRLRLENLQLKSLLTRYEDHGDGGALGNESPTGQNKGQQDDMALLMHWLKSF ISPRSGEADTNKGVGSQAVVSDSVVGVDWQGRVAEVLRRAHSAVTFLEKQQQEQGERL SKCYETIRQLQAELKQRPGKREKKPKGNHVGDGDATGGEKDDDDGELVNILLQENVAL KQRVKVMQDLLNKERAAYESLRSFSAASGTSDAPTAAAYLKLKRDYEELRAAFNCAQA ELLRNDAA XP_844867.1 MHSLWVRVAEICSMAMMSCFTGVMLLVASSIVMSQLQPPKPIIK DFNVGISPLVQTRLPLVFAQGEYPPPMQDVLLVGISLKADFSAVWDWNTKHMYVACIG RYHTKSNMTVGGVNLQKTHDVTIFDKVLRSKEEAANWTLDNAKKYALENEELGSLAGA AVELMILYHPMRHYGYSPYYTVQPGKNPVMFQLPTAYEKRTEEDDKNAMCSRGYA XP_844868.1 MPKKKPPCPSVTPQLYEKSHKPSVTVGMGMKGSAASTACWRDQV DKEEQLHAIWAKRYDRRSNARLVESLKRTLQREKDSAEQYRKDNDALSTILFSKDVSD AGRLTTVYLKARNRLAPQEKYLRAQTAAQEVGWGIPEAMRGGDSVEFTGTPALPRLTK ASAMAYRRPDDEDHAELFGYTLMCSFCGGKP XP_844869.1 MEEVQPLNVRIVREAGDRRKVKLFLHNTSGTEREGYPVMFSIRC CEPDFYIPVGIETTDGILLSGECRGVLLQLRDPKKRAQSWGMEREGKFVKWGVINEQQ MRVSCGPNVRASVEAVSRFGNGSLDALHSFRDSDSSFLTTGNRYDFGVESSGGVVRGS PLQRSSVKSWNAVVPSVLPSDGGSAKHLRLSASEESHTPGSLEDELVHSSVRSSRSPL CTDSSRCSSSLFVHYTRLGGEKRSIAAAKEWLEAQQSKYNRWLEKVHRLQGKDTAGVN SPPTTVASAPAPATPHFSALCEPVRWVKRPQKAPANLKLSAFWRKPFSKGSAKLLKVG KESNLCIRVSCELDDPNRSATDIKHSGGPLSDGLLRLNTAPSHIGFLRREQPPVTGEV QRYEHSEDVRSSNGNRRKIPEDTTDRSPTALFRLERHENQVATGRIPFGKLDPPARGD VDVGCSASTRSDFAATLNGKQSLHAEPHVVHKEVTNCPTPNGSASPLDDRADKPSMSE KLHLMRWDKTMSILRNLSAPQMGAAICEFVKRCSPHLESLGTVTLNTVVRGLHSVGNT IEGSINTLHVVVLSVLFVATICLLFAIIRGDSYSNELDIAMSEIG XP_844870.1 MINEAAAETSSSTAVAPLNPIEYPIVFTKEDGVIPAVGIFNALQ WLLPLFQLATWLPKHVGREGNIKGLASATKNTSTASEEEIELTRSLLPHFEQFFTVES KVVPNGSMLRSVQTFRYPTPRGPRTITRALVEAPNKYFSPLHAAKGGGHFLCCYEDRE LLRHFTYLELDQLRFAWVAGKELVAEHKAVHQTDGTLTGLARRDIKNNNSGTAAENGE GNVTNSRAGQGCYGNGNNNNNNNDNVAAGNGATAPPPVVRRPHPSSNVITGPSQELRK AREEKQEKKYMSDLLRDECTTRWPHTPVHKSVIFSLEEQQAETVGGRPGRKGPRMYLA TVELPLLNGKKGGTASFKAQQWCTTKKDAENAAAEVALRALRTLKL XP_844871.1 MESYPNSPDSSPCEEHAAVVHDHQQYHDIIDDIPVVESYDCSRS FTVTEYNPVTEIPHSEEDGSEGDLQYDFINRGDVFSELGGALATEGISTRSSGFVPAV ESEGDWSPTLPPHRAALSMDRMDGISEPQLRMERVAPHRTLTPEEVRELLNKRNEAIK KERAFPLRGGSRYTYHQQRQYRPVRRAGGQMRHYTHTSGQTENLVLLVPHGTAATATV VPDACMQQPQFIGVQAVVLNAGQRPFGLPSSPQQHVCMHLQPSQLQPHHGIGQFYYF XP_844872.1 MNDTWEFLVDYHRWVRLICRGEPRKELNSSEYATLQSERNTTHS SIFRDDYYDDNDSDDAEASVAGGLMPPRAFGQCGVLYGEGRFLFVHGGVTTLGRTTSD AFRLDIERRSWARIPLLQPMPSMWGSAAQVVRLPVKALVAAGQISTTGNSCEEEEQEG RVVEVVVLFGGMHQANAFNDTYFLYLTQPPPSEGRTSYKEGEGRVVVKLPPVPKKIFP GRRRACSVVCNNYFLFIFGGRDENYFYNDMWILNTLTRQWVMVRGEVPQLYMQEFFRF PCRTAPGIRIMGLVEEILRFRRKAVGTDGKDNPHKSRRYNSSAYWRTGATMVNYGTEV FVFGGFTMDQFGYIITHRDVHAYDYVHHVWREVEVEEGRSLPPFPPGYFRSSCLAGEE PQPQWELLRGIPSMFSEGRTMAAMCRDPIRPSLRFFMFGGRMEDDPCGDLFDVRIRVE FQGPPPAKDQMLERQRQLARLYFTTPQARAPWEMSPWGRRSLLLQLLDWTRAVVTEGE ALNAIQNMRPRTSREVPPLHKECAAHATEVESTLRNKLSILPKVVNEQVLLPQPFVLP EELRG XP_844873.1 MSVSFLLGWQLFILSFQQFLLTEYIYIYIFHVLLCIYIWHKEES TKKKKRRERERKRERKRKEKKRSKGGMTQSQSQGHPRVGPAPLCIDYPTQQPLCPEFT YTTDIIALMAPPRIAAKFSPCFRKYSWDPYAVLLTDHDLERVKKSYRRATAPPAIASS PTRQPPQQNPADMDINDSHHNHNIDHSPSRLPQLCQQQQQQQEQQQQEQQEQEQSLQQ QHQQLKLQQQQQQEQQQLKQQSLQQQQQQQHQQQQQRLKRQSLQQHQHYHQHHHRRYH PYAQLHHGGGHQSRGVHHPSWHNRQPVTWRAMGPNQDSEFKSAGGRSAARGSSARFSR XP_844874.1 MRPTGVRCLASPLSLMRVTGTPNTGPRVLSPDGTKFSWDPYSGA SVDGSEVFTQSPHLAAQFPGHASPIQLPPPNVASDGVSFASTLNWQSQGDGGAAYDSS VVGYWEETGPNRGALRLGSDEQQQQQQQQHQLYRTGPLAQTFFQTTGSGAPPFDNAVV THGDANFNSGFGVVASPHRPSSRAGRYGTSRGVSLSASRGRSFESTPLWGHGQSGAAE RKLPFRRMIPPPVPYELALRQLSRSGLADPERVLEGILRHWHRSTQVAVGVLRSEAAS SVEESCPSPPSGNDREAIVEWVTRCEGWWQQNFGTQPRSGADRQNAAHTFNGNRGHRS NDGRRPHTSGAASRNNHAFAGMNGRRG XP_844875.1 MYVVSLKIYIYIYVYIFKRMRVSRHLVVTRSHRLVDMRVKTGAD EHACAVVRAGATAYECLRPPEHVRKCVSGAGAHLCDMIMGDGARAKAFIQQHVWCVGG NTEFLQGNVSRVSCFLRKPARRRPRSGGCTLASWDHALVCVFEYACHNPDPVVLSFPK RCSVRGAGPVIYTRTCAFADGNGWGFCVCRFL XP_844876.1 MLMETKFRGGSPFPPSACTPERGICTTIEPLGAFDAARVRAAHY LPTFAVAVEAAYQFLRLLGVGSVARHIRVVADPRDLNFLLDLLFELPPCCSRVENVKQ ISLEGDDAIDAASLAHLTVVSQEVKLRVNVEVLAERSRAGVPSPPHHACVLYEGRWTS CSPLKGKDVLSEVLIPIGERAEQWCRCSCADRIAKCSSLIKLQCEVIGLFCNMPVRRR IALQSRGGFNNRMRSERQAVVMVAYRVAAERILAPFYLLPQGGGRRATSVYLRLSDVI AEGGRAAYDTASVVFIGASRSGDGAGVDSLVKRKREYAHAGGESETLSTVYRLLQAFL PHLSQLAVALGLGNNAETLCLREVVVARAKFAMVYHAPHCETHVGTGLCRHAYNCLGS VLILSADPVSGECYVVDERHRVYRHLATLPKSLHPLFVFVDGKLLEGRDAQLKRMFQA VVQQHVPGVGRIPHRANGNNPKRGSDEDDLPTVRKVLTKTEGKESHNAVATGVRVSFL ARQLASTVSVPATSRAIGGINAAAASVPRRTPFCPYTLMKPVPSADTLPRARTAMPVA PKPSCIVPRIFRCPVVWRGGEAPDENEPIICPFRGNHFLAQWDRKFLLLDTGDAAGLN DTASTECNEQRLPSARATATESRHSPIPPKLFITDQHAIHERLRLEYFLAHAESYVEP QPSLTTFPVKIPHDMRHCVAAYEGALKRWGWRFSHNTPQPNLACFPEATIDFPDTPCP RKLFDTITQWPCLTLEGHRLVLDTVCALRQTLEEIAAVFPASHVSALRATNTPEGDNC ERHRRHHEFRVIPSAVLQFFVTRSCRGAIMFGDRIKDEHAKLLVDSLRAVGQYSVCSH GRPSFAVVRRREG XP_844877.1 MFRCTVRRRIGTTSTILANLALFAANALSIHDVFGVLLVGGVVF RFISLAASIYGDRCVARAACALPELQEAHEQYKTIVDHPRAIFWEKKVAAQKLKNDRN RIFRSHHVDNVRLVLPHAVAVLMTWYSFCSPAQQLSDHLAVVSVTSPLSFRLMGTVVD PTLCFAATLTLISIRDHLQRRMGFSDGLDAWIRRGKGYVTGAWGVFTVITLSAQFVTS FAGFLPPHVAPTWLGISITSLCKSILVNHTAPMRALFRIQDYPPSHGKHGATCTAEVH EYRLAFTGVDVEERRHMWQTQKKALDYECNVRLHRLLNRTGLFDSVEEAEHEAERLKK KLNIARTRRKERELRERGDGESDGVAPPATLAFKEDDVGPSADEVAERHFDELQLREN DRRRKRRGHDGG XP_844878.1 MAALRYFPCPLFPGEVEDFYCTNCHTSCSALSLLVGPHTGHSRI PLSLATQYFPSALLRNANTLLQRLRDEYEQPRAEHMLALGRALEHLKQQREQKLKGLQ ELRRDVLLIDKELNAVEQRRVAAICHWSRKRQSFADEARKILHGADTLAKAAGLRDPT SDEVAEEKRTINAEARQMIAKELEDVRKLLSEPLELLSNEMKNLSQELSVERNCCSLE GSESDDEAMRFFYAKDMTRRSMGTCGIDTLPTSDTRVVLDELLTSGPGNATPSGLSDP FGKELVEAASECGSVGSSVSANERCRWRDNMKQRERLLHEGLNRCLLNRI XP_844879.1 MLQWVVKEVEGPFGNIATIHWAVPEGQDDGESSDNTAESQKLHH KVGQGGKVQPELQHLLEDAEDQLGAVLWNSNSVAMGHLQKHVLQNHDKACHVVELGAG VGCLGIGLAMAGARVVITDMKELVPLMEKNIELNKERILSRSNGKGSCVAMTWRWGPP PLVKQKHNAKRVKINNARQHSSNADVPSAQNERGRSPYLLPSPAFVEMQRLLDHVDLV VLCDALYGNPKSWPQLLYTLSEILAANPRCEVINFCEQRVDDVEGDFLNLLQQENAKL PPPANSAVGPEGNETMEEALLRMRGSYKWSTSTEVVGEGPSDLGMPVRVTRISWVHRS GKWGTGASTASRDVVVDGADLGRKSGGRKRERTR XP_844880.1 MTRLHFKGGAWTNSEDQVLRASLTVYGLQNWERVASTLVKKTAT QCRERWENFLDPRLNINEAWTAEEEENLVQLQSLFPNQWSLISQEVSRRCGMNRPAWL CEEQYHSLLDALEYRRQQESSESRNSASLTLGEFLEERKRQRGVHRGIETRTARPDAV NMEASEKEMVEFAMSRLANQDGKKGLRKERRKQLEHTSFLAKLQSNREAIESGTLSAR AKKRMERAMMEDRSGPSEAYLVDSITEDIGEGGEGEESIASTKGKFQPIDLGTDKRAA GIQPKQRVLVKELRSTVDSDAGLPPPKGASSTGLNLNLLRVASAGAASLTPQLENNKV VASLEWVSKSTGSGCSVGEPRSDADPSSVGHERVDLDDLFASLPRVVDESRKLVAGTE TNIDTLFGDLPDPFPGNHEAEEGLKEVPSAPASEDFGRSSSRPSVGSVGDTPVLLDFT SPSGRTLLGEAKRRVAAVGRHMFLHSKRSRGDSYEQCQGVNEVVNDDLGDGTTRQLVY ALIANQLGITTQLLLHGSAARKGCVNGPGAITQQRKGVGAASLGLRRGGGVLDEQVSK VAECQEESDPDLWRSVKASEAIIQLDNQLHQQRGQVEALVSECSESVGSGKPGVKCPE RIISVCFSPRSGYTYVCPTASGTAAKYGAKGYGCGIHRLVQSICLFWSERLREAQRKL IFYTEVRRAEQREMKRRLECVASQLEAVEQKERTLQEEFRNKQVS XP_844881.1 MKSVFDIARSHVTFPVSRDGTALRRVLKDWLDYTECQSLQAKPA FPAELCITVHPSVKSMSRVYTQGDPKMSGEVCRRPGEAASLSYTKRVRLLLWSAVLPW EVQRGLSMSVLEPPGNGGSVLGEVGVRHGLGGSGGAVDGGSAVATKGWKEVEDSLGVV TDPTTGTAADFVSGPQCSTLGASIIPGMLFVMSPESAAQGLCFWSGAIRQPIDIAFIA PVEPPAADTPSFSELRQRRLQLSLEGYDISRFFPDGELESPTVTFAVQSHSYLDPFPD CEQRDQQVGCGSSRERNKGIEDSRRYTATPEGVGRGNENVRYVLETRRNLLRDSIRSA LRECRCTHGGVVWASNTGCAADGNPTGTGECDVEVTISLTLSDELKEDLREKARLYTN YVVPLEGHVRRHIKCLSGISPHPKGDATDGSDALQQKGTEAVWGEEGCVCTNGSAPFV APPPIIKPPLPVKVGTLASTRPRSPMLADEAEGRSTRLAPSVFGKHDAPALQRAQQEC NQLISASALARIPNTSPRAPEIPPIDYEIFDLCLRLGLCQSEAIYYFYGRIMREWSKE LRRLRAAKSHGEGGVNDGNDMVLREEDVHRMLRLVHDPSLQVPPELSACVEAVASLRK ITNEVGVPVV XP_844882.1 MSFNESASIPTGLTYDDVLIIPQHSRVTSRKEVNTTTRLSRNVK LSIPIVASNMDTVCEQRMAVAMAREGGIGILHRFCSIEEQCAMLREVKRAQSFLIESP RIILPHETAREAWEGLNWKGRVGGVGCLLVVNCKNERKLLGIITRHDLKLADESTTVE SLMTPVDKMVVSTNTSISLEEVTHLMRKGRTANVPIVGQNGQLLYLVTLSDVVKLRKN KQASLDSRGRLLVGAAVGVKKDDMNRAIRLVEAGADVLVVDIAHGHSDLCINMVKRLK GDPRTASVDIIAGNIASAEAAEALIDAGADGLKIGVGPGSICITRLVAGAGVPQLSAV LACTRVARRRGVPCIADGGLRTSGDISKAIGAGADTVMLGNMLAGTDEAPGRVLVKDG QKVKIIRGMAGFGANLSKAERERTQDEDVFSSLVPEGVEGSVACKGPVGPIVRQLVGG LRSGMSYSGAKSIEEMQRRTRFVRMTGAGLRESGSHGVAKL XP_844883.1 MGKKTNSAAENIKVLVRCRPLNDKEKSQGYKTSVDLDLTENTVT VQSVVGEPDRWTFDAVINNTFTQKDVFQQFIMPLVDSVLDGFNATVFAYGQSGSGKTH TMTGKLGDEDLKGLTPRSFEHVFDRISSMKATEPNKQFSLYVSFIELYNGKVHDLLAR QQVPLALKENKDKSFFVQGAHIPQVKCIDDIFHQMEEGTERRRVAATELNADSSRSHS VFTLIIECTEVSEDGDSRSVTSKLNLVDLAGSERQSKTGALGDTLKEGCNINLSLSAL GTVIDTIVKGKGHVPFRSSPLTMILKDSLGGSSKTVMFANINPSEHNVSETISTLRFA DRAKQIKNKPVVNLDTKDQKIVELTELVHELREKLKKYDAGGTGALEQDVDDLTEKMG EMQVSLDNAVKAREADRADFENIKAMMEQERQNLTATIAELEERIATLQSDQQLAESN INAERLQREEILRLCSHYLRTEGGEEKINNATELEAVLRGRAHERHSSEMVGVQNELQ KVQMELKQSLQEREAMKKEMSEKMQESKAALKSAESKLKKYEKKLSEAKEALKNAASS AAVSSNSEDGGSAASEKEVAKLRIALESAQKELEGRGAMANVEAKLQEIQKTQDETTR AYLEQMKQAREILSTPGESDSAVVKQLRNILKEDDKTYNIAVEHMKTMQNITTDLVAV VREAQIVPMVALNGDAAASQKALKKLKAVDASEAQVYANALSSLHDNVNKAHGQRNRI LEALTESSEAPVDLREELRRVVQENNELRQRSSQLTAEVENLRLQATAELRNEVAKTA NSPTRRDKHSKNAGDTAATGLKRGSSLLADADDIRVELEEERERNAALAQARVSLQEE VKALRAEAAEAEKRYVELQGKMRETMSEYERRLENSQGGADEIANLTSRLKERGEQVE QMRGLLEKQKALIVRSNQKSEALQQKLRDGMAEWQEKEMQYRQKIQERDENFQRVLNQ RLQESTQNHRDETEQIEAKMKKLKKKIKKMEMEVDKCKEDYDRKVCECEELRNAVEEH KVDHMRLLRRMGQTAEEAQVYEKKEQIQNALERAKEERRRKKDLFALGEVENVRRADF XP_844884.1 MRFVCLFSRTGRRLFLVTPAARAPMYCTRLLHLSTTSTPSPPTP LASSAAPQEQRSQQPPHGGELGELLARLNDQLERVTGKSAVIEGTIQQQVAQVSLMQR QQAVLERRIVALEEEAARTQQQIAESTRIASDVSLQHHNVDALVRQMEKLVLKALSPS ISENGNGSSNSLSADEDHTTESGGVNLSVGERQPGAPSVASDVHAGSLTPLHVTISSS SPSHPPSTVISDIGRRVSVLSTRLEALQARMEQLTAEKLVTNVVQPHLKSAKVDVASA AVSRAASKAVKDAGVNGNESAGSPVSAKSLSALLRNTGAFPFKDNAGVTRIGSQKVVV RGIPVNVGASEVRDMFSRVGPVLSCVLRPTSVASGETSLRGARYRSQQHEEEEGDKEQ TSKQESSTSCTSVLGKRERTFEVTFLAVEHAVRAVLELDGYQLQGDCVLSVVPSVSVD ILTAVQELERESEKK XP_844885.1 MSSIAKRMLERQGWKEGSGLGKNGQGVKTYIKVNRRDPGVATGL GHAAEMGQTSSFEVELDAVYSSLASSERKAKTKMSKKDQECDVDDERGKTRQASVEVK GEKRTRGPSDDSSSATDDCASNCSSTSGSSSSDEGKEVDILRMSDKELLERCGGVRLG RAGRHRFFNGKLRRIEESHRGH XP_844886.1 MENQAPPPQHGSTRQTPLSRQSPAPVVATEERVSDGLDMFDLQL LKDCANVPNPFGVAFPGAQALPPSPTVVPSAEIFSSVATASSCLSATMSNPQTARCMT RDVARVILRYISDHVEQQPEKLSEEYERQLILQFVEELHRTNMQPHGGMPSGGDGRLT IDKEVVLSLRNGREGPAPGTDIPRPHHPYAGRGCGGAVNISPRATAFSTGNGMQTQAQ GQGQVQQPHLWRSTPPTVASAGVNSNGNGSGANNGRPTTSVMEGSSTSQAVNSAVLTA TSLNKRLSGTGVLRPQPQHPRNSARDPIDRRQVTTSTKKVLRSASSAFSECSAGDTGE VSSLISRTTAGTNTMMK XP_844887.1 MSSLVHHFFNVKGGVTERTAPSSSGGATETFANLHVAVVGCCHG ELDKIYLACSDHEVSSGKKIDFVICAGDFQALRREEDLKCMAVPEKYRSLGDFVKYYQ GEKRAPYLTLFVGGNHECSDWLAEESYGGFLAPNIYYLGHSGVVVVDGCITVAGISGI FKAHDYVRPYPNRPFHVSEASKRSAYHVRRIEVEKLRAFVRALRHMQQWGRKWGAQSV SPLATAANIANPAQKVSQDGGNDTTNSHITLPPVDIFVSHDWPTGVTKYGDEEQLLRY KPYFREDIRHGVLGNPHTVKLLQDIKPRYWIAAHLHCRFEATVPHENTSGKCTTAGTT SPVATQQKTKFLALDKPAKGKGFIDFIDVPGERGAVGRKSDVDRVVHHPLWLRVLRES HNYLSANDDSWSSETCNFLQSSEEEPISTEVSIPAHSTKQLLQSLGLPPSPIQQAQPQ STIAVVAGGGSGHHRPVTGSGHAKLDDKAGAPDANCSSVATRPADWNGARTEDGVDAG NDLPWVEDAVGDV XP_844888.1 MFSEHASDERALGVATTPVASGETNKKPSCSYCSEESPTCLAFC NGCSKWFCNGSNGTSGSHIILHLVKSGHNSLKLHAENSLGDSTLECYICRSSNIFSLG FMPSKEEAVVVLVCREPCLHSKTLRDLNWDSSTWLPLIEERRLLPWICSIPSTLRRPL TLHDIKALEMSWEQKVKEFVDPVESVPEVPLYFESGTKYVEVFSSLIALDSQGARDSK DTSFEGIQCTQQKKIGGRHFFVLKPFPLFDVGVNRGDNVSIRVKGSESSLSGTITEVS ATSVDNEHAVFVTDTTARSVDKKAVNEILAATTVTISPEYNGVADKRKMEALQQFARS EGSVSAYLYFTILGQKERAAHRNSGFDTEPEPRGHHNLNYSQEQALRVALRNPLTLIQ GPPGTGKTSTSVAIIRELHSHVKSRILVCAPSNVAVDHLAQRVSGTGLKVVRLQAKYR NDIPCSVESIGLERQVGDYINASSGLERLKELLDSMQTGKSLNDKDYGTYKDGVEKIE RLILRNADVVCCTCIGAGDYRLKTMKFKHVLIDEATQGTEPEVLIPLVRGAKQVILVG DHCQLRPLVFSTAAEKAGYQRSLFERLVLMGHRPVRLDVQYRMNPSLSFFPSHHYYEG TLQNGVTAEQRDASEVFPWPDVTKPIFFYNATGNEELGSNGRSYLNRAEAALTEQIVT KLIQGGVEPGDIGVITPYRSQCRYLRSYLSRSGRLPMEVYDRVEISSVDAFQGREKEF IILSCVRSNHRQGAGFVTDGRRLNVSLTRAKRGLIIMGNVQLFSRYPGWHELLVHMNS LSLIVEGPIDDLVPSAVVLQKPRKRGGKLKGEESDSPLFLPGES XP_844889.1 MLERRALMNANVHIQADLCCRRSDLGRCVIQCFVTPKRLCSTRS RSNTTSGTRDIRITAGDDTANASATLGIQRGDKDAATRESYDARVQYSHHQGADKRVM SRKESSTAFGVNGTAKATSRVSYSLDRNHMGNKFLRKLTDLETAGLSRPSATSQVARR HNRAAEQKVLGERKKMLVFRDVGMDLDKPILSRDVFLVLKYFRYGIEYAVDNELERML RYFNEHALNELKIIQNSKLMRGPAALSQKKVRTVCESLGFDTVPFFPTLKAARVSQYC SLNEEAEKRLCILMKQKTFFNNTAELTEESLGKLYLNRSFDETDTQQLTEISRVMFRR PAVVIYSQLGQKLGAQADLEWRRLAYSVLNPKLLSYLPKENAKGEGHVNSEEAQHGRG LRRCRNETLACPVDRNMPISVLSLRSMDVYKYRWVHKLYVRRFAGSLFPTATGAESSD DRSLLNGFITSSSTFVGSKLLVPFYKSVGLRNYLSPHVILVDHEGVIRWVSGGCPDDY EKESFPTLLRQLEDEYRLAAR XP_844890.1 MKETLLLFLPTFKPTRITMATCPKHLFGKYHLKSFNGKSALEGE LAGVTLELGEEDGRVTVRAKAGNAMSGVLKYEGGMLTGPLMSTMMMPPPAVLKVERTL VSGFSSGMHALREGSSLTLSHKTDTMVFEAVE XP_844891.1 MKETLLLFLPTFKPTRITMATCPKHLFGKYHLKSFNGKSALEGE LAGVTLELGEEDGRVTVRAKAGNAMNGVLKYEGGMLTGPLISTIMLSPPALMEVESAF SSGFSSGMHALREGSSLTLSHKTDTMVFEAVE XP_844892.1 MASDNESTVEVREARDTEEYDAQAAARGLCDKACDIKSLLHGHV ESTRALERVVGEFCTRDIAEEDAFRKISLDVMHAEMRALRDLHYVAFSQRWAEVEKGS AAEEVTSLPSADRSVGSCVSHLLTSLCEGKPDATFSLSEYFGVADVLMEWRSLTPASQ EQLDILLLSITRSVEDISSCVLLLNGVLYTPSDSFDCCELTRRELTAIIGSGGPASAE EALVGWLNFIVRRSLLNQTLLQRLMSSTIEFRRSDLCLQGDGADVLVVVDHRRLLNSA VFRGFFFSGELRGVEAIGAQVNLMSVLFAGNGSGDQLDIEKCIADIFRALWEQQELLS CKWKNVTVTLAVQARKDVGAGAQTKLMDFVVLDLRPLSPNIPFQWHFTWGEVCALGQL STNDGDRARPLDIPVFKTTRVAVASLGPYDKLSRIMFPSINEYLRLTRKEPPSVAPVA YAAVAAALVVGGFIVGSCILGSRRRR XP_844893.1 MMDITALCGNYRLCEIDGKTCSEEVFIALEASGGGVEVVAMVGN TLCGRACVNGDRISANLTSTMRQVEEEMMRIESLLTCGFKAGFTCEQSDIILTLTGEQ SVFTLERDVLCDIKFGEYTLCEFNGEPVASDEMVLTLLPAVVDGALVIAQFKNSLRGE LELRNGRLRGVIASTMCEVDGSLKCAEEAFLSATRGDGIKVCSDDHRLVLKDDHNAFV YVLRPAIPENLVSEYLLKSFNGESVEAERRVMFRFSQSADGVGTDVVASVANTIRGKV RVDDGKLKSKVMSSRRKGNESEMRFENALKEGFKAGFSWSLDDTVLTLECDGNRLIFV KVAAVPCENGRPGYIGDKVSRCFKAHDDARVYRIINTVESKWAFYNDTTEYNFNVSVT FGRKSKVRGLANTSIETNEEGLTVASVSVAPGATEMFVAGDVNGYKCSYDAVHQ XP_844894.1 MKETLLLFLPTFKPTRITMATCPKHLFGKYHLESFNGKSALEGE LAGVTLELGEEDGRVTVRAKAGNAMNGVLKYEGGMLTGVLISTIMLSPPALMEVESAF SSGFSSGMHALREGSSLTLSHKTDTMVFEAVE XP_844895.1 MASDNESTVEVREARDTEEYDAQAAARGLCDKACDIKSLLHGHV ESTRALERVVGEFCTRDIAEEDAFRKISLDVMHAEMRALRDLHYVAFSQRWAEVEKGS AAEEVTSLPSADRSVGSCVSHLLASLCEGKPDATFSLSEYFGVADVLMEWRSLTPASQ EQLDILLLSITRSVEDISSCVLLLNGVLYTPSDSFDCCELTRRELTAIIGSGGPASAE EALVGWLNFIVRRSLLNQTLLQRLMSSTIEFRRSDLCLQGDGADVLVVVDHRRLLNSA VFRGFFFSGELRGVEAIGAQVNLMSVLFAGNGSGDQLDIEKCIADIFRALWEQQELLS CKWKNVTVTLAVQARKDVGAGAQTKLMDFVVLDLRPLSPNIPFQWHFTWGEVCALGQL STNDGDRARPLDIPVFKTTRVAVASLGPYDKLSRIMFPSINEYLRLTRKEPPSVAPVA YAAVAAALVVGGFIVGSCILGSRRRR XP_844896.1 MMDITALCGNYRLCEIDGKTCSEEVFIALEASGGGVEVVAMVGN TLCGRACVNGDRISANLTSTMRQVEEEMMRIESLLTCGFKAGFTCEQSDIILTLTGEQ SVFTLERDVLCDIKFGEYTLCEFNGEPVASDEMVLTLLPAVVDGALVIAQFKNSLRGE LELRNGRLRGVIASTMCEVDGSLKCAEEAFLSATRGDGIKVCSDDHRLVLKDDHNAFV YVLRPAIPENLVSEYLLKSFNGESVEAERRVMFRFSQSADGVGTDVVASVANTIRGKV RVDDGKLKSKVMSSRRKGNESEMRFENALKEGFKAGFSWSLDDTVLTLECDGNRLIFV KVAAVPCENGRPGYIGDKVSRCFKAHDDARVYRIINTVESKWAFYNDTTEYNFNVSVT FGRKSKVRGLANTSIETNEEGLTVASVSVAPGATEMFVAGDVNGYKCSYDAVHQ XP_844897.1 MKETLLLFLPTFKPTRITMATCPKHLFGKYHLESFNGKSALEGE LAGVTLELGEEDGRVTVRAKAGNAMNGVLKYEGGMLTGVLISTIMLSPPALMEVESAF SSGFSSGMHALREGSSLTLSHKTDTMVFEAVE XP_844898.1 MASDNESTVEVREARDTEEYDAQAAARGLCDKACDIKSLLHGHV ESTRALERVVGEFCTRDIAEEDAFRKISLDVMHAEMRALRDLHYVAFSQRWAEVEKGS AAEEVTSLPSADRSVGSCVSHLLASLCEGKPDATFSLSEYFGVADVLMEWRSLTPASQ EQLDILLLSITRSVEDISSCVLLLNGVLYTPSDSFDCCELTRRELTAIIGSGGPASAE EALVGWLNFIVRRSLLNQTLLQRLMSSTIEFRRSDLCLQGDGADVLVVVDHRRLLNSA VFRGFFFSGELRGVEAIGAQVNLMSVLFAGNGSGDQLDIEKCIADIFRALWEQQELLS CKWKNVTVTLAVQARKDVGAGAQTKLMDFVVLDLRPLSPNIPFQWHFTWGEVCALGQL STNDGDRARPLDIPVFKTTRVAVASLGPYDKLSRIMFPSINEYLRLTRKEPPSVAPVA YAAVAAALVVGGFIVGSCILGSRRRR XP_844899.1 MMDITALCGNYRLCEIDGKTCSEEVFIALEASGGGVEVVAMVGN TLCGRACVNGDRISANLTSTMRQVEEEMMRIESLLTCGFKAGFTCEQSDIILTLTGEQ SVFTLERDVLCDIKFGEYTLCEFNGEPVASDEMVLTLLPAVVDGALVIAQFKNSLRGE LELRNGRLRGVIASTMCEVDGSLKCAEEAFLSATRGDGIKVCSDDHRLVLKDDHNAFV YVLRPAIPENLVSEYLLKSFNGESVEAERRVMFRFSQSADGVGTDVVASVANTIRGKV RVDDGKLKSKVMSSRRKGNESEMRFENALKEGFKAGFSWSLDDTVLTLECDGNRLIFV KVAAVPCENGRPGYIGDKVSRCFKAHDDARVYRIINTVESKWAFYNDTTEYNFNVSVT FGRKSKVRGLANTSIETNEEGLTVASVSVAPGATEMFVAGDVNGYKCSYDAVHQ XP_844900.1 MKETLLLFLPTFKPTRITMATCPKHLFGKYHLKSFNGKSALEGE LAGVTLELGEEDGRVTVRAKAGNAMNGVLKYEGGMLTGPLMSTRMMPPPALMEVESAF SSGFSSGMHALREGSSLTLSHKTDTMVFEAVE XP_844901.1 MNTASTVPRKGAQRSQFHRVRAQEHVRYVEKTCELLDSKKQGTE SRVPCISFFVPSLVSLCADTIAANFEVIPEVDALADSYPELYEMVIERLSTELPLKVS VQRVHCEKFWRRCSESRWSFGQLSEGTRGKLVGGTYRGWKQFFLERLLRDFLMGLKTA KPSENDEQQLLELCNIGRDYIYSLELPCQTAHLDVYGMILSRLPHVLNLSLTYSVNNV EVGFEWDMIGFTEDDALSIRYVLRRYTPLVSLRLPNNRIDSSLLKGIISGIVQNTSIK VLDFSFNRIDDEGAKSLALLLCKEDLPLEELYLNDNGIRGEGAAAIADALTLNKRLRL LNLRLNRIPDDVGGVALVAGLASHSALEALDISHNLLGEATARALAEILPSQNSLLSL NIAGNRDLGVNTGELLLKGLKENKSLRFFDSRGSGLSLEHVAAMERQIRSVVQSDKMN DIQARDKKSREIIHREVEEKLSKIVSVR XP_844902.1 MALTARGLFFVCALVTTSSTSFFLFACWPVLIVAALRLCTGSAP KRVISLANTYYDFVQQLWIYMMVLLLEGVLRVRIAYHLVSKGEMAREQKLADFFARPP PGRVKLIILNHRCHLDWLVMFPFLARAGIAKSLRIVLKVGLSRVPIFGWSMQLFRYLF LTRKWASDRSHVVRMMDYYKNSDGTVVFLFPEGTDLTESSVKKSNAYALRNNLPQFYQ VLNPRSTGMIEMKNMIGAENIDEIVDVTMGYTDFVRGERPNEASLLNGRTPSKIHIVC TRHCFSNDQQDGWEVGDRVKGLRGKGLFSVPADDEALKNWLTDRFAKKELLLSRFYTR NPVGFDEEHIRSVFGQDCDIVSYDEDEEAARYPEITKFSRIARDLGMWYGVVFVILYW TVPVVLVLLFAGYWVLFWFVLCSILCVSAVRKVGNLSYYLIP XP_844903.1 MMAAITRYSPHSERTRVHYERFLRDIRRWLPPGLDDSIGEVAEE TLSIVCRAGSGGFSGSGAATQLQNVRKQLETLFDSPLSAETLNEVLQYGRLIDDFVST EDEPGAHTNNVVAEDGLSDLLLMQEGKGQRKPSDMSSSDSDGDTQKADYGKGRDLMKF AADVDSINDGFDDQNDDDDANCTNAIRVTFEEVACNPNYIRDSLRRLFPTQTIEECDL QAERVLQYCGKRSVDQLTLETQLTAFLGGYDDEAVTDWIATVAASRWDIVYGMSFASK QTQKEKSLVMDAVKEHARTDRVVERLYQSITGKELDNKPHSGERGNDADGSKPLRRVD LQAYAFKDERTPHQYTHAVVPQGTKRAVFETHDEVILPPTASATENLPCTPLAVFPEW ARPAFPGVTQLNPMQSKIFECAFRSDENMLVCAPTGAGKTNVAMMAILRAISNNMSRT GLVNLRELKVVYVAPMKALVQEVVRTFSARLEPLGLAVIELTGDSGANQAQVGGAQLI VTTPEKWDVVTRKSVELGVASLLKLLIIDEVHLLHNERGPVLEAIVARTLLQQQHRCE AGIRLVGLSATLPNFHDVASFLQVDRQRGLFVFDSSYRPIPLQQTFCAVKKVRGTNQA AVMNLVVYDKVLEAATEGAQSLVFVHSRKDTDYTALYIVRRVVDDKRTHYFVRPGSDS EQVLREAVSDPSNSLRPSIQQMLPFGFAVHHAGMSREERSLVESLFAGGHVRVLVCTS TLAWGVNLPAHQVIIKGTRVFNAAKGETELLNALDVLQMFGRAGRVGFGSTLGRAAVI TSAEDLQYYLSVLNNQLPIESQMMRRVVDMLNAEVVLGHITNLDEGVRWLQRTYLYIR MRRAPEIYGARASSNDPLLLRHLGNIVHTAADDLRRSQMVEYDSNTHRIATTSLGRIA SHYYLTTTSMATYLTYLCNTMHDVDLFRLFSMSKEFSHIIVRPEEQSQLQYLLENAPI AVRESRYTPLAKINVLLQCYISNMNLQGLPLMSEMSYVKDSAQRILRALYEISLVREY GRTAQQMLQLYLMTVHQQWAVQSPARQLRHTVPPKVFASFIHALESRRVSWEEVRSWS LEDLVEKLSDERRAEAAYACIRRVPHFIVEAAVRPLTRRMLYVDVDITPDFMFDETVH TGTSGELVITVEHTNGRILHCERINLRAAALRGCETVSSPTIVVPVVDPKPTHYFVRC HSMNWLGVESSVAISLMNVLLPDIAPPLLEVHHRPPSVRSEDERDVSTAMQPYGMEAA ASKVFPFTEFFQIQHDLVAPIMENRGESFFVAFPPGSGKTAVAEIFILKFLLECAHSR SANGVSSPVGGGNEEENNDGGESILRTEQKLLYLTATEACAMRRYNEWRYKFGEELNQ RVAKLEPYGEELAIKAEKVRGATIIISCGSSFAPLLRHGAMDFLSAITHVIVDHVHLL RAPEGRWMEECVARLQSKPYIVNRGQGPARLLALSYPLISCTEVSRWMKVPTARQYNY GNSYRQLRVRLEAVEQFSARSRYAAATISVLKLLQNDRYAVSPCVIFVPTAQDAEELA RRIVLRCRDFVPTDACEDVEDRQLALLLASGVAYMHRGSSLLDELNITEKVERPARHP KTDEPLPLYLVCSFEAAWRLPAALFATAFVCAAERIGNVCEEDKESEVGDFATDCSVS ELLQMTSRALNEAVVYCRAARRWVWSRLLNDPLPIESHLRYPEDFRDTINTAVAQGRA RDMPDVLRILQSHYFLHHLRTNLHFYGVPSKEDIPAYASEFARSVIASLQRTGCVTSS SNSASSTGCDGDELTALQPTPRGVAAMRHGISIESLEAIDEAVSANSRCGDSVTRMWR VIASCCVELTPQHVGDAARIVDIAELSALHVVARAFPHTYDVQYLDMDFSKGWTKVHL LVLAHCARMFVLPVSCDDGGVEAERGEIDALHPFASRSSLAVEPQLLLQIPHSVAERL LEDLNVLLPVVLDLVRGVSEIFDGRTQWRHARCLMRLLSQIERRVWGFENPIMVVPCV QETPALQRLLLSEDPNCVSYSLERLQELCDAGVKASKEWCDLAKRLCEEVPVVSDTAA SEALVRRLRSEVAAVPRVVSLSSRGTIELTKGGQAFVIRVTGRVLCACSTVGGSLGPW WIACVVRHSGREHVTERLMALRTVAAVVEPTTRDTQGGNTRDKDATGKDLTTPPPLLS AWSIEETLSFPLHAVDGEDLDTITMVAIVISARYRADAEVDVTFAAG XP_844904.1 MQRAQILKAGAVCAGRREKCSATSSASSGSSPKTNSSGTLQVCR GRQRTVSEAPSTPGSEGIRFGVQGSLRRFWNSRSQSGKPIATDASVDGKCTSITTDEV KVPSSVSVSSPIHVCDDVVTTKGDCGLVCTGTQTSVEFCRHLSLQQCGVAVEHGVDSS LHSEGLLPKLRYGSRRMVKRLMRRLRLPSTWEATLIHSLNEARGNPHTVAAALTKQLD SSCGKLVLLPPITAVGGENLECCRTQYNTQRTDEVPRVQGGEGVSDEITHSPVRRMDG APPLTVGEVSGAQPNKQRAFPLQTTNASAEDSNLSACVSGNTPEGPARPSFGGLDLDT GVGAVGRKGSIVGTPSGSSENQPGDANKTSTLRTKGASTAASGGLPLRAPRVGEDFQL QEVCKVNAPFADGSLRTDSIFQLPGLSCRETPSCEQSLPKAGLELSLSTDNKEASRME GVGGAYFSGGKGGACPSEKFWTSSRSVDEANPVDPFATDGTLNRAVLQKTAFATPLTP PLISAAATEEGCVPPPPTLSVGTTEDKGIPSLPPPPPPPPPPVRGKVPENRRCCKTRS VPIDKTQYIAGSSAFCASEPVNLPGDFFQILAEEFKLAESSSTRKAPGLVAETIVSTD REKNVGVVLRVLRLPVSTIEECVRSFDDDTLSEECVASLAKVIPTKEERRLVESWINQ DPAVEACKLHRLSTVSQFFVMCVSVDLYAERIECWNMRNEFNCRVEDLEQKLKRAHDG IRAALDTKRLPRVLQYILAIGNFLNAGSRYEDAKGFSISQLDQIIQFPTTDRKRTLLE HAVMVIERCEPDLHRFTQELLPKVEYAGGFDTVGVTSEIQYLRERLEKCVTLVHTIAE DNPWTSKLDPFLRSALPAMERIEQHHSDLEAVSEELAIFFCEDPKTFPMNKVMRCLSS FAKRYDGKRALLQGRKMNPSKNPRRAIRS XP_844905.1 MRVRTKREGVSNNFGSAYVNCEIISAFIKQKREENSSSGLCPSA HTAVEWSIRAGSRTAFLLHEVPISCGPSSPVGKECGAPENNDNSVENGDTGTYKLVIG DEVVSAIMSADDELDRSDALRLLSQKLCEVFRIPVGITTGDNSHGNCLWSEEGSCSVL TPQELRELPSRWIMQSLNEATVASSHRNGVTLLDCGATVCLKSEVLNDEPKRELSSEP VRKRNYKPGNAPQYRVCDVADMANGQYWVEVEPLITGPESTGSVARRRFDRDELLLSP PQPVYFDPLLPVDEINNSRGTSACLFLSAVLAVGRIRSERAAIGDLSMWDMYPKNVTL QMGYASRDIRRKVHELCAARRDALHVEGGTEGTDVKKEELELRLVTDYVTLRYKGKTI EVLQRTVERLSLLWDARLAVRRVRGARRAHELWLDAVETQAASDFSEKIHVFSTVEPF FMRLFTLLLRYSSLFGDLGYNQGPHAAVPPAIMQQLCQVFDIQCEAFASPLNAQLPLF CSLFPDTDYFFGSLGSFFDIALTAGHFEVNPPFVTAVLQRLEKLLLKDTLPINDSDGA ASMLFVVVLPSHDLDETERSDGLEGGAPVAGNTTLRQNNNCDRKRGRFENDGRDKGGG NAVSTDRALRESPYCLAHVLCSAHESVYVDGHQHMLRAPLFCIGSPTRLIVLGNRTAR LRYSDAATRLQSVREAWRGYTLENRVDSP XP_844906.1 MLCALDDTWADCLDEFKLALSSTGNTWKCESLAGVDKEAMLSAP EVMDAKTDPGCGYAQIRSLNDLLAKGDIPSAATLQGQELLDVMDLILAKELQYLQGFS LTSGCLAFPYFFKMDLLKEQNPVLHAYCRGVVRTVEIVLRAVMTTGIRSDEEFVPWIR EMESEENVPDEQILCQLEEAACKADSPAVATRLRWRMDFLSALRLFVVVEGKAAVEEA CGICSAAQELLNSDDYARTFEPVQDGRFFRETEVAYWASGFTPTKPMPVAPFCEVVQS YTTTLQQLASLKDLFSLPSIQAILEYIESIGAQKPLLPVRAIAVIALFRSNPSESFLY GPSLSHRVLEDLSTSYGAPLYLGIMEGKEELLEGVVKYRLHKGMDHRKVTPQQTEFLR QHTVDAVRSWAREMEKVYLIHMECMLCNRGLAHRRLMNVLPQLGGLQEMSYSTDINIF LSQTPGLAADLQNEAIKRTSILTMYANQHVLHVMELIFSLTVELDLFTQGELVPALWY MNFIQRLQVENLLNLSPPYGALIPETRINRVTKVPLYNLALSTRTSGNVDTLRVAILE TGRQITDALFRAACVMEAKGLIDFKTQGKYSLTTVERVFNNRMKCLGHIRSPTFVPYG YCVSAKPNFSSTNDGDPPKISHYAHEAGVQALNAAERLKHLLAMKGLDGARGRALYEH IKAMEKTARAINASLASFVGVCDDEEALKGYAVEVCRPFHSCMICLTLRKRTTA XP_844907.1 MAARLEAIAAEYFLPAKPENRTREGDSVSSKGGKITHTSAEAAA GNSGQYPPTSSQESETDKKRGGVSEDDCGKSSTPLALLDSAHILPPLMLYFECLPTEW ETTPAVSANQPAVPGLVRTSASGGVPTGCEVAWQRPRQFGSDPSDKSFCAKWYGKRRR QGTQKEMGAAVSCEDRYRGRQRVQSDNGLWRWCDDMDVFANFAQPPLSVVCASYEMLD ERHQRGLNAVTTLVEKCNDSFHREQWVTATSLSMQRPPFGFGSTEAGTSTNAGSMPAC ASTPTPTLTDYRSSIVNSLNSFLRSLSKRGDQVLVLHIIIRVATWRFCSRNERVTLLF NHIHACLPTVHPSTATLAMEAWAQLHAIGASSTVYLKIATEMLRLACTFISDVSVPAG QVSGFIMIHHIAQWPYLLYRTLLRDERDRLLLVIWKSLMSTHQPHVRELAAVALRSLF ILDIVRGSYSCMRELLDRAALLVLSPGDHQKAPLSSPRTAPVTKGAAHKSQRATPLGT SLKQLEAPLLVGETACTPRAVVGTESVGTGQCCREGTSCTITLPSIPFFGCTIARQYC LNWNSSSGDGGATLVATESGSSGGDLLRPAAEEAVVVAKGELGNVEGRCFVPRLSPPA NDEPFLCGYIKQVDPANKRFKNRLATIAPSHNADTNLHASTLVIGAFVSALRSCAAFL EIPPLVGAEDTQPGVATGLRGANGGETVSVSEAQGGPPCSSESSEETDSCRRSTSLAG SSPLYDTAGGAKTTLSIAAVMEHLDETSLGRSPPKGGKYHAAPNTGDRRSLGYPSRSQ EGQGSAPAVPDDTRKVKELVLWRLFPVQPLLQCLARERQDKSSSLWHVERLVAVLALY GLHTLKEGEIRGALDMLLRRAKVAHRKAAAGKQQQEACVLHVALPASAAFGNSTVGGG VGSIAGDTVGTSEKDMYTLSLVNLSLFLSAIAVKYPQLFEVTFVSHIYPMLFYALQQA TPAYCPAASAVLALLVHNFPVSSRDRLQPIVERLTGIATSMPFSTHTLGATAKICGVY REMRLHCCLALLQRIAEVLNDNGPVIVRGARDTAVSDMERESVCTDFPLKSASDTRRK IVCFRVLHTVCMEWEGTALFFLEVCAPYLHHQNTVLRRACVQSCVRLLLSDCFLSRAE RVGGSPLSDALDGEVEQMAACAVKCLWDGDLKIGSLCAPCNPTLYRSERNGIITSETR AYPFLAHAFDNVNLCDVGNVRRGFGDDGDTNSEDENNLNLDRALECSPLESSNHDATF TCERHTGRSHNNILREVLHRLVVVALCDPDETIRHSALNSLTKETDQFLYTHKEIVDL IFSALNDEYPPNRLQAVRILRRLGHYIPMVVYPRLRKVFSLVIQDFHSTMTSSVKKSK VVQKGDEWAGARGNCELSYGSSQSHRVNADTPTNAFTSNSTSNVVKYSADEMMLLFEL VQSVPNFIPIYIDTMLQLLQQVLVPHDMLERGTVITALHIVSFLMDETTRVEWPKFEV LLRPLSQQLLLRDNDTNRLHVAIGTLQKLIQYVVIGNPFDQRDDLKLTVESLYSLLYR RPPIDTELSLSVVKLLGTISAICTDRKFDDPQSTPLLTLATLSGSRGNFGTFASTYQL SIRPTPGYAMFLRAARPEAPFYGNEAKSGRSSQLTDYLWPDVMLRVLLRTLSDALDDI IAFTDEELQACLQAAVAVLRSSDAPSKLQLYLPPLLSVLAGLLERTDEDAPLRVYVTE SIVELVKPAGRTIAPMFELLVVFLSEHLMSSIYTLPSCCKLLIGLCEASPDLVQEHCE WFVLLLLGKLMHHVEMATSATASATMQRQRRNQKQGAPQQIPNDFDPSPQDTIARSYV PGSVIGVVLQAVLALLPIADGSTVHYACLMFSQILRRAGGISEPLMVGEETVEGHTSN VTVPTGLSVEVIRGIVQALVDLLENFDLTAISMFVVETALLLLRIYAKAAHGVTNQPS TRCSEEESTRRNILLVPAGNTPKIQETPKITLGSLPYGHQEPPKTWFSQSPLSRLVVE EIVNKNTSITYRFPFDEVTTSWEAQVEMDLLACVFLVCGRCRLPAVSYELVVGEYIEE RFQPSERIKEFFRAVSSPTSRVSLLHGSQQKPPLNSPLRSDGFAAETELRGETIGMTP SCEKTATPTKFERAWSSMHQLVKHTCFDGDIPRKSAPIPMGSTNFPSSMRSSLLSSPY PTQNVSSVRDISVFAGRESGPGVGCTTHVTPASNISPVAFVSGDRLLARVASSLSENE ELRSLGRKRLSQVCESPFSVGGGVVNLSGRAPSSEVQALADNVSLDIGRDYDNENMDG DIEEQRFFKLHENLSNSEWRPWFELFCVILVECSDHACVSSCTSLLRRHFSIFSSDIL PVAFLSHLVACDCKRMVRWLELLRDFAYAHDYIPHTIAGALAQLAYNVRLTREALLPP SLAKTVAEEFVTLDLVATLAEKALDAPLVLVCAEEKLLSEFSWEAAARLYRALEDLNY PFRTNILCNQPGFRNAIRKLMRNCNGEKQEEHCWDTPVCAADGVPGESDHNSPFPWEV ADDATSVFGLSPMLCGVCPVATDPSSWRREENTKVKKRMPPPVVLEFAWPEVALGQYL RLICIGLDDVHSEEAVGGHSCPTSLGRELPVKISSNDVVGAMRCYMRVYDFESILNLW KVVKGIRLLRRADERAVNNRFHEIERSLLRTMWPTSECPTEAHIANFVVSAAKSLSRW DVVKELSYNDFLPDGEGNSQFTQPYRSFPFYKQMEISRAAALVKSKCYGEAKGVLAPL RAALRDSYTVFYTENARLKIELSVMFQEISDLEEGINAIELKRTTGGASSDFPAGSGP LPGIGTSHSVGNSVCASPELCGEGRADYSPSYAGTHAGHEQHYSQGKRCMAYTEATMR RLQNIAGRLLPEHSTILQRFEIIALRSTLGPPEWQLQNILILCERIAEEGKPRRAVQA IEHFLTPPIDDADREGYREYRSSLMLEKYRIGLQHLCDPQDLESLSHQIAWEMSIDID MFTGSQPTPVQLAAEDPSSCLRCAYTGAIGQERSESMLLLLLCQRKMVRAKRRQRHCF SSGSAIGASNGFAAEPVESVNVISSQSEDNGSSKIRSPLCSNGATGRVECTIDCPRSD AEIVREYYRIEEIVSTHEATASVWRELGLLLFDVCMAIYKEWVCTRESKTLSNFCIRS KEAISALQKAVTIWNTQTFSSISGVGPYQSTSVRRLRHSRTALPVVHLLLKSLHLAMM LSDILSDEAHLKCLNNVGSTSPTAAEASEKCDDDYIGGDFHQAPRSSCADSVNEVLED SKPWSEGMGFLNLDFSPGMHLHWGCALPFLINAAARYDELRSVVRDMCSHSRVMLYQC VYQLVSLDHNYSDGCKTERELSHEHNNPCGLRSPSCISTAAPSVPCSQVPVEEQLLAE DTVKRMATQEPQEERSQRFGQFTDILSNLGTVSEEHRTVIDQTLQFCEFVMGDKGTTL ILGGCALPVPLWNLQRYMAMTCLTGETTGKFCEAVKAGSTGAVRAALVSGVCSTSVEL QRIQQVRRQMCCPSAEGTQEEVFVLLLSDGTMPRFHRFIPTGGSSTDCGGKTSGGAVP ITQPFHASPCDVRRNTKGLNCPSALFSDVNSFFSQPCESSTPVPVANHFVRFTADQRQ FPGFQEPSNYVPPIAAMECVISCLLGHLPVRYLRRPLVLPLGLKDFITQLPNVAVTSS NWQMKLPPSLQAKCTAFMGRQPLSLFHILNEYKKHLFSNSALDGRRDDHHGCQSRVFS GGSDGSPRCSPVAKAEMRAASPPHHRRHRSKKRGLSTQYEQRLAHAFDYGSIQPLCSF IEKNLEVTSSATQLPRPAAQMEALYSELVKLLPRCSCNRRGTKPESGPSPTESGTQVS KGSEGDPVAAGETFSVSSTQCKCPNTNWTQLDESLLTEVRLIILRHEAPLYTDALQLS LYTASTDAGKWLNIVQTFTGELAECSMIEYLLDVTDRESTTFFVDTATGHVATHCLGG HALQRRRQSRHSVAFQHSQSLNGGEPATTETGPKADEEDVDVTPGSSPFPCTDPTIFR LTGSLLAVLPLKCPFGVFLSTATQCLFSMLRYQTDLVGIVKYGLEDLRGFACRHVPLQ QEQFPESTRGFNAESGRRPTSEHGRGSTDVDSIPGGLGLSPYAGLSPKGSNNQVPTCV SHRPGEVRVNTFSIADASGASLHSAFTGGTLRDGSPRNTPESTNSQPPLHSTQDVITA HEKTVRCEVQEPFPHAMESWVVANKGQGATVDGAPLRRKSSSAAVLGLISGISVKKAA QQRSLNLDLPKLFDCASIFVKLSDDLLPMRREPAVEAFSGLQSKSFKDSVDTLRCERA REDLKATGSLQHATSDTEVEEKRMLQPDKSCNAHPHNANVAITARTVESRVVQLINAA VNNKNLIGTTDVPHRWRSWAPQW XP_844908.1 MLEGLCVRKAVERLRHKTSQQRQLVNEMRERADALTASGLAAAR ARRDPAAQKLQHQEPCFVEVASSSNLQRGFSPDCMLSGVAIGVSDTLDVREFCTRYGT QSQLLQQMPQTEFPFVSWLRRHGAQFVGKLACRTPLTIDEASLIVSDNPAAVAVSRNA CNYAISSSLMGPAALTSPLHHPIASFKPTAQSFATFAEGYRLSVPSMSFGITAGTLDD IFYLWEVFTSAIQPASFFDTQSGDTTDGNDGNAVTDSNKATGSTKRRRPVPSPPNDSS ANRYGYASVGRFKRSISDGYSSLRGSRPTSGEEELIGPHIELSVGYPADWIDALCHER LLSADEFRRRILRLVSTRNSMRKNEVLEVIPLTIDIDLEEVVQATQTIGLYELSQAFD RLFFWNTHSDGRDPCLSSSSSTSGKAGVLEELPEELVSAIFNGRALTSLDYHRALRTR DSVVRATEEQFRDVDFILTPMLSEPYVNSSMRSIALLLPFSLGGNPMVSLQLEPDFPV VLIGELGRDTGLFEDSTTFLQFVQGTSPGWWRRKFMR XP_844909.1 MERGGKIAAIFVGHFVSRRHKVFLFLFSLLFFFTSVMLLSSCFL LSTPSFFSTGTSEGSSISRGIVSFSSGELPSSNVAADTATIFVSLASFRDSECVTTLD RLFSAAKNPHRVFVGISEERFGSESGCTNSPTLLGFVGSQRDFNIRWRDVVPRAFDEA TSDEFRPPPTTPLLHARRENDVLTCFLKPKISRPSPLPQGKELLRNCQVVTRVGDPDD ARGPTYARYITSLFYVDQDYYMVIDSHIRALLEWDEKMIKHARLMPTRGVLSHYPNGY TPQNPDKEVNYTHVMAMCKAVIPPTNIPKLGARWILKRQRPLLQSLVAAGYIFGDAQF VKDVPFDPYLPYLFEGEEMLYTARLWTNGWDSYCPGDSFVFHNYERASAPRFWSAIYK SNQSESRKRQEKIGVLRALYLMERKELNTTVPLVSRDVAQIVCPAISIEEGRFGMGTV RPLDAFWEFAELTDAFLKEKDDEGRWMGGEGLCHQLEALSASIKHMASED XP_844910.1 MTRYGAVQHGTHASGGPSQLGQQLRREGNSMRFNDIGARFTRSG SNGGSYGGGSGYGSSVSHNPPSLRRQSSGLLSRDNSLCGHLSAEDVGYGTLYRPRSFS RGSRYGMRSSSQQGSLRSHSGAGGGGSFVKRSSFRSSPSRYPCGSFHWTPDGPASASN SGGSFYGTRRRTSGAGVSSVPNPARCVYDMGGAVSRRGSLLAGQSGTTPREGACYGIN RKNSMRLSRTNSCMNGFYSYGANALASRREMGGYGSLPRSRLAGRPTAPPNWPGVPTN VSRNLNPMGTRQPQRPLNEPIVPDDAEKDVPGQLVRCNSEKKIGIYFKDVQPTEEGEY KQGDAASGRKSKASMSSSAVTNIRTIVLLEGEAEDSIHVDGTTMTLLKPNSDEPVRFE TKEIVYYDPNDSNIYMDSTEELCDTFLLGCNISLVMADAQCPAQQPTQWHSWNVLRRL MRDVFARMPDRAELRLSISLMDDDKVMDLLVPDPQFVNLTVSYSPLFGNVPHGMTFEV LDSASEFGGLLKVALSRAVGQDSGEFGIILVVAILKQVRKNPSNPKDEEDVILSSLFA TGVGDGVVHYSRILDKNPAEPRAMFQFAVGGPSQTAAVVSMADDPKNLVKNHQFMTTL QRLGKIENYTLRLGSVRRFVSYTKESLPKTRIHLQNLPEGPEKEALKRSVTRYEKMLA DAEAMLESPDCAAPKTYVR XP_844911.1 MSQADNAELKSKDARTFAVNFAEVGICPKSGVPIRLCYQTFGEP AAPNGAVLLIMGLALSQQLWDVKFCMRLASCGYYVIRFDNRDIGKSTILVGRKVLPSG TTESSDEGDIWEDSNFLSHSPPEGGADGSKSCMYIQLAHAGIAANGHRKFQEVYSLED MAKDSVGLLDVLKISRAHIVGMCMGGMIAQIIAIQYPNRVESLSLISTHSSSPLLSSP SLRVMIGVATFAIRCVRKGVAIPLSDSMAEKQGRKPLTSREKDDLAQVLAQFVTSFAG GKESAFPIDHKALLRQTRRIVHWSEDFSGFARQYVAMIKAPCRVSQLRGLSVPCVVIH GTDDLLVPYRNGKKLADVIPGSKLVGIEGLGHILHPLSRERIIGALVENMGQSTNALS RSGAVAAKL XP_844912.1 MLTTTDTIVKVGKCASTGEDISLSYDTFGNSKDPCLLLVVGLAG VGRVWRDAFCEMIAKKGFYVVRYDNRDVGLSTHLDNQPTPNVMQCLLPQFLSFLRKVP YTLEDMAADGMNLLTALGIERAHVVGSSMGGMIAQIMAIKYPSRVRSLGIIYSHTGSS KRVPETFSTKLLFMKKPKSSALEDVVDFKCALARHFRGPGYNVDEEEFRKLAKEQLER ANDYPQGMLRQLAAILSAKSREECLKTITIPTLIIHGMLDEVVPYQNGLQIAEAVGPA AKLVIYPRMGHEIPVELMPSISQEIADNCGRELTLA XP_844913.1 MRSPNGSVGGRPFGYSHNGPERGEEGEEMENVSERIDAESDYGD SPNNRRFGPPPPPPPPPPPFESREGSPESTNSNGRQQLQVVSVGVLNVQHDVSGMPLA GRDGTPRSVPQSELTKRKMQGEAQLRYEYYNRPRLTVTSRPRIVAAPMLDRSDWNQPL FTLCFCLYGNERPEAPLDDEFNSPESSVTPRRKHYPHSPSNSGNSSILGHFCWRMFCL RCSVADQMRLLAIEEDERGYEPLNFCCEGFFGSRMSLPRAFWTMCICDILTGGSPCGC FYHGLGTALYGCRLRYLVRCRYRLQGIVLSDFIHMLCCPLLSVDQQGAEMLANGLVEP REFGKFML XP_844914.1 MHHGRKVLEAQKKRDTAPQQEYFPLSKEKVRHDRVHRAEEGKRD RENIGDMNLDDRREDNRGNCVSGRDASPGSSSRSKGVCSEYQYGEHKRQRLSPEGHDF KVSATQSSHGSTDAYLSSDRSIGNLRNKSRATDASMRNMVVGTSPLSTGKYNISMRNR ATRSALADHDGEFTPKVNAPPAIGSSGQLPSQATDFHLPLKLYDTMKPSPRVGVGEKY PIKPSFQASHGSRDAYSHGPQLGMSDMAPAALKRSSAPSYGEVFSGAHVASLSRGRKM EGRNTPRSVRLGEWRTSHSRSGDGAVSEHAERRHRQANHPLQDHLFAPRRRALEIPPS DPFSFRGISSTESGSHSSSRTGNFTQHSEQHDGRVSSSYTQSPETGFEMWRGDKTPYN ASQSGSPGCDAGYAPGSTNASSAVESHPSYLGSLSWAAPHVYQHQQEVPCIPRPHVEY SGFINPENDCYACSVLTLLLRSPLFCRALLASPTVECVRPVKSVNNTAATSSSSLTTS YDPTPAGVDHDTVREDPPPVSLHHVLKYFAGLLQCPQDIEGGIDMRPLRHFFRGNFFS GQQEDAHEFFLAVIDKLTEESKMFLKSTDASGGFDNPAVEEGEASKEISTDGQGTAAE GTPRSSGNESMPLWINTLITGQLLSIIRCGNAACGHEIATVDPFINLLVNIRKGEETN ETLRQSNPNSSPCQESEHCAPNSEPVGSHGSLLYASPISPKPYYDVLSLLTYSFRFSA LDSYVCDACGSSNQQLQGGCLLGALPPLLVVQMKRFATTYSPELGASVTKDVSPVLVN RNIVLYSLDEEHYNREEKTLRSSVLHAEQKAKQHSEGEAVDESATHVKATRCSYRLQG VVRHLGKSLYGGHYTTEFAQERVDRKEEEEEEEEEEGDGSTDGTKDGCGHQVDGERTG EGSVEREKHISSDQCAKRSWYMADDERVSALSEEYSMKEASRSCTCYLLLYEKVGEEA VSSHVWDILPRGSE XP_844915.1 MSKAAVSRPRVYVRIRPLNEREKREGNGELICHGDQRMRDTLFV RKDESGAELQTRFDCVFDRDATQANIFDTIGPEVLNTLFSGYNASIFAYGQTGSGKTY TMEGDHSKPERLGVTPRLVRAIFERFKGNPDISRPVCEVSLVQIYQEKIQDLLAGQKQ LEIHMDRTGQYIARDATWTRVRSLEESMKLYKKASEMRTTSSTDMNLVSSRSHMIMMM KLQWDEPSLPGSHAQLNLVDLAGSERIALSGATGDLMKEAIHINKSLSALGNVVSKLV EQAKHKGRRVHIPYKDSKLTYLLQSSIGGSNLIHFILAVSCSALWRSETNSTIEFGKR ALQLVLRPVRNAIDYTRLAEMEEMIERMRSHIASLEEALRDKSSEAAEFLKLKQIPQD GDEGPGKFSDSRGRRQRKKLKMQTELARIMANLPETFDDLTSHCVLFPESKGSFRELG GLQHLVHFVDRSASTFYRSNAAQTIASVLDDKGRDMFVEIDGVEALTRLLHIKEERCK EAACVALEAVCRGSLKNKQSLSPAVYTELVDLIYSYPNQQVQEAACTAVATIVDLYPE ARRTFERLDIVPKLLETIRNTPEEVVNLIKSATNCIGRLSHGDPEMQDVIASFGGIDL LIDVLFSNAGTRDHQVPILASYALVNLCCSNEQNLDIAQDHPRYGEVKFRLLEGLARA FGVNTAREGFGRATAHETGSPFPYYGVTIVDKWSFTSSGGRPIFSTFMDNPQFYLYVS RPTDVAFMIQDVLYETRMLKKKKNNTVYMGLALFEGDPELAKAGLKQVDFHGRMVEIG KYTSNCENVLHCTLQPSEVPYVVVPFTSQRGRQTEFALSAFADSSIELTAVPEQVGWV RTVLDGCWTEFTGRGGDGFDWRCNPQISIQPKENCRCVFVLSYLSLDQQRAYSRDEEA EEQNTRPRLYGRLFTNSNGEKRYLKALVPLPQKSTFVASNSFASNSYITTSANLTAGE SYTYIPFTETPYEDTWRLSVYCDTDDVAIAPINGSKSEWYCTTSSGEWAGKPLSIQLD TKGRMVAVASSPGSFLRVRLFNAKGKKLEGIDAYWNAEASVEYKSQGAVTVQVEGMVR TENGQEPARGLKVDVFIFTENKCTVQHVDFPASPSSLLHPTKTTPIELLRYPVEVVEN DAPFATLDQTDDDEEDATCDEDDSYESKELELKETLERRNTENAKLLDRIAEQQRELQ ELRMLQNMLPSSATHNESPGSGSPNDTRINSGMNQVNSKKDNISRKGDRNGAAASSNG SPVSSKAISPSHFPQSGGGGVSRSMREAIERSLVTLNRLECHTEPPKAGEWGAIRNEV KELRKLLGLALSQESLVRT XP_844916.1 MRHCHIIRYDSFRSVRNDIGHLFSPFKDAKSLQEAMRRHIIKVP SRYADTPRQRMSWFRGSISLILSEAARRGSHAVSRATMELLVEQSEQLQLRVVDPMWV KNAFATCTNADEMRVIEQIIQKFHDKQCTDGETKRGLSQQHQKDGAPLPPALSNSTGG FDSAEGSVSLLGDYSGFALESLSRQRVSSVTAPNTSFSRYFIRQGLVADEAELMELFR AMIGRRPAAFRVHTSQMYGAATAEIISGRPGIEPLHWLPKECAAFVMHDSPNVPHSVL LANRQLLHTLASEHLISFQSTSSMLPVLLLDPRPGDAVLDLCASPGNKTSLVLDYVSS LRSTSLPSSNPHFHHGCIVANDVSPPRSRQLAQRLRNSSPTVAVTQFHGQSLPLETGA SGGNKYNKILVDAPCSGEGRMQRDAMSWRMWHPLRGLQFMQTQLRLLRRAVNLCSVGG HIVYSTCTLNPLEDEAVIAAVLRDGAVELVEPPRELREKSGWRFSRGLRRWVVPSRAG GFLNTLAEAEAKGEGNPTTLTDLFPYEGNEKIQSALESCCLRVMPHCNGGAEGFFVAL LRKLPVNLNQLSPTNHASRYGTVKVEGTEDPVRGNSSTGALTCGSVLHTYGVAKLAAG NMLLQRLLGGFFSNNTCQLEFFFSKCNLCAAWKEGHGLIILSNATWSHLDATPSSFCS KSELLELGTTVIEAETGNLTAVGAYLLRPYATSRVLKLPLLQLQWLLSNQVLKINEHQ MDPTVLARRLVAGVGGTSSDINMQQHQLTVPWVRDIMNVVGKQEGNFIVCYSPSSGDV CDSGSFHKSNDACGLLSISIPVIVRRTPSVELHLSLFHDARQLCRAVIGRVLSHRKRA DSPDGEKSALQLGSRFNVSATLGITDLEGRRLTPQGQKRKLYSPNLSIYPVTGSGMVA STAIEGNSEGDRTKEKDYFIL XP_844917.1 MQTLSASFAAAAGVSHESLPRHVPTLTLLKRILVLTVKLSIAQV AQFSLGITLLAVVGKIGVRELGGASLANGLVNATVFAFGAGFSGALETKLSHTFSRNP KDKMYGVYTLRMLIMLLITFVLLSPAILFLDRVLVAMGQDPAVIDFTGEFCRLSIWGS FFAMLLELLRRYFACQHLSTSFSVSLVIGAVVYPFLLIGLVKVMGFSGVAVGWSLLMI CTTTGLVLYVVVTKKYLATWGGIEDAIYRNWGPLLKLGLSSMAMMLSEWVALEINSIC AGFGTKEELAAFGITYQMSGICWAITSGTFIAASVLVGGAIGEERPMFARRLAILCLG TSVAISLCNVAILLATRNLYPRIFTDDEKVVEIVGSLMNYVFVYHIFDAFQSCMMGVL RGCGMQKQGAIVICLVYSVVGVPLGLLLFFFTGFGIQALWLGPLVGAAVVGFPTYLYM MMRYIKWDTLKPSVEVYDGEWEESEESGVLEDVAKVNEPIGAEGDVITTLSSNAPTRV TTNSTEQEDVSRRHQ XP_844918.1 MAVIPKVGEVFRGEDGVISVVLPDTCDPDHEPTEEELHEYAEFI GIDVKEEKHLLWIAREGLQTPLPEEWKACRTGDEEPYYFNFRTGESSWDHPLDDMFRQ KVDLERTKANASALISGSKHGSTNMKIRSASLSYSSASSSASSDDADSSAETAANLRR QGHVSRLEKGSGGNAHSINHVSAMTARPVPLTSTVDASSHSFSTQGSRDGALVGEAAG KLGALRTPAPVQRAKLDMSSLLEPGKGGLPSTAIGGGSGRGNSGKGNFAIGSKGKCPE GVRDMEASIRQRVDEENDARRRTMRIQQERQLAEERLRLEAEIRMVSAECEKELNAEL QQANESEEQLQLEKSKMDAVLSAKQREYDEARKQVTALGLLLQREEAKLEGEMQRRIA EAKERISGSGDDKCKKAKEAAAAKLEKEKEERRKAHTKRLEEESKQLAANTQSTVGSL KKALEEKRLSAEKQLKAKLSEFSPAPPVSTAGESHPDIKEAQSSLELAVKRATAEAAA TTSALRHRYENELEELKSEKKRALSTVKGKVTSKNEPVEDTMQNSTPHPSLEEEEKRL KEALEEKAARYVDETQRLLSFMRSELNGTNSTSLNTTEGDNITLSSGAELAEEQPNYD RTWKQLDEQHARTMDRIRSAHEQTLREKHLFDPRKSLDFAEKLKVLQRKWLAAHPKPK FSVGDSWSAREGTDTYESNSPQVDTKLLQERVDAAVKAATEEARCKHGNQLQAVQELL EKENRKVSEAHRAEKIKEMKALVNQYLEVCLAERSKEIDFSTASVGDPYKSNTTRTGN ECHLQTSPQLPEGMVSLEEAQRREEEVHRQLQDRVKALQDATKLSQTELRRQQGLLHT KSLYSCQRPPIVPMPASPSVANDAFHTPRFPLNQKQPQSAFTTPMLNNTLSTIPSMYT TNGSEKPNATPYSFLLDICGGRQQKPVLKSQGGNLDGEHILRNTVEFACSSEQTGHDL EQRFQHARLLLLKKKDELLARRACMERSRTLWLRDMEKCTTTGERSHARLLRQIKVSL EEQARKLNQEVLEVRLAFASLRDSERKFRSAAGREEATNGRETAIMPNSLGGNNMSVN STTAPLSKSSQHMIELLDGILTKAAKLESHVSPLPDKYKLMGSKSVEGKQHHSHARRS HSTTRHVSRAASAEGKSGGVARWLDEQQLAS XP_844919.1 MPLLLRLCLRIVDPDGAEMMMWLSYSVISTTTASDVIRFAQRTV CERLGASLAAPVGELTLCIRDIFMRFVAVGTLASSKNEVPITTMRFFEELLRANRENR SSSSMCMGGDGAWQKFIVIQGALAPTKVLRHLDVPKSAGTTHPSCRCQIVEVPSELGR EPLSVDDPLGTSRNSLVSYTNETAFASPKLEVNDNDDSVVINSSASEMRDSMSVDVRH VSVEGEQHTITLRAADLQSLETALLKCCEDLGPKAGYELEPSRMRLMYSTEENTQSFP VETDMVLKQTLENPNVTCLSLVERSQVSNSASDTATLSERTGLARTRREPIDDSSHEP FADVTPMELRRNACVIPGATPKKPLILKHVDTVPPLPAFSSLNDLSPVENSFRPMKAN GKRRTSDLVKGDITGSNSELASFHRDMDEALGYYYRIVEENNRSIGMLQHQVSSLTNE LKGYNNAEQDCKSLEKILERLRMNVGRGESRQKGLLQELDEL XP_844920.1 MVNNEGYSHRDVMTARLLVEAVDSSNHMEEYSVQFIFFRDTTVG DVLQDVEEKLNAVGLRLSVPPSQCGLTFKCNDIEVAKGSVGGAAYNRACKGDEKGVTM LRGTDRIFGSPAVEHFYCNRKRLGCERVAQFMVVQRRCATLPAGTTASHSQERKEFTQ QTPTCDFGGSGGFSRYLLPRQPLVDLSRVGTGRCVGATVTDDHNASTSTGYGNLDTLS KVRRMVEEERQLVFCFGQDQRSVNLQMKPVRHWSSLLKEPPSRCVLTKAVEDEKAAYE RLTKLIRGLTISEAAKKAAERDMLEKESKQLREKISRAKGYIERRKVMQEEVERLRKV LETRKEEEERHLQSEVEKNEREIQPQQGMNTKQCSPPVASPASTNVVIPQSVSLTEKQ TLLQVVTPASDCVMRGLNADPTAFSLSTTPTCFFLSCQSAPDCISNRGSRGHAISPSI SVGEYKITTPADITPS XP_844921.1 MAQTSFTPLSFSTTSSWVTLDPNGVYISGGSYNNSSPVHTERRQ LLQPLNDGPFASWHQHPPSSPGSTYASDDCYNLNTVCSEWSVLCVEHSPTLSQGGSRY ETPREGTNQVRTFPTSLSSETQQDILFTSTAGLCGDITGTSRVPLRSGVLRSTAHPSL RPQLFPFSSTNNFSPLSQIVVTSVFGRYLSQQLSTLHVRECIGSESDVDTSIPSRGSS PFVASRGSWDEVDYNSCCDEYSYERVSEGTCQCSSSTASQSCSEKGTLPGSVLTELFL SFVLKNVLSGTNGRDTYRHSSKKTTGSSRNDATESNEHRGWSMVSRWFVTNVEPLVVC TVYGIMVFVL XP_844922.1 MSRRVLWESVRQEARSRVEGVNASPISTNGIIEARDKSDGLITY EEGGGVSGVANDNEGGSHGKIGNMATVSQENRDTQNHATGSHDSIIASCDISCIPKDK HELHGPPSHLSRCGSSPEAEGNCSATADPTQSHLTDLAISVLLETGGDTHQDKGYNTG LDVNNSRFNSSATNNIHRELAQEKQKNIAAEQRLLTLEKKIRALREENQHLLLSSATN ATDRKMMKGATSAYAEGEVVGHGVQRDFADEVTDTAGEELLALKRRCDELEVRLGELT AQVRQKDQELEQKENRIRQLEHIIANDLIRRSADVNKEENTQGRSEGVGRSSPKVEFS VKKDVPGKKNSSTQGTNGVVSRESPTTAAARETASSTAAAPPGLNLGDLRSVEALQRL LLSSIEATNLLVDSSRVSKGKEMDTLSVPSSLTHGSSHLAEGYTRVSTSDVKQRPLRV VRQGEIVSHSATSRASSAAGVRASPSRHGARHRASSVPGRCSESRASSVRAIRQRGPS SDSPMREAAMFARISSAPGCSKATSSLSSRRPPTQKLLSGRIPLRSTELFSNVTHMNR FSQYQNARRTSRPDACASAASPKSSCSTNKSNGRAPNVKKYVTPRARARPRIGVEATA RSLKCSPPQKPSTTVITRVEKQRERTPPTPVRRAEYVKEVLSQDPFVSEMFKTTYRPN RLVRCSKEEGESV XP_844923.1 MNRKGYKALPREYDEGFSEERVRHALNKDAPSKIYEEFIVQRLQ EIMETAQSDSKKPATFGRAAAADVFLRQDAPITVTFAMLATSCAMHPTTDVVTFSVLF AALYTSCMALQVLLLWLRITEKRQSAISVMQKVLTRWKKRLVEGPPRDKLDRRTVFRL FSSFLPASAFRIVAVVDTTTGRLKRTLKCLVMKGALRLQRTTLKVSRHTEPIELFKLV DIVRMILKGEAVMLRSLPDMAPPPRHPNVTVDANINGTGVEGNDEDISTDESDDEYDT RCEANSVHALSVPQQMAFLVYRFVLLAWLLGIVACTFSGYIYHVLTDIPLSDGVFLNP AVALLGLLPVNAKLLNSILVMYANVNLECLFRHLVSKTTHAVDDRIPRLPFRATMQAF RRATSATCSRPPLCFSSSLVETLGTTTVVALLDTTGVVTDMVPLPTRLLMLKSYTAPE TPSSTSSTTTSDSDNDEFNGAAAFTGLDHSNDPDPFLRAANFDPEYRLALKRQVRQKK TQKRRFLELQLAPGTDDDLAVQFADCKERQKWEKNVDSLSLCLLIHAMAPEPTKFETW QEPLRFCDQTPRWGPSIHWVPRASEFDDSLAKSFRIVARIFHINTVSWPGRSRECPEQ TCTLLVVASDGLLHAFTVGTVCMVTHSCPFHFNGYEIEGFDEELREEVVNTGTVVWKD GRGLETVAASHAMLPDKFRSCAEALPREDGSYAEFLFYNGVQITAENCLAELKLSPSG EPSTNGCEGTNEGVPRECFLGVPTNTNGSTPATSEADVIGAINSNERDAINEGNSFCC SKGFTDIHGNSRLSFVPTSVELLGDLLHVLINGSHAFLGLVGLQDSIRPNVQNAMSVL DEAGIRCMYFCSDGERRTKSLGNRLGLETDWNCCISLDEGAKDLDAHSIRAQLPVGIK SIRRHIVHVDSIPLQVNMFSHAHSASTRAMLSILQDNHEVVSAIGSTFNHSNVRSYIQ ADLSVGVLPQRRGAVEDKEGLCLENQKRISESSDIRSMKSETGDQLLYRDVVDLIGCA CTLSDTSTTSMLPIVTTLIRQARFRLSGIGNCISFVMHANFFVTFLNVIPLIIGGPLL IQPAAAVFELNVIIPILALSCTYTAYADKDPMKSIQSRHNHFVRLKILRHSAVVWCLR YIPSLAALLALGITCGLQKCDKGSLHELALSSSDKCMAATRGYIGLTLNYWLMFHSWT HMSRYDRLSPAFLFKPRYGGQYMYLFTSARWVAANALTVFFSVAFVVAETFWDGILED AFFPSRTHFYISAFFPVLLVLLDIPIKSWRLKRATLMQKFRQLSFGTRLGMHSPRGDY EPEVGSATGCSGGANECGGGVQGSTSDANVRNELPLRRRLQDAFYRFTTMRRGKLELN CVCCDHIGGNYATYHINANDM XP_844924.1 MVPSIPQLLLELPVVCVSENHLLNGRLTLSVKDATATAQSYYGA VEKYLQGREPHLDLEKDVVPAIDFALRLFNAENFSGELVPAEREQLSTVLDRYATVDT LHERYVQRLLMSDGEMPHPCVRLSRMLLAVLATACSSVKHAGQSQPQPLLPYTSVWRL RCHMRHQLIMQHRAHSIFLHLSSCVDAALALPDESLTAEHLLEVGHVQNYYHQRELAA EVFWRAARKSGLSVSEDTMVGVRTRWQQQRMVQMVVNASSQVPFAAPISESDATGGDV PLAVMSEKDGHDLLDRPREAAEAPVAELQALQPLDKAIMLALCIDIRNTNPYHGLTQH RMQTYVERLLSDPVPAPFIIQCQTLLLRARLERGRNRVQERAFMQMTELVDQHSAGRD PNRATFHRTDSDYFYSVAYPSIWQLRSEYADFCFDESLVKTALDAYEQVQDWENIIKC CKVLDKRRRAESLARDLLESDPCNPLLWVALGEATREAEHLTKAWELSDHRSAAPMRA LARLALEREEYERVVECFDEAVRLNPVFGGDWFALGYAAMQLKRWERSGEAFTRVCQI QPNDAYAWNNLASVLLRTGKLRPAFNAMNQALRNNRRDWRMWQNYFAIGCELKEVTET TNALNVLLDIAQRNVHLERGSLNRFVENAIAYMEGRIPASCKDQQMTEEDQEQAVEQE AENRDEGGRMHRAAVTNTSATRMGVEDDSIATNGNHVNPSDEDAMCDIVPLCADIDLP DMCSPSTNAAAAEEAERVREGVISRYKFRLRETFKRIIDLFVTDPDIYACAAKLLRYM DGPLTAYEMRQKELRCCQQKDQWERDTARFARTCECLNDMSRDLLDAVDYCGDSVDNL KKNNIVTVLSDTVNNVIAALEASEEYMEETAEYKQLRGELLPRMRKALKETKTRIMAD XP_844925.1 MPPPTSVASTARSSSGATIKRKVDDRIRKVIENAVHKRHRALLL LVGDRGKDQIVNLHQMVSHANHNAKVNVLWCMKKEPDFGSTSLKQQEKKARLEVKGGM STDATKEAFQTFLSQTIIRFCQYKETHKILGQTFGMAVLQDFEAMTPNTLARTIETVK GGGIIVIMFRAMKSLKQLYTIAMDVHSRYRTEALQDVVPRFNERFLLSLVDCDTSLCV DDDLNVLPITAKMCAVESARTTSYDETLVLQGRQKHEADLAALKERLRASNEVGPLIS LCQTLDQGKTILSLMQTVVEKSLNTTCVVTAGRGRGKSAALGLATAGAVAQGYSNIFC TAPSPENLQTFFEFVVRGLQELGYKERTDFEAMQSTNVEFSKCIIRINVFREHRQTVQ YISPNDASKFAQAELAIIDEAAALPLPIVKQMLGPYLVFLSSTISGYEGTGRSLSMKL VADMRKHSGSTNASVAGDDRRLLRELSMSDPIRYGPNDPVEFWLNKLLCLDATVRPIA VKSSPHPNSCELYYVNRDALFSYHPLAEQLLQAIVAMLVAAHYKNQPNDLQLMSDAPG HHLFILCPSTVDAKSGIPDVFCVIHACEEGHVSSEAIKSNLSRGLRPSGDLIPYTLSQ YYLEEGFAKLAGLRIVRIATNPELQRAGYGSRALELLQQYYTGSVSLRPAASAAATEN NTELKAVDDADEVSSTISHTIKPRKRIPSLLVPLIERPYEEIDYLGVSFGVTTPLFNF WKRGGFEPLYLRHAANELTGEHSCVMVRSFGFDLGLLRAEFRRRFIPLLAMPFRQLPT ELALSILKDVDVNDPQKLAAATDLSHVAEHNVRVAGQPQCTWKELQEIFSISDLKRLK LNATTFVEGGHVLDLVPALAKLYFESRLFHLPDGAEGVVLSHAQAAVLLGVGLQCQTI EEVGQQAVFSGVPTQQLRAFLQKAISRIVDHFTRLQKLKLTGSKEEETVKRNGGNDDA DENTHEIYDKEGRVVGLSVEKRVRSVINVDSTLLRDAKSATVGARNDAGGTTGLQRAF KRSKKTRRG XP_844926.1 MSDSSLVFLILNYGAEMIFILEARLSAQNVSEKTARMVLHDVIK HMYSSEFMDELFRPQMLYSFAATREVFKSLSQTSVMQLSPASMAKLFELMTTGLKYKI FSLRHPLELLELTWAHLEEVKRIASHETQCFVDPIFSRVNDLFQQLNVGMLAEVRKDL LNFLVGRCTPVSLLLESGVQAQSGYFYLKEDKTLPPLVECEPPGTIRYYGNGNLLATC TFKHRDAHLRHPLAFPVGRWDPRSSTAPRFTKRNVNMYTSKDLETKTQKLAQPSQKSD VIAAHPVGTKPEAVKAVQQEMSQLLRLVRGESEKPQHLFKLALCYEFEAEHGTRTPTT AEDATLSCSGTGPPSPRASDTAPSLPVNQMSKADVRKENEKLFSLMGELNLEEVSRKG GHNSVVTGANLLDIMDEE XP_844927.1 MLRRLACGATPIGSNTAAASAAVSGVILASCTMRLYRIIPHVYN EIEELAETQEAGRWQISHRNCLQQPLKKAEIMCDKLNLRERRRTGKLPEKSVTRRLSQ TYAVDGKEYESKYKTKIINITVVGFDGHPYHFRTYPMPDVTLNTLIDGSGMCHGYAHY WGKCNNPDCADWNHGDGCLVNVDIETLDRLLPPNRWEYTSLTNWRSMDRADITYNTRF SCQIPVTEELDGGLFALKQYWSRALRESVSDWGLVDDMATIHSARSRKIEPWAPPIEE PTKIDFPITLDMLWAQSYQDILKAKYPDRRRKDGYHTKPEMWAAYV XP_844928.1 MSNPNFWTTVLNWTFARGYIRIPIVFTIPIVFNKYALHQFEPLF QQWNAGHNQRDIWDRLEGKVALMLEEEAV XP_844929.1 MEDDGLMSNIIIVNGLPARVTPEKRAMFLRHMTKKVSDVLGHDK FTIHPVLDEETEHVAGAFLTFATVNSAEDALARLNRFPFTKTDILSTYRWCALKAASE PPEEYKPPEMEQDTDADFAHTMAEDSMARPQFFIKQGESFDVEWYWFNYTTLKAELYR KPRPLKTDSVGQWTEMDRRQKRLDPGLVYGALTSVRPMPAWSTFGRIMVSQHMGGLKL WGGRKMHMLFEVTELDIKAFYISPQEKYLVVKSPKEVSVWNIRLSKKIRVLGGLDLAD SDKWPIARYNAEDELVAISHACLEPMGQGKLFLYRAETMRALQVESNSETPVHSLVIP GLKVAEWNPAVGNQMAILVQGGSSEGWKIIIQNLVVKDDVVRAEVIEQRNFLQAQRLD LLWHPQGTHLVVKVTKTNSTEYSIFSVGVKSAAAYQLKVENGLTPGRFAWKPSGPHFA VICEDRARTGKLGDTSEIRIYCIKKQLKLIGHYPTSATHLFWAPRGSRLVATNYDKST LHFYGINDSGACVQLERVTSPVTDTAWDPTGRFYAAWVSALRNSGDNQFRIFDLNGRE LMQKSVRQLSHFAWRPLAPPVLTAAEIKHIQDNLREYSQRYQNEVKEQKEREEAELQS KEREKEEQYKKRMKGIARHHADKGLARTREELIASSRWSRLWARRMKSLPPEEMILHE VVTEERIERRRPLN XP_844930.1 MIAAEVALSSIPSLKLCPVTVLLVFVTSMPTYALYINVRSLWIF FCFIQQQQQNPSISVWFSSFFETFILIERAHNFLEYIYISIYARLHERMAVMRCILRI HVTDGISTRCLAYRASFLCVKRAHSNFRTGQEDQYTTKRSEDESFGEGDSHYPSKDRT TTSPTSSQGSSDTHEHTAASSAEEKLSSASRGARDDISHQELEPMRWPQEAPGSPALT EPIIDEKGQFIVSRIQWPTGELAYATPPPPDTRVAPRFGYNVVQVKKHVSWWKHYQQH PRISVAYINIQLLFLLGAAWLMAFLVEEYRRVTDELRTPGAMVGEHRGRGPVEKGKQK ISFTNDEMTSLIGRAQDNWMDARAEANYIGSKDYTMKKIPRPKEFSVDDFRKR XP_844931.1 MKRERGGRADPFEFVTYSGEEIKESSSEERVKEGANKSSPTRTR ILSVALSPAERAIFDVPIENWLSIDRSSLSGWKCAVPRPVTIEQLRPVDPSDAILRHI ALYRGPVTDLQLDAIVNAANTRCLGGGGVDGAIHRVAGPLLLRECATFNGCQTGECRL TKGYQLPARYVLHTVGPVGEKPDMLRKCYRSILSLALKNGLRSIGFCCVSTGVYGYPL LPATRIALGETRKFLEEHGGALDMCCFACFQEDEYKTYEKCVGRSSL XP_844932.1 MCHRLVLPLPPSFLCVPSVVEGYGVFDYSSGEGEVDVVYDFSSQ LCSQHPQLRSYVDQLCEFLHKTRLIQYNPDDFFRLMPDDCDEGGDCCEALRHPDGRLV ELEELQRMRHLCDSFATIMPSGAALFDVMNVLIRDGPPPAAADCLHSFYSETRLLMMN RKRRQQNDAARLTLCLPQETDRKEAEDAEGQQKQRQRTDRVMRALLGHGMGPKKQHEV RVMRDTVIDVISCCNRSLHPEECVRTVMNVGEGKGYVSRAFALCDGLQVVGLDCNPAH KERAVERVSHLLHGYLNVDASCPSMTGRDMINFLYEPRGHMATVVCTVKASVDWCAVL RGHVRLLKGGECCSHDSIVSIDEKALANPWELEEYGIVGDEPTEKRRGNGLSCLRDQL ASATAKLQCLICGHILVRSAPTAIVRHARHHLQSDHTKASDTEAAPFPSRDVVNKWNC DLPVGAFVAKLIDAFFIEIKAERRNTPIAVKHSRSASQNDDFNREESTEVEKRKFVSG QRLLYGEWTDVRLPRGTRAEVLAPIKGKQHQEQEVNNRGTCTTNGTTEDVVYSYAEIC VTIVGYDCAHNQHFIIRDDGRRKEGITLLQHSQPIATPLDESPKRSVNTPAAETAWSE KVMFLLRIQPFPPTVAPAIRVPSLSNVLMMGLHTCGDLGSNICRLFVSGASPGLVLVS CCWHALTNDGFPLSREFQQRGWRVEMLSLMLATQPFDMWTTASPEGHRDSAALLFYRS LLKRLWVRLQGRWRQLTVNMSQSTSSCCCSLVNVPHLEPAFLRRMAKVKHSVTLDTLY MEACREYFSSDSDGKWEHMWHHTSCEQRVCHSCRAAQMKFLADEENIALASRMGREYF DKYFSPFLGLTILRMWMCHLVETLLLLDRTFYLTESLITEGRGGGSVVSLVPLFDGSI SPRMYGILARRFPVAQ XP_844933.1 MNSDPNASVTRLNLSESVAALPTSGGSSMPSWADTSLLVNAYST QTGMGNDGKPPVVHSLKTDIAPHYSGRLHCVQREPVAGASNASNLTRTERNYHAEISV LWRLLHSERAALQATERRVFEEQERGMRGIISTEANYGAKLLHAFLLESLDLQTTTDD WTPPVREKKDRCPRIHMERAKARSAGKKTPLDSNQSAPPDSSSPSVPSYEQLREENRL LRAEVERYKAIDTEDRLELNKMHRSMRATITQLVHECSKLHAELSRHEKAPGAAPVAV VQSPCLGESDSAFAEVSYSWANHASHHTK XP_844934.1 MHVLGRDPTSNCLPNASGDEAKQMNGRARNPYAARNGFNVNSGE ETQCSPIGSPINPHCREGSGEYAVQPVNVQARYRLQSPPISFASGNPSFPTSPRDSAL HSTPHVRSCSNSFPCDGFCRPNPSGQTFSPAMGRSPDFSNDPNMCMPPYPGGYTPSGF VMPPALATAAPPPRTDVMGRAPVPDGQASMRPKRPVSSPIACGHGSRYVSPVVLSVSP NYQVGSHPLCNPTGPQSVGCNNVHVAVGSVEPAQSAHSKMTTGDGSSTVSGGSGSSIR EDLPLCSNDGVCTLINDRKHQRRFAHTCRLFPCYHGHIARHSKLFRHAPGQVAQSEEL LNNGDGSKKLPAEALTSVSFSSISREAANAYRIIVSHRNKSYEIFGDWMNVRVHTFKR YLNQVYNVPPPSQVLVREEGNVPLDDDLESVGHYGIKQDGVVILRWKDEDEPLAVSLE QL XP_844935.1 MAFWEKIWCRLFGRVNIPGVVYSDEIDATATYDRQQRESMWRGN LRNVRLDTVPPLLLRTSGAENALLGPEEEPYTFWERVGVVPVHPSRVRPRQYYHPITD FGLYDYDEVSPEERDLTARWLAKVLEFNAAVPLGILCAAGCLVLPLHTVYRMPLLVAS AATGVMFEITHSYMNAGKERQDLDDFILAKEIWYIKNVEAYQLDIPLIPKGREAEYQA FLDGSNGTSQMLPDELAEALH XP_844936.1 MLLWFCCITHENTKKYIVSCARLYEVFLGVFYFFPFLKYNTTFF LVVLCYLHLLISLVPYLVVTLLHFTGLVERLVLMSYRFFASKLKPWKDIVTESVDCLV VAALCGSFNPMHKTHVEMYNLVEEVLKGTVWQKSLLVGGFVSPVNDGYEKEGLHSFEE RVAVCDASLAGHPALSVDRWEGLQPDFVNTFWVLDHIQRQVQNWYENDANPNEAQLSW LREHPVRVLFVCGSDLSATFLIPGVWELPLLKRLLDNFGIVVYRRPGTPSWKELLEAE GSVVHDDLVEEDGSMTPLSLDLSSYSIIETDLLNSFISATDIRKQLLMEPAADLTAFV IPTAEELIRKFYGKEPVSIS XP_844937.1 MGQETMSDGTWTNFANIGKCFPRKHHYPNTVEEVSSIIKVINSA GERCRVVGGGKSPNSCTFTNGHLIHMDRLNRITSIDEKSMTIVCEGGALISDVFERLS AHDLMLRCVPSFVQTTVGGVIATATHSSGIRSRSISDCVVRLQLVDGRGILHTFDAST PKELSLSACHLGMLGVVVSVTLQAEKKRLWRIESRPIPFRKLTEGDTLKKRIAESEFY RFFWMPNTDQCYESTAEFVGEEGADQTKRVDESIKLAMGKKHEATLPMTAGNTITKLT SSKLRNFSSEKCNSTGEDYQMWLRNQRTLRTRICKILKGSWLRHGVVEAALAAAVIQP GIQPYINRTYRRLFYNAPEVQYGTSLECFTFDCLFKQWACEWAIDISNVMPAFHYLRG LISSENLSVHFPVEFRFTGADTAALSPAHGRQTCWIGIVMYRPYLRHARDTLRYYDAF SKAMTVMGGRPHWAKYYTWGPEQMLKAYGKNWEDFLLFRKKMDPEGVFLNGWFNSLSG NSPVLNSTISHL XP_844938.1 MTETAFRGTLLLLQNRTRRDPEAYRDEFLTQLDHFKALTQTVAT QKETNPQYVAVLNFVCHVGHCYPKECEDVAEIVLKLLQDFKGSTMTSDLRLVLVKSLA LLRSKNIVSAERSFPLFFELLQERDKVLRRVILSHIVSDIRRVNMPGAKNGAAVNKKA QNFLFGVMADDDPVQARCAEMVMIDLYRRRVWADERTVEVLTQACFSRHTAIIRTALR FFLLQMPKITSMDSKNEDDDDDDPGRAISKMKQKLKIKKKTGKRERILKREVGAVKRK YNKEEKEEELLAKQHVDPIRLLRNPQQFVERLLARLQKTSERFEVRVLYLNVIARTVS EHEVVHLPLYGFLERYMEPSQLHSTQLLALSVMCTHRMVPPDALEPLVRAIANHFVSD RSSPDAITVGLNTIREICKRQPLAMNADLLKDLVEYKSQRGDKGVVMAARALIQLFRH VYPELLPGKLRTRTVDASTGEAPKPVYGKEHVYTDIPGLELLYADEGSDASSHSCCSG SSDDDDDSDGTWVTDSSDCDPDDIEGSFVDASECSSCDEEHEECPQLVTVKPSSGEPP CKVTKYENNAIGAESQPSFREDMPSQVHKPSNQDSDTPSEESGGSSELEEELEEFEEV EGESYDEEEGDDSDVEEGSEEEGDDSSFCSEEWEDEEEEADEEEDQPSQKGEKDVEGA GEWFEDVDINSTQPSQRSTVSKLSSSAARILTDEDFARIRALQKKQQQGKLLSKRKGK DAEARAKKREALIHSVSADLTAEVIEHFTEKKRTEDRQEKIARTEELRRSKSKFEARK KKKSKLNSTHVEHSKRGKLFNMTKRSRRVGAKLKSSVADRSNRNKENKKKDIKFRIRR GWKA XP_844939.1 MPLWSFVELSSSFLSPVTVGVSPFFRPLEERLNTEPPAVREVVW EYMHCTKRAINTRIIGFCEPTLNKCVDDLRLYLKASAAGGSDEASLAEVLRRRRGEVD ADSLPWESRAEYKEWLRTQGRLKCG XP_844940.1 MVDEKLPTLFSPSATVSFAARSTLSRATLFTTLLPSLGTTKVES PRPPPSSRLYAKRHSSVYSRHPLETIVTDQSSSSSAWWAANTENKRYASIATMKVQAL EEKFNEQLKSYNAFHPVVVDGGAYSTQAIQRRFNGESVSDSLSKLTDFAATRSVRSTF DDSFVSTMEKISGVQGNFTPRTVERKRAAVITPDGLELSSSSLSLHSDKFTAGIELWL RENKASEEELRQKRLFYDSQRAKSEQRERSQYRQKWQAKLDALHDERLDAFAHSKHSN VLSKRVSIVENLTAATEQRILWSKFLSSEANAESAGSPRTKFTSTWTSNKSASNNELH EWIEGKWHNSTHRHEEAALKIQCAYRCFCAKEEVARRRYARRMVFAGVLSREKEAEAK WENALRMRVSSYDTGGNTMNRLNPSIKLLKEKLLAVVVRRRNAKAQEERYRREIEEYA ATSIQRVYRGYLGRFWVKLMRNGDPVEQLRLIRIGRVVVCLQARWRGCLARAAIERQR AAVLVLQRLVRSRAAFLDLCRKRRERRMEVEYGIHKHYIKVIIRFLKRCVNDRKEYMK ERWDQLFLIQRIGRGRIGRGIVEKSYKRRCAAQLVVALAIQRRYRGWRGRVKAQYERE QRAEKYLQLCRTDAVQVIERSWRFARGYYIEKSRKARKEAERIARILRRQRREKLNLR RMRIAALKIQYWYFNARYVREVRMKRIEQRRELVRSESAERILRFYREYKFRANRQSP VETAPAAEEEN XP_844941.1 MPINNCELDAALQLALRAAHGVACTIDKAMEERDTTLLDIQTRE NGEGLITQYDKQCEEEIIAILRLGAPSYDIISEELHSDTVLTDAPTWMVSTINGTSSF IHGLFDCCVSIALVVDKEPVIGVVNAPRLHEVYSAIRGRGAYLNGQRIRVSETRSINQ SVVYLHNPCGEGEVVVNTVIEMQKEFTRMPVHALRVHGSVALDMCFIATGRAELFLKV GVHPWDVAAATVIVREAGGVVHDIDNLNILDLTTCTVCCANSSEISNIGVGVAAKHGY KKSVLGC XP_844942.1 MSEHATTDLEGRYAQLATIQSEIDAAPLLSPTVEKLGDGCNLMT EFSDNPPMLVKVVSLWRNEETKYKGIRYARRDGNCFYRSVVFGMHESLLNNKERAGAH LERITDLSRQVVADYGNFAEDFCHPAVEMAKKIESGECSTVEQLYELSTNGEAEYALY FYRYAVSHHIRTHEDDFLPFVVGMGHETVSEFCSVEVDAVASEADNVQVVAFAQCFDV RVIVEYVDGREGDCTTRHTFQQKDSHDANEYTTIEVTLLYRPGHYDLLYK XP_844943.1 MSSSQGEMPRPVVSGAGALRWGESTNNNTSSGNASCVTTTVSVF RQSVDNCSGECSNKTAVVASLPSLFSGMEVTRTQCRRRAAAERTGTSHTSRAESLVSG TLHSEVVSTEVKTVESVFTGAVGNQTFSYESEQGVEERGEFNARFQEVDETPVRRSPL ATQEDARAAVLMGNVKEAVSTPSVNSAVEMSAPQHNVKPSNVMFPERGTLSFMKSAFG FISGQGEKHENSGEVADYDAKNDVGGSDDAPIIPPLCALYGSKILSGNCSEKTQHAEE AAAALVLPLQHEFKGNLTTESMNDGRCDYVAINSCCFPTRGEGNYVSTVDDREDRLNN QVRAVGTLEPYNTSNILGEGVEMDQQQVTHPRNISGSVKNRKPWEERWDRRRQEVREA ERNVLKAVETIAIKLGEVHASIEERRKIVRAASEVEKRIEQCIIEEAFDGAEALNAEL THLFHRVAELDDETLNSFLPSLTKYGTHLQSEVIAFAELLRQHHQDVLDSGDTAELEI QTFITESTQRLNSLLKDIASQMERSIGKQQIAQQKMNEICERKDGIMKTIREQNYGTL EIQQQLGSEVAEIDEEMEELEAKLAELRRLRAIKMEKMKEMEQRVRCSLDERRMLESE LDKLITRAEKNLFAANEEVEKLRVELESYESGRAAFEVKKELILEEWECETSTAGGCD LRRVSLETQTLTSVREYTSSLTTLLRLRSDGICFLGDVAPSIDPSSPESQDCLLSVTH NLRKKLSDLEMENEMNDKLVKLLDVKLVEMRSSLAVLGAMKQTAIQARQFREAQAKSD EIRELSDAIKREEETRTNIQKRLQTIGDLREELQKRLCDENSQCEVKVREYLFNYKSC IDKTEEAGAALVDALHNSIGKESMLGSVDLVEATQQLVDVLREELEGASMASSLNPVD QQSSEAVKYE XP_844944.1 MAETPKEIPPDEAPLRAAMGEVQEDVAHNTEGDEESTANCIRTE LGEMNEIESRCPRCGGNGTTRLMITNIPHFKEVIVSSFECPHCGERNNEVTFGGEFGP KSVRYELEVKSKKDLDRQVVKSEYATIRIPELDLEIPRESQRGVLNTVEGFLEQTESG LQLQQPLRRIQHPELYEKLETFCEKVRQYRTGDVPFTFIIDDPAGNSYVEAYYDYYHP TIDPQLTRYEKERTNIDRQLLGLTIEYNTQRTDAEQREVQEGQFDDVVRMETECSACK KPGFINIQQVNIPYFKETVIMAFRCDFCGYKSNEVKSGGAVAEKGLKITLEVKSESDL KRDVLKSDSTTLLIPEVALELAPGTLGGFFSTVEGTLMMVRDQLKSLPQAQFAKGDAA ATDPEAKTLTTFVKELEHLLELKRPFTFILDDPLANVYIQNPREHLPPPENEDPQLTK TYYTRTFEQDEELGFHQMNVN XP_844945.1 MSSDSKAIRNTDALGRAYMQLLSCTLGLEKEVEKYYVREEAIIR ERVMLLDKIQSNIAEERRCLEIDHQECRSKISATRKNSLSLRGDETKLSQIASHTEAQ LDKEEKSLNERLAWVNERMLSETRELELITEQINKCEKNQKLLGQREEEQHKAERDLD MAQRELKSLEKEIDERKSSLEKKHENIVMWNRSLDNREKELARYQEEFREDLRRVEEE ERRYGIYNSFSKVAPTVSLREVMDDYNMSIEKESLCEEIERDEEDDTLP XP_844946.1 MDELSDIYDSIVARRIADALLQRTMNFCIRKRRSFESLHSLRWR LLTGLLPTDVQLNNFSEKWSSCTQKCMTRWRTMNEDLNNRAPDDSKKGEVVKRKARFE EFDSSSEEVDDVTIENPLLPKNGSFYALRYRLNKLCSIIALDVDRIHWDIPLFELRTT RDALLKILSVYCVQHSCEYKQGMHEVAAFVFYLTHNDATILEHLRNERGWGHASLPNI FAPICPVEGVVAVAYYIFDAIMTESGVNLSFLYFSASDGQTDGITAATHNVQGRLLLK LDAQLHKQINTVYKITPTLYLMRWLRLLFLREFTLEQCADLWDVFLSERFVTPAEDYH LENSVVTLFAAVMLLNIKANIMKGYNEAIEKVMRYPSVGQISFLIQEAVLQLERVKHC LGRYFVVEDAVHVEEEQLFGVDSDRRVSSVITESSVRGMQQNIGALEFVVTMDEQCGT STKSSLGKAVNTVNSNGYYDVIADGAYPK XP_844947.1 MTSLKEKVLFLLLHTLIHILVIHFWNVVRTLVDGIFGKRRRRSR GVIEVVAHGNTSKVFFSLDKVEKLFYLSPPYDGRINTVLCALRPNWPISYTREVVNGV DDNPICLDWLIADTSERDARGILIIVPGLASWSQTNYIQRCVLLAHRYGIHCCVFNCK GLGDTPLTTPRMISASWTGDLHKTFSTALSREALSRKFGTAANNIWGAGFSLGGVIMS KFLKECALQGVKSQPLDAALIINSPLDMEETSRTLNEPQNAMYQRKMISNVIQFVTKH IDVLKNVKEINLKEHDNDILKFMKSLRSLQEFDVHINTPHNGFATVKDFYDAVNVFTS LRHCNIPVLCLVAEDDPVIGSLPSNAFDDVASCNKLVAFVKFPYGGHLGFCRSPMEEW NGEPSVMEMFICDLISSHKHMEEMKEPTEGICLY XP_844948.1 MFARVKRVRQKRSMFLTVIALIIGIVVLRKLIHYSVQKHQEMSD EYADRRARYDPSPHVDTPFQYISPEVLETWKSRDYLVALGVLSPDISVRRRRRFLQRM TCWTFPDVATKRNNFTGAMIPLYVLARHPVHNFKYSDILKEEARHWEDIITLPIDEGR VSTNKKMGDGTFWGPETEVGMSRKTFMWLDLALNLFGTATFISKADDDMYLRVPQQLA NIRVLPTHKLYYGYSMPNRYPYQFRYADGSVFTISRDIAEKVVGYRPLRRLANQSYDR AYLKHYLLLFMDHEDMMVGHTIFRVAKDVTYATVRRCHFHNIYGRFDVSPLTFNSIML HNIKESEYADLMRHFSNIKPTPTRYVKTSEGIFFECEFHSGRPLHLGGRW XP_844949.1 MTGADEKPREVSETTSVRYIDGRRGRGLIAEVDFEADSTILCVP YDIAVLYSPFVRNTCYRCFVSVPKDSRTSQGRGSLQRKRPQQRRPTSQSQRLPLRRSY PQHGRQTSNERNREREEHHYECTDCKHLVLCENCVRELAEGVPRVPVGDEPSKPATSL TWQEQLEVMQQHPLLQAHKQACAWFCSLPESDREGDTDYLRFALQYGSRALLGDTALL LSVGELCTNATQQPPAARQFCTSFARRVADTFAPQGFRVDADHLRDVLFRTKCNSIGY PFNETETLGWMMQEKLCMINHSCDPNAAIVRPRRIEASTSSRSAACSVELLARRRIKA GEEITIAYIDVDRYEDTQIRRRHLLESYWFLCMCSRCEVSANPTAPTRSPPAVPHKGN PTKTKNKKRRNGRQW XP_844950.1 MLRRTFFRLSLDNRENIIRIFTEMADLNNALGEKYKVQSYNRAV RSLKTHLDLPLRTVEDLEKFPGIGSKLLKKAEEIIRTGKLDELEKKTKPKLKAIQELT QIHGFGPRAAATLFDREGIFTVEDLIEKADQIQLTEQQRVGVRYFHDINEKIPMHESI LHENFLRECALRRLGSDYEIQICGSYRRRHPFSGDIDAILARSLNAPPLDAPVTTTGV LTILVEYLQEQRYLEATMALGPLKYMGMGRLPPRTTGGATKTYKARRVDIRLIETKSV PTALLTFTGSKNFNVIMRQAAISKGYLLNEYGLFKVGTSDEVRVLQERVRARKAAGLS KSQMKQEDPYSSSSVTEEGAEPFVSSISGNSAKMETLGMTKEELEAKRVHVTCEKDVF DVLGMPYAKPENRDP XP_844951.1 MLLFRPTLLLSAAKRTAASANARRPAKRAVVAAATTSPAATSVP PPVRPAKRPVVPSPTPAPPPPRPSKKPVAQAGAPAPVAPPSPRPVKRPVVAAAAPTPP TPRPVKKPPAAPAQTPAPPPPRPWKKPVAQAGAPAPVAPPSPRPVKRPVVAAAAPTPP TPRPVKKPPAAPAQTPAPPPPRPSKKPVAQAGAPAPVAPPSPRPVKRPVVAAAAPTPP TPRPVKKPPAAPAASAPPSPKPAKKQAAAAASAPVSPRPVKQPAGTRARSQPKKPATK AKSPPAPPPAALPAPAPAAVPVTPKIKPTDFVPDYRERVLQIFQQLAEINKALDERYK ASSYVVAVERLKRNDYIYMNFPPNIMPPGVNDEERKRLVAKVKNTTAMGDKLREKIVE ILTTGDLAELHLLQQTPLIRAVRELTQVHGVGPRTAVIFFKKHGLKTVEELRKRVEEQ EANEGGKGSSGDKSALKLTEAQRLGLKYYSDITQRIPHAEVRLHEAFLKLRLRKYLGK SYELAICGSYRRRLATSGDIDVLITRKIVADEGATPQGASEETSREKDGSLKVEKQLE PQEVLAAFVSALKEERYIEATLAQGATKFMGVSRLKSYKYNAGTANPRMYPARRLDIR FVEPECFPAALLYFTGSKNFNVVMRAEAIKRNFILNEYGLFRNDATGNEPTSSSETGG RVAGDGADKGAIRGRWSAEAFQKLVRANYYSAKSPAFVGTIEDAVDDEKDGEAAVGKN AKGSSATSRKQKQQQAKELAALWREVEARRVKVKHEREIFAALGMAYVHPEKRDVGTK TEGNVVGADKKRRSK XP_844952.1 MSVDTIQLVGTDVPNSYGSCCFTGHVQHEALNWVTEPMPPIGSA SQSPTPASRCESQLLFFNCPEGTQRFSAEAAIRLVRTRGFFFTRWVPSTVMGMPGLLF TINDAGVHHANFFGPGGMGMTGRSDEVQGVGDSAAAAQSSSCGSDVIGNCTGGLAEML DALRRHYFVYRQMSFQQLRVGQLPRRLSTSMPGNYVYEVPLLFDRSETISTVGQGRGH RVPEAAASSLSSFFVIALQLSTTSALVGFRISQGNKSDADEVYGYAILHAPAAVFDCR CAKALGVPAGPLYGQLKQGVGVWVDDPPTPGAEGECNTRRFIDPRSVQQATVGSQSLY VTLVLDGDSVCDVATAMETLFGRDGAGTEERDFRQEHSTVDGGDCSTSGKLYELLKQW KPQFAYRSHGANLCKDDGTAQVERTISLVHVVHVQCLQFFSSIGGGNHVIGQSLYISN VFAHVFPPVRQEAMKCLSNDANFPVGLEPPTAGGTKHHFCADVQSTFSAFPTALAHRY HLNSIAAQQFPLASSLSEKSDSEPSECWIRHCYVDDGKGPVWPYAVKHLLIPPGASTP LVTEISDDPSAAHVLVERLAGATLSARSGLENFDKQNVAGREKKGTNVKGLLRYPTAT SAAAMLSEQFRSAVAAVRHDTSTSATKLDLSTLQGREYNVDGGGALSFLGTGSAIPSK YRNVSGAFVEVVYTSQHREPPCRAIVVLDFGEGSTGQLSMLYGHGEEQLRDFVRDLTF VFISHSHADHHLGLLSLLELRHRLFFERDANGKQRDAIEPILIVCPQEVWAFLMETWG QSRSCGQWLSEEVIFDVFPAEDGEEQLRSDVAFLPHLNELCSKLCSKMVSSQSDIVSD EAVPRRANRPLWAAEVFPVDHPANAHALLLRFPVHQATPSDLAPSRVLLFSGDTRPSP FLVERCCQFTDTTCRDSEESQDPIGGVYICLHEATFGDGCEDEAMRKSHSTLREALGV AGAIGARHVVLNHFSQRYPKLPGLTKAHLGGRDVDLHCKRRGHRPKQTEMPTLVSEEM EQEPEQPMCFGFDFMRLTFDALERGEVSRLTPLFVQLLQEYESWGVGTTKRLRE XP_844953.1 MSPASPLPVAALSRLRITHRSFLTRSRGRHVCRSAVGVEYRPEQ QKKVLDHSYARVINAEVVHGDEQKFWGERRTFYTQRNIFFPMWDRCAQALILITREVP RVPQEMAFRLMAVFLKLMLLPRLMMNTELMLPMWIASNAEGAMAAAKDGSKEKEQSSK QQGESKDDAKKEGDNTK XP_844954.1 MNALDELNARLGIGQSRPKLPVALVGSGYEAERLLGKGSFGNAY LVRHKERGKNYVVKHVNMSNMTSRQRKDAHQEIVVLQQLNYPNIIRYEEFFEDFPHLY IVMEYADGGDVYSHLKNLRKSMWALGSGRCGGLTEEQVISLFVQTTMAVKYMHDRRLL HRDIKSQNVFLTQNHVVKLGDFGISTVLMSTVAMAKTMCGTPCYFSPELCLGKPYNNK SDVWALGVLLYELCTTGRLPFEANTMNRLMDEICKREPRRIPSSFSDELWQLILWMLQ KDPKQRPDADQILRTPVLVRAIPSVVKKMSELDTSYESEYKCVLTPGAKPPSPIKNRL SLIPSKFPAPQKGERLAGGVAGAGAAGATPCGVSGARSGVGMLGHVDLFRRRNGDYPF IRVGEELPKPKMCSPANSPVSPSRLPAARKMPPQVSPLLKAEEPPPRQPARQLPLAYG MKNGRTPLQGESYENRDEPNVKTDEKPTPIENEHEKNIVKKMEYPSPAQQRLQLKHSF GRTPLQELFLLYDANKKRIIERRERRANAEDDGAKNANATPKENPPAALPVVRNPSVK PNAEHDRDEKIYGKEPIHIPPLKVEFREVAAAERKELPAVERGAAAAAAAAAGGGGGG ASGGQKDNVFRGNQQQHSRCADTTAAKVVDELRGDLARVLKEMAEHFEHVQAATNGSE NTRNPSVTRSPSVLSNSPAPDNPRDAENTGGEGEVGQDFSDALGTTLDVQELRRKPVS PAEVPAEVAKGYGIDERIPLFIEQYEAALPGRNIVAPHVLADAARKGGKEDGNDTNKD AVGVKGIPNSPAVVSSHSPKVTSPSTAKEKGADDLVDPLFTGGCLCNSVNFVGHISYI FGSFVCNCTVCLRFSGSVSGVEWMHLPDIPFEVLFTGKRNHGGGAFGASPTSSPVAGA GVAAAQALSNLGESPPVRRALQGTKEGGDGASASEVRLPQNLTEYAVELPMLSDDGAM VDMTYKLYSCSKCGSSIAMEHGNIEGSVVAKAALSAESLALLELFQQTVPLDGGATAQ XP_844955.1 MRFVSRSGCLNTVPYNKLRPSCCARRSFVRVANPAEERGTTQRY QTLGEYLQRLPRGLRDVLLWSPAIAAAYFMFYKQPTYYLPSARRRLLSRPVASTPKSK AEKSRSGGVVSIRLDDPSGAVVKGVVLAVLDADGTTLESCVNSASSPSPPVPPFIVGI TDCLMRLQMVREPETGKVKGYTLV XP_844956.1 MLRRFVAQAPPRLCAWYYISRGVGDWQPRPKHRARFSKQALAQS RTRFMEQKAMSSMRQNINEVHGELQGHRSKLRRQCEQTAFDLVNRAGKGPAASAGQTM STARHFLMLNEVARKALRSGRRERKNIFTNSKKWRG XP_844957.1 MTESAYLKCSVGPVLAKAVAETVLAQPSNPQEYIALYLLHVLQE EQNAAIAATRQAKVEALRQAWAGRRALREKRAADTIQRFFRQCQAVLRARRAEEEELW NKYEEAEAEADDLLGDVAGEKDHSGDALPDAADVDDAAAAVEDARVEFYKAHRFMLYI RKALLGMLKKELVDRREEVRMEQDKMHDALEVATEEAQKKDEAEAIAAATKGTLPSSD AMEKLVRQVTLRQHEKISAPMILFRVLRCWCYFLFDSTPKQVSTPADVAALLKPFKLM QLLRAFNPVGSYQRSRPLRLEDNLQNANDMNSGDDMQDGDVPIPQPKPRQARRVGRVL RVLLHDGEYICGVNPADHIDAEGSGADEEHEALEAAAAAADRAANITSRVEETAKKHS VILYALLRLLRTASAYRDARDKWLQLLTQAGREVPATVELPEEDVNDPNDEEALRDED DEVDEAAVRRLLLQIGVDTDEALAKLWIEADSVERAKWEGIAAARLEEEGQEEGSGGD GEGSDGDEGN XP_844958.1 MKEFRRRMFGAHLLGNSLRPPSTPVLTAAKMPCAHLSAAVRQKL ASEKVPPPPSKCVGALNTSNSAATLVSTTVAYKDPGVIVGNAPGSVDSSRPAVSPPLL VPQSGVPERCDSNDAGNNSGYQRVEEESRVADAVEQLHNLLSSWCSREGGGEGSTVQP PPLEITAENREVSQKQREELLSALVCVLEKDPSFGPRLLSGLEDDTLRMLLLFGTAQE YFGFEEVEKQLRKVDTEKEDCVSAEEYNAWVEFALRECADARNEARQSGVKKQQQSRS SEASKASQSTITNDHIAWNLWLRIAYSASVPFMAFGILDNSIFVTAGDAIDRRCAEAF GLTSMTAAALGGVVSGVAGVQMHGVAERFTQRVRLARVPVLTAAQQKSKARGSATRVG NTAGMLVGLLLGMIPLLFVHPSNSRDGVKGETEAECQIRK XP_844959.1 MPHKGCNKCSASKANNESSEDERSPDNKIVFLRMRLAASESRNT SSYREAWPPAEDSLRSSQLREVDTFGDEWEDVEHTASESLVSQSFRDLPCNFDNPKYG KYVPKQSAETGRPRLVKSTPQFVAGTTFERYPSTDLESTVHTLPAVPINESRNSRSES NGNAKLVLPPPVEGDVKESGVLPQCIRSNKTKVTMKRRCSWDNLFHTATGDRGPQQAL VPDSDRVTAVTTNEDQIASQPQMQMMPPLRISLRLQPSGRSGCVFLGARGGGDFTVPK PPVVRKLILPPLRRGGGTPQFDMAGAAPTGIDSEVGKGCVEEEEEEEEEEGEEGSLNG TLSEEVNITLAAVPSLDVTGESNAADVTASFWVIPVLVLGRKEEVQRSRLRRYEVDER AKILNLLVNAHKVFVTRSVKATWDTLTTYSSYSLSSSERRVEWAPKENKQLVAPVVGL PRVSEGAMEGNDILAPQHLEGSKGEHVWGV XP_844960.1 MGSKEDQVEEIRRLLRFSSGGADPFAVLQLDPQTCEVADVNRSF RRIVLKVHPDKCTVEKAADAFHIAESAHKMLSNEGVLIRLKVAHQRKKEREAALKEEE VRRKAREEVSGGTAGLGSSEDPNLSPAERQRRRRREQVVEQQLEATRLAEEAARKKQR LEREAKEKAELAAELERQRKEWKDLNLF XP_844961.1 MSGSSLACNRDVSLTIGDVTVPVPANVSHPERVTWVLPGDTTNS GAFHIGEDAADELSLDVGDMFFHLGDNATRQYLRWICQKELLKQDMCLTGEPGPALRW LVLLYSRLTRREVQCVSLNRDTNEGDLGQRREIRNGTLSYEDQSVVIAAREGQLLLLE GLERVERNVLPVINNLLENREMHLENGMVLIHPERYDKIFNEALCEELKGGRQDANVE GGIGNQIPQAVHAKLQRMGFIRVSESFRVIGITAPVPPYDGTPLDPPLRSRFQCLYVD LPPPTLAATNVTNMAPGGRARGVFESLLKLRASIEEMNARTRGFTSGGTQGRDSAVGG CVSSLCGLQTIGSYEVAQVFRQFMLFPDVPVSELLLRSFPWTLYAQQTGSGSGTYEES MRRLRDYERVLAACDIGTPLSNHICHHSDVSVRDSGKPTDHCTHEDCAVRSSKHYNDV VFIDNVRVAKSKVLAVLQHPVVVGDACVDGMKGKYQLPLYLGEFALKSSRGGPSSPSS GRKWRTVDVKVYCSSSSTVFTPGHVHVLQSMLQLHIAGRHILLLGSSGSGKTTLLHEF ARLLGYQTETMYLYADMTIKDLFQRRATDSVSGDTVWQNSALVDAALNGRMAVLDGID KMPLGMLSSLQQLLIDGSTSLCDGSVLKSFKEYGIIKEKLRATEEEMKARRIFPIHPS FRVVGTARFPALNSNGTGNKVWQNQWRVTHDVTELFGVVQMPSCSQSLFRAILLRVAE LELQFCGNEKVDGIRASVGPEGGISSGLKRDVDTLLRLRDELERLQVTEPKTPQLSLR QLLNLTRFRVRYPDDFNISVESTLLLPLLSSLVAEKVRQVMQICGFTCTSGLAERKQD PLRSSSVSKSSMGETLNCHSLKEFLLPCDPTIPNAGAGKAEGIEVSRVFGLGDKPILR INRNYGAKEKAMVPHVPHFHPNPVHESVIVWLAKQYAVGNNILLIGNQGVGKNKVVDA FLARVGTPREYIQLHRDTTVGSLTINPTVENGRVVWADSPLVRAVQQGHCLVVDEIDK APVEVVQVLKGLIEDHEMRLRDGRQILGPRAHVVRFSISSSDYGSSEQKNIINMHPDF RVIVLANPPGFPFHGNDFYRECGDLFAPSVMLNADAHSQLQVLRAYGVNIPDALLMSL IECFQRLQTDFEEGNITYPFSLRELIAVVRHMSMFPGDGVYAALNNIFNFDVFDENTL RLVRNVLRLHLDPLVQFGGMQKLLPLRTSRAVPLRPSPSLIHMSAKSPAFLPLKLGEV VMSLPVRRLTDLECGGAINWKSAPVWCESYNATDFAADVSANGGLVGFSEWTTATRLP FLQQGDRVIRVAVEAPECVVGESKSVAVLFTRQHVLREEMNGSSSPLATTEIFLAIAS LPSVASIAATAVGRKRLAAGQVQLLNLTDLLPLNSLPSSSLRFLGTEASGILASQALK ESSHGAFGTSPSFVEVACASSGSILLADASALGLCSTSTALPRGQVRGQGDAAGDKLL GSVLVVHLMPPSSDVALTRHTVCPGCNLVAMTRPREPELYVWSNGHRVGVTLPAEDIR GIHFFANNYASVHLSKSESTFCGVMKLSLMSDGVATASLCLLPNEINGVVVGLTGTKE PTPTEAAMGPVTPEELQGAIIVAGAGSDSEKEGVLYTMVESYSSRSQSWSMRTFANPS ISPAVCSDLNHHGTIGIDRVHKQLVVASGSDGVDTCGSLFAVDYHHHTVRHFAPTPTT SSRSSSVKPSYPCFSLDEVAGNILRYDEVNRQLLIGDLVAERVECRHRQLSALLQGSV KQVGAAAATPQGKLSMSYCTSRYAASNNLKHGREDNEEHHGGNTYAGGTGGTDTAGLG GLVGPYRLDKGWPVHQVSPEAKQQVPQHIIEEARRMGRVALQERLREIGMTSTEYERY GALQGRVRAPVAILRSMLSGLKAAEGERVWLRGQTDGVWDDSKIVEGIVGERNIYKRR ADYADASPFQLKRKKRLLFVLDVSASMYRFEGMDQRLTKLLESTVMVMEAFTGYEEKI DYAMVGHNGDSACIELVPFGKPPTNQKERAEVCQRMVAHAQYCWSGDNTVQAMRQSIE LVTAEKGEAYLVFVISDANLSRYGIEPGELGEIMESNQEVQMFCIFIATLGEQALNLR EKLPSGHGFECMDTQALPHILKQIFLSVNLL XP_844962.1 MSVRERNKNPWAHGRQLDLDGDVTVRCQADPRLCTTAAIRRGKL VEPVDQVALRVGVEASGVESLVDAQGITFFGSLKNGMEVFVSGPAMLDAAKTDNDNSD LFLPDVSVAWAYDPRVLEHVPPVDRVPETPYRLQRAVEALRSAPRAAHFLPMELQSSG ERSPPREGAPVGTGATAGETPSAAPSGTPLWIPPRLATLDEVTLCHNIHRYRCFIEEG TALLPPLKTDVYCNGKTSSIATRLSVGAVVDAARRALSGSPAFAFCLVRPPGHHASAD TPSGFCLVNNVAIAAMQLLQDWHVKYDCGVGGSRSVPSEERDIPERPRIAIVDIDVHH GEGTQSFVEVEPQLLYLSLHRYDRGSFYPCDPAGATSYVGQHRNICNVAVDTAATDPA RCEEVISDMLFARVVDDVFLPRLEQFHPNIILLSLGFDAAHGDPLGRMAVEGGFAYVV RALKRFCLQSQGTIGLVAVLEGGYSPEGVSRGVVSVAHALCYPFDDVAVVNYARLRTP KTWMELRSRLSRRMEVRTDETGGATSLSSGLSSQGLGDATSTERAIADDDVLMERHVA WCDKLVKRVLAIHAESNIIK XP_844963.1 MLVERSYKTSFQYGREGVEDATIACTICPSMEKIHIRFPRSVDE IKRSISTYVAVNEECINLYVRGCGCFTEVSDESPIVGEYYVLLRLRAGKGGFRKQLEK KGRAFARSKKLRSSTRQQQGGRSDKNRAQPIKLSKPHSDDKGVGRREQGNTVASAVDI SIRDAVCCGVKRLVEGLST XP_844964.1 MSRSVPWRNTCPEAVTDILNQLPSCQLLLVKRVGPTSFLLSKRD GLVKYRTSIGDPHSCSCDASREQCIHVVFVLCKVFFLPRENPLVWQRSLVAAEIDEIL RSENRLRNAWKNVDDTRRDVAVPRPIEEGDVCPICFEEFGNDTSLDYCGGGCGKYIHT RCFKQYKRHNLVGPLRCPYCRSLWTNTVGATSKRCSGCKKYANGSCYKCLFCQEYFLC SDCFHRRETHSHHPFSLVGTREVAERHEGNSALPMPVSSEPTVSIPEELHPLMYREID PEDYETLLRLDDQNSKRKLTAEEFSVLHSECWSSGLLTDECNICLDTFDVTSGCVWLP CGHFFHTSCAQRWLTEHVAECPIDHIPVVVDRADVQSLTVNSSSSAAVAPHILRPSRP RARVLPRRRLNVPYSSATVGVEPPARRNAERQRGSDVSLPFLELQAVSLGVGRRRGRY NEQFFYGTV XP_844965.1 MRRVSSPNITIQSVRWISGVSPLLYFPPTTTSTTNREDQINKNT NIAIQMIKRYKGEVPPHYTRKSSATIEQVEKEIDALLGGAEKLRKTSTDDQPMDKLTL MERCLRHALWSYHKEEGRYDFDQIGRWVVYTPEDEVKLAQLKREVEAKEKLAALRKRR EEEGLPGGPVPRINWPQEYSSFIDREPVVAKRIRYDTLASTTLERDEKQIESTLQQYR RASQDKRLDDLVDLLERFKPVLAREAIMQRLTIKHLEGQLGVWRYMDWCPEVRDRAEL EVDITGWQWWSPLEERRLLPVRLRSVNEVREIMSKTQAKKSAEAAERNPIVTQTSTGD NARDRLLKEVLALQARINQRDEVEPSQTEQKKKAHH XP_844966.1 MDATELKNKGNQEFSSGRYREAAEFFSQAINLDPSNHVLYSNRS ACFASLHQYAQALSDAEKCVSLKPDWVKGYVRHGAALHGLRRYDEAAAVYKKGLTVDP SSTACSEGIASVEKDKAASAMQNPFAKLFTPEAVKKIQSHRKLSLFMMQPDYVRMIDE VIKDPSNIQRYLEDQRFMMTCLVLSGMNIPVDDDDEEEERPKPEAPKKNEEPKKAAAV ELSAEAKEALRAKEEGNALYKQRKFDEALAKYDEASSLDPTNTVYLLNITAVFYEKGE YELCMEKCENALEHGRENKCDYTVIAKLMTRQALCLQKLKRFDEAIALFKKALVEHRN PDTLAKLNACEKEKAKFEADAYIDPAIAQEKKDEGNSLFKQDKFPEAVAAYTESIKRN PMEHTTYSNRAAAYLKLGAYNEALADAEKCIEIKPDFVKAHARRGHAFFWTKQYNKAM QAYDEGLKYDKENAECKDGRMRTMMKIQEMASGQSEDGDEVAKRAMADPEVAAIMQDS YMQLVLNEMQRDPTRIKDYMRDPTLAKKINTLVSAGIIRFGQ XP_844967.1 MNSTSTSSYEHDVPVKYIRTLDARLLPPRVGHNWLDAAFRSVQG KPQQLEEEFRGKRAFMPPGVYDHTPPEGLGLTARQLMQALSTRRKDGPAPDGRPIFTT LSDKVLRFYAFFSEKAPEGCCEEYWHRCVVINFYPEDDTVLIQEPPIPNSGLPGGTFL KRQKVRADPRQREQFPSDEFLTINHFNVGYSVRINCVEFFLYDCDAFTRDFLTEIGVD VGEPMQYPDSSFMSQWKHQQEQRATTNYGIVSNNYYRDDAVRAARFVLDAGKVLRFYG LLDERDKTTGGAVRKLEVLYFVEDDSIAVVERPTTNEAVPALFLSRGWLPKAGSIEKT LEFTFAHRVNGMREPYVGPGGCYTARDLGVGATINVLGRGVFLYDCDDFTRSYYKETF GVELAEAIDGLSQYGLPSKPDVVSFRSNATPASAPATVAPKVVETNVIIKKGDVLRFL LRLSAPCTSAERMRRFTLTHYTATGDSMVYESPIKNSGYVGGCFSSRSRIPNPAGGPG AYYTHEDFKVGSIIVINAHKFEVMNMDEHTANFLACKGETALNEEQLRLLVDAFRLFL RTRFHSFRDAFLGFDRDKDSVISVTEFVDHVTHLQITDRRMDAQALFDSICQNPETGY LTLETFVDWINQKGSESCGDAKSSQPINIDERALMRKALCQLCERLEARCLNSLQMFR LASTMPRAYSGRRADCYSLTNPHRDAYITPVQLRRCIEEVLGGNPSPRELDALLFFFF PALPPEEYRVKRDISLEHSLDLKAFQKKYHEMCTLQQLS XP_844968.1 MSKPTVSEEHHADVIRQHLTGIENAYYYNPGNEMAAVHPAEGTV SPRQSQDIQPVCIVAGNGNRPLAEAVALLLGIPTHQTLVAQRASGEVNVRICESVLGA DVYIIQSTSGNGLIDVNTAIMELLLLVRKMRLSNARRVTAIIPFFSYSKQDRKRNIRS TISAAAVANMLTTVGVDRVTTLDLHAGQTQGFFGNTPLDNLQMYQEFAQYLHSQVWFN SNNMTIVALSAGSVERARLLADTLNIDQIATVLLRRNASGTVTLQCVGEVKGRICVVV EGICDTGEVLVKTSELLNQLGATKVTACCTHGILTPPCPQLLNECDALSEVVVSDSIP QEEHQRLVPKLKVLTIAPLIATVVFKHTQDASFVPLFEQPKLREGKGSVDSP XP_844969.1 MLTLRELDPSELEDEAHLRRFEQCRCEVMRVSSSRLLPECIALT TKGGFVQLVNVSTGAFRVFLTHLGNMPLESQLRCFSLAPSLYATKGAHSLLYSLAYSN ELLLADMEQGTVSVLATFQSRPSVVYCDGDYIVCGEGSGQVTLWRSPSATDGDATIEW RRSVFTDTVVCITLQRAYVVCSSVDQHSYVLSLRGGDIIAKLAQGPEAAVSLQSVTTS QLSGGFMLLCLPTVLTVYAPPNKEVAAPPCPTDGTVWDCSNSVQLDTQINCLSCCVGL IACGTASGVVILMTMDETGREVVERVRFNVGFAVVCVQLFDDDTLVVVTSSGDVWKWT TTDLLPEEPACDLSGEEELPEPNVQPPTATSAAPRPTHESMGLDNPQIVQVTSSEVVN PGYLNECGKEEEEEITIMMDDESHPLPSRVSTLPSRHPVNLRTHCREGSDNKNVGDYQ EIFDTPNEVLAHMAECSGDRSDHMPTCARTRSPSGTVTESETFSDDGSSCSSRSSSPS SVSVAGYATADVAADRITNGAIQSSPPSEQNLRSISRDAAGDFAVDVDATLTSSPGTC ACPPVLEAGLTTADAVAVAACNEVTERGGEVCSPTRYTLTHTLDKVLRAVDVVPPLTI KGLCAGRRMDPRKAKLIAETDCHEEPAPGPERQSSQMLMDYREGLEDTPFDYAAYAGA HPLKTEALKYRYPVRMPVYSTRAQLFTPSTELRVTNIKGNSEENNMEVNRKKESRQDH NDQQENVTNFTIADDLMNATYGRLTRRKDPLLEEERQLGGPDIRRHSCGGLLCGTVDP NGTVLFQEFRVQPSPPGLLLLPMPLPPTPTVF XP_844970.1 MPAGSDDELQQVVQLTFRQRHEEVLACEAVVVIGRRRPFKTPRP RLLALCRPFSAAAPTGSPTSNATLNVVQVGAEQATNIKLVFGVARLVDIAQEEGFDAT FNFGSSGLLSVRFGSYIQRQMFVSAVRSVLRDVQLLTPGATTDHDGVQALLLDEVGAA TEHNIVAHVKSQRRVKHRALTTEDEQQLQALLGPHSFDDIRATEKLLSTHQKKAELLS VNDLVISACAWEEVREHISSIMEDVGEMEARIAQYSKCILSQKEQLQRIEHQHNTLQR KQQNLHKLQEQLQVVRGQLNLPPQVTALLQRVGEARSEDLEEFLSNESNVRRLSDAVG LITSLLQNTKLESDYPIALVAARRAFFAEQRRAIAHRTKTYILDAISRHEKLYLTDPR RFSTKNQLIWKMHLGVTNMMTSFQELIKTLRYVDFEGFIAVLRRYRVCMQRVYAREMQ NFFKYLRGQLKKVGSHRPFLIGVRDSSDGIVFSGSESTRSETPHRRGSLANSDGLRWT PTCSPLGYPHSFCHMSGSFSRLNISAPTEGQLFVDLPVACDDTLRLYDPIHTQAANVG HNSPTPAPSLLAPTVSKTNGQLKPDVAFAMTLEAAFCMVLQEEDTLRRCFGLVEGREE CSKGEENHHDFTPSASDALSNVPESDKAGLLRESLLELFGGDKVVQFYSGALNTAAPS AKHSEKDDVPSARVDVDCDTTTADKNEGKVCTKLEKGQEDAQKGCYLQKELVDLVQYI GERCDRLNALPAMVMIKAYRREGTPTASSSFCQSILQALEPIVASLISQSIMEQTASI KTCVRRYVVDPSGLLSCFSGLPTLVQRLETMHDSLPVAVRTHSEYASVVLTLVDQCFE SLNCITNLAKSEANYEVKLSRLECIVRRANRIFNAGGENAKSAEHGFLQQYRHQAFFC LFYESLHPMSNAVELLRERYDSSCALRDRYRELYLTRAVLVKEFPEFGPFTLVAEDLA QVHTPDDLRSHAAFSVSALQLLLTSLPEEIRSGIPASSGRMKKHFLRDVARRKNEVAL HCTLLQRAWSDFSAMFLQKFDFLESILQWSMYANLNLDIKRHEVVQLLRAV XP_844971.1 MSRSRNIVAMPPSTKVQNSVEFSLRQRLFDTQKLAQMRLQRVME LKDEVARLRELLGNLRGGEEGDDPASPQRIRSPIKSTIRRENFSPGEQGGRIATAVAS RRGRSNEAAAQRDIIVTVGAGSRRRGNLTPTRGATSPKGSDKARPMVLKITRDPSPYA RTARASNCSGGDPLGLFHTSFQLAHGKGATNIRRLRPTRRSLVTGTTSANSPERRLGR RSPTRLPLSNPMGEHLSTSASISCRGLHSPTARQRA XP_844972.1 MLRCSWFLHSGFRIPTGEEIDGQILVNRFSRRRGKGGWIPRAIT ATRLQGWVAIAGILVGAAVFIGPWFIDEYREMLGYKFVPEPPSSMPRMSTQWWENEWR KGNPLWRAGESTVDFYTGAFDFVRNVTGRTLYDATAFKLLQKSSQKPLRPRALVPLCG DSPIIKELAVRGFEVDAIDASETAMRSCVERTERALPQDAYDRIHLHWKDVFSPELWN GSLKSVKFDFIYERQGMTSLNRDQREDYALLLKQALADDGVMYVEGIFRTGRVKGNKI RGPPYSLSRRELQQLFPADDGYVVQCEETNDAMTKLSREDKVLQRVPKELYVTPFHCA IFRSRSLNPGGVST XP_844973.1 MRSALRRCILRHQGCLRMKQSLSAFPTVVTGMTRHQGNSLIGTT HGAELSLAGDPQSVSHLSARNIATEALQMKKLHQERGGNPMLAQQARRVLFATSIAGQ NLDARSVALLLNTAVYFGMESDAKLVRECIDYCLKNDKLITVDVLPIVVTACATLKSR DAREVIEMQAQKAARNAKFLDAKDVTNIISAFSKTGINHEKLFAFLSRRVQTLARVGE FEAAHLVILANAFSRLRYRDKFLFGAIARRAMSLRERVTVNELVPLIVAFSKIGLKDP KLSKRFATKAMEYVDQMNAEQVASMFMAFAYFGIRYDQLFGVLTNRAVELIDEFNAQY ISTTLNAFQRIGINNPELFDNLAERALAVVQDHDARDISKTVTALAHFGLKDEELFKR LASHAASIADQFDAMGLVNTAHAFARTNFLQQDMAVALSERSVYVCRLLDAGETRRLL WALAKFQVRDPKILTPVFNRCLALHYDFFADPTGSEEIEEIFDFYGPNFCPPLYQLYI SRGSTPQA XP_844974.1 MLNNKKENTDEQAGMTVRQRLRQKRELEEKRKFGLAPLCVDAVS GQEISPNVPHLISQAPWYYGSTGPTLEHQRQKNVEAIEALNDQRDAVKVFDKPKTYVA GACGNCGSVTHKTHECYKPRKKVGAMYSGKVTGVSMSVECVEKNYAQKRDRYAMGIGV DLLQSMNQDGGVADQEDQGGHNDGSGGEKRSKLEDVFASKTAVSGGGGGVAIKELPKH LHNLDDDGLFFDPKTGSMRGNPNAADPTKIFQGDLQRYRSGDYYTYLEMQLRFLKGES SSFVDFRLDEQLQKEKQQQTIGSSGGNPSGGGESVGETAHDRLVRSLYGDSTLVSSGN AQKMREALAAASAYTSCSAPDEDTLATSAPSSSHALGPATRNGHLYVYGSYFDTKEFR WGYKCCKRLGREAEACKMEKASTTKELE XP_844975.1 MHLYLTKRVAIPSTVAVTAVAWNEEQGWLAVGGKGGLLKVLKID GGGSQRGGLAMNQTLEGHETDVSVVAWNHQYRKLTSSDAGGQIIVWMLHKGMWLEEMI NNRNKSTVRDLAWSVDGTKVCIVYEDGAVILGGVDGNRLWGKDLKCELTNVTWSPDDR YILFGTKSGDVQMHDSATGHLVNQVAITHQANKVPLAGLEWSSAWVDRPEPLPSLAVC YKNGRIHLLRGITDHEARVVEAGINVTGIAWNPQGTMLAVCGVPATGGASGDGGTSAQ GGATGGDAGADGSASSVLTLIYNGDGVHMRTLRMNGKFCGGITWEGDGLRVAVAVDAA MYFANVRCDYKYAYFHKTVVFAYNRPDRTDSSVMFWNTRNNERVIRHIRGLLHIHACR NACLIVNAPGEERCCIVQLVNAIGCPIESRMLDMEPIVSGLNSSHVVVADEENIYIWQ FRDPSVVVDALDPVSVQMSRRETHDRVVHVDDFVRADTPSALTTQTALTNDLICTVCL SEDFLFVARESGLLQLYRFNPLQLVGKAVLPCRPQSIAVNCNSTRLVLIDVVGVMRMF HIDPTTMSLAPSKLVPQPGFERKDVWNVQWAQDDPEMLAVMERSRLHIYHGTESEEPI TCSTHICKFRSLKVRSLLLDELKQDPERPRREYVIDHDTRALRDAREVMQTASTRDAL RYMDEHSHPKLWSLFAEHALAQLDFDSAELAFIRCGDYPAIQFVKRIKTLDDPQKQRA EIHTYYHRFDQAEKIYKDIDRKDLALELRYRLGDWFRVVQLVQEGGGDESHMRRAWEN IGDFYADRQKWTKAAQYYSQSQQLHKLAHILFLQEDYDLLVQLIQNAEHDRELLLKLG EMLLSVGLGEEALRAYLAAGEPRLAVESCTQLNQWDCVMAVAEEYKLTDVSKQLGRHA TRLVDSGRLSEAIGLYRKAGQHDEAARLLAGLGQRAATTNPLSAKKFYVLSALEVEKY RKKKMTLSGNGTAAVEALLDVEQSGKGQERAFDAAWRGAEAYHFFLLCQQHILNRNLV YALNVAMRLMDYDDIISPVDSYSLIALTAYLAKNYSICSKAFTRLEAAEQMDEQSQSE GGEARGAVQLQMMDMIADLDVSCRTKVGHGVGPAGGGAGGVSGTTRLDGTTTSLQLTN PSKGAVSAIQMTYPTVTLTEPRRRFADLASKIFTQHKPEDNFIDRVKCHKCEAHNKEW ASACVRCQQPFHVCIYSGRSITSEEFWQCSVCHHRLLDVETDRFRNCPLCHTPLRH XP_844976.1 MLRRTRFICVGAKHFFRTDGQPYQPYTIKSLFLFGFIASTAFLS VFTVKETKKAGPLELPDELEDERRRRNDPRRPPWPLLHQRSVLLREGKAPHDDLLLLW EQTRHYYPADWLVPLEITQVLKYTSGAYLQNYVPDPDQLRKEVLMQLLNIQYGRVRDP NGGRLNRDVKEIIAMAIEDLENMDLSPGTEPALVPTHT XP_844977.1 MAAKGKSTQRRSATGGANSKGASAKISPVKKSTARKGQQKTTKK TPVVTKPVPTKTTAAAGVKKKVDAAVTTQAPVTGGKRERFLRKGRGVVDVHSGKVDTC HVYERDGTVFQFTLNQTNISSNNNKFYITQLLEDDARKNYFIFARWGRVGAVGQYMLE PYLDARSAEQDFCSKFREKTRNDWVDRGRFKKVPGKYEYMEVDYGEDDDDDAGGEKPG KKKKAEKPVRESKLPKEVQDLMRLIGCRESMSQVLRELEVDTERMPLGKISKAQILRA YEVLKEIEKKLKRPLKQLEELSSRFYTLIPHAFGTRRPPVINTVDVVRQKREMLETLA ELEVASTLVDMKDDEALHPLDNIYRKMKCAIQPLKPQSEVYKRIVQYVRNTQGPTHDA YTLQVVEVFTLRREDEEERYKPFKKMDNRQMLWHGSRITNFLGILSQGLRIAPPEAPC TGYMFGKGIYLSDVCSKSANYCHPPRDTNTGLLLLCEVALGKQKEYENAHYMLEPEKG TNSTKGVGRMYPDPKGAEVVKGVLWPKGCIKEDSRSTSLIYPEHIIYDVRQCVLRYLV RVSFEYK XP_844978.1 MASVVSPLKGTSVNLPWKLLVAAAQPYQTLSGPALSVNFLQQPT LMPFQQFPLHHAGIPGLYFHSLLHSLAEFATTPRPVRDNHVKMLHAPMEDFWQRVGVL NCAYYTFFTPFSGQHPALGAVELSLSPQRKQRDVRLTLYNGVYQPIATMICTGEADDP SCNIPSQHDALAWPKPHSCLDGDAFGASLIGNVSKLSDGCFMQEALFTNSSESEEDVV PPWRVDRCVADFCRSLAVAGFLAPHVPSGKQCHFTIAAQQTLAHDDVMSGESVVVVTA APRVFAKYRMPPWRHQIGLPLFGPGVYPLCVALRVEMRQKGYTVNSGTYFLMDTGLSV XP_844979.1 MAKKKKSKRGVEVKDISNFQYGYRADSSNAVRTPVATRRVPVDP AVLKRAYILHNFQFVLRHDAQQLRAVPGLPQRPHQVTEALRLSGTTPPWDLIIAVVAR GTWEEFHCPVCLEEPQAARITSCGHVFCLVCVLQYISRRKAESKQRTCPVCSNFITVA SLRPCMVRLVEPPSVGARASFTMLKRKGDSCILLRQDDPCWKETTLTDDELRLPFYGE PSATYSRYVISTESGEATLREDECTAITRQISMLEAQPRPFTSFDDDLLKRAEDALRL TLQGVPQQQSAGETRSSPPLAPKTPGTETNMAYEFYGETDGQAYYMHPITYKMLCVDA EARNSPLRNVVEAPVEEITTFTQDEASRKRYRVFAHVPLHATIKLCLLDLSDIVLAST MKIFEPTLARLRKSRQLRESSISSSTEDSTWQEYLRRYRTDWRGEAERTLEDMSPMSE FMMSEMSADFERLPALELSPSDGTPPLGPVPQASAGRETPGGGCWKTGNSLQLFSSPP VRPVVPTWGGHGFNPNKPSSNVSLAEGRKR XP_844980.1 MWGPNQEVAHPRHDGKSFYLPSTSAAGAAALGASLLPHSNLVCA PLNPHIAREPVDTATGDGDGTVRALLTRFRIENERLRDQVKAHVVQEALLTQRLQELS ARNTALHLQDFRKRDNDAVVEKLKKQLEVKEMEVRALQLQVGELNGRISAYEEAISRP DHPQGLSANSMDRVRELICEALSSAGFLTRVANAVEHCHPSTHEVTDCPRSVEECTNH CLQAAMRGNKELPGETAARGHHVSCEELASALREEVLFCETVAVRVAAKLFMERSSAD SSAKADRGTGLQHQEESAPPLARPTAECPAEAHELPQSDRRPRRKPAARPTVEDCEVQ XP_844981.1 MSSGFHFHDVSNDAIKGMPPSEALHKHLENAQLAHRICLAKALK AGEPPVEKCALTWGEVLIRYQAWSEYRPPFQDSVAQAKYKKYWSKKRQEEDDKNPFK XP_844982.1 MINKENSGRVHYSTDSSVGSKQGITHGGIGKSGRGYNINFAIAW LDETMKLDDPKLYTNHSTVEDEQGRMWSLETETTYPQFITLLQEYYWPMVGRNVGADE VRRKIIDDLREALDSRDTTIKDLEAGSQLFRLIFGYFNDWIRELGFSAGQLKKRLHLT EKMIYVGKLLYSALVYMWNVGKRQKNSCDGILRRLEELSRERSGVNMLPPANPENSFP PPSVNGHPQTLPRALRNDELQAFATTVTPDFEIRGE XP_844983.1 MLNRSRLRRVWLGGTAANTSLGRDVMPSTGKYINIVSPTDVAAN ISAGAMPPEANVAAALPVPRIQYSKWNRALRSDVYDELLKLPLRYKLHDFAKLCPPQR CQEEPEAVGVVGRDSAVGYLPPLGPADPLDTIPFFVHRSSNGVLPGKVFSMHPRNLMP AFYLRIQQVEGDMFRFEEELLKIFPTKKIFVRSHSIYVYNVALDGRMVLHHWLLGLGF XP_844984.1 MAEQPEVSKELRLGGRSRGANAAGDNRRSKAPRASEAVPYEEAT TLKDPFVTSSVSGPTTTTTNPPPPVAPSPVVAEDIFAIAYDTYSAADLHALLQQVTRS EVERRMAEFDQQRRKTNHAPRVERFLDVTGSSSSNNNNSNGTGSEVCTGYTYNDMLQR IFIALNRNKESSGMSERNQLPVPQVEKISKKKVVIVNFRRICEVFSRPIEDVKDYIEK ELSVGGNLDSNDSLILKYEIQKVTDFDKILIKYLDEYVKCNSCHKIDTTLTKEGRRME LRCNWCTATRSVQAVGSATYSAQVGKRSRARRQAMTL XP_844985.1 MKNFVNANPTDTPNTTDDTGRQKNTHTRRRTACISCDAGSNFNE RGSRSRFLLPRLSSVDEKSADSKSWKGLAGMKLPTLTTRETHSTTRTSTSNSRGPLTS PRIGRSRRESKIRSAESTVCSWGTYAFANELAQKKSPVVAPLHLPSLLEVQTRGDRQL QSRWQSEVAMPSTESQLRDWSGPSAWGLFANAKEGVKDVQEVTRSPPARSPARETHKL DVSDHLVLVSDSNKSRLLSTSIITCCLGRKTVELQQLSIQMNTIKSIPPPTNPRRLKQ LSPNVLNVVAAGSPHLKPMAPKTPTKPKGVGREMTWRESSFRPLVPTFAPQCDVEPHL RLSSPFSQSCFKDNSWSGGEGSYSFFPLFGPT XP_844986.1 MLPITRRGVLFSTPLLRQKFIPTQKLHSPEEEQEVKPRPHARRE VWEFTPTPEHKSLVLLLYRNIIKGLMDFKSVRRRSLIAYCRFAFRRRATATEKLLIDE CIEEARRAIYVLEKHHNFTRTHTYEYDSMGIPRDTGQDVATYMEEVYDPELSRQQFQH FQDVQPGREYLHQQRGLGPTSGRHHWQQQRSSAEYRVEIREEDKAMRPPPPPSGEE XP_844987.1 MVVRPLFCMLVFVAFVTILFGANVVYLLGEAESSTLRRATNGTL KDSDDSWVPFDSEMAIGRAYSAVVWLNGSLVIIGGCRDVACTQRVNAVDIVDPSDQTE PQHMELPIKLGGRGLGSGAHGNATPLMVAGPTSAVRVDDIDDQNNSGVYVVGACSYYY PERDGFPQSPLVREQYASIWALSNDMTKVVDHFLIQSWVSDSRGAEAEERVGDSVPAG TVLRANATCASHGHLLFIVGGVDMNTGGVIGTVDVYDTQKRQYHPDIFNLTTAVRNPL VTLDNALMYVVGGEVHHDGPLEQRESELFRECSEGHKWVNATDNSGEVSSVGLWCPTP AVQVVMLVESDPLVNDDNSSKTSASLSLSLIPGVPCNMELSIPSPQKRHMFAFNGRLC VMMNSSFVNCLDIEKLFNHTNPNNGNDSSPDMWEPLIGGRSPLPTEISTPFAFPLSTG LLGATMVFFNIGGFDADGTASTRLFYRASDVAVTSVAEVRAVIPVGVPLNLTLRPPRS GFVRLSSNVRCTDNVAGTTDAQVFQQEDEATVAVFYPMGEATRVFVCFANGFVPLPCV DRGCPAAVEMSLLYTPVTFTPFRIHHEVTPQPQEGLNRKLLYTIGVFTTCLAGLIVVV VTTTVISRPVTAGGPDGDYTVHLLAKEERKTIGSRISPKRAGGRRQFVAEPDRSHRRK VAEAASGSAATPRNLRIAGDGANFRTEMDMVVKNTTRDSRYEVVRRIGEGAFSSVYLV KHRRSKQQFALKYLVCRDNKERLDALRECETINSLQGHPNIIRIVEMFMNYEFTGGNE SPSTPQAFLAHAMTSPYVFQPKRGSCAFASKEINCSRLDAPLLDAGIPRAPAGPHGEK RNIPEVPASQKPLAEPQKVDITDPARSHTAKGTDEVSYMPPPSIGKRSKEDNLVSTAP PPSASAASVSTHCGSVRSSNHAQPPPDVHETSPPNAPTRLTTSSAVGAEGNSATVAVR TVGTPSQLTTPARQQAIVVHYPNFAQPTEPMPSPIVQQQQQGENNDQCEQQQQEGESL TTSKRTSYAPRGGIHYKDFDIAISASGVARCPQPALPPTPTLPNYVQPAAGSVQYTNF VAEDLCACAPTHCSVPAMSKPKSVADAVRAARLQRNSSTKVLTAEIDTSSILGGHIGR EGRVSSLQTVATYQPSLMGQQVQRSERGRNNVCSHSLGAVTNTNHRVDGTQTPGRQPY SMGNGVVILPTNDNVPPASLCHSPLKPVAEVRERSSQPSPDQGLAHSRYLGLVMEYHP LGDLCGYAMRHSATHNPKVRSQLEREKLQAACAYEEKLNKRDEDTSDGVRLISSNSQS PLLPPEVTAPRLFCGEDSCGSSPCGRAAAPDRRVGAASTSNCGSGMNDIYKKLEDMFV MRENPFTEPQLLSIAYQLSSVLHHLHSQRPPIVHRDLKPENILIRGNPLDCSGSSRHE HGGSKSHHGCNREGGSKEGKDVTSPLAVAVEVFDETPKERGKSPRSTSRGGCPTRITA DIIPIVVTDFGLAFVLEGRRKMGRGGGTRPYIAPECWVGQTSTASDLWSLGCVLYALA TARVTVQTVRLMYEEAEREGFAAMVMNDILARNYSLEFASFVLSLLVTDPDKRLTAKM AMHCFEVVGENFEATHVQFNPNSPFFSNVQEL XP_844988.1 MTTTPGGRMVLVRYCLFLRIWALLLLSLTSRYSLAAPLRATPAR RHESANAVQAVSSLLDDDTDQTTVTFTGWSPSKASLNVTGILPSAVSMGRYVLIVGGT RLVRPFTSAENFVRYYALTTISALDITTETFVTPMMFQDNATFAHDGSGSETFHGRTK GDVRVPAKLHFPAVGTSNAVRLGSTVYVIGFCTMLPYGVRPGRGQTINATLRSVQVIR LYQQQFPPVDDLSMDIQHISIPDTATLRFNASCVGNGNGTIYIVGGVSLIDGNPMTSV SQFNTVTGQFVDAGWGLGTSLITPGVVDNSELVFIGGGCRDYRGWPEYNDNVYVVDPT YFTADVGQFDSELLDWLSPQLMIYNRTLAMIAATYRRSFDRLESWVDLSGPGSDATDV MIGFAPLRTNAVIASVPNADGMSLYLIGGQIPPPDGTGISRDLFTGNVHVADGHADVT VQGFNYISVADDATHRQMLGIARSGEGGQIVEAKFSKGDTTEVDSISHTGQSVLDDPF HDEEQPTANATMHDQPTPPPADLPNKDGNWTVHVNGYKPLWYNFTFAILLSEQISTAC QGINATGEVSGNLTSSTCLLRLSDSPACNSVLLNLGNLSFANTWPYVVNMTDPGKNVS AVVNTVAVGPITLTGSLINGIHNITTPYINTSTTHRDEFVFHPRPTQRSFGNDTDNVF YEQLFVYVCFSTGAATVQRCNERAVHESSSSMLRAPHVPLGAMDAHQGPCTTGFYRPL NANDPFVLSPVTHWVPDPPGPQPVPPPAVEPLYLVGVAVGCGVSLVLWSASMISYAIR HKHGKEVDVTVVEGCFSEDESGDYDDENDDKSRHRRGFGPGAALPVHQKQSLLDGKYR VLRRLGRGGFSVVYLVERVMDGERFALKYVQCADDMDRHEAMRECEVAYTLQGHPNVI CLVDMFMSYRFDTHLPTSDGVDGRKGAYRRQCRGELLLHDPTRIHRVGSGDESESHVP SHRPQAGERYLSLVMAYHERGDLGMWVRQQKSEPKIPEKTVVSIAFQILTVLQFMHQQ KPPIIHRDLKPENILLDSSPAVKRGRNRDRYDRVDWSSKNSSGRIGTGASEETLRIVV TDFGLSRVMDKTFCETGVGSLPYVAPECWQRHYSTKVDIWATGCILYAVCAKRVESDN VKVMFSESNKPDFKKKLLEELTQVYGYSMALAAFIVYLLEPDPARRPSAEEALRFIRR RRKGTDGLTEEAAMVTVYKGDDDVASGCSDEEGNGAEGGIMHDDSYKQLVAATSEEVS GYGGESRAPVSGQGSDVVCGTLKSTSFSTHRSGATVEVVTPSGGAGEGFGLNSPPPKA VESQNMPPITSFSHGSHADSSQGMRQEHHNTMDVPPVARAHKLGSPNGRRSRRLQVLQ QYFETVAEEGEQCEFFIARESPSLVATSLNSSKRESPVPLTVSKNV XP_844989.1 MRTGKGRSEGQAFHRRLLSEYNTDFTLNNYVAYFELKPIAKELE QLCKEIERVREIEARAAAADTSTASETEDVFANQPEAMKLPQTGGSSLSPKRSLDELL KRRSELEVKFFKDIQLSFTKVKQYQQCLEADLLAGVEELKNMSEEEIRARPEEHIPKL YVKTQAILQYRALNLAALRKILKKFMQRCARDSLELQKHLLMVDKVISCSTISQPAND LRRVALDLIAFYGTVFRLTYEETVEVLTRYEHRAGVNVRRILPHSDTFFFTLRLPHQE RHGDFAVCILPGSTSLFCEKMICEVLQCNRYPPCCGQYPNGEVNVVLPRSVRGDDLFV LQSLVRCDRVGLSHSGTLMELALMIHAARASSAARITAVIPYISFTESVSSMAAVAEI LESMGCQHVITVDMPIDQVEGMFSVPLELISARYEFVQYIANQLTAEGHDFRNITVVA PNGAGVHRAKNFADALMQYKKLSPDEQFVPLCTAVRRQVYRGLQDTVLNINHCGANVG STRAFEQALLQQEQGICAIQDDGDTTITSEGIDLVGDVQGKLCIVVDTSVDEATEICQ TACKLREEGASRIILIATHFIISGRAVERLIESPIDLIVVTDSVEHDEVFKNPHIARR LRVLPIAPLLARAIEKLHTENSLTTLFEK XP_844990.1 MTLVRKTLRDTHRNMSSNEKVSSTGHSTSPMVGITWKRERSPTA EMQASALYHCTVQKQLELLHKLPSLTAGPCFRNAEHYISGGNSSSSNSKVTGNDRSHA LEALGLWVTEVPMGDCKNSAERQTPIDYYGEYARSVLRESVIRQGFCHNALYSIKKEQ GKESINGKQGSGKVARTIHNSLPDFWGIARQSQ XP_844991.1 MGPKKQLRRRGDKEPTNDGTEMLGEENLSAVSELRYEEEEGREG AERQRSSRGDAQISPQISRKRTRSATAERSPRNVDETEAEQDLGEDAVGPGARRSSME IRHSNTNWSIRERSTNLRNDQEGFFHDLNTMQPLRVRLGVCPPFGCSRANTTPMLLMP RKFFVLPEDKGGSDVVGWAGAFTSVSLDLPEQGIYNKASASLTLMLPP XP_844992.1 MATRPNMTLTRDEVHEFVRVLRAEMEKPAFIESVRAAALKEPIP TSLLELLLIYEKKQVEFLTNHNANRQQGAQNQQGSVEGGDIDGNAGDDTTASLTGARI LEELKMAVTGYPDPETTSLIKAMCMVEESQLLTISATTPELQPLMGNHNCNDPSHSHS HSHEHGHSHDHGHSHSHGHCHGHGPSQEQMMMMQMAMQSLTPAMRTDMENIQRSIMSG QRLTPEQATKMRNIQMHTMAFMNTVKRFGRGGGTGTPPTAQ XP_844993.1 MDEPTHNSNNGGEPSNNGGEGAEGTCKEETALVAVKVVNADGAE MFFRIKSRTALKKLIDTYCKKQGISRNSVRFLFDGTPIDETKTPEELGMEDDDVIDAM VEQTGGCLWCMA XP_844994.1 MSLLHRADATALRILREQHVESLAMSAQTGPINYIKKTFKDIVD TMPDRRNTFLMLVTLCTFFVGWRTAIAVTDCESPLVVVLSGSMEPFMFRGDLLVLHNI GEPTMGDVVVFSLPNRTIPIVHRVHRIRLLEDGVTRLYLTKGDNNEMDDRTLYPRGYH WVEKKDIIGKVAVLVPRVGFITLIAEDHSWAKLVLVPLALIWCWYTGM XP_844995.1 MLSCAAVQKLERYGQLAQKRKTASDFVNSSLRDAKRSNIHALTH IPTWQACLEELDPDISKGQDKEKDAATVESVQPGGGDVNVTYHGVPSKVTVSESLLYA VSYATALVVRHMDEQRFNLRNENERNGENVSLDGKVFATPLEEAVGLHTLLWKVAEAC GRHTKVYMGDSPQMAQALVHLLSSALDAFIVSTMLRHAAVNDTNGEAALLNSLCRSST GLVMAVVRQLRVMQSKQPFNALYSVRPCPTLLSQYLSKAGAGMSPTKTTGNVKEVKNT ECSGPQCSPNCIIRSRSRDVENDSFMTSCLLTWADTVASGSLIASLSYFSTFQKVSFC DAMVSEAPSGCCCTDVGSFRRTLSSLFSTAACNRATRIVVYFRGDDIKLMQNNVRDST EYSMLTHVTTPPVERSTLSLFTKLPVAEQHHAALLLAGWIASIPFFFRSFCAEESWTV FAQEQRRMVWLRERETRQDRGRRRWGSSSRANSVSSGGKDDSDHASTHSSDGYSRVEV NTDKGDGGDGLTNSHASDRYAGKRMRNSNIDDDEDRCSVVSQLSSFSLMSRASMISTL SKPSIASYREFLSVIDASNTDNGQTHNAPCLHQSEDDNTNLPLILLEEVVLGLQKFLV AVGEAGLSDNLLETTGLRALIWRTIGDLFTFVRNTISFSATNITAKGTPSVFHLGNDL RYNKGLGYEVLGLLFAKVVLPQLLTSCHDVAHETRPVVERALTQCLSTYELIAPQVID KNVPAILQIASRISKANASSHLGGEKAEAFPTTILHDFLVNLITRLGKGNRISMLLDA IVLQVKEDGNAIYRSKVVEGEDTVVGGTAASSTTVGLREIFSRPDIRAALIEACNVSM DPEHLLLRLLSYVSNWASEEEVNEESGGGDDAATNPEKDEVTALGTEDQKLNVKTTMS RMEPQGVLFILDVVSTVLSGVVPTSISATSILERAAELELALSSFFVNKLHKTFLPVK EQNSREDDKLKKKNEKSSLLLCSAAVIPQKMVHRLVLLTLNAIYSSRTLILSCLQDLG ISNLDAYLQLLEESMWCLQQQVDALVGSLTVDDLCASLSITTQMRHAHTTQCPPLIPR FVLQRLMLARSTSMALGFTVGPASSARRLGNTVLSYMLVESEGGVLPDHEADLALSLA DTLNEEEWSSLVHFTKPKRVRAAFVWLLQLSFTKSKSAQWLSRCMAACGGLLLEALCE VLVNVDDSELLACRHDMTSKNENDSAGGKGSEDTPLIRLFAALNDVLGRVGHLPQWLP ILQNFVHWLMIIYKEERNVQRSMSCLLRQQILQFVLNLLVCEEGVRTLFRWVLLHTQS EENQENHRFEHYRGRKSDRKRDGLNEAFMETVGVQFTVADAMLYASNRYTAEGESCTD AEGIRVAAAKQGIDDCTKLVRRMFSAKFAALLIQQCSTKDSDSGLSLSSRYALGILRQ LYTMAMTACRYDTKTAMSSPIAQKFIISVADKMHACLEDGNYAVLSIFLDAMSGTSLT YVLKEGHRDTASTVRVPEKRRRVENSESGDNGEKTRLADKKHHRSAEDIRAKEETVGE RKVFEASLGGVDHVEATEDKKESAIVVEGTKLTEVSLRWVDLLRKLLQEVVKGLVEER HQPQSSVPLLHAMSSLYVTLERVRLPALKDASENVNHRGKGGKANKRGRSYERQPVGN GRSLAAVAVASGELSDTAAKLRKLFAPSKSASRRRSASVLLPMLSSCNRAVTRALWVL YAAYEERRQSDLSEDGGFEDTTNHSPSRWFAALLGSFNVHECGNYDNFCSDSTDCLLE EAQEIASQHESECVTLRIVALQRDPAYQTEKATLWLIEQLCIIPEILHTFATSPSQSL LVEYMHALLHASIPLATGACQHLRKLQDRHQKLLTAVAEALHRLSFVPHMRFSIWRND AKRLLVNAEAAVVSSHDCSKRNDEGTHSLCCRVLQLCTLPLAALHEDEVFIDHEMSFI TSLLFTGTSGYRNGSQYLSESVILRIIRLLSATLLPRHVLWQRAHLLPTLLSFLFTRC LDGVSRGYCSSVVLNQLASFLFQLVKDATHGHCHGISPSLKSLCLSSITSCLFRHSAA YIDVFTTHSNDLDYIAADLLKALQHVHLPSRRMQAVPKKFKTEGNETGGDEDEEEEED AAKQSDATMCDLSYACVGNTDAQLLLRQAAERVEEEEGNGSRHIFLLPE XP_844996.1 MAQHSEASDPGSSLRWVLYEDVYYAAIVVPAEEAPVDVPADNQF VYFLGSESGSVVPLTAVEPFDMNNQERMNHPAAAAGVAVAKQLIMGTGSGENESADGD NEWTARPSREKRTKKGKERKATGKKRDGAAVPLKKTRKNARIMDNEDDYTASSSDERN SGTSEGSEESSFSREDEEEEEGVGLGHWREIEKSLGFTPTSKGKKGSNATKNTSRRGF SSPSERRPGDYVGFFDSCAGSPVAPFVAEINYYHRKAVDEAKASDCALNLQQSALIRA VEARLVALRAEVLHLRRLIRDAESENEEQLETEELKNIRNRIKFLEDSFSVEEIVKQE LHMEKITPPQHERKRRRAATQLFSFVDTSFGYLLDAASATALPRESAAALLDVYGSQK RERERFVASKQGLGKLGLRAPKASVMENWRRSREMIVLDPMSDKRPVSRRFSQTLRKV DQRARECYNAASHKTNDIIPRQRRPTTIYNFNDLRFENERHSESCMEDGVDLVGYNAL GLGFNVTTDTAVAIDADADIPVLSPLKTSFDPRATFIIDASATAPDSLAAQQHGYYSA QCSQTLRLPSQESLWGRSEVPDAAGCDATMASQHMRSISRTGRSLSRAGSMSRASSRA SSVMWDATSGTSRKHGRSNASSVARGSRNAGDWRSSAKRVILEQLTLYLRGLRGKPAV LNKEDFSHTAKELLGRALKAESKRKGISLSLQATNLRATLEKDVETRLRKSVDNYVLR HFVNNQVPNRHKSVDIDSGSHASKHRSETRRREDDGEENRTTIINEDRETDSPVYDQ XP_844997.1 MYTFKRPQQQQPQLQGANMGVTDAVDPPATGAPFVDGDNTAYPA VAAQASQEGVGEEAQQQQHQQPAGYLSEEATAEEALQYCQQMVLRNPVSPPPTWSGAR QVLPATVPELHLIPSAPVQAQQPPHITPSASVVLQLDGVVPEGMTPKEYGRSLVKYIV ELLAYQRATTAITSLRFVKMGTELMQLVVTFGRDVRLAMRVMEEFTNVGLCAGFVLED DGDLNSGGSFGGNKRVVPPCATFQVTDYQFLLNGGRGITSEDIGSLFQPETGNGENDM NVISGFEPGVFYVAVKKPGTVYEWLWNPFCSFLVQYTLFQRCGVLITMADCMDEFSQS KGGPRVAVGRQKGSDVGENSRLRRDVKDDTGETNDYSNNGAKYEDDEAADDDDYLGKS SDIEEKRGGRWVRSGSPMWSRNAKEEVDIFGGLGTGVKPQKLSEEDFVDNDITELLQE VKADGQKDVRTAEQQQKPSARHRSGQSAPRAEAHAPPAAEVSVPHVAAAPPRATPAPI PHSGSQSCPPYGSYYGYAAPTAAWQQPPPPPPPRTDTPPPYQAAVMSNINEMKAPKTD YPELVEEEISNEDAEEGNTGDNNTTQQPPPQMMYWGSGGGPPGGAAGYYPPSTYAQYA APPYGYPIPQHHHSHAVPSSMPSILGQSTFGSFVPTVRVEERVAVQVQPITINGAPCV SSITVDDVNSLAAKIVERVQLAFPLGALQNGNTNLLNSGETTTRVDGEYEGPIETAQA MPSNNEGEDRLRDKELMFPSIPCDFSVPPLSPEVEDIVSFLQQHSADIRIILTSTSEE FNDGRSRIVEALLVENSGSCNDEQAAWDQQYARPKDGETIASVEATTTAGKPATETEG TQPKKIKQLPTLLPYLLASKGAISILTALANCHAAKLLPLLCRCILPFLLDTTVVQSV GVVALRSDPTAANPLVLRLLRHWASLAMWLHEHIQSLAGESAQTSQAAAMGRLTSQVG SAIDALLTAMSSEQRESVAEFLAETKALETFFQSMPECPQSGKKEENLKGEVSRQSSF MLWDIEGAVYRSLLRLYLNADFFNGEEFANILYASKTSLTRDHFYFIRVALSKSNVSP TLSVETARLCGAFLRLMELMPRDIGKNKEVDKNSGVRCVFTDHDALNLLEAALRVQPS EEAYQSLRSAYFAKPASEERYEQIEKKLSSTVLPPPYPQQPANITTAILGTSSSYNPQ PSSDAQQHVTTRRWLPEWTAAMRHYPRTGEPFTPLPTGWTSAMSRSYGYYYFRDGKSP ATYKHPTDATEFMVAPQAFLLEARTAVTLDEVLEYANRMSQECRTDDASREQPPIITK EVAEEWINDQRIRLEALDLAALDLMKVSYHTVGSGGRRYGERNEHTDGDGGRRDRRRR HHSHRRHGRSRRKRSRSRSRSRSTRGSRERRHSDRSLSKTLKFSSYPPRGHPFPHLPY RWTCNLSASKGLYYFRAPTGSLVFTHPDTRREYRVTPQAFALHHNIQVAAIATTSRRP VEEVERWLSDQRNRDEDIDTAVVRMIPITYVWSSCDDEEGDDEGKRFHREEGSSRLHR KDSRR XP_844998.1 MDPLNAVALITAGCGQRCASPVMAYNNMIAYSSSDSIYLYNPIN HKSSEITSRRSSSMQPGSSNYSGPNATGNEKVVTTYPLSRVFSNGVRGTIMSFHLNDE YVACVTSDAHAVVWKLTNCELLNSKAVLESVKDFFKSGGAPRQVLVSGKHHILFGTSK GYVVSANMHDGVTTHSAKVSADGGSHKHDHTAGAVCCMSASPTRPDVIAVGTSGGLLC VFSLHPVNGLKQSHAIKPFLRTSSSAAEKGKSGVTVSDATGNAGHGGEGDKDTCSHAV TSLVFDPNNINHAVAGSSEGALAVVDVTTAAVVQVFEVHDTPVTSISFLPAQAGTFVT TDGESSKLRVWTVTSRTHSHVWAPVWTTTHPESKCKGLEDYFPKAPAGDAIASAVSFA SEHIVLSLKNGRVVVYSTQRHDIEFQTPPSHTDTLHSCRYAYHNKDVLATTGADGNVN MWNTRQLELQSRIYAGTVAVQGVDWSPTGKNIAVALSNGLVISYNVSTHRENWRITLT SSGAVSCIAWSRVESGSCIVAGYSGSVSLLAARDGKELRRYRTPTVVLSVDFDPRNAK NFSAACKDGQVLLFQTSVNRESPTLVLSGHTDAVTGVAYNGIFGHLLLSCSRDSTLRL WDLSTTTSHATSVSARVLRGHTGRVHAVAWCNAAPYLVLSAGADCTLRLWDIRTETNL FSARCSGSEVVALSSHPQRPFVFAAAARDGAVTFWYMGLLRHLSLEASLGTVDRCITS DDTVLMAAPSAATGNAALVAAEVLQHLCKELKDGNTTPQKRMELITDFFEFPFGAADI SRIAKLASNPTDISHAECTVLPHSQLVEIYTARAKLAVDKAHNKSVVAAGEAYKSARL VEAANGMLLVGKVNEYCDLMMEAGEWDSAISAAPLVSRDFWRSVCLKAAEAMKKIGDM RAVRYFIMAEESAVAARCVASQSEKNFDEAVVLLKTCPQRADEQKSEEPLHNTAVDTN GTSRAALELMDARATVFKNASNPRIVAASLLAEGANDDAAMHLIRSGDVTLAHLLIHT VPLQHLATIDAGYRLSMLQSCSQQQWDTALVCATRQSNVYDGLATVLTLYQHALINPI MGIGSGKGGMATSAASKEGDINGTQAAQKLTTFHEKVLNECKRLQLPLDMASIQQKHA NDGLASVNQVAAMVLSPSKPIGSETGEDLIQTISSFIDNIFQVVLQDIDGAHTVFYLK QAYTVSSYVTLVIQGLSTDSAPPATPPPPPPPAAPVPLAIPLPAATVTATPPEAGTKR HSAVARKFLAQTFLLAALMCVKVYRFPKLLNPAFTKARELAGSDHSLMGQLTKAQPHL SAYSPHSVEVECSVVGAVLPYLSLTECTQHKSALTLEPIVGPAIRLEDGDSYISQSEA LQWSMCCAFSPLATGAHLLPL XP_844999.1 MGDGSHPGGSVCALFVCCVCRRSVPLAGQSTVCTMNIPGSAKRI YKHKKPEFLSRAQTNTDEDVKSAKECCAAFPVTSQRNERHQQGKVRLHPAVKGSRTTA TGKYGALSPSPFTPWSVDGNSREASQYTESDCGGASEASTTYGNVKKVAMGRAQITAT LPETFAHQYAWFPKEKNDAYISDVWSSVQEGSTVPSSGALNPSTALASAPCSNILQDR LPIPLASLILSTPFPSLNISTPHIAGIVEAESPGRSGGGAADGEACNRSNAANLEECD DSNEYYSMAGSHLSFTFAQMGGGLQSNESHALRTPSPRPQRSGNAPTNVNAELNDVSC SRIGLARTLTLERTVSCGTISPPPMTDVYKALLTQFSERLMRQVVHGSTTDLPLCISC WISALAGQKDATTIAMKDLAKLMEVAEIPDELEVQPSGYHVDKSNCKEQQDRQSGVFD LQQQESLERLSIEVDEAESHLAHISWAHLEVADEMLPDLKAQREETSRKIIEVSIRTT AYQTKAFYEVAETAAALEKSIQNMSQSYTLARSTRATSLAFPINTKGSIGTIARLRLG KVAPNTPKQTQVVATPATNKEAVPAISEDKEDSKDRSDVSCCGAGEFTALTTMQQQVC LHFTRGLLDKRQGFVSTTEINNACGYLLLLLQHLIEWHNINTSSVILHPNGERSTIEL KKMRSAPPDAPNITVDFFIKDNFFSRKTFDKACFGVASCVREITVWMGRRLQQLRECV ERSERGDRSETAANADTRAEVVKHGWVTPSSPPFAIKGEKVGGLSVRYGEVSDGEWTA AMRNLLDVVHWCVVVSCEVNDLQQMLFERDESSSS XP_845000.1 MASLQLGKSTVTRGSGHEVGNQTMGVEPVLSHHVWTCGRGTSKN TPLNTQPSFQEESPPVTNDRIKAEPTTESPRKHGVTKHTPGGEQVSGSPDHTAPDGRA STLPPSECCSSPNGLHPEAKDEDPPTLTTAALPTAILSRENLLIETAKQQRELQWYKD RCREQEVALCAVNEKQAVLEDALIGARIAALNEKERRREFVAMAAAQRREARLALEPQ VHALRVMEGELGGLRRELRHTRKLLEQSEKERVALTECKGRYEAELGKLRNVNERIHG ELKVMHSRCAKLEQVNAKNIEVVDAEACEVKQSKNTATTDVDDEHRREVDATLHITFL QLYEEMERRQLEAAAVREVFEVYVDYLHRIQRETCGTMLLLCKHGKQLVNTLTRSDAS LSDGGCPDDGEVGGSCAYTTLLRCANEVGKQLQAIETAIGDQLLTATQQQRDMQNLLL TERRTHQDELRNIEQTLQTVRQGAEDMKEKLTIADCRYNQLAQAVKRHCRQLLSVSAK GSKNKSKSGNSKQERKLSENSAEREVTAVRKIGDLLTIEGKGNNSVSPQVASPAELLN PAKLLLGDVPSSGGEIESLLALLKTSQQAACCALEDMRETLDSNEAQWQKERQRLIAA TTEVRQRHQATTKRFQASLTAARATEVDLEKKLSAAVVERKVAVKKAEIAEMELQSFG KRHRQLQQELQAMKEDCELQRKEVGNRTKDIDALREQLTERREEHFEMAVLRGRCDDL VRITDALEQRVQREEKGKHALYEVARCFCGVLIAVVMRVRTVVAERRAMCRAYEAATE ECRSMMLELRDTIKDIKVEETRRKESKRLAPFIYHPSFFAVATVSIACTRMSRATKRS RRPRRVSFELHDSHADGQDDDGASRLSVPACGKVFAPPNSLRGVVESVPLLSELFRRP FLTNIVRTVQIPPLRDLLPIIVGIYEKNNTVGSTYLLQQVIDIAEVDVASNEYRAHFA PSMTPSWKTDPSKWVRVGKQYCGRSCSGPSKMAPAQRLREVFHFLRHRIDKLKREQEK HKEAMCGALEENRQLRAQLEESQQMATSLLRKQEEYELEAAVSSAARGACRGVDQRLS ELYDELHKEREARRVVEEVNAQLRMREVELLASSHKMRDEVRSLSIELAEQQQSYTTD RLNHSSCNYSHRPAAAAEHSKPLYHPRSVCLSGVELPYTSAQ XP_845001.1 MQITGVRGNAKRSEPGERMTSFPTLPRVDPPNITSSLLGKKGEE RKQTKQYNSLLAKCESLVSGCLPLGGGTQQPDRKSPACVAPQSTSGRLPSIRLKPDHT QTTVPIGINKGTTGLGPPGGGAKFCGSARFNSSNEAPGSEGSDDSSEAPDTFPNISGN MGRPCIRSSTLKQGDETPDVRVNLCQRFSTAIDMLNEEEAVPCTDGTESNLTNGDENP FSQPSLANSSCCTTPRKGAPHSPKDGSNPPPAARLKSGGKRVVIRRTPETSRPGTNDC QTCKPSMEELKVGRRVSVTSLRMREAENEELGVPDDMPMDVTVMKHMMLRETQKRQTK KDAPSIYSVTLPSFDETTGKLGDSCSFPSSLPVSEAIEKVLLLERGEQRVKTTSVGIT NFLKNFSESHEIIYLFFWYIVAHARRASSERVLIDRYVNLEHVFRTMFPRYMSDLPPL SVLEQIVTRRLRNACTGRNISSERKAETRALVLEDIIAMLSAPEPCSSNIFNIASSFV FGDAEEANENADTMKSCTDTTHPTLDPLQLNRADREDDGGKAGATDDTKSPMHDGVKV MTLRLANAIDTFTVAHVEVCELRNLAERCFHRLAMLFGESFERLRSEKDNVFSAYMII VPHVTYYALVHCFPNDFLAGLLNAVMRVNIYRIFYYWCSGLVATYVRSSGWPTPRQRK GGAQPSFDHQSRGSGADFNGLPPIKRGSRPLDSDPNAANSTGPSSPRGGSNDGEQSTA AGSAAEDEQLEFLGDDSFDDVDVEVVNGYHRIVLEFKKYAKHVNFLVQKLEQRTTSMH DRLTEAPKQECPEGNCNKKGGKSVCFTETGADAPLGTNKSVKLGTGVVTESLNDDTKG KTGKKIRQNSLGGQTKHNGNEGNNVLPQIFPNGKSRASVSFSVGAGKQNTSGNNNQSG NDTAVRDSVICSFPLDAALAKVRNYIEAHSAASIAHVPLPPLDDTLEEKPTFTLVEHD ENSAVGILPATITTNTRSTRDRTVKVPLPLSSPLFLHYARHRLHPSVLSNLGGVDASK VKTSAQPSPRCFPSGTQFDNRTASPGASKQLTDAFSSGAVTPRYIENGEATKRTPMTL IESSKKPIRMTLIPASETHPRPLQFEGLTKEIRKRHMQFEQNMVCLQEREQQHRQEYN KNTQRGLNLAHQLLSGVFQEAADTDLGRAMKNRKKKSVATPKK XP_845002.1 MLRRAVAISPSATVVASRTSSRHSSTGSKDLSSFGGNRPHPPAI QHNEPITPMDFNPHIEWFEYPKEVFDPKYPYTREESIRMTEESWESTMDEMASRYGMR LNMSTKPTFWMAWSLMFIYSWYTLWGCYRAMGTEPGWTHFRSVVLDQPNVPTLEEDDI YLDQWIRPEIREKHAKYERFWNWKPLTKRDGAQTSWKIPMQYQDESEWRHMTSAN XP_845003.1 MTDPQEDNIFARSAILRWAASILRSEYSSFTDIAAKDVAVLLHA IFNNHAGENEEDGGPVVSLHDIQFCDNPTSMTRLLNAKRVLSLVQSLSSSPASTDSGG SGNEGSRLVPTGVGNMTACAWLEGDAFVEELKMWRWIRDAAFKRGLEASELERRVHSF LGTRVDKNVINEEGADALLSRKRPRGEETSGATFTRDMEAAAGVNAGTASYGGRSNGK GVFKNSDSNDGKLLQEGQTSEERDAQSLLNITCDGVEAAPGLLNVLEGVMKELNSRKL AGGETTFQHGSDGLTCEPHTSENLRGSGSAASECSTCPSIYASAIQQNYVAIERLESL RNMAVAACLKRDAAGLLGFLSLA XP_845004.1 MRNVSSLGDSHSFSTEKENNHSKRDGFNVGNAAPCSSRECNGRE TAPEIVRNTARSTEHPFSSDAKPLPSSGLVGAAVGHHGGGVHLPGFGGIGPWSPRPGS GYAMKYDHHSPNTHCNTGRGLQVVTHPANSRSASVVGSMEEEVPRVSNQTTTNPTRGV SLRCWVLFPLIVIMTCVYAISIALAIAPWARASVAVMGSLHDVVEVNYVTLSGFTPFL RMTRMAKGIGSMYFSNNTFSNPVMDHAMPLKDGMVSSLCVTLRDVDKRRMIASIGAFS LTKKQAALCIASKSNPGQYYGHVSEGGIMKDFYYMDPVTMEYQQPLKRYESTTSGLTI TEYTERFHFDSVVRIWNESVREGREMKPSEYWVRPRFPPTYAAYVYPFFEREDDGTVG VGYIYVGMHTGKISVRWHKASDSGVRVMLVDPNNESGQFYVFANNWGQPLANVSDEWK SAFMGEPIRFLSPDDVSDPLMSKAIRYLDLQEAARGKNQRSWFMYGGLAAVASAHHIV TDSGVEMVVVVVTNPSYYLGPIATYGGIAGLSSFVMYLIVIAACYYFVELCLHRPLRS TEEKLRAGLVKSSDEEKQKVIALREVCELHGVCSVLRRRLNAVRTYMPDRAFDLSGAA ASVSRSKDACASDPEAASSPRRYGEELKPVACSVAYVYYTPGRTRNPSDAVVELMMQI VVSAAAACGGCFEVQRPDYCIVSFGVQSMDREFAAEATRAVEFARQVATKLMARSEVS GAYRVIVESGAFPSGIVSGGGRSRYVLLCRNIYRRVGDALQSVGVAAAVTEETALLVR GHFRLLPFRSVFLEGEDGVCVTLYEVLSGDAGQPTWNEFEGHYNEAYDMMVRGNYVSA LRLLDKAISVGSVTLSGESPSFMSLQPQRLRDECAARVGRRDRTPFVNQLLIVPDSLN SSATSLISSPPLLSGTGKTSGGSSSPCDGGSPRTPSVFVGENAASTLNGEIPRFLEDC HGNSWQRSIDPIHEGANSVGVAYMGMSATGVLALLKLYPLTELGERLTREELDVALDK VLQVGESVSLVQCLSYCHVPPHGVLLVWEYVPGGTLRDLKVRYGRKLPAATVKRHVTS LLRGLACLHERGLVQGCLCPETVVTCVGGHCRLTGVLLDAAPLLKHQMTYCVSPEEAR GKPQSWMTDMYALGLLVIEMLNDDFPWRWTANAQISRSRNELLAVLSDHEALMESLRE GLLEPVPPPEDADPTIQLVVSSCLRIDPAQRRDAVFLLQRVGGVVAVDA XP_845005.1 MAGRLRDSLSTYFSKHIDCGDWRACLRVLRGCEKARTSLRSLPY KTLFPFLVANGQWEFVLRLSSQFIAVNEKTTPTTAGTGAVTTDIKKDDEDLCNVLLGA ALASEAVISMGSWRAVVMLVRHATEQRLQLEPDIVRSALRAMDSWQQHGSGQSSNSNE FSTFETDKRREQLMFARNILLANASLTEEHSSVRAVDLCNLGPHTKTRVIQVELLLGV RDVFEPSDQADGPGNKSHWLKLQGQMSDCFTSSWEDAVYTLLRVCTYHEGTPREVGVE GNGVCDNLTERCSLNSLISAVANNANLRPQAMEHVLQIALEQMLDGCVIRDLPTYIMS EGKDVKRDDTAPSHFLECNAANLLLWCAQLRENWGAAVDTELLKWRAVLNLVILKVEQ RRRQFEFRCSPHLLPRKSEEKHLQEDATTLIRLCASARLVLLDGGDGEKDCSVCLLDN SELKYAQRVLQLLMSHGALVIAALTHEHEVEMRDAIVGVVVDTVRTIMTACVNSCRVI DGCRVIRQPTFGDVSKCSADSLSFDANSVRTAMRRKFWTDVFFPNSTLFLRHVQKEAQ GDELRVCIAWQELLRELFAETSALAMWFGADNYVDRIHRESEAGKTRVVSQSGDESTN KNRRNRKRDPHVNVDDDIITEDLSPVSDESMKQIQQLVEFLSRRFTVDICGVSLREGG CFYHQHRDDNPTQFRMGSDSSVVVPGLSEVLKRQESVNDLASMLAHTHMERNSVSVPD ITATLGTLVKSIADYRVKGLLEHMLRLTKNKRLQMKTKEKKERWQLCRVAVAALVHES GVFPPNEKTGLAVNILEGTEPSEKMWELSLALFAEATISICAQKPCHNPIAGDCNEVV AVNNSTNKSTTLVYIMDVLVSQTTPPRWREALQVVETASEAALRYRGTTERSVTPAKK LPLSESEHIDLFETVDSLKQQQQWVDAVSLFTQYEARLLSSHDIAGFSFAFAHAPLSF IRIALLALGHQQSRGIAQAALTACARRVRHLEHRNPRHRGQGHHYKERANQGKECNRR GGDDTLCEENKDASTAEDQQRRCFVLHEVRHIILLALQVWPVPVVKEVDFTFIWKTVL QGCGGDVEMAYFLLNRSFIYKSPELHSEIAELTHMLDLCHRTHDVKSAASAYREFRRR RMGLRVPEESMLKLLELCVMGLNDEQVVEVDGGHATLNEGTSWLLTVLLDVLSMHDSA LYLDSKHNTSGENSVFVRVCVVLFSLRSAATWDSNGGNIRITSGIPFYNDKGYHTTIT PIFTCTMKRGMRHRALSVLHILLDHLVKSHTRFLRDISSFVGVLLSIPPEVTSESCAG SEAPSEEASFSGLVEVLIVHVEELQQQFGDVVPVASLFWLKVLHYSLAGCSVGRKFPA RKGSNLSPFCPSLFVAENNAAAIEAVDSLLKDVVTKALDETCHRLHMIHKLGEGVSET SYVHSLRRELLPCSFDAIIYTLCRHFGKHPSLSAFLSGAALMLQQLRELPTCPLDSAD FTASYSTVVYGAVSLQGILSGFYARQSLLQLAVGGHPSGSLSATVEGTFACMAHKAAE YVFSYVKLLSAWILPLARTAEVNKIEVGGSSISFIHTEHVRFLIQLVAKATGTCAAWG ESSHKEKCMFKLQRLCDSLSRLVFEGAGTSNFGVTWSTRMVLRVLDSVLRRVTIKDVE FNNLLKEAAVHAVDYQTSNTTDSLQSATLAVALIMLESAISMPNNFLQDAVLILPFDA KARELLQGDASLLTVLVVVWLIFLGVSPSLIHRVVKSLVPFTPQFIANAEQLLLYFLP SAMRITMVSELLRMIICSGENLVELLVLGVLVTNRQRDEYTSLHAEEVVFSVINAVGA TVPNRWDLALAAARGCSLVLSQPQRKEVSDANEVLVSKAKLWERLAVAIQSCGPWPRG VALQTLLEVRCGSPCSEGRRDDKHHSGLQQDYVELLRATSDLNAHFNCSSLAPPQYRG PDSSPLRLLVQRRHQQIFQAASIVLGNARQKHDREGRQRVLGTLLSCDSSVQFVACER MASLSHGIGTSPQKQRGRDRDTFDSWIVDCVALWPWEAISNDTQHFIIWSYATLKATT ALGRRLQSEETQPLLVSPSMALETPPTTSFSVENSATVPATTVAASSVTLNFPRALFG MSWAKELRREQSERVGANGSKGSDFVIPFPYDCKTIMTVLRGDGYITDVTVEDTLLAV SSGFSCLQYVYALFSSGGPVKEERELLLFIAGCCRSMQEVAHAVLKRLNGPPPLLDAV ISMWNVLCIRRVEFGGLQESTDVEGVAEAPLDHNTAALFVVLAVGALRRSGDSSLISK NSTQQQRQLIAEMFAHTAIVCGGSRNREWEEKQGHFDEALFRKRCVDVAGAAIRKLGL QKHWHVQVCESSFFDDHINLAIRLVRDDTTWISLWPPMSLELSRCRRYVDGVLCSNSV KVDACQVRHPKAICWLMEQCVAASSRFRGEKARLFDVIGLLDILQGYILRGVAYFTLV SPERSTQVKVEDSTAAPLYVRGTAAGLVVDQFASLAIKRWSREPCHWSDHANIGVYDV IRNLVDAIFPRTSRISEPPSGAHSFLSGYAPVSTTGVVALTQLLVVREDLEERRTVVV DHVVSLLKLEQRREATRLLVANDVKTSSWSNFPDNLGVEIGRSRQPCDLKDMLLFHVR ALVASVRFVNPLGWHDKRQLTLDTAYTALLDFLLLYDGGQLSLREVDIVTGEVESELE KIFGSGVRRVILASRPRRTAVSQDEAVTVEMLLDFLNGKWKRQDTRRQRQEVRLHYKL HEILASHNGGDVIAGAPEILLLDGEEMKGAEFSALLSAFPDTYTVVQKALWSAAVVPS HDVTFKILDAAVSGNGDVGAICAALQVFATVAPYMVVTTMMLEKVLVLLIEAQKYRVS KSCAALCRSTLDLILRRFQYVPLNTPEAVAMMAELYALLSAKSRTISGMSEIEEVELE HLLWRCVVESDRVERAPLEDALSLLEYHSLKSVHRGCRLFLLYRNNRPHYDPPFLRLG RMLDALLIFRKTPNQSTHVCLTEGLLKSLEKEAYASPMHASSEEIRLLPWRRSLRFMP DNSDECGVEGLRCRFFIVIMTQLFLLRSRGVNAIRHLWKEALVSITRTAEKNMWCVVS GNEHCRRATELALRTIHIAQPLDQWVPALNLVQRLPAVSAGSTLQYFRTVDEALSETL RYVLGACSMTSIPIAVLVEFLRFLAAKPPFVQLISRGCNSSVRHLNCAQMLADLTKKL QKHPYLGWIDGLAVLQSLSFHRPNSSDVTKKTDPKRDNGDKSYIILREAKAAFVTATM QRMYKRQAYEPLLRFVEAAQQTTDNPRIRGRDTLAMLEHAASVVFPISADSNGPWVIL SLLNGTLIGKTVDDMLREQSSGTLSRKERHLHDLLRNGQTGDAAVVARQAPQLLQSRA THDACVSLIRRFHHSAVVHFYDELLHGGQDGSECMYAAPTTYIQCYERVVIFRNLPAD SLTLAVTAFEVASNCPSGLSERSQWTGVFIAVAWLRAQTNDSVCFEPLALTLRWVQRD VPKTVEGPLSVLEATVQEILSTCEAAEGNQIENDSEGVTSSALRMLCVNRTATRLLVE ILSGVFPTTTSRLCGALQREYQKVKLCPPTEEKNEWLDFLCSTAAYNEALYTNNEQYK LLARTPSDTNQRNTILFWRNREIFRARPGGGSSDWLSALAAYVSARSYIGRPCVTAAQ ATQLVNLMVASGSLGVVVTAARDVFLFSPEAVPLGDSVSTADSICTEALSLLFSGLHS MKRQAINFVNTETSEKHLPMLLQNTLNELEMLNHFVEDVLERLQEKESVSSTSGIGAF WGNTELGCHMIGCLALTPIGTASTTRGNISAANIVSSLERWRLLLLCVLNALPPHQRH ASVLNAVMVSIRDAFVILNKLQKCPVPAVTGCQEALKGLWCDALSLVASNNELRRCFF STGKGCNLSQQGMLRQDVVDALFHCASLTGDRGLNAMKALCDSLVRPHGIRLSEEAMS LLKVACINNMDQRHGLRFLVICESLLGGPPLVAQRQAELLADDMELQELEYLSTVEKK LSVDCAKLVFLVEKRRAAGVRLQRSHYRKLFLLLEERGKGLKPIEMADAVMFLYFSQL QDGSTPDVRDVERLLHVLSRAAVPPLLILQYAKAMTLIPYARTGRNTGQKISSLPRSE TNAYEVGEMPYFIPSEELLVLITDAAWKLRFATQEGGCASADETQEGIQMFASFLEWS TQFSRIHCFKPSLVESIVLILCTLPEKASMTPKTMGNESHAVAVTQQFLGDVRVLLPI ALSRTFGGHAFSEDAQSIFQMSMRSSGENIGGDRIQIPELVQTVIPTQESLCELRNTA LAAAHVIKQQMMLHSSLPISSSLLCRVFAAAVAGCGSTRLSQTLEVLSQIARDDKLIT PPLRYLLALTVAEQSVLWDLFYKSACPDVEVEGVTAAVVVHYVSKAFDIVRLCFAPKE SLTLGEVLLPCSSGEGAEDCNEFEDKGDWGQGRGLSWEERLGEVNGEPTSTRSCDNDL LLWEKSLQLFGVFFLNARPKADLSVPFVTLLEILCRCGQWSSACKYVARVGAPGQQPL RRTLQALTNPPKRESGFDTSVNADDIDTEKLLSQHVVVDGFLLERLVRTVYTAHQLWQ RQHVVNTERSNNIPLGFWRLALHLLEEHKLFVKRSLIASDSDARNGKAHSSLSPSTFA VMMKIRPQ XP_845006.1 MQSQMMLMQAMERYGMLDLANSALEQCWDICYDRNLTRHELVEG VLPDAKLQKMEACQRKCIARHFEVMRLMNASREQREKEMLQGLPPGSLGME XP_845007.1 MRSVMMRCATGHHMAPLGLACMQYATLPNLKKPNGAAAELPPLQ FNWKDGCAPVLSPRQLELHYTKHHKAYVDKLNALAGATYDGKTMEDIIVALANDSEKK VLFNQAAQHFNHSFYWLCITPNGKPMPKSLESALTAQFGSVDGFKDTFMQAGANNFGS GWTWLCVDPKNKGKPLVIDNTSNAGCPITKGLRPVFTVDVWEHAYYKDFENRRVDYLK EIWTIVDWEFVSRTYEQAMK XP_845008.1 MATWRRPITLIYGNVLHRSVAARTAAVSDLSTLLPVVLCGSKRG VKLSNPIGKQGSQHYGTEPKLEAGKDVQIKHTEMYDGYTDDFGVFKEGPPMTLRLEYV RSPDHGFSQQLLQKDIWSPFQVNANMMIYTPLYYDWQGFSSRDYWGHRSGTNPGSKVV MGHYRRVEERSWGYRIMVNHKPQKRVPKWLACIVHLPRKKKFVGFFLYANGAYVAELL TYKQLPRICYNKRSSSGLPTIGQTVSLSEVTYGKELHSVEMYPGHGGVICRASGAAAV VLRGAEPNLVPLLLPSKEVRLFDNECHAVFGRRAGVMYRYQRNFGKRNVEEYMPHRPK VHSKTKRVSSHPAGGGNGGSSNLLVPLDWRIHPRNCVKTKYWLSGYILRGRQYNKGQT VADIKSKTYSWANRDPVYR XP_845009.1 MDTTGNSPGLKPGECESILDITRTAIANGHVDLVQRQFNKLVDR VIDLSTRIIEATSSAMEDREVTFVRHVDPLICPSGMLARASSTTHPREGSSSRGSSVR SSVGHLGKPRGSLVSNGAFGRREWSLPLSDNGSGNGNKNSVLKRRLSTVSKDGSVGSS QRRMSIGADLRRRSSVSHLARRETYSTDEFGISHNTCPGRLQSSATGIGVCSEERHDG PPPVTEEELTDEEVKATLAVLEEGHPSLQTYYELLHVHSCKDALHCVTEAHTKGALII SSQAKPENVRDVQEEPQEHSLSNFSVCFVSALFSHYSDRCGKSQGASFDNHRETTVKL ESAEENSLGGSKGGSTEEAGNIFICTNAFFQKQPSPGKQTARPLTHLVREVTTTPKES LNSSESSVDGKGNPSEKNGGPTNFVPVEWHPARSAELALRNDSIVMPRTNERRDVIKV SNECGSEWCTNGDSTPGGNAFVVNCTASTYALFTGLPLLSSSGISGSGADANCCIAAT ETAVPMAAVESSLEGGDSSASTTHTGKSGVLGCETARELSGSRLTIELRITKSPSLQR XP_845010.1 MLPENLPTDPAAMTPAAVAAALRVDTKVGLSSNEVEERRQAFGI NELPSEPPTPFWKLVLAQFEDTLVRILLLAATVSFAMAVVENNAADFVEPFIILLILI LNATVGVWQENRAEGAIEALKSFVPKTAVVLRDGDIKTVNAEELVPGDLVEVAVGNRV PADMRVVELHSTTLRADQSILNGESVEAMKQIEAVKGRQERFPACMVYSGTAIVYGKA LCVVVRTGASTEIGTIERDVREQEEVKTPLQVKLDEFGVLLSKVIGYICLVVFAVNLV RWYATHKPTKNETFFTRYIQPSVHCLKVAVALAVAAIPEGLPAVVTTCLALGTRRMAQ HNALVRDLPSVETLGRCTVICSDKTGTLTTNMMSVLHAFTLKGDGSIKEYELKDSRFN IVSNSVTCEGRQVSSPLEQDGALTKLANIAVLCNDASLHHNAATGQVEKIGEATEAAL LVMSEKFANIKGDSAVNAFRTLCEGKWKKNATLEFTRKRKSMSVHVTSTVTGSPASST NNLFVKGAPEEVLRRSTHVMQDNGAVVQLNATHRKRIIEQLDKISGGANALRCIGFAF KPTKAVQQLRLNDPATFEDVESDLTFVGACGMLDPPREEVRDAIVKCRTAGIRVVVIT GDRKETAEAICCKLGLLSSTADTTGLSYTGQELDAMTPAQKREAVLTAVLFSRTDPSH KMQLVQLLKDERLICAMTGDGVNDAPALKKADIGIAMGSGTEVAKSASKMVLADDNFA TVVKAVQEGRAIYNNTKQFIRYLISSNIGEVVCILVTGLFGLPEALSPVQLLWVNLVT DGLPATALGFNAPDRDIMEQRPRRMEEPIVNGWLFMRYMVIGVYVGLATVGGFLWWFL RHGFSWHDLTTYTACSDMTNGTCLLLANPQTARAIALSILVVVEMLNALNALSENASL IVSRPSSNVWLLFAIFSSLSLHLIIMYVPFFAKLFNIVPLGVDPHVVQQAQPWSILTP TNFDDWKAVIVFSVPVIFLDELLKFITRRMEKAQEKKKD XP_845011.1 MRRTVVQRVAPWVPPPRHDIKVTMPPPPGGEVGGRFGVSQGYSD RLARTPYWKRMALSTYKLRMMENATRYPMSEHRPGEYDIRYLPTPYPCTIRNRPLLEV GEPRQIPSIRIPVIFLVNLFDEAKGCWFGRRYETVYVERQFMREELMPQRYAIYATPE AYKLLGLPVVNHHTHEEIPKTPREYEKLLERQRYDEERWKYTIEYLFRKYEDGPPELL DRPEDGWDGSEEIALSSVAGAGRDGVVSQRKGPVKQRKARKIKLF XP_845012.1 MAWRTIRRFTRRSPRRCVSLTVGSAAVATSLLMVPPLEYVPAPL LPSRVLLDGVGRVFRCAYVSGCIFVDYAWSLHGVEDQERWNEVHLRSASRLVKLAETN GGLYVKVGQVFANLNHVLPPQYCSVMAVLQDNVAKRPFTEVMAVLEHDLDRPVDEIFE VIDPKPLAAASLAQVHRGKLRKEGIDVAVKVQYIDIAQRFKGDMRTIQLMLNIAGFFF RGYDLSGIVSKLNKTVGNELDFALEADNCERGARDLKAGGFGDRVVTPEVLRLYSTRR VLTTRLIKDAARITDISRLMELGIEPKMVASWLYDALSYQLFVSGFVHGDPHAGNILV HRLPNGKPQVVLLDFGLCTELTDEMRRDLATIWTSSVTHDTATLKRISEKFGIEDYAL LASCFLQHPYELFTAEGRVMTKMTKGLMQDQMRNQMDKINEIVYELPKEYSLVLRNIM AAKAINKVLNDPVNRPLRMLRYSARICNEDRSQWYVLLLMLRAWWSELISSIQLAYAR WRYPELLTALDDSLQLQLSG XP_845013.1 MHVNVGHIVFPVPILSQEAQTVSVKRVKREGAQGVAADDARFVR ESVVAAVRVALREAVDGTSVDDVFIDVHVHEGGKGVSFSFVKGFLSLSLSTPAGTEVC IPAGLTFDEIQNATGFPSFTQVRLHFSDEVGGMELPVYWEKRVVCAATPTALVAVEGR IKTPGTNIQYAADKDIVLLDSRVLVVGAGGIGCELLKVLVLYGFSDIDVFDLDTVDAT NLNRQFLFNRDDVGQSKSATARQAIMNWFTPANPRRPPNIRAHHANIKDEAYGKAFFA QFAVVLNALDNVSARQCVNRMCKQAGVPLVESGTMGYNGQVQPIVYGRYECYDCHPKA SGKQTLAVCTVHARPTTMVHCVHYAKELYERLFGDGQQDAGDELTFVDDLLNQEKERQ GINTENGKLNLHNLAVSLGCCLFVSKIEELLSIKSAWPTQPPSPLEPTAIHGAAEQLK AACDNPQGVVPRVTRDCLMSLNETALLFVDAFVRCASRGQRVAFRKEDDDAVDFVAGV SNLRALIFHISPQQSVEEIRSIAGAIVPAIATTNAVIAAGVVQQAVRVLFVSRGGNQP PEPKMIYVRKVPQVRRRRLSANPSAVLGGNGKLGLVRWVSDMYLVHSAPPNPASDKCL VCRDRHPTVDVYLNAKQVTFELFVHRVLQQHLNMHEPTIFHGASILYEKGDYEALNST PLLSQMKDDHRPLDLLVDDLDHDVEWRVVVHHCEELKEQVPQSGVVVEGLEEALKFES SLLAMPISTADPAAIDAGDAVGEANVPAGGCTLSKAAVPVLIDSGDEDSDEVVEID XP_845014.1 MRRFAPHHMLVTRSLWHSAVFFRGRREMLKPLAMSSETPACAVE EVATHPQVSINESICNSLDNLLGETASQRAAVTRVGSLPSYYKPMNLRGVLYEGDVAQ QLANAAELRGFRSPVWATNASYIRGGYTVLECEVGVEVSTSMFNVVLYNLQQTNSPEA DSCVALASVKAMPLCATGRPFSRRLRLVLMSHPFYTRFKSQYWVTEEEAAALGTMVMT SERGRCVPVPCPPTVTEMSSSTSRDPRVEDSDTPPGESAVPLMLYNAEQLENPNAASS ETCPRVECVNANGRRYSTLLTIHMRRYCQLHQLCTDPFATFVTCARLRSLGGDIVPGA SVPLTLVVRDELLTLYHVDQTTISNTLFQHALSGQRKCMDRVSVIQV XP_845015.1 MESNVSDTSLVGVPLLPLILRKDNSLLLALFSEKKQELIEIESS SMTGDYRECEVCFNPARSTAFAHATATHVRYSEVVPVGKSVNDVTPSENHSWQLNLIF EVEERNTVALSFSATGAVLVTYSVMDSKRPEGNMALYNAATGQLLRRCMQARWPGMVW TQQDLYCVRPVQGCLHVLNGNLLSEDAAALSKLDLQLPQDKEIELSMCPSSMPILALF KPFHKQTQGTLFIYRLPNLGDGAMFQATFGRAESATLLWSGSGNHLAVLVKSERDASG KSYYGTVLLYLVDVGGRKIKQIKFPSGESVHDCQWSPTVDELMVVHGKMPVNKTTLYD KAGVALMTFGEAPRNVILWSPNGRFCTLGGTGNLAGDFVFFDVRPPSSGSNGRGPAET TSTVAVSTGEFNHKCSVQLWAPDSHIFLCAIIFTRLRIDNKLVFYKNNGEQLLTQKYP ILYGAHWVQTQQTHLYPMRSASPRKTEAQAKPQPYRPPHASAAASALLRRPDSSTPKQ AKPAGPPGATVVVQKKKRR XP_845016.1 MNSVSCQIDRLLQVLSRLDLQHTLPHDEAHQLLLSLANTTAIVR RAVEWQKRGQANECLRPLGADDAEDKENQSTPLDHRKGEQQLRGGADLVTRAPASPAT EHVMGDPIADWKRETISSIAKCSDVPVEELRSYNPKLSVYADDEILPANTYIRIKKSP STQRAVEDEEVAAMYQRGEEVFLGIDDVGVTECPLPLAPLPSTQGESSRSVGVAGLSE PRDESNPRSVVGARGGSNTRGSMMMLPSPSPVRYSAPFREQSIGTDCDEWEGRQVEPP ESRGLMPVATGVGAATDAFRRSGSYSHAGYSPASPMLAARGSLGEAGEIHGQGKTCWL ENAIAVTGLSSVVVPPSLLSQVKASMPPLANFPPAPTVDETGIINDVQSNDRSSSSDA PNLIDDTVPQCEPKVDTSASGGALEPAQQCESVSNNKLGQPRGPTGAATVEEAGERDG PDAERTPSFAAEKTPSFTAEKSDYITSSRDHSVSGCELETLHSIAAERNIAVGRIIEW NPHLSRYGVDDPLPPNLPIVLPMPVEQVL XP_845017.1 MQMRVESPHNQRTSFHKSAVADSATSSSRNDVTTTANDSFFTAQ HTGVDVLHRTAAAAQETTTADDGLPFGNFLFDSMQSSGIVQPSGSNTSGGEGDVAQPA LFDGFCFDYLCSSDDAWPTGGVAPSDGPARSVEAAEEVDGVNPFRFLSPSDTAALRAE SLCVDFTFDSTSDELKASCVAIPTETSTLLAAEPPHAQGESATAVDLEVIDPSPSEEC DPAPAVAPQCSTQFLDNGGNSRNDDFCISRNSSGCMDPTDLRLDTRGDQTPFPLGSKA KSGPGASGTNTAASRVSREGVEELLLRAQCILNREITEQAASTSSHATNIGSAPMAGA LQGDPDPKGTSDAMFSAQVSHWLRRGEELHECALTTISELQLRLTHAMQMFGAHTSLS STLSPQYANTPVVLTLPLVLPLMRSLSEDQ XP_845018.1 MSSTKDGSQISSSTGLNVVLTPIKVAELVRQLAEAQYESKVLRH RIESHHRESDFFQHGTINQHDGGSTGSLAVENEHLYTSNGMGDTVSINYTTRHQNECS EGANVTKDMSGSIFACGEHQSCPPECCSTKPPSTCRPAVGADEKLTVAREGVNATCSD ASTNHWKKKYARLKHFHDKTMTESDAQLREVQQLVFRISEEQEALYHRHEIRILQQKL EHERSHRLLLETNMKSLKEEAAKWKERYLNFVEEPAVHWFRTVAGDVRVSAKYGCALG NEKQQQVVDGLNETNYTSKSHDSIAVPAVDVCTMPRRYPIAQHRGCVSATLLNVGGDS IDQGEHHFIQQPPYSFEGKIGAHTETVGGHELCLRMHGSQRSVGVQVSCGMATAETQT EPGSPSAVPLRRLCGDSLRTNCEAACSRLDLWATDPSGVVTPTFTPTGTVPLLTSTHH IPHNSRVPPAVPVEAGANPVGRTCCLPMCHIRSKGNSSPAPDQSVALPMTSRQLPTVP ISVEEDNCASDDAHSYRKCLEENIARHGQLVQAVAKLQQQVQLAVKKP XP_845019.1 MTDVAEGHQSYANAFISEGDRTSAVRYLDLFLRLYEEYCDVAEV EANSRVLQAATVMQSNSHAPDLLSYVVSAAALGIGGTRALAPALASLPLKVLNLSECY LGDAGLRVLAETLSASSHSTGGLRVLELRGISASDGSSVALLVTALRSLQLLDVSSNR FGTRPPGFALLCAAMGYHPALREVHIADNMVSGCCESCVGAIAEWLVKASRACMLQHV DLRFNTLGLYRRGLYNTVVDGKEVQCTLYGFYPLVDALLLNNSIEVLEVGNNGFPPGV LDAIEAKLAVNKRTKKALQEYYTNHIDR XP_845020.1 MESVSLIQLMLQEEGAFFHPSIGVLPIHQMGGGHGVIATENLPS NTVIVKIPESSMITAQKARNHLNFWISQQKQERLGWTPFDGRSRRETSVDILGDLDQM LSPGSMIIFSLLVAAGQCGRFSNNAFHKKAGSASEVMFTVSTGGGNADLGPFFIHRWM RTWLLSLPTKYDNLLELLEHTHLDSTCVGVARSHDCEGGGACLRNFLCFERHRRKVLE ERMQLEKEFNIVMSILSLHLPLVQPSGGCTMSCATPFTMQQFIWAYNTLMSRGFSYDP EVWAVIPWVDYFNHSLTNNATMRFDRCMGAYIFVTTAPVSKGDQVFLQYGSYTDAELV LWYGFITTPSLLPKFSSNDDIRSRMSLLRKVLLEHLRHEEVGNLGSERDAWLSSLNTA LGHCFSPWAKADGSYPPSKKGASWLESLFTSYKDAVAGHKAFDVSGNVHTAVKAADEL CRVLTRGTVVWDHRRLTEPGCTVGVWAPSNSMLSLLKYVSRVCRKFSPDTCLVPTAVL RAICWAELVCNGIVGEFDCPVDALLCPFGPKNEMVPLSEGHVGNMARQVSVDAAYLLY FLAVEATEDELRVYFLHDCV XP_845021.1 MYIKNILISGFRSYREQAFEQELSPKNNVIVGKNGAGKSNFFAA IQFVLCEKFMNLSSVERKDLFHVGSGRPALPIFVEIIFDNSDGRLVIPGKSAVNEVRI RRTLGLKQDEFRVNDKRFTATDIRQLLESAGFSSTNPYYIVEQGQISSLANMSDEERF QLIKDVAGTRVYEVRRKESEKILEETEVQHEKIGESIAQLEERLEELRSESDELMSFQ EIDKKRKCVQYCILNSDLNAAREELRRLDDERNSYMSRSGRDHYDIDEAKAIISEAES EIRNCDQRILRLEGELQDLDTKRGTLMREKEIVQLNCMSSLNTMKRTESVKTNVLKRV GELNKQIAETNAGLKKKLAIIQQEQLTVDQKSEELSAIEGKLKALEARRARQLLFKNK QERDNWLAEESNRNRKTIENYKHELKFTCSEIQKVEKQIEDEETEQKNWEESLKKSDS VITELKSKYEETMAFRNSLSVKKGDLWREQSALVQTVRTLRENHNKARSQLEKVIRSD VRQGLQSLKEVLDELADPSLTNAVHGQLIELIGVSNGYETAVEVTAGNSLFNVVVDSF EVSAILLENMNSRKKPGRISFFPMDTCRGTVTRFGEGVECSSLADHIICDPKFAGIVA ELFGNTAVVTSIADGENVSKKYACDAVTLDGDQVSRRGGITGGYIESRSLKLSAFKYE KVAAADFLRGDEALKKITQELDNVNQALTGVVTTLSSLKTEMSSITKSKDGSQVVEQH AQRKAALDRQKDKLYASKKQLEDMINTAQVNIATYQHEGKEAFKSAWSEGEQRELEAL VKDVDDRRMKLSKLQRRSAQLAAEVRALEDMRLNLNVQLTATKKFFHDSAQLSASNTA ITNEKENLDDDISFNSQQANEVYRLLDETRKEKLRREEEVEKLRSGYLEALNSIQERR DFDGRTLMQQAHCIRRRDGAAEKIRQLGVIPKEAETYSGLSREKLIQTLKECNKAAEK YAHINRKAVDQYNTLMETKNGLVAQKEDLQNELKSIRDLMDHLDCKKDEAVERTYKQI QLHFEQVFKELVTTDDCYGKLQLIMSNTRKEAGEDPYVAVQIKVSFGLGAAVTDLKQL SGGQKSLVALALIFAIQRCDPAPFYLFDEIDAALDTEYRASVAKLLSKESGSCQFITA TFKNEMLDVADHVLGVFFHNKISRIQAITVEEGNKLLKQAVVEERKRVREHVE XP_845022.1 MHGVYPILVVPCRRGGIPYLTPEQARDILGEEERILSLSIFDAY EYKDACKKAGKSFAEFCGLGEFRVILTVRSPYVGAHASVSASETAVFGVHEKGRISFS NESWAEIVKSVMPNMAITLYDSVPLHEQHSKRRKTASTRSLKWAKSAECAPDIGCELI KASSVADRENVFVCADELGQNETIVQYASRLCEITKNHYVMSPTPSLGAVLMALKVGA SFIECALPWTLAERGIALVFDMNPVHGCSPQRYESQIDLNDHCFAVDINPLSQACVCS TCRRHTRAYVHHLLTVQEMNSCILLVVHNLAFLVQLIGLYRRSTAEARESLLTWVLAQ L XP_845023.1 MAAVPSAHVVVKRGREEGTEQCGQYSAYFRCGEWSSLVTLYRPI TTEMIEEKLFRGVDFFFSPHVSDVVGSRLVLTICESGTPCDKLFKDRPWKPCSVHGPF RCSCHALEHVARQVIQLQRELLWFQGKLEVFDREEAQHPDTPFFQVDVAGGSVSLVLC GLPFPERRFGPFPLFSVDSRYSIGKAIAAASITAETTEPAVHKCVLCSISAESRCCIC RCIVCAECGSVCDCCMRGACRACVVTDIGPQQCECALCIACHNWAG XP_845024.1 MNAIPLVLVYVFIVSSSLPAPFLPGIRTLTGVDAVRSGSVGGKY SAMRLDKTVSVIERALIGFRKGHDMCPYGFAMVERVDTGALLCVNCSQTQAVVGLVPH SNQSQRCVQCGGDNRIAGLRDATYVKDTGTCACPQGYQVVEFIGTVVLVAQMCAPCAD GDCNTCTSPLVKDRKGRCVCAGVYVQLDDGSCVLPSVQVEARKPVEATTATLLPVDTE GGGIWGPPLTCENIEEYSADAAVRCEGLDKSACNMLANLCVFMNFDEASTPCRLYDTL FSQSPTKPYMPPLYYGRDDTVGSVVLNSSSLTGAPATLTFVVARYDLNGILVGKSLLS RDFKLCNTPGSIFTNFFVVASNRELRCSVKWEELESDYSTTQFFELFLVNPFNVSDDI PIPLVVDDTDGGISPSNAREVHVLSMITGGYKRRFYMYGRGCTRKGNSTEQVLTDYHV TTVRRVVFVFNVEGPSIQRRLKAPAAILQLASTKLYDDAPSFSAPSNDTYLTRSVAVL FTLVDDPMDVVAMRAMVTACVLCFCTAWMRTFGWMRRRQNMILNGGAAIRFLVYFCDH SGNMFALITLLASWYLFFVYKFQDVSAVVVIKDKYVCFEAMMYVSAAAKGIAVLFAVI EQCNADYFVIDWERSKGQLLRENRVLPVSMWRSTFVANELNGLQVLRQWHPLFTMVMM LFFLSGLNYVRYAESVPIDSAPGGVGVYQVTTLRFALVTLVCIIVFVVVHVLEFQFYY RFVCVHPLQSFVDLCSVSNISVLILPEEQWGYYIHGESIHAHSDVSMEEFQQNLCLEA QGDLPVRGLGGQSECQTFEVFMGVHTRQYLYVCSASIEEEQQRALGKPPSPVYTGKKW HFLKCLFGVSRKPRAYDSGTLALKKLINDTLRKSIRRAEGTLLSKSVMHGSLDIAPNV LYMNGPQSGDKAGKDVFFVDDVTAYGKAFLYGMDIDLFIFYMMLYASVDVATHNLFYA FAITFAVEFLLRWYRMTEGVVNISSKTLIDDRFFI XP_845025.1 MSRRWSCFIVSIGGPLAPFRSCSHSSQFHTQKKERLGVVDISSR NINEEMASKVPLFLYFHVTNNADVASYTERLAGQVDATNRRLQNNNMAEVYQEFGKDR GLAIKLGLVDCVRNGSLTQQFNVDPHMFPIVYFVRNKIYADKMVGIVPESQVKEAIDA FIEYSAQESKNEMEGTSVFQKVKRSDEYDENAMTLLNAAHTRLKNKDIPKARELFQKA YDVAVTETDAVCKRLGISKEKKVTPEMWEALKREGSYNASPQALCGLAMCAMASKDSK EAQRIVLRIREEFPFATRDLRDVAEAVVRIELLVISDFDVEKDTYATLLTYDDLTKDP AALYRQRLKLAVAHFVEKRHHKGIEECLRLIRAEPKLLSALKEAEIVPKDTILGPTTK TPARQVIVGIFEALGNSNEHTIKGRELLQAYL XP_845026.1 MPIKYSCVNEGTTILAEHPQGELPKLAELTQKVIATVPGNEYRR KTVEDKDGGVNYHYISNGEGRTVACVTTNDMRMRTVFAFLEAVESVVRSSAGQSGGEL RNGKKLLQQKMEFYNNPQNDRITALNDDINQVVDVMMDNMDKVLARGDRIDTLHERSA TLSEQAQQFQRRSTQLKRNMCLKNLKLTIMIVLTVVVIIFIIIIFICKPNFSNCKS XP_845027.1 MNSCDNVGWRFIEEAVIRDKGYDEEAILTAVLQSHFIRHGFVNS LKAFTKELRDLEQRGKQHHSVLGASGVCGKADANGGEKDGQLQQGVLECEGNNSGEWD TRNGNDGCATGAECVGSVLEGMQVRKCVQVLCHNEEYEKAAALLPSSNEMKVRLLALE AVKRAKRNQSAAISFLCKQVGPLIYKLPDAVTAHHIFVESLGAVTGVNGSCWEVPSPC AIAREVNESLLDSSEPSALDVLLSWSELQQAARSVEKELHHKSTFPFPVMVSDRS XP_845028.1 MAEVHVCRHGQDEDNRDGLLNGRRDRPLTALGREQASEVALRLK NGGVSYDVILASPLQRAYETACAIGRALDLVVETDEELTERDFGILAGKPVADIRKYA GENVLQGDKVLYFLEVEGAETFDECFNRAARLLKRVDERFSGKRVLLVCHGDIGKMVQ AVRKNITWRDALLLPYMSNTDIVEL XP_845029.1 MPPNRWGRPPSLSPTEAHKGELIRYIKNEIHDNNHLGLLGEELD NMANMLATCGSKREVYEWCQTLMVGEAMAAEVIKRRTNHGPRFEGEPTEQKNAPASAP AVSRMLNLSRNKGTKKKGTPAAKGGNSKATAASTLKPGFSECGCFATEHNLRGNCANC GRIICEQESDEACYNCGLSPSTCVAYEIKVQEGRLTEAAQERDRATYEAAVSRRDELL KYAETRAKRTKVIDDQTAVFFAPKNAWMTVKEREKAEKDEALAERQRKVAAMHRHTGA YSVHLDIMNQNVSLGCPAPPGPGQCLKSSSSSTCSSQLSDPNFDECNIEEVEEARALP LPTLMQKIWYSLDGSTGTTCFANANGATGVQDSKPEVHTTHEVSRRVQQDYYEDDAQF YRDLHRFQQEEYARTQSVCVVETDDIICDDTDEAGQQSKDNFVSGVTEPTEATSEGIV LSALVPTPVMRMNDNGTCLSLHQPWAGLLVAGIKVHEGRVWSTDYRGRLWIHAASSQP HDIREVEEKYAKFMEPNQKFPEHYPTRVLLGYVFLMDCMDRERYEENYTPEQRQEESP FSFICAVGKTLPFPLPMSGNHKLFRLDRKLHIAARKQLMEVN XP_845030.1 MPKREREDSKDSCSGDTSCSTDSSTSDSSSSCSIFSSSSGSAKR RSKKASFEVHKIDNGNSGDTTSKSNTSPRPNDGAVKLDVSAVLRAELQPKLTETLGDH ALEPVYGIKDENNGNSGRAVYEDGGGMQHEGYGNISSDNDSLDDRFGTSASTSSTDAD DEAVEDFRERLLAHAQVTSAAKKEHSTSIESEGLLGSGLNPARALEHLRKALLKPVSS TALAVVSATDESHDLMDAVVSAKLPPPPADDVKRLRKLHYVDHSTIEYPPIQKEFYVS PPDVRGLDAGELKELLKELDGAKVRGRDPPRPMRSWTGSGLADSVLEALAEGGFQQPF AVQSLGAPVLMSGRDLLVVAKTGSGKTLSYLLPLIRHCMGQRACKKGEGPITLVLVPT HELGCQIVRVAEKLCSAAHLRLVASYGLVPLSDNIKQCRAGCEVMVSTPGRLLDLLTV GGGGVLSLRFVSFVVVDEADRMFDSGFAEHVEAFLKNIRPDRQLAMFSATMPKELKKV ICRHLHDPIEITVGGKPTPASNVEQRFFFFDEELYDVDVESRSEDKKFLKLLQILGEE GGNGEHLILIFTQQKKECDELFARLSACGYNKRIAVLYSGMDPIDREFALEYFSPGNQ FILIATGVAERGLDIPYLELVINYTLPDHYEAYVHRIGRTGRAGRKGKAVSFFTRGKD DELAADLCEGLERAEQQVSEELYERATKVRELRKGGTARHNALFHRGYMRAKKQRFTN RDQKEQFKTALRAAGLEDDMPTGSDSDSGFSSGDDSDVGVKIKAVEEDNADDSCDAEL SSALTIHKGDGALTVSSAQLQKQKESLEAALAYARKTTEMAVNPASRNVRFEAEYPIN DLPNVVRLRLQNSAVLRSVAEESNSTIVRKGVFYDKSLKHSHRLRDGVRPLYLLIIGK TAESVRDTVKKLNSVKEEAQSRLQQKVSSVGAQL XP_845031.1 MTDATKMAGQLLFQNTLAEVVRKLRSSNESEAEVIEQCIADIKS EVTSTVQSVKVTAVLKAVYFSMLGYSATYAAFNIIEVMADKMFGYKRIGYMAACLTFT PKTEVLPLLTALLKRDLSSTNQYEVGFALYCISTVSSPDLARDLVVDVVNLLSHPRNY VRKKAVLSLYRIFFEYPESLRPTYPRLKEKLDSSSERCDNDPAVRGALVCVLCELARR NPASFLGLAVPFFSMLSTIQSNWTLIKIIKVFGYFAPLEPRLGKKLVDPIIRIVQTTG AKSVRYECILAVANGMSKTPSLTKIVAEELRVFVEDSDQNLKYLGLDAMSRMVRDNAK LLGGHRDVVLACLDDIDTTIRRKALEVLSGLVTKRNFVSTINNMMHRCVRLPPDEEWS NRVLATVIEVAQTDDYSYVQDFEWYVKILLDISLVNLSTYQHGALVQKELVTVLTRVN AVRQFGVNELSQLLSNTNLLKSDPSRSSQWEVLKAAAFLCGEYPYWLQDKRRTCELLL SERISLLKPEVQVVCVTAVGKIVAYMHKPCQRHLVLVNGEEEIPLPEDSLTFEELRAS ILQTEAITDSNGTAKVVGATGSISNRHDVERKNLLGLQLFRHSVHPDVQERASMILYQ LNVDPDIGPLLYEQELLPVAIGAQEAVEPPEGLNLDEPFCSHLPAGLSPSDSEEDGAE NDEDLYYVDGYDAIVAREQRRREEARRGEVAPFYIKNDALSRDQLSEELHSAVSAAPA PKTSTSFYVPQKSQVINRYLSRPKNYDAAAHGQRRIQDEDVDEATKKFRNVDVTRSLA PDERLPEPIPYGRLQQSATTEGTAAAAAAAAALDFMVDESFDPLVLLEEKYLRVTAFV LSCRVRKAGTQITMAVEISNLASSSSMRNVSLRFQPNNDNYTTERVRLEADKSQEAIT GANRPKTGRGDNKNAVGEGGDGDKEVEESDRSIFVSKCMKGTTTLRTKMLLTFSGSLP PSLTEPLLFSLLYTREKKPTESLLPMPLSYRYFAKSHVDTTSVEFMQTIMARHLSESP VLTCFVAVAASCVPLVLPAIQQQLRLRPVDIFKDAASFYSVLQSRKSAANHAHVAVVL LEDELEGEKGISIAVKSEHTSLAELLAQEIAKLLMTATS XP_845032.1 MQSSSFAGTGVFPPPPAFLRGNNSIRTGKRVGRRHHHFTDENVV DLRSIETGVECSTRMGHSRSNTAAEDPPLRARRRIPHGSNPPTKILDFLFLGGVSDAT NPEFLRRENIVTILNVSREEYWSVDKGITIHPFPLEDNAEENIRKFFSHTYRLLEAAR SAYYSSKRQCERSTSTNDAATNSNLDYHNGNENGSGCSAGTNVVNGYCEGSNGGTSSN INNSMNEATDNCAISASPARPPCVLVHCRYGISRSVTIVLAYLMRRNGWSLNDALQYV MCRRPHVEPNVGFMNVLLSFQRDMDPQKRDIQRNLLPIVVRNLPPNTSSSAVHKFFED RVGCVHKVATFPSRVAAGNSTRENTDGNSKDISDESSTSDCNDEISSGAMWLVVFATP DSVHLAHRLYHKQPDFFAPLGVSEGRRVKLTALSKLHRSPRPITGGESLANES XP_845033.1 MEKVTPTSLANAFLATAGISAPMSSSGIRGLSLRSVRETVHCAT TQTTSAPPPPANCQQHQLLNTTEDKCNAIFAEVELLSKWLQHAVESRAHVEREAYALL QQADVKIQHLEDTVRQKEMELLYMRGAMESLRTRVEFYECRERRIEGDDDDANFPLRR EADFKGAAVRKVVIMGENNTNDSYCSNRMGRGNGVMSPADFTVDSTEQLNAGKCVDLG TTATTCNSNGVDNASVSSPAETGVGGRSRVGHSALSWVRYLLERCVSNSGKDGASEGA ASMKKKGEGNDQLADALTMLTTLQCQYADPNGDLVMMNSLCTKFSNSIVAVAEELNTA LQIPLKRASNTTDSLQISQAAKVVEHPESAAEALPSSNQEPIMQCRKSVVEENLQLLQ SLMAVESSSREAWSTLQKY XP_845034.1 MFSESLCILSRRFRYNTKFPALVSYNKLPWEVVNHETPQFHMHV APHYEQLLTLAASSPVPHIISSKHIDVPREHRLRLLPGMLYLLDGDTLPEEFTINRVL DPTALQYYGRLSSQIVTVEAVRMLVSDDLRLLCNCITFKGPLHLPVAPYASLASLRGA SQGGTTGSETGSNCFTLYHFVRPNRPPKELQLEKYYIHAPCVAPLSEFASNSDERGNW RPRLQAPKRTQRATPLPAYRPPQSYLMGLAERLAVVPGGCFGRRSLMWGHWF XP_845035.1 MPSDHQLSKSTMAGAKHMGQHRRVSIKVCRQPNTRLGSSKGIGT PHERPRPSRGQSQRLRCSCCLQDLPSQVDGNLSDNTCWRCGYMTCTSCRSNLSPSAHR WVCTTCSKKISFTWLFQKTVHGADLLLRVLEFCEPRVQPLLKCVFATEDTQKTSQCEK TPTNGRGAVSQVTSCQKPKHVAVTSKGEETEEDAKLLVKGATQRRSAAKATPRKGKIS SKYVTKAQSPEMQVRSPPPSPSRTPFKFLSNSPSGNKTPLKRIEKNTNLSSNGASPTA KPGIAHTTIPSDGGTSTIGKNVGAGTMVGVSGSRGGGHRQDELGTEVKNGNQFLRCDG MHPDPKCLVFSYSNDTHASSQLSFAISGADSPPPRFPNFSKFLDDQEGLRREFDEPQN RRLQHLFAEKDEPQKNYSDLVTVETSEGVSPLKVVECAEADNNFPIPPKLALNFCKLG LVSNHVTDAEQEPSAVHSSNNKLICKPTARVSINAESATQRGPKKNSCGSGGPHGSEH ACTSRLVRSNTINGDCIDAVFKRIQPCLTTVVHDSNGAYTGGPQNGNTADAGTGTGTK LGASNKTHSRAPTIKPPSKTRTTSSSRTFKTPRALFSDSRLCGKDADSNSPHTRRGSA RYLLAIEKDGVHSPRPAPVLAGVKPRGRTPLTARPPSSRCSGECGALARGSSILTRQG VSVPRQRTCEGQGSRHARVNTPLQRIHSSRLVPAEDSQGRYLPSHGNADAVKPRLSIN SGQSVQLVPPAPLTVNPSRGRAVGTLNGKGATSTGGNSQTNLSRGGANGASTSRFIAQ RTWNLL XP_845036.1 MNAAVVTNVGLIVDVVIVARCLDDAELAGVEGRGSSLESTTDVI KGASTSFSVFSSRKSSSRGVYFITDGNASVTHTNIGDGTGMTSCDSSDLLSIPVASSI LNDDVHYECRAVKRPLPQRIFHHFDVLPFGVISPSAVFAVLGRHTNRGLVTAITTEGL FVVRRYASGAPLKITPRGLDRKRVTEEDVEIISTFSKSDAVDMDIKTFMSIARQYKER YDESNSGNADNYNQDTGRTLDSSNGSECLFSDESENGKWRTPEDIEYCALRELQLAPS LALHDPVPPLRVGSRVIARRSCSGISLHIARVCRVNYDVTYTLVYESDGATETGVLHN DVHLLDESEAEQCSVRDNVTVILHKQRPAVIIECCGDENYRVIIDQNRNQAVSIKGSN IVFVAPLLKEALYADPVILRWFRELDRTRAGVVEWKDVRHLILSWEGYDTERTFRKIG EAQRDLCLRLGCMEPQLMKRVPVQMDEMQLRFPEFEYVILRLKNLF XP_845037.1 MPQTVSSRIAECCTAADSDGGSAQLCVVSIGFDIECITANIVRR VVEACNLPSPNACVSCVVLPDGTGDSVLLRVASTLTRILSNNDSTVRNDNSVAVVNVH AVLEGTMPRQRCTYYQSGGIVVLCSRVLCADLLHRRVARELIGCVVVLLRRQRVDGIA HTVGFCAEILLRGGGPLLLPTHRKHPPFVLLSDDPFFVRYAIQHPRVRQEPFLVQVRV DNVLLFPRFRLDIMRHFENVASQRPLIVDRFTVNMARTTYILDDLLCKVIMEIVTELH EVCKRMGPSGCCRNHSCFPNSNDQAPRNAHKRGASNTRSGIADGDEPHSRLDPLSQRQ RLDHCSGGQPNGSPPGYSRKPWVVSAKRAVINFAGILYENAININDRSLDDDLHYALR THDTGWPYGKLCESLIDLRKLRRIVRSGSPYAAVLELEELLMERTPRFAGPSLRTGWA TVQHPDALWTLSQHFSSITKLFVYRIGEVKEVSNTTPQCGGCTSRTYINVDTATPASV EDEDSSKVIVIDGDDTNTDSGTDDDDDDDNDDVLCVSEVRPKRFLVPRVDEVDPCMEL TNRLTMNWGRDAHRKQVIGASALSSDDPLKVKPRVLMVVVFGRMAFQRYSCRLAYSLA DFQALDLDCFVTMYQGRHGAKQQRYTQNPTPEASDAAKLRTWFFHHKVESVDDDVEHK AGEGADDDTEKQGGLDNSTTFSKDPSFLFSDKCSVLGGPRGSDNVGLSTSTDGTSHLN ESGRNCGHNGVSLHRLIMKQGQSLSSMHRSQGGLRNGSDGLTDAVTASAAPGSSTCIL KFDYARDSVARLTFHSCEGDSSPSALPLHVAVVDGNKLCVSSLVEFIKGTHSALTPDS TDDDGAPADSLRVVRIIVVEQQLRFMRMLEMAQDVLSPQHLKNLRVQVLVERSPASSS APSSQTGGGTLPEDPVVAEEQEAFAALAHAKATLPATLIADRNAVRLVEERLDSAVLD EGRVRRGRRPSRNGLTLLVEDENTNGISAKGGSGGAPLVVFDEREFRSRLPYELYCRG IDLVPLTLLTGDYVLSPTYALERKSLLDFIHSLHTGRVNSQLSRLSRSYDHPMLLIEF DRSTPFRLSFGLAGSVGEHSETGLFSRIARIFTSFPRVHVIWTRDATQSASFIHSMKR TCASESIDPSAPYLTCATVDYYCPAGAKESSHYATRVLSCFPGVTPKNLQRVMALCGS LAGLGTVEEEALAGVMGSAAARELYAFIHGESVPPTQT XP_845038.1 MLLKVSHLYTFTNCIHILSLFLKTSVHELTVIPLIAFLRVKEPL FPSSAPQIIAQWRQQPAAREVSLSCIFYWLGFLHISPVPFRYTLWSVYGYRVFMAPPI SLPSLRSVDRSSTKVLVAGHISAVNTVLDVFQMDYSESVRMYRVCRRGKNGFAKIFPF LRPVYANIALLIIVVGCLVGSQAGLRGVLPNIPQSWVSWSKWTGSGTAEHVVMWQLSC FHIFILGCLFLLILQFVRNLFFVHVDEVTAIRGLGLQLNSYNALGRLCFQRFVDIRLI RSLVIHDAFFRTQVLFFLSATVENEASRLVLFEETLPRLDVLQPVLCGLRHVLFGEPE DVVTEDSFECQTATQNVLN XP_845039.1 MFVRLPTPGKTCVSRLLISRRFTSAKQHVTRRNISSHNGGATTG QKSVGGKNFAKRPEDIAPHSSKGSAAGPTMRRSRFYRIALDHGFGFAVYFYILGESMT LSVLYALHSNALGTGDTFAWMNAVGAERFVNLDRWAHAGPTVVGVTLSFRLLLNYLAA NAIMYPMYGMQMRFCVATFGVLGKGFNPLRRLRALGRNVTSSGAKRTVPRAPSATAPK NKVNRLP XP_845040.1 MYFLILLFIIIITIYYFFSFGFCHNRYITMPATIVSGVAPYFAF MLKDIGARLAIMSHYLKTKRHMYPVTRHQNVRAYRGMLPWTQDSAFIAPTAFVSGNVS LGHDTCIFYHTVIRNYNIRDETAIGDHTVVMDRVSFLGQVRVGGGVYIGPGSTLDCCT VGDNAYIGAGASIALGAVVENNAIIAAGSHVPKDTHVYAYELWAGNPAQKVEEVSPDQ VAEVASIVHDQIAVGKAHAHAIHEHMHHTAELDAEWLHHALEAMEKQQQQIALKLPVD IPLEAKRFLTPRVHMRRPEMHMRMSYPVNRIAPWMPKVADQTANA XP_845041.1 MAAEITVLTFNLWGIFNSKHRPERMAHFASKVEDYDIILLQEQF SESDFDIIIQNMPEEVRRTRYFKRYPTAFYGSGIAVISRFPVKSGVFFTFPLQGFPEQ VLHGDYYANKGAAMLCVSVPCNNDVEVSGGSVMHRDVLVYSTHLVAVYQVPSQLRDWR DEVYLAVRLSQAISFANFIIATSNPTDHIIIGGDFNSELTSMEIRTMLILLRGHGYCL RSVLPVAKRPEEGMSKHECIENLARMTFSHENKFTCTDGKIITHGAYSPVQIDHIFIS FNTLQLCSYEDCPGADAKYPFKQIMDGQELPAGVVVFRRNDEVFLGSQSRPGSSPRGT AGVRPVPSGEQEAKEMSCPLSDHYGVAARLRLLTEEEKNGGSGKVSSRATASKLTEED EASLCEAVSFLEHSVKRLKRESRKYIMLSIVFAVIMMLCIIYMVARPYLQMHPTRSTL KNIISLCHHNEHPNLKGIGDTSQQRGGTVRRIEQFLKDTFSLNLEGSRGKTQAVELRE QDYEAVASVLHGAGLHNIFFPLVAVVASIMSFCCLVIALLNRKSYAKIISDQITELGN SFLRHEMHKGNGCK XP_845042.1 MPKRSRSVLHAYVLPPKRFKVIDGDGTTQRAEGALHYAERADGG VHQGRITAGICGGARNVAAAAFGSNVMREDDASVSAAPSSCVEWQDWNQRNHFDVDNY EVEEEGAACILMECEGNEVVSPLPSYQVVQQHPNWLERAVMLARHSIKGAAAQRRKGV CGGVCIATLPDPSCVCLDAISEEEQKCSSAVATIAAAFYGMPQETSSDRFVL XP_845043.1 MAALVEDMESLRKRLQLSEEWNLRLQSQIQELLQLPHNEVETLR SRMYNPDIAIPLLQCYDATILEKQDENEKLQQENHRLRSRLQEMTTNVAEMQEAVQTA EDLMKEKEVESQRQQRCIDDVRLQAEHECAKARQDLSRALETEGNMKREVKQMQRQLA AAQEEVVQRQREVATLEETLRLTQSRLKLASSEKEETQQQHEVQRIQLQLLSKENEDK MQELERLRSRMVQSLRQAAENHAAHMRIVEEKHREALEGLRMQLTTQELETQKLRAQL ARVDTSGGGSKYEIATRTTTELLESQARQAQEIELKRLYAELSAVQIQRDDAQLRYEQ LSSNLRREEAERTSEMQRGMQQLRSKLRELEQQHEQLEKEHARVKEEVRVLREKSKSH AGDLQRARQERDQSTKRAEELRRALSSAEEACETAKREGKEGVARERQRMEEQSRRSE EVLRELQMSKERTVAAMNAVELQRDDERHKLVAANERLEALQLRLDARERETEVLNSK LSHMQEAVRLNQQQAVACDERVQQLLAQDEEKSKQLRELKLLVERLKLESARATRAHD RLLEEVNVRLH XP_845044.1 MEDSSVSSSLGLGSVDGGCVGASPECRITAKQWNAVAVWSWNAQ MDACPICKGPVADMCIECRGNAGSARRQNAVSQNYNNDEDENRNNKRSEFGTSKVQQP PSSSSCSDNAADECLVVWGACGHVFHHHCISRWAQQRPLCPICGCKWAVSKTAKNDY XP_845045.1 MPSSSLSSPHPLVTAAILLETSLGELVVDLYGADCPVATAELVN LCRCKYFNGCIATEVVPDNVMILAHPVEELRRQTFASLLEASGQCHQLGGDTASDGRA VKQLIYNEWKRMRRHTAQLRRNSGASGKAEAGRLEFVPQSASNAVGAIRRSGLLLLEV PKVDGGGSNDEVSPKMQLLITLSNRHQDYFEDNFMVLGEVREGNNVVEKMRVAPHRRL TSVSGITTRPSRLIRIRHMTVLPTAGTDAFADISHRDRRKTMEENNLSSRLAQVGCFR HWATLGAVQAANKGLVSLLKREASKSTARKGRKGSSDETESFSGCEFLVIPEDSAQGG ARNFPNHDTNNGDDYDILSVKYNSHFHGDYLSSDDDTDGDGNFGSRKEREKRQQEKMR LHQDKLNETRALTLNLLDGIGDASGELKPEGNVLFVCRLNPLTTSEGLEMCFSQFGKV KSVQVIRDSKTGDSLCYGFVEFADEETCYRAHQKMDNALIDDRRIHVDFSQSVSKLWM EKQRELRKRVRQ XP_845046.1 MESFVLNVIYEIVTYQGMKYERQRKGDAQHPADAALRSEDGDDA CELRSPERQPSGPNLLTVSSQQQQLPRLRLLRQQLLVLRHLYANVQLGVICTQRDVYY RLVRFFPDQGCVNRVIQQLVQQLGLPRQLLGVVPGTRGCVGGSLSFHGIDLRRYSSEG LPLPVLREELSVVWRPKHECESIPVHSPDDIEGFELHNDIRYIIVVEKHAVFFRLMEE KIFDRVSCVLLTSHGFPTAAALTLLSNLHRTATDVGVPVVALVDYNPSGLSILQQYKH DTGRIQENRYASVHSLRWLGLRGCHVLHDGSTEVADSQCLSSGIHAGDAVGHIPRVAR LPFQPFTQRDDVMISNIIARWAAIWKGCDDGEDAQRVWFREAQIMQTSRVKVELEAIY ECSASGPYEPTQKGFSSQSLHKGSFSTWVCRGLFRRDYI XP_845047.1 MRRFAPHHMLVTRSLWHSAVFFRGRREMLKPLAMSSETPACAVE EVATHPQVSINESICNSLDNLLGETASQRAAVTRVGSLPSYYKPMNLRGVLYEGDVAQ QLANAAELRGFRSPVWATNASYIRGGYTVLECEVGVEVSTSMFNVVLYNLQQTNSPEA DSCVALASVKAMPLCATGRPFSRRLRLVLMSHPFYTRFKSQYWVTEEEAAALGTMVMT SERGRCVPVPCPPTVTEMSSSTSRDPRVEDSDTPPGESAVPLMLYNAEQLENPNAASS ETCPRVECVNANGRRYSTLLTIHMRRYCQLHQLCTDPFATFVTCARLRSLGGDIVPGA SVPLTLVVRDELLTLYHVDQTTISNTLFQHALSGQRKCMDRVSVIQV XP_845048.1 MSVSRAQVVLIGVSGCSASGKSLVSSRLAAMLGSPLFPVAMDSF FDEDECERLGVWEDPRCLRAAEYTQCVATIRALIEQYGVAAAPLHTVKDAAQFLRFEA SSAVSHPPMRESAVSYTVKSYGEASKKCVSEANNIVLSSLCMNDACVAGNAADGTDVA LEKVFIVCEGFLIFGYPELCNMFDFFVFVHSDNETACLRRFFRGSRRKKRKPQGGNCD RILRMRTSRVNAELWSSEGAAANKTLSPSFSSVLPHLKYMPPQPSSPNDYQGFWLQSE YKELPPPMPFGMSGLKAPPYDWLEVKDLQYVKQMLHQWANASCVDDVEGVLGRYFEFR YWFYYEVLFYHYQLRGISEENVTTACQAKGTTKTSPSQSVLHIKNDASVSCVMLDSQL LALAQQVSGDLINGEGEVRLLLGWN XP_845049.1 MKVESPHSNYQPLNSEEGRWFHRIRNFIMGINVFLMAFAVSGLV VGFIELDEIDSAIREICSSCQHAHVIYMSSFGALLLLSFLGFVALHTRKRCLRILNTT CLVLVFIPLVFGSVLYVLMSTEHINMQYGWNLVVAERSDDMCKLELQWKCSGWNKLCA THSTIGLIDLKPLEGTEKENIINNLSVVTNTTSYCSGADEICACPICTEDDQKYIDKF DQTCEMVVMGALRSHLIVFLFVSLCVVVLTGAGIVVSVAYPHAES XP_845050.1 MKIGTKAELILHGGECFSGVSFGYEESVAGEVVFTTGMVGYPES LTDPSYHGQILVLTAPMVGNYGIPPLETDPFGVTKYFESMNGEIRVSALVVCECCEEP SHWQMYETLGAWLKRNKVPGIMMVDTRSVVLRLREMGTALGKVVINGADVPFVDPNTR NLVEEVSTIAPQSYGHGTLRILVIDMGVKLNSLRCLLRYDVTLTVVPHDWDITKETYD GLFISNGPGNPQLCTKTIENVRWALTQEKPIFGVCMGNHMLALAAGGTTYKMKFGHRG QNQPSTSNQDGRVVITTQNHGFAVDFKSLPQGDWEEYFFNPNDQCNEGLRHRTKPFSS VQFHPEGCCGPQDTEYLFGEFIDQVKRSKTKLAAQFKPRKVLVLGAGGIVIAQAGEFD YSGSQCLKALREEGVKSILVNPNIATVQTDDEMADQVYFVPVTPEAVERVIEKERPDG IMLGWGGQTALNCGLQLDKLGVLKKYNVQVLGTAISTITVTEDRELFRNALLQINEPV AKSVAVTSVAEALKAAADIGFPMMVRAAFCLGGQGSGIVNSEEELSNKVEVALTVAPQ VLLEESVAGWKEIEYEIVRDIHDNCITVCNMENFDPMGVHTGESIVVAPSQTLTNEEY HMLRTAAIKIIRHLGVVGECNIQYGLEPHSRRYVVIEVNARLSRSSALASKATGYPLA HVATKIALGKGLFEIKNGVTKTTMACFEPSLDYVTVKAPRWDVAKFNMVSQEIGSMMK SVGEVMAIGRTFEEAVQKALRMVDPSNNGFDTPKRLAEMGDKWDYMRALRVPTPDRIF AICRALKEGITVDEIHRLTRIDKFFLNKLQLLIEMQRELTTLYRGKLDTITYDHLLAM KAHGFSDVQIAEYLQCTTDDVRKRRYKLNITPKVKQIDTVAGEYPAAQCCYLYTTYNA QHDDVEFNDRMYAVLGCGVYRIGSSVEFDYGGVLVARELRRLGNKVILINYNPETVST DYDECDRLYFEEVSEETVLDILLKEKISGVIISLGGQIVQNMALRLKEHGLPILGTDP VNVDKAEDRNKFSKMCDQLGVPQPEWILSTSVQDVHAFCQRVGFPTLVRPSYVLSGSA MAVISSPEDIDRYLTKASLVSGTHPVVVSKYYEGAMEYDVDIVAHHGRVLCYAICEHL ENAGVHSGDATMFLPPQHTKKEVMKRIYEAATQIAGELDVVGPMNVQFLLTKDEQLRV IEANIRSSRSVPFVSKTLGISFPAVMVSALLSRPDSELVPIRRAKMTHIGCKAPMFSF NRLAGADPILGVEMASTGEIGVFGCDKREVFRKAMLCQNFRYPTKGVFISSDVDAVTE ELLPHLEKISKTLPLFASTHTGAVLTKHGIPHTVLTQRHEDGDNPTYEVELAARRFDL VIQLRNKRKDFILRSCTRENAPPDYWVRRLAVDYNVALLTEPNVVKMFCETLDIIGDI EIEPFRYYVPRVYHKIESNNCTMLRHHKVGLCINPTMDSKVLAIRMREEKIDLTCFHA SLGGSVTSSEAFAEEFRSLKVPVEVVDLRNEMAELAFDMVMALIAEEDNRWHLPALAE HVIGVHLLTAMQERGVTVVAQCSSQGRKGMNFERYARMLQPKMGVYSPWRDQRMLSDF PTEAEKINFLEKHEVKVQSAAMETHSSICGITCGLGGEVATPTPRMVLPVSKCPATPE FCSIAFRAARCVRINDVDVTPVQALQLANEIAGRNGVGLEHTQNNEMCEAPGMTLLSK ALHFIYDVCFDRGNTDAFRMYSRHVSSMLSSRGFVERQTLSSLEAIRHLTADVDGVVD VEVNRGEVIFLKVSHVSRPVKLRLTKIMTDEELEEVFQPGDGTFGDVQW XP_845051.1 MSSTFFDMLNKRAKTTLLCIGLDPRADTAAAAVLECKRIIDTTS NYAAAYKPNAAFFECFGAAGWSALEEVIAHIPQDIPVLLDAKRGDIADTAEAYAKSAF QRLKVHAITASPYMGGDSLQPFLKYSSKGVFVLCKTSNKGSSELQCLQTNGQSLYETI AERAERVWNYNRNVGLVVGATDPVALSRARACAPTLWILVPGVGAQGGDLKAALQAGL RTDGSGLLINVSRAVTQAKDPRAVAQKLCEDINRIRLRTNNVSEMAGALVASQCVRFG KFTLKSGKTSPIYIDLRRLVTHPLIMRLVARHYARILTTMQFDRIVGLPYAALPIATA ISLEMNVPLIYPRRERKDYGTKGLIEGDFQKGDRVVIVDDLVTTGETKVEAIEKLKAA GLHIVSIVVLIDREMGAKKFLGSLGYEFHAVATLSQLLPLWLQQGAITDKQMREVTAF MLESTSKL XP_845052.1 MAELQPVTSLKGKSIITAAQFTRPDIDALIRLATALKEKICAGE VLRFLEGRIMTPLFFEDSSRTLNSFCAAMARLGGRVVYFKAETSSVNKGETLGDTVRT LDSYSDVLVLRHPKQEAITEAVAKATHPVLNAGNGAGEHPTQALLDVLTIHSELGRVD GSTIAMIGDLKMGRTVHSLLKLLVRNFKMKTIFFVAPDALQMPQDVVDSLKQEIAASG VTIRSSNSLTEEILGQCDVLYATRLQKERFAAAALDEAKALQAFEAAKADIVINAERM KKAKAKMIVMHPLPRNDELCTSVDEDPRAAYFRQMQYGMYMRMAILYSVLA XP_845053.1 MSLKVNILGHEFSNPFMNAAGVLCTTEEDLRRMTESESGSLIGK SCTLAPRTGNPEPRYFGLPLGSINSMGLPNLGVDFYLSYAAQTHDYSRKPLFLSMSGL SVEESVEMVKKLAPITKEKGTILELNLSCPNVPGKPQVGYDFDTTRTYLQKVSEAYGL PFGVKMPPYFDIAHFDMAAAVLNDFPLVKFITCVNSIGNGLVIDPANETVVIKPKQGF GGLGGKYVLPTALANVNAFFRRCPDKLVFGCGGVYSGEEAFLHILAGASMVQVGTALH DEGPIIFARLNKELQEIMTNKGYKTLDEFRGRVKTMD XP_845054.1 MHGASVGATTSMLSAAVELGTSQRQLMRTRCFRSALRCGFRAVP PLLFTDCSQFLHQQRNASSFNKFEAVSYAGRSRNVANAFNPLELNLFDDPTGGLTAGP AEELPSTDIASQVRLCVATYSRHHYYLLFTPFVDVMSIVFQLGLAPNVARPGEVMARW FTDAVATLQNPSCMEEVMGSKAWQRLCEGLDKFYEDVEPVMPLTAAVRKHGLPENLLV PFMRQLAMDLLLPARRRGVVEILPGLILGLANGRAPPELGFPEAAGAAASTSTALKGA AEELQRKRATMAADLFLGVGQETGNTDFAYLGIQLLRANDIAVPFPKQKQLTNVFSAA TRIQTDWQMRVSGQLMEVLPKWMDYYKTVYSDNMRAIKKLHEKSETIPERGNIKNPAA SRGNKQSQQTQKEGDESDETRCTDVGSDNGDDGMHDYFTSNRRENIARVADLESRIEE CVRKRDIEWRDDGDQLYGRFKRKKSLAAGGSLKNDVTSEDVAEGKDQSSAKVVDAEGL VKAQRQTSMDLGDEDCADVASRFRVSTNQAPPEHLKKSMAEDNAFKSASKYIRGTINS CEEEEIWEL XP_845055.1 MGIIGLRKFIDSSSCSKLLHIDTQDEDEETLQRPPERNLSRASS TLQDEYQGIEGPVLCSSDTVTPHADHVLVDLNCIVHSSLRKSTVSGDVSAAGAASMTK RDLIQAVLQRLRFLLTRVVVPTVSLTICIDGPAPYAKLGTQRMRRRQVGLLDTSSAQQ LSSLAITPGSLLLVELENALAAQFKLRNGKGFLAEYVPVFLHGSTVAGEGEAKIARSL AFLASCGFGRHSEASRRYNPNDTIVVIGNDIDLTLTCIGATQYHNFSVLGPSSMHLID VSTLLYRWLYSGVLTEKQQLPSCGEFRLTARELASVRVDFVFLFLLNGGDHYAGAGEV AHILWRRYRTVRGASSMKRSLVSEDLCSVDVDMLADVLQSGEYTGDVDTKVGMQLLRA TLWSLQTTVSGACPDYRFVPRICTDAGGPHLNHVRAAVAHCHRKRRPISFIARKTFTR CSSSDPKANAQLVDDNEKSRPPLPLTPLETLVALMPTEASLPTSVATALRSSRKFEHI AHVLVSSNDAHAVADAAREAVEAADAYLTASERYLRDFTDPVQINVPQKAKRLSRHEQ HRMLATTGTITVEQPMPVVRRVQMPESFRYLGVDYPTYVKDLIFFSPLDLSASGEAAV EEAEISAGADTSCHTTGNPYLGHENSSFITDIKHAIISRDCVAGVSKTPSNMRRVPAH PSLPEATSLKEVWAGKKPANVKQAHRAEGAERNRHDGAMKNGTNHHNEGKTVTGESME ELRRHFLRKKDKAFVKEVKQFLGSDDFDKDWVVRGRKKPETRGKEKLDAKKNNKSGKK NSVVKTKATKRSSTKGNVKKKVKTNKKKRGSKGKQSTPTRGAEISQAPAEGDVPPLKR SREDSQTGGNENTRHDDSKPQSRNKRQRKVQDHQR XP_845056.1 MFPNTSLRPGGAANIGLRSKKTELYGGARGAKPLSSASSVYGMS GSNGSNLPHAIGGSGRITNVGSGSPPISQVLPVGGNSQLSSLRDGDVAKSNPVRYRTP QMQMIVAELSGARQDGPMLRYRTDLDKHVIHFAFRRFPRSVEIVEDEEITAGDWHFFW MSVGRVRSLFSSSEYRLSDSQIINHFPNHYELTRKDLMYKNIKKYIKDPNNVQLRMPY SLPEQLANGGDNVTYLRFADCVPITYNIPNDLAMFEEEFRRQPGSTWIVKPTSRSQGR GIFLINRLSQLKKWLKERKELDEFEGVMMMNSFVVSKYIRDPLLIGGKKFDLRLYVLV TSFKPLVAYLHDQGFARFCATRYVANALSDEDLCSHLTNVALQKGEKEYNASHGGKWT LANLLLFIQGRFGAAAADWLMHGIEFVIYHSLRALESVMFNDRHCFELYGYDILVDSQ LRPHLIEVNSSPSLSTTTVSDRLLKEEVLQDVLQVVFPPDFPSNNAMPYWEYRLRADL TTALPTGFRLLQVGEC XP_845057.1 MRRCGNDRAVALLRATTEAMRLVRLKLSPDRTRNEEIQDRQNAF VWSDEHIFRPHQHFTHDPCSWSRSLEQSMKKQRKLSMVERLRSLEQRQLEEKQSASAT AGGSSKCANHMDGEKAEGPRFYGAVGDSEDLKEYVANEDYFYTMQQEEKPNDPPLQEL VDEVQSLHVLLSSPRYEDTPLATVERLQCAYSEALRCVFDRVRNASVGKTMSCNALLF SWSLLLQGLPALLESLAEKRTEECLVRALSTVHEALNIVLQEFNRITHSKERVELLPL EGWIESLDVVTHPLTNKDYTSLKGNIRLPESSFKPQCKLDSATVEFVHSRAIQAAAIR MIENDQSDVETEPLDPYHLYILLRCMVRLAEKGVNDSHIHRAALLTGMVGERIFSSLE RTVAPPRRYSLRHALLGKQLRDASKPHAIPLDVCAPPGGVKKPPTAADDVLLLTRACT LLMNVATNVLPQTKFKVLETVDTVLKTLSYAPNYDLSTADTVIFSNMVLEELHHVDEA SATDRHLRVLLLLSRLRLSMCADRSALSHLLSCLCNLLPPHSIQQDKLREWKRLRGLV MRHLLYSVRGEEVEQHYTRVLKSSETWVEHLAFGQYSGGLPLSLWLEACHIYLTAGRK LTVSCAEALITLRGRCKDGGVLRSSNSAGVCPLDFVSVTLLAQLLEVVSHGCCSADDL VASPVAWDKVRQTIQGAIGEDENTIQLLRAGRLCVADRQATGSLVTTYP XP_845058.1 MVGSASRSCGTDMVNDAEMYGGSENQPQNSSNAVTDSTPKLSRW PDGTTFEGPLVDGVPEGHGTCVYKSGCVCVGSFSRGCVEGPAEALLPCGAFFVGNFCR SAAHGHGVLLQNGRLVRGEWRDGVLVRRTLENVNDARDVQFYTRVIAKLCHRVEELNG TIERDSSSVFQQTSNSGLCIREPIVLLRDEQQQERDAVQTRRWARVATQSPPYMRNEP HGAGMPPLAREPDISPRFDRGSFSSLNTHRRQPTALDLNQLTSFARSVLASGASQQAI FASAAPAANEFFSPTRYLQCFFIMLFPFISLPQFSFSPIRRVMLKMEREFVVSGAFLF RSFNVPIYTLTFSTIATCCLIATVVIVSLKVELGPVTEGKVTLEELFIPCILWVAQSA LYAAYNSYVRVAHALERLDRRLTPQLYACAAGIVNTKAKVCIFTWDDEGRRVVTNVHY RYRWLGESLFVGILFSLAGPLTRVSYKQPMFGYTKYEVSALVLISASVLVFAAMVTFN ALKLTDMQRQIKEQMRALTHLAYVEGKSVMHPSEHLLQRFNLDEPFNVSDIFNGVSGW YVIRSVVVYAASCSNHAARGLAMSVFFMLVNSCFLVTALDMICMLSFHYNDLGKRFSC MHAYGVVTCSVWGILLLRYLYKCVETVCESERHLYLLDVASLYHRTRYNNAEGCADII TTCRKMVKAYEQLPCVFLFPITPFIITIVVILYIVALLIATIHVYFAAVARFADTGGR XP_845059.1 MMTGGVVETLCVTGRTFSPQVAATLWTHGMARRHSSNVWVPAEI IDRLGLKLSGTNPPAPVGVDAANGRRFFYNASQLECSQEELVAMTKSLLEARRGIAEA INGDTHPLNTNGEPFPLEFAQQARLRTKTYETAVQSRFWATEYEAAYIFRSPFKPSFL TPENAVTVRGGAVYPRLCYYNVCGTRDPSIFSHETCRRYRPVNYYGQPYPAATSVQMK ALSIQYGCMNDTLWVSTRRAERVGVKLRSGVKPLLFCVEDMTSLVNVAMTEDPDRLKR DILSFTQHGAVSGVASAFTPKSFSLLAS XP_845060.1 MGKGRDKRKKHEDPSKAARRACKQALKLAKGRGVRTNDAGGDGE GGFNNEEAPEVTLNRIRKVESKTRTTLEQENVPPPTPRANVVFAPHPARDQELLIFGG EYWDGVSTVVYNDLYFYHTKRNTWAKLVTAVNPPPRSSSQGLVYKHYFLICGGEFVSQ SQSQFLHFKDVWRFDARKYEWEELKTLRGGPSSRSGHRMCMWRRNAVLFGGFYDNAQE CHYYNDLWVLSHLDGAGKWTAVKTAPHGESPHARSGHCMAVHDDTLFVYGGYSTEKFN RFKKSEATVHHDLWTIKLPKDMFDGGNSKPADQDGENFVFWTKVRLGGIPPPICCGVS CAFKDKKMYLFGGVVDVESPGGKMISSFNNDLFIFHMDTNRFYPVVLRAKQGGLVGGT GKVSGGQGMSLEEELKALQLQHLASEGSTAGAGGDGDGESSSEDDNDDDDDDAENGTG HDTVDARKLKESFEVNKRGQVYPHRRMDAAMLVVGNTLYIFGGQFECGAREVTMCDLF SLNLNTCDTFRVLLSQDLSSAVWLGKDDEASDAGSWESGSTAVTAVFDLDYGYEEDED EGEGESGTLDDRQVVNQVDDGDEAPEAIPAELDTNATPALDEVDGITIVKGKKGLKVH KEQLVAQLSASCGVPTPLMNESAAEFLTRTSAFWTTMAEESFKDAGNTKPQSERRIQK EAGRFANRRYREAKKLLEQLRLVEEREEEEARFFRELRRQKEKEWEEYEQQLAEKGEG DENS XP_845061.1 MRRNNRTRCTIDDVNGMLARNAQLRNALQERYKQLKMRYEQLAA LRAALSPSRGVTLRKLGVRQETADGAEEVRFLDDYTTGGVGNPPFRDAGIYSAKNIVC YAPVPPTRDELRWKGVTLAFPQLAYVHSLAMLPETANSFSKALQWSREEDSALREQVH AYKGARCGPSFWKALGAPGQSRFEVASHYIRLQQLGLIEPGKNDKTMMWIPEEQRDIA LREAVWRHLGDEGGIMAAYVEIISVAARKCVYLSEVTCNESLVFPPYVWVKRTTFNWL TSLLIQKAKAPLVRCEGEFSDDTPLCMHFKSDISLKLSAEDMMACLLAFKGEVFGEVG GLRFIERAFLPKNRTYALKATDFMAKREGKKHKLDEEV XP_845062.1 MMSRTVELERCILRTKTYEAYKNVLQQSTSMTDNAQSLVNTLIQ THGEIHLGEKIPSGDGRPVEFVVVDLVPATVGTLTESTTVIVLPPSSDGKELPPTAAM GTTGSSAEGTGADGVSTNNELERGGICSVRLDYSLSGSSTAASEAFLLSTLLQDGSIV CVGDEEYTTAFTLVRQRDDPVPTTEQQEQAETQRRKQQCDTLPTLYASPSVFRNIRAF LMLDVLPTIMKSRFQYNDDQLCVPVSLFREVHNGSGAPITIEAVSSGSRKELHLSAVA VTPAWPVFILTEVFGESYETLLTATLKASERALHGRIVAEGDVIVLSVTPTQLVEMQL EPNTAVEAVLVQVIHAVQSGCALPAVVTSVLHDGAIAIPFRVLEVNTLSGAAYGRIEL EDKGNGTELLLLLQNALASPDTTPLFPPPPTPPTFFPQLSLTMNIRSALERCYVPSNA GTTSVFVVHATKENLPVECVSMVMALLGVECLLVDMERKSDEEVQRLLGAYMSCSGEV ALVIRNAQKLDQRTELLRLFDADNSGNGVDGTCSDGDCLNGIRVIFLVCECVEAPPPA VAAQARNVEGVLKGTHPSEKDRRFIVENIFTGAQRSRGFCKSLLLSFDSVASWTVGLS TVDVVAYAEECVSVLASMSLPDGVLPVLSESLCSSILEKFQKAHGHNLVSTKLQPVRW SDVGGLEDAKRELREMIQLPLLYPELLGNGGNAKHGAGILFYGPPGCGKTLLAKAVAT EMNMNFMAVKGPELINQYVGESEKNIRLLFQRARDNSPCIIFFDELDALAPARGAKGD AGGAMDRVVAQLLVEVDGVGHSRSDGTAAGKVFIIAATNRPDLLDPALLRPGRFDKLC YLGIPSTRSEQLVALRALTRKFHLAEDVDLEALLQPMTLDYTGADLFALCSDAMMFAV EAMLQESLTFNEEGSPSMLAEPAKNLVVRMNDFVRARDQLKPSVTAEDLRRYESLRTK FTANSGRVAD XP_845063.1 MTGKNHSVDRSSGFSLLIDGNEQENAELTSAAASSPSSFTVRRR MSMREHLSLFAMSFSYGLCFNTLNNIVIPKTVARITHGKESLWVGVLMGIGALCQITS PLFGACSDRLGNRTMFLTNGAMLTVVGLVLFTFVEITNSMLVLCIAHFVSSVGLSVAY SMVVALLNDYVAKEETGKGSSAMALLAIIGSGVGYTMLAVGVSTVFCLGTYALATIFC LVITLNSIPSEPLRQPPQALHFSDTILNSFTIPSFRIFPDFGFACVGRGLFNCGLAVQ VYIIFFLRDIVQLASPAEVTSALSVAALLGGLLGAGLSGPVSDRVGRKSLIYLAAVTC SLSLLMLLEVRSLEFLYIIGFVHGMGSASFLSVDYAIGVETLPRKDGMPIDTAKDLGI FGVSATIGTFAGQLLYGMLLHMYVSKGEGNTQRYSSIGFVAVYSVSCMAFICSGITLA FINTK XP_845064.1 MSSSDIAVKRIRRQWEPEDKAWRDEALQLPWEEVSPPLHSTTLF ALRNVFFFSHATAVQARTISVFCSSGNSTIVEAPTGSGKTLAVLIPLMERTVRACDAF VAAHNFPLLRRDIIGIVLAPSRVLAEQTFVVGRNLAARLPHTIRFALCDGAVQSADVV LKSLKAAARGAGTFLVTTPRDLVDFIAALNTKRPTEHPPVARSDAETSEGGRSVHNEP DEREELLAAQDEETLRRYYEKRGRRKDTSEKDTGHNVQLRGCHNERFVLVVDEADLVF HSVEMRGIVTEFVATHAYLQQPLDKRLKEERGESTSTSTNSSEKTLSMDLSFVGATVS TSTEVQTYAERACAALQSKLHKVVLNSNEDFVTQLQNRYLLCEAHDFLPILIQLMNLH SSKKHFIFFNSPKTLRFVEKLFSRLVESHQMLLCINHVFVMYEGMNERTRLDQYNAFL NHKAEVKAGATDGKKAALLSATEKKNQFYTSGWKREGRQPGGRGAILLCTDVAAFGLD VRDVDYVYHFEPPTTVQSYVHRIGRVGRMGMRGSSILILPCFSTDSSLTEAPERKSTS TRFNTLINTKSATSSIQTQQVSEADLSEERRQYLKELGERSELQPCSIPPFAPIAATV RNVISQHNKIKTLAQQAAMSMCTAPSSVEGVKSWFDPKLALHALLLN XP_845065.1 MYSYNNIIEKNEPCKKDVIENLQLSLSPTGAVRQFRVDERVDVV VVEGFLSNDECDQLIAACEKVGYTFWRQKDPNAEGEEAGCCGEAEARAFRVVDTIEAN FPTLSQVLSSRIEKVVKLDPKTFSPSMEGAEELFARDLEGTWVPQQLAQNLLFARYGA GGHFSPHIDGSTIVDINTRSLYTLLIYLNDCEAGGETVLFDGEQAEVLKRDAESGKYI GRRENRIGAVKPTKGTAAFFYYDVLHEGSPVGEGHSKYIIRGDFLYRRNPPILTAEND MKAFELYEKARVAESNGDVFAACEMFQRVRKLSKGVAELYQL XP_845066.1 MESGGFAEDYDPNSSLHHQYYESYSDLAVHRLMLEDAQRMSFYR KSIEQSASIEGKVVVDVGSGTGILSMWAARAGAKHVFSIEASSLSEFQIGVVEDNDLS TKITVLGDTVENIIAGGVANFVNRHKAKLGKCGVAVLLSEWMGFYLFHEGMLPSVIRA RNFFQDVNAALGVLQPIEMIPERATVFVAPITCKPYYVQRYKNFWRDVDGLDFSRYGR IEYEVYLEQASPLVECLPPLCLLHEGLSLIELNLSTVQEEVLTSLHNTVHFDLKESAE FQQHAREAGSEGRVSVDGFTVWFDVSYGAHTLSTSPRSPSTHWKQTTILLPREARNEE LVSFPVEGGELGVEMHISASDKTLRFYTIELELK XP_845067.1 MSTGQLSADAVKYLEEKRVTYLLEELFHDVLRNLPENPLEFLLK ALERKTTLHLIIVGPPGSGKQTQARRIAKRYDAVHVRAQDIFLNEVKRRTPEGEIIER CMRDGEQVPSHISSELVIRRLREDDVVKRGWVLDGFPQTRSQALRLQTAGLSPLLFIM LDVGNEVSVKRCAGRRYEPITRNIYHIEYLPAPSGMHLELMSPDDESRAAVASRWKYF DARRGELVGCYEPMYVRIDGDRPFDTVFAEVCEQVDSRFVSV XP_845068.1 MLSVSPPLRRYRLFHPRREAIPMHMCPAKTIFPLINSNNLLVKT RNSWEDFTGRKEFDEDHPLPVVGSRLNGRTTQHKWNHWDQYLNPQITQSIKDLTPTPE YVGMRCGHNMIKMGWMKIGGSWKYSRGYNDRRRVFARGQWQERKMTPRFMLAPRVSAG GPRNRYEGKLVFSPLRLSKLLWAIDTGRINPNEVITLYHLRQANVVGEREIVWPGFVL ISNGVRRVPYPIHIELQNASAESIRLIEEAGGSFTCVYMTHEGLYQELHPEEYPIFMD QELPERRGLESLATNPSKRGWLTRWYEDSSKYAHPAAGRRYSHYLKPPTERDFPATVE EYEMVKHHQKWHLNQPGTGTLLPWHSYNTADLVKRAAGRL XP_845069.1 MAQGKAAFLLILCVCSCWHLSSADTEAIKETAIREVCELSKTLK GTPFAITQRWKHIEALISTFERLLRRLELINLLCCEDEDSVSILKLYTQSQIASLEVL MKALMERGPNAAAFAAYAAGRLDEFVAVFTQSVGKTPRTNACVIADSGMRRWDQAAAN GCEHNVVGNAAQNFLDLEVLLKTTLLTRGNQKGESKQCPLTKSDLSGYATVDDAPDRI KWAGGLLTVHKMYGWKEDGWSTNGRNVEFIKEAAEQFKEIKKVLEKQLPNGLDSIDAL NAFLKREEPDAMMKDAIKTHQGWEEEKDDKTIIEKIKEIFGIGEPCAKIEFIETLIRT NVSARESGCTKQIDLFQLTTRQMEEVKERRLDQLRKTKTEAENYPRDMAKLSALKTNT NTKQGEYGECQFLCKRYKNAKAENEATDAAPNSPAAARKCRGKTENDCKDECKWDGNV CKNSDETSDYSRVCYSPLFPVLLLSLF XP_845070.1 MTFFPRSFQHDGLLSAVVTQPQRTFPHLPLSLSKLFPYTSFSTG AAPVDNSFNIKVNSSKGYAKWVGSSSLLVIPSPKLRTFLSATFSYISTITFISAVLLF VFLKLILPPNTAPPSKRPAILISKKQKNIASSLSYLMTHFNKRKTFRECESINND XP_845071.1 MRIFVVFLVLAGAFAIQAKTWNAKFPGKGRPPAQTEEEHQKEHC EFLEEVMENYVDGSDDPDGFCDRMPGPDVSNFTCKCRIDGQEKEVLPLCRYLKNCTIS VNQTNYTCDVEDSDRVKCVQQIRTQPPQVGKAEGEASPTQNNEEIKAAPEEKPKTDRE EESVVHGNDNVTDQKKGDDRSNENAEAIPRNEDNKKVADNGGNGVNDKHNETTTEGDD TRNKLQLPHRNQSLPQAQEKKNNTNHSTSQNDKQNETTEPPPENSATPEAHGVDRPTQ DGGIKSPLPLLIIKIYFTAVSFLLLSIF XP_845072.1 MMISRALFVLGLSLHFIFAPTAYANDNLEAELEQTKALCEVAKQ LRKLPLLTEERRFEAVGALEESKKAAKEGKKAAKRAEAGAVGGTSEQQQAAKRAREAA TVAYEASVRAEAAAMEVKRFARALDSFESEYESVFSGLLRGAAEHGGNETIKQLAKEC ATAVADDVTPEALTRAAHNLRGLYMQDFAEEYLQEANEAANKLEELQKATAETVRAAD AADDAKSEAQEEAAQFPEIDDDDSDEDKEDEASGAVGTSMKFLLVMLAATALSF XP_845073.1 MSAQQAHILKKKESILSLEPHLDRRVVVSLEDREVHGVLKGFDN NINLVLANAEIWNKDVRERQIGACVVRGGLLVSVSSGDTAILQQNPFQ XP_845074.1 MVRRSHVVAYYWSRYRMPTQMPKFDGPAPVAAPQSMNSTKTNEF IDPIDDKFPMSIRGPLVRPDVPEDQYVDSWYICTSMTHHMGDYRPWSASAPPNAFRFR PFNEFDAKGREYVQYMREFARFDPRKSRGNGQKGFPFRDAYLTKMNEANQKTPPPTLE TIMDRAVREHHQHARILSPLEVQRDVGRLEPIPSYAGKINADRSVFPFQWKTEDWYEY EVAKVRNRRFVFENTEEDGIRGSEVTYKIVLEGFWDHHVMKLAEDVCMFLKDVGRQIV EEKLVAVRRLLQGGAVDPELLAAFNCARAGPFGGYDEYDKEEVANFLRSDLRRLEEQC LSVINRCNVPVPGATNIYDPHTSWPHVEKLEPWVRMAEFWTSSSDTSFTELEMSTAHY EFRKFFRVIICKLPFQSTEFEKRMYDIRHWLHRQTSCEFHTIYRRNVIHDSAVFPTEH DPATPTTHEHHRMFSFALDWQSAPVNRLSTDTVREGENWDAVAQRLGCSVGELKDANA ERETIEAGVVINVPVTATRRLTSFGATPLVLPLKTTSAKDGERIRTWEEAAAILDCTV EELQQCNGHAALTYQKKESEAGEFDSSVTELVAPLSCWTSTSESEFSPVERVHANDTL VAIAKRLQCSEEALRAVNDGITDVSGLDFVRVPPEARRPRRLVEPQLRPQAATDALLA RTIAEEETFKLKSIPHLPQNAERFPHEYHTPTSRFPPTPSETPATQDWMAYTAKYLDK QFTISAEPAPVYNVNKLWPMQQIPGKVDQTPFEEDQTWLLHSIPVQQLEMHHHEKDLQ DLPFINHEQFPRSLEWNAP XP_845075.1 MKATTKSGDSILLNVSPDTGFGFAPGDIVYFSKSRHNGKVALVR GVFEGMLWFSVFPTVHEASAPEALEAAVDTATCRSKEELIRQFGWVLEDASNPTARGG S XP_845076.1 MLQGVDHFLAVAVLLLLFSQIPAAVATQSFSRDVPQQPYDMAYR AYFRNYDTAGHCILRDVITPTEASRGGIMGEYWGCAGGGGREGAHSLLLLKADELEQL KLAECRPTMLVSLLGAMKPPLESGVKGFGIVALGEGKVRSECDIKCLLDAAKQYNTLQ LTERNRLLNITAVLYSDDTQQCSSSCNTSCGSGDPLLIPHVVRSSYDFEVLYIASNSP SVERRSASDRGEWAALVEAATFNRQRWVKTPHPYNVVETRSGGELAPHMTSISALTDC LNGASLPRCSPLGGWTVWASNADTKWEWSGGNTRKPSFVKKTRKGAIAIMVASTAVSL VHGTTPGADCPASGIVAALAVMDVLKRVLDGIKAPSRDVYAFFFPGEHVGSIGSSRFV SDAMQLACAYKGLKSCSVAYGGNLNFTTVDFDSVDTFVSFDQVATDGSPLFYHVDSRA SAASRSQVTAQAEAEAALEKASVSRAATRQLPYSPITTVLDLLGKSTIVKKTFLTLTR YNTTYANPNVFTVRDSMSHNDSVSLSAESIAEAADALLRVVLPGVAVGVDRTLVHQLW ECFTVNTKCSFLERRAVESGSPVGIPDYSVGVLGNSVTTNPTAVEEAIQASLKHIGWE QPMLSAAVPRGLKFRDEEKEPLWKLDEDWLNQTVYRSARYALHVVSGPQMSFGARGAM IGPYWESVVFFAASVLTCVLGYLYLNRCLRKTPAV XP_845077.1 MLWCRATFTEHFDSEKCRLFPTQQQLFDFIDSRNNGEDKLDIEG GQRWLPFSVEFPSEEEAVHILASRSIRSAPSSSASAAGRLFRKRSSDGDVKMEYSNAG RPKLALREDYSILYGETPLSGQSRMFLAATVEGLAQIVEDIEPLQQHLYEVIREKAAC HMYLDVERERDYSAWRPVVHVVDSDSDSSCGDNDSNKCVADVYECESTTYASALETAL WRPPRCCPWNCRIRADNSKTTTLLLRELYTFLREVYPELLSGLKQDHLQLKRDVSGCP TTPSEHDTFFEEWPLGLGVSVLVMRSVQQHQYDGKGSAKFSQHYVVKFDGHWFGSNGD VGRLVSQFIDYLYERVESDVQLHTALFYHDVPKHFPVLPSEDAPNLPFLPLRCVIDSA VYSRNRTMRCLGSCKLHKTSILALEKTTERMTDAVSLFFASLITLPHGTQRVVTVPDV SPPRSVSGTPNASTKGGNLLSKQLPLEEAERYSALAKYIGEQWSSAGGVECGVSSIRR CGDRYLLFMLKGSRYCGNIGRQHRSNNVYISVDLHCGMWVQKCFDPECAGYRGPPQPL PPLVGNQCCGAPNVVEGTGGNRAVSLASHASMRTPFRPSQDA XP_845078.1 MFCCFWGLLLAPRRVAVPVDRLRELFLRCGLRNVVENNAAQFGD RAVLQAFMPLFTPHFTIENHHFTMLGDIAIDDFLSTEVLQYAMHAGLVLTANACKQLN AVLHNHFTLRLFAKDLHFDELAVPLGVNDLARDQDNESVGTAKLEFLTPKHVGLRGRD TLGTSFHMTPLRCGQSPLGWVFSHFVGAVHHHLGRDATRELLLHVYGNRLNTGLPNAA TKLLLRTLQHFPPMNVAEAILAAQGLQAQFVSRTRSLSAGCCTPRDVGSDSNSNGQGG GGSCNDDDVHVKDGSAANGDVVLCSGFGISNPATDTLTIDELVERGDEGNTSGNVKDI LSSIATGPRGLDAVDMWKQRVEREKKFLQTAGVDDHGGVNDGSGWLPSDEWEQCKRGA ASRNSVDFSTVANYFADSYGQKQPVNGQKIARLKFKDPVRDPVFYDTQSDMRNGVPLS TDGEPVSSYLDGLNQPHARLFEVSLVVGGRAAGRAISWRYTSARESACKAFLGAVLHD LQLMRERSCSGNKSDDNDESPHTNV XP_845079.1 MEEGHAMEDDVNEINTTLLGLQQAFAAAMRESGVMGKMRAQLRA AAIGVIRADPCLRDSALGTVLQPAELPLEGRVALLLIEDFLRTHGLKLAGGVFEEECN ISMVGEAERHIVEQQRQYQPSQGTPRSSLLESLLARVIDPRECQQLQQQKTGAAPVAH ALPHLGQSVAPSPAAATSTTHTVKRERRRPAAELSVPLEVQGRSEITAWLKEYEDSVD FSDSSLEDMPCVDEQIFDAVIHL XP_845080.1 MTFLPVFFSILFLLATKKENCLFWGEKLSLQQLKMQRPLTAGNL PEQTMRDGIVDPEMAQDAFIDLDDPNNEIVDEDNMAEIEEDDDNVAYNVPAHGSRKRM AETDLNNGEEDNYDDDGVGNGAGTDGEEDDADVEDLNEVPDCEPERDDALCFFTARDA SPVHAVAVHPADSGLFVAGGQSDEVYVLKLDEESRSVHNLAVLREAHADTISILAFSP DGTTLASGGLDGVVAIWCTVTWKLRHALRDLSGELLTLLWHPSGLVLVAGADDGQAAM WNVSKGTLAMYFAGHGGGITCTAWTPCRKRLVAGASDGAVVVFAPRTGQQEFHIAKGL SADRASVTTLCCLGDSSGEAPLGDYDDRCVVGCADGTLHVISLNSGRVVTSLPEVHTQ AIESLHVNVGSRGSHTTPPQLLLSASCDCRVAVWSAADLKLRTVFQVGESVIPAAWAS GYFVVAGCSDGEIKVWDGRSQQQEPLVRLMGHRRMVLSFAIVESSGVVASTSDDGTVR FFKLSL XP_845081.1 MVGLIPYDIVYRSSEDNEFPITGLGNGLATSSENVECFSTTNPS NHAVAEQHCSGKGWQSARFASMPQELVLRFPGNAELVNLRILSHESKIMSKMEVRLYA LDDGDEVNGVGGHYGLEAPSFREVRFLKLGSVNFSSNEHTNYRSKERKTVHLHAKAYF LKLLFHAPHQNAFNRFKQIGVYSIECSGQISKRIPRHVDHAVVSSCIVSQTPVERDHT RESSNYNGSGVKLPPLQLEQQQYQLQHLLQEQDRQGEGERSPVETPVRNIITQDEGMP AFRSVRILEFEDFFVRRSAELLMLKNQAISMDDFDTAKACQDRIKLMNRRSKRIYQAE QDKVQAIIDEDFDAAKEAKQQMDTMIEKVYNDVQVPQLRQVPDPLEYSSDTFSEDAPQ DGRRSGAEAIGLSIGCSGDRYGMCGERNEKMCWSAERQKLAPEGEYQDLPSGPTGDSG MSPFDGAGSDLGPSIFDDFDKDTGQKLDAQNIWHQKGQEIADAKCNNDDWNAQERPPG ANQDVSVSDGTDEYAIGGRLQPWEEGVVREIMEASGETDMPKLPDVGGRKFSEMQNLN NVVGLFTTACLYSSNFKLRESALSVLASKMTTLYESSPVSIEEGVLRYLDLSGYGLQD HIPAVANAACAFVRSVLEDEANVFEEVMCPVVNLLPRLLCCAADYNPRLREEAMSTLA LFVRKPEIGKGVILSAVLADPVDKDRRRLSHTHARTQLARLSVLKDLAANGGLQVRGS AGSMGKHNENAWSRLLQPCLNHQSSEVRDLAVSTASYLISEEKLVLTKRRAGEIDNVS IRDQLKAAAELLRAQRDGEAPSSPNSAAAFTPFSMRQRTPNVKPEKALGKKCRKAPKA QERQV XP_845082.1 MRRCIPARGGFTMKYKKGTGLWDEDHVNDYKTNRYLSARATMRW YQEMERHQTRNSLNARRATQSHNNNRGLHHTGRGAFERELERRGVQVEKYPLTTTTGA MRVAELVILRRMELEKRAEEALAEQRAELQKKNPTPSEWYDESKGPLNPNFLRSMRSH YEVDIANLPDTPLIRGQREFFIGEERGNGAA XP_845083.1 MLHPNTRIGTVFQTRGVGQTKVIPLRCSAAAVGGVSGITCIETI QLPGMIRCRASLRPSLWTAFINMQWAYFWQAFRRERVEQLLGRIRCSWQNAVVVSSNA TCLVWHTSRNANTIGRRASAGCWTTPSRPLAAISRPQPLFSRSWRQPRALPLRMDFMH VAKRHLGEEGYCQATATGFFVTPTIATAQVCMTRWYGSRAQLSLVDFESMKRLCREER MQRYGRRVALVVGFLTNALGSSSCECCPQDVLNLLSVSERVEVISTIATTPFVKTQPV VLEEMLLSVFSDECLSQEAAYSLLQVIDKARADGLPVSTMCFSEGIHCVLSKKSANSN ASQRRELVAATLACGERVLGFDELASRCDLCGLWYESAAYRTAVSGVLMGLNRPSPGT DGKEFCLMSTTSEDIGFGGLVLLLLQFLRMRKGAAASHIAAIEFLRCRMSPCVANFTK QSVAVELARMESNSAFLADNYPSALHYLWNADQKHKKERELAAAAWLHFDVKCGTPST AAVLLSCYNNNWNGIAYILQRTRQAGLGVALNALSAMIELTPTGCYYAIVRLCFPHSR GYIEKNSQSKGSCTFLFEARAPGGERGGDVVLNPPKNVLESWQFVENAVRQHRQRLLL QLGGGCAVWSLSAEYAQQIAVALPQLSGTLLSQWIRGVLYHNQWNTIFTLLRVAAGRG VSPEMEVLVEILEKAYRNIGRGGNGVPNEQKGVSVLQSVVENIRTLFPYCAQTVFRAF VERIAVQLDIDTTSSLPWDSALRILSAVNDLGLMHYPRNCMELVATSAPAVAAFSMLQ MLDTTRRADNHVRNHFPLNLSPLPLEVAALQSLLRSAQSTGLHVVADAFAVPPSNINT ARVTWWSSSELAVGIPVATALYRRQAVGLWYVALQSLHRSFAHSGRDVSNVDAHHAIR LAAFGSTSNATLLQCLVALPKHEGKQELAFSRRRLKRADDATLVTTLGCIVGALTARG AWEVALRILPLGATDLPPLLRCAQEHAIRLSDGNISTGGAEEKRKDRFLKSGGRRGSR KPFRHHAMPTRVKRLVVKMHLEDSFRWWDNNQKC XP_845084.1 MLPFVALRVVAALVVTLFGVSGVVSPLLCLSNKCTTLFGGGTHT TFPHTLSLANCFAAGMLITIAVSHFFLHALEDAAARNADPSLVSLIMLSGILLPTVLD RIVDKKDGEESERNRSNGCCHGHGALLAQDDIHQGGRCGVPLVLLLMFFHAAMEGAVL GLEPDDPSLLTIVVPLCVHRLLDGVAIGVAISKKLFLATSCSSEELLLLHADGIGECT HNAGRLHGGVASTEMCLVRKFDRKLRDELWRWPVLLWLAITPAVALISAVLCSSSSDA AGGHCVGKHRDRYCKGHRPIPHNDTRELFKSEHIRGIGSLNSLVGAAGGGLFLFAGLM TILREEVHGLAACVSLLLGVLVTLLLGRIEI XP_845085.1 MITLKTAVNTRAPLRERAVPLDRDKRLVPSQSSLHHGIYTTFDE AYGSAPARTDPSQGNHYLTLLRSVRCGANKTNEATADTKTTVDDKACGGEMKPRGHLE GTMKSSVASLFDGVATRHSPSEWITEQQASSQSVRPVAQRDFYSTARRVERIDDDMRS GLVGNTQRTVDIMRKRATSPTLCPNPDVFPVFPAQRRLLDTDADGRCARSCLDIVDCQ RLAPPSENHLGFEYAPLDRLAPKLPVSPALAVQQRLITDMSSSMPLFAGTAKVQKYAI PRYAGHVPSFPRNVDALHGNDTCPLRKWSKSYVTLATVGCGTDAGRRNKNPLVRNRSG TKAPETKPMKPKTSEVIKMTVEGSMLQTTLTQLTDAEQTLNTRVDKKPRKLF XP_845086.1 MNSSLVFDKDGNECSVGDVVDEIIDKLSLYRSCGGDGSSVVLRK KNRNVAHDSLVNSVKRGVNPFYVNVRSSARFRRRKRCKYIVPSNVSAKSSVEPKNSLF SCKVGNVLPVMSHGASVVAPGRAITGRKVFAACRGITKAVLLFKLFKAESLPGGSKRV RCYRKRMLKKEASVLGSFDHSAASAGLDTLFWGAVASVVRYRDLYKRRLCVIWNDKLN SGEKIPHPLLLLRSLPLFGLVVEVQKLWWRCRDAECGCSPEVVESSMGIVLHESGGFI GVALLGSLESLRIGLENLRKNPEQPISGREEPRSEECGVTHFSSFCSTFPAARVIQVA KVCASGLGPAVPAAVRKPQSGELVVARVAADEVTAPDCPFKELIGRFL XP_845087.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845088.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845089.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845090.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845091.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845092.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845093.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845094.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845095.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845096.1 MAKGKKSGEAKGSQKRQKKVLRENVRGITRGSIRRLARRGGVKR ISGVIYDEVRGVLKSFVEGVVRDATAYTEYSRKKTVTAVDVVNALRKRGKILYGYA XP_845097.1 MDERQMEDKRTARKRRWAERQERSRLNRSEGEALRKQRQELRAA LDAVDEGKQELAEQISYTRFDQLPLSQRTQLGLERGGYKLLTPIQSDALHLALAGYDV LGAARTGSGKTLCFIVPLLELLYVEQWEAEMGIGALVLSPTRELALQIFKVLQLVGYK HVMSAALLTGGRDVNEERKRVSAISIIVGTPGRVLHHLEDDDSLVTDNLQMLVMDEAD RLLDMGFREVLSGILKQLPTQRQTLMFSATQTTDVQMLGQMSLRNQRYVSAHAECAAP TPSTLCQNFVVVELHRKLDVLLLFLKRHPNDKFVVFVSTCNQVRYMYLAFAKILKKMH LPCMCLTSKMKQFRREEVFLTFCRCKNAVLFCTDVAARGLDFPLIHWSVQFDCPDSAQ TYIHRAGRTARAGARGVSLLFLTPREVPMLSFLAHKHIPLREIAVRPQMLRESKEIFV ALVVQGLKYEAQKAFIAYLRSVYFAANKLVFDVKSIDVAPFAHSLGLMKVPDMSELGN LQRGAKNLPWDVVNFMAKRDAKEVADAKSRRERHLTASDMFRTLQQKQRYATTDSTDV DLAADANINGEDEEKNDPFLVKKPHEKGNEDHLDEGMQLTFTERMAGLSKRKVRKYIE SADLRVRDLGLSKRVVYDEDDDAEEDEINMRVANEGQDISINVEGGSGSDNDLGDVPE KFAERLRQRVTQDSAADNERAKKLRRLRRLQRSGKISRKTALEDISAPKGTTDAVDSD DHTDDNSPQQSSNDYDDGDISVHSSDSFTRLIKAAKGELFSDNEDEDISSSDNGNFET EKKKKKKIKKKKEEKRLKKIKNNPTPQKSK XP_845098.1 MPPKKGKKLKKKVKLQVPPQYEEQISKEPVSDPPTSQQSITSLP ISVVEAALAVEESPEEDIVTFGAEALNRLLSMRHPQQIAADLTRSLSSLRQRLAQLEM EWLGFESSAVGSTYACRARDQKDKLEHEVRKTEKMTRHLILLGRVLDRIFELRTSVFD TERTAMEAEVEELTKRVEKNDEEIRSCYINRISLLHRYWVWRTLQELGDMTVGRTFAE ELARGPRYRSIAIQNRILSDTLERQLEWLQRFLEKEEVFCGRVRQLERFVEEFTDMND ALEEVLTCRVCNLLFEDPVVFWPCGHSFCLQCFDSLSVAPSLFRCPLCGSMGSEGYVH NLLLSESVAKWMFKDACYADVHGALSLVRLHLSKFRRNIISSRMEQLEERLTAERGRE LASDTLDDMDIVYRAY XP_845099.1 MTSKTPSRRLTDVLRSNSKETLLRNAAELARVSASLSPEGIQTR FMRNQHLISPKSLRLLYDATIFSRWCAFAVYKPPFCPMRRAEASSNYHHVSVESFVEA AVRSRDVYPSIQREIRPDEVKVRVVNDINVFSSGPVVVTVADRHSFTRTLKGFTMRYD VLVAGHLPLTEPRAVATERLFPPVCAAEGSAVLHDTTGQTTSDGCSSSALSSSCVCTY QVKRNAYYSVHPVSLLEFTIIAPPTPLPPTIELFVRTHLDTCVIGDPSGATADAEESR AAKSSLGIIAMRGDCDFPRVFTHLRELSINTDVADSAGDVVSQKAIEFHCRNCFEPII QRERIYSLKRRRVGLLDGAWTPEAEYM XP_845100.1 MSASSEHSEPDIVQPPKYGRVEYRMEHFGDVRTDPYHWLRDGRS SEVRKLLRRENAHMESAFAAYGGKRLSRTLYNQMRARWKEDEASLPYRDRSYWYYSRT VPNADHPLFCRRPVSVDSGTFVEEVAHLWAQDPHAPLPAYEDEVVFFDLNLFARELRL DYVELGDMEVSPDEQQLAVTVDCSSGREVFTIFIVEITNVNYEQWLHQHSELGRAWAV AMAQSKLSIYNSPTSALPRYSSSKSFSAADDYTSRTSRKSVSTGDIVDAFQSAPSSSQ FTSGAGDVSVGGSERGSTNAFVTSADAFFAASAFSRKPAPSHKVKRRIDVFDATDEVL WLSSTTLLYLGLDSRMRSHRVIFHDLAADEDSPHSDVICYEEPNEAFWVSSLCYSADD RFAMFTTSSSGSTQVYVMPCDEGKKRWVSGVPVVHCGFASGGDSDDIRSNGGPTCSTS RTASIHTTRSNADFVGGGHASAAVHRFTDRAEDVDLDLDHHSSLFGEAIGAWVIVITS LKRGSGHWRVAYVLDDKMLWDESQNLSLCESTMWQTLFTYDPQIFVEGVECWRDYLLL SVRQAASSTVLLLPVRKLWSHWLDNRDSGSSCLPPLSVRHDALDLRAVVCYPLVSVSN VCFSIEKFYQMQWREQERQEFEGGLGESDCVHFVRSCAGDDVFDTRTWRLVVAHPTIP SVTFSCTLGGVEEGEMSLSVKKLYQVPVGGTPFCADDYDATIVWVPSDYGFRSDLLLQ MLPTKEPSDVVGIPVYLCWKKSLLERGGNPMFLTVYGSYGECCDVDFESERLALLDRG FVWGAAAVRGGGELGTGWRVAGRKLQRGTTVNDFVSVASFMQKTGWCADGRLVVSGAS AGGFVVTAAMNIAPHLFLAVVASVPFVDCLTTLLDPTLPLTVSEWEEFGNPLEDAEAY SIIRALSPMDNIPPPGVALPHIMMLTAWHDTRVGYWESLAFVARLRERREKERASRGN TSMCDGKPCAERAILHYCDFAVGHGGATGRYQRLKEVAREYAFVVLVQEAAEQSST XP_845101.1 MQSLGRSIQRSKQAAKRSSRTKYRQMMEAERNAAMVREMEEQEH QRRKGAPLKSIWETNNLEEFLLIADAQEKGYEAARDLHLVVDGTPHVVTNDKVLPLSD DRVDWLKISELLTIPRRPQWSYDMTAQEVQSLEASAFFEWRRRLAKLEEKHKVVMTPY EKNLEVWRQLWRVTERADIVLMILDARNPLVFRCADFELSVRETMGKAGKPKEVVFLL NKSDLLTEEQRRVWADYFTERGEAFIFFSATPSDSKKKESVHCAGVDGESEHDGSEGV ASDHMTGSAGIGAEREVTTLGNDEDEDLFSVDNEKDFSKLMRNKKEKRRHNKKSLRAP VVVANPYQLIHQRKVCKEQLILRKQQPQNLNRPPQPLTADEKARDDRVSKLSSLDPWA VLDPEQLLDRLAMWRKSCSITDTETPLMVGLVGYPNVGKSSTINAILGCKKVVVSATP GKTKHFQTLVIPNERRVALCDCPGLVFPSFASTRAQMVCDGILPIDTATDVEAAIAIL CQRIPRQVLEQQFNVSLRAGDDRDESHSLMERLLNAVARRRGYLGAHDRPNRSRAGRD ILKLYVDGVLLYVEPPPSYHPRVSDIAAYVSQYKGKEGVIVDKSKRDSNHSVTEADLD GASEGDGDEWEDASSCASDLDDYDAEAWEALDRAAADRALSDADSVGSRDLEGDNGVA DMAVPKFYVRPKGACGTLTRQEALNAEANVKRILMGMKNAARRRRRTNHQLDPADDVF INAEGEVELIIDDDDGIVEIGGASPCGKGGSSPRAVQAVENTKKMSKRQMRRELKRSG AGPVNPTTRRQVVQGY XP_845102.1 MEEGGEGPFVITHADGVENPTFVTVQATDGQEMERQPQGFEPEN VKDDVVGLIPLCVRHAEGPQINTAVFGYDIAQMQKRPWDEPTANLRDYFNYGFNESSW RLYCSMQAEGESSLLAKANTFLRKLNTSAMKGGADEAMGGEPTPYYMPPTHPQGSSHT YYGGQRDGFHNSRGVCQRFLEGRCGKGDQCNYSHVYGGMCAPPAMHHHHHHHHHHHHH HHHRQPPYVLPNFGGDPGVLPHVGTPVTGVLDPNTPAPPYGAVPPPPPPQQQQQQQQH SEDT XP_845103.1 MRSFMYMCRQVQGARFIQITRSRCGRTIPYKLADIGEGIKEVEV VTLYVKPGDRIGEFEKICEVQSDKATVEITSRYAGVITTVHIEAGEKAHVGEPIVDIE VNDTDETQKPSCGTVDCNVSDQFNNGGVPVAEEGDSCAADCTTEISKDFTKVLATPAV REFARSRGVNITDVKGTGKDGRVLREDVLSYAGKSCYNDDVVVRLDTGLRKAMVSSMT KAGSVPSFTACDEVEVSQLLNFQHILRDALNSSSEGVRDGSKVSLMPLFIKAASQSLL QYPELNAHVSSECDKLFVKKAHHIGFAMDTPKGLVVPVVRDVQQKSVAELVHEVNELV TLGRKSQIPPDRMKDGTFTLSNIGPIGAIYATPMLNPPQVAIGAIGRIQQLPRFDASG NVVRANILAMSWTADHRVIDGATLVRFSNAFKRCLESPGLLIAGEVA XP_845104.1 MVTLRYLRSKEEAEARRARLEEARRIANQIGVQRARTYAAQKRE QARCIMKDLRTQWLLERQRESDLIDRMLYEAHARQGEGMKGAANLEAHQHEQAINELS AWGAEYALERERHKLALEKASVTRALQQEPQRRIIERKKAVRAAEEARAKVIVSKREK RSVPGFTGDDVARPSPLAGAYPQTMPTRLRKGKTRMEQPTNTVEWPAREDIVEDAAAA AAHYLEERQRAIESNHESVERKRREAAERAASLKRKQEEESKHAEEEMRRREKNLLAL KQHATEGPRTEEVCTRMQDTRRSVALKSKGEAEFEAIFLRDTLGTEAKPRQLEDSVSF NTFERLLQLSTISSLLPTDADIVAQLPTTSLCVFADEDPEQSGELSSGFHATKRDSGD HVLRAAAATDDVEVESHAVTPTSGGEPPLLHPLKASEEVPPHPTSICDHSACDDDNSS THTGSTDSTNKIEERSERREQFLTNLKLLQARLERAMGEVPPSNNPQGPPSQTNVTVS SMSSISTPPRREGGGGDFPSEDYKNMSSEGTSDVSFKSRDAGFSSASSAYTRTHPTMT AEQLKAALLRMKLRLHSEL XP_845105.1 MYRKNVCVVIFNEDLNFLACQRIHEDKFQFVQGGVEEGDADIIR AAYREVHEEVGLFPEDLRLIGEIMPPSGDPHEFRYILHEGANLRHFGYVGQQQRLFLF YTPSSTIQRVRLVPPKGSVAKQEFSHVEWLPIDEIIERCPKEKQHIFVAVSKVAIPMA KAFLKTRSSI XP_845106.1 MFSYSFKARLLMPKLVFVVRHTSPDQQKEELAEAACHRNGTAND INEGDIVASTAQIPETPVHFPSFHLSVLPPTSGIHRSNVRALLVEHVKDDFRHHVVNS WAQAAPRQVVIIGCQSTAYLRRLLSMGDEYSFLIMDRMLSRLLTAAESLVPEFGPRTQ FVRSDAFFLLQQLLPPSVADTCVVPMPVPFWSEQSSHQRLVTRDFFCVIHRILKERES PTDPRGFVTFTDAVPLAEFMMEQLMESRLIVPWVRKNPAETYGRWLPLVSEGVNDEFS AQRRLEGLTALAASKSGPTSPQADAVIQSLEYKRRYYRALSFPAEG XP_845107.1 MSPLVSSLTSGRALLLTPFCHQRIAVPDVKPTRQVMREVLPQGR VNDRDNELTVFLQQLPARCDKAKQDLLQAQRASKYYRTRYGRGLEMRRRQYQQLLNLS DAELQMWARSRLRPVRNPMKPC XP_845108.1 MDPPNVPPSPQAPPDLSPPSGSLSFRADNLVTLEMVQAVTINRE KLSEWHHKIRSRLFPLVTGFFVRCRVEDSTDGRALYKVGRIKTLKTDWSVELDLLTTD EILSGRSNTNYDCISNAKLTAGEFSAFISKVPPELLSNISNTVHKMEERLRMMETIIL TEPAFHGRRRDDRRQQEAQPAQAVTGLPDKYVFSQNVLLCDDEFSTFPMTVTIVDLLQ NAVGQKGMTEPDTPRAGISAITGPKSRAFDEAVASHSTMLQLQDVRNYINSPTQPPVD AARLIEMIRRSSNAQNTSFSYDNLTEFCKLVISVCSQCREVVAKEPLFIRLKSPVTVF GDIHGNFADMAYFLDKVVAFDDIMLKYTTSHLLFLGDYVDRGAFSVECVMYLFALKVI SPSKVTLLRGNHESPEVNGDMDVYGYSSFKYQCLDKFGRDRGIDVWVAVNEVFQFLPV IADIDKKIFCVHGGLPQYSGGEDKRLEILSDPSFPRIPVVQCTDPTNVAQRMMVNDLL WSDPAPPNHQLDKYGFGPNPRGPDIKTFGSRAVDMFCERYNYQYIFRAHQEKADGVRL SDNARVVTIFSTSDYAGHQNGAGCILVANGKMRMAIKKPHRHEMKETKGPVSPRTLSK SVPGMIPRLKRI XP_845109.1 MSGVVIKKPNLKRLLAGQREELASFQPPVHPIPEYLGSPLPYLS NPYHIRGTLMAVEQEPEPNHEADGGKQLMADIMNERARICLEVEKTLALTCRVLDKAA QNDGELTELARESLARLTVMGEDLSNRMITSRE XP_845110.1 MGRRKEKIPPPGTNKDPTTNFYSRKKWRMVFLSNVLELQGKKLI PRGMQIIGNALMKNRHVVKLELAHNDIGDAGAITLAELLRRNDTIQHLNLAQNKVTDV GGIALASAFIPNVSPNGQAGLWNRTLFTLVLSGNEMGDATLLAISKAAACHRDLMRVD LSWNKIGPLGTKCLGRSMQRNPNCTYILIGNQIGDEGTEHLCEALKRYSGKGTSSLNL LRNDVRYRGAKAVGRLIENNEYILDVSLHSNTLGLRGMQEIRHHLTSAPNRLRSLNLS NCMLGDSGAEELALLIDADLPTLERLSASDNDLTDIGGVAIVKALMTNNSLVAVNCSG NSFGAETVELTMKLVEKAKVLKQLDFTNSIESSDMRRTLAFAASDADGLSIDVGEMQE DTYEGTVQRITEHMQLTLDAEAERAKSRKGKKRGKKAAST XP_845111.1 MAGRFRGAVGKVSKPKKNRASKKAKGKRTVALVKRAPKLSEEAL VQRVAELTGAASSSLTAADQGTVAANLKPNKPKAPSCSPSLRAQTLKKPIGKGAIEIV GKTTEKVVPTTEVSGGRTSTEAMGLKLLRRAVKNQNHNRLLPHQSAHDYEYRLRSVAT AGVVCLFNSLSQSRKAGKDVEEGERHTTAEKAQEKKQMASKEAFLAALRQPKKVDRYW XP_845112.1 MSSRAEEGTHRHEGHVTKTKRSREEMTGNEETDQEPNNGSAAVG RPFSEFNLSSGMVKALEAQGIVSLFPVQALTFEAIMRGEDVLVQARTGSGKTLAFGIP IVEKLNKKEGPLARGRGPAAVIFCPTRELAIQVRDVLAGVSGDLVVAALYGGVAYSTQ ERVLFSGVDIVVATPGRAKDFLEKGTLHFERVKMVCLDEADHMLDIGFKEDIELLLQR VAEQNGSTPDEPKHQTLLFSATVPDWVHTCSFISKNKKFIDMVGQGAMRAANTIRFYR RKCGFAEVSSMLADLVKVYSGRHGRTLIFTNTKKDCHDLSINNTKLDSQCLHGDMQQE QRESTMKSFRDNKFSVLIATDVAARGLDLPMVDLVIQCAPPTDIDAFIHRAGRTGRAG RKGVCVLLHQPKDEYVVERIERHAKIKFEVLPAPTREEILKAVARDAAEDMARVERSA TNLFMDQAAELLKDADPTEILASAIAVMSGYTSSITKRGLISGARGSATVQMLGQRSL PTHVFCSILRNNLGDELFMRCRDITLLQDAPGCVFDVPEDVVDRILNTPVQGMELSVI ETLPPIIARELNSGSRGNRGGGGGGGGYRGGPRGGGYNQYNRNGGGGGGGGGRSWGSG YRSTQRRY XP_845113.1 MSHVPFRMERLMDRLYATDEILDFVGRGTYASVFKGVSLVDGDK VSKGSPVALKVFEKRGLSLDRMCQDIVREVEILRHLNHPNCLQFIDCFQTEYHIVIVT NFVDGKELYKTLRDGIMTEAQVRSVARQLLEVVNYLHSQVRVVHRDIKPENVLVTSTE DGGFHLTLVDFGLARTLSKGRRCVGDRLLGGNVWQLSPAPSAAPADSLESSYTDSPLI ATPCGTINYAAPETVRSLVQSGHLATTAELMPRMDMFAVGTILYVMFCRKLPFQNRGN KVQLVKVMEVGPLFEEPEWRNVPPEAVDIIRLLLSFDPGQRPKASDVLQSAWFLKKNE SGGVADFLPMTSQCEEG XP_845114.1 MSKHHEFNINADMTKEMQEEARNLVIQAFETESLENGVASFVKR EFQKKYKGIWHCVVGKNFGSFVTHEMKGYIYMTWGPLSILLWKTVT XP_845115.1 MSMSNGSSGSFIDHGPKADYRDGSRPQWIVDKTVSKRDFNAEGA RDHSSGNSLRSSTGSEMSDVSPMRGKLSVDVEGVVSALCRERKKTKRLLRDKRRLEGE VIGLKRENTSLLECNRLLAEHNSSLAASVTTLVMKHDQTQFAVTSLASQTQEIGVTVK MLYEKTAVK XP_845116.1 MSDIIRIKRHFYVHLLNNNTNVTKCMLGPQVYTRKEHERCLFEP RQCVVVPPGHYCVVQNPCVRGEDNIPKVGESEEVQLRMGEKEIRFEQPPFPLLPGEEL LTVDGEWLFKLKVIPSNKGYHVRCTRDFVDSTRVSVRAGEQWIVEGPQTFIPRVEVEE LGEVEALTVESNTAIKLRARLNFTDRQGVARVAGEEWLHRTSGAYLPAYEEEFVSYVR GAVLTEKEAIHLRALRNFTDVYGKARKAGEQWMITHKMSSTHIPDVNEVITATVNAII LSKNQYCIVKDPVGDEGINQFGKREVRKGECSFFLRPGESLVGEVQSMNAIGKNEALL LQALEKFEDCGGTVRMPGEKWLLRGATEYIPRVDVCVLERRGVIALDKNEGIYVMNTT TGEVRTVIGEPYMLKEHEVLWEKDLSPDVEELLACPTGCCRCSERDPNFTSSRVKHRI IRFNVQHNAAVQIYDYKQKKPRVVLGPNLVMLSPDEEFTVLSLSGGKPKALNSLLALQ LFLGPRFSSDTIVVETSDHARLQLSLSYNWHFDVNRENPDAKIFSVPDFVGDCCKTIA SRVRGAVAAEDFDSFHRNSAKIIREAVFGRDGNGHVRNSLRFSANNLVVTNIDIQSVE PTDAKTRDSLQKSVQLAIEITTKSQEAAARHGKERKDQEARGRLERQKLVDKIEVERT KTQWLELQAQSEVVQASGQAVAEAKAKAESLLIEADTELKQAEMRAKALRITAASDLA KQKQQQDLELEFAKRQNELEIVKARELAQTEVERIQRMVSAIGRQTLVSIAQAGPEMQ AKLLGGLGLKGYLITDGKTPVNLFNTAQGMLGGQTTETKS XP_845117.1 MSDEAPPNKWETPESASAARAVGVYGGGCTPGTYLKTATGVQRG EELFHRPELERPWRTTAEQRHVMSIALREKEIEALMRKCSSLRTKLGNAKHEAKCIEF AMTEKSKEEIGELRDEVKRLEGLLKGENEQHLKLKETMEHQLRMQKLQHEKEQMMLKN ECRVLNERVENLTKIYQQQVDDVKKAAAQELDHVESLCNARVEELTKELEAVRATNRV AEERHTEGQALMKNLVHRIESEYKERSTETERRIGDMRARLEDEKKKLSEEREEAVKE AATAAERLVLANAASQEVEQRFKQWDIYILRILDDIYSAFVSCAPEQAVEPHSLEVQE AALLYAPRCIVEDPEAKLVVERIVTRLLQLKTIDTNCEAPVSKESDFDCLLAKLDAKQ ERLSRALVEIEGKCSEASVSLNRALSRLYFFCDDLENAISHSGSVPPPQRNVVFACLR VFNGDLMWVEDAEKAQAAVSLMNCVLRPKMAQYGAYECYCDGTSMLLAFDDPVAACRF CTETQKWLMTLPWSQSLLESSWGSEVRCQGTNEVVFRGLRLAMAVHTGDTFVEPLSIP VGDSYRCHYYGRAVSQTVHACSTARGGQILVSQAVWERCGPRKHELGALVVRELGVIP TAFFDAEKNSYEKEPIQFFQVFAETLKNRSFNDAGDADVCETDPLGDLNKFLFQSELK GMEGRCVALKRAISAAQEEFGVIDGSIRSLTIKVREAKSHFQLIPPVEMVMHLNNMYT VMERIALRASELRDTIVTLERRQEDLSAQTKGLRSQCSHMISSSARLKEIQIKADVIE SSYREQIRGMTAGHREKVEQLHRELQERDQKIRDLCRNVGHVGSGGN XP_845118.1 MAPKRGRKKGNKEVSAEILRMQQLRAQEAEGEEIQKRENERRDR EEQEERITLWKEEQVRILREKEGKVKELMAKVEQLTATLREERMASEVQVEQLVLMRD TLLNEVGLLRLEVEEKQKLLVQEKHTAELQLNNLRAETEKTISSIECDNENLRVELRV AKEDHSEYRIKMEARMDEKEGEIRSHALTIAGLQRELEKAISMNRSMQEVVETREADD RKNVALMQMLNAQIEENKRRYEEQLEEEQRQASIEREKYLQLEAKCSRFEDEVEALKK ENTDIKMNSDVVLRDYKQQLEQVKHDSQYLSGELQTIHEKRAVENEEMQNEREKLEKE LEATFVELESNQRRMEELEHLLRRKERENFDKITFLNAQIANNRTATSQLQQKLLSER RAYEIEISRTTEEAKEKERELDTARQLVAQSKDGAKEREAKLLSDIAVLKSQHLQLQA TLQGSQNTLDTAVAAKDGEIARLRRLLDAHFIPHRNTIEGEVETHEGTIAILSEKISE LTREMEVREQLALETETQLKARIFNQEEVIDALREDLRVCESRRREELRSSEDEVSRL KKTLEVHFIPYEM XP_845119.1 MVLMSKRDATWSFGKSCFSWPSSIAGISTTERVSTVNSKIEAIV DGIEGYCSVGAFEADVRRLCTDINRLVDYIEVTGVHCSSFTYISLLETLARLRLVVTQ VRKQDVGDMFQCVFGARRPHGIQTPSLPTELSAQRVFG XP_845120.1 MGLFSWFWDMLSFLGFTNKTGKILFLGLDNAGKTTLLGKLATDQ VHVHRPTFHPNVEELTLGGIKLKTIDMGGHLEARRLWKDYFTKVDGVVFIVDAANPER FQEAKQELDMLLQTEELAKTPFIILGNKIDLPRAVSEDHLITAMGLTGLSTGKQNKVT DPAVRPLEVFMCSVVKKVGYGDAFRWISQYLQNS XP_845121.1 MLRLNKFFSHANVTAFNPWKNRPSGLALNGRPQMVNPRYFRGKY RKENPDDPTRLLKAKHVLLKRCHLCNRTLFVPRSQRDHFHTCCEGVRMKEFANMESVM NSEHKLLTLSRQWDSENERPWCSPHRPRPKGRSKFKK XP_845122.1 MESPAKGDSRQGLKGTQKHLEFQLQREKAYTRELEEKVKLQQAQ IATLEQDKAELRREKLMHTDDDKKRGKLEERCHTLQKDLSEKQSALVAEQKRAARAEC EKEQIRRDAHASIAKWCDAEKQWITENETIQRQLEESRTAYSKLADEFKELNVTLKEV QKQLQREKDHTTKLESLSHEGEKKITLLHSRIEALQNDVHTRDETVFKLEEAKSKWEE VCHVKDNEIKSLEVQLGMQKSTIEKLQNTVSTLNQQIEAAKLINIEEKGRVEKANCDL RESEKGNKLLMMELETMRRDFEHASENSSKHQEVIVELRSKISALNDQVIEKCAESRK YELSVSQLKADVNRLEQERTDSSRRAAILESNLQELRSALERTRMDLESVVSAKSFLE TEFQRVKSMQDTKDENLVLMRVDYEEKIDSLRREAKDLTSEIDARRGVIDKLTGQLGE ANARNEDLYRKVMQSSEFSTQLRSATEEMQLNNFMLQVKVLELEEQAARWSLSKESAD ENLDIHGILVVNIDTAVTHFYEETNLLKKKVEELTHQKDTEILRLSEARLRLEAERST LIRGKEELVEELRTKEAEILDLQEGARRDKEQLFLLGKEIERENINNEDRTANQKVLS DKLIETENLLREATLYSEDRMRLSQWMANWLEHFSRFFVDIVDQYSALTVEKTLAVAE EYRVLYTNKNDELLRRLSHLEKVRRNAEDMCSHLELKLREIESRVEGSEKLLVEQREG LTRKINVAENEKEKAEKNSENLMEKISDLSRQHAADIQKKDDQVRELSRLLDTEKRNV DELEQRTQKLRSKLDYEISRKGEYKKALEAVKAKREETECFRAKEKDWAMKAIHRANE EVNYWIKSFEQLKGMLEDMSRKSGAQITAVDKAKIQQMEVALCRVSVREPNLISNLCD EGNCGAKRQRSN XP_845123.1 MMDCRDASACQGVGSLCFFPYLNPLVSWHALVLGHLLYLFVVFV MRSIMRGRRALNMSRVLVVYNVLQICLSAAMAINLSPPLKNGVFNLSGKFCPDIEFWM FVHYCSKYIDMLDTVFILCKKKEDQLSFLHVYHHCTIGLIWGILLRNGLANGTAFFGT WINSSVHFLMYSHYLWTSLGYRNPFKFLLTKIQMLQFSLCILHAILVTLLDTQFTLGW NLLQLLYNASLLVLFLNFYMNSRGKGCAIEKKPQ XP_845124.1 MISIHQSILFGRDVSRVRMENSPVPCINQFRCRLTMSSLSLLLV FLVVVMPPVEALDNITVKVYSLLYHPFVGRRLIDSMNAGFNASMAARQWTVAPGINVE VIHPASYRIPGPRFLQRAINDNKDEFFVVVGPMGDGQLAASRSFLQKENLVAFAPSTG ASSVRGWSPNIYFLRVSPTVELIALMRFAVTHLRLLRIGFMYLQGLTFGDSEYEVAIK LMSHLGRELCGVFTVASSHGKGAADSDFDAVWDKFVVTRPQGVIMFAPPAKDVVRFVV KMLNDSRTQDAYFLASSVLELTIASWSGNIEAANTALDLGQIVLSRTNPLATDTQYQA IRRFQDDARSYLSANPGVTLFSGADDFEHHYVDGKLMVYGWIVGEVLSQALRSRAWIK DRETFKKSLYSQRRYVVDELVFGDFGGECEGTAGKRGAVCNCNQGGNVVYINVARRDN VLEVIHDGQVVVDSSLCYHDEVRLHSPVNGLLVFMQDDPVAQNAAEEIYDGAIPLTGD GRLGRTDRFFLHMLTSETAEASSELESELGTRAVTAVFGVADDAMLSLQTTAFIDPIS LLPGLPHRGRKVIYLSPTLEQQLFALVKYFVGSGSTVVHAVVCRGDVVSIERLLYLML MTFGGHMGTVVGPDSSTDLEGSMPETGDVLVIGLSKDDVAVVASHLDRNPDVRVAVLF FDVALLYIEFVKAFKSSSSGGRLLFATSLPHWAEANSTSATVQKFHAAVPDSPRWTPL SLLGFATGRFIQTLLFHMDKVTPDSIINAIYTLSVVNSDDMRYGPFVEERCPSTKDAP EGGDHFCGKNYGARRLSVWSMDRALNASVAPLTSGATPSLVYIDPYSKVLSGGRLAGV IVGVLFILLLLVALLLVLLCLRRSARDNDSAPKEPADPVTLIFTDVESSTAQWAAHPE LMPDAVAAHHRLIRSLITHYRCYEVKTVGDSFMIACKSAFAASSLAQGLQQRFLSADW GTSAFDESYREFEQQRADDDNEYKPPSARLDPEVYRSLWKGLRVRIGIHTGLCEIRQD EVTKGYDYYGKVTDMAARTESVANGGQVVLTQATYFALSTAEREQFDVVSLGRIPLAG APQPMEVYQLNAVPGRTFAALRLDREFGDDFEDRMSTSTGDSSSLRSGMNGTTQMIAS CLQAVLGTFTAAQRQKLLVPLCERWRVTLPRTAQLTWDEGFCEDVIRRISVKVGRVVD LCANSGGERTVSTLRSASVIIMSNRLREFEAEATQSPEA XP_845125.1 MISIHQSILFGRDVSRVRMENSPVPCINQFRCRLTMSSLSLLLV FLVVVMPPVEALDNITVKVYSLLYHPFVGRRLIDSMNAGFNASMAARQWTVAPGINVE VIHPASYRIPGPRFLQRAINDNKDEFFVVVGPMGDGQLAASRSFLQKENLVAFAPSTG ASSVRGWSPNIYFLRVSPTVELIALMRFAVTHLRLLRIGFMYLQGLTFGDSEYEVAIK LMSHLGRELCGVFTVASSHGKGAADSDFDAVWDKFVVTRPQGVIMFAPPAKDVVRFVV KMLNDSRTQDAYFLASSVLELTIASWSSDIEAVNTALDLGQIVLSRTNPLATDTQYQA IRRFQDDARSYLSANPGVTIFSGADDFEHHYVDGKLMVYGWIVGEVLSQALRSRAWIK DRETFKKSLYSQRRYAVDELVFGDFGGECEGTAGERGAVCNCNQGGNVVYINVAGRDN VLEVIHDGQVVVDSSLCYHDEVRLHSPVNGLLVFMQDDPVAQNAAEEIYDGAIPLTGD GRLGQTDRFFLHMLTSETAEASSELESELGTRAVTAVFGVADDAMLSLQTTAFIDPIS LLPGLPHRGRKVIYLSPTLEQQLFALVKYFVGSGSTVVHAVVCRGDVVSIERLLYLML MTFGGHMGTVVGPDSSTDLEGSMPETGDVLVIGLSKDDVAVVASHLDRNPDVRVAVLF FDVALLYIEFVKAFKSSSSGGRLLFATSLPHWAEANSTSATVQKFHAAVPDSPRWTPL SLLGFATGRFIQTLLFHMDKVTPDSIINAIYTLSVVNSDDMRYGPFVEERCPSTKDAP EGGDHFCGKNYGARRLSVWSMDRALNASVAPLTSGATPSLVYIDPYSKVLSGGRLAGV IVGVLFILLLLVALLLVLLCLRRSARDNDSAPKEPADPVTLIFTDVESSTAQWAAHPE LMPDAVAAHHRLIRSLITHYRCYEVKTVGDSFMIACKSAFAASSLAQGLQQRFLSADW GTSAFDESYREFEQQRADDDNEYKPPSARLDPEVYRSLWKGLRVRIGIHTGLCEIRQD EVTKGYDYYGKVTDMAARTESVANGGQVVLTQATYFALSTAEREQFDVVSLGRIPLAG APQPMEVYQLNAVPGRTFAALRLDREFGDDFEDRMSTSTGDSSSLRSGMNGTTQMIAS CLQAVLGTFTAAQRQKLLVPLCERWRVTLPRTAQLTWDEGFCEDVIRRISVKVGRVVD LCANSGGERTVSTLRSASVIIMSNRLREFEAEATQSPEA XP_845126.1 METAVPVRYFLGTLIEAPARDTLIFAPRSLVCVGADGCITAVLQ PNDIKNESGVSGGGGSGCGGKSDNETYEQTLKEARRSGALTVLKDHQYLLPGLIDLHV HAPQWPQLGKALDRPLEIWLHEYTFPLEAKYADLDFAATSYNSLVSTLLAHGTTTAVY FATIHVDASLLLARVCLEKGQRAVVGRVAMDLASQCPAYYRDASPQESIERSEIFIQA VRKLPGNDNSSPLVLPAVVPRFIPTSSDEALQGLGRLVAKYGCHVQSHVSESDWEHHH VLERCGKPDAFALDDAGLLTRRTVLAHGNFLSDADMKLLCSRGSAVAHCPLSNFYFSG AVFPLRRALDFGLRVGLGTDISGGPSPAIWDAARDALMAARALESGVDPTTSKEERGG RRHANPEQSKAEDSNGKKGENPRVGGSAAESRDSTSCRINSVEAFWLATTRGGEALDL KVGRLAAGFHFDAVVVDVSVPTGGVHIFQGLDGPRDIFDKIVYGASAANVVQTYVAGR LVHGRVEL XP_845127.1 MCFIFGVEMSNLAKRPMSLRKLPQLLLLIMIGIAFVAVECIGAP VKLPRRVDTVAGQFGVEGETNGYPNTTRLTEPYALCRGRTNDEILVGSSNSFRNYSRK TKETGTYLRYNVGDSVISGSSTINKPRSCVRRGSGNHTIIYFVDDQKDIKYIVGDDVS SFSVPTSGSLNAVAVHEGTLYVTDQNNKSVWKCGLGGAGKPQSCEEKKFTSVTLDAKP EGIAVTSKGIFVTARDSSNKGALLWLDMSGGNRKGNVSGGFVDVFSTESGVLYAATEK ELYTVTATDTSLSVTSFAGKNTSQCYFPTNGEDIVLCDNSRLLVIEEYEMYVTSKAKH TMRALTLPPVNLTAIFRGRPAPVGYPNTTIMEQFVASLTEDVNKALGTNDSYVDPDSV RVDPDTWETNFTVFVQQTRFDNTTEEKLRSLTYTQTDKTVDEYYGLTDEYVYIDTVLV PFCDDASLVTIQRALAREAGRALNFSLVYADKPITFGSDVAENVTAVKLLMPHSFKNA TTPKQLSAANLTDFAHNLVKDLRASDTRVDITFPDPPFNFSAVVPEREQEVRWFVHGK VMKQLEICERLGSQGDAAVIAAAADATARGKANVTLNTSGVKANDTGVGPNTTNTAGG ANTTANVAANGTANVIVNPSTNATPTGTTNASVTNTTERAVPVVAPTQPSNGYAECRS AITNRTETQNMEPPYDRKHRYEVFLPKKYDFNVSWCVDIIDWRDLDEMLNNRTDEVVE KSLSWCGHGCIIAFAVVGSLIAACLVVLAVVLTSKRRRLAAVVAPPRPKFVSTVEDDD EDRVSNIGVPLTDGKGTTAP XP_845128.1 MCFIFGVEMSNLAKRPMSLRKLPQLLLLIMIGIAFVAVECIGAP VKLPRRVDTVAGQFGFDGTTDGSSNVSMLSSPYALCRGRTNDEILVGSSNSFRNYSRK TKETGTFLRGGPTGGLVSADAKISKPRSCVRRGSGNHTIIYFVDDQNGLKYINDNEIQ HVTVGNGLSLTSVAIYEKDLYVTDQNNKSVWRCNVGGAGKPQNCEEKKFTGLTFTAKP EGIAVTSKGIFVAARDSSNKGALLWLDMNGGGSKGNVSGGFVDVFSTESGMLYAATEK ELYTVTATGSAFSVTSFAGKNTSSCYSHANGEDIVLCDNSRLLVIEEYEMYVTSKEKH TMRALTLPPVNLTAIFRGRPAPVGYPNTTIMEQFVASLTEDVNKALGTNDSYVDPDSV RVDPDTWETNFTVFVQQTRFDNTTEEKLRSLTYTQTDKTVDEYYGLTDEYVYIDTVLV PFCDDASLVTIQRALAREAGRALNFSLIYADKPITFGSDVAENVTAVKLLMPHSFKNA TTPKQLSAANLTDFAHNLVKDLRASDTRVDITFPDPPFNFSAVVPEREQEVRWFVHGK VMKQLEICERLGSQGDAAVIAAAAAATARGKANVTLNTSGVKANDTGVGPNTTNTAGG ANTTANVVANGTANVIVNPSTNATPTGTTNASVTNTTERAVPVVAPTQPSNGYAECRS AITNRTETQNMEPPYDRKHRYEVFLPKKYDFNVSWCVDIIDWRDLDEMLNNRTDEVVE KSLSWCGHGCIIAFAVVGSLIAACLVVLAVVLTSKRRRLAAVVAPPRPKFVSTVEDDE EDRVSNIGVPLTDGKGTTAP XP_845129.1 MRHLGFAGDKKRSMSIVGLFLFLLACVSVVATHANGSVLRSTGS EGKVWLDAPTSRRVPFRNPRVIFVVVQTRPSPGWCRMLMTAVVTNVSVISIGMGGNYS HTIRANWLLNFLDDEVLHDDDVLVMFDGADTLFTDGIHRKRKLDHFIKMSPPLPTFFN QTAIYRGDAWPPMLHTAEPDCYAPQLNITYNPKNESHWDRCARFYAMGLSEAKSIGVE RLLGLPPPVRGHLNSGGIVGRVWAYKEAFSVFLQLREASTEWWCDQSIWAALFIWSVG SATGVDSKYIIRRGIISLDYDKRYFYYPTDEYDTSSVLLHFTGAPDRWTYYFPEYFVR LPWYRNLMGNSTYRHNVVAALRNTSIITYNYTRERIVRKYEDICDVEQMTDPEFLVYP LNK XP_845130.1 MHRLATVPFLTLILLVVCGGSKASVQISSASPGCDGYWTYTGVK KTCWTQNMGTTKRSGVSPNPPSVSRHRSQQPSSGAGVGDQQQETQGSVSSSSTTSRGT NQLGSDGEDTFPKEDVKQVYGSAGTLSMNPIAQPSGSPREPTDATPVVDRKEEGQVDQ RGLESGSAKQQNTTNGNGEEENGGKGRGSGDHATGHDRGGMAQGVVSMSGQGSAQRVE TVAEQPVKNKEDDIPGENVKGPGSSHVVSTPERRNTLETVTDNGDQQKSGESNAQGEN SGSCKGRAVILPVILILMYS XP_845131.1 MKITIVELVILLFFAVNIDGEGGACMLLADVENDVSLDESVCYL SCLSSALSKLYTDGERKMFVNEEVYANASRILDDMEWKSGESATYLSVISGVMEGKHD KLEKLISYGSAMGDLVAKVGGLFVGVNESVRAVRNAVPDALITANKYYTAAAEVERTV WDDVKGMEAPRMSAECSDPVFTNVAGYKVICPDYVCPFNHGVTAAAFRKYKNECLEIT VYYPNKCRAQGLPRGKLYGNGIVKNLTTLLEWRDDHSYFQLTLKIRQMLDPLIVPFAS GFPPSVLVGTLSNITSLYSHFNEVYSNFTSLLLGTNTPENVNRTNFPI XP_845132.1 MKYCEVQPQTGSRGIRRQRELEENASIPTKGSRATETRGHPLND PVGTSERLQCRQCSFVSQSKGGTTPNTKIQHRRGHPLDSNAGTKRSRSITEQEVSLIW NTVTIGVNDTCCTQCRHTHVSKDSLMEHFGGMHRQHPFSVAKESPPPLRSFNVKGFHL HFTFVNRNKTSRSTSGSSIHRHQKHEICVERKHTHSNECEGNPTHILVRSSLKCSAVT SGLKAKICRR XP_845133.1 MRLQLQQLINYLMLAVAALYLQSSLACANKPAKGTEANTNIKNP CQEKKFNDKLIQIYEASLAGARRTLAELASDSRKIKLALCKEQDANRASALTLLETLT DYSLRKANMELTTKSAAVTAAVTVLNKRNAHLLTLMGIKPGEVTYPDAAETADATTEM SASSRSCTVKPKFAPTTGEACPVENGNYDSQETAAAKLVTLRSYTPIADAEFAAHQLT VKIGGKGDVNSGNAPLTTKDGCSSSAGHNKGNIDTGMIILGISPTKSTYTTQTTYLVG GDKETEECAAEEEPETKYFVTAKHLAYTLCRIRNNLPKMPERPLTKKIKALRAEADVQ QLAYLALNGKPTKETTTKQKEEEAEKLLGTEDKTAEAKFVEPYNSQKFDFESTATDGG KSLTDLVDGDDYGLGLAICHYEATKKAIATTKTTPKGDGKTDSADKTEEKKDGDNKTT AAECKATEEGKCDKTKCTWNAEKKQFKVKEGAAVISAVIKAPLLLAFLLI XP_845134.1 MSKNPPQLKSMLFICTFLTSSGVRAKTEPASTKITSRCLEAQYT THLATDLEGRTTGLNQALTTLKQHLNAWKLLAQAAEAADIRGGAYLLASSAEEEVRRK EQEIQTYTDTIQTAVTRLRRRALYTAALDSIDYKKAAAAPTKGTGAASEFTCAQVFPA TAASTKPDCKLDDLTTIFNGDKTLNLEGVHTIKLLTEESLAPPQPKVVSTAKGNQADY NLAPLTPGKCATSASGGADVYVKLDISADPSAKATAEPVQLHKPPGPGPNCIPEPDTK EQIITNNDYVIAAVCRALHASKPAISKPEDYGGDTIATNRANQQLFADYIAETTGEQM TKEQIEAKLKDMYSTKGNNFENNFIKTLNSVTATYTTEDKTKTGKLLEIAKDGNTVKA FAYLDARRTDTKKKADDGREKDGAKKTDTKNKTEEKKDGDNKTTTADCTGTEEGKCDK TKCDWNAEKKQCKVKEGAAVISAVIKAPLLLAVLLP XP_845135.1 MHILKFATLIAAAVIATAGADKQSKNRADCTDPCTCMARIDVAL NRLEGAMPQTLQKIASNAAYMSELQMAAGSTDAELAKLVQPLLAVAIDVQQDATTDLP TASKTISETLNALTQRKALFEAIKKLSGTYDGSIITKTSGHFGTAQYTAAPIVAVQRE PCPKLSGTEEYTYDELNFDHGQGIGLPQLAIELDQACYKNTEGTPCDANDGSDKLKTK IKFGTKHGPAGTSPLANGRFATTVQGTINLSNETAADNKKKLSKAAAADAKLTNIPDL SKRETYTSHPAFSLLVNRLLMSTAPTVEITGQVATATEAFINKNYGSDQEQFKAKIWD KIKTATTVYYENKAKKTKKVSALSGDQKVTALPAAFFKEQKETTTTKKETAEDAPKKT DTEDKKGEKKDGDKTSGESFSSYQTKDACEAVNKDGKKHCGWKRKVIATPKRIKKPAQ TVVFSSIKKLALCVAAAFVSILVF XP_845136.1 MRLAATLLILCLASAPPGKTTNNQPLKTTPWKNLCDVYAAFKDR MAGTKLAQQKHRQLSLDMGLQQTRTQILQQSTSDAQTRRRFAVLASYLSFEAAAARKH TEGKTEPAFWNNLENAAKLLGQIKEFLTIAAGPRQANTKGCITTNDGSEATGLKQGLT ELAQAHGGCKAQIGDDPTGVAANDIIDDNGLKKYETAAPAQTTTGETGCKLLTLGNNN GYVDGTSLGTGVEVAGGLLKITPTVTNERKLNDLATNPGAGSKAAIAEAWKGFSSPTT PVAGYTNKGISALKTEQHFKLAFRIVYGVTPETTEKQLQDQIDTVFGKDPANFTNNNW KLVEEYTLPKDTAGKPAGTQLKEIKEPAVLKQILSETLHDREVREQKQQAELLEAKRQ LQAQNKSETAKEAAEAKCNKIDKDTECKTPCKWNAEAQDEAKKCTLSEKGQKTAEKAN QEGKGAKTTNTTGNNSFVIHKAPLLPAFFLR XP_845137.1 MLLIATALLVTANVAMGEPGTAANAVKDECTERKYLANLLTHFS GKLNNLFGTVQALSKQQKQLRLAAEQHAMTKVGMAYTLLEAISSQRLDKAISKQQAAT TTVTQLSEQVGSRIGLLLLSRVKAGAATVKYTTPAKEDTTTPAKNGDFSTRCGVHPIV TPPANTKCNTEPADSELNKAGEQIASETKLKFLSATTIKAIPLFASLQAKSTPSTTAP LTANDGCGNNAGGGTNGIAIQGLATVDKTIAHDTIPIETENACKTPKPNSNDDPTETA NLVYKVCLAQKADLAMQPALSSETLDELAADTTAQEAALILTGQSTKEQDANKRKAAV ANVLGKGKDTVAKKFLEKLTETNVALKLQDDAQPVSIETASGNNFHKALALFTAQAHQ RSVPPEKPTNAEGSPKQADSGSKTENKKDGSNTAKPVCCTFQNQTACNNGQNRKWENN TCKDSSFLVKNKFSMMVSAFVSLLEFHLSKDYCSN XP_845138.1 MHHWIALILLLCGTANRTKVKAANDKALTKNGLEQVCDIAAEVR AKEQVHADGLTAAADKLSANQAVLAKVRILIAAASTAQEALQLQAISAALHRTAAEEA AKFTKATAMLAIEAIRRSNYLLRGLYEYLNIAGQATNANTNGCFADDENAGTLYTSDQ LAAQVANCKATDLGHTTGWQDKSAHRPGGYKRKTDKNNLWSTNAKGCKIHGGGNNNGP VESATYGANKHVAMGLISLSSTDAQLTDLSSLAGPEGDSKKRHAEKSFNKLSQPALVI NTIELPDKNALKNLANFDATLAAAVGASKPFSGQEKEQAMEKLYGSKDPDPTTMFWNK LKTMTLAEDSHGVTKGTKLAEISNLPLLTELETNYTINKAIQRNIPTAADACNCSSAQ PTPEEKLCNEATGDEGKCETLKEKGCIFDRNTKKCELKKDVKAQLEKANQETEGNDDK NTNTTESNSFVIHKVPLLPAFLTSNEKYLEFFSIL XP_845139.1 MAMPGYAATASVILMMVPQSSDADTATAAHASVYKALCSVLQLQ AGKIKAPDQEQEWQNAMETINDVNMTLGGPAWVNQFLKPDGTQKQWDPQTSPAKENSH WASSWAEWAASAERVFKKHGADSRPSNFSKLSITDQQLKLARTRMSLILEQASTLQTK IKSLQAAIDNAEPAKIKKLLTEAAIGTGEAAKEFTDAGKTSAARASAAFCGAGTVTGT DDCLADILICVCTQGNSDIPTGANKICSDHASNHAPKAHNLAAENDIKNQYDALVKDC NFNTEEEITPDKMLTALRSLESHIKIFKTDAYFGTTDGAATCDGTRTNGVCFKMPKYK TGKKGMQELAWATKIETAAAAMRAGATAASTSNALAASLTLLSKAAEGLASESKLFAA ATTGQSSGNQPATDHLRATKEAERVCKTANDNQKECENLKDKGCVFNPKGGEGKKCTL SEEAKKKVEKENQEKWWNDGKRESNRYTRHTKKKDCEKENEGHKPGEKANCGRIEDKC NDSSFFVNKKMALSMAAAVMSLVAF XP_845140.1 MKQNSAAKLAFFELMVVVSNVQGNVGSADNSYVYKKLCTFVGLA RNPTAPEAQLPVTPQELTDLETINATLSDPTWLDLFFKDGNDKDDIAEIPTQLKSNPG WSDNWGRWLQAAKLAKADTDKSTMKRFNLQTAAPANAKYIKTFVERALVIVNAKAAAL ADDITNTKQVTKEDIKKELVAAITGAADGVLTLPIKDNLFATAAAANMQTTCEAKDNT NGAATIGAVFACLCIKGNGQTGDQICDHSGAAIQWEAATGGPQMTDVKALIDGCPAMQ DTTVTPELLVNTLRDIYSTISINSGNGYLRTFKTGNCQGHNANGFCVKISGLTSDDDT KFKQLPWVSKIINLSNDIGKVNKHNRAVHLLQAEARQQIAALKVLGRQPALTEFPPAP PTLDRRTQQAEEGKCKPQNTTPTECPTEHCEYDEKATDGNSCKPKPVKENTAETTGNQ AGEAAATNRCTAHKDKLACENDKTGDKQNCAWRKGNGGEPEPEKEMCHDSSFLPDKKI AFSVVSAAFVGLVAFENYKDFCLIL XP_845141.1 MSVATTALMILMTKWAANTASATGEPLLGKTWKPPCAIAKELRK VPVMAYTHESNLQDAITAAERTAAKLNLYAATTQSDVETTALLSAAAAAIYEEADASE RQRSNFIKTALEATATTQELTGGIEASLQVFKSAKHSGGYCLADTDTDEDKTADIATA GCEQRPKTMKKTPSETLDADIISATGFKTIDEITGTNGMATSVSTKCGLVNFAGAGTG AFVTGATAVNFGYGLFKIATTNRVTRTSQQNLKKQTNRDADDLLTLAFNDADTIRAAI TQQLAHEPEAIIKAAVTTGKLKGHLTKLLHKLYGKQAGKQAEDKAEVLIKENFGEAGE KVKSFLNKLDEADAINVDPSATTNTKIKEINDAGILQVTLAFYKILEVKHKQQMADQV AKLQAQATEKQQDVKSAEQTCNDLKEKSDCDTNNRCTYDKTKEDGKKCTLSDEEKEAA QKEGGEKDSKTGNTNTTGSNSFVINKAPLLLAFLLF XP_845142.1 MFSIVVFLITARLQVYGVISGGENQEDFEVLCELTALAKSKVNL VPAVDDTQDIAATIGVINISLANADFISKIDLKKDYSDSNASMKEAADGKPSKYAFLK NVLEVSHGQDKKSYAEVRRRQLTTAAKRKLIQAAEVAFQISETAKNEAQQIKAEAVTA ALTKALYGETGNSKNARLPDTNRASGCGNSNKKGGSHAGNSIALDILCLCGKHSGEAR GNTACGKQLTRGELDNDWTPNADPTTLFNAIIEKCNTKKPSLGLSPAAINAALSRFAA RMAKSKGTNRDFHNTLGYLEGTGAGGCSGDATSTHGPCVAYQTAQLQNGLQGIPWVKE LTSAGSTLQEAEKHQHTLKLLNQRIHMLNLTVTHLLEDTVPAMTTLLNSKNDGDAQSN RQNKADEECNKAGDDKTECGKKTGCTFDENKPAGQKCTLSEEGKAAEDREAAENQEGK KEKTTNTTWSNSFVIHKDPILLAFLTLGANI XP_845143.1 MQRSQEQKRTVNGCIIAVAFIVLSITKLGKATNIEGGQNRPVFD GLCKLTKLARIDLTIPEEPNLKNQDFKDIIKLNMSLAPKAWKQVFWKTGEKNAWQDEL PPKDQHGDDWEEYWLDWKEAIRSLYKADRTKAEDKITFESLPEDVKDTIRPRMINLAS AAAALAKSPAAPAQLPSLKGKTLKQKLTEAVTGDGSKDVDTADYQSIYGASSGSDRQT ACTTGSSGTRTNSLMGALSCVCAPETDSQADYVCTPAVAKADRWATGSPDTPSNTGKI TKLCGKIPPRQLKENELRQAIEEIVALITTNSGTGYLGATKTGCTGAQGSGRCVKFTG YADNNGSIPPHTPWLTIPHSIATDLADRESRIVQAESTKAALKALAEQARKLSREGEI AKIIKGHLQTAHGQAAPGKTVNMEISDGECNKLTSNNTCKSPCKWNENTTDINKKCSL DPVKAIEQQAAQTAGTEEGAAGATTDKCGLAKTPEDFVLAKGDIPKDKKAF XP_845144.1 MFPFVFPFPFSFRWGYTILVGTRFTSSFSNYEGNCVLFATLPLV ISPTGFTYRSRVYTTCGGNITLGKTNYEKNGNTF XP_845145.1 MFQLVSAAEVNKAYVSYPGGVSMCLLFPFCHCVWAHAMTLIEIS SHYHRWVARGESEDWDYSNSFVVVCAVLLENIAANEREGKCHLAFHAATSMHKNYMLV ALQGKVVKAKVSFRFKEVQRLPDTTVRNYCYCVLQALGQ XP_845146.1 MDNETQLLGMKFVDGTRGDDVMWKDEEVNVVPEIEEALEQKPER TKGVELLVLTSEMGWPYTDFAQGSDSDIFIRREELRVWNVVRNGIELWRTQRVIPGCP YLPRSYVAIGNPEIGKSQNSGSFILYKLLHYDAEDLPIAAYFCGEVAYIFEKLGEGGV GIIRQYGKGAAMDLLEVISSNTRGYIIYDFVNKGEQPPAEVVPKWGSILISSPNLRNF DSWQEQRKGAFIVFNCWAMSEMRAFFSRMGPKLFPQATPVELREKWNMYENRAERVGP SLRYVFEEVMYGQQLIAVNGELGSIVSGNKYGIYTKVLGNCGEWRDNDASHKLVKIVR IKGQERSSLDTYVCVARSESIKKRILNVVFSGIAEEWALTNGMTRNASAIGLYFEENA IKYLCSPRVLTCFVSLITKLPANERARRTRTRKSILQHVRDGLLKVRRPNTVRGDINW AESEGTPVELYVPCVPNYPVADAFFIVDDKEAAQASGTTGKKTIVLLQFTVASSHHTT TDKFIHLLQSLLPTAEGGGHQNEATVEQLKEITEMFHWEIISVQHFESTAMRSEQKCE ITQGKAKHRSHQFVEGFWKNNVQQYHVQYEDNIVIKMLAVAAAGRT XP_845147.1 MNQNGGSVGDTWNVLNGWLNGAYRPMKRQADRENENPSETGEGK SLEEKLYDSIYNAKWSYVMSGYDTEPLGMKVFDGRPQQMWTKEEVDVSQTPETVNEPL PRHGNLEIAVLTSQMGWPYTSFQPQEKDYDINHKKGVEYVFNDDVYIRRETLRVWHKV EERLNKWLMGEVIVNPMAHVLIGTPGIGKSFSTAPFLLYKLLHYEASQLQIIIYVVRG KAYVFHKPIGGRAGYVTFYSNYEHASTLIDQIVRDSCKTGKIKGYLIFDVDKDHPAPV KPPGDFSGIALSSPDKRQFHEWSKQNTATHIYINCDTLKDLEAIHISRWGKIAPAYKW SPPVAKEKIEREWQEIQARIRIVGPLLRHIVDSRSYEKRAQAMQNTILKLERGDTEYF RSVIRNRAFWETHEASHKLVMVVRVKEDLLLCDMHRCKPLSSYTGEAISDRLKPFIQE KSALVSELLSNRTLAAYRFKQSGIEVLSHEDALIELAKELRGLPYTGDQIPQSVLQVL QGPRLTNPLIEVPHDSTIRAGTEIEYMILYKPQSGNFPVVDAFFFVENPKTFVGLQFT ISDKHSCSTSGLFKMKRCLQSYFKHWDNFSNDMVWEIIYVQRVDSEKITKPQCCEGTI EDEGQNNKVEERFWRERVHQFSATLNERIIALYVELQVRGNNNNGVNN XP_845148.1 MSWQEGGGRGCVYPHGNCRRNLTARSPARRYSKYKHSPVITAIS LLHLLPLLLMWMPPVCAEASNVTVKVLSMMYNPEYSDDEINDLNAGFEASLSAHSWKT GSNATISVIRPPSPNATVEEIFQHGMNQSEGKLLVVFGPLGTNHVAWVSDKLKEHDLV ALAPVAYSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFTLQILEMMGRELCGMFVVKESENQNISEDDLNVRWRQFVATRPQAILLFSSLAD GSITWFIKKVAQDNRTANAYLLSTSLQQGPLIKMWREALALANRTFTPGQLITTGTVP LANDTRFSLIRHFEHDMDNYLSTNNDWKGFQKPYHYLEDDNAGEMMVYGWLAGEVLFQ ALNNAPQLTNRTSFRESLYKQRRYVIDDLVVGDFGGECDEAVALQGAMCKCNQGGSMV YMKSIMDGFRPAPLWEGHLTWGVSECSSANVHVGAPLSGLFLLLADNKIALRASRKWF LGAEVHGKEAENIDNRIFFHPLTVSSENVTQSLEQVQDNRDVSAVFGIMPGGLLDRKD LVFISPMTLRPRMKLFRRNVIHLLPVLAQQFYVLAVYLSNASSRGVNAFIRSEQAGEI SSLLYKSLVTFGVSLDSSKTLGDGDPMASYLSANKDVFTIGLTLTDVAAVARHLQAHR RARVFIPFNDLAMYYDEFVAAFNASKESVASSERLLFATSFPHWAEKDTKSDVVASFH RIVNESHWDPLTFLGFVTTRLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFS DVECVSGTSVSANECASNFGATNISVWSMARVLNSRVPILQRGMTPSMGYIISGGSRL TGSQIAGIGIGCIAGLIPFIALGVLLRITLRNARDNNLAPKEPTDPVTLIFTDIESST ALWAAHPELMPDAVAAHHRMVRSLIARYDCYEVKTVGDSFMIASKSPFAAVQLAQELQ LRFLHHDWGTNAVDDSYQQLEQQRAEEDEKYTPPTARLDLKVYSRLWNGLRVRVGIHT GLCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTGSTYLSLSAEEREQIDVTA LGAVTLRGVPKPVEMYQLNAVPGRNFAALRLDREIKDEDDVGTGSSASESSFNECGLT ATAQQVAGCIDALIGAFPVIQRQKMLATFCERWHVKKPSGVDAWNEASCRCVTRRIAA KVGCVMDFGTRNTSSSATSLERGGSLFSPGGATVATVTSSSNFSYVEGRPCTADLIDL ESVGSTC XP_845149.1 MSWQEGGGRGCVYPHGNCRRNLTARSPARRYSKYKHSPVITAIS LLHLLPLLLMWMPPVCAEASNVTVKVLSMMYSLGFTTPEVNAINAGFNASLSAHSWKT GSNATISVIRPPSPNATVEEIFQHGMNQSEGKLLVVFGPLGTNHVAWVSDKLKEHDLV ALAPVAYSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFTLQILEMMGRELCGMFVVKESENQNISEDDLNTRWRQFVATRPQAILLFSSLGN TAKWFIKKVAQDNRTANAYLLSTSLQQHFLIKMWREALALANRTFTPGQLITTGTVPL ANDTRFSLIRHFEHDMDNYLSTNTDWNFFKNPDHYLEDDNAGEMMVYGWLAGEVLFQA LNNAPQLTNRTSFRESLYKQRRYVIDDLVVGDFGGECDEAVALQGAMCKCNQGGSMVY MKSIVNGFRPAPLWEGHLTWGVSECSSANVHVGAPLSGLFLLLADNKIALRASRKWFL GAEVHGKEAENIDNRIFFHPLTVSSENVTQSLEQVQDNRDVSAVFGIMPGGLLDRKDL VFISPMTLRPRMKLFRRNVIHLLPVLAQQFYVLAVYLSNASSRGVNAFIRSEQAGEIS SLLYRSLVTFGVSLDSSKTLGDGDPMASYLSANKDVFTIGLTLTDVAAVARHLQAHRR ARVFIPFNDLAMYYDEFVAAFNASKESVASSERLLFATSFPHWAEKDTKSDVVASFHR IVNESHWDPLTFLGFVTTRLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFND VECVSGTSVSANECASNFGATNISVWSMARVLNSSVPILQRGMTPSMDYVIPQEGQLT QSQIAGIISGCVSALLLFIALGGLLRITLRNARDNNLAPKEPTDPVTLIFTDIESSTA LWAAHPELMPDAVAAHHRMVRSLIARYDCYEVKTVGDSFMIASKSPFAAVQLAQELQL RFLHHDWGTNAVDDSYQQLEQQRAEEGEKYTPPTARLDPEVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTRSTYLSLSAEEREQIDVTAL GAVTLRGVPKPVEMYQLNAVPGRNFAALRLDREIKDEDDVGTGSSASESSFNECGLTA TAQQVAGCIDALIGAFPVIQRQKMLATFCERWHVKKPSGVDAWNEASCRCATRRIAAK VGCVMDFGTRNTSSSATSLERGGSLFSPEGATVATVTSSSNFSYVEGRRCTADLIDLE SVGSTC XP_845150.1 MCVLQWRHMPGTKMMSLVLLMIVSAASLSGAADDIHVKVYYLLY GAAVPAHYNDAIGIGFNASMASKQWLRESNVSVEVVHPSSYDAEPVDGLQTAIEQNRD ELFVSVGPLNDADALSFMPLLKQENLVTLGPYTGSNSVRGWNPNLYFLFVSPVAELLT LLRFAVTQLRLRRIGFMYLQNVSFGDNEYLLAVELMSHMGRELCGVFTVKSSVTGEAD SSEFDTAWNRFAETHPQGVIIFSPLSEDTAKFIKNMIVDNRTRDAHFLSTLPHEAEIE SAWRWAADALNVTLKTDQVILTGVVPRPTSTEYAAVRRCRSDVESYLNDHTNSGQYSS LNITDLYGVDGEPVMYGWIVGEVLSQSLANREFLSSRKEYMESLYRQRRYVINDLVIG DFGGECGSAAADYGAACNCNQGGNVVYLNSLSSDYALVDIRNGLTVFNPSSCYYDGMR VESPVNGLIFLLWDNPAALRANGEIYGGVSVLTGDGTFGQSDRLFLQGRASASSAASS ALMHELDTKVVTALFGAVSEEMLATADVVFIDPITLNPELRHNGSNVIYLSPTLEQQL FVISGYLVRKASGRASVLFRGRNAQGVEDAIRRTFLATGTSLDSFATLDKVAALKEKL PKDGYAIVIGINVADVGELKDHLDANPDVYVFVPFFDMALMYSGIARTFNGSPSAYRL LFPTSLPHWADVNTTSETVRRFHAALRAPSVWTPLRLLGFATARFTRTVLQFTEKVTP KTLTETIFAQSVIAVDDMRYGPFASDTCAEPLGGDASDAESCIVNYGATRISLWSAAR ALDASVLPLTSPVTPSIKYLNPQEGQLTNAELAGLIAGALIALAVVAALVATMLYLLR LSRNNNRAPREPTDPVTLIFTDIESSTALWAAHPELMPDAVAAHHRMIRSLIARYDCY EVKTVGDSFMIASKSPFAAVQLAQELQLCFLHHDWGTNAIDDSYREFEEQCTEGECEY TPPTARLDPEVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTPNMAARTESVA NGGQVLMTRSTYLSLSAEEREQIDVTALGAVTLRGVPKPVEMYQLNAVPGRVFTALQL DREYFDKSDCSSATASDVSSVRGGMNGSSLMIASSLQALLGTFAAAQRRKLLVPLCER WHVPFPAGAKDKWDDKCCEEIICRIAVKVGRVVDLCASSGTERSVSTLRSASLIIIAN HFAEHEA XP_845151.1 MCWQEGGGRGCVYPHGNCRRNLTARSPARRYSKYKHSPVITAMS LLHLLPLLLMWMPPVCADDSAVTVKVLSMMYNPDYTDNEINALNAGFDASLSAHSWKT GSNATISVIRPPSPNATIEDIFQQGMNQSEDKLLVVFGSLGTDHVSWVRDKLNENDLV GLAPVAYSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFAVQILEMMGRELCGMFVVKESENQNISEDDLNVRWRQFVATRPQAILLFSSLVD GSITWFIKKVAQDNRTANAYLLSTSLQQGPLINMWREALALANRTFTPGQLITTGTVP LANDTRFSLIRHFQRDVNNYLKTKSDWKGFAKPDHYLEDDNAGEMMVYGWLAGEVLFQ ALNNAPQLTNRTSFRESLYKQRRYVIDDLVVGDFGGECDEAVALQGAMCECNQGGNLV YMKSIVNGFRPAPLREGFLTWGVSECSSANVQVSAPLSGLFLLLADNEVALRASRKWF LGAEAHSKKVDDIDNRIFFHPLTVSSENVTQSLEQVRDNRDVSAVFGIMPGGLLDRKD LMFISPMALGPRMNWFRRNVIHILPLLAQQLYVLAVYLSNTSSRGVNAFIRSAHAMKV EDALHKSLDTFGVPLDSSKTLGDGDPIASYLSGDGDVFTIGLTLTDVAVVARHLQTHR RARVFIPFNDLAMYYDEFVVAFNASKESIASSERLLFATSFPHWAEKDTKSDVVASFH RIVNESHWDPLTFLGFVTTRLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFS DVECVSGTSVSANECASNFGATNISVWSMARVLNSSVPILQRGMTPSMDYAILQEGQL TRSQIAGIIAGCVVGLILFIVLGVLLRITLRNARDNNLAPKEQTDPVTLIFTDIESST ALWAAHPELMPDAVATHHYLIRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQ LRFLHHDWGTNALDESYWEFEQQRAEEDEKYTPPTARLDPEVYSRLWNGLRVRVGIHT GLCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHEVYLSLSAEEREQIDVTA LGDVALRGVNDPVEMYQLNAVPGRNFAALRLDREFFDEDEDGTTTSTSDHSSSRAELS ESAQSILNSLQSVFRTFKAAQRGNLLASLCERWRVPLPREAAFEWDDAYCEEVVRRIA VKVGRVADRSAQSGSSEASVSTEEGSIIIVPFVGSHFREGHFGCPLPSLH XP_845152.1 MLGFYSVPEFVVYVTFIFFGMSVMNVTNAIYSIPFFFKEYYKFA QGDADVQPKDEGFWKHMFTYYNVVVYTMQVLLEAFMLTPLGRRFPIRWRLTFGLAVPI VEIIVILVIPVVRTSEDAAKAAMMMIAFIGGVSKTLCDSGNAALVGPFPTKFYGAVVW GLGISGLLTSFMSIIIKVSMDDSFSSLLTQSRIYFGLIMLLQVIACILLVLLRKNPYA MRYAAELRFDAKKSGTKDSNGLVDVADARGTGPADEECEREADERSDINVMNATTDPD TMRDTDQLENMTNAKQMLDASVMVVAKRIWPMLVSCFFVFFATLLVFPGVFFAVKTDV PSGWYFTIVAAMYNLGDFLSRLVLQFKRLHPSPRGVVIGTFSRLLVIPLLALCVYDVI SGPWVPYVLCLIWGLTNGYFGGMSMIYGPRTGSLTTAGQRSLAAICINLALLLGLFGG AMSAMAVIKALPH XP_845153.1 MIREHMVQYSAMVGKRKLFAEYNFIWVHIYHKRKICCHLVFHRK MRVGKLMKASSMEKTKTRKQLKNIISRMMYTADVRGNSLFQQHYLFLKVVRRRPLKLN T XP_845154.1 MKRMKYTFRCFGKWNAPREQNIAGGKYRGQLGRRCIDRQPRRRH FFGWAGYAQKLRKGVGQVKHTLSAIPCRN XP_845155.1 MWGKSLDCFLFQCRSRLFVVLFPPAFTSANASRLLIISVVPFAF CVAAWLAVPLIYFLKALSRQEKFPLGHECRIAHAVEEGRKNMRDGRTESHPVPLSLFF SPLYPTLLANAAIMWKHLVPSYDINHLPFGMHCHSSLFLHSSSCCCVVTHSSG XP_845156.1 MCWQEGGGRGCVYPHGNCRRNLTARSPARRYSKYKHSPVITAMS LLHLLPLLLMWMPPVCAEASNVTVKVLSMMYNPEYSDDEVNDLNAGFDASLSAHSWKT GSNATISVIRPPSPNATIEDIFQQGMNQSEDKLLVVFGPLGTNHVSWVSDKLKEHDLV ALAPVAYSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFTVQILEMMGRELCGMFVVKESENQNISEDDLNTRWRQFVATRPQAILLFSSLGN TAKWFIKKVAQDNRTANAYLLSTSLQQNFLIKMWREALALANRTFTPGQLITTGTVPL ANDTRFSLIQRFQHDMDNYLRTNNDWNFFKKPDHYLEDDNAGEMMVYGWLAGEVLFEA LNNAPQLTNRTSFRESLYKQRRYVIDDLVVGDFGGECNEAVALQGAMCECNQGGSMVY MKSIMDGFRPAPLWEGHLTWGVSECSSANVHVGAPLSGLFLLLADNKIALRASRKWFL GAEVHGKEAENIDNRIFFHPLTVSSENVTQSLEQVQDNRDVSAVFGIMPGGLLDRKDL VFISPMTLRPRMKLFRRNVIHLLPVLAQQLYVLAVYLSNTSSRGVNALIRSEQVVEIS SLLYKSLDTFGVPLDSSKTLGDGDPISSYLSGNRDVFTIGLTLTDVAAVARHLQTHRR ARVFIPFNDLAMYYDEFVAAFNASKESIASSERLLFATSFPHWAEKDTKSDVVASFHR IVNESHWDPLTFLGFVTTRLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFSD VECVSGTSVSANECASNFGATNISVWSMARVLNSSVPILQRGMTPSMGYIISGGSRLT GSQIAGIGIGCIAGLIPFIALGVLLRITLRNARDNNLAPKEPTDPVTLIFTDIESSTA LWAAHPELMPDAVAAHHYLIRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLQHDWGTNAVDDSYQQFEQQRAEEDEKYTPPTARLDLKVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHEVYMSLSAEEREQIDVTAL GDVPLRGVPDPVEMYQLNAVPGRNFAALRLEREIEDEEDVGTGSSASESSFNECGLTA TAQQVAGCIDALIGAFPVIQRQKMLATFCERWHVKKPPGVDAWNEASCRCVTRRIAAK VGCVMDFGTRSSSSSVTSLERGGSLFSPGGATVATVASSSNFSYVEGRRCTTDLIDLE SVGSTC XP_845157.1 MCWQEGGGRGCVYPHGNCRRNLTARSPARRYSKYKHSPVITAVS LLHLLPLLLMWMPPVCAENGNVTVKVLSMMHNPAYTEGEINALNAGFDASLSAHSWKT GSNATISVIRPSSPNATVDGMIQEGMDQSKDKLLVVFGPLGSDRVANVRNKLRENDLV ALAPVAHSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFTLQILEMMGRELCGMFVVKDSENQNISEDDLNTRWRQFVATRPQAILLFSSLVD GSITWFIKKVAQDNRTANAYLLSTSLQQGPLINMWREALALANRTFTPGQLITTGTVP LASDIRFSLIQHFQHDMDNYLDTKSDWKGFAKPDHYLEDDNAGEMMVYGWLAGEVLFQ ALNNAPQLTNRTSFMESLYEQRRYVIDDLVVGDFGGECNEAVALQGAMCNCNQGGSMV YMKSIMDGFRPAPLWEGHLTWGVSECSSANVHVGAPLSGLFLLLADNKIALRASRKWF LGAEVHGKEAENIDNRIFFHPLTVSSENVTQSLEQVQDNRDVSAVFGIMPGGLLDRKD LVFISPMTLRPRMKLFRRNVIHLLPVLAQQLYVLAVYLSNTSSRGVNALIRSEQAGEI SSLLHKSLDTFGVPLDSSKTLGDGDPIASYLSGDGDVFTIGLTLTDVAAVARHLQAHR RARVFIPFNDLAMYYDEFVAAFNASKESIASSERLLFATSFPHWAEKDTKSDVVASFH RIVNESHWDPLTFLGFVTTRLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFN DVECVSGTSVSANECASNFGATNISVWSMARVLNSSVPILQRGMTPSMGYIISGGSRL TGSQIAGIGIGCIAGLIPFIALGVLLRITLRNARDNNLAPKEPTDPVTLIFTDIESST ALWAAHPELMPDAVATHHYLIRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQ LCFLQHDWGTNAVDDSYQQFEQQRAEEDEKYTPPTARLDLKVYSRLWNGLRVRVGIHT GLCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTGSTYLSLSAEEREQIDVTA LGDVPLRGVPDPVEMYQLNAVPGRNFAALRLEREIEDEEDVGTGSSASESSFNECGLT ATAQQVAGCIDALIGAFPVIQRQKMLATFCERWHVKKPPGVDAWNEASCRCVTRRIAA KVGCVMDFGTRNTSSSVTSLERGGSLFSPGGATVATVASSSNFSYVEGRRCTLHLIDL ESVESASQYPS XP_845158.1 MSWQEGGGRGCVYPHGNCRRNLTARSPARRYSKYKHSPVITAVS LLHLLPLLLMWMPPVCAEASTVTVNVLSMMHNPAYTEGEINAINAGFDASLSAHSRKT GSNATISVIRHPSPNATVEEIFQHGMKQSEGKLLVVFGPLGSDRVANVRDKLKEHDLV ALAPVAHSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFTVQILEMMGRELCGMFVVKDSENQNISEDDLNVRWRQFVATRPQAILLFSSLGN TAKWFIKKVAQDNRTANAYLLSTSLQQNFLIKMWREALALANRTFTPGQLITTGTVPL ASDIRFSLIQHFQHDMDIYLRTNNDWKGFAKPDHYLEDDGLGEMMVYGWLAGEVLFEA LNNAPQLTNRKSFRESLYKQRRYVIDDLVVGDFGGECNEAVALQGAMCECNQGGSMVY MKSIMDGFRPAPLWEGHLTWGVSECSSANVHVGAPLSGLFLLLADNEIALRASRKWFL GAEVHGKEAENIDNRIFFHPLTVSSENVTQSLEQVQDNRDVSAVFGIMPGGLLDRKDL VFISPMTLRPRMKLFRRNVIHLLPVLAQQLYVLAVYLSNTSSRGVNALIRSEQAGEIS SLLYKSLDTFGVPLDSSKTLGDGDPISSYLSGDGDVFTIGLTLTDVAAVARHLQAHRR ARVFIPFNDLAMYYDEFVAAFNASKESIASSERLLFATSFPHWAEKDTKSDVVASFHR IVNESHWDPLTFLGFVTTRLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFND VECVSGTSVSANECASNFGATNISVWSMARVLNSSVPILQRGMTPSMGFSIAGGSRLT GSQIAGIGIGCIAGLIPFIALGVLLRITLRNARDNNLAPKEPTDPVTLIFTDIESSTA LWAAHPELMPDAVATHHYLIRSLIARYKCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLQHDWGTNAVDDSYQQFEQQRAEEDEKYTPPTARLDLKVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLITGSTYLSLSAEEREQIDVTAL GGVPLRGVPDPVEMYQLNAVPGRNFAALRLEREIEDEEDVGTGSSASESSFNECGLTA TAQQVAGCIDALIGAFPVIQRQKMLATFCERWHVKKPPGVDAWNEASCRCVTRRIAAK VGRVMDFGTRSSSSSVTSLERGGSLFSPGGATVATVASSSNFSYVEGRRCTLHLIDLE SVESASQYPS XP_845159.1 MSWQEGGGRGCVCPHGNCRRNLTARSPARRYSMYKHSPVITAVS LLHLLPLLLMWMPPVCAENGNVTVKVLSMMYSLGFTTPEVNAINAGFDASLSAHSWKT GSGATISVIRPPSPNATIEDIFQQGVKQSKDELLVVFGPLGTNHVSWVSDKLKEHDLV ALAPVAYSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRIGMMHVKSSTASMG PYEFTVQILEMMGRHLCGVFVVKESENQNISEDDLNTRWRQFVATRPQAILLFSSLGN TAKWFIKKVAQDNRTANAYLLSTSLQQNFLINMWREALVLANRTFTPGQLITTGTVPL ANDTRFSLIQRFQRDVNNYLDTNTDWKGFAKPDHYLEDDNAGEMMVYGWLAGEVLFQA LNNAPQLTNRTSFMESLYKQRRYVIDDLVVGDFGGECNEAVALQGAMCKCNQGGSMVY MKSIMDGFRPAPLWEGHLTWGVSECSSANVHVGAPLSGLFLLLADNKIALRASRKWFL GAEVHGKEAENIDNRIFFHPLTVSSENVTQSLEQVQDNRDVSAVFGIMPGGLLDRKDL VFISPMTLRPRMKLFRRNVIHLLPVLAQQLYVLAVYLSNTSSRGVNALIRSEQAGEIS SLLYKSLVTFGVPLDSSKTLGDGDPISSYLSGNGDVFTIGLTLTDVAAVARHLQTHRR ARVFIPFNDLAMYYDEFVAAFNASKESIASSERLLFATSFPHWAKKNTKSDVVASFHR IVNESHWDPLTFLGFVTTRLLQVILPNMRKVNAELLADRIYTESNIKVDDMRFGPFSD VECVSGTSVSANECASNFGATNISVWSMARVLNSSVPILQRGMTPSMDYIISGGSRLT GSQIAGIGIGCIAGLIPFIALGVLLRITLRNARDNNLAPKEPTDPVTLIFTDIESSTA LWAAHPELMPDAVATHHYLIRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLQHDWGTNAVDDSYQQFEQQRAEEDEMYTPPTARLDPKVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLITGSTYLSLSAEEREQIDVTAL GGVPLRGVPDPVEMYQLNAVPGRNFAALRLEREIEDEEDVGTGSSASESSFNECGLTA TAQQVAGCIDALIGAFPVIQRQKMLATFCERWHVKKPPGVDAWNEASCRCVTRRIAAK VGCVMDFGTRSSSSSVTSLERGGSLFSPGGATVATVASSSNFSYVEGKRGATDLIDLE SVGSTC XP_845160.1 MTIQAVSPIISLQDAEKGTSQTREGIKPARERRAPRVEAENQRQ DGPGEGEARSPKLGGNDGQRKNDPKDASPTRTERKGVATRRGEASKGAESKTRVGKTN APKKDESKKGAARKEEAKMDKPVDFEAEVRRLKAEVERARETLHQSILRTEVEEARQL REELQKKLKQTSPVIVEAPDAAGRLLCCPVCRKGFTASGLLYDHFAAEHPDSLFVCVP NPVLFRCPVCREQFPATDAFEGHLLKRHKIKPTAAVGSVERNADKSYRDDLEYGEGAG GKNAGCSIM XP_845161.1 MGTEISMTACGFARMVVLFTALLQCLSGSSHLFAFGKVYPTAYV KEYILFPNRKFWHGGDYLVDYSNSVHICREAGGTLATDHSQATNERLTAYHLGVADGP FIYSYLGGDATYSASAAQDAGIRCTAGDYDTSLNCVYRWNSGLFEASGIEGNGVAFWR GSYTYKSGSGALGGYPSFFAQSYPQDGQLHVMGWYTNVTKWFGWFDGGEVSGNKPGGK APGYKSLTYFAALCEVTVPQPSHPVPGNGNSTANEELAVINESLHSDEESTNSQDQPS ATPKPKGEAETTLTSLSHITICVGGELCLLIVFFSLAIVC XP_845162.1 MGTEISMTACGFARMVVLFTALLQCLSGSSHLFAFGKVYPTAYV KEYILFPNRKFWHGGDYLVDYSNSVHICREAGGTLATDHSQATNERLTAYHLGVADGP FIYSYLGGDATYSASAAQDAGIRCTAGDYDTSLNCVYRWNSGLFEASGIEGNGVAFWR GSYTYKSGSGALGGYPSFFAQSYPQDGQLHVMGWYTNVTKWFGWFDGGEVSGNKPGGK APGYKSLTYFAALCEVTVPQPSHPVPGNGNSTANEELAVINESLHSDEESTNSQDQPS ATPKPKGEAETTLTSLSHITICVGGELCLLIVFFSLAIVC XP_845163.1 MQVDWGAVHKFITDEWDKTLVPTISAYIEVPNQSPHYDQEWATN GLMQKAMDIIIEWVKKQPIKGMKYELFEEKGLTPFLIVEIEGTEPCANTLMMYGHMDK QPPLLPWEEGLHPYKPVYRDGRLYGRGGADDGYAVFSALTAMAAVQKQGIPHGKVVVI IEASEESGSMHLPFYLEKCRDRIGNVDLLICLDSGAMSYDQLWLTTALRGVCGGMLTV ETMKEGMHSGLSGGVVPDSFRIARMLLERIEDTNTGEVKIIEANCDIPQHVVESMQSI RAIAYKEQFPLLSGVSTEVDDTVELALRNSWKPCLTVVGDNLPDAATAGNVNRAKTVL RLSLRVPPIVDATKATLAMKRILEADSPYNAKVTFTPDDAGDGCATPRLKPWLVEALN KGSEMAFGKGFASQGMGGSIPFIAMLLKKFPDAQFVVTGVLGPKSNAHGPNEFLHVPF AKGVTTCVARIVADHYHATPKIHKQ XP_845164.1 MTQPDIFMGGNPLCVRYNGYPIHVVRSTDGTSWFSAADIVRALS MYSTGMGAGDCCKDDNTLSPSDESADFAKRLLFSVDEEHKKPLKDVVKECERALYGSA GMEALYVDLTGIQTLTRATSMPTEADYNDFMQHGEALNKIVGALQRGIRDSCAQMSEK PWNDGSGGVVEVNAADLQSLLKTVEEMNGYMCSILPRVANNEATIRTVSEHMLQLQNK VDHEIEKIRREFSQHGTASLTGRDKVSENAESEPPCGTAELNISESPTAACKTVRLLD QSKNGAWQRQEHPVDIISSEERLMNSFNFEACGASAEEFGEAELELIAYFRRALSRLG QQGRTCIKLFPVKDSNKHSSHSAERATYELKVKRMSLQLSDFKFISMCPSIAKLRFSE CRGVCDLEVLAEAKSLDALSLDIEGEVRHLHFLSGLPSLKTLQLHYQQISDDEFRCLC LLEGLEELTLRDAWNLTCLAPIASLRRLRVLDLSLPLVAPSGCVQEECSSDGDQSADT KYPHLTDVSPLAEIIALEDLNLQGRGGVEAGVDALGSLPKLRVLNLSGTGITDLCFGG LSESKTLTSLDISFCWNLTDLSQLAQVATLKELKLRLHKDGVPCVDGLDSLPLRTLHL VLPQRICQHSEESSTLESSCEVAPTVSLTDVFPLEGIETLEELSLRGQGHLSLQMDVL SSLPHLCVLDLSFISMTEQCLDVLATIPGLVKLGFTARGCTGNMSSLSKITSLEELDV RSCSSAGAIVDAAALLPKLRVFSLSGATITPRSLQSLIQCNNLVKLDISFCRNVEGGT PFPLMTTVEELNLKGCQSVLCLGVLANFPSLRKLNVKNMYVSSEVLKEFRGRNVVVRY RC XP_845165.1 MQQILGPLWTRFLFFFFNPTIGFLPLFASLIRPLHSSFDVLDHL PHDQLPPLAGHHRSEISHLRHLLFRLRAVSGQPLLKYRYWCFAWLVGREGVSIDNIWQ AENWFAFLLASELKASGWYSLENHDRVVSIHPQPLSPRGSPLLPLELRYPCP XP_845166.1 MSWQEGGGRGCVYPHGNCRRNLTARSPARRYSMYKHSPVITAVS LLHLFPLLLMWMPPVCAENGNVTVNVLSMMYSLGFTTPEVNAINAGFDASLSAHSWKT GSGATISVIRPSSPNATIEDIFQLGVKQSEGKLLVVFGPLGTNHVLKNSDELKKHDLV AIAPVAYSSEVRGWNPHLYFISVEPNAELLALIRYAVVYLRVPRISMMYEKDNTASMG AYEFTVRVLAMLGRHLCGVFVVKESENQNISEDDLNTRWRQFVATRPQAILLFSSLGN TAKWFIKKVAQDNRTANAYLLSTSLQQHFLIKMWREALVLANRTFTPEQLITTGTVPL ANDNQSSLIQHFQRDMNNYLDTNSDWKGFAKPDHYLEDDGLGEMMVYGWLAGEVLFEA LNNAPQLTNRKSFRESLYKQRRYVIDDLVVGDFGGECNEAVALQGAMCECNQGGSMVY MKSIMDGFRLRPLWEGFLTWGVSECSSANVQVSAPLSGLFVILVDNAIVFRATMRWFL GAQALDEAYDVDNRIFFHPLTVSSENVTQSLEQVRDNRDVSAVFGIVPAAMLDTPNMM FISPMVVGIRQNGFRRNVIHLLPVLAQQLYVLAVYLSNTSSRGVNAFIRGEQAGEISS LLYKSLVTFGVPLDSSKTLGDGDPVASYLSGNGDVFTIGLTLTDVAAVARHLQAHLRA RVFVGFNDLAMYYDEFVAAFNASKESIASSERLLFATSFPHWAEKDTKSDVVASFHRI VNESHWDPLTFIGFVAARLLQVILPNMKKVNAELLADRIYTESNIKVDDMRFGPFSDV ECVSGTSVSANECASNFGATNISVWSMARVLNSSLPRTQVGMTPSMDYVIPQEGQLTR SQIAGIGIGCVVGFILFIALGVLLRISLRNARDNNLAPKEPTDPVTLIFTDIESSTAL WAAHPELMPDAVAAHHRMVRSLIGRYDCYEVKTVGDSFMIASKSPFAAVQLAQELQLC FLQHDWGTNAVDNSYRHFEEQCTEGECEYTPPTARLDPKVYSRLWNGLRVRVGIHTGL CDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHAAYMSLSAEDRKQIDVTALG DVALRGVSDPVEMYQLNAVPGRNFAALRLDREYFFDEGEDGTTTSTSDHSSSRAELSE SAQIIATALQSLLSTFKTAQREKLLLPYCERWRVPLPRKAAFEWDDAYCEEVVRRIAV KVGRVADHCAHSGSESSSTQGSSSIIIVPLHDLYCRENYSI XP_845167.1 MEKPSCRGAGWAQLLWCYGTCCALLLRLIVEASQAAEGLKTKDE VEKACHLAQQLKEVSITLGVIYRTTERHSVQVEAHKTAIDKHADAVSRAVEALTRVDV ALQRLKELGKANDTKAVKIIENITSARENLALFNNETQAVLTARDHVHKHRAAALQGW SDAKEKGDAAAEDVWVLLNAAKKGNGSADVKAAAEKCSRYSSSSTSETELQKAIDAAA NVGGLSAHKSKYGDVLNKFKLSNASVGAVRDTSGRGGKHMEKVNNVAKLLKDAEVSLA AAAAEIEEVKNAHETKAQEEMKRNGNPIENESETNSGGNAESQGNGDREDKNDEQQQV DEEETKVENGSSEEGSCCGNESNGPHVMKKRHGVEGPRPVDVVSGFRSYASASFALLS LVRVGMLQVVV XP_845168.1 MAPRSLYLLAILLFSANLFSGVGFAAAAEGPEDKGLTKGGKGGK GTKVSDDDTNGTDPDPDPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP EPGAATLKSVALPFAIAAVGLVAAF XP_845169.1 MFRKSSELEGKCSSSPFVRRDSHTEASTKQAATLLLTFWFILFP YFSVGVFLLPPLGIGHSHMKALASAAKGGSVWYFLWRHLSSFPFRPPLFPWRKASGTV LPDDLCPVRSIMNNSYKSVCRYGGGYFPNRFGCFYYFVGRFKVGNSVHFQKNCDSYIW FYMEGGRAENVYVLAWMSRKINSSFRVFSLHYVIYGLAFRFV XP_845170.1 MRHELVFTIGLFVAVLSDSSQIFLPHWRDWIQVSKNGSILTTLV SEVNNHHSIGEFEALCKIYRITQAETPKPSFKNREKEAEILKKLEEMVSETEAVGGDK GSSKLGKRTTAYQEIKILFEKAKKLKEEIEVNRTRSLNASRSAEENMLRAVYGDAVDV ARNENKTLEEAMRGNKSLLFNSVDHANMSCGSYGDKLVGKTLINDFFCLCVGEATDLQ IRSNKYYALDLNSTNHTVYNGFNCPCKDEIRRPKHGSWTMMADYCPSNYNTCDPRKVK YNHTEAWDVISKACVYKNVASNVKTLKSALAQFDALVNLEQDNYQMKGILGYADISEN TNRICNGYTAGFTCVSYNYTLENGGIPWYNRLTNATKELQEMAKYAKEADSHLYELEE YQHEAEEIYLEVKLGGDAELWKSSRGKGEGDGEGDDTDVNNDGLNYINITTDFLILLF SSFICIS XP_845171.1 MAPRSLYLLAILLFSANLFSGVGFAAAAEGPEDKGLTKGGKGGK GTKVSDDDTNGTDPDPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPGA ATLKSVALPFAIAAVGLVAAF XP_845172.1 MFRKSSELEGKCSSSPFVRRDSHTEASTKQAATLLLTFWFILFP YFSVGVFLLPPLGIGHSHMKALASAAKGGSVWYFLWRHLSSFPFRPPLFPWRKASGTV LPDDLCPVRSIMNNSYKSVCRYGGGYFPNRFGCFYYFVGRFKVGNSVHFQKNCDSYIW FYMEGGRAENVYVLAWMSRKINSSFRVFSVHYVIYGLAFRFV XP_845173.1 MRHELVFTIGLFVAVLSDSSQIFLPHWRDWIQVSKNGSILTTLV SEVNNHHSIGEFEALCKIYRITQAETPKPSFKNREKEAEILKKLEEMVSETEAVGGDK GSSKLGKRTTAYQEIKILFEKAKKLKEEIEVNRTRSLNASRSAEENMLRAVYGDAVDV ARNENKTLEEAMRGNKSLLFNSVDHANMSCGSYGDKLVGKTLINDFFCLCVGEATDLQ IRSNKYYALDLNSTNHTVYNGFNCPCKDEIRRPKHGSWTMMADYCPSNYNTCDPRKVK YNHTEAWDVISKACVYKNVASNVKTLKSALAQFDALVNLEQDNYQMKGILGYADISEN TNRICNGYTAGFTCVSYNYTLENGGIPWYNRLTNATKELQEMAKYAKEADSHLYELEE YQHEAEEIYLEVKLGGDAELWKSSRGKGEGDGEGDDTDVNNDGLNYINITTDFLILLF SSFICIS XP_845174.1 MAPRSLYLLAILLFSANVFAGVGFAAAADESASNVIVKGGKGKE REDGPEEPEETGPEETGPEETGPEETGPEETGPEETGPEETEPEPEPGAATLKSVALP IAVAAAALVAAF XP_845175.1 MAPRSLYLLAVLLFSANLFAGVGFAAAAEGPEDKGLTKGGKGKG GKGTKVSDDDTNGTDPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP EPEPGAATLKSVALPFAIAAVGLVAAF XP_845176.1 MFRKSSELEGKCSSSPFVRLDSHTEASTKQAATLLLTFWFILFP YFSVGVFLLPPLGIGHSHMKALASAAKGGSVWYFLWRHLSSFPFRPPLFPWRKASGTV LPDDLCPVRSIMNNSYKSVCRYGGGYFPNRFGCFYYFAGRFKVGNSVHFQKNCDSYIW FYMEGGRAENVYVLAWMSRKINSSFRVFSVHYVIYGLAFRFV XP_845177.1 MMHELVFIIGLFVAVLSVSSQSDLSRHNWSIISRNRSVFTKLVS ELNNHHSIGEFEALCKIYRITQAETPKSSFKNREKEAEILKKLEEMVSETEAVGGDKG SSKLGKRTTAYQEIKILLEKAKKLKEEIEVNRTRSLNASRSAEENMLRAVYGDAVDVA RNENKTLEEAMRGNKSLLFNSVDHANMSCGSYGDKLVGKTLINDFFCLCVGEAIDVQI RSNMFSGLELSSNYHPVYNGFNCPCKDEIRRPQNGSWTMMADYCPSNYNTCDPRKVKY NHTEAWDVISKACVYKNVASNVKTLKSALAQFDALVNLEQDNYQMKGILGYADISENT NRTCNGHTAGFTCVSYNYTLENGGIPWYNRLTNATKELQEMAKYAKEADSHLHELEEY QHEAEEIYLEVKLGGDAELWKSSRGKGYSGGDDTDVNNDGLNYINITTDFLILLFSSF ICIS XP_845178.1 MLTTSFMAVVEPKNATFAACRIFAFHAPGILVVRGPFPRALGRC GPFFIIWMKFGGMRLWRHRRWVCFGRARANYGLSSLLLRRFSVPFFHPLHGNYFHRFL PVSWPCPCTLDGGFLRVVLAGVSETQYSCARGTFVHFLLPVLSLHRHIHCFPLECRIF HHFPMAGSNLSSYSDRGKCVTYTSL XP_845179.1 MHLMRACITFCIASTAVVAVNAALVAEDAPVLSKAFVDRVNRLN RGIWKAKYDGVMQNITLREAKRLNGVIKKNNNASILPKRRFTEEEARAPLPSSFDSAE AWPNCPTIPQIADQSACGSCWAVAAASAMSDRFCTMGGVQDVHISAGDLLACCSDCGD GCNGGDPDRAWAYFSSTGLVSDYCQPYPFPHCSHHSKSKNGYPPCSQFNFDTPKCNYT CDDPTIPVVNYRSWTSYALQGEDDYMRELFFRGPFEVAFDVYEDFIAYNSGVYHHVSG QYLGGHAVRLVGWGTSNGVPYWKIANSWNTEWGMDGYFLIRRGSSECGIEDGGSAGIP LAPNTA XP_845180.1 MELNELQRLAAAFDEQGMRYTFTASEHPSTPGVYRFVFSRPTNA APESAVYINADITRAPNQNGRGDADDAATYRVMIEGLRWPYYIKLRDGIVDEGGFPES LLERVDLQKCKVNERCLWT XP_845181.1 MIKLVSGVVNSFTQLDNALLEELMLKLTEDRSTRMVDCLPGILK ELSDHVRDPGQECQEQHKQLCSQQELQQQQQQQRFERRENTPHASEVTRLLNLAMDSG PGAVKWTAVESELSNFLRSLMAGRRQVGLKESASQTVQALGPLFNVLTSTADTDGSEV EKDALMPLSFYRGFYARAVSLLLHLHLSGFDGSDRIAWLKRCGWKVELYDILLPFIQK EVEPLEKELVYHFQEGKNTARREEPQHFFLFLQQIYTRLVNNARAHGWAPEGIGEQDG VNTLIALGSAALAATAVSVFRSAYGWHEESGYLSVKDFTIHGVNCLVDFLTKSGGIVH LGAQAGIVERLISPDIFCTYAEAARSFVCKAFASGHQVLWRLEFLTNSPTLTDQTATL KAVRGTYHMLRCLEAILRRLAVVFALLPACVIITWNSVVVPSLVMFMQHLEDAKEEAA SLCETRAELIITSFHLLDSVQAVCSAAEEWRERFCEHCGEKDVSAAQLGKMTRWREKL RREVTESTSQFLAHLFAVEEVSPRGLHAWDGILQGLLHGPSTGSNIVQEVMRTSIPCL IPKEKRKHLKEYCEATGANALANLLSEDVL XP_845182.1 MTENIEAVMSDFWSNPADHFRPNLKALTLYAERQHYVDRWLHVK ERWLAPWYLPWWSPLFQLGTWYSQRSRNLFLVENHLSYRPYKFRRNDEDRNNPY XP_845183.1 MMQYGGGTHYGAYAQQQPQPQPQPHQRFPSAALKAGNVSLIPSL SKSPMIRDVWEDNLEQEFGIIRSLIKDYPFVAMDTEFPGVVAKPVGNFKSTHEFYYQT LRCNVNLLKMIQLGITLLNEKGEVPENCCTWQFNFRFCLTEDVYAQDSIQLLCHGGIN FDYFSEYGVEVTHFAELLISSGLVLNPDIRWLAFHAGYDFGYLIKVVGGKDLPEKEED FLQTFHALFPCVYDIKYLLRSTELTHSLGLDHLADSLRVRRFGMAHQAGSDSLLTGHC YFKLLRDCFNSNIPVANNGVLYGLCEDSSSAGTPNSTTIPGSGGGGGHAFSNFTGSKN AAFPASPIMTQAVKGHS XP_845184.1 MLIRRLRRPHSTVRGCRISSASNSDSAGAFSANGTQLPDPPYYL PHSPRFDAERCGTFNKKWLLNLPALKPLVRNSTYLPKKEELWRAPTHEALETIIGHLP YHDALRYITEHSLFLLFPTVLRARDAPLPHVIYEDFMKSCTFASLQNPPEEQFALPSV LLRTLLCMAAYHCTLDADYFTTCQMLFGRMEQQQQTTPEVLSAWVYCCTASGRVDEAL TYAKYMADCSAPFDVTVFSLMQHPSLNPIEVEDGSVPHSAKGLLLQRRLGNRLHTAYR SDAVAAHGMFVYYALTLSHVRKWEVIRAAAALGVTLAERTVVLAVEVFAREKGMRCGP KTVKALTHFLAQDGTVGHLLYVLLRARKNELLPEFRDLPHTTFSEEEQELVLQCVAQR ARHDDSFAVAATLVSSLVREDDPSELLMAFARAARNHHSADVCGGDGDGSVCADVPAP VPESPPSNSSEIIEKDRWAVVQASVRSLLLDVNALDQASRRDAYHKHWKNGNKGVKNK ENVLAKTLTLPHDSMHTATIQRKEKELWELMRSDTPVGVRELAQLNIMEELQEAKRLE RAEMAWVNPDGTF XP_845185.1 MATEEPVSDTKKSNGVTPRKQISHTVQTLTSNSSEGSIHVHPTQ GYYANFDVDTVYVIPFTVRNLSREICALRFTHPNNQTVFRIKTDSVRLAPGLTHVIEA EFFTHTSQDYEDSFVVFTDKESITIPLVAKCFPDVEFPLTLDFGTVERNTRGIVQSLA IRNPGRRVARVHIVPEGESAASALRVRTANCDVGPFSEGNVMVELLSPLVGRHKFPLT VTVDGEAKTRTVTVTVEVIDCRGTLLNANTGEELHSLMFPKTYVGAKRTMELIVRNDS RQSVSFAFQLLSDGNTEDIPPFSFVPQQGRLGPREKKHVTAIFAPMRSKEKATGWTCR RDQQTAVNETFEWLYSLLFVETEHTHTLRATGTSTTTVAWLSQTVVDFGECAMNDYRD FVLQVHNGHKDAPLYFKFPRIAHFHISPDEGNVSAAKSVPVRLTFHPRRLGNFSERFN VVFNNTETTPLTVVGVATKIVPQQKRIGGIDKLPQDFERTMKAIEPVAEPYVAAAPPE TYANLDDVDLGMVPAEGLRPIEPELVAPIGRSRSAGEMGGLGAGDHLPLVTLDVKTLI RKVYKEAPSNAAERRDCKRELQPMELLRIVAPVKVLEFHRVTVGSTATKPFFLYNATS AAVLATMPTDEEHLSFSPQAQVIPPGRSAVFDVTFRSQVVQVLQQVMQITINGRHLLR FTMQADSVPVEVSLSREEMVLHFSNFSEEPVARSTVVLSNNGNSEAVYSWALQLNNAG TGSGKGSGSGPFAIEPMSGTIPPMSKVTAYVSFSPPYGIITASACARLQVERSDGVKT LNITGAVPVTSCAWGKCTTAGGTPVVSPQGKKDWNKHHSATEALIELQKVPAGRGTRA SITLHNKGKNVAFFTFDGVPSWLTVSPESGRVGPRESEEISLLVYHEKPEALRTVMNC CVRGIKKPLKLTVSVDVCAALLEVITPHRQNTEAILDFGMVYIGTEKALPVRFKNTSD VDGVILVDLRQHMEFAVECAADVDSAAIHDATVTNNNSTDPPTMLQWCGPGEREPGMA SIIIPPCSELGVLFVYRPVSTAEDGGRFFVSWRHVGTDIKNPLVPLVIIAQALQSRVA VTPRFLKFPCTVVDSPSVPATVTIKNTSSVSVHWRLQPIRNNEDSPTAEGRTPLSPVS SRVSSFVMSISGGVLAPHASEQVSVTFVPDGVGNWRELYGIYVDGDLLRHCADLSMSG FAALPRLLCDVEELAFPPIPLNITVRRRIIITNDGFDHINLSYHTIDSGPLTITFPNG TSTGLMGRIPVEIEFCSQWPVSAVSSIKFSSNKGETLTLPFRGAAINSFLTNAPYVIQ NANISKIASSRGDEEWKEPLIYREIDSWQLAADGGGHKVRKVGGETQYVRSNSVKPIP PRYLEPIAPEFYVRCEESLRLWLNYNVFLEPVANLVTALQLSDGRLLLDAARRMGVKK QSRAPDSSESTTSRTLVTLESLMSLLKLNGGCLSEVQIIYLMTYEAYCRHQKEVNAEA IPLANFTERSTHCWTVVVLQFIRVVYFAKLRIDSMLAQYPSLGKYMPVEELQAGSLST AIYGSNVFSGMENILLYWATYNLRQCIKKGMLKDTRAPIRRFEDLRDCVAVAACIFVF VPSAAAYVSADRFVPETVTQSDLENNISLLTNALVYVGFPSSFSSVEQILQYGPLDWL LLLSTLFHYLPRFIPTGTIVLKGKLLSPATHTIEVKNTSNTVRVYTVEMSNCSFRAVP REFTVDPGGTFQLQLEVTLRFNRRLEGECVLVDSAQNLVKERMPLVFHLVALPSEEPL RTVHLQAPLYTVVHQEICVENPFAQNYVATLRLSQEYKNDGGYSEGEFGKLQQGAFYI SAGVVSFNVGEPTKVSVQFAPCARGQYEAQVTFHDVQLGEFSIVLIGTCIPPKPIEKF VTRAEVGEACNNTVMLKLHNQQFERMMNTVEDCNRFPSSRVVKGPSMPELTNIPYSVT FVNESFVGPSPFFIGPESIFFTRGDQMLELQFSFCPKVVGDYNGYIILASKYDVRTIL LHGKGIPVGEKSILRFSCPARQSIVQRVPIVNNTKESWLITATIEGDCFSGQKEVRIP RGKSREYLLRYNPAWVSSDTGTLTLHNRETGERRTFMLYGESVEPLCEDVITVECRAR EKRIETLTIPDINNMDGNYTVETDLPFATGKPTVLVRRGTNAKYDLILHPLMGGTFNG TVVCRAPNGHFAWYGITIIVSAPEKEGTLNICTDTRTPVTAYVSIQNPMDKTIDFTVG RYGVGLFGENSILVEPSEPSVYSLLFLPSRTGKFPGRLTFFNKEVGEFWYELDIVVED AAPEEVAFSSEIGVPQTVQVRIPNNTPNELPLCVTNTNHDNFSVVPSNPRVPPYSELT IDIVYKPTAIGRPQEAMIKLLNLDSAEWCYHCRGVGHAPAEFAPVECVCEALGRVSVV LKFKNPFSVPMMPDVEVKCEEMNYYSAAAQSLPGTPIAPATEASIVVMYEPKTVGRHN ATVLVKPKFLSEEVQDMTWVFPLKGLAEWRSHDQPLRFRCVARKKVEETVELVAPGMT AANLSNVTITLDLERGQQYASAVRNSLFFKVHPTVEVEGMLKLDIRFVPLRPFVAMAD LVVRAEKESVWRYSIVLEASRADVDDTLVVKSTLRAVSTITFDIYNIYPHSSPFTAYF TPDSSRDFSVGVPQGVLPPFIIGQRNANAATTMQVLFSPTARLPQPEGTLIIDTEEMQ WIYKVIGKVSGRPIHP XP_845186.1 MMELLQLPTTCECLLPLDAAVMAAYGPSAIYFFPLSQLFNAVTA TATVTAPKGFHSVELSSHWGCCAPWHPPGASLERCGVFYEQSGLVRFRSCSFGDMPQQ KRVDGKTKKGKKGEESVFDPAVKGLDGIEEMAVFFGTTLVVRTGAEALFFALDPASNP GEEKLPLIGRTALPQGKSISTTTPSNDVSADNLWYFMGASHPTSIVDEGDRYICVASF DRKERKLEAVLLAVSDTRAVQLKRTKVGGLKVSPDAGKFFCCAFNWSLRQLIVVFKGK KGKTSGTTLALSLESMSLTAENITVPPKAMDLTYTTAGEAWALTTANEDGALIIKNLM AADAGREVRLPSLKGRQASGNGNHDDQKKKKKEEEEEGEGEGEDVHTCLTAVGSTVCV LYGKAVAMVCTDDSANKGGAKSSKDSVTFSGHTQTGGEESLYAWLLKQQQRKVDESEE EEHQQELREVINFLQGTVKGPPTLIVRDCRYVSQKNGVYNHDVLDSIQANSKMKVYYK QLLRYIAIAQSLHPVTILLALHVENPSVRLSVAALLAVKPALAAGGLRLLEWGGPTRS HFNKSGDGNYKSNARREAKLFGAALLNSALDALSAGALGAVEVLCAVADITLMAALTP NSSCTVAGKSDSFRQAANHNRQDGNAVTREEVEAELTIVDCIAVLTSYARWALVTAAP SLGTITAHLAPPRTDESRRKEAMIRDRVAHAITTSRDIQIDVFPLRCR XP_845187.1 MTSSTLLEKLSSLKLSEGARVGGRTFRQGVMSPGTIANVTTTAT TNINNTNVASAFASLMEKRQPTGSPAENEGPLRGLIIGSDGSGNNNNSSSNNNNNNNN NNSRSMKHSSNNSSTNSGNDKPIETQAPHRSGVEGSAGKAARKLRSSPRTHQLPDEMR EKTSHISAVPRSVALALASASVGVTEQPSVTVEQLMRAAPSANVSSVTSPPRCVPTLP VEPMVVVDREGGPDAVRLATLVSGDPSNPKLIDPIDNVNAHKPIIRTVPPCDYRYIIV GDVHGCPEQLEELLLKVEFQQGKDCLIHVGDLVNKGPDSLAVVQLVQAKGAIGVLGNH DFTLLNCIARVKGKALSQQEEVDPVMRLASTFPQGCEDYIRSLPHILRIPQYNVIVVH AGLNVENSLEKQNVHEIMHLRRLERYTESSNNNNNNCGGKQQRNEKQRLRAVVKGSRG QPWGELWTGPECVVFGHDARAGLQELPFAYGIDTGCVYGGQLTAVVYGRDSPKGKLVS VTGLPKDANERRGLPPPAADVYEKYAEELERLILRPTPRATPAIIGYMQKPTFLSAPP PGSPAASTGSVINNSLRPDSCCSLPAASPSLSARATVRSSGVEQETLLSLLRAGEIRA VMTLMRLPAYESMWLNLLCSEVEGCTESFWIPFVKGVLDGLLNTRQNGEGDDYVEDLL QLVLEACDEFCSVREMAIPQLRKLQQRAEGGDFALPKATVKMLKLTVSPQNA XP_845188.1 MLATRQIVPSTVAHIVCPLSLIGGGVVLLAAVGTSIHVYKPVWR ADSDDDIERCYFSATGDEMHLGNTPISMVACAHQCFAVVTQDHRLVVARYQTNLPVDP LSWVAKDPLHHNNAWIVLHRTSLSTDFSGRCSQPVHTPQLACSSDGLVVQCAATGGGR YCVSINFPSTSQGAEKLAQTKWVFDVPGSVAQQTFPTAAFKRDVLEQSDEELLERYTI QELVEQKQKQERPEPCIRCGMPPVSVSAISHVCNSNDVSVALLSVASPTTVKSECVEK RDSFAFASVDSDCLDLCMVNRPKFDQIFDDKYPMPSCSPYLVLSLHKTLLVLARLGNT HKLTVFSVDKGPVMKICKVVRLAQSAVQPIAGVVHQRRQPFQVLESVDGTGESEVSNT DSDVLWLLLSNGNLTEFPLGSVARATGPVVVQDAPHLLVGDCEGCDWPLAHISGLPSG FRPSAAVPLPHESTVTFEPHNTTRRYIMLSDGVADSYVIDLETRCTVGALLSNGAMTS ACGGPSADIIVSYAKGVLQRLSPGIGAVLRVRATFAGSQRMFLLSTAVGNRLQDKEPE HTLLEFYVVITTAINTVLLRGSGSQLEKVNDVQHLILDEPTLAVYCVPDAQELSSPSS HFFAQCTPTRINFSGKWIRLPNVLPEFTSASHACFGDDKWLAVAYGRKFAVFSIANVN DTYVVLLETLPSDVSHVTTWKASESNSGCCNSTLWCVAACLWSHEVWVWMLDCGVRGE LRNRYHIFHLDAVALSSFLITKRTAESCDGGVHEVGMGLVLLDQGVVVLQCSMEGPPS LTALKDVDGAPFVADLCLSIATHGAAAAGGPHFDFASLRAGSVELVSLDGMSSSGEQL AVALAPHPGDNSANTDGGMLLPQLHCGLVVYLPAHSFYLLLLADFDGISLRSITELVP PPQPSHLALALGVATSGKAASPISDPPCDLRRRGVLLRGPVVYQLTHSMRLPSVTSPS HSLTKAVYLHQCNIIVAMLDRGEQASFISTVDVDTFRVVDAMAMKQDEVAMCMEPLMS LGGGEVSGDFIVGTVILSSDAPNAVDKEAATAGRGANTVLGRLIVVQARPLRISTAGD IVGPQLKGNGGVVDLSVQSLGDVHLIAVAALDMVLIFRLVGSTLSLMCSTSCTPACTT VALQYPFLSCSLYSWGTRYMRLVSKSSKSNSDKSPNSTHCPEPVAALGDVILTQCLQD DLSLRHCALEPTPFANIHSQTTFADGFVRVDGNRNVVIFSLKNPKVNGQNSTAVAAGI VGADGGLRSSITRCMRLPSCIQRVSVQRERSRHHLHPNGKNNNNNNIIHDNGGSRGSF RPSELTPWRYRQVPFVCWHGRPTALRVVGPSLLLPCADGALHCAREIPQAFVGVLLRL EQRATELYDTTFSLSRRGSHVALGESPSCGLQRTYHTVSYEAETALQSPTRVLMKQSF VSVDAVGELVLLRRLVEIPDAALTSEERLLVERKASLLDERLEHVWAEYGGELKDMAV AELLYLW XP_845189.1 MLPLLLFRWPSSSSTAMHKLFSPLLLLLPLFPLLAASTSKPGGI DKNSGTRINEGSSGKGGKKRKQEQNKKKYRQKRILKARQRKEMDLHSPSDEETGSSQL PQPPDLDTCWRSVLHYIPSNEKDCSMHVSEKCQNPAYVYMSSTAWRRLVETEGACHAV ELMLGFGFVPDPEGVASLSGWIECEAASTGTQPDDTNSTAEAADFTAFLLPAITNEKP DGNRGVRFQVMRHKGGEGPFGTIVGRTLPPVTAVPRNQSDSPTPSCQGGRSSETSSKL AGPSHLQPSARGAVSEAPPSASGNGPMDSFSAASSKEAEGSSVPHLHLEAQVKELKRQ VRFLKLQKSGAHDGVAIWDGSLASLPTSPTRVAPSSTSQQLSTQEPHITIHHDVPLVA STSCQTPVWLSVSYLDPDCAPYVVSLGTGSSRTLLACATAEQRFVFFVAPPCCRGRRP VDLLCTRDGTLHRYAGPVWLDYRAQDPPNTLTHHAVNQLLQDIPLPTSTVSVDAANLS EAELAKSESVFDDDNTGCDTDTAATVSELGFESEPRLPLTKEMLRFIQNGALSSSIPR RRRVGTSAVESSSTGGVVDADRDGVEDGDEDDGDAAAARQESQASQTWRGSTRADVLG STLFSTGHQTSCVHGPHSVRSDEEATQSAFPYTELSSSSMTSVLSHESVASTAAGIMV RRGWVRT XP_845190.1 MQYQRAALVIASPALTQREVEFTKSAWLAGLRPDQRTAQQMREV SVEFPLLSRDVVVVRCGGSVVTAAIATALVEPTPQRPKHGLLHFSVRRLHTERDSPAA DGSTQKNLVAFLERLVRTGGVIDTAGLCVLPGQKVWSLTVDVTIMNDEGNCNDAAVWA VIALLMHHRRPELTVRGSSVIVHPPHEREPVPLSVQHTPLPFTFAITMAPTEREKALN HQRALSATGSSSGPQPSSGVGNSIDTASAFAIDGTSPQPLGVVVDPTTAESLAAASSI VVAINAEGQVCTVVKAEGCSIHLKDLNACIEAAGTLAPRVLDLIKVAMEAHDERRKTA MKGQFAWAQKRSGVGKVEQACVKKIKTET XP_845191.1 MWQLSSCTRLRTAKRICSHQLPFPIVAIYLRHFSCSHILYGTRS SAKSLLKETSGNGGHNRNGKKEQEVPGNKSPRSATAPLANARTGVKTVERKSDGTDQS GPAEREEPQHSEAQIADEERRLVEGDTRLAMRMLMQHYFLYQSNSRRPEDERLEEERE RLREKHRARMERIENKTRNSMELGAGVAVDGILPLYSRRKSTGGEAPSISGWRRLNPV EAEEAAASSAAAAAETKEVGSEGEADECDDHYDNISRMCNDLPRGGHLGVFLAKPDIT AYDAEHDRRIRSAEVLEAEERCRKEELLNGPVASKVWSKEELEDGGGLTPMRVVQSQM LEDEDEDFAVEAYEIGNDE XP_845192.1 MNCIESKCAAHHDHFGPDIVKGDAARMQNATHHSNTEAPTSVRT VETHGCRRWLERLPTSGEHPLRRYANVSRDDDAARNSCPSLSPIRLPHCPGVPPDQMC ERHAGSLSVVPSPSYELRRSSVGKMTVRKPSPPAHIPSSLLTFRERPHRIDPDEEAMM EFFSISEPPLWGFSAPTSGQNPLEDCVEASCRAGEDEGHCSKSSSGDSVIDVEAALAF QRNGARRSRQAGPGYERPLSPAVPKESYLFC XP_845193.1 MTKAVTEWPVNRVRQEFVSFFEQRGHTFVPSSAVVPHNDPTLLF TNAGMNQFKNLFLGTADPNTDFGRLTRAVNSQLCIRAGGKHNDLDDVGRDTYHHTFFE MLGSWSFGDYFKREAILWSWELLTEVYKLPKDRLYATYFEGDPANGIEADEESKQLWL QLLPASRVIAGNAKDNFWEMGDVGPCGPCSEIHFDRIGGRDAADLVNKDDPMVLEVWN LVFMQFERRAGGVIVPLPKMHVDTGMGLERLTSILQGADSNYDTDAWTPLFDTIQKVT GFEKSYAEVRHDTCDATVAYRAVADHIRCLTVALADGAMPDSVGRGFVLRRIIRRAVR YGVQFLGAKVGFFHQLVDSVVSSLGPFFKHIQEPRTVQRIKGVLADEETSFARTWETG LKHFNKAVAEAVNNVISGENAFILHDRYGFPVDLTSLLAEKANMSVDLEGFHATMKAS QLSSGRVAAAKTFIDVHQLEELKAGGVSPTDDSAKYTWKKHVAEVKAIFDKKSGSFVD VLLPDSEMGPEDIGIILDVTNFYAEAGGQIYDTGCIVAAPDAIFDVRKVYNVGGYIVH VGGMRSVEDGAAAPIPVTASVELQVDYERRLPIAANHTSTHILNWCLRRVLEEEAKDN FMEVNQKGSLVTPEMLRFDFSYNNKVSLEDLIKVEKLINQIIQQGLEVYRKEIALDAA SRIAGLRHMFGEKYPDPVSVISVGVPVEKLIAEPESEEWRAYSTEFCGGTHLSNTQDA QLAVILSEESLMKGIRRMVVATRDAARKAQEGGKALQQEYREIMSRPASDAVAKSLSV LNKKVGDSAIPLVVKNTLREEIDGSIKNVLGALKAQAAQMKEKATEAGRAAAEAYDAS AGPLLVRHLTDTGADREALQAYADGFSKAVSGDVGLFLVGSDESKALALVSLPPAFVA KKLDAVSWAKTAVGKGGGKPSAAQSGFPAANTTQVLQKAEVEAAKMMAVLLN XP_845194.1 MLLVGLTGGIACGKSTVSLLLKESHHIVVVDSDLVVRELQRPFM PCTRKIARRWPNCVDPQSGEVNRAALGSIIFSDPSARRALARIMNFPIFRATMKMVIG LWWQSLRQQLRGQGPLLVVLDVPLLYESNIYTWLVDRVVVVSCSEEQQVERMAKRNGL TREQALQRINAQMPISEKCKRADRVIHNEESLSELEHSVADTVAWMQQQSGGRVAFAL SGALAVGVSLGAVVVYCCLRIVF XP_845195.1 MVKANYIRAGRLVRILRGPRQNRVGVIVDIVDANRVLVENPCEQ KMWRHVQNLKNVEPLKFCVSISRNCSTKALKEALESKKVLEKYAATKSAVRIAAKKAF AESTDFERYQLRVAKRSRAYWARKIFDENDKKNPVSWHKVALKKLLKNAKKVDSTPAA KKRVEKARAARKARVASGKTKAKSTAGKN XP_845196.1 MNAASPPEISSVSQCECSWTTNSKMYRLYISRLLFIDTVEEVTL RLARVRKQWGSHRPIGIRVVNESVHVGRTSLKPISANPGWIGVGWDDNDTRHMHVEEI RNGIASTSGDSANCSDAACFNISSSQGLMLVLEVVGGEEGHVTYGVGMLNSTAILREN QHYTKYPVYLFPTQAAIDSETVYAIAEVEVRSSEWDGRREDGITPLEYDFGSVRVSTL DFYFPSFMLNDEGSYVVTNVISVMNTTVDTALAMQLLPLHDACAYISVEPAHVVTVRP QQRAYFTATWTIGECACMKKLHLQLLVNGPRPVSAPIIINVHSSTPQPNAIDTPYHYW LNAACITNRHFSPSDEFPLLLSLLPVFRPSVQRHKNGSRGAVTHDLEDGTPFIAEAVT IVGALNGNSMVYPVSADSSTQGVQPVSAHSQGPQSGVTMLPFDAPAVDVSQKTCRCIL RLGTIRGFPMVSEFAASASSAFQVSITLLDQQGWKVVTSETQPQYQSASGSLQWDDEL ELWKWPGAKCQQFLRLNLAEVRPYDGDTVPIGAALVSLSTLDRIHPCTGFNVAFYVYE TYSLYDSLHTSSLLMTGASITFLELPMV XP_845197.1 MNQKRCEGGKHQTVDANIRRFPMGGSPQASQLQQMLPHASVRAA CSPGFFPPAPMYGHPLPMPGGVWWPPVLLPRAPVQQEFTNSLPRGNDSRQKCENMPAS DNSDTKRGVLDIVDNQKGIGFPENFKRLKKHLQSMVKAFVDDPTAHELSFPPTLSAAG RQQVHKFAHTFGLFHKSSGSQNERFLTLRKFNKTSEEQGRQFLIANGVRVRTEGYQGA QRLPDEYIRPKVSNINLLVGPLLKRMFRDLKLRGEQRQGGRQLTVVQPGLGNVPTSKV PRHRYAELQRFRVTLPAFRQQGAILNAVKISDIVVISGDTGCGKTTQIPQMLYDAGIF NKDLQIVCTQPRRVSALSVAQRVSEERGEACGNSCGYIIRFDNITSSETRIVYMTTGI LLRRLRTDPQLSDVSCLIVDEVHERDVETDFCLLLLRDRIIDQRRNPGAYANHIKVVV MSATIQVEKIISYFSGVTVGETIPVIKIPGTLHPVRECYMEDVLQWLQMPLSTLASMK LPNNALSMQSTGNNTEDMAKRSVYEKIKEAVDTKLGFDSQAHVPYDIVVKLIGHIHRS SQHLSESILVFLPGWQAISRVANMIRMSNVSRELSVLQLHSSLTAEEQRRVFYRAPKG YRKVVLSTNIAETSITIDDIVYVVDSCLTKVSSYDPAANTSALTAEFISRANGLQRRG RAGRCRPGVCIHLLPRSSYEALPEFLPPEIMRTPLEEVCLLAKALRPEETCVEVLSRA LDVPSEYSTKHATNFLKDIGAFTPEAEQLTSLGRALSRLPVHPLLGKMLLAAACFGVL DPVATIAAFLSGKSPFLNTPNQRGDLQKAVDAIDNGFLSDHMSVLKLFDGWKRSGCSP EYAIHNFADQAVLRSISRTKNQLLRFVENSALLRRTKNPVKFASRHSDNLGLIRLVVL WSLYPRIASLEYRSKRSGGQPEIICWDDKHCQLAATSVLARKRQNKYGDRAFIFFNER MFLETNLTIFDATAVTPVELALCLRELTVKSVKEIPPSLFTDHESRMTPVFPYVPNGE KESENMAALFFDNCKKMYLTNVDVATCLRDVRECMDYYLALSINRVRADLFPEELSCA IAYAIGYPLASFKSGNTVVGDSSESEFSETGEQAGSSRVMEGGNIHVEADSCSDSSGD IPEMVIDEFENFDLTDAEMRQISISLGNLAVFNRYTASHQFLSAETTATRGEGEHDAV AGGGQKRVEYGESQGPEATGESEGNAPVTEEGGFVTRDEEAPDTAQE XP_845198.1 MVGKYLLISLVLVAHLGIRCCANVDANPLSRNVIPIEIHRIVAV GDVHGDAERFRQILEMSGVISLRSNSSKQVVWKPRWGTKEGNFFREYGTRLRTTLIQT GDLIDRGEEDLEVLEMAVSLFNEVRTNYTDDKVVLLMGNHELLNLQGHFHYVHSKSMG GFLTRALRKRAFELDGTFGGFILENFTVAYAVADTLFVHAGIDEHVVSDGIERLNREA KQAIRTKNFGHILLGSTGPLWSRKMFLDASNGRCADTKKALASLGVKRVVVGHTPQRS GRVETFCGGSVIAIDVGMSRWMYGNIAALEITVTSYSSGDGVEEEVVLHEVLASDARR NGTLEDFLNDTLFLEELQHAVEEYNQRQTTKGKNDVLDDL XP_845199.1 MCRQEGGGRGCVYPHGNCRRNLTARSPAGRYSMYKHSPVITAMS LLHLLPLLLMWMPPVCADDSAVTVNVLSMMYNSEYYVEKVNAINAGFDASLSAHGWKT GSGATISVIRPPSYNTTAEDIFQLGAKQSEGKLLVVFGPLGTDPVVWVRDKLKENDLV AIAPIAYSSEVRGWNPHLYSISVEPNAELLALIRYAVVYLGLPRVGLMYAKGNGFDKE SYEFTMRIMEMMGRKPCGVFAVESSGGRDVLEGELNTKWGQFVAARPQAVLLFSSLEE ETTGWFVKKIAQDNRTMDMYLLAPSSFQHFLIKTWSDALVSLNRTFTPGQLITTGTVP LASDNRSSMVRHFQRDMDNYLDTNSDWKGFAKPEHYLKDDKLGEMMVFGWLAGEVLFE ALNNAPQLTNRTSFMESLYKQRRYVIDDFVVGDFGGECDEGAALQGAMCNCNQGGSMA HMRVVDDSLSLKPMKKGSVTWSVSECSSANVQVSAPLIGLYVVLTDDKVAQRASMRWS LGARSLEEADDVDKRIFFHSLKVNLKNLTQSLEQVRDTKAVAAVLGVVTADILSVPNM TFIGPIPLFPRLNKFWRNVIHLQPLLAQQLYVLAVYLSNTSSLGVKALVRGSEASEVV DTLDKSLVTFGVSLDSSKTLGDGDPISSYLSGNGDVFCIGLTPPDVAAVARHLQTHLR ARVFVPFNDILLFYQEFVAAFNASKESIASSERLLFATSFPHWAKKNTKSDMVARFHR HVNESHWDPLTFLGFATTRLLQVVISNMKKVNAELLADRIYTESNIRVDDVRFGPFSD AECVSGTSVSANECASNFGATNISVWSMARVLNSSLPRTQVGMTPSMDYVIPQEGQLT QSQIAGIISGCVSALLLFIALGVFLHISLRNARNNNRAPKEPTDPVTLIFTDIESSTA LWAAHPDLMPDAVAAHHRMVRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLHHDWGTNAVDDSYREFEEQRAEGECEYTPPTAHMDPEVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHAAYMSLSAEDRKQIDVTAL GAVALRGVSDPVKMYQLNTVPSRNFAALRLDREYFFDEGEDGTTTSTSDHSSSRAELS ESAQIIATALQSLLSTFKTAHREKLLLPYCERWRVPLPRKAASEWDDAYCEEVVRRIA VKVGRVADHGAHSGSESSSTQGSSSIIIVPFYDMHLQEY XP_845200.1 MCRQEGGGRGCVYPHGNCRRNLTARSPARRYSMYKHSPVITAMS LLHLLPLLLMWMPPVCADDSAVTVNVLSMMYNSEYYVEKVNAINAGFDASLSAHGWKT GSGATISVIRPPSYNTTAEDIFQLGAKQSEGKLLVVFGPLGTDPVVWVRDKLKENDLV AIAPIAYSGEVRGWNPHLYSISVEPDAELLALIRYAVVYLGLPRVGLMYAKGNGFDKE SYEFTMRIMEMMGRKPCGVFAVESSGGRDVLEGELNTKWGQFVAARPQAVLLFSSIAD DTTGWFVKKIAQDNRTVDMYLLAPSSFQHFLIKTWSDALVSLNRTFTPGQLITTGTVP LASDNRSSMVRHFQRDMDNYLDTNSDWKGFAKPEHYLKDDKLGEMMVFGWLAGEVLFE ALNNAPQLTNRTSFMESLYKQRRYVIDDFVVGDFGGECDEGAALQGAMCNCNQGGSMA HMRVVVNSLSLKPMNSASVTWSVSECSSANVQVSAPLIGLYVVLTDDKVAQRASMRWS LGARSLEEADDVDKRIFFYPLYAESGNAAKTFEKIRDTKAVAAVLGVVTADILSVPNM TFIGPIPLSPRLNKFWRNVIHLQPLLAQQLYVLAVYLSNTSSLGVKALVRWSEASEVV DTLDKSLVTFGVSLDSSKTLGDGDPISSYLSGNGDVFCIGLTPPDVAAVARHLQTHLR ARVFVGFGDILLFYQEFVAAFNASKESIASSERLLFATSFPHWAKKNTKSDMVARFHR HVNESHWDPLTFLGFATTRLLQVVISNMRKVNAELLADRIYTESNIRVDDVRFGPFSD AECVSGTSVSANECASNFGATNISVWSMARVLNSSLPRTQVGMTPSMDYVIPQEGQLT QSQIAGIISGCVSALLLFIALGVFLHISLRNARNNNRAAKEPTDPVTLIFTDIESSTA LWAAHPDLMPDAVAAHHRMVRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLHHDWGTNALDDSYREFEEQRAEGECEYTPPTAHMDPEVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHAAYLSLSAEEREQIDVTAL GAVALRGVSDPVKMYQLNTVPSRNFAALRLDREYFFDEGEDGTTTSTSDHSSSRAELS ESAQIIATALQSLLSTFKTAHREKLLLPYCERWRVPLPRKAASEWDDAYCEEVVRRIA VKVGRVADHGAHSGSESSSTQGSSSIIIVPFYDMHLQEY XP_845201.1 MCRQEGGGRGCVYPHGNCRRNLTARSPARRYSMYKHSPVITAMS LLHLLPLLLMWMPPVCADDSAVTVNVLSMMYNSEYYVEKVNAINAGFDASLSAHGWKT GSGATISVIRPPSYNTTAEDIFQLGAKQSEGKLLVVFGPLGTDPVVWVRDKLKENDLV AIAPIAYSGEVRGWNPHLYSISVEPDAELLALIRYAVVYLGLPRVGLMYAKGNGFDKE SYEFTMRIMEMMGRKPCGVFAVESSGGRDVLEGELNTKWGQFVATRPQAVLLFSSIAD DTTGWFVKKIAQDNRTVDMYLLAPSSFQHFLIKTWSDALVSLNRTFTPGQLITTGTVP LASDNRSSMVRHFQRDMDNYLDTNSDWKGFAKPEHYLKDDKLGEMMVFGWLAGEVLFE ALNNAPQLTNRTSFMESLYKQRRYVIDDFVVGDFGGECDEGAALQGAMCNCNQGGSMA HMRVVVNSLSLKPMNSASVTWSVSECSSANVQVSAPLIGLYVVLTDDKVAQRASMRWS LGARSLEEADDVDKRIFFYPLYAESGNAAKTFEKIRDTKAVAAVLGVVTADILSVPNM TFIGPIPLFPRLNKFWRNVIHLQPLLAQQLYVLAVYLSNTSSLGVKALVRGGEASEVV DTLDKSLVTFGVSLDSSKTLGDGDPISSYLSGNGDVFCIGLTPPDVAAVARHLQTHLR ARVFVPFNDILLFYQEFVVAFNASKESIASSERLLFATSFPHWAEKNTKSDMVARFHR HVNESHWDPLTFLGFATTRLLQVVISNMKKVNAELLADRIYTESNIRVDDVRFGPFSD AECVSGTSVSANECASNFGATNISVWSMARVLNSSLPRTQVGMTPSMDYVIPQEGQLT QSQIAGIISGCVSALLLFIALGVFLHISLRNARNNNRAPKEPTDPVTLIFTDIESSTA LWAAHPDLMPDAVAAHHRMVRSLIGRYKCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLHHDWGTNAVDDSYREFEEQRAEGECEYTPPTAHMDPEVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHAAYMSLSAEDRKQIDVTAL GAVALRGVSDPVKMYQLNTVPSRNFAALRLDREYFFDEGEDGTTTSTSDHSSSRAELS ESAQIIATALQSLLSTFKTAHREKLLLPYCERWRVPLPRKAASEWDDAYCEEVVRRIA VKVGRVADHGAHSGSESSSTQGSSSIIIVPFYDMHLQEY XP_845202.1 MCRQEGGGRGCVYPHGNCRRNLTARSPARRYSMYKHSPVITAMS LLHLLPLLLMWMPPVCADDSAVTVNVLSMMYNSEYYVEKVNAINAGFDASLSAHGWKT GSGATISVIRPPSYNTTAEDIFQLGAKQSEGKLLVVFGPLGTDPVVWVRDKLKENDLV AIAPIAYSGEVRGWNPHLYSISVEPDAELLALIRYAVVYLGLPRVGLMYAKGNGFDKE SYEFTMRIMEMMGRKPCGVFAVESSGGRDVLEGELNTKWGQFVATRPQAVLLFSSIAD DTTGWFVKKIAQDNRTVDMYLLAPSSFQHFLIKTWSDALVSLNRTFTPGQLITTGTVP LASDNRSSMVRHFQRDMDNYLDTNSDWKGFAKPEHYLKDDKLGEMMVFGWLAGEVLFG ALNNAPQLTNRTSFMESLYKQRRYVIDDFVVGDFGGECDEGAALQGAMCNCNQGGSMA HMRVVDDSLSLKPMKKGSVTWSVSECSSANVRVSAPLIGLYVVLTDDKVAQRASMRWS LGARSLEEADDVDKRIFFHSLKVNLKNLTQSLEQVRDTKAVAAVLGVVTADILSVPNM TFIGPIPLFPRLNKFWRNVIHLQPLLAQQLYVLAVYLSNTSSMGVKALVRGGEASEVV DTLDKSLVTFGVSLDSSKTLGDDDPMSSYLSGNGDVFCIGLTPPDVAAVARHLQTHRR ARVFVPFNDILLFYQEFVAAFNASKESIASSERLLFATSFPHWAEKNTKSDMVARFHR HVNESHWDPLTFLGFATTRLLQVVISNMRKVNAELLADRIYTESNIRVDDVRFGPFSD AECVSGTSVSANECASNFGATNISVWSMARVLNSSLPRTQVGMTPSMDYVIPQEGQLT QSQIAGIISGCVSALLLFIALGVFLHISLRNARNNNRAPKEPTDPVTLIFTDIESSTA LWAAHPDLMPDAVAAHHRMVRSLIGRYKCYEVKTVGDSFMIASKSPLAAVQLAQELQL CFLHHDWGTNAVDDSYREFEEQRAEGECEYTPPTAHMDPEVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHAAYMSLSAEDRKQIDVTAL GAVALRGVSDPVKMYQLNTVPSRNFAALRLDREYFFDEGEDGTTTSTSDHSSSRAELS ESAQIIATALQSLLSTFKTAHREKLLLPYCERWRVPLPRKAASEWDDAYCEEVVRRIA VKVGRVADHGAHSGSESSSTQGSSSIIIVPFYDMHLQEY XP_845203.1 MCRQEGGGRGCVYPHGNCRRNLTARSPARRYSMYKHLPVITAIS LLHLLPLLLMWMPPVCADDSAVTVNVLSMMYNSEYYVEKVNAINAGFDASLSAHGWKT GSGATISVIRPPSYNTTAEDIFQLGAKQSEGKLLVVFGPLGTDPVVWVRDKLKENDLV AIAPIAYSSEVRGFNPHLYSISVEPNAELLALIRYAVVYLGLPRVGLMYAKGNGFDKE SYEFTMRIMEMMGRKPCGVFAVESSGGRDVLEGELNTKWGQFVATRPQAVLLFSSIAD DTTGWFVKKIAQDNRTVDMYLLAPSSFQHFLIKTWSDALVSLNRTFTPGQLITTGTVP LASDNRSSMVRHFQRDMDNYLDTNSDWKGFAKPEHYLKDDKLGEMMVFGWLAGEVLFE ALNNAPQLTNRTSFMESLYKQRRYVIDDFVVGDFGGECDEGAALQGAMCNCNQGGSMA HMRVVDDSLSLKPMNSASVTWSVSECSSANVRVSAPLIGLYVVLTDDKVAQRASMRWS LGARSLEEADDVDKRIFFYPLYAESGNAAKTFEKIRDTKAVAAVLGVVTADILSVPNM TFIGTLPLSPRLNKFWRNVIHLQPLLAQQLYVLAVYLSNTSSMGVKALVRGGEASEVV DTLDKSLVTFGVSLDSSKTLGDDDPMSSYLSGNGDVFCIGLTPPDVAAVARHLQTHLR ARVFVPFNDILLFYQEFVAAFNASKESIASSERLLFATSFPHWAEKNTKSDMVARFHR HVNESHWDPLTFLGFATTRLLQVVISNMRKVNAELLADRIYTESNIRVDDVRFGPFSD AECVSGTSVSANECASNFGATNISVWSMARVLNSSLPRTQVGMTPSMDYVIPREGQLT QSQIAGIISGCVSALLLFIALGVFLHISLRNARNNNRAPKEPTDPVTLIFTDIESSTA LWAAHPDLMPDAVAAHHRMVRSLIGRYNCYEVKTVGDSFMIASKSPFAAVQLAQELQL CFLHHDWGTNAVDDSYREFEEQRAEGECEYTPPTAHMDPEVYSRLWNGLRVRVGIHTG LCDIRHDEVTKGYDYYGRTPNMAARTESVANGGQVLMTHAAYMSLSAEDRKQIDVTAL GAVALRGVSDPVKMYQLNTVPSRNFAALRLDREYFFDEGEDGTTTSTSDHSSSRAELS ESAQIIATALQSLLSTFKTAHREKLLLPYCERWRVPLPRKAASEWDDAYCEEVVRRIA VKVGRVADHGAHSGSESSSTQGSSSIIIVPFYDPCYREMCSF XP_845204.1 MGHPIHFTFKGATSIHKYIMTDIYSHEGTELGFRQPRLWRCGTD EFSCRGGVDGNPIQFPRVNIRQYASKRVRCGGRREPGFPRYRGEAKVHQKRHAFPRDT GVPVPTHPTNTHTLFKAKHFGYLKALAEHDSRHHDSWRHTSPFSVSTASHLCKRETKK MWVCLCSIEKLKEIRQPSTHTHTHLQHPSSQKMGEAGTTAATQSNQQQ XP_845205.1 MEASAEVTGVDGNLGNGKGCATAMVTRGGGDEFSERIWERRHNA SLLGGPSAGNSPMDVKRCSLWGNSPPCPRSVGRPVDDNTFTRSIVGATTSLEVSPLAP EGGLLMVEGSSTFTPCAYRRRSCQHLGEPAEGSATTPSVSPTSVAAGLTPSVYSARAT RSESAHGAAISGVAAIAAAAAANSTTVASANANNTAGITTQRTGEPLELAGDPSPGFI ARLDWGDAVDQVTGGETKDVHASRSDAHCLNEAIGGINLLDELSPLCTPNTRRTSGRW GSNLGIRPTSDSSYSQLARPERLPPQESDLLLGGRSAGMADYQRQQQHQKQELHDTYP LRSGQPYERFTLSSAASGAVNRNPIMSHGSRNNSTIDMMQTSGTNPNRRISFMSGSEH QGKGWSGEKARDVHAAFADPTALLRAEEDADALMRLATKVVHHRHYSSHPVMQQRDLN VTALAGSMMSENGQSAAFTASGSQNSHDAAARSRAPVQMPLHGGRIVKLAADQQGCRM LQSVLERFPFHSSEVQKVISELLPVLTDVMKDPYGNFLVQKLLEVAPDEERMRLLDYH ISASLCDVAISPHGNYAVQKLIDSLRSSQEVQVVCRALQRGTLQLMTDLNGGHVIQKL LQCISPKDLTFLYDVIVKDTVDVCNDKHGCCVVQKCMDHAINVHLQRTQKAILRHMLQ LSLNPYGNYVVTHLISMCNSQSQRHVVNEAAHCAGPALELLCANKFASNVVEKIVQGC APSAKLELCRFLFNRSTINTGMSARLMGMDYVLGEQDPQQRLMIQPAAAFVTPYQENT ALETIVLNSYGNYVVQTMLDVLPISPELAQLLFLLHKLSPEIMKHNFGKRIASKMEQA RERIITHLQERGVNAGEADLIGNRYTDRLADVSRSVIESSFHSNIPMDRPATNYNING SGTAGETPQMKPQEVEIIKALLASLGSFAPAPPPPPASAAGSVRGPLADVQRAVDVIS GPRSNKRKQTQRGRKG XP_845206.1 MTATTTPSNSSSLKNDCEEGAVGAQLLYNSTEKTASRLLLSAER YVKAGQALLVLAVASAGVVGLLASWQYRRIHRVWRIRHPRRLAQQRQAMWAFGTFGTA TFLLLLSPIGPGGLHEARLEDVKRLDDIAVRALILKRRYESAAALAATLRENETTGWW WRTTAQQETEAREMFERCEDEWRALMKERIAIDPNV XP_845207.1 MVLTLVGSLEDHNVHRILLVAAFAQTKVKVAPITDGVENATESY RLNCHPLGRAPVLKSDEGYLFGTNAIIRHFARTERPYGPHGSLRYPTPEHTVPYTLYG KSELEVAAVDQWLAFIMTEVDPHVLQIVEAEKRGSRRQEQAAAQGACDAVLEALGDLE QQLKSKKKQLQGHTCNGSTHLNGSVCVEEADGGLSEEEGHIAFTPRGTTALRGCHTYN IKSCTEERHESSSIMGNSPPDSKEKGNDASSFPWQSLCTVNVMSPRGSAGTPRPVTIA PQRNVAPPSDIIFLVGDSLTAADLVVSMAIVQAATTKLLMPLVKQKCPTLTQYSRVIM RLPVADNLRTALKINIM XP_845208.1 MNNSNQGTRYPGTIPQGIPIAYPAQAGAPNPYQSLRGVFPVTTQ ALVAQQQQQLQQQQQQQQAQLQQQQQQQQAQLQQQQQQQQAQLQQQQQQQQQQLQQQQ QQQAQQQQQQQQPRGRVAVEGPYGLLALPNLIQHPVNAEKSDSAAFLYLTRGFELNSL GINVAQQRPLHPTLASIALERPEVPVIPEYRIPECYKQARPRQPTFRMLQKYKNETLF YIFYSMPRDLLQTVAAKVLVSRGWCFHKARHQWMRRVVQNEYEVFNQNAWKLENEEMH QLNASDLLRDIPDSFLAAPGTTGTGAAAPPPTSTNSNSSGNNNNNNNSSVVGGD XP_845209.1 MHMYNPVEGSKKEIQSSYASSSAEGSRNDVNNNNNGNNNKSNII DTEPGHDTRRVDSHLLQEINDSGSRCPPLVRLLRTRTVTTKKNGKRTTVSPPPQPQQD QLQEQEQETAESTLNLDDVMPTPIFDGGRDLCFSGMMLPKFTAARSIRKCRTGRTRSS TVVKRVAPKRVERCYSGCKINHGRSNSAISIPACRKNSNRLHRCAVEVDRRYQECTNG CDCNSEAGEIYHEERKENSTCLRCHTTSSRPNTRRNSNSEILSQTPPNTSASGVSKDN CCTSVNVLNDTGGRSRRFTSELYREASTFVLVPPSTANPHGTVSFREQPITCACACAC DGRRAKGESARASANTKNGLVPAATRDNAEDERECSNDELEATGLISISELTLGSTGR SMTAMMFVGADHLLPQMGCELLLPSPSTSLSPEYINSPPRSKRSKGLKRANQMKKEFK QQRRLDEPPRADMEMSSPSVSILRGNENDSPSLSSAALYEWNGLGNDQGALDMLRDSR DSLQRAYSELQGGVLCLTDFSRMDNQSLDSRMTRLTGAQAFGLNVKDKHPTVCRPRVV RSPMNFTIVSDAFDPLQHVQELSVLDYGRNGENISPVVERPDAALPFPHPLTPASSYI GSNVPPGRSPSLFTPKRLASHHFHALKGLYSPVDAAASRERIESHSNSINQRYSNQPA QCSANNTKEQPLEWTTRRENSEGVLSQNPDNAPPFFSGLMLNFARLNSEASCASADTI QSASSNNVSNLFLKVYQGMEWGKLQMPAFQLDQQNGGS XP_845210.1 MDRTTLPVACPSDTQADGACGVLAGGRDGGEHHQRKQSVQPSNW VASPIEMILLKCVLGEVEGSSEELQWQRMRLSGLGPSLLVLARRVELEDVTETDARCY ALEVPPKLEVPPVVRQLLASTLTCGDLRVSLQFHWAHSLETSNTPRRNRMDSPAPRFV FFVKTDRSVTIPTVSSVLLSSDGGSKQEQDTGCGGTDFPSEKFAIGESTRLLLTLLRQ TSLWNMAFLNHSGVQGSFCNVIQKLGNHDGKTRQVEQVVQAICYLHRVLKERQQEEGK EVDNLMEIIFGWSQMMHETLSAPISTSAHPATDEISFLHVREPTCYDDCDDFGPPKTL QTGRGTDKGERTSHAKGTMPSVKALTPPSFIPTMLRSVSTWSAATSTLGAEESVGDVS SGQQQQQMQEELGNIADDGRRVPEVKQDKELYPVLSVCTDVLVDAFHLQGNQQHVLKT EWSLGDGRRAGTPESSSAAAYAATSNSLEEQLPAVSKSDSSDDNEKAEHAIAAERGGN TNSTIKTKCSGAITGGFLPSLTLRLASGLFSPDVGRQYRRRLSALARGDFFPSRRAME KADLLQRRLQKTTKVWFYDLFPQHLLAASDATTNAGGTAALYNSGTGLSPGGVGVKAN SGHPCYLYLTETDIILASAFDNINRRQASVGRGEERVALRTEKTSRSNEVTNIKSRVV SDSERSGNSDNTNEGSCEPPFSPYGSDSEDNPTSHFNGDSSDSKDYIRLRLVFPRVSL ADVQWLTTRDGTPSTVLLLQTRACRRVWLAFHGIDAVSAVHSELIAPMTSSYGNRLLA SARRPWRMVGLVLTAGDVLPNPYTPFYLQVIRNILTAFVDLEERGGQKEEERRDKREC EYECEDSTQGRAHHWWLYSVAEEFERQDIPLKQWRITDINIDHKHFTTYPERFVVPNS LHDDRLLHTARLRGRGRVEALSFYYSASEAGLVRAAQPAVIPALYASAASAKALTDPV EEYRNACMRPVHIFDLRSGIRALSSTLVGGGFSLGENRRFCSLENIHHVRESYDSLCE EIFTHNPNFPTAVMKCATAPKRQNTQAGGPTSSLAQLRAQENQPLGKAKMAWVEHIRG LLRTAEDAARLVAGVSEENALFPAKTDVGTSSTMYMWMRAFHSVCRNIGGVKSAAPLP TESQQIPSYTPLQSRKDARLVMVNCSDGWDRTPQVCALSQLLLDPYYRTVEGFLILVE KEFVAFGHPFATRSTCTATGKKSQWDTQNGSSAVSEGSGNCNNGGGNGDTFDEKESSS PSGSPHTLLGGKQNSPIMLQFIDAVYQLLRIYPHCFEFTENFLLLIVDILNAGIVGTF AVNCEADIKRWGVEKHTLSLSQLIALVLATTIPTEGEQLTSLESSIDVRRAYDDGEYR VTNASVDTEATTSPCSHPIPLQSFFKVGYGGSSIYTPNWCPAVGKFSSLCKPRRLCIS SDALMYSGLLNPHFSLADNKECVGPLLDPLPLDGITLWERFFMRYSFCYERPQRLRRF SEQSPSTYHAVTVASLRDSFSSTSQRYFHLAVESEQRHSDEGKRLAATNAGPVECCSC ASASHSSPAEGREGLESGQQPFTGCTTNQRITRSQPVIHCGVNRGGGAAFTPPARLAG HHSPVPMGAGDPFLRRSFSHAALCESTYSARGQISRRRSGNNSCGRLEGSECSSGASK SCALRQRDPGQQLTKSRSLPFLDERWSSLQQKAAPAGEESRVGRPFSLTSSLGEGSIN SPSGTGTEARRSQQQTPAVTPPEPAAPRLHGGYESLLDELEEAFDI XP_845211.1 MWCQCCLWWLDHLSVRRCITPLFVLNEIKTAYFFLGKKCERPRL SPSICSHVHTHGVASSPLLVSCTFEGCVMVYILAHDVVLCLLLDRHGDPTAVQSFVQN KWDSLRETQLPSTMSLVCWLWHSLKAKRGQQSGEIDEDEVGDGIRVATVPGGNSPIET PYRARNAVEPSHAEFVESPTLATSTSDCYPESTPLTSRVAKITVKQVLQWVKKARPTS DGHEFHFAGEADEPSNHTSRERNVTTRCRTAEDLWVLTRLVALVRHAVATLMRQLLPL SCQTNGQRCDQAEEEEIEEDDHSRFTDFPHECVVLVPLHVCRSIRGLSLRQVIAICTQ KQTVLSEWSKSRRSVSFIINPALPPTNNPHRAEKNKRLDRIQQAVFAQYYESMAHSSN RRANGAFNPVIPLHQDEAQGLQNRKQEESFSSFLRGASIGFDMQLMALSGGAVGYYLG YVRGRPANDCIVYATVGLVLMLLVDALLLILMLRRQDESLRRERQNRWKWLQPIGRDE KKSQRGEDYHAEGRGSVVAAALNAKKNA XP_845212.1 MSGLSVRAALSTPPFSTFCEFKVDEPPRRGQLAALCGGNRANNT GDGISQLPAVEPYGRSAAGVVVEPAHLRTPTALEKSMHFLVQHYLRKPHGSAVFLAPF DVWRYLWDRMRQVRTNWVPQLPPVGAELRDDGCGERIEGNVNEGGDLRGGILQQQCET ITTKQMVRRESRRRLRWLEFTVAALSVGGANLCRSVEGCRHFVQEKQNFFESIAQCFS DLVVSYRAEQRLRNSEMFSAVILFYGLSQLTKIENRAGFFRVSQVTVSSVSGGTTVAA PTSVFEPPSSSVDFGSVYRELSYIPCMARSRHVRIALKIIHCWCQREWFRFFYLCRTA RLTVLQRAILSHSFSYARFRAVVDLVTANVVVYGKGRVRGSMAVSELAELLLFTPKHC VELLLTMGLGPQLSEDRTVLRLSQQDSSPYTTQEQIFRHLEETGGKPRLCLPTLSSFV GFTVWQRAFELFPSAFDGDAAGTVSGASSPPVTDLENMRCPVNLMQLLEPYCPPYNED VAALELLDAGSEWFDGIQASRERMLAWCVNNASRAEVKREGMETEAQDDSRWGGNATM GCEYDGVSGSMKGDELGEELREVVAEMDRESVSTRSSVFDDDDETELTHNETGFGLHA EEVCGEEDVLNNESDYGEEDEALREANILINSLQNSSIYQLASSNMQKETTKELPDTA AATDPIKGADEAHAAAQGSSQRNNEDEKQEQQKLDENASELVLYKPSSGAETAVTPLP SDHPGVMSPTLHATVEAPESESSRSTENSEVEIVAVAERPQQANKKECESGERNETLA QDQSNRSMLFGSQSAVPAFFGVSKFPPISFGNLHSGFPGSPTQQKANVEENVQGKGDS ALKRSRSEEIDNNATIPGSYLSRQQVDTVLDTTTSSVDDRIMLLPSEVEEESEQRTLK AEVQQKEDAPRQRMRAERGKVDTDTSERSVPTTSHCATSSDVDPAPRLPEAGGPSLVS YKDVIKACVDEMKGKEQRWPRMSEADVSLLPRAPSKPSREQSLQVHSPLPFCFSTGAE YSAVALLLQELPQWVDTFTSYLVSFYSASYSDVTACRHLTDIICRGSRSQEITGWMCR GFTPSMIPYSETGEDSLLNFNEELSHDESTNAPTRETPILRLTSKIIVFGSDLREHDD RDEHTGTDGASLFASSGLSGGAGSRFVSSQLSDRQHPSLGHWIAALMLPPAERARVLR EGILYHSKTPQSTDMDACFDEGGGSAAELGKSRDSDHIGIWEQMHVLRAADAKTTPAA WRLLSTAAAAWRRPLPSGSTKMLLQTQIALHAVDFRDVPRLRWTQDTQTSSPAACKVA ACECQREHPTVIIALDTTRHKEFAAGMEVLRSLISQSVEQRAVLLAGVIVVLYTESVQ EDEEKKKTVEEFFWSTWERCSKVAEESELQAAATLYDDKMPPWRAMYQNTIRRAVVKA RSQAQMCSGSSPDGSFLSATSLPSSIESGDGVDSNMKRSLPYRIQKLIKSRRVMPSGA PPDPTLLVVPIHSLMDKNTRAFRSQYGNGGFTAFGVIALKEMLNSSVHSLLQDYEVRC RDFLRKNKFDAW XP_845213.1 MAMDEVDFPSGSHDVRNVSKHSRDCRQGVQPTSLHQQYRYLPPN LLPRPPACHSNGIFTDMPASNEFSPDSVTEGVAINMDDSACVSSQFCGSEIRSPNVSG DETSEYSGGRYTVAERGRNENENERGWGEAKAEHDESMAELILTALQRKDDLLREQAN TLKQRDLELAHLQGEVAGLREEKRLLLQQLRDCNETDTASKQTASASREQQADITSLN AQNKKLITQLSEARREAEEREEKYTADLQYIHEEMQEFSTLVDEVRSLRAALQQMESN LAGERELRKKCELQYRESTREAEEVLIREREENQKKLKRYKKLYEEAEAAGREATNEA KLLQEELQQLTVNHATLTVTFEVNEQRIADMSEEQAHLRASLQAAREETVILRASLER LRNKEYLEAEDEENCEDETELTFHAHFHTEAERSMYGTRKQLESMVATLERRLSDSEG REQMLSAERERLRTQLQQLSVSARKELLEQQQIADERAAVQRVHIQQLQRELDEKQQQ QEEAEERAQAALLRAQEQFREACSELQQVKEERATAAGEESRRHVLFEKQIAKVLQAL QNKLSRRNVECRMLKQQIKLNEEQNRKRLETNVSEPVRQDRRPPVQNMKDGSRVTRPA RLPLHNTVVKQEVLLAAAQPTALQEQRADAHTARFTAPQSPACEYNCIEARLNEALAR TTELEEQLQAKRRKYCAMVKDRKMLMERVEKQQQKQQRQIATLEDMQRETLRKLESTH RRRMSSAFAAAAEGNKRQQWVIQRGVARVVAELVEFIHELESYATVVGDRYVTRAASQ NTKEKNHRVRLTYDSPPQDALSERENILRAACDDITRNFLGVNGGWEALCQSNSFTCS DISASFSFGKAIPQAMRQRVRCRIADYLKSQLLGTNSSRTGTGVTTTPGEMHKRSVGG GGNNKRTMNLSFGLHGEVEEERWLHGEPYAGAHGRSNRNLGTVPGSSSGESLIDVLME CVRYIFQA XP_845214.1 MVLGGVVGGRITANRKSVLQRLNTVTTTHLLCNRLCSGCAVTSL SGSDSEKPKSQRLPRRTKRTNYADREQLMFPDLFVASSEGEAVSCGSWESLDEGVMQP PQPHAAASSLRLSAFVLGALAMPLVGPITYGILNAMAYVGYTMGRVSFYTRYSVAPPL STSGGNSTSATPSPVLLRTSLSSPMDVEASIQQLIAAGAYAQAAALSTTTVHQAECAN SRESLTASDNSGTVSAENIFLENLNGTPYFRGLLQGTTLDCIRVGSSPIHSRGLFTTK ALPRGTRVVVAPQRTYMDAAQLVLLLGDTHTRLPDTFHYTHPTGSLMELVTQPLPHHL MNHSCEPNCCCGLSKEFWPAAAATGEYSECKEVLSRIENFPYFGDANSFFTTRDVPAG SELTISYSHRVAPLFYGENALKKYFVVCRCGSSNCRHFVYKQTDEVSQYFAGRKHCKD KNGILSRFICNGGNNVRVNGNRNIGDDSIKEVGKLLRMGYDDETVFLSLLSSRKPLLR YMQAHLAASRRSATKRELLMCYRHVFKFLNEASPVD XP_845215.1 MAVDPRCLLSLCSTISKASSAKGTNDFVKIGMELWQTAQPYLVQ ALGLQPPPPKVDVDAAVANAGDAHGEQPWVATPLPGQTVRALFIGINYYGTSAALSGC CNDVKQMLATLQKKGLPINEAVILVDEDNFPGRTDQPTRDNIVRYMAWLVKDAKPGDV LFFHYSGHGTQCKSRGDSDEKYDQCIAPVDFQKSGCIVDDDIHKLLFSRLPEKVRLTA VFDCCHSGSIMDLPFTYVCSGGEQASGTPHMKRIREGNDVLGDVMMISGCADEQTSAD VKNTATFGTGSTGAGGAATQCITCMLMNNQSLSYGKLLIETRDMLKRKRFKQVPQLSA SKAIDLDQTFSLTEMFSVDRSIQ XP_845216.1 MCSLITQLCDAGQLADYVGLGWLNAVSSQPYLVQALGLQPPPRR VDVDAAFRDAKGLHGHQPWVATPLPGQTVRALFIGINYYGTSAALSGCCNDVKQMLAT LQKKGLPINEAVILVDEDNFPGRTDQPTRDNIVRYMAWLVKDAKPGDVLFFHYSGHGT QCKSRGDSDEKYDQCIAPVDFQKSGCIVDDDIHKLLFSRLPEKVRLTAVFDCCHSGSI MDLPFTYVCSGGEQASGTPHMKRIREGNDVLGDVMMISGCADEQTSADVKNTATFGTG STGAGGAATQCITCMLMNNQSLSYGKLLIETRDMLKRKGFKQVPQLSASKAIDLDQTF SLTEMFSVDRSIQ XP_845217.1 MKESDGLLLADGLNVCEPVKRSRHPPWYPPLNVDGNDVCVLNSM TECLEKFAPREGRLVRWYTCGPTVYDVSHMGHARAYLTFDILRRIMEDFFGYKVIYQM NITDIDDKIIKRARVSSLLRHFRDVTLEGGNMEKLVKFTVEAQRSASRALSETREKLS QALPEGTSSRVRMEREEKIMELALKETQFSDTSGRIQSAIKAGDFDELFDAASGINGD LLDQLEGHTVTDQKIFDDHARRYERLFFEDMKRLGVKDPDVITRVTEYVPQVVNFIQR IMDNGFAYSGETSVFFDTTAFIRAGHNYPKLKPISERDECNTTEAEMAEGEGALAACV AGEKRSPNDFALWKFSKPGEPHWPSPWGAGRPGWHIECSVMASDILGTNMDIHSGGCD LKFPHHDNECAQSEAYSMQHQWVNYFLHCGHLHIKGLKMSKSLKNFITIRHALDDLGV TPRTMRLLFLANQWNKAMNFSDQSIDEAKERERVLRSFFGSVDMVLRSDTLKEIQGFN EHDRKLNEAWISTESAVDAALRNNFDTPTAMEAIMGLVSETNRYLVTGQRPSATLVHK VGRYVTRILQVFGVVDGNDMVGFTKTRQTDDQLVPVMEALLRFRDSVRSEAKASGTTA NFLPLCDAIRDEWLAQAGIRIEDSPNGPTTWKRDDPAVLLREISERREQQANDRRRKL QNQIETKKKLVEKWRNYTSSPKDYFKMQSGSVYATFDEETGLPTSNSRGEVVGEKELK KLSKELAKYAKAHEEFNSKGGMEWLLEQEQELANMQESLKSTEVS XP_845218.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIAT CGASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845219.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIAT CGASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845220.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIAT CGASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845221.1 MPRTEMVRFVRLPVVLLAIAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIATC GASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845222.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIATC GASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845223.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIAT CGASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845224.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDFGCGGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIAT CGASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845225.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIATC GASNLTQIIYPLSRSCSGLSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845226.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIATC GASNLTQIIYPLSRSCSGLSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845227.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIATC GASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845228.1 MPRTEMVRFVRLPVVLLAMAACLASVALGSLHVEESLEMRFAAF KKKYGKVYKDAKEEAFRFRAFEENMEQAKIQAAANPYATFGVTPFSDMTREEFRARYR NGASYFAAAQKRLRKTVNVTTGRAPAAVDWREKGAVTPVKDQGQCGSCWAFSTIGNIE GQWQVAGNPLVSLSEQMLVSCDTIDSGCNGGLMDNAFNWIVNSNGGNVFTEASYPYVS GNGEQPQCQMNGHEIGAAITDHVDLPQDEDAIAAYLAENGPLAIAVDATSFMDYNGGI LTSCTSEQLDHGVLLVGYNDNSNPPYWIIKNSWSNMWGEDGYIRIEKGTNQCLMNQAV SSAVVGGPTPPPPPPPPSATFTQDFCEGKGCTKGCSHATFPTGECVQTTGVGSVIATC GASNLTQIIYPLSRSCSGPSVPITVPLDKCIPILIGSVEYHCSTNPPTKAARLVPHQ XP_845229.1 MYLSSAFFPTHVLFHYSRSHQHEHRNGRNSSNNSTEGQKENKSG KAGKLSVRLRLGKQSAEGCGVGLDMSRALERLLPTLVKHLVGEVVENDDWEADTTTYE GAEVKAEVEAEEKLLTAQREHENDGNGVCSTKASLNATSFSNKGSSACSSAQRWRRCI EIWRHLLGSDQLFLALLFHSGSLWAKEWSPGKQGGEILETPGPTAGAGTNSSWVEAVL WFLQLHEIPAATDSEEGGDDNKTSFVHSDEKVTEKAEFGNVCGAPSAFHIRSKERTQV QRAATGLLGDWFATVDKCGKRFARAYYFSCAIKIVALVGNATLIESIPHLHNLVQMDP RFSSHLKAFCSILCCLLVEEAQQQQPYRLRLPPPFFLLNFNLIGRGSRVGVWLPRALT GYRGAGKSTQEGDFYSCVVSCSGVVLRMPSSPPTSQGAGDIVLVVRLLDEAHMGSNDV LPLLPRTSRSSQDDERLQEKWVGRARRHAVHGSLHAGGTSPLRCWSAMCAATCSFVRI DVAESRRIVELLPPTTTAAANNNNRRAPIHQQQQQQGPTLFTVPLQRGSRASVGRDPL AAITFTLSTTARRLIGCRGLFTKQQSVHERKSNGHLSRVEKSNTNAHYPVSRFTTDAA SCGDTLGAPCSAFFSRSEEELECLIECALHLQLEALLLCSTLPRDIFPVVDAWAVEAT SNAIDLALATPWPVVRCLRASGFALCLMPEHQQHQSLLVAIGLFATLVQRQKERKSDR SAATGAAPYDGAANASSGAGWWEVFKVCVLGDTVIPGQFCSGFWKLVERAERSFRTSP SVRLVKKQGQSVDVDSSGVEASESPPTHGLERGPPRRLSLRRSKQQRGENVGQGDGEG GEKKICKVETMGSHRELTPFATLRFVTPRTVEVFDDYPLTSRSHSTENRRQITLTDMA VSLWWVLEQTAANIASG XP_845230.1 MEVVVKSIGTAFTVAVIPVLKDNYSYVIHDKATNTLAAVDVSVD IDPVIDYVRRLGGVDRTTDLRTILSTHKHHDHSGGNISLQKKLNAMGAFRIIGGANEP IPGVTEKVREGDHFSIGELKVDVLDAPCHTSGHVLYKVYHPQKAENGIALFTGDTMFV GGIGAFFEGDAVLMCSALRKVYNLNGACESSACDATDVQKRDNHTYIFPGHEYTVNFL RFSRDALPASHPDVSFVEAQLRRYTESVAGNVPTVPSTLAEEKRQNLFLRTCDEAFVR VMNKGETAVKLMDFLYNTCP XP_845231.1 MTSLLAQTTNAAVAGGTPAATLSLYEEHERLVRSIQNLELKTMI CKTETHHLQKEESAAKQEVKRIQAVPLVLGTFVEAVEAGRGIVGGSSSSNYYVRILST LDKEKLKPGASVGLHKGSNSCVQILPNEIDSAVHVLRKEDRPNVTYSDVGGLDMQKQE IREAVELPLTHASLYDQIGIDPPRGVLLYGPPGTGKTMLVKAVAHHTNAAFISVVGSE FVQKYLGEGPRKVRDVFRLARENAPAIIFIDEVDSIATKRFDAQTGADREVQRVLIEL LAQMDGFDQTTNVKVIMATNRWDTLDPAILRPGRLDRKIEFPYPDRRQKRLVFQVCTS RMNLSPEVDLEEFVTRPERLTGADIQSICHEAGMLAVRKNRYVVLPKDIESAYRTVTR KTGDEQYDFYS XP_845232.1 MCAPSCDCLKVVTDAAAVPADDLLGKSARRPIGNQNTRAFCLLI AGYIDEQIRIPITKRQGVSNSHSIGAPSQGMVLSLKEALRQLVVNEYGSRGDVCRDGL NFAVYGASEHKSTSHRIMRACEHLCVLPKGWDDGNYSAGEVQDVFDTTSALNGVMLLL REIGDLRRTAAVYRRRCMKILMVLRKGSVQKSEETQSIPDEECAEDVSRQDVCDGNCN EARMSKVLVEMRKLITDALKDPYAASGKLRSALEQCCEMIQTCSSDMEVSCRHQSKKV TTNTAPVCTSDSSTSFGEETSSSSDSTVDMCTAAHAPTITITSGHVGSSGRVISTNTR SNVYAESDPDAESPENVRKKKKRPLPVLRPARLNPLSQPPQLSCNPLTGGTRQGNFLP PCIATHWGAKQQYSVERSRNRVSWETDAGARVMPRNDSVNTMAGAVSSGGTSEGKIPL SNLRETADQLIARIERAHAQKRWQRGCVDVSEAHVYYEEAHE XP_845233.1 MVSAGSDFLSQQYSIEEGEAERRAQPSLIDILLQLNSPALFRQS QKVTLPCQRDVINFIVGRISDIGMRVERSRNYVENVSPLGLALVRSALQTAYHNSRMD VFTRSRETGMSRDEVSSEGSGENNFATADGTIAGIVLSSLAGSAEPSLFAGAVSGFLA FGVNFAKPRQETFSGRGRRRRRVETATSPLSHDDETDSIESSLPPLDVALWCLHRVAG EILSTAARKISDFKKSPLAQRNGVPVAAQFFGCHKDPFDCRHNNNGRSEQIVIHLLLQ RAYSILKMLHIFALNDTIPADSIPGCAVGGPAADGSGLYTVSAFCSLLKTSMHNDVPS FVHLITESLGNLAEVTRPVVGDISMVGRIALEMISEEVWRFTKSTTPHLIFSLACFSV FPALQRCCTPVNMTRDELSTVANLFRGHIPRLFLDYYKNSSGGADGAGRKQLSSCCPS GEVMKPLYAAPGVKLAPSLDGPRRNADNFEMADGLGNLAAARRGKESGEAVSAVCQLF LGLRNAGNTCFVNSFLQLLFTAKYFSLELVRGVLQVLSERGAADGQVGEDAGGNADIN SNKKNNVDSTKVTTAVFHKLSRGADELVVMVALILSQMHWHLRNGYNSCAIDTYRLQQ CLPPPFNDGRQHDTSEFAMALMDRIDNFVFTEKNDNIEGQDESNEDCSDSGTKFGKRK DEKQRPMLVSRWFGGNLVSTIKCSGCGAARSRRAPFWIVTVPLRRDGDGAAHVTSSAC VAAQGPTANDEREISKSTEIALSADGRVAITTYHTDNASMRGVESKSDCASCDAEENE NNAGVPFQRSLQQLLGRVLNYRESREVLQGENMIFCESCCSNEQAEMFTAMHGTVNHS AGLGAHFENEEDEQSQGVVEESAVEGIPHYLILQLNRFQYSHASESHEKVMDAVAIEK CLHVPVRVERKSSRSPLHSSQDDGNMGNMITSPDPNAHREERGECDELVDIQVQYKLR GVLLHNGPGVYSGHYFSLLFHPSGADSTGKECPEVKGERENDEDGLWALANDSHISSV QSKDIDAVLKGSSGVVGPHETPYIVLYERCRKAVGEESGDGRDDTLFPNRVNDLFHLL RGSAKTAY XP_845234.1 MSDVLAILDGAAARVAALLMSEGINALLAFLRGEAWEESPSTVL NRADGDGDTKSDSLQIPNTLLGCYGFLPLAIAAEVSLAPSTSHQERVALVQDFERRGF RVFTVNLMPDCRGLEDSSRGASAYNASASVLRLNCIEAARNWCDAVYAEDGKEGEGDT GVDCSNIYLSGAKRRRDDDEKSGLAERVAHTDFHNPRRRAQVQLHGRGCGLCVKYALF IVRCCANAGNAQRAAVGGGNCSSATERSRRTKDPLTLLLYDISSLSALCRVRQSTRRT RIRFSVLLLPDDLAYGGALLEESLRGPMSCHYCVKLFQGSADRMLHRRQDVDSGGGEE NECVPFLERLKLWLSAPMESRGVEADGSCDGNSVNHGKNEQENAAKLQGSKPSLFFVR YENLPFCCFLREALRRFPVILPPLLLRQLQSLWAFRHQLSDVVVGLHSLLSPFALSSS MHATMSEANDVTTSPANVVQGTSRGYHENMTQLSNSIELLNILFAAACEWADGHLLNE AVAFAVLYEDLVFGRLTRLKRIPGLTAYVERLSPKSVGPTDLSKALTSCVPVFVPNAL TGSGAYIRDELSQEQQYVMRRPLSLPPIMAVPGAKVSLATELLRSTLLAVLPPHDSLE EVQKAVRAFPCAGGKCDEGTPSEALRHGDTYCGVTYNNFFSPLIPDSVRVLHLLTSHA MASSNVKQQFVPLSLIQRICQLSDESLIRSLVELQLTGMATVNMREFKARSSLLALS XP_845235.1 MGRRSDLRSVAVVAIQRWQGRRLEISQAMRLLGALHIMKKEQDG SDAVQPLHGALAGNAGSGGEDVMALQGVEMLMDIATEYARRLHRDNYEKRRLGSKAAN IEQRVELPLSFQRQILLPDAVVAGIVDQVVSSFSGSGDNKSSLGGPSSVATRMMNCWG TAGNILLAQLVGKRVVSADSHRRLLHFLKCAIKLTKVTVKCSVSPRATAVSPMVQDDE LEVHDVPPLPKPPVVPERRPLKAASGEVTDAGDGDGEGEALAEKVENIDVNAAADVSD RNGDSAADSSDSSTSGSSDSEGGGSSDDDYSDDKYYRDRKKREEARMARIATKLNMDD AAVRAAKTSRDAVAAGTAAASKQREKEAAEEHKSYIQMFGDVGQVLFPALTNMKRSVA SKNGANNATSVDGFTTNPGEPLCCVVCELYGEVEELLVCRCGNKMHRDCGSSTVDGTL YCSKYCHAVASAETITPA XP_845236.1 MWLFLGAVLLVLSHCFASYILPIADCDETFNFLEPMHFLMYGSG LQTWENCPRFALRSWFFSWLYVGPITIISKAVSIYNPSGLRNIDVYFLLRAFSGVVTA LSEIFFVGGVRKVFGKRVAAAALTLLLFNYPITHAAVSILPTSYAMINYFVAVGCWLR TDGSLVKCITRVEGKVPSRMKRSVMGGSQFQAINFAIFGTVFSVVSSTVIGWPFAALL AVPMALDMLVRFPLASTVSLLLSLAVVVPLSVYFDTLYYNGCHGGNGKITWSALNLVR YNMFMGGEGRGPELYGVEPWYFFFKNLLLNAHLMFVACLLSPFVVLLKPSTTSWVSNA TTNSDEIDAGETTGEKGRKTQKSPTTKPDTRPVEPTVSRGRGLLYISPFFLWFAFWLK VSHKEERFMSPAFPFLALAAALSFTHLTFAGTARSVNSENGAVLHSASTRAEPSGRRK QLPGCWLQRMRHTFFLTTGCIVLSFIVTVSLSRTAAIHKFYVGPQQKLYDNYATVREL ARRKASDAPQKGEKTLYTLCVGREWYRFPSSFFLDPLHARIAFIRSLGVDCAMPLPFA SGDGNATCQCGAEGVNDLNKAIPEQFVQDVAKDCDAVFDTVSPNEDDQESADFPRDVF KYEIGEGQTHWLLDAERTPMWCRVLYYPLGVSERCVSRRRVVLLSKQNPK XP_845237.1 MCSLRKLYFAANLFMCFFLFDSSYVSCLMISHIRCKPLGSQVSP PPLTTCLRAGVYVLACESSITVLDVLASVGAGGWIKCLNKKSLLELSVASLRHFKRNE GDDPHGVYTALRLISPLFFSLFCCSFFSFLTFSFEVVYSWCIAVCLVLFPFLPSFLRY LYFYFCFLALAPFCAPSLDKKFGSSYTSNVRICIHVRANE XP_845238.1 MSRIASVDVPTALYSEELSARKPPPEEPKRVSTKQSQTASEAAS DSKSHILMALSFCSRAPPSSRASICSVRTSVRSSRIPAFSHVDFSTFKRPHSQPRTLS GKRVVIMKHSDTNNKKGQKFVKPDIAMARPITHAGVQRDRNGTKHGERSVNGTCVWLP KETCLTFLDFSEKRLRTLPCPHGPGKHSCHGSGIVSLAKGRVNPMECVQVLQLSRNKI SLLTRPTPVARGGEEGVKANGAAGVVGKPLRLTAFRRVVLLDVSYNELVGLEGVECMV SLRELQASHNRITNLHPLFAPHSLLGSPHALQVLDVSFNAITTILPRQNVGSDCDSVE EPQPQLLGLRSLDLSCNFLTELNSVSSLFPNLQKLRVLYNRITEVPPLPKSLHYVSLQ KNFLSKPEVRRGMELRGELPHLTLMNLSEQRVPGAEKETSQFSEIGDSGGTSQLCPTE IKKGAVQKVENEREAAKEEKEMKKKGREREGEGKENGKAKMEKEETKRPSIASSSRGV SHGLCKGCSNSKVKDNKNKRDGTATSMAAGKSDGKERSKRAAASGEGRQKLKAQTESE GCHVDAIDEDIIARMRFRTQRKELDVSKALNRDLDYKRVTAARGVDNYKLVTGTGAMD VSKGSGVTQLTIASLASLKVLEAKLYRQKEETDEVGEGEGDGDVISGDVVGKRSAKWL TPRVNGAFGWNWLASELQPPLRWGEAQRSASEAQHDNYLCFVPGRTVDGEAITAYKTI D XP_845239.1 MHFIGIVGCFLLLYSPLCLLTAVSLRAYPQLLIITLFGAVSAYV SLLLTGILYTIVQNVRTEDHTNAISYVVVLIQVCTSILFRVMLFVFLYRLERFARGYG QLIAKSSSRFALTSAAVGCGMGMVSSLRGAGTLLDATRRLEFYTDGTTLYDFNICPQM PLLQHAVLQAFLLLLCHIAWAVMTGQGVVALLVRRDKRRTLFDPLSDTLLGTDDYSGD YPNRAADVLVTNYNPDVVDNPQPAGNAVIEGESPAAAGGEGLTSSGTAPAVVSEQEPW GYQLNQPQREEEEGTNLLTTSSDKGPKTQEVGDEERISEQDTGVDTQDDGDGPSAQQS TQLLSKSLQEPTVLHRAPTVAVASLVSAAALQLSFSLFSLLSTGAYNYKTMEEVPFRG CMVTLPAQAAITAASLNAMFGLLRAEGFGNNAAGLEN XP_845240.1 MTDFGREAAKLLRATEDALYYRRSTAGSCEWADRDLKFRTSSSA TGDMRGNSNFPFEAAWRTDNDGEKCGDSAAYIAELQRQLRVVMSEVAGLRSELAAERD SRSSTLTALGRRWKEEVLVEVRTSEHQSRRAIDELSVAIQQQRKDEETARSLLQHRVE DLLRDSKVRDRTQFDMQEQLREQVDAMRERLESAVSECALLRVECVQHQERENSAIAQ RLDAELMRYIDMRAEEQRERQQLKQQLKSDVEAFGTHVQELVDKTWKSHLATTRRELQ APIDTLVKQMAQHTEGMTDLSAKVHDCTTTCRAELRLQTTTLQERVSAAEASAAVMLS RIDRAERKADGAQEAASRADATIAVVRDVAERAVVVSQRAMATAQRAEDAIEDRDARV AQLESHLAAVSTAEKLRADLEGVRRTAQRAESGVDALRHVYERDVQEQQNEKRQLDAL MDRVSVYEKQQQHLRTSLESITDNRIPQLQHRIVVLEEARETLAAEASRGENAIMQNQ QHALNIEGTVRSLNERLEQLRRDMNVSSQALSTRVEAANDAALRCETSSSTSRGEVER MERRVAQLEVQSTRLVADLTNLRSTADDNAKDTQTLSAQLQQHQRLWQEQRESRRELE ATAIATNEAEIAAEQMAGRLEVKFNQHMRRVETNMAERTDKLEARANEASSRLDRLED KGQSTSSLVTQVGETVQQQQQQFARDLQACRAKIDALNGTLTEIDEASERRFKDCVLQ QDLNAIRRAVRTLETELHTIGADVTQHKQWAGSQEDCSLRIKRLEDEHRRQQNLLGEM RETLREVHDELKETQHFTTTLSQSVCTKEVRATEKGDGWPSQVPRVEKALFDSHRMTS SSEGQGNVASTPVIKRSTAGESLVAGLAPNVLAGTSMRRVESDPSQLADSPEPQKPPR LSQSSDSSLISQGKRLLSPALPTKVESTAKEGNLAQTGSTVQGSIDDKKPPSASNTKS IIDRPTQSAVARKVEGSSSDSTVNTLEMSVPIEKRGPIMFAPRESSSSASSAEARQPQ QKQHRTQERRGDELVRANVDATALPVSLKPSESNSSSDSITRMKPSVEKTLTPPSSSK DTAVMGPILTVSKADSTREEEEKGDSEAPKTKVTVSQYDDWDDDSEDHPTAPMSEPTR TEAPKVDEKEEETKEVKTAEGKKAEGDGLKSDLSVVTTGRASNVPPETGTDEGLGSIV GSHIGRRGTFQPIEDPGEDISFTVPRHGVSTASSDSSTKSKEAQKQKPPAVKQFTSFD DSSSEEEGA XP_845241.1 MAATPQTDYLLDEHIPAPPYDMLKKLQLFRTTLVNFIEWKNFEE VVRGCYVRVLLEMRSEDMRRDNSDHYYIACVRGARRGPKYSGFSADMACTEWHIVIEL PPCFRATQNGNVVQLNSISNSPFRPAEYQQWVEMTCEAGVPFLNPSQIQFRLDMLEEH KQRALVPEMRRKRYGEDSALTERRERVLKALREEITIEVFSTHVQMPVIAELQHRSLE QLQEIEREMLEMISRVRMTINERSKCMVCRRRLCTVICYPCKHQVLCKDCAQYICNKC PVPSCSEHVMETFEAYTL XP_845242.1 MRRCATRLLSFQQLLCGSEFVPDDAAAVQKVASAFREKYPVAPL PVPIAAGLGRSYLLQREPRRVVALLSSHPSAREVGTQLMQLLSSKNGIEAKTLLQLAD ALRPVSVGMCCELLCALAEKVAKTDYAREVKQMLMREIREVPHLSGTLINSLCLLSDH TLAEDRQNVVDAFNIALRKSFVSPRDYGAVLLFLARGGDHRKVLTLWLWMSHSSARWD QTAASAVIISASLTRKMNTAIDAIHCLAEANLDPTVEAQRRFIRFLAYRVPPLAAYAE QLVTHWHTPQQLWTTDARLVGVELLYTHYHAKNYEHLQGCLQVADASIEEAGRMAERS DNKESTDELRHKILRITGMPYILRHFSLDIADEPWLQNFYRSGMRMKDLGDYPLLLGV MASFARHMKEEEKFLEDIRNIKMSPENFEVTSTFIAEDKCFRNAEDTLHFVNCMGDVF SMEVPKGVVNWLELLSEK XP_845243.1 MHSILPYTLDPERMTGCRKMNTINPYDASVTGPESSARDLDVIR NHLLQEWDRRTTSTVLFNPAAEIDIRSWDLSWTERESHWEENVAQCISPEEVEGLLPA KWFGYNTSSDVDETLARVCKLCTALVDKFVELSKGDNANQSTAKWRQHQAMLARISAV VELLEEAVGETLSMYDLRDPQTVRCLWERMDDEDRRKVASVLGAR XP_845244.1 MECASQEFALLQHLKVSIPNDPVPPQPKAQAVRNTHRVMSPCRR PPVSQKVARIATAVNTKKELQAPRAPMAEFSGEVMTVVGKNNRPNVEYLIYDAKIPSR NAINMQLAEVKKQRAMGEKVRHRCHRHEVDAWGERDDAKCKQRLLKSLQEVNMEQAHR TRAEREAQQRRRMETEANGIESYTVACNALDPEKTIEGKKALRDQLQQAAFESLDNHK KGEPVEGAAWDLHYEPFPWNNDTRRKRIQRDRCVALMDANRELAEKKRDERQRQRAME AAWNYGGGKGVSFGGKRYAHDVGRNPSLQAARGSDDGAVEEGAAALSFGQPTECFFRF EGDNPSVRWNECRKLAEVNKQLAEQAERIRKGERLERIEGEKKFNQYIERIEREEKQS RRAEKLKRQKAMSMVTRDASKKKEGLKKVSSANECHDKFLLYSAHEREEKNRLKREQE FYDNLEKHIASSREAQRAAKDRALEEEKRLLQETISETKRYTEEENRKAKQKREAYKA ALEKQIREKSNEASHSSPMPHRGPEVRVLYRCPVTKDLLPPEEFVPAASLRRTSTLW XP_845245.1 MENSFPVMSILPSSLIFFSLCPRYKYWIRIVRMPSVSTEAHLHI GEAVKSVLRGECVATVEFLKVLSAAASRRDGGRPLEEVSGDTVVIGPCRGHAADLAHC LDTHVLSRAIQGKLNLVFLGNYVDGGHHSVEVLYMLALCMLDLPSVTPLVGRHEMFYP FPPGDFGSLRAELRLRSIHCDVPLDSIEETVRRFFSTLPVACIINKRFFCSSSGIAST YRFTEEISRERSRLRLNEFVQNQPMNEEEDKLYAGCAFVGSHTATGNCLRYTHNALCN FLLRNDLFTHIAGIEFHASSERSENFMSFDRYRESRYLPGWMFGRIRKGLRVPSYIFL FSAPHFCDVNKNSGCILTIVGNRTVELQQLDMYVSRPLIMPGEASHGFAWSQGILLKT LRNFFYSVLYDKVDVNANECGERHLVANAKLPSEEEVMKLKYRRMCELVKKHLLQK XP_845246.1 MTRKQKQRCDLRRNLDSLKSYYKFSPFPRHTRHALHSLRLTPYS RRKTLSEFRIIGAARNQPVLDVMQTYSVVAPTQRVCNLYLEVSELLSVYYDTIDSLHQ TPKA XP_845247.1 MRSKTLKKSLAAMYMRPPVTCYTDACEAPVAMWDGAIPLKETRK LKNGVPVRTVSRTYSHPPQLTPTQLSFNDINSMYCVGNDELIQFFPEGLGGRVFQTMP PGHPRGFLYRKETHLLNLFVDKVQHWHTKRSVLSSLTNGRTGFIVDGPTGCGKSALMC QVVHFARSRNIVTLYVPDAKAWTHGEWCWPSTILPGFFDAPDAARSFLKYFAVANRAT LTSWKLRCTPKDLPTEQGERQPQNLYELCEWGHRAVAPASIDRQSVCVKFLMDELSEE KKLPVVIVVDGWNLFSHETHFRYPHPDFLRGLASFNESSTDIDLYPQELPRIPASRLS FVRGLNKMILSGDDPNKFFITCTTRDFKPFDGISGFPNVETDRFANSLDEYAPYDPEK DSHFHPIQIGNFDEYEYRSFLRFLINSGELAGLGWGPLWHASSDFERKLYKIGFLSGR NPQGVVDHYHQELVWRYDYQRTRQKQYLLKRRMEGMSRGASSGAVGVM XP_845248.1 MLRRPPGTLLHEPSYPLTLAHEPTANGPAQTAREYFQPDAAVLD PQLSEAVSLGTTIMAVSYKDGVVLAADSRTSTGAYVVNRASNKLTKLAKRIYCCRSGS AADTQALAEQTANYLESYETDISQPVNVATAANIFKKLCYMNKWNITAGIIVAGYDPL NGGSVYSIPTGGACVKLDYALGGSGSVFLYSFFDANYKPGMTKEECIRFCQRAVAHAY SRDGSSGGLIRTIALHQGEPEDVTVPWTMTPYCMEKDPKYAELAVQNPPYSSSAKVCQ NLMTSQ XP_845249.1 MPCNCRQGTGTHDHLQDGFARGGMFGVGSPLNAQVDVDLLQLWN SRNSVSEAARIFDPAKGDNDQPICSDADPELLLLIPLKEVCRIRSVSILGTNDDFAPS QVKLFCNPTGVVGFDSVRRLQHQEEIQLAQVSADDRIAYRLNPAKFSSAGCMGMLFEH SFGDDETHILRIELFGESTGRPVYQQTATNVVYEAMANPTDHNVGEELKKTFTIF XP_845250.1 MEVPHDDYDISIMIRVVKEVQELLTSKQFSTYSELDKEITNMLK GYKSIGDGFERFRIDLTKDAANSPDLRSSLVDMNARCESRLRDFECSQKDQINDMLPF IRSTSRILVHGCGDLLALAIACAIQEREGVHFYICEGRPATAKYPQGTGEALLRKASA THEGLKLKEKLQQYCTIIPDAGVGAVMSKVDFVVTGAYCVTEHGGLVHSTGSLQIATV ASAMNVPFYVLCETFKFAHIFPLSTADLRQPEGSSDVPLVEFVPPSMVTLVFSEQGIM PPSAVTYEMFRYHTALFAPGKCQ XP_845251.1 MDLFPKHLNELSDVARPKRLQAYTKLLDLCSDGKLTEGKEFDLL RSCLRGFEDSAERCREYAIQIVSSILVRQPPSVLDWVLPAVVTRIGVSPVAEESEELR LLLLRLAVLCMETFPHEIGPRNYIDFLQVLLENCLRDAYPDLKKEACRACVRLCEIEP VQVKHVSLPLAKVVKNCLLHKHSVVRAEAARTLASLIQRGAVEILADGKDEPANRTTA YTLFVLANDHAEVVRSALVDLLSMSLLDIQERQDQHRRLLPHLLLLVTDHFPAVSEKA LQVLENMGKQYLLDNEDNTIDITKRRVTMKDIEWYGDEEYPDMSLTTVDTSLYPVLRR RPSLGARYVVAESLRGFIETVFADVCAIDWVVPFSSNNRRVVALRILWMSIYHTEKSV VQFVEQILGVLYKSLRDSQDVVQESLICLEILGKFLTPDQYLPFLTSKEAPKESEASQ PTVIQSRSKTVVISSANGEATNSPTLFSTAAASVKCSILVAFRYLIEGSKSLLSATNA THIVSAMTKSDVLESDSEALLCSLLDTLDVVIKVLGERDFVATPDNPLPQEVRDDVNQ RTLDSVLLYAFLCLRSSSFPSVQERVSKCTAHLSTVVTGQPGAIYDLHFKRILSRYGT RMPVSAFSDLVLSSSNIGMYGEQLSNIFLLKLSDIDFTQRVTDCLQYMRMLEELLWRK VPVFSGRQLEEVLRVVILPLGAFRPGGQAHLFRKVAVSSQCALLQEWHRKLLEPSLCD NAFALSSKVVTNWCNASDADDPEMRLMCMVNVPNLSYLPINAGSASDILQSIILRFDD SNDMIRAKAASGLLAILENKNEVCPIVIDEILSQATPLLKKLLIHLDDHDETVGLKPI LVGVLKETAILAPSVTKDLVMDAMAKHQVPDYCQEVLLFIESL XP_845252.1 MMWNVALRVSLATAFVRGRRKIENGNLCSGCDAVVFGARLVWAR LNMESARYFVLIVLRFPGFCCLWNVFGLRFFDSSRRGRIPFEPLLQLVSDLRPGALHF PFAAALTLVDCFILALGAAVRHAHLHSQKQHLAIFWCAILSVVASVDGNFHPLLFGYL HGKGKGDSASDSTSFRTNTSQMNFSMFL XP_845253.1 MWQAIVQVVVVGVSVTLVTAGDDNVIDYRKHGKGFDLLCGLFTK AEKGAKENYIDKLKDDSNYQWFNKGARDSAALKTEVDKVKELEDEVQSHENSARTAFR KAAYGDDIETENADKTFGSRGENQPCNETSRSTTIPKEIVCLCSSASGATESCFVAGT AQNQREHQTPEDRLQLWKELKGRCTVGSGSVEVTVKAIKQDLEDLRNWYSDGQNNGSP QPPPAPPQPPFPPHGVPPPPRVEEEPDPLASKPWVEQLNQAMGHMNKRDAALQKLREK VKKLKGTYGKAKNEEEVKALKESGAGAEGAQPSRTAPQTAARKDSDAQPTQTQKQNIA SFPSLKITLLSVSLCFI XP_845254.1 MKEEQNSCRAFLDMSIDDVLSGRLVFELFDDVVPQTVENFRALI TGSCGIDTNTGVKLDYLGTQVHHVDHNNNIIVLGELDSFNLSSTGTPIADEGYRHRHT ERGLLTMISEGPHTSGSVFGITLGPSPSLDFKQVVFGRAIDDLSLLEKLEAVPLDDVG RPVLPVTVSFCGALTGEKPPGRQQLLAAADDSASSEHVSCAGEE XP_845255.1 MWRVIMKVVMVGVLVTRITAQDDNAVDHEKNKKGFDLLCSLFTK AEKGAKEKSHLDKLKETEDYQWFNKDARDSPALKAEVDKVKELEDEVKSHENGARSSF QKAAYGENIEKEDADKTFGSHGGNQPCNPASEWPALPKEIVCTCSSVGEATDYCLGSG KAREYGQNGNAEDGLQAWVELKGKCTKGINRVEVTVDAIRKDLEGLRGLYPEKPIHDG PPPPPPGGPPMPEEEPDIFTSKPWVKNLNEATDHMKGRDEALQKLREQVEKLRGTYGK AKNEEEVKALKESGAGAEGAQPSRTAPQTAARKDSDAQPTQTQKQNIASSPSLKITLL SVSLCFI XP_845256.1 MKEEQNSCRAFLDMSIDDVLSGRLVFELFDDVVPQTVENFRALI TGSCGIDTNTGVKLDYLGTQVHHVDHNNNIIVLGELDSFNLSSTGTPIADEGYRHRHT ERGLLTMISEGPHTSGSVFGITLGPSPSLDFKQVVFGRAIDDLSLLEKLEAVPLDDVD RPVLPVTVSFCGALTGEKPPGRQQVPAAADDSASSEHVSCAGEE XP_845257.1 MWRSFMQVVMVGVLVSQVTVGEDSWRNHGKNEKMFDILCSLFTK AAEGAKGENYIGKLKHARDSSTLKTETEKVKELESEVQSHENNARTAFRKAAYGENIE KEDADKTFGSHGGNQPCNPASEWPTIPKEIVCICSSVGEATDYCLGSGKARSYGHDQS GTAEDGLQAWYGVKSRCTKDSERVEVTIEAIRKDLENLRGLYSEDQEVDSPPPPPPPP PPSPPPPDSSPLQIPERPDPLASKPWVKRLDEAMSHMNKRDAALENLKEKVKRLKGTY GRAKNEEEVKALKESGAGAEGVQPSRTAPQTAARKDSDPQPTQTQTQKQNIASFPSLK ITLLSVSLCFI XP_845258.1 MKEEQNSCRAFLDMSIDDVLSGRLVFELFDDVVPQTVENFRALI TGSCGIDTNTGVKLDYLGTQVHHVDHNNNIIVLGELDSFNLSSTGTPIADEGYRHRHT ERGLLTMISEGPHTSGSVFGITLGPSPSLDFKQVVFGRAIDDLSLLEKLEAVPLDDVG RPVLPVTVSFCGALTGEKPPGRQQLLAAADDSASSEHVSCAGEE XP_845259.1 MWRVIMKVVMVGVLVTGVAMGKNDWIDHEKDKKGFDLLCSLFTK AEKGAKEKSHLDKLKETEDYQWFNKDARDSPALKAEVDKVKELENKVQSHESGAKTAF RKAAYGDNIEEEDADKTFGHHTVGNPCDETNRWSTIPNSIICSCSPTQGATESCFGGS ENARNHDDTTAEQRLQAWNGVKGKCTSASSSAEVTVEAIEKDLEGLRGLYSDDSKGQE PPPPPPPPPFPPGSPPMPEEEPDIFTSKPWVKNLNEATDHMKGRDEALQKLREQVEKL RGTYGKAKNEEEVKALKESGAGAEGAQPSRTAPQTAARKDSDAQPTQTQKQNIASSPS LKITLLSVSLCFI XP_845260.1 MKEEQNSCRAFLDMSIDDVLSGRLVFELFDDVVPQTVENFRALI TGSCGIDTNTGVKLDYLGTQVHHVDHNNNIIVLGELDSFNLSSTGTPIADEGYRHRHT ERGLLTMISEGPHTSGSVFGITLGPSPSLDFKQVVFGRAIDDLSLLEKLEAVPLDDVG RPVLPVTVSFCGALTGEKPPGRQQLLAAADDSASSEHVSCAGEE XP_845261.1 MWRVIMKVVMVGVLVTGVAMGKNDWIDHEKDKKGFDLLCSLFTK AEKGAKEKSHLDKLKETEDYQWFNKDARDSPALKAEVDKVKELENKVQSHESGAKTAF RKAAYGDNIEEEDADKTFGHHTVGNPCDETNRWSTIPNSIICSCSPTQGATESCFGGS ENARNHDDTTAEQRLQAWNGVKGKCTSASSSAEVTVEAIEKDLEGLRALYSDDSKGQE PPPPPPPPPFPPGSPPMPEEKPDQFASKPWVKNLNEATDHMKGRDEALQKLREQVKKL KGTYGKAKNEEEVKALKESGAGAEGAQPSRTAPQTAARKDSDAQPTQTQKQNIASSPS LKITLLSVSLCFI XP_845262.1 MSTTKLEGVRHSRRKKGDSRLQRPENDEDSATLQSRYDDVEERR LKEWENYQRSHRMKEEQNSCRAFLDMSIDDVLSGRLVFELFDDVVPRTVENFRALITG SCGIDTNTGVKLDYLGTQVHHVDHNNNIIVLGELDSFNLSSTGTPIADEGYRHRHTER GLLTMISEGPHTSGSVFGITLGPSPSLDFKQVVFGRAIDDLSLLEKLEAVPLDDVGRP VLPVTVSFCGALTGEKPPGRQQVPAAADDSASSEHVSCAGEE XP_845263.1 MRCVRSAAVLVKFGRSFDSTTRFGKELGFSHKMRDIPVLTGNPR PVAREEEWSCRYKLTDRMEDVVMDILKKEGWCEAEVQREMSEIFERKTQGCRSSLNTW TTVEEFQRTPWYRRYKPTDTCVAKYISYEVVKLLEEALIPPSLRGDPYAHLRKRHMED NWMNDRFSEEAAKYIQREDLNKHTKGLPQYGQITRRKFLEFDRQFKESYGGKQHVNEA YSAWLQSIGKG XP_845264.1 MSKLTPRSLLTPFGVAGFMFGSALMLLLDLIIVARGEPNAQYRV SLVLLLPALISVVGFVLLTRASPTDIRNNVNSAKGVVLLGWILCVTSATSALVVCHKS FSGLQGRTRAAPGVALVIFTNLVSLAGCTFLWAKQFAVTGL XP_845265.1 MDPQYSQPLLAPEDQDFYRSILHRLAHESPIRGSTGLDMTLALE KELIRAQENNDSEEYKNVIWGMLKPLVDSLMSAAHRAAPYTYFDNVAPREYPVTRDEE EYFKTDSIFDSDEERGGDDDFDGGSKPMLREQEQSLRKKEELRKKREDDETMLIEQKK VVDDKGTVLNTVGPFAPPRLTVRATTYTFPSRRSLEQRTVPPPAESSSGEDEAGDEEA ANVDVTSLCSSGSGEAVSHLTSFMEPLSNDSLKKMSESAKVKVKPSSSNREYIVQIVK TAVRLGCEKACALIDKHKFIEDMTNRVQTGPLQTAASIDFLQSLPEQLKHALSHMLGL WEESPQVEEMWERMVAMGFLAVLTNLRLKPLKRITSELGVSVPDTNSTEKFCEAIVFA AFPRERVRARKSRSKRQKTLAFTVPPSLMRCKGDMGFITFQVENISLLPKDSERRYSP EFEFGQMKWSLLCMANKSNLALYLCQTETVYCKFLISVINHLSKDDSICNEGTQRFFA RSQENDWGFNNVARFDELLNPRKGFWHKENDSITIEVGIVIVEAPKTTVSSKNVSNKD KPAGPKVDERAVRQLIEDEKIAQLKKRVKQEISKTLKDEEKTRKDTVQRATKGFHDIL ERFKSEKQRIVRELAERERREQLERQREQEIIKQAQEQNAEMARGIEEMKRTITNLAR EKKELTHDIKELKKAGEKLANELNVIAERKATLLKKIRSYETKISEGQHHLEELQQDP VLSFPVGGESDGIDEIVSAQLQDILERLS XP_845266.1 MCCLYTSDVFFWSSLVVSPLPRIVRCVSAPQIRSIPCGSGDFSV MKRSLIARWQSGVHTPHGVVYRGAKMKNWPEQRIPENFKFTEEQRFRTKAIPRDVGTI PRNFVLGVLYRHQPCEVGGLWEHCTNDPEIVLDSKRHLREVLKQAREEGFVTFERDAI SNEWLCFLTRERYEEVQRIVTAKSEAVDAHSGLRGAAATETSTYAEKFREMNVEAKEA HARRLEEEVANTTRYLRRFQQREIDYLPYTDLNGKVNFMWWYETRDVQQRADEVLTDS SSSKALAGEEEHKAGSQLEATTASTS XP_845267.1 MAPYVRCWRRLLPLHLWTRKRGEPARPRRTLLSTSHPLFGQQQA TLPTAQSSRRLLPTDHPVFGTKGLFRVEEPFKGPIRVSGFFLAIDPHIAAGPGKPMNP NAQITVSDKEGNMSRVRYRCLLESRRGLRLEALIRMQVGWISPKVTFRSIPHVIHLGK GFDVSIPLLMHFTMVSVLDECGNKTGSFLYLSGTPLLGFSVGFTRITQGKLILDPSRS VNPAEEARQFVGGCHVRQLGFLFLKCIAYVLCLMACFPTSKRVLQFMTSQPGPTPERS KNLT XP_845268.1 MNIRTTVTLNSIPGPFGDPSSIDYKSHPGEGDTVSHVAIFEMMK KKEMTPLDDIKKLKKSVYSYRNRKIITNWLRDVCVALNLKGTTLCLAIQLTDAFISGS LQTLPIEKCQLAAATCLWDAAKFEEMDNSLPSLKKIVRVCDDAYSSEQILEMEETILC FFKWRLPHTTVINHLYLQLHMLGSEDLVSCGSVVKREPGEIVILNILVVEEDVHKWKR LEATEDCIITSIVPQLCAIAGIPASNNIEVFQVFGENVLVTRRTALDTPLRSLYVDSK RESRLCLSNGGVNFVFVERGTLVVPRNINKRFLNQCQVLVQEVVLHVEFIQLPSHVTA LGVLMLSMCILATNMVEGKSAINYIQGKLGISSSRCLAAARLLCVKYRETIEEYRKSV KLPQLPEDIMERLDMCLATRNS XP_845269.1 MRRDDFDRRRLRDLYDRNVRRVRALAEARDQRRSDREDDLPAPR RYLVSERRTRLEGFGYGDRQVRRSRRSRDGERSRRFSDRRLFRRSAADRFARDEDIER QLRRERRERLLRLERLERLERLERRDRLRERLPLRGGVAMGRLRRRLVEGRRRRLQRE NDRQRPQQQPQRRKLDDELLQRTNRSSRGGNNSAHNKKEGARRSGPMTREGLDEQLDR FRGAA XP_845270.1 MFASQSISLYKECCQFYNVKPNTEILQQVDTPQLMLEEIDASRT LLGELGVKALLDCISCHVGIRRVVLKKNGLSTTCVEHLCRFLRGSRYVCHVDVSENPM NVAAARVLWETARIVPTLTEINVEKCELEDEWPRRLERCCKSNEETQAAVIAPCAEDL NPMQWDVVFVAVAGPASYVEKYSTEILPPVSSLLAQYRLRVCLLAIDENDTPDVAVAK IERCAERYNHGLSWCVALVDDAVPWNEAALKAVMATVQQTRPITKPLKDKFGAICSPA IKCVTNFFVYDVTLLSESDEKGEEKPDTAGVVEVPPEKWFPAVNWDEVGDCDVPSAVR LRTKNCWKVRCQSDLYCALTDVFSRKFTMEEGSQNEEKEVDEEKAYILTSEKESLFSS YPMCKEIVLHVEKRLWSTCVPIVLIGTDVKAHNNVLSWLANKYAESGPMKVVFYPYKR DNRSMVVFLSYLLGVLSKSPMELYLSLDTLCNEVHDAISHYEDEPLLMIIPSMDTLDS CGNDYCCSLDWLPSTLPPKITLVVSIKTESPILVAFRKRLPQPFEVLNAPLPDEVRSW LFTEELVRRGILGGTAGGHQEDGGDTSNCEAWKRVVGNAFLNKDGSKFTSFDTYAASL LFRFSLTTDSEDVTFFLPSEVPNTMRELLVKLLKVHEDQGDPLTVRYVLTCLAAASLP VTEVVCVCEDLGPCPRNKTIPVLLMLIDDGIVEIHGGSVAHLAGPEVHEVVNSLYSDL LDNVNALVENHLYRLVKTRSPDLSLCFRQIGPLIFVNGSFENAYSLILDASIMDAAFS REKSNTQYAIDIIFRLLNSYRLLSQLHDGEYFVFDKQDQSQRRSALLNAAKDIQSFDC FFFQSALQLSEVSPYYRDASTSEEVPYSVLAPLNTAGEEGSSISIPVNETPIYVHLRE KYLVISTKTTVFVYSTGNFDKVVATFDTTCNKDAVFQGALSACGTRIVLIWDRHLATF DFLTGGHSNVDDVTATLSDRALDVLGTNIAVQHNLSKSLSIMDLTKKNKVIILPTLEA EAREAYFFGSSVLATSLYDLFIIRGCRVQKLSHTGVIRCVAFPASDRLIASSVDEDIW IWSGAGELLHIANAGRTPIEELYLNSNGGQLLSRQQEGLKLWETSSGRFKKLDQLFDE IPTQLLITGSDSFIIALCGYYLYVWDASTRRPVGVLTCAIGAITFVQERNQLVMALTS RNEVKVWRLGDNPFLSTKVLQKKSLSSNWRLSGKLSAVSIERITANSKGTLFAALDSD GALLLQPTKGGPPTVCAVKEVEGMVFLCNYLVFSFKEKAGCFCYIDLDEEEFKVSEML LPTDAREKASLDFVADPDDRYLAVVSNFDKGSTLYVYEVSTWSLLNQFIGHSGHVFYG FFVEDVLISVCKDLTVRCWSLQNHAERTSYKHPFQIAAAARDTESPVVSLFFFDVYFH LFHLHVDGVDSSARFEVQKVSTLPPPATLKPVQCVYVSGLVVVVAGCGSVFLVNVRRG GAATKLSNYKCLCVATYKNGPESFVLTGHTTGEVLLNGVRHGP XP_845271.1 MCSNPGGISCALFTCITSYIAQQPHENTPWVWYATHPRSALAMI ATPSKQQRYVYQRLPSVDSDGKMTVRANISLHGVKLNGSTVRVPLLAAAAHVKLAAAL GKCPVRAIFDSNCVKALGDFFNSLQRASGGCLVVELLRLQTTEFGALLERHRLRPAQV LDILRTVVCLVLEGACEVDLTPMIVHELTKLQSLHVSGGNLSSLSALRGSKTLLDIDV SGVSITHRDVNLLGQMAQLRSLVVDGCTGVISLTPFTGHPSLRHLSAAGCPNLRQVGS LGTIPTLEYANISSTAVSSEDLRRGLKGFMGIRGLVLDQLSFGEVEGTIRTHRTHWKV QRLSLKDVRCPRYTWVATAICLGDLYLDGTRLIERELSLLCSSLIYLERISIARCMWL VTSLRSLLALKHIREVTVSRRSLLEENGVAELCGRGVRCHICSM XP_845272.1 MDKRMITDAFEEIKWNGWGDTGVCIKYDEARQLPIHTNGKPMKH LLKFMKDDVLKVKGEFKIKPTPGLTKEEAIKRLPPPVVKQPFVDELRQVLSKDQIRLD AYARLTHIFGKNYRDLWRVRRGMIDRPPDAVILPNNHDDCVKIMELAQKHNVVVVPFG GGTNVTGGVEPNPFETRRMVISIDMRRMGRMLHIDTESGTAVFEVGVLGPDIDEQLSR YGFMMGHDPDSYAYSTLGGWIAARGSGAMSNKYGDIENMILAMRVVTPVGVVETPLTS RPCGVDLNAMFVGSEGAFGLVTEAVVKIERIPEVKRYEGWLFPSFEVAFTAFHTCTRK GIHPCTMRLYDEDDTRLSFAASTDSGLVSTFFSKCFKKYIATVKGWNLSKISLVVVGF EGTKAQTNCQRSELVGVFQAFGATCLGTKPGNTWQEKKYDLPYLRDFALAHNFWADVF ETSVLYTDAIHCWRAVKKSFAEVMAENGKNAWIGCHTAHQYRFGCCLYFTFIGGQADE NDLKIFLQVKKRAMEVMLQHRGNLTHHHGIGYEHVPWMKRYNGEGGLDAIMKFKKALD PKNICNPGKLLPSPPSEKETPKATQARQNREMMFDKMGIPGALQAHL XP_845273.1 MRRWGAAGPLRSGLQLRQVASAARRRQPAQLKIPISDAPAHVKA MERPRSKLETPAAYSSFRSTETIEEFRENYKYLAAGERETSVTARVAGRITSLRDMGK MLFATVRSSGVDLQLVVHVGEHFTRDCLKQLRASLRVGDIVGAEGIPCRMQRGELSLA ASRVEVLAPYVCRDQVVCPDLRGFTQLQDNDVRYRYRFVDMMTNRSVIDTIRKRHTVL QSLREYLDMRHFVEVETPILHTVASGANAKPFVTHHNANNADLFLRVAPELYLKQCVV GGLERVYEIGKVFRNEDADRSHNPEFTTCEFYAAYHTYEDLITMTEDIFRHLALRANG TTQLQIQCERAGITREIDLGATFRRISVYDEIQSASGVELPPPNELNTPKGLAYMSAI MLRHDIQFPAVRTAAKMFDKLIDFFITSHIVEPTFVMDHPIFMSPLAKEHSSRPGLAE RFELFINGIEYCNAYSELNDPQEQCHRFQQQLIDRQGGDEEAMPLDETFLKSLQVGLP PTAGWGMGIDRVVMLLSGSSTIRDGIIFPLLRQDTRSHDCKRRHRVATFFDFNHQMAL LCLSGVEQEMKRRGFPEASCAHIRELRQTIRDLGQRSGESDLTNFNCGEWWPGLTKTM IRLMCGRPRS XP_845274.1 MSDEKINVHQYPSETDVRGLKARNGGACEVPFEENNEPIPNRSA NPQEKNENELVGDNADNEAHDAVDVNYWAPRQLRLDYRNYMGEFLGTFVLLFMGNGVV ATTILDKDLGFLSITLGWGIAVTMGLYISLGISCGHLNPAVTLANAVFGCFPWRRVPG YIAAQMLGAFVGAACAYGVYADLLKQHSGGLVGFGDKGFAGMFSTYPREGNRLFYCIF SEFICTAILLFCVGGIFDPNNSPAKGHEPLAVGALVFAIGNNIGYASGYAINPARDFG PRVFSAILFGSEVFTTGNYYFWVPLFIPFLGGIFGLFLYKYFVPY XP_845275.1 MRPVIVMLLHEEQDTGAYMIKYLVTRGMDFVMFRCWEERVASLV PKAAGETIRCLPVANKPHHYVPCLVNSTAAPSTESESLVTTSTSLAPVSSNVAEDCRI RAVASFGGSMSVNDELSYFESIRSLMRSCLEKRIPVIGHCLGGQLLSSALGGRIVLSE NIEVGWYEMVVEEAEDVKDWFGGRKRFDAFHIHTDSFTIPQGARRIVTGAYCANQAFQ VGDQFALGMQFHPEVDEDKVRSLISSQFPTLYTEEELADILRKGAEGAKTPDGRPLVS PGSMTRECIEKCLREGRIEQNRPIADSIYDTWCSGFLF XP_845276.1 MAASRSDEPFDPFKEFGGSPAPVAAPPTAATPFQPPMAQPTTAS YGQTPQPYAPMSQPTAATPFQPPMAQPTAASYGQPPQPYAPMSQPSPQPYAQPNQPFA GVTGTYGGQPSGPQMSPSPTGMVPPAGVPGGTYGSQSPPPPLQQQQGGEIFAQPSMKI WTIEFYQQFFDVTTEVVLNRMRDSLIPTMTPDYMKNHTWVAGTGSLADVATDGQDTAN TVKPDLYGPFWICTTLWMLLGIVSNIMSRIEYGRNPNHDKKWTYDFTMASIASLVIYL YCFGFSCILWGVMRFKSLPLSLTDTLCLYGYSMFVFIPITILCAIPISFVQWFLVLMG GGLSTAYLLTNFGKLWKAMLPAQWHLGLSGLVAVLHLLITLSFKFYFLNYSF XP_845277.1 MDIDLSYGDLEAHSLDTVVPNHEIVRTFNVSGNSIPPDVFVDMV REHIVRMKKLEELDVKNNRVGPPGAQCLCKALIRHCPKLRYLDISENSILDESLVDVA YLLDQGCIETLLLVNSHITPRGVPTLCDGLLNSKCITNLSLAFNMLGDAGASLLARAL GAHPTLRSLDISDNRIGDEGAIDIADYLFLSPYSRLESVFLSVNVIGDTGFSAIGEAL SRTSNTRLSHLDLGCNANVGPEGRCAFIHYVEHMRHLYSLDLCSSNLSDEDMQALVHA VLSPTCGIGLIEWYNNPDVRLSTEIELDAALKTKRSDREIRDMRTRRFCVAAASVFSV VALTYLGSVLLKRRRVAAR XP_845278.1 MPEELRQWFWRELDTSECAPVKRFYLKLEVIEKSELSPFLRLLW MCRIIVADRRVVWEHISESFTDSFQKPVDMWNEQLAVKLLRVRLEDSVDEPAATTLRV ELHKHVTAVVKPPPTQAHPRAEAKKQCRDSEDCPYLIVPATEGKEGLIVCNGTLEPFG ELLRVSRKRMFFVDSVKVFCDLGRVIHDSPELSDIIGGEEQLLVLALIYERFVARTSH WKDLLLSCPTDFPTVPSYWNWNDLSGLYGLDVLDDVLAKQERLRQFHTEVTSVLPLIY DALEGCSGIEREEFMGHFTIENIMWARAVFDSRAFNLNVDGRVVLALVPCADMINHSN HPDVLIRRVEPCGGDFVMQVGAGLTREDVGRELGMSYGPLQNWELLQHYGFVLDDNEH DKLPFPFDVHEADGGECARTSAIATDASHTLDIDKEWDKRRASLVQKYSLHLAGSCWI GYSGIPPPALIALMRIHLAQAEEFASLERHGPFTRVSHCTELEIIAVIAETVRCIIEL PTDPDGDVDHAEGCEGSAGSEALAEEVTDDIVTNTRNVTLCKVLRRGLERIGNRCLEW CSVRAAAIETEKVKQAV XP_845279.1 MSLRVGYIGLGLMGKPMAANILKAGFPLVVFNRTRSKAAELVAS GAKEAASPAELAAVVDVVFTNLSDSSDVYEVVFGKNGVYSGVRPGTIFVDNSTIKPST AREIAERLWKEKQVPCLDAPVSGGDIGARNGTLTIMVGGDPEVLEKVRPVLQAMGKTI THIGVSGAGQVCKAANQIMIAAQMVAMGEMLVFAEKCGVDGQKVIDAVRGGSAQCWAL DVKPQRLFVGNREPGFKAALQTKDLNIVMDSAREFGVPMPSTAVNTQLYQSMLQHGEG DLDNSAIIGVLERMANCKIKETKP XP_845280.1 MKRARSPSPKGLSLATIADWKLLHNSVLALLPSAEQLKRSITSL PGENLCLKVAAFDLDDTLIMPKTGAVFPRDDPTDWKWLTPLVPTHLRVLHDGGFMVVI FSNQAGIGGKQWNEKKADVVKQKVVRLSKGLNIPLTAFLSTKDDIWRKPNVGMWTMLQ EHASDILKEKVVIGSDTCGYAFYVGDAAGRKITTLAGRKKDFSCSDRKFAYNIGIPFF TPEEFYSCPEDKLLEERKKGSDDTPSVDGKVVSHRLLNVAQASCTVDWGGVGPTELSK LPKSYSGLTIHRILANGTKDIIEVSSPAAFHRASQEMIVFVGYPGCGKTTFFERFFEP HGYAHVNRDKLQTREKCLAEARRWWKAGKSVVIDNTNPSHEDCRVFVEVVKQDGSGRS PLPVRLFLFRISKEMSMHMSNVRARLGVAPKISRVAYNVYQSKFDSWTADSVRSMGIE ELVEIPPVASFDGLPKDSKREFFLLS XP_845281.1 MLRLSLIRRGSLQQSVRNVSRTPAYLRRAPPGLYITCDYEYKQR HATMLVDVSSESEPPLTNGAYLLASSGCDDTSFREARSVLFASPVARNTSEAVHFADA ALRTALSRNGMALPLDGIQTLVIPEFHPLIGHAVRELLSRLPRLKIACNAQTAAFISD GEFFKGVVKTLRENDRTIPQEAMSFANVSQENLQTLEDGSVISTLGAGRQLQVVTGDL AETRERWRRERKNKLKHFESYALFVQDPAFQAMHVPSSVGVHLSWVPLIVPEVDSAAL LLLPDYFAAQKSNGSSLLEVWRLRESLHRIVTALKKFPETQRVLTTSYGEIPGGAGGY LEQLRQSAQKLEELRSRLGHRLATDTGRDMEKWSALLSDKIFTECLLTTKAATKTTPE VLDEYKGWATAAYCGRLARTLAHAAATLPPDPVVKNTSHEATPPMPSGPSKVSNHTSG TKELKKHFEKHGMASLCPILDREDIDITVFLAMNTEDFKKVFRATFGVVRKMELLQQE LRAPPQ XP_845282.1 MLRRRIMIPAMAYAVPSMRTPQRRFTDKVVLGTCSALSTSTTIL AIFHLLVTPVSGTIAAATLSASAVSGALVILEGDSSTSGTAFGAVLGVVFGGIGGYYA KSSKEPWRK XP_845283.1 MPKEQRSVPMGVISAEPLQAAARKLKFTTKVTTLGKPEVRKATK KSAPTGLKTGGSQSGMVFNKGFGQHILKNPLVIAAIVEKAAVKPTDIVLEIGPGTGNL TEKLLQAAKKVIAFEVDPRMVAELNKRFQNSPLAPKLQVIRGNCLDHEFPYFDKCVAN VPYAISSALVFKLLKRPNFKCAVLMFQREFALRVCAQPGSEAYCRLSVNSQLLARCSH LMKISKNSFNPPPKVESSVIRLDPKHPAPSVDFEEWDGLVKHIFNRKNKKVSSIFRTK NTVRTLYDKYCSYQRMEGVKDVKTFEEFRQHLESILQEPIFDKRARVLDQESIMELLC CFTKNDIHFV XP_845284.1 MEFFRRTFHDIKLRLHVSKVCSEASKAPAVPPTECMELAEAHPH LVASVIGDGLGRTSGAHLYGYLVLLEGLVNSCSSSFHSAMAQDCVLQENLVSLAIGRA EGSERRRSRHLARLTLLEYSRMFADEPELQSLAVLARKVEQRTGRHLLRAIVLENKSV RFVDPRPQDIVVYSPTESTVGTAASADGPAAWPCPICTSVNRSTDETCLACGAARYRN SNTFPLGNKSAVVNGQKEKHTCSDDGGEVNNSVACPSVSVEGGGVSHV XP_845285.1 MQTSTGTTGYHASFGFRPVGKFCDPAIRVRGYTEPQLSHQQHAA VILSASTITAWLRRPIEKLHAFYFLCDVPGESSRIYASDKRAHFFRTLFGIKWLAGPS NLWTIASYAFQLTAFTKLRDLIPCESNTTCGFTAGCLTGLLYAVLRHPYEVLLATAEA EKGPMKFVGAWDVFMKAVTEKPNVLLGIYRGVSVAACSQVALLGTTWGVYNAVRYDGV YHGTPVLFLYCHGGALLGKILQYPFLSIRQQVRIRNQHTRGRPHTFRSYIVEVRRKHG ITKIYDGFFASRPILNSIPAALLLVTYDLCSRHLTEQLHPELRKMHGEVNQPLYSPHA GPYAETLPTYEFKRG XP_845286.1 MKNPSLSSLCITLALITSFIPAAVDGWWDFGHMVVAEIARRNLD NDVARVVETYIQHLSESGPFPNIPDFVQSACWPDDLKRYRMGAMDGWHYTANMYIRDG FKPNVTLKQKSDVVSVINGLSKALRRTDTPIYVRSFALAHLVHYYGDIHQPLHTTSQV SADYPEGDQGGNLVHVDFRGVPMKLHAVWDSICRGPSESLERPLNTEDYSKVRDFATK LIATYKFSEGEKKKTGATAISKEGSNFAKKVAYANVVNGTELSEEYISACKEMAEKRI TLAGYRLATHLNTVLRKKGK XP_845287.1 MKNPSLSSLCITLALITSFIPAAVDGWWDFGHMVVAEIARRNLD NDVARVVETYIQHLSESGPFPNIPDFVQSACWPDDLKRYRMGAMDGWHYTANMYIRDG FKPNVTLKQKSDVVSVINGLSKALRRTDTPIYVRSFALAHLVHYYGDIHQPLHTTSQV SADYPEGDQGGNLVHVDFRGVPMKLHAVWDSICRGPSESLERPLNIIDYIRLKSFATK LIATYKFSQKEKEQTNPVVMSREGFELAKKVAYANVVNGTELSEEYISACKEMAEKRI TLAGYRLATHLNTVLRVRGEKREANPDYVGGDEHLFAEDQ XP_845288.1 MSSNRMFADVPTYRRGYVSPSGVKVLYSKDWAKHHPLEGDGLRP QLYLHLWKKNKGSESRLKNVRLPDTVVYEHNFPRAWYTYDAEAREINKHPGKMLDAQS IYQHFSRPTAGYEIVAQFLTTCPVDDPESLTPNGELISYSEIFTAETLREFLFNKSRK PDGILQKFVPPKGETTMRRNAQLQVSWSPLMAVVYKRTNKYRLDDHRVPVHMRAATFD GDNHLSELSLVADETKGRLDLLCREVVDHVYFTDRKLITRMVLHFRIDDDNRPWLLWC SSLRVSGDSLNPRTVRIPVTLTMRVEMLNNGSSTKDRIKKRQDRQRHLLIMDTELYEL SRDNDLGHQCNASHVREAKRLGLSPKKLPKTGNNLKVPLRHPLRPAMTYFADTLLEDA LRNLEINSGLPSPTLAQQELDAMAQKHAGASLEDKPEDRVKNELTAMALDAWYQVYSS TLSERPHEMPTQLVELSDPLMVVLKPEEFRNLLDILGLEPTAKASAAPVSGEGGAETG QAGGDPPTNTFRVKLGLLRPGKRLDRPLSNAERDVRNFFDDIFSERGEEIVQRCLSEE KWIW XP_845289.1 MCTHALISACDGTHTTKKNFIKNFVRFIVPAEQMRRWLVASMAP QLHQLLQPVRRCHHPLRIPSVQLAAPRSHTHEDIAYASCPACSRVVHMCDMLTHLITA HRELDQTHCRKMCTERLALYERVIGVPLKKSELTSSGRRVLDFLPTVLPTGYMCNWCD RRSDVYATRDKFLKHVADVHTDIDLEEVEPHVPLPPRGVVVEKSNGDGGPQPTRRLNG VVAVAEKSEPINAVPRILGISLPRGVDRPLKATAQFSDTEFPCELCNRTFNSEIDLLQ HLETRHPDGTAEGPAGVDSAAIADVAQFSAKEATTGGDQRVHVICDLCVSSSKVYKMP SALFSHIRFKHPNEDAAFHVERLIREQKTVSSFVCTVCQKAFASAAALDGHFNSKHAE QGEAQNVVGRVTANNCWWCHDCEKGFSSAKGLHGHMQNKHGLSSQTHPCPACKRVFAD IYSLEEHLSLQHKTIRLSDIGLLTHVKCSTCERFFLSHEDLHRHAVKHHKKDPRAPAQ PFEAPTSASHVAASTSAAVPSEVEATASPQGPRKVKKRKKTTEVSEVTS XP_845290.1 MERLKNFVHSVVGCDAHIEYPCCVLGTIQREPQQLDEHLENSFY LFTYRRYFDPLPYSTLTSDKGWGCLARATQMLLACSLRRHSAQDCKLQYFADLDDEQV APFSLHCMVRHILKQGESLRPVYWAPSQGCEAISGCVKRATERGILSSPLSVVITVAG AVPAEEVSCHLKESRNVLILAPLRCGASRYMSQKMFLSLEHLLLAPESVGMVGGVPNR GYYIIGTGAQELLLYLDPHCKTQDALLSSEPGETGVVKPTSSNLRSVPYGQVDTSFFL GFFVDSQSRWESLQKRIEGLSKQKLHPIVSVYRGGPHTPVDSLVMEWPTEP XP_845291.1 MLRSRIPCVVVTLVQCRAMHGKPTQSHKVRTQHSRRWWTQSKAR HLTAMPHDECKSRPHFPAYNEDVDRPMVVPPDAICFNCDKPIDAGDVNSYVWIPAGNA TVPTPQGYFFHFGCFKCNRCKYRLGHNKFYSKDGKAWCIPCALGRDVRVPTRRWHTSY VNTHRTGSRLTGHFFPRHSHQMEFLFDPNS XP_845292.1 MLRRLAAFRPLRAVGNSVFAVPLGAIGFRSQDFSTSNGGNDRKN SNSGTSTHFDIQDVDADRLTHRYWDDVDSPYDVEIIELVEELGPDIYGSIYPEDRPLL SQEFLKV XP_845293.1 MQYLYDDTVQPLRFFTKIVMRCVNDALDYATAPQRHIREITKTC DIRLANEAPPQIQVPVEVLSTFALPKLIVPVEYPSPPNSPAGVSTKISAVFANSGALV FGYIDGHVTLAGGYLTCVEGDPNSSSVERGQFESTEVVALDPGGGPVVALDAVIVSNM EWAVAVGYRRSVFVLLVTLKRGECYSISRRRRYQQQVVEIACESFPMLETVSSLKLSH NAAHISVCYNNDSRVTIISVPPLKIPRDTDSEEVSRVVLGASARVAYAEGAFGGGGVR IFYIPDLSRQGVSFGVKTQSLGTFSNCASANNLRNSTTGSFAMPPASGDSSFSRHCIL GLLLWVGSTAFSRCPLRPSRSGTEGKPAVLAAVDAIGTESNVERLAASASKSKGTPRT SERHTRRGRSRASSTVNIPGDHLSLPSSHKNLLPSVIVAAEVAAGDRSLVAVVCENSC VYFMDARGIASVCNIGSPSYCQSPCELGVTPYISDGGHGHVPSVTVALKTKGCTTLAH VRGPHLANLMNCATANSIHEVDGLRVTVPLGDLPLLLLICEDATYLWDVHYNRVVAKI DDLPPLQQVVTYELRPTSQTSGLYQGLTKQQQLQRQQQQQQQQQKPPYEEQEKEEVFC AVFTDNAVWWPTTNGSVACLTVNGLLRSLYPTLIKYFPQMTPYALAQVLERLAPRYRQ NEEHIAALELPAEAPCHMGAGNVLSNTLSSGMVFPLAARFRSIQLSNSALGLEAAATQ FLEGACNSSAQSKEEVARLMNAAH XP_845294.1 MQQKVLIAAPISLFEVVDGTAIERGNCACAIVAAATSAGRPRYS IVCYNDRRETLCVASISSSLEQSVQFQLNTGMYASFRDGAGKYWKCAFLSGEKQKAFL AALGIATYALAGRPTHSAHTSDFMPVGVDIRLQQHNRARVHYTAYAVRGAELPLLGDL LESNGEELYCFRPVASTAALLQGDFPDAYGFESGVIGMGEGSTRVIVVPAAALPHGRR NMYGADGAAFVVQLINILQDETFNMESDGVPTVMTVGDDLAVAEEGSGALIVPTSEGS SGGNVVAAVVPFSGAGVVEAPGALSAQRSSAVEPGAGVPEGHMEILQRLGLLLNGAVE NSRTVRSISEATAAEWRRAINRPKPSRLTNAALLEAVQQLVMESDRVADSISQRDGLI RELDRRNQEMQRRVDSAVLASQELLDEKNKCTRTASDVRLEGERAIMQVQQQINQAAM ERDDAQRHLQTVKQLLQVSETELRDLRSTSSLNTEETNRAASALNNLQDALSEERSRR KALEATIATLQEQLSRAKTELHVKNGQLEDVRRAADMQRTQHAQVVEDERQRRAMEVQ QLRTEFITELQTREAKFMADRARTSEENFRRGQAEGRSIGKAESRGSAAEHRQQELAL EAQRLLAELNICRADLRQAAEDGIMTVRTTGARAARLNAQLHEENFRRGRLEMLVSNA RGRLQGGRDKIMAAFAALAHRLRRPVKVSDLLALLEDLRKRRPLNFSFQEREYLAELA SATRRRFQWIEEATLMLYKKSLEELYNGWLLPLQTGYESTAKAVYALMIERDGEALCE FNTRERQGRFDIVMQMEDFFNRVTLMFKGEAEQRQQLFVEAERLASTLLEKFAEDLSA LEARWSAELEERQLLRRECARGYAVLLLKEEAEFHSIVMDATTSVHIADQNDLIATES GVRNAIDSEECAELSGLLFDYENEKSLVTRIAAIAAEQRSLVLGEGEMRACIVSEEET TLQIVIDMFAEYIPSLRPAPDTDGVQEGEEVPTDGLPKDAPNDGEVISSPPAVTTLGA GAGDPQLSADDEGPPPVEFYEPHSSSTGGCVPPPAPLEASHSPPELDGPPPIDNDDLD GPPPIDNDDFDGPPPIDKDDFDGPPPVVADNPNESPELDGPPPFDNDDLDGPPPVVAD NPNESPELDGPPPFDNDDFDGPPPVVADNPNESPELDGPPPIAAEALQEDSDSDGPPP ITDESLQRSAEVINPPVISGGSAAGNESSGSSREGNSAEIRRGEAKPPAQGPPAKKVP QRKLPTFDSSDSDW XP_845295.1 MKRYYLPAAAFVVVVAAAYASTFFRPSEPGWRDEKPHERSKGFT TNYASAYLGATLTDFSPECLDASSVLNEDNEKYMLCPCNTQRKYFTVQLIRGIEVRIM TLVSQEHFSSRVKNFTVLGSSRYPTNEWRVLGHFKADPWRGTQHFDVANQQPVRFLRF LWATSYGEHSWCALTTFKVFGVDVLETLTEDYTVSVEEQQQHEQEQEHSIPPTPLTEP LIIVSPPQDDKHTAIGIDYGTSGAGVTAAVISTVEDHHETNSRSPGGGLLKHSNYEGN LCVDLNGCKDDGSKTKKCNGTTFNSMYLDTIAQRYCSTVLPPENASRTCLPHERNLYV IHLLSFCVSRVALSNKITALSKPHTSSSVLLMLAQMSKQIKTLQQEVVDLNSRHKDME LKAAQREITLQWLGMQVKDFKRSNNENRDKLQDVMKQIEVLKSKLSLQLHLGQNCEDD SLVRVMVVGSLTLSLFSSVLSCITVRTFYRPRRRTSATHLG XP_845296.1 MSGATEKLFELLDKVGCESQYVTTESLREACMRVQQMSNVLSAR RRYNLYCGLLLHKQRKYGTISFAKYASAADNIQHSTLVQLKETLGSKNKRWEDAELQG FMYHFTNETKCAFDQRIAPIATALASVVAAADLPSESETLSMFFFLLEAFCKEFVAPE SSSLYGDALIALFRLLLQYHDPQLSLHLGQHLIDIGAYIFRWARRLFVMGGNSESAVQ VWDWLLVTGDATYTVYFILAYLISCRKRFLNLNTKKELEMAMESIIFQLPVSGDNNVS FQPHGCVSAALVPLSSGGALVQNADVVRRNTPRVTRHVIRTLLYPGNFGRGQPSSIQK TPQALAEYYSSFAALPLERGDYLESFTTTTSEPSECPPINYTIVDCRARVSFDAARLP AAVHAGDDIGFDTEKINKLVLTLSTTRGGHLCVFGTGRQITEEINLLNVVTLRLVHGG LPYVSSGRFRTVISLMKTNEITMVKTTANGETTVLLSGSGTFRNNVLAKLVPRVDFDG TEARRKAEEISNKAKEQVEAVKTWGMGLFKRVSERLKPRGGVETINTTATFGESGTAA SLPAQLVKSCQSQPAYLTSAKAESVKEFKDSAPRQNAFSLGGDDTGSDDEFDLITSVA AYKGPSYKQEPKVENLGETTNSSPLITGGGSETFLLTTTAVPTDTATVDLSATAAGDT RDVGMEIDREFEELFGGSS XP_845297.1 MAFRTSTIFALDVNCSISSLAQAVEFCRLSVLKTMCPSSYDEVA LVVAGGCRSYSGGTSTSVSTCGSAAQLSAPCLPAPPSVEFITILHRILRDREEQQGVG SSANFIETLTLCVEVFNLKKRRKQFREVLYLLTDAHAEVVRKSVFRDVLNALRARGVT LIVVGIDFSQVTGEGSLLPGASAPSLTSNRVKVDNETVLYTLCKALGNDSRVITLRDA LVSAAQLVCRKVRSLAQKTVFTIGEVRLATNVLGKVRRMHVPANRTPAPTERVPGSRK AAHSQLFDEETLRLEGGEEDSQQTCLETPVNKPTASSSNRLSEATKIRGSRGIDAVAC IPQNQVPVHVLVVDTSYMIAPLDDDPVGTRAFRSIVKALAAQDSALVVRYVRSTDGNP NMFLCVPLTTGDEDVLFMSRLPFMEEIRCLRFPTLQEMEMECDIPEADAQREQELVSS IVEEMTVGEEVLHPHRVLNPFVQQYYATQRAMVNRWCSRTAENPLSAHEDSDIKLALL PQLRGISAGFASPGCKVEQLVSRTREKLETCCRVFAYVPRTTSHSPERKVLWERTPAG QPPVSETVENTEVASPAAPSTLQCHLGSGSSVTCRPVCANPPHDAVSSFIQDKRTMFH PVAHPLGSGSS XP_845298.1 MAKPLSGKAAPKNISVFLRVRPPVPRELKGGTFNNLVCDPSDPQ RVTITRGGSARKGTKSFLFNRVFDPECTQQTIYNEVARGAVDAAFDGQHGVLFVYGQT GSGKTFTISNNDPEKPGVLQQSLRDIWDRFQADTEYDYSCTVSYVQLYNEMLTDLLDP QGGRVRIQLGPEGRGDVVLVTEASGASIERKVESYEDCLKYFYEGMDRKEMTSTKMNN TSSRSHTVFNFNLTRSAKVKTVDLSSAKANNEPVIALQGRLVVCDLAGSERASRTNAE GKTLDEATHINGSLLVLGKVVAALTESGSQHAPFRESKLTRILQYSLLGNGNTSIVVN CSPCDDSTEETLGAIMFGQRAIQIKQDAKRHEILDYKALYYQLLADLDSKNDRTLETA LSEERTAYEDRIRVLEERIKILTSENDMLRRESSQLGGTGPVSGTSTASGAAAAAVAM GGDDANDWRSLTMKMRRAIEKLDADLKRTDKERVELAQFLALEKNKVNVLAQKLRAES LKHIMENKELTQRVTELSIDNAKLKGTDYISFQPSAACEDALPLSLDSPRRGTPSSGL SQSINVGDAYLQEQLDKANRQLRVLNEERVELIVYQMMASKAIRLLHAEKTSLANHLE KLKA XP_845299.1 MLTHVSSPDADGRVTYTFNTQWKVEVPRRYEVNNVVGRGAYGIV CSAIDTETGDKVAIKKIGNVFADVVDGKRTLREVKLLRFLKHPNIISMRDVFRPVSED FSDVYVVTELMSSDLQTVVKSPNVQLMSIHCQYLTYQLLCALQYMHSADIIHRDLKPA NILTDSDCVVKVCDFGLARGVGVNVTSYVVTRWYRPPELLLVGDDCDGAVDMWGVACL VAEIVLRCPLFPGRDYIHQLNLLVDALGLPQVEQDLQHIRSPEALAYLRSLPKRKPLG LEGVHPTLKQAYLTAFSYGTEDDYEMEGTTTHGNAKDGGSAASEADIAAAAEKEYALF KDFLLKLLLYNPKERMTAAEAVTHPWLRDIRKKCEDEGLEIQPSNRFHWNFDSAEFTE PVLRAVMKAEIADFSASRR XP_845300.1 MSLYHLFFYAPCYFRLFVCLFPVIATFVFFACSSGFSLEFFSCF FFFEKKNTISIFYAFFVTIIIHTHFLNIVLIFLFFLSSCVICLLFTFRVCTKLNYSII MNMCSSLIGPRSAELIFSFFFSFFFFTSFFFFTSFFFNMSHGGDADRFLLYFSCTKKK IKKKRKTNVRNFLSL XP_845301.1 MGVMLPKPVLSKVVDRAGNYNIGVASACVNGYRVSMEDAHVMLV ESEMSLLGIFDGHNGSGCSKYIADHLPQKVKALNGKHTQEELEKVCVSLDRDFILNQS DASGSTGTFCIVTRDYNVTICNVGDSRTIIARGGRLVFVTEDHKPTAGEERQRIEACG GCVVSGRVDGDLAVSRSFGDASFKRRDALDDYKSHKVVAVPDVTTHACRQGDIIILAC DGVFEGNFSNEEVAAFVNEQASKSPDLAVAAARVCDEAIRRGSKDNVSCLIARLSDGA SAARLYGAHSFLPGAPYPRMHDLSRTAYVAMAQMAQVTHADALAARYALLTAHENKTL STKSPLEQTAFEMSDETDIEAEKNFFGWGPAPGNEAAFFRSLAEQSNK XP_845302.1 MNTIRYLVSGKGIFNSICDLIIRPQRAVYDPRTDLGPTIFRLDA DDPQRYKRTDLTIENMRGLTLQCSWFRTLSNEKQPCIVYIHGNCGSRYDALEALFLLK EGYSLFCFDAAGSGLSDGEYISLGFYERQDLAAVVDYLEDQEEVDGIGLWGRSMGAVT SIMYASKDNSIKCIVCDSPFSTLRSLVNDLVKQHGSKRFPSSLINKIVNRMRKRIAAR AAFNIDDLDTLKYASECTVPAFIFHGREDDFVFPRNSIDVSNYFMGPCLHHLVDGGHN DERGEDVRNTIKGFFSLYLVLKRENGRPVAHLMQDATNRVPREYIPRVDSGDVSSSPE RDGDDNDNNSGVGGSGDVSVDDGGNSSTSDEVHGLEGSGDEEEPIDEAPSRPLSASLA PVRVTKPWFYDPNDLLLERKD XP_845303.1 MHANWITWAASTVVYDAGDTISFVFALSSLLPSIIVIFIAGLAS SSTSHQRDAALLLLVGLCQNTALNTFLKAFIKGPRPISSMYIMVPMSSSSNYGMPSNH SQFMFFFITWLLRKASANHIPVSWGMWLFFLVSATVVACGRVYNSYHSTDQVIVGAAV GVINAYASTTPTLERVLRWLMFRLSPVRNFFTSWVTYVRL XP_845304.1 MEFETERSTTRTQQQPRQKFRGQEYDDYVPRRKTHGQRSVDFYS SAIQYVTTRLQHRNTPYGYHVDPHPYCSKDMLPAFRTPFNPSTALCTQWVSTSYHPDS RGGLSRQGLLNLKWAPNGRRLLCSTGRGEFLLFNGHSFGVEVKTVAHEDHRPCRAITW GNNSDLIISGDDAGMVKLWLSNFVLVAEFNSHHRAVRELTWAPLEGKFCSCGQDGSAR VWDTNAVGTNPQQAREEMKLEGHGGDVVSVDWHPYHSLILTGSQDRDCRLWDPRTASR GSIAALQGHAQSVNCVRWNPSGTMLLSASKDCTLKLWDIRMVQEVASYEAHSKSVERV EWHPHVPDLFVSAGADGSIMYWMVDGCEGTARQDGVLVVIHNAALVESAHDRFRDVAN PINAIAWSPLGNLLASCSSEVKYWHRNIPGAMEEKERGEEDDVFEMNRQQ XP_845305.1 MSRFKRCLAIVNTRSGAREGLSVFASALRQYLDNAGIVHHDVCV PEKDIICAMERHAGGTDAIIVCGGDGTLSSVVNVLAATPNHPLAAAPIVLVPCGLQNS VAASLGIFSAERSVSAFVLGRVEQVPLWEVRINGALTRYVASYLAVGTYAMCVKRLHE LDAVGDNYISLPMVRGKYRLGAFYTAMRNETISCSATLTCCTRGGKGKKDAPGALGRS HVLIQSPMKMLLASQMPFQHRHYTLTPNATFKRGSMCVTHATHEATRMRLWHLLSREA IEGVVLNEDGVGESVDVTGLEFTLHAAREGAGGRSGAVMMLDGEAVQVFPGSTVSVQR STCHALLASC XP_845306.1 MFSRSFVVFAGLLCCCLSKSVAQVATGSPRPGLFHLDSSVVDLS GDDFSRVHRVAPLCPWIVLFYNDGCGACRRYASTFSKFAGGLKVEHGKDALQIATAAA VNCASEVDLCRKYDINFVPRLFFFYPRDSCRSNEECGTSSLEHVAFENSHLEVDELES EVRRLVNKHMVVDDSLKERCIDMHFKLYTSKEELVKRSVSSTDESGRFVETTELYATD IAGAFFSAMHYDVSLVGTEPRERLTALEDFVLLVKDSLPSIGADGVVSALESITAERP FTVASWQDAVVKSGIPFDGSPRNVRWRTCRGSSPQYRGFPCGMWLLLHALTVNTPADR NVLEVIQNYIRYFFSCKECRDHFIQFNFSPNEDPVLQLWRAHNNVNARLANVKDGADP LVPKRQFPTLEACTECYDGAGNFIEAHVTGFLKQRYLWDPKAVGLMESNDDLNEVDPA SKDANVGRNVESSGKGKGDGGARGNSKEVRSDHAGGKGKGYSVLLFSNVFIILLFVCL GSFDYMKRLRVRKRRDRY XP_845307.1 MFSCVYGLRGSQRHIDHTTDTSTSLSIVWSIWIGAGTYLLHFSH KSSNLFPLCLFNIPSLCGDSSAVSMRRMLLAAAGSIRWWNIARHHQQLTALRLVGSFP FNSTIQRLKDVRRGDRGESRRLTFEERSERRSGKSREQKAEKENLLQEAETVVSEDVP EELEQVFQHHFERANVSAMKVLNMAKCLEQLDVDVGGGRKVLLTKVAQVLKTGAATME VVPQNVSFASPILQRVTRFDGTLQVSKEQQKIKITMPPITTARRQKAVEEIQLVVSSF KNKVKQVRTQASRALQESGIDETASRELHQQLDECVHSFVEDKVTELEQLSSDVTSMG ADESDVVA XP_845308.1 MQNLRADAVEYTPSWQKRSSAVAVTAPTPTTLPARATLPTPKTG FTTSMTSTNTITTHSGFSAQATTPQSPSCPPQGQQQQTVVPPPTRSPVSTHVIPTRMS PVHAPSAAFHMSPNAVSYVPRGAAAGSLMPLPTSTADLAVEKELQRKQQSGSPSTSSW VTTCTKSNGVRSATSPVPKAGSTPIVAEISVDKTDEEVLEISRCSSLKASAPAFLPRR TLNRSNMTKPSPFTLTPDSGDMRFGDPWCLFYLPVGGPDSTRESTYDPTLVFRMDCIS SFWKVFNNIPEPTRMCAGTLYLFRDGINPKWEDLRNRDGGIVRAKVRPQVVDDAWLHL LCRTVGESWSRSVRNSVNGIALKVRAAAFMLEVWVTEQTSELMSDISELLHKFLGDAF QVPYIPHSVAQERAATNAAALAVKEKKNRGNRRLW XP_845309.1 MSDPAQSDNTGANEATTANAPKSTNKKQNEKEARKAARLAEEAA KAAEKAALLLRFADVFGAAPLVQSKTYGSRKFTDIAVLSKDDADRVVTIRARVDTTRK KGKLAFTVLRDGVHSLQAMAAVSEEVPKEMVDFIGQLPCESVVDVEGTVCIAAQPITS TSQQDIELRINRIHVVSEALRVLPFTLADASRREDEEGIKINFDTRLACRWLDLRSPA SNAIFRIQSRVGQYFRQFLIDSEFVEIHSPKIIATASEGGANVFKLGYFNRDAYLAQS PQLYKQIALQGDLKRVFEVGPVFRAENSNTHRHLTEFVGLDVEMRINEHYYEVLDLAE ELFCHMFERLSGHNAELEAIKRQYPFEPLVWQMSAERMKELGVGAIEEDTEPTDTYKA RVRNSNLRMLRINYPDCVALLNTVLETKLDPTDDINTTNEKLLGKLVKERYGVDFFIS DRFPSAARPFYTMPCPNDERFTNSFDMFIRGEEISSGAQRIHDASLLLQRAQSLQVDL GPVSDYVDSFRLGAWPHGGFGVGLERVVMLYLGLHNVRLTSLFPRDPQRVTP XP_845310.1 MRRCLWSCSFLTCASRELQRRGAASRVPPPLPNVRGTPTRLGSA TKLPPPPPLPGAVASTHAALPWKSPQEVLLTFIEYIPTFYVPVHCVAAILSEDSRKYF IGRGRFLSFIKRYRFFFDVRVIDGVRADVKLRDDLNHPRRGAADEKFVMTDVGDVINY VALPEYIVSLDSIEQSNGSVALKPAAAPPAVHVRLEERVPVLERLKALVPSEFTLIDQ VEESVPEDVLFHPYFDCQGGLVAIASKFPEHFQVIEGMIRRRPPHLAPLALNDYTLDN SPIPDVAKMVQEQVCCSDIPQWVNITSLYEQLTRDQKKLIKREFKSFAGFLRAHGKSL SLSQDMLQVAKWIPHHKKDPTSLSSEGNGDDKKEVITEGSSDGAARGNGSDTSARAAP QTAMKVQYEYTHIQVINELFDKFPSNRTLNLVEVLELVRPDMRYSLPKKVVAWLASYP SYFVVDDPTEKDPQKVRIRRASDRQPLDIAFELYQYIPQEGITEDELLPKLSDFHREH VKRMGLPHVVNSLSEWLILEERNSDGKMVLKRLQTELELERAICSKDSVQAGGGGGGH RDSADSLDDKEIEKMWPKLKYEGVMQSQSRAHHRRR XP_845311.1 MPGREKKRRIGTRTNPLGEDLHADRFASAKRGAVESKASGASDD VDVDLEGGIVLPGRVASKILKTARQQIEAIAAEEQEDGGGLHGEESSDGDDCNNDPTI VNLQNEEDGEDAGARFYENEDESEEPIVIEYDEAESVVSEIPSEIDMGVDMYDIDDEE ARLLQKFQPQSHVQSRNLADMIMEKIKEREDARKVAASSPSGDGCEGMGETGESRVDP RVARVYTAIGTILKNYTSGKVPKAFKVLPNIKNWEQLLMLTKPHEWSPHATYQATRIF AANLNERMAQRFYAAVLLPVVHEHMSAEKKLHPALYMAIRKALFKPVAFYKGFILPLA ADDECTLKEALVVASVLQRMHLPPVPTAVTIVKLAQQPFSGPRSVLLRVLIDKKMAMP YQAIDALVAYFHRFIQSHSKEEKLPVLWHQTLLSFSQRYKGDFTAEQVALLLQVCSKH FHYLITPEVRRELHASPVMAKGGNS XP_845312.1 MTKEEVLSSAQRIQLLRERLEMYNATIVEAMGVVAGTANNEEGT SFNGGESASPPSSSRIRVGHNGVNSSLHTIRKSKTDHPYHVERGNAADFESSRVVGGD SRRGDRRAAPPPPPSPPKDGHQRDLASRSVTMTSEITGEDHDSTLSFSESEDYYEEAC ASEQAFSEGMEGSAGDTLQNDGRQSPTLAHTLSRGENAAELAASHSGSWTTYYVKSYE GEDSQDEEIRSYSRSQKGSVVIRGDSQELLEAVSAQAPLPTPPPGAAVFTTLESTLYK MLFFEQSLRKLLSTIDFDHFPRSLPQEQKGRQLAEARDQLIEKERALRNKKSGEPSIS DLRDNDATVIVDQIQHLLLECENTKGLFQRVYERKASVSGKERLLQQRLSELESRQRE VGERRKVLTALESRLEEQESALLKREGKYRSELEAHKERDSMLQGRIGEVESLTRKVS SWMKILEERDAEMAAKEQRLRRVQTDLLRRSEGLLCYRNGKAKESARIPPRLEKTP XP_845313.1 MSYRRPTASSQRRARNHSDTSELSQAESVKSAHGGKANFAFGSI FRECGKRLRGESPTECNDEMEVHLSQQLTKRCDAALERASTLLDKTIKESERNSQTLQ DGMGSSSRRSSMSPKKPSSPDKMEGGVTSRGSARLSGTVLKAPPPTPEQTQRDESVAN ETRESGKWLEKLLGRVSNVVAKARTSPDMKERMLSEDADGGASAASTPHSSPCPLQRP SVGRASMNESTPSRLRKSVTFKDGANLETLTPPPRVPHIYEEEESNPEGNAGEEGGLA EAYMSTQLAGGPAFSSELPSQVTLQFSPAPRAPSRGSSCSRSVDMTNSPELPQTRDVG AVIRGRSSSEAYRELSKEELLLYVKQHHISVKKITTKKELFEVGRRIAEEKTSRAD XP_845314.1 MSTRSGVNVLMLVLMLRQALPVQSSEEAVVIRPSPINKPVSSWN VVDVEYWMNNTLGYPEYSGYIRKHLIDGPTLLELTPADFEEHFPIENSIHVVKFSAHL KLLKGSCMCGEGVSTSAEFWSYFKQEPFRVFVIGSTTLVFPRISMLYICLFDNELYDM LIGVSASQSEVLTANMKEHKEAFETARTIPFLHKVLYLISMIAAPSLFMAFQAVRMLT TNYFVMSLIITHFLLSAYDEYVFVSLAYAGVALLPGSTLFSKIRNMVSFTIFIPPAFL ALYYILPHYLQVFVVCLVLLYILFMFFCIIVVRFGRDPAGTASGTRRGEGRPSDKSG XP_845315.1 MIVPPPWGDDDQRKLPERRSGTFSNVFWSSLSTYIGNTVKELQE LLEAGAVLNASVCAGAGNVCDDVRPVVPKRLEGVRAACPVISMEELPPIDFCEDTDAV VRAQSRCLRYTQSLMQLLDVTRGCCGSWGRLHRGERNRLRKALTEEAEARFSLVELCT YFVDLRFCHKGIDALTPDIFQFSNVTKLVLSNNPGLTSIPYLPPACLVFIACGCNIRQ ICGSNTLALVGLSFNAMDGLDFINEMPSLRVLDLTRNTVFDLVLAIDSLRNHPTLDDV TFTGCPIALLDNYKENITRGCPRLRKLDGVTLPCANNALSASPRKAPSVEDGASTYHS CVATPRELSFLVSTGVPIAVTVVKLEGVSNLFHTLLPRDDRSLIERPEPKARKGKRKA KPAAVGISYEFATHFSLKGSWGGEEGAILKCENIPILPAAPSGRATTASRRTLQPSPN AIDNVAELNHTARANIPTSAKLSDLLAQALAITLEVRDDVQFASGNSVTLTYEMGTFV ADCSSLLLSTGPPPRALSVKVPIILSEAALAEKRVHARELRKNLTNSLQLLVSASQAP QSSSPLVAANSGSFRRRSKKSTSDRMNASDRFPTETRRLEDDLDELRLLSNIEEKRVD ELSSLEMVLTLELSIGSAPKNNNSESSLINRTGRRRAGAKGAAPVA XP_845316.1 MAGRVSDRIFDLIDTGKLGQAEGLLEDALSKFPNDHAVLAAEAL LLLRKKVIDKARSKAEALSLQNVTDGSAVNALVHVLQQCCSWEALVRTYERLKGIQDE KVVLENLFQTYVRMGAYNMAQKTALQLNSGWPNPRYHVWVVQSMLAQVPRDSVDHALL KVSANLLEAAVLTEKGTMTTSTSRTYVQVLQQQKLYADAVKFLCSTRGASVGLPPARL EMLAKVLQLNGELAKANAIAKQLWTHQPENWTFVELYLNSLSESNATEGVLELDGPEE SKCVSINLSNSDRTLEDALRFARSLSAESLKGSSGSRLGRGPSMAVLEILSRLGDDVQ LRNETVAYAKRFYKASCCFLDISTYVDATAASAIYAWSQEDGGPEGKERLHYHMRRIL GLRCHVALWGITAETQPSHAEVEELLQACIRSYEEARPLSENLSWSEEGLCDGYITVA LNISLHVYEATKNVEWVQKGLALLDSVDRRENNPTWLTFSVCFARILGLADVAACCQL DFKSIQRDTMSHVGYWPLVAGGALDGVCEWNTAAWEHYGMLQRDCSLLRIKVFTYMSW PAMKDIQEYERRQTNSLARVIYSLSRIAGELRQCQTQRNVFDLMQAEERVLSQVFEAL QDPQAKDLVDNTDWVVVRSMVLGNIHSQKVEALANTLVGMPTVNERVEHARQLVGSLM LLHDVSMLEAHRVQVSNLPKRRKGKGGKSDAAPQDVPLPMLLCQQHTETLQTLPVMSS IAPLTLEFVKTYGSGARIAHTDSFAHALRAVLEVENIKSFLFPEASILTALLQVAPTS TLPVAAWAKDLQAATESARDRLRQSPPMKALGKREQKIREASLSRINSALTDILREAA AASRRR XP_845317.1 MALFLWLVLAFSLLVWRFASVLRSVPAPRRRCGDSPLRVCVVLG SGGHTSEMMRIVETLKTEIWGHHRPFYVVSSTDSHSASLAKQFEERNFGRCCRLHIIP RAREVGQSYFLSIFTTLRALWSCVFLALDEKPDVILVNGPGVCVPVVAGALLVAILIP SSCYCRPAIAFIETYSSVSHMSVSGKLLGPISDVCVVQWLKLYENYQHKWWWGCKNIF FVGTRNTAEENGLQQRLSPMLGKFDGSEEGGGAGSMALVTVGSTQFTPLIEAVDNEEV LRALAKRGITQLLVQKGTSPYVNRISFAHGVSVEVFPYRPKLHEIIQKAALVISHAGA GTILEVLESKKPMIAVPNRALMLDHQLEFAEALSNERYIYCVQVADLCKQLQRLDLGA LRVYPGADTAELLRLLTPLFSL XP_845318.1 MAEYLIDLTPRMAYVDRHELLRSLLTEKEFIERRQEQLNKSTTV YVGNLSFYTTEDQIWEHFSRCGHIRDLVMGLSEVTRTPCGFCFVVFESQDGAMSAVID LHGTLLDDRVITVSWDVGCDHTRRWGRGAHGGQVVDGVRQNLDSARGGLGVLRREELG VGAAVAEDQLVHYTWIPPRRVEKRGRS XP_845319.1 MTIPAVYSTIGFSITTFFVTLGLQWHYTRVSYKTMPFLCPVFIV IAVYASLMPFPLLVVDIGAAIESMNGGDAPKEEWMIPVWYTIMIVTYVMGWVVLPISQ SYTEVGGFTVRRKLVSSIKVNAKLYAIYTCIFAVLFAYVVVLKGAYTSLTSIGNLATA LANAWGLLLLVLFMSTGIVGVPKVLWRKSNPIRMLREVYYSAVEIQEDLDIAVLDLTE VRTELMAISSAVPEEHRPYWTRMIELIDESDGRSSQFPMPTSRTNAVGKRTEIDVSLE HLEQLHERVKGSIKIAQRMTYRWDATVRDAKFYEMLARGSKATNNGFKKVWFPMRGII LKLLALLCGVITLLILWSEVTLPFRPLTEKSISVVAIMANSGWELPASVIFLFYMAYC SYWAIFQLKVFDIYVILPEISDNSSLCFGATFLSRLIMPLCFNFLLMADMANGAVDVM YGHVYRDNMDASYILGDWLNRFLPAIIVLVSLLVFVNIAQCILKLIGLEVHSPNNINS EEVRQRIEDGYRLVSNALGRPLTAIDARVLGHAASEVERTEVSTPRHNRGPPAERGDR YREYLAQRMATEGARRS XP_845320.1 MLRDGDRYTFQFAELRGDSYYTAFGKITNSFLQMYRNTKHYELI LTAFLNRLLEDPTKISSENYKILGDALMGCINTLVAERQAPEPIRRLKARGPDYRRWN ENDEDEVFEEESEEEYDEGPQISEEEQKKLEEDRIERERLEEERIAAREEERRRNEEE LRSRARQVDRRAMSELLQHVAVMNPGQKKPKGGKQQKQISAETVDDMEIQVTLLRKEK DRIQMVLQYSEEDLPSKLAEAKQQLDEVVSKLNAQGSAKKGDTGTANMGQKQLSSRLS ELTQKQTKLTMERNRLALQLKTEPCPLREELLAVLKERDEAQVKVDFA XP_845321.1 MKEAKKKKLLRRQRQEELRERTKFIRERLQNAEEVEVQYVLSSD SDGEKSPAGDVTSRAVAETGNVDVEDEDRVQLPSITVNEAAGSKSKKNKQQRQKMSWE ALKLAVAQRYGSDVSAMVSEHDGNAEDPLFTVFLKSVRRTVPVPQHWNRLRAFMANQA DREKATDLVPPEIALLGVERIRATRDKKASIDQVAFVSCFITGTPLQRKRFNVSLSRF GDVFYEGKWLPKGCHTPGVLSQRLRTGLGMGPHSPPPWLYGMQAMRRLPPAYPGLKVP GLNAPIPPGAQWGNGEGQWGQPPRTESNTFLFPGVMDEVAVEEAPPVYWGTVPPLTSS SGSHQQQKSVVSHSVQAQPTPATVSTTKTPAPVTTPPPAINPVPFHPQAYTPATAVGY VPTTPQEYVRVQDSTVGATVALGSVLVPKAAVGAATMNQQQRQQQPQQQPPAKQVVPP RAPTKF XP_845322.1 MLRRLAGCVAFRKNVVRPFMNSTALLSSSPAAVSAPVIPKSSNE GKDYSVASGSVDTPVLDQLVGYYVNIQSRKMYYKDFLRIEHQAVRWAFADIRKNSEAL AHGLLQTGLRPGQRVLAIQPCNCETFVLQLACAKIGALLAVVPHHNISADKLRFYLNE FQPHHLIAREWIVVPEVKQGVLVERNMHFWDMIYNVIPELGLSYPGQRFQWVHSQEFF FLKKVVITDHNMNLLGVTPMRKMLVWGPFSYYEQRLRRLSALLHPDDPILALEDPHPA LEDKLHITYSHRNCINAGFLFGNLMGLKAESRFGVMPNHHVDPVGAIVAPYAALTTGA VLVHIHSDMFTDDHAINGIEKLCVEEVVGMLGKKSDFDLLLRHAGNFDADQYEHLKWV VLFEDASEPTVGDEYLQKLAKELGLEDVFVFRGPLESAYMVMWRSLKRARWEMVPHVE AKVVGDRGTADARILAANTRGNLKLKGPHISAGYYNNAGLLTELVDERGFCSTSREAV MDERGQLTLHGTQLY XP_845323.1 MRTAFQLSLNASLNEGLAAIGRFDGKTPSLVCATNTRQVIVHTH DTSNPLAAHREDASVAPLRTFNFGKALTALATGPIGGRYENEGVDALFLGEANSLLAY DVERNCEYYYKQVEDGVSAVVGGVVGPTAKSGPAPLAIVGGDCAISGFNSSGEEVFST ITGDRVTALMLMPWPDAGVCASTSTLNGSPALVAASEDFELRVFDGEEPITSVGLADR VHSLVYSGVPGRFAYLSNSGTVGIYDRCERLYRLKDNQRPVSAAFCDVDMDGVPELII GWNNGRVEVRSGDGNEGTVLCKETFDAPISSVLVDDYRQNGQPLPIICTVDGTVCGLQ LTGTMGRHEAMVAQREGALKLDMLMREKQALEKELANVKEQLVRQKSGRTDVTLPKVG TGVDCKLQPNSQTGKLDVVFSVINGQQDTIIYACILSSEAMFPEKGHILFMSQDPLPT LVCGLDVPRGPEVTLKASVMVGSTNAVNYQVHEVDVVVPKFVMCRLWPNEWCRGSFSE PTGSVTLQLSKDFDFGSVSLWLQKTFDVPLDRVPDTASDFSVPFVDLQDRSGLVIRGI LSRCELQICGNSMDICSILVESFATTCVTEATSRCDFPEDFQQLQETVERVIDLDKVR QKLSDDIAEAATNIKPLLVRAEDSRLISDIVSMRRHYSQLYELDKELIDENLKLSNNF KELKSALKRLNGFIAKAGKLRIGRARTQLVAECRECVKASNMQSLINLIRTGEK XP_845324.1 MSLLENCHPCAPPEDGHRPLAAEALRRRDLISVNARLAGTGVYT SRLHTGAVTPRPIVRTVKALQRPNQLVQLDRTPSNTLSAVTSVTAFSEEVDDVASEMA SGVLEASQEALDSWLGELTKDVPTQILENTPEGDKASCSRSNSTSGDPSCSASLLSST LEFTKVLEMESVATCSTTCIGDGRASVASTNQLNGVTGTSAVDVTGNSINLLDRSASP ALRTPGVSTTTETALRRKIVNVRIEERIGRGMFGDVFRATDLDTGAELAVKQIIVSSD IDRDTEKQLCALEREIRVMRKLNHKHIVKYFSSRRDEGCCALLIYMEYISGGTIASKL KTEGALSEEETRNYTRQLLEGLAYLHKRRIVHRDLKGDNLFITGNGVLKVGDFGTSKD LQSTIVTNSVAGTPNFMAPEVINCSGHSYTADIWSVGCCVLEMLTGHPPFWQLDNCMA VMFAILRGELERHIPEHLPEGAKEFIRQCTRTNPRERLTARQLLRHPWIKGKGKADSV RSCSLMDCSLASACSQIDVASVTNKVAAATTSPINGAERSRVSLLSTHIPSGHAVIGM RGCGSLLGIESGRRPRMNSFRQSNVRRRLSISCSSSTAPLDSRTSDPRKGRRKGVGIA GDACARTTKLPIVSR XP_845325.1 MGCLPSVAASNARGQAAATGGDDGCQGKDAGLSDPTLGEQLLED GDVQALLDKKKRLVLIIHGSVYDVTDFAPTHPGGISVLSQNVGKEVGDVFDRIHRPST KAQLATYCIGRLRSAGPRIAPTLVRKDREVTPGFVRATVLGTEMLLDDVVRITIAFPL GLQHSPGSYVRLKLPHPSGACDMSGNYTVASVTETSFMIISKRSLNHGVSSHVCSLQP GNEIEYKGPFAPSWVVEDDDELHKTPEEMRHVTFIAGGIGIVPIFAAVKNLLEKQIAS ATLVVSTRDLRRLVIRDEITELAKQYGSLRDDNGVASGESAPRLRKVLKLCCIFTRSS GEVNVPGADLISYARLDHKILSQFGSAVTVVICGPPDFTRAAKESAVKGGVCREERVI VL XP_845326.1 MSEYHMDLGLLCVTNSSLISGPQRSEGDLHQSTLEAMKVLLKEC CELPTATKRGKFDGSTTLLKLPQPVMQLPREKAPPKPKPPTAWEKFAMKKGIALNRKK TNRVFDEERQVWKDKWGKRAREEKEKYDWLREVGPNYVPQEEGGDPFLDERRVKKERL EKQKKKEEHNKRRSEHISRAQEEVKHLTAAAKHLATASNGKFDKVSLRKKGKK XP_845327.1 MAKTVDNAALLAEIDQLRRLIAEKEALITPDGGSLSKKSKKKSQ MNMVETEPVQGCRDFPPETMRLRKYLFDVFHSTARKFGFEEYDSPVLESEELYIRKAG EEITEQMFNFITKGGHRVALRPEMTPSLARQLLAKGRSLLLPAKWYSIPQCWRYEAIT RGRRREHYQWNMDIIGVKSVSSEVELVCAACTAMQSLGLSSKDVGVKINSRKILQTVV EQAGVSADKFAPVCVIVDKMEKLPREEVVAQLAAIGLESNVVDAITSTLSLKTIDEIA QRIGEEHEAVRELRDFITQIEAYGFGDWVIFDASVVRGLAYYTGIVFEGFDRDGNFRA LCGGGRYDNLLTTYGSPTAVPCVGFGFGDCVIVELLNEKKLLPELHHVVDDLVIPFDE TMRPHALSILRRLRDAGRSADIVFDKKKVVQAFNYADRIGALRAVLVAPDEWARGEVR VKMLREGAGREEGANERGIVLPVDKIV XP_845328.1 MWRLSRSLRSNSLHNPGPFLDGALQLIKLHLAHKNAAADKNTKA CSDIEGEFLRELEAFRPCFTMSSSLKVAKLYTKKLHGALSYFQLYDDPLMRQLDMIIG KQTMQPSAGRQHGVFKAPVAARLDPFFSLDEREETVLPSELPNPPKPDPSTPLRERAL KVPAQHRGHWVLRDPDIAITREERRTDPW XP_845329.1 MFRSTRPRSVGYTPVNPDTSPMVAYSQYHWHYNLPQGMERPHSV NRTFAAPFQSNHSLVNKYRGVWIEFDMHPAFSVALEPQLRKLPRGRTLPKTPAEEVIA DYTALAPLVDDEKTRDLWLAKVFQHCAFQRCGGAMELWERYCHQRFTAEGATAKPPLS LVKSVLFYCNKTDNSGWRALFDRCLKDGWNYTPLFDTAQWSFMLKSIGRMGDEDGVRA VLEEMLDVQADLDRVEARSVVIALNAVTNADVYEFVKKYLFNFGERKVKFLRTTYSDL RGHGAGKLRIPLKENDNMYYHVCWHSSIRSPRQFSPRQLYFDYTPSTLGSSSHNPNAK IDDIVKDKIEKWKAEGLLPEDYVHEDRVYDRSAAFKNVARQEKWKKMPKILKSKRMGY TGDP XP_845330.1 MGLCNSKSFERDQLAPPPGNRLSDESQRQGGGYKNHSNPAAYEH QTGAEQYLKTFLRSVESVLSERFTFHSQEERDAVSLSFMNSCAEITRTKFRLKMETVV EIISKIAEREKLKTISETLPSVKEFYVDAGFFRLLNTWMSSGGSPANQCTTSSEKYVT EGKDVKRDSRAPTNFASVLIDHLNSASLIPTMDLFPRFAEGGEVMTLEQFGKFVTVTQ GNERADAQIVEKYNHRFGGIVHRYNFNTYLMGLMTNNALDPSRTTSVWQDMTQPLTRY LIKTIRIESESDLQRALSDNSRAFVLKIKRNSEGVLCSGSCPLTCIIEGIKTSGFSTI PYPIILCLSPPNTLPVQLKDELAEILSKGLGTMLAKGLMFEGAIISDPKFSPAAQRKK VLVLGYQSPLKPFVGSLMADMNRDGLGVRVTDVVTGTPAAKAGVSKDDWFTHINGEAI INKQHLREQLEKLELGEEFTLKRENLDELKIVVGGAVDREDKSESAALSDLLFLKYAK KKTNLKPWEVVVLTADVLPSLKPNQDSLDDHFAFFSDVDEHSSEQCIGIATQMGVQLI DHGNSREGGLWAQGRFVDNGRSGYLIKNNTNGKLTTSVSVDILAGPQEIKGLPLSSST ARVYGAGKASVKGSKIVFEGCNETTVAVIDCKFVQDSSSFDFTTAFPPLLLRSGYRVL RLEQTGGLTRFDECALGAHCLVSWNKAA XP_845331.1 MGKVHGSLARAGKVKNQTPKVSKQEKKKQPRGRAFKRLKYTQRY LAKTLKPGEKLRMNKQPPGKAG XP_845332.1 MGKVHGSLARAGKVKNQTPKVSKQEKKKQPRGRAFKRLKYTQRY LAKTLKAGEKLRMNKQPPGKAG XP_845333.1 MTGADRFNSRSLVKALDHPEPFEDWLQGTRYMQKYLLSLKFQTC RAPYVPTRQEHSKASYLTMSKRKCVDGSQRSRKADELTTETTGAAVGVATPLQHAASA GGDSQGSVRKHSAPQRKGRKSSDNCADTAAVDGVASCDRDLRGSLLRSYNRIKGTYTS SFPAMLTPYRRSYWTYKQREMTKDSYSGGSGGPAVTGECVSGGSDPQQKSKRCPAGAR SDGLPSTTERTFLTGPPRFSPTPLPVTLRRKR XP_845334.1 MSLVESQKDMADLLPCNEECGGDYGRYSFGQTDTEVIVKVPLPS DTPTKMINVDVKVSSLTIGMKGQSPLISGDLYKPVKVDECTWCVEDKSVLVVTLVKTN AQYEEWWPCVTTNERQIDMKTFRPPSKHISELDDSARATIAKMMFDQRQKALNLPSSD ELRLRELMQRGGTSN XP_845335.1 MFRWSWCRLIISKVSAESYVDYLQNSDRELPALSEIFPRHGTGT GELVKFHSLLCEAMKDHNFNYVGIKVVPPTSPPLQCLRATEPVCVPIFSNSFQGSVFS AKQHRIQFVEPLFVIRLGRDPPTQLTANTVPAVCDAFFPGVEFVGSRYPFYPPHTTGF AADLGGCVAVHLGEAVSLGSASLESLGDTNFVVTRREEPIQVGAGKNCLGGPGAAVAL AVSYAASMGWPLREKHYIFCSGVGSRSPALAGEYKVNYGAYGSVSASLT XP_845336.1 MELSAVLESNAQRFLETEQPLNAVPLTAMLREVNPTSVKFSLLH ATTLLAARDYDQAFRIAADVAKDFEGNMQAVAIAMKSAYELGDVRGCSAYAERLKDSP SMNVVALCYLGRCAELSGDTKRAVHNYCAALDIDPFCGEPMNALIERRLLGVNELRDT IESLRLPPEAEALRASYYARLPGEFVPKEFDKYIPRTTLLLQAARTEYERNDLQQALS LTTSLLKISPFNRECVCLHLSILVDMKATSKLFDVAHLLCSSKPHAELAVYAVGCFHF SLSNYERAGRFFTRATELDASFAEAWIAYGHCYAKLEEGEQALIVYRRAMNFFPGLPC CSTFVGMQYGRAHQWRLASHFLEEAKKAMPNDPLVLNEIGVLYMRTQRVDKAREMLEE AYKSLVNPENASEHRDCIIFNLATVYRKLQCYKQAIAFYTLYVKCRPSASHGHCALAF THHLMGDMKMAIAHYHTALSIKADSFCRDMLDRALATEFGEASHGFAKRIEESLCSPS PDDISFLAASRTLRSDPTATSSKDHSHHPSVGRSLFFSA XP_845337.1 MTMRRFGGALTRPLGGMRRVVVGPNGSVPEAGCVPTFETRRRKR LRLGGSLTGDWVASTESLSGSCVREEEARLLCFEKYGFVHKPLTMASWSDFLQELARF EFRWRLLPCVGGVTVLNIVERNCGDENVLCDLRTSCGSAPFAQFFECLGAFVQGTSEK RCIMFCDPNGLEQSLEIRAKRHLSPALGEGFEDEKPILPTLAQYDCRGTLVDLVLKDM KTDVLRPIISDISMQALNYAFLVSIPLFLRQADVGVRNTDLVSKEQMRHFRFAWCFLR RESMMTPVEIGELDTLLPP XP_845338.1 MRALTFRSSLCAGRTAVGAMCFGRLWASSTSATEGSEKQNVTED SETVSVAPVSPEAYAKLEKELSDAKERIAELKKEVLYRAADAENARRIGSEDVTKAKA YGITSFGKDMLDVVDTLERGLEAITKLPQAEVEGHKTLSSIHTGIKLSLKLLLNNLAK HGIEKLDVAVGAKFDPNFHDALLKVPPTAEAPPGHISTVLKTGYKIQDRVLRASQVGV ASDD XP_845339.1 MLRRCPCVWPDGPTKSSVSIGTAFTLQKRFLKIAKSAFGFYLAR RGQRKYPFLRRPHIKNTHSMNPSAPYFWSFMTAKSQMAFLPEENYITGDWTGKFFVSK RQVYTLQHATSGAKVRVKSFPSIFEFNSPSRWNIGKEMNTLTKPRMDLIDEQMLTKKQ RLDYVRAGLLPK XP_845340.1 MLRVVSRKICTTIVRRCRGGSVLEEIFAELAACDVAQRSAQPTP QVDFARREKCRGDFNSGAGKCNAVTSPGRSPESEDRDIRAETSKQKHLQRQLQEVWDR VAMAKVEEEKEEGVRRRSRYVVSPPAILHHSTAELVQENSPQHFVPAEDSSGKLSALP HVESPGSVAVVTLVGRVLSAVGSHGSSETSRDDRDLLTDEDELHARFLVEYNVPFRTL KPVVVQVRCYGATLLSFAERYVKKGDVVHVLGHVLPLEVRSPEDPVLCVCALPFGGNV SVVLGTECVAE XP_845341.1 MPKVLVPIAEGTEDIELSCITDILRRANFQVTVSSVMESTTVRL CRGLVLIADSLIKDESGEGYDGVFLPGGLPGADYLGKSVALKKILEDVRAAGKWYGAI CASPVVSFEPMGLLRDVKTITCYPGLKDKVPSHVQWSADPVVRCGKCLTSMGPGTAIA FALSIVTVLATKDIAEKLAKDLLVYDSPVINQMFSKF XP_845342.1 MEGLFIRVVSGSPRQRHSDVENTTPEYTILCAQTPNAADRITQL MNRFRTSTEASSTQTMIYLLQTCVGTDVPPDEVENIVETLVGFANEHPMWTDTIQWIC AFVHYYCTDEFTVSLFSEFEVPSVAVRALKEAPQNSHLVLAGCTLLSHFNLYPIGDGI SQLTRALSMHSKDPLVCKAACRALAEFTSYVVEAPNLYVTANKEFVGAGGIDVLESVL HEQIGDEETTRYVARIAANAIGSGEPNTLEPDFKVVMYLAEALERYSDSEQLCSCTLR VFSVFPSSPYVDMNAIASLFKNTESEAVAIEAIHFLCSVAMSVKTLKQLIHTTGCVQR VLEVMRKYAGNASIQEYACSLLSYLSFDSETITSFITDANGIILVLAAMRNFPESEDL LVSACAALSGLTFNNLKGQEVIVSEKGVALILEAMGRGKSARLQDNGCLALGTMCWNS DLKADVIRYGGVQMIMRALAAHYTNAGLVKNACRALAQVAFNCEAYRDDMHASGVIPL IMKGMAEHPNYDRVQMHGCVALSYLSWNNEQNSAQIISNNGYAVIINAMRNHAHNAEV QEHASRALANIGGVRSDELTPALELIIGAMRRHEHVSEVQEETCRAIVTLSLISTSYK DKLFELGAAECVVTAMHNFPSTQVVQQEACNALAHLAYEHANLNRAVTELSGVEVLLR AMKTYVNVPKIQLNACGGLSALAFDNTIAQKQIYDLGGVACIIRAMENFERLRMLELG CSVLGTLAWNSEIKESVAVVAIPEILKAMRVHHDSPLLQKSTCRAISQFAFNSESNRK LLAESGAIPLIVLAMRTHVTTDKLLVHGIKALTYLCWENSQVAEAIISEGIEEVLQHV IDTYPASTRVYGEAAHLSKILFRKVTGSPNPSVRVYSPPVFSPVSVSQTPGSAVTRTD TAMLLSPPLRDASDVGHFYGRHSDTGRKDDWHPAEYQKSPKLEHQQQRQQHQRNNRGD KETQGPGETRGEIDGAGRRRRNRRRGGGGGGGGGGGRFDRPPNQCEPPGALVRDSPER RTEVHNTWSPRRDGGSSRPLSPDDLWDDPHPSTYHGNRELGNLSWQQVQLTRQQEERH VTSGDRSYRGGGGGGRGGRRYPNPRGNAPTRGRGDSRRCQ XP_845343.1 MSVPEWARSEHSIEEAKEYLRTGNSVDFFELVSSHILREHPLDV AAFALDLVERISKLGNTLSARDYHPKRVEDNKYLQEKNVCEFLNEWILALLKERPDTD EARMSFHKRYLKSLVDGGGCSQCTSVN XP_845344.1 MVCSTICLFQRGQWGNEGSRGDQRNYDNRGGRGEWGDRGGQRGD NQRDYGDQRNYDNRGGRGEWGDRGGQRGDNQRDYGDQRNYDNRGGRGEWGDRGGQRGD NQRDYGDQRNYDNRGGRGEWGDRGGQRGDNQRDYGDQRNYDNRGGRGEWGDRGGQRGD NQRDYGDQRNYDNRGGRGEWGDRGGQRGDNQRNYDNRGGRGEWGDRGGQRGGNQRDNS NQFGYRNERDGGIGRQRSARDNFGAHDNRASDERGSTDPAVGEVFDEQAAKILYELKR RFKSSKTGKERRDVQREARRIVRRARVDPSTQDEKSVTLLLNCAATFRCYPHTEGIKQ ATEWMRKNIDGLSPQNVALFTNAIGALSVVDSDTILINEISPAVEACYGQMNPVELVM TLQAFQRGRITENEDLQVSLLQQLVTCVPQMPAPQLSTLAGVLVDTPLRKRDEATWQE IAKTVVSKAASGAENMHSREVITLLKATPRLGVPEDHSFHLVDRAIATVGFHTDEQIG ELLEAVSYYRDPQKTCGRELNEKLDKLVDALWARLQKVAPFADAASVTTIMRAAHRSG VDVPRDIVSTLVQAVMRELRYHRFTFRRVASLSEALALQKVPAKELLVMLGDYCIGKR PPREERGENTPVDDHNSGIEERMDIYARFLGDLTKARIALENAHSSNAGNGEPGSSVS QILAQRLEDSVGSAPPRELLKSVRAICTAADDCPFRNRANDGKIIALSEQRIAKEGAS FMEGVRKTTMENFLSAVSGIPQAQKVVEVLSHQTR XP_845345.1 MSGLWSFRDNAPSSHCVGATTRAVLPASADEIVLQDALVESSSM LVGNAVRGFETVTDVGLVVEEMQVEWVEKTLGILKSSSYHLSNQCEKGSESMLNHPGE GCSSTATSLNLLDEEDMEVVRSLLKPRDDCGIKVRGATSKRNCGPIEPSSSVLRTAGT APRRRDRPCAAEPREVDTVPVVRVQVARKSGTRPFVAAELLDYPLKPSWNNTRGSAQR LKERVPATRMPQRAAVGPANQIICDSTSFLIPGSNISLKQPKEVTSICRTRKKAPLYD ALPLQTQVTLLSLEATRQSGWHRDFLDKFDTIARKWRSLHMRKFVWGRWCFRQGKRVG VPISVLVAVTDSSFLTPQRVGSFPLLAGHAMKAIDGPDARLLRWYFVMWVAAVQRVQE QRSSAGRFGPIHS XP_845346.1 MTIDYSGFLDEPSGASSEVTASRYFEIRGSILYCWTYKPENPGD KPLSSIDLTDVHITRDEADRRSWSVQGGKLRKPHMFTAENEEEREVWIEKMAHPNPAN TEILRTLEQTSDGDDTAPLCSGGSNRVSLNDFQFAAKIGKGSFSSVYAATEKATGKTY AIKKMEKEVIERYNMIDNISAERLILQKIDHPFIVSLHYAFQTKGSLYLVMDFLSGGE LFFHLESVSVFDEWRAKFYCGEIALALGYLHAQDIIYRDLKPENAVLDADGHVCLTDF GLAKMDVRDACNFTFCGTPEYIAPEFLLGKPHGKAVDWWSLGILLYEMLEGIPPFYSE NVSAMYDKILSSELQFGDGEGGSNNMPQISEEAQDLLRRLLDRNPDTRLQDVEELKGH PFFRDLDWEKLFRREIEPPFRPDGNALSNFDQEFTSADPPMVQPDDEVVEDKSICGFT FNGRSRPT XP_845347.1 MRAWSNLLASVTMAPFFLLCFVCSCVWPAVATAGSIPLAVVGSV ITSEDAYRFIEVQAVDGATGAVVRSVPLDATLTFTFHGLPQTVSEVRLLPRLPERRFR LDSSASVLTAPLRTKDGDGWLHLSAIVEQQDGSQAGDQLPGSISAAVMAAMIIALAVI GRYRLLSLFQFPAPKPPKLRRVAVAVNR XP_845348.1 MPARGCAPVDEQIAGTDTEGRRYRSVEEMWGRELQGNLYDAKTG WYGKSLQYWGSVPATVSGVLGGMDHVHDIDIRDSRAFICCLPERGTTRALDCGAGIGR ITKSLLCHLYDVTDLLEPVASMLEKAKEELEGFPVGDFFQSSMETAKLQPKTYDLIVI QWAAIYLTDEDFVAFLVRCKEALTPKGYIFFKENCASDDEFIVDKEDSSLTRSDKHYK RIFAAAGIEVVKEAMQGDWPDDLLKVKMYALR XP_845349.1 MSALAEAERMEREKALMEGQQRVDMLRETVRIMANRQAVLVGTK RRLQISTGELQRLSSDHCVYESVGRVFLRTPVPAFIEKQMEAAERCEAEEKRLMSEKQ RVVEQLQKDEVQLREAAQQYMAEMSARRQLQQQQQKQ XP_845350.1 MLCSGRIILKKKWIPPLGYRPKDFERKLRSPRSSKPWRDRRAAS GGQIEVSPSTAATNIPRGDLVFRPPLGIRDVRPGSPSALLPTFGFSEEHLAAHALPAA GSQVEGGDVLAVADPRSASHLTLPFGRALNVNVEEIKTYEEGPVPIHLQQFRRSRREA ADSIILPRHINRLFHKIMGWSEDLVKVEMDEAPTPNTGGVPSDTAAVRAKEAQESEVP LLRMKYDNVVDKMKYGVLLDNYEKDKFAIEHQLEIAGEKFERKFLDWEGMHVLDQDPS AAVREVLENKASIVMEQPSSFAIPVVNRDCCRGCGALLQDQDENSFGYVRKGDVERYI IEKQQKMRARAEYADRMSELQAHWRKHGRRVGEEWLDFMTQEEFDAFYRDRNAPFVCH RCHALENLGVEGRRRIWSAPDFTDKLRALREKKCVVVLVVDVTDFPGTMVYDLPGLIS MNNDVIIAVNKMDCVRNRSFNYRGKDRAVAACLVTERYVRRWVTGIAVQFGLPRHQIK DVIPLSAKRGWNVEALIAAVEEASNLNLRRPTKPIPTYFVGVANVGKSSVINAIAHKL YVPLPPHPESRKVYYTKKAPDGSESVFWRWYTPPNVNQAEMIDIPSRHDKKASKLVTT SSLPGTTVDAVAVRVSLSKGAEKGRAHLFDTPGLLPHWHRHSPLTLLQMRRTLIRKFR NPQCFILVPGNTLFLGGLCAVDVVKGTSRGMLFMVYTSQKVRNAIINTDRSDEFWREQ LGRALDPPGSVEQVGDLRLTESRSYLFECYQRNRKRPKADIYFCGLGWVAFCVNEPAD VVLRVRTLPGVVHGVREPLRYKDLLAFKGWPKLRRRFTSAGVPNDEEDDDNSIATVVR LTAGGGACGLSAAPVCGSPEEDVDGPAVRVVRKSVLPKPIPASSTPFDGVVEELSLSG QAGGGTGAGM XP_845351.1 MSSAPLRVYVQCNPLLDVSAHVSDEFLVKYGLERGTAILLSERQ KGIFDDIEKMPNVRYVPGGSGLNVARVAQWMQQAYKGKFVTYVGCIADDRYGKVLKEA AEHEGIVMAVEHTTKAGSGACAVCITGKERTLVADLGAANHLSSEHMRSPAVVRAMDE SRIFYFSGFTLTVDVNHVLQACRKAREVDGLFMINLSAPFIMQFFSAQLGEVLPYTDI IVANRHEAKEFANMMKWDTDCVEEIARRAVSEVPYTGTKGRVVVFTRDIEPTVIATKD GVETVPVPQLDQDKVIDMNGAGDAFVGGFLSAYAVGKDLRRCCETGHYTAQEVIQQDG CSFPEKPSFSP XP_845352.1 MLFMVYTSQKVRNAIINTDRSDEFWREQLGRALDPPGSVEQVGD LRLTESRSYLFECYQRNRKRPKADIYFCGLGWVAFCVNEPADVVLRVRTLPGVVHGVR EPLRYKDLLAFKGWPKLHLYCMHGKMHLMWFGLCISNLLL XP_845353.1 MRAWSNLLASVTMAPFFLLCFVCSCVWPAVATAGSIPLAVVGSV ITSEDAYRFIEVQAVDGATGAVVRSVPLDATLTFTFHGLPQTVSEVRLLPRLPERRFR LDSSASVLTAPLRTKDGDGWLHLSAIVEQQDGSQAGDQLPGSISAAVMAAMIIALAVI GRYRLLSLFQFPAPKPPKLRRVAVAVNR XP_845354.1 MPARGCAPVDEQIAGTDTEGRRYRSVEEMWGRELQGNLYDAKTG WYGKSLQYWGSVPATVSGVLGGMDHVHDIDIRDSRAFICCLPERGTTRALDCGAGIGR ITKSLLCHLYDVTDLLEPVASMLEKAKEELEGFPVGDFFQSSMETAKLQPKTYDLIVI QWAAIYLTDEDFVAFLVRCKEALTPKGYIFFKENCASDDEFIVDKEDSSLTRSDKHYK RIFAAAGIEVVKEAMQGDWPDDLLKVKMYALR XP_845355.1 MSALAEAERMEREKALMEGQQRVDMLRETVRIMANRQAVLVGTK RRLQISTGELQRLSSDHCVYESVGRVFLRTPVPAFIEKQMEAAERCEAEEKRLMSEKQ RVVEQLQKDEVQLREAAQQYMAEMSARRQLQQQQQKQ XP_845356.1 MLCSGRIILKKKWIPPLGYRPKDFERKLRSPRSSKPWRDRRAAS GGQIEVSPSTAATNIPRGDLVFRPPLGIRDVRPGSPSALLPTFGFSEEHLAAHALPAA GSQVEGGDVLAVADPRSASHLTLPFGRALNVNVEEIKTYEEGPVPIHLQQFRRSRREA ADSIILPRHINRLFHKIMGWSEDLVKVEMDEAPTPNTGGVPSDTAAVRAKEAQESEVP LLRMKYDNVVDKMKYGVLLDNYEKDKFAIEHQLEIAGEKFERKFLDWEGMHVLDQDPS AAVREVLENKASIVMEQPSSFAIPVVNRDCCRGCGALLQDQDENSFGYVRKGDVERYI IEKQQKMRARAEYADRMSELQAHWRKHGRRVGEEWLDFMTQEEFDAFYRDRNAPFVCH RCHALENLGVEGRRRIWSAPDFTDKLRALREKKCVVVLVVDVTDFPGTMVYDLPGLIS MNNDVIIAVNKMDCVRNRSFNYRGKDRAVAACLVTERYVRRWVTGIAVQFGLPRHQIK DVIPLSAKRGWNVEALIAAVEEASNLNLRRPTKPIPTYFVGVANVGKSSVINAIAHKL YVPLPPHPESRKVYYTKKAPDGSESVFWRWYTPPNVNQAEMIDIPSRHDKKASKLVTT SSLPGTTVDAVAVRVSLSKGAEKGRAHLFDTPGLLPHWHRHSPLTLLQMRRTLIRKFR NPQCFILVPGNTLFLGGLCAVDVVKGTSRGMLFMVYTSQKVRNAIINTDRSDEFWREQ LGRALDPPGSVEQVGDLRLTESRSYLFECYQRNRKRPKADIYFCGLGWVAFCVNEPAD VVLRVRTLPGVVHGVREPLRYKDLLAFKGWPKLRRRFTSAGVPNDEEDDDNSIATVVR LTAGGGACGLSAAPVCGSPEEDVDGPAVRVVRKSVLPKPIPASSTPFDGVVEELSLSG QAGGGTGAGM XP_845357.1 MSSAPLRVYVQCNPLLDVSAHVSDEFLVKYGLERGTAILLSERQ KGIFDDIEKMPNVRYVPGGSGLNVARVAQWMQQAYKGKFVTYVGCIADDRYGKVLKEA AEHEGIVMAVEHTTKAGSGACAVCITGKERTLVADLGAANHLSSEHMRSPAVVRAMDE SRIFYFSGFTLTVDVNHVLQACRKAREVDGLFMINLSAPFIMQFFSAQLGEVLPYTDI IVANRHEAKEFANMMKWDTDCVEEIARRAVSEVPYTGTKGRVVVFTRDIESTVLATKD GVETVPVPQLDQDKVIDMNGAGDAFMGGFLSAYAVGKDLRRCCETGHYTAQEVIQRDG CSFPEKPSFSP XP_845358.1 MCCCFFPFTNYPTFHRCAGAIGWTVPLVSFAVIYVILFNVPIFK LFSPCFPPSEAHSDFFFKYLFSWLKMHGNFVFTGKSRPNRLTLSNDRQPSKNVILNNA RAQREQRQKEKRECQAATCIQRNTRGLLARMALAELARAALKEIKETQDAAADGGTSP EADEPLERLCWLYEFVRRGVVGLLVCSVQLRECSQILLNRLSAVLRGGALEEQLLGFP HAIMLLMKLTLEAYLTPSCDEEAVVERSREHLQPLASLFAAAKKLGNGADILICALDL VIRHNATPDEEIISALYGLYATLSPAAAASSVQSTASRTLLAYAVGSTSNVSYYLSPT TCALSVLLGTVRRDCYPRVSEETNAIFLEAIVDVTLKILESTASSPPLQILGKLVRVL PYFHHGADEDAQKQVLRRKWLICLSRATLLCSSEDELIRETLSDFHHSHTAAHQEHAA HKHLSTYLFSTGYGLRLLEEGLRTSLSAHSTDSFSDVNLLCCVFIWPLYKFATASARQ RLEATTIISKFVYSSGLLRCLWDVYRNCHSSTFESTAGMSAAELLECVATGKLLDLPS PVAPPTELLSKLSLPRSTHGLFYDPYPAVSVTLFCLMSYFVDATGFLEGLDRCAVIDR ADTLKLIFALKGILYQSFFHGVLPYSKCEVVAQGALTLFLKLHVVGEAQSFVPHPSVW IICHDHLLLKTFKSIECGTWSAIVSNEDDEDCTEDDNEEREDGHWAPSLREGNHESYV SLPWWNGSLSWPQEERCIHVLQRIPFTVPFGARVTLLTSFLSSHVERSRPTTWGHFVV RRGCTFADAFDRFADNPGSSDMYYVRFRAANDLMEEGYGDGVYREFLLSLCKEGFAAE HGLFCLTDAGYVYPNPFSWEVTGDRDHLKRIKFLGAMVGRGLRDGVLQDIPFALHFRN AILGRSNSINNLRSFDSQLYRHLVSLMSLSEEEIENLELNFTYTVEALDRVHEVELLH GGRNIAVTRRNCLNYIHLIADFRLNRETAKQTRAFRSGLESIVHRSWLRLFDSNEVMK LFGGDVECNIDVEDWKQHTQYHVPDDATSKPVQVFWEVVQSLPLEQQRKLLKFSTSMN RPPLLGFKFLNPPFKIHVLWNEAEERLPSASTCFCTLKLPPYQTFGVAQQKITAAIEE TDDFGLS XP_845359.1 MLSITRCIRGSFILNARVVPDWWQPFHREERHRTAVARIAHLRS STADGAAVTRESEREAVPITLQEANELVDTYTRNHNAEDLSLVLQHIRETLQESLDSF HYQSLFRVFNYTRDKDNVEQLLLMMVQRGEVDAAVFARIIDTFHCLSPVNVLSSILRV FAAAQDALGNEICGADGCPLLTSVLHHVANSSDYPPTASLLVVVWMRALGVQLCDWDY VHIFAVLLSHADAFPRVRSTIAVFNDFPRGEVSPQALFQRLEDRGELSPASGPLSLLV EAMRSSLQLAGVPLETPVKTCVVNTRTAGLSAIIEFVVDDMASAAAEGKLMGNLLHCY HALALLQSTLRNNTAAVETLHRVAREVKRRESTLEKGTDHASLAASSECVHHHYLIDM GTLLQRVSAHTLQGVRVDYAKSAQSASSDVSQNDIVAAESYAIVFVRSNDEAREALRQ VTESVDLTHHRTRLQTKLMFRRFVELCARHPKKNCKMTPTGLEERRKWGRYLDARDTS LALFGSAKQARDSMKHLFYNDNKMPELRSPAIIERDMNDTAALFKWKRAPTVASLRQR TSVPHVQCSSHAVPRHLWDPNVYNPYPHVMLSISPMEEERITDDIFQELWRVLMNPSL VGTDQWYLRDAEMYLLLMRCLIHRLDWEAAAHLTIKTMESLTYTYMMDHEVTLMFKEI GDPAGCLAFKVATKLFDGRILKDGQSKREKFHQEQFGEL XP_845360.1 MSSVSSDHIELIPSLKGVTVFSDRAQLAFVASTALECGTHIIHL RPEGNWEDMITNTLLVKVQDGSNRHVAVHDVRLKHTDLTEEVREGVRVLKDSIDELQG KIDAVTDKKKVQSAVEAAVEKIEKKLMIMGERDCPSRAHKQLTDTTAITLFLSQPSSW MELICFISGRKRHVRECLLELKDEETKLQASLSKLQEELGEMQRGKRRQRRNTTVEVT LTVREQPTELKLNVSFVVTGASWKPLYDMRVSSSASTMDLTYYAQVQQRTPIDWNKVQ LKVSTAAPHFGTQPPKLATWRISLKPPIANLKPRLLAMNLRGMPMPCAAKAVDTTDCN AAPREARVKQAIVSSSSPFSGAISSFHVLGLTTVRNNNRLVKVAIAKQTFPVELEYHA VPKSDKRVFRTAKAKNTSPYIFLPGKSHIFVDSTFMCGSRLDLVPAGADFSASLGADE RVEVVRKEVKRTRSIVTRVVRSPLVRMDHVYEFSVKGTVQRETTLLVRDQCPLSPDDE VKVCVVEPSSKALKGRLTADGMKCAMDQMGMIEWKLKLSPGANNHVFRYAFQVEHPER LTVRGV XP_845361.1 MEELQCALLGFHSASLPFEILKDSPCDAGVTGTFSQLHPSERSL VGEVLPLGEMCQTLRALATDFAVGRTDGLYISAIASAVVQILRSYEESVRAAVTPSSV VSLRPTYFLAFSLIMEMVQQQKNADLLLVTIQSFLSNREIPQKFRLWMGEAVWMSLLY TIGHYVAHGVVLHGRRDFFISVKSKGGKEDHTLHSDLLPHGIPMELGLLILSVGKERR VLLSDAESHGKEYLEQLALGAQDEAADAVFRAIYHPSLCVNGFLVVDELETRVEETHA LWSKALWLKVSKQVDLRKHIDAMRAMFLCHRGDFWHTFVETAFSTFVDDTISRSTVTE AVVNRTAADAFAYALEMSGLGDVRVFERFSTFVRLPIEAEEGEGSGTLRSIEDTARAI LSCMRGLGLHYVPPRGLQLVVSPKAMEYYQRIFSFHIVRRFSLHALHSVRGLFSEALL TNKNPSPELRRAFAIMQLLLFLQTTLGYYLQVDVIVLRNAELDKAMAKCKSVQDAKRC LDRYVWHITEGSFIAEGSNALFSACEALFQCSFALYVLCKRYRLVSWAVEGSRIPIEV LAALAALETRVHQEIIAAFTGHLSGSSTRGNERALWARLDFNRFLSAGLYGGPSTVFQ QPQRPVPSRSLSSSLKSLRGPTQSRLRGERTTKGTSSPTPKAGHR XP_845362.1 MKRPWGESVGTQSNHTRTDGVQEPSASAELAASANDDTQVRSDG RNVRVRSSGSPRTIDTGNGGGSTVVSPQRQLSDQRLPQASSRHELLEGTSEFLLRTEG NIPCSFGNVPKDSIGYESQCCWNLYNNTGTTHYEPPWRTCLAVEKNRENPYYLPWLDS MKIPPLDELLSKVDERKSKAATSGDVDSGTNDSNVKDGSTKHAD XP_845363.1 MRRALVFTAFAANSAAAAFAASFAKSHTAVVTNPTVPQPVYMQQ RLVSDQRLSEATLRELEDERQRAGLPEKPEIPEGWTIDRKPGVTHFTMRKSHGDEEII LQLTGEDRSNEEITRTLDVLVVNGGKALVFGMSVEDGEFVINNVCFRHDGKLALDTSA EAQFQKSQLYMGPDLADLEDHLVDSFTSYLSARGVNDTLANFIDQFSLWSEQADYEEW LSSINKFVS XP_845364.1 MATEAEEYVGGVPIIVSLSKTDCTIMEEPPSLSYLLPRTTALMA IRDDVQKFFSPFIAAQVDTPLLVWFTYNNEPVPWQYPVGAIKDSIIALKLCEGSGADV PFLPDTVAALPSPAELNPHSFTVPLLLEARVTCVSSDKPLGVPCPGQEDNTACRNSEQ TVRDYLKQIMKGTFSAMYGSIKGIMDARNEVINDMLNFALCTHMGEPLVQALRAHNER MTVLRRTVRNFSNVAVMINMPLANKFMHFALFRVPASTCMASQGGGSTLKGGDFRSSI SSVEQSSGIVESVQIGQSVDGNDGGMGASNATVVADDCNVTFGEIIWRALLVPWCRRS KQAVPDGAPADPAAPFFQALSRFYEGMNDYVALGGVVSSSQAGVLIDKFISCSNAEND ADGIYVTSSTAPTRRQRLGFVVQGTCPPLQTPVKYLLERFTSADGRLYVTFTVF XP_845365.1 MRKKSVQETDEVPNERLPHILSSSDDPTEVNKALVVLLRRLRAG AGEPISLSPATLSQLLRILVGRTDDAWLEVRKKIAFVFSELLSKDNADVLADALLYGR LHTTTSSEARASRIDFGGVDENSAPDPFTSLKKMKEILGKLAEAHGTVERCVPAADVF RTFLRLPALLEVIMSPEPLFDVEDNDGSAPAHHATKKRCFNDTCIGILCRSAQAYTTA LCTETWRSLSTALCTNKALSAPLLLCYFNEFVGMFLGCLEGDNFVAKLHALELIDAIL EDAAFLKARPKLAESPALLCALLPLTNSRSQHIRFLTFDAIKVFIAKGNKPAPIRYIL YINRETLARYVEDYSPNEATIGKVLSAEKEKILRSLLSLEPLSHEEEVLLNASA XP_845366.1 MPRSGDAKSSGSEVACRTFDPCQLNLSSATPVGSGAISHVVRTT LQGAANTPIAVKILSKIQLLQQKKVQSAMNEKRALLDLAPHPFIARLYGTAQSEDELY FVMEHLPHGDLLEHIRTRYSRHSCRRHSEDVANTPSDRNGLQTSSSSTPCLDFHDIQL ITAQLVVGLAHVFAKGFVLRDLKPENIVFDEKYRACLIDFDTVDVEGRTALPISNKGV AVRSKRSSGEGKDGAKKRLTISSIQTMRRNTANFCGTAQYVSPEMVGECRWSYSSDLW ALGTVVYEMLYGKHMFSGDNAFKVMKAVVKGVSPENVPFPRVDIGPEFDAFERTKDFI VRLCHTDPTQRLGVNPITGMFDLDVLRQHDIFGDFCWDVLDEHVQQYRPVDISANPNE CAAPASFRGPLHPQMLSDHTASLESHYHAVPVHNPEYAEYVYTATADVNPFERWACGV VEKDANYNVENEAVTRGLEKESVPKLSAENSECDGSDDDDDDISVIDDVGVQYFNNVH EDFMK XP_845367.1 MIEVSSLEQLLSFIQREAILVVKFYADWCGPCKQIEGQYNVLSQ VFTAVTFCTCNIDRNRACAERFQVQSIPTFIIWYRGRVETTIRGGDLPQVERVIVDLT RQQQHGEVAIASASREQSASRPIHEILLAKMNQLAQTIKTTPANKGAEQGACEAVYAL LEAGCEQQPFGALLLPYFACEGFSAVAVDALFAYISSKGEPYSKGIQIIVNRMMTQLI EFFLASTLDQTRELCMMQRAVYSMVTCRSVLPIFVHSHLFYSDSFTDGLQLEYGTILG AILGVGVFSRASRPLPPLLRMVEWRGYMDMFPTESEDHSQKISDLQSSMETACDANKR IVLVLLQNKLSRFHTLRFMGSALRLNAGYTRTMHHDLPLSSRFFMCQLNDVLMEAALP VFTKGCDASSIPPAYLLEDPGEETVVDFGESVERITHYDENRPLPSFPSLQEPFKPTV HLFFLAARSMMLSVSVLIELHERVEHESSRPNTSTQQRALCIVEKSLVEGLIGSHSLG QKRVRLLNGIAGWLVKVMGTLEDGTLLPEPPETWKYLPQQLVEVVIRGMQLAPLDYPD VENVISLMLVLMGNTVYFPKPHTHALFPDFLLRLLRNEDTQQALMAHRWFSQNIVRSC VLCYIAVEKSTYEKVSVRYTLSRCTKSFLLHESLCQPVRAEFEAGGTLLERFSHMVTA EVNDAVDQLIGTLTQMNRLVREGADLSENPNPHRGDGGGNDGTAGRAATVNRGTHRNT ENEEESEDETEGSPQSYHQLGLGLKQRILLFEGSVDLFIQLASSFPKGVAQNMVAQQI SQMLARSLTSFVGADSKKLKIEHPERYGFRPREILGRIVECLVQFVRLENFLRCLCNC GVPQKDILQAMKVISERGLVGEHLVWKLNEIASSLQAMSARVREEEALWDEAPEFALD ALLSTPLLRPIALPSDVKDLDDLVYTNEDTLHHLLLSESKHPFTKEYLDEEMVKEFNA REDVMQARERLQNRIAEWLRNAKAHRE XP_845368.1 MFRLDGCLMGRWSHRDFLRGRTKLGGSVHTRHTHGSRGRYKRLS SMYGLRDGRRHAWNHMHGGLGLKAGGGLFGLRIPRQHALSTLSKEEYDIALHGHPNIT NPYRLYMDEHPDLESVMRNACLKVQLVLLMPRVRRTALQTNSLPPSWEGLLRHVGEAL QGEMKFLGQSRGVTTHFTTMSASATCSCSASDALRAAAVSLFEDHVRGTKLKVQGSNL LRRDAKGRCSRFFGSALSNPCIPLSLSAPALKATALPSSTPPQLRSGIGAAKTEVAPS VFKLSEYHMDSTTPTKNSDTAATTVTTKSAYHLTQRGAQQLLLDLRHDNPGALCMCLR LGEIIHNGEDLHECVGQQNGGEPKRKLLREDLDPDEVGVWKHPATSVDALMRWQYLNW DPRGHCADVLPHDKRVQTVCIFVDASRARCYGELPSTDTSDGAQQRVSRSLMTEQFSE KLLEMDYKCVESNDLVGALAGLKRWSVDYQWRAVDSRMRAAC XP_845369.1 MYVFRFHISSFHSFLVNFLQPSRGVGEPSRLLDHLETHPSYMRR VTSTAVHRTVSSVLSPALLPSFNGTLLLSVCFASCSAAPVRNLYKRLGLDHKATSEEV KAAYRQRALECHPDVVDDNRKAQAEVDFRAVSEAYDVLIDPQKRKEHDKALGLERTVP PAKKQQEGEGVGCDSKGSFNRGVTRPRNRKPFVRGDADRNFREAFHGMSLDQVLFRER LRQRRMQKQMEEKAKEGDDGSPAGREESIRRVAAAAAERFAEKVRRQYGPGMLRHARV YTSLSRDPQPPPSDYMPFRPFHGWTVPNGVRTPPEPTLGPTAKVEDVKDVQLAEPAVG DASHQRKLPKHFPVVQAPDGSSLLREEAIACMERERRLPHNMGKLYSYHRPY XP_845370.1 MRRQILGAACLVKAAAAPVLTESARGIYTTWASIPLEPWATKEP WLKRLTNQSRYRSFEFWHVPEAKAPTGIKFSSVELYLLSGIEDDTNRLLNLSWTYDFD SFWRDRVDAHEMLYNSIYKKPSFISGFLFGNYSDNEAGRKHIEKKLNYLKSVLLWAFA TEHCHSAIVKARFVMQRDVWNALERERYLAGCLEVVETFKKEIPQEFAKKAIEELQND LTAMRHWVWDCPNVKRAFPQLS XP_845371.1 MSWLTPSGSSYFLSKVVVNSAPCAPLHLLVMHRCRVAFPCVNYR PLLWRGMLQVPSRPTICDNSLKVQLRHSRISSFKQEGYYRFARAKDIHVDESCFTLLT ARGGGPGGQGSNSSSNKVEMRVNMASLSEYFDEELIGNIKANECGKALTSDETQLIIS SHEHRSMYQNKEECIRRLQQMIHVASWVPPVEANPIKKPSHIVSERKNERRKKSAVKK MRQTARKGLW XP_845372.1 MLRRLFTRMAPRAKNHEELMKMLREGSQVGKMAASEESGVTFRD IRTVPIGESNEAKRRRLLYQSTYRGMVEMDIILGAFARQNIETLSAPQLEEYDAVLRH FDNDLYKWLVMDVEAPAEVAQIPVFQSLHSFVRDEREKLLKCAS XP_845373.1 MAEMLNETVVSPRKWYVHVAVQSFEGESWAMVKGETARNTAVTA EQSMTVDTEGNRERNENSTRSVLLPCTDAINKFSSGVGGAMNSDNFLNIVRECNSDES LVSRVADVGFVLKPFRDNGGNGSCEKNRGTVGGGDRPAEMHYQLVQSIDPLLKDVLEP PVSAVIDDCVDATVLVYGATQEMKHLGVVGTPSACGLLPKSICMIVNKCSQHRKQTLL ERAATAESNSTGGSTNLTGSNISGEGTHRGKDHCFVDAEASFIAFDRTNVADLLDLSN IDVEISFNMARKETQPNNGGEDSTSQESRCGVTSTGACIKNARSLPVNNVNDALKALD IGLESLASAVNNGLLPSEIGTSLLFSLTFFTDDGHVATFRIFCLAEDAAVQDWIVSSV LSRSSGPHNGPEPPVLRHNAVALLMPLLCQGNAFASVLLCVYNSVTALQRLSRDLTFA IAAQKLTTAPCPVSLVGRKGIPSLACGSGSRLPNTGQRSFSSDKHKRGSVVSKKERRV DPTHVDSPLSGLTPRSGVCVPLSMDDQLIHEEELSKACQGERFVDMPLHRASGVEAPY SIILTTAKKETRVLLGRSPPALAAESGVAGNLSHGAETAADLNLACSGEGCRHSSSEA ANLSTRGSDGTLNKVDDGCPSGIKKVVDAEHNAMKGVSCSGVLQLPSFGDGGIENVSN KSEIGNAKPTMGKNIDYTANSSGISNCQATSPTSANVSDAQRLRFKDILCRDEERHDV GNVDVPATSSASPPQGGNGSEAVAEGRTVSSLDPHSTSSEMELLVDEFICFYRDSCAT KDRVAHLERELARARKALEGKRELEEKLARQQDLTEKLREQVALLLAERELYCGTR XP_845374.1 MRLGRGVVSFSFFFIYLGAHGAGCSSALRLSCIYPSYQQSDIDA VFFSCDFVCHNHFLFSFKLFSSFFFLVGSPASLDFVPFCEITLFFFYSAFIISAYNSK KKQHAHTHVHTHMRQFIRLSFSLFTLSRRKFLFFFFFSSFFLFDFIKIYLYIYIFIYF FSFSFSLPISLRSACESTLLEWGFHVPIIVCVCMTHSSTSLCFYCFCYIFRPLHIN XP_845375.1 MIPSQLKSMTTFTNEGRVKPPMSYRANIDVLPQHIVTKYFILEK DKETTDWLKEAANVSALRILIANLLRHFVSLTTANGIVGRGGMFVVSTEQAANLLLGR TIAQQWTRYRDTMEPPFETLLDVGAGDGGVTSRLQPLFKRVTATEFSIPMRWRLWRRG YEVLPYQDPFTNKDGSRRYYDVISCLNVLDRADRPLDLLASMRDSLGPEGILLLAVVL PWCPFVEDGSIKRRPSQMMPMDGGECCKGATFEQSLQCLMDNVLVPFGFQLERWCKLP YLCEGNMIAEYAVLHDAVMVLTRKGDSGTATAEKDTDGSTIHT XP_845376.1 MPPGFKAPPMPPGFKMPPRPPMPPGFKMPPGGPRPPGPPMPPHA QQGSYPNYPTPPGAPPMPPGGRYAPAPPRPPSGGSDQENGQGYMMHSGAVHGQYDAYA REDYNYGVPPGPDSRGYSSYHPSQQYHQGYYGAPAAHGGENRGNRRDEEAREPTNTVW VGNLDVQRHSDDFLRQEFREFGRVVRIAKVPDKSYCFVHFRYVEEARNAVETLSARGS LGGARFSYGKMFDYSQDEGGMQQDGGMSNQGMRPPRRPREEERHEHEERRRRPRRDEE PMEPTNVLWIGDLPPTITNEELNENFKVFGTILNISRLDCKNMAFIHFENIESCTQAL DLMRDQLICGARVALNYGRAQRNPVSQTTGLSPDGIPLSETPTNVIFVGQFATDVTEE DIEALFEPFEGFINSKFIHSSCIAFGHFDTVDHARAARVALNNTLLKGAPARISFGKT NHTLTMADRMRRGRPAPLVDGVEVIPETAAGAPGRGSLDGVSGALVAGPGLSGASENG AVVMIPAMGAAVTATSPPQAHASFTRERPAPDMTLDARLQSLLGATYNSCGEAEKSLS PSVIQTICDLIDDCVDEGSEKRLDDAIFLYTPLNSAHVFGILAKRMQSFFNDDPHKKL YIAYAATRVLLAAKTDYVFFTKAAMNAYLMVLFVTSQGQTPDGMEQLVAIIESVRRHP FIEKQRLDAEYTEIFRGQLEEILGRAKEEQDLTSLITKKRRR XP_845377.1 MPRHLRSFFWVLVIAISHCSFLQLTTPVAGASQGEANVDYDAIL LEHMMAQTFTVSVFTERYGVFNASLVLSASSNFPELIHGELIPTGTQRLETKEQRGLE HFSHNRSMLGVTPPQQVANAHPSLLIINIKMDYSGASAGTFSAWSLPAADRELQTRLT TLDEKEINPTVSASFSFLPVVTAAIPSSLSDIQLAPRMASGTVSMEDGKKGTFTLNFL SDHEFSLIVRLEGDTSSFDNIWAHGYAKPNDVLFPRRPPYKPPVWLIWLMSGAWLSVV LFQVISYCYSNDHSVQPKQIVRVAPPAKGKKVN XP_845378.1 MLSGTTFGASPLARNIADDPEGWPVVVVVGELATYMCRSGDIRP FAQEKPISADVLGKPPPTATESDNDATNSSREEAHSFLLSRLRRHLSHRNIILVAPVR STLEWHEKLVVFCFEKLQVRTLVMLSDFVADAFAVGCRNALVLHASPSAISIGRVEAG VTVKQATMSYGQLSPLMCETTGDIKHNVLTQQHANAGTNCREGDNQTRESVSSGTAVI PGKLPSDDSVFSSVFSAASPKVVDLLDEVHHDALASLVGENVYNRVVSSMREGRPNQR KLRATKRDRASNSGGRRSSRNGRVNAAANVSENSSKRSLIDISSSGGDEGGESEEDDG EGPLIRLILSVAGREPVPVIIAGEALRVAPSLQKFLEEAVRACGTSHMKRACNDDSNS SSSSSTASSSSSSGGASSFSSSLSTPSDGQEVLKIQPLPIGELPWELSLLGGSLVSQL PMLELCKLYISREDALSSKGSIIRWRSVI XP_845379.1 MLFWCRHVSCLLSRRRHVQYTSRGEVERLRKTAILESAAEMPLH TRSTTEPRLLLSTTCSTSNTTSAIRILDETIEAMRSSLGTQSGKRAVYAASRAFKVSS LSHFITECRRLRRQIGECRDTNNRVLLRQTLRNFWTDNVDGALACCALRGRDFGFVAG LGLADDGLHVSFTALNLAVCSVTQEKNTCTCTDMVLSLHYVARELNFIERMHRREPDP HKGKKFERKKGIRDDRNSPNGEGTSNSNKEIGRGGPTQEELQHLRRTVATLGLERIHY FFLQRDASGAVLGTAEDALHVLEFLSIVDIDDAEDSARVLFEATKPRSRKKIYQFAVT SLLRHVVSNCKDLKFTSVVHAFRLLRIFLPVTCPDSPTKLSPPPPLPPRTKEWESSIN SAAPRVLSHTIDAFRGDPHDETVFVSGGAEYLEWKRQLETMDRILWGLFAALQKKDAR FINAFHFVQIVETLSRLPRHLLSRVPQPKRGRPQGVGGTMVSNPTLEAAAVHAAHSGN RSSDREVISLEELWAYMVAKACIFIPGMTSDQRRRVCYNLRVAVMSRAVCFQCEVEDM LQPMAHELSQYPKDFDAVMFDRASPGGARGCYYTGSGA XP_845380.1 MIRRLGSVSSTSSSSLCRAASVAMIPAAQSRAAVREFHSLYSLA ASLVVCSGSVLCFASWANNICNVRKYGRWRFRNTIDDVIMVSDFKTARYIGGFIGFLF YWLIVGPRKYMYESNLADIPGNKRFGPF XP_845381.1 MRKQLNMQEEGDASTARTHRRLNDLRMQPLSSLPMTIFMMWMVG NDVSIFSIVFVGMAVTNPLQSMLGAAKVFEEFNEEAEKDPHVRSAVGHSKLIYIACCF AALAVALIKLNWMGLMPVNAMDWLDSTPPQYKEQSMGTFFS XP_845382.1 MTSPILRVVRFIRTFNLKESCSSQPYLWYFSICGVFITWANYAQ YKRLKPMYPNYDEYRKSEGGRMLEAKRQEFADVIRYNNMVNTMRSDMGARL XP_845383.1 MRRDCVRCSSSVDRFMDVIDICMQRVNIGYLLKGYFPFYSLGVA FSACIISLSLLILPAQPLYAGAVPLVLHAFSILILTGGLFNAAGGKIKQRKHQGELIT ESVKDVLSELLRCATSSSAVYVLLALEVFVQMSAIFSEAGCICCLCWVWFGWLLCGKR EVLLTCWREGGHLTSDS XP_845384.1 MWFSRFSLSLASTDAGEKLLRSIRGRDITLRVSTKDGNARIVFA STTNLLRETMRRHKLLTRMKGQTPFLELVGTHLTFTNILAALQEGEERVATRLSANEG TIVTESLAIGECRCYVGGHNGTTLEEEPHFPLKVDRFLYGQSQPFSSITAASLTQLID RDYNATVSSSEGFCSTAQTPETAVPLLGLGMLSPEVVDAYYRRNITLHGHTFFRQSEG SVAALWCCAYLDDPSLLEAFALYSEASAASESPEAVDRVDEMMRKTACSCGVLVQPVV AGRVVEEKIHLLQRLLVRASIVQPEIFSVLKERGVHEGLACQDSIALVTGDHEGAYEV AKAARQFTQDPSVSAPVVEKVREGLGLDKGERFFIDQASVVRNGIDYFCRCSKNNFLR ALVALPEEQLSSLMEETSFRCTFCAKEHVLQPEDWSKLLRDRTSFKK XP_845385.1 MFSGQNEKPKGAKQTPSVRGGAERRQRQMINIRQKAHGELIKHI REEEVAMEGVDGPTVPDPGNVWSYDQRTRPDDVPVQLLPQFVQMVMNGPTDREVYHGT LMVRKLLSVERSPPHEMVAQSGVIPHLVSFLDRADNPELQFEAAWALTNVAAGTSANT MILVEVGAIPRFINLLSSPSPDCRDQGAWAIGNMAGDGVATRDIALQHNAIPAFVNLI SDPDQPLSIVRNATWAISNLCRGKPAPPLHYLLPTLPALANLLFHRDLEIATDASWAI SYVSDGPHERVQAVLDTGVVPRVIELLAATSIPLQTSCIRTIGNIASGNDAQTQVIIN CGVLEKLAPLVTHRKREIRKETCWTISNIAAGNSEQIDALIKSDLFPLVIKCLQGTEL DVKKEAVWSIANVTLCGVSPHLYYLLDCGVIPPLCDVLNTHDPKTLTVALEALMGFLQ VGEDRVKTGEVQENPVARAIIECGGVDAIERAQSSTDSSIYSIALCLLETFFNVEEEG APQQFELGMDHGDPNGQPPQGQFDL XP_845386.1 MDVFSRHGNRRRPREDEMEENDYTFDRQRDRKERRRNERRAKKE MRIEAHERWVEQCRLAREQKKKRSVRVKNGSENQAATRNFSNRPLRERKVNRNAKRET SFNDAKEKSTSSQKLTMESKREAKQRDGKSVVDLAKACATTPDSTQGKYIPPSLRQKM IVQKPTNAVNEAARRIINKLTVQNVADMTRETSELFGGGVEGATRASVISSLAENMNR ICLLDSGPLTPLASLPFAGLIRGLQLLHGNIVGAEVIECLAIALQQQLMDNNEAAACN GAMLLAHLYLLNAVDCVLASTFLRSVLQMGGGGSLCAAAAGLTFLRACGEKLLKEAPV EMERALNEGAPSREISQNATSRYSALLSLIKEIVAGRTRNARRSVDEEKVPLDSLLSD ISTLLSGGGKTSLSSSGNKRALLRVMSTTSVLTGLSWARLIQQDKPHRWYVPETWTDT VGDGDETNDEHADDDKGGASSASGDIDERSDGDEGTVEKMEQIRLLRQQEKAISGQRL NTENKREVFKCVVNASDDLEAFTLLMHRDPSFSRLHDTFAVLLQCCYQENLYNPYYTQ VVQRFCSAKPSCKNTLQFAIWDMFKTIRVEAVDVTGYLNLACLIAQLMQEGVYTLAVL RGLDLENTNRTIGLFTRILLLRLILQLPPSSLTEVFFGGNGVYAHDVKIDTSTLRENL KKVFERYFVKEEDAGKWIPHFYDVAAVGTPFDVHKQMGAVAANASGMESVDEEAQLQS FVRRIRVVYKALKGGIS XP_845387.1 MRRVAEGHPMLLLTLSPLHRIRQARVLISKVCEGSDTPGANATC DSDIVSEWHELQLNEQTLYATDRVSAESKHHVAQPSWTPLSTEEYPMGGELELDIGGD SDDTVEPECDDKFEKLFILELVHSAVSGMSAEDSSTFCVLKLCVDIVVESLERLQNVS YPRFTVTSKPTVGQRIANACFAERGDGTQDESDDNYILLVGQHQYEYYICPRIEYANG HDTGSGFAAVDVNVVSISPLQEGDDSGWEVVLLSPLPSQPADLLCAFVRSVGEDTAQP SVEVYNGAKWLVQQYPAYTHMKAEEDAGHLSESLASSIYFFHCDHAIYQNLMGLLQIA DFAWTAAVNRATASTSNTWVIARSDGKNALLSLRRGFLCTRHAVLLSDETLYGTVGGN PAVSPAVALRGKTAVISAALELKWNATHKDNASFPELLRANKETSFLSVVSALLRTWS MCLLSDVPGWTEFLHQERQIALYSLCVMPLPPNSWCLPQQYVREVYVSLLLLSSAFVV TVLRQNLCGPWELLRKGVSSSFMRSIGVTDILPTTGMHLDLVRLLILRDGWEKCRLFD ATSLSSVCFVGTLSYEEKLRQGKSTKDEGSSYHDIHLHITVPPTKRDVQVSHILDQQL AEDAMWLVDLPFTVLYFVAEYRAQTYSTPSGFNAEAPSSRCRVAHMLPCYWRVSALDW ARGLSQHASFTLLRPSGDDGVGTTEGSISAEGCGDKEGNSEGQDGVPPLLIPFLVLNP KVVPQALFLHVDHSQFFTDLSEKTGFIMRQSVLQNIMEESVREGEEDAGEVDMVFTPL LNQLLLSILDATPSHSPVATAELLPIQLFEESLEAVSGGAEAVWGRVYADTRVRLMRR VGYHRKDGVNSHSLKRSRDNGTAGYGGGCHESLRLLGNIQTHIQTIAKYQSTLLQLQL RSQRRRPLVLRLYRERTGSSVGVQPKGKEQHESNIPRSVSDLAQLVSRVMCASTTRLE EGESTTARRAVDSLLFPLWLLLLDLRECGVTTCGGQVGTEVVRRICEVSFKGLTTNGV VLPVDTFLLEAYQFLMQDA XP_845388.1 MPNETAGKPPKVFQTNGKLPRGSDPTCDDVEFTHEGEVVVTSSD GKKFRRSVCVFIMNENGHFLGCRRYDDRSTIQCVQGGAKRGETVQQTATREVMEEIGV HCDQLQFISEITYSKPECGEPQNCDGPRSAFRYKSKSWRRIGIVGQELYPLLYSMQSS VINHLNFHSVPGTRREFIGAEWVPLHVLRNKCSKSKQVAVSNMCDAVEEILWGQSRSP RRDSHSADAGRLNELKKDIGSSSQHELGDTMNDMVNSCQRKRRRKRKGKSGNKNQLLH EEGGSLQMNLLGNPTSCE XP_845389.1 MYRTLTPSCKKFSGFYTTFPRRCNTTILIPFRIFSILLYLTALI CVAGSSDDFVLIESRDNEVSGGGDRVDLRRSVNVYLVVSFMLLFVTCWGIFTGRTLRS GTVNFMHCCSHTTAAIALIVIWCLKLHLHRMWHVFYAFGAIPTAMEVCALCASYYRGL DSYL XP_845390.1 MRSVADGIGYAGSAEAAADLGSIKSCDNDPQLWMCLRCGLYCCG VHRKSHYDNSRMSGVGTDDKINGGHRIFFAVKPRWGTDVNSPAVGAPLPTVTINLCQV ESPERRTVTMNNLKVSKVSFEKVGTYGIISCVECHEDPIKLPVRNSLPCLGVDLITLC ENVAKVLHCVERNISLAPDYDEGSFGAPRARGVLDSGIATDTRRQNSNYETYSYVETN KQANSRAATSSNSRCVRARAGTLVEACISGFENRRNTCYFNSVLQCILQCNTFIDFMI RQKFTGSHTLVHHMSEMIGFMKSGPCAGDRQGIVDGLARALMGSLSEIVPMFGDGDQQ DSQELFITLINGIADELDKGKSEEEKKGSQRVPFEGMLCTTVTCRGCKNQVKRDEVFM ALSIPVTNSVEDSLEKLFERSTLNGDSQYACEVCFNKLSPAEQTKKNNDIRAENEARK LRKKANAEAKSLNCLYQDADVETSIGRFNGSLALHLLRFKCDDRGVYKVPGAVSFPKR LNLSKYIVGSAASGCGDTKMPQTIVQTNVNTHRSVSRPSNSLVHVSGGDGCTSSVEES TDNRRSQLDLELVGIISHVGSLHGGHYVAHVRSHTKPSMWFYCDDEEIYTVDESSVFE REQGVYMLFYDQLYH XP_845391.1 MSVTTKQMVKPTVVVHRYLKESQRVCVWLVHETKMKIEGVLLGY DEFMNVVLGDATEVHLKTGEVVQLGKILLRSDNVGVIHPIGI XP_845392.1 MSVTVEGAHVECLICASPCEALVLFQCGHYVCYICGLHIHSLDN GGCPMCRECGPVLVTRDIPGGLNPGQDKVSAEVIRQLTDESIVDSVLQCKVHGRVLAQ ELKKLYEFVCPVPKCWRRGEQEPFCEQHHLHAHLKYDHEVSYCPICLQHRSVFLAEQM VYSPEALCLHMVGEYEHDPASFLGHPPCRFCKGMHMYDEDHLLKHMRERHFTCDICNR GEFRFTYYENRGKLLMHFKQAHKICDHKQCSELDPMMRVFASDLDLAVHKQRVHGIRS RLTMSPGAGPDSVPVNPAVPATGTNANAVHIIFDHINRQETLTLVPSREEESKNQRRS FGGSGKNKKGRKIFVDIAPHNVTGLPQHFRSKNILAPILYRGTEGTSSDEQRETSVSG QVTQLLTRAFGVTESEETYNNKNNVPLNPEEQKRKLTDAIQEELKDQRRITQLHCCTA DFIDGKMLTTEYYNQLRTQFFITDESFKAVFPLLVATMPVTDKRDALIHVDKMRNAPE MRQARRAREEMKKEETEEEQVNKQKNIGRNNQQWPNRPGNKNNNNNRNKKGPPNVWME RAKRAEADAQNNRSLAASSAAALVSGVGNASNNNNNNNNNNNNDTSITSSSQEVVSLI AGSTWGAARQQRGGAPGRQATEAPARPSSGGAFINAEDFPALPTVATRRVPPSVRTKR SNQLNAWHLPIVEKCGAVSIAFPFFSFFPLFTIVHMLSNYGYFGKELLWFAEGSKTVL LFICLWSSFYALSVNCLLLSA XP_845393.1 MPHSMDTTLFSDENRIDRGDSLLFHCVQLSQGGTDSHRYFFGCY FPRWRGFYMDEARELPGPLGYNVTRHFPAFPFDVYLKDDGEHFLTDDFQIGSIFTLGG PLNQRDDGQKRYKVVHCDDSQLRTRTGKTLAFIGNNVSGLLQQTHRVSGEAIDALKRI REAYIFNVGNGIPEVGIKAMGRHFRKVGSDGRRWMSYEGIVRFVKDSRNFNATLSFSD TQRTEEDVNTVATCIYNAFPKNEEECIDYDFFMDYVRGPMSQERKDAVWNIFRRMDYD RDGNLNIIDIQACYNTQDHPTCSVDHLFQSDKMLKGFLTIWDENERCGLVPYAEFLDY YNGVSAVLEDDKVFFDVLNNQWKLL XP_845394.1 MMCYRSLQKVFTFIFFHWFLFNTEASPLRALYIYIYIDIYSTAR DADVHLIVHSLDLIIMAGRLFTAVPRHTADPTNSDWLQACYFVVLEHPLVCIDATLRG RITPLTEQFLRSAEGVSEPSDDATNLKEEHVNGTGGSSVKEMRFVVITVDNVSGQLLH TGVPGKDLFMSMREAVSAIPALCPSTPEQYEVFTILEFVAFAGACLTEDRLYMLFVET GRLLTDDKSCIVTDSGSKPQRVLPVISVERARWYTVPLRVAVPALQQPLGGEDLQSWV LGPSGGLRDDSAMAMSSSFRQSYLFFTPGIDVSHCSIFEEATRVCGACSDEENGDVGN SSPNVCPGGLDGSTDSSALAARVMSFLPLPPPSTGREALGRCDCLWNEELIAFCDIFG LADCCCRLALGSFNASTLVVPQTAPHVALLLVRLSRLALPGCFNDCSGKSAKTAFQMR EYTSAHEAEFQLLLLPDMKLHDSKVDDNLVNSLPSLIWRRGGDFAGHEDIIQQKQRID FCTARGMDPLPIGEDYVAAIFSSYQQRYGMGTKIALMHAGCWALIGSAPPHNTTKGGA AVNTSVNGSRESSKRRTDSQRGLTPASCYSVYASETHHCDAITNELQGRLQSLASHIK SHSLRPAVFVGAINSWATCLHCVPEKGSAVDALWSRECIRSYNGISCGGTTAGSSGVP GPIIPRICVSNSGEVSAAASAVVSLILVTVWLFNQFFESQPNAKLLQRHKLLTRKIMD LVVNSAAGLKQVGDSCGHEEMITLLRECLFQVVGAVPVSCTLTIWETARNMVFLYRAN GDRSSPSDFTSTKKGIFRPSVVSRRVILNNPFHSFIMNLFPPAGGFYQREFARHRTRL LTHVAGQSYIIGAQFMFHTAESDTSSRVVSEANADWGGNERTTFASRQRYLSNPPLSD DDEATSSYSTNTGGVPTPTLRMLQGLVHPLSQPLLVPLRIAAVELTIALPTVSYITHV AIRVADALQREPFAGALTLSLHSSCYVGQEHERLVLDDVPLPLCRGSNASAHAGPHLI FYELPRPSCESVPFSVLNEARVVADGATSTFSPIVPVVARFLRYTLRGSGRNALAVFP LLVFGEPLCDIPPLERKTIVGRSCLKQCSLLRELSTAKLHTDSNESSLFQMPLDGAAE NCSFRSEESINGKTNIDETTNTVVTPIKGNKSSTASHHDILITEQTLRELYVRKLRSA CPRGVFALNLPLLFHMESKRLQCKQKRFFRDFCFQKLGLPLWVMKPSSQIFPHSSVCP SRVPESFEDLSRVHLLQQSGTCQPPRNDRPIRGANATQRKNVTCAGCDQRFLFGFGLE VCDRCKRHICGECRSKEPVRLLDVGISSTISSVCRRCLDRVDKLENALVAYNVTTKEC EEVDSDTERGAAFEFYIPVTPPSPAEFPIPYSNYSFYALRGSTYQLTALPFTRVVSAP ITEDKSASLFEEVLSFPADVSDSLGGWRCVSNDMPGETQKYVNENVVILLPYRCVVSH GLLYYTLAGEIRGSIDLQMHVGETVQTVSLPDAAKSSEGTACARISDCMSGEIGVAEL RFRHAASDVESASDGSSYSLTVRNPVGILVSLFFAGSSSDLALLHVKHFSVWGCFDSC TRNVRSLFYNCPPDVCRYESLKLTSLKGVCAPPAAACLPLTIPEKHLIKPGNIIHRLH TDHLLVEYVFSNPVSVCGFTVEGQHPASVSISRGALPTALRLIGVLENGFRGNIGYFS LPWPPLAQRVGRCAEREVFGYSYALSSTVHGLVSLLVEVAEWRLAKGRVRQEAGRGVF CNLSPFYDECESTNKEAEMSPQQPEMHLGKMVFWTSLNPEARHAVSSNAYNSIFSRAI GL XP_845395.1 MSEKTVLSIQSFVTHGYVGNKAATFPLQLHGFDVDGINTVCLSN HSGYPVIRGHRMSLQEYDELMEGVRANNFLSNYRYILTGYINNVDIIGRIRDTLKEVR ELREKEDKKLTFICDPVMGDDGIMYCKKEVLDAYRELVPLADIVTPNYFEASLLSGVT VNDLSSAILAADWFHNCGVAHVIIKSFREQENPTHLRFLYSVKEGSEAAVRRFSGVVP YHEGRYTGTGDVFAACLLAFSHSHPMDVAIGKSMAVLQELIIATRKEGGDGKSSLKSR ELRVVASPQVVLQPSTVVDVKPIS XP_845396.1 MGETLGSSCVVTDGSKEPCTIVFHDVEKTEIRSGYAAVTDVLCD VDYFPYPPQTHEQLEKAHLYVLACCISSSEPTFPLDSHLGEEEVMKKDVGTVLVGAVG VVWVPFSSGAMVEGYIHVVWVRPDYRRHRVAYRLLERTLAMTQVGNPPNKILRWRLHT MCTSLNTREYLSRFLHERKSSSATASDDDDVHLLLKETETLITAVPRMYERLGFCVRR NVFKYYDGKADGVEMIKVVPGAGKRR XP_845397.1 MPAPLATFTKTKWLTFFAELTAKITHHNLLVVLEGCELVKRLLV SRVSVAAFLEEGNFLLLLVDSLVGNMLNNEPFEVNVPIGTNEKLIFTQLETLSLFVDM MVALHADSDPYYCLLVQARAGVLLGTLVSLLRRQQSNFFGIIAIMVKALLDIPLGRAV TQSQTCGAPSVALACHLQQLQTSIEGHMEILQESSEILKGLSTTPNLVMRHCAQLLFN LVSKQLKRIRRIEGGKVFAEGNTGTDGDSRLGCGAHVRDETVVESPFTGHCAQTTANY GSAFDPSGSAPSPGISADGTKLSISREDTEETIVTEEADVCVPSEEYTECFEQLARSR SHGEYLCGMNSLWCLTVVGGTELQRHFTTACFEKAFRRFLQTMPANNQDHIVFSSILL WLSHMMSNHHICDETRECIFSLACPALIELVLEDIRSDEPPGEREDNSTVTNSFPHTS TDNIDVSSFLSAGSFMRYSSIHSAPSTVRASCTKLAERPSASFLILSFLLITERSCGQ RVLERWVRHVGLFAMIEKLIRRVAHVKSVLVDTPTTTDGVLSDEVYLSCAMNTSRTEH VALGALACKLLSSIAWNHGPVIGKQLASETSRMLQTIIPLLLQIAVHNPTLTSAVENV SGSALHYLPTSQRSARYTSLGECSLVALDACFWVMETAQLSAVSLESVIPNLQALSRA TGNSIHPALRAAPYRAVARLCQSTDDVLLVASEMPSLLKAAVNSVLEYSVKVPQWEAA AAAEGLIHIVNKTSSDINVEKKFSFSRSALPVKLLELAALNGITYATGTFLSLMVVLF AQQKRLAEHADEEPLSCVLLPCGRASLEYWSKLINIATWSGERLLVAHKKVIPASPVT KDAQSRALGSIEGIAVQFYFVSALSRALQFLLGAYPEYRSHFSEDVVWLFFTSTFALP SPREVFQMLFVRFGVKVSHHNSIERAYECMLQWTIELFLFWVGDVGIGVGTNSKRKSQ KLASLPSKLFDSLCGIMQNAKVSQQTRANVCGLVSAIIIRTGSGDAASTTGSSSACAS LAILRETLYKTLDAFSAKLFSASINLGTSSCVSGMQCRLVSLFAGANERARQCGWFEE RLAELNKRMRELKEKHGVLSAHELDSLLVLFSSVFSVIAYPKCPALSQKLQQQLCLSL HGAVGYGTTRRAAFQTALALTRSEEGRRFLLQEVTACGDPLVRTCFGRLLMVTLDLAD DIEPSYRRKHYGSKNKAQNATIIEREANRTLVKTLGFEVCATACAEGCEAFTNGVLKS KGVERVEGLFLKCEQERIEVPLSVHRLIAAISFHADAQLAIIRRKELMTLLVEWAGEL SVAGTLALLTLRNLCFNPSLKAQLCQNDRILQLFKQFVCMPVAPQPLQALQPDNNSSE FDAHHTDIASRSQQNSGTGGTRLERHKKGGIVSTTTATASCQADNLSILRCKELAVTG YWALMYDNQRGKSHVRNVLSQAPAVKINSVVAALDQLCVEPSERACVEHVMEAVGNMK ALGRSVS XP_845398.1 MSIARLEPSVNDETQRGNLAREEEDEEVQQEGSEREVPYSHVSD GTDNEDYTGDVTGGEESDYEEGSEVEQENLLSFSAFPLEAIGGQHVMVITAFRRFVAV GTSRGDVVLLEATSGVVFRLLHAHTNPISDITCDTSEKYIGSSDMSGLVTIHNLTGGT ESYRKDFGRSIKSLSIHPFYGRRDERPAVVSSSDDVMLITKTMFLGRNVSVIHQKHGK VFCVKWCGADIIAWASETGVVLYSYSGKEVLQSIPRPENSLHLELYNCSLIWEPPRTL TCGWGNWIQEVVLRVSGRESGSRNQRVIVHPPVLTDTLRDPFRVCGMAAFGADRYAVL AATVEQEGCVGELGVRIVDRATFDPVYCARIATSHKHTLQFCLAFTQASCESAFEAPK LTMNNTPQHDPALPTKGSMYFVGCGDVIIRAAPADEDDHVQYLLGVGQVPEAYEYAQK YALTRYDLKGIGWRMLQHLLAVGKHEEAAFHLPRIIQYDCTEWETWIVKFDQRGLSHL LADVVPTKLPGNGSQSGCAGETGNRSERIGEEYYELLLQRCLEKDVARFRKAVRRLSG LYKLEVICRAAEVRYNDWRLQVHRGSNVPEEEMRDLGDAYGMLLKLNGQYNEALRVLS RVAHSNELFELVQERHLFSEALELLPELFATNEGKTVELLLTPAPISSSTTTSSNAPA TLTEDENDIDDHCLGPPLLFPPASVVQRLEFAHRGYLWSYLKALKMHDKAAYKEILRV YVQLVATLFIENEPSGMLQFLRENYSMLPKLKEIYALCKKEQMLDEMVFLLLRMGKEE EGLYVIVHEMKNMRKAVQFVADVPNKEDQTSLYKKLIHMVLEANAGFPSRNGQKYIEH EMKADETLSSIARRYGVDESDLRAANPVLDAEGGGGCSGNVSDLGDVVPQRRTCIVPL DLTKELIEAVVDMSVAHLVTLDPSLIIELLPDEEPMPHVGNCLATVARSKANSVALME AVVCVATSDLLTCFESFYKRELSCIRVTHDGAVCPLCRRPTSEGVVVFRCLHAHHLRC VVNYFSGDNTLFDSLSNDEVERILKDPDGFINSTQWSGPISCCFCNQLTRVAELVEVE MQSA XP_845399.1 MRRSVIRQRKEFLERRQNEHVHAAIYTKKEQFRDAIQNATPLPG HLRKDALALKKFSELDDDQTKVLQTTVDDEYAKAGVEDPHVLVTTSREPSQKLLEFAK EVRLIIPNAVRLNRGNLNIRQLMEAARRDQYSDVVVLQESQGVPDSLTISHLPLGPTV VFTIHNLVTRHDIEDVGTMSEQYPHLIFENFTTKLGCRIRDVLKYLFPVPKPEATRVL TFDNQNDFISFRHHTFKMVKKSNVQLTEVGPRMELSPCRIMLGTLEMDDAETEWVLQP YMNTAKKRRLM XP_845400.1 MFCFSRFALCAAAPRVLVTGALGQIGTDLSLALRDKFGADSVLV SDVVEPGAKHPLAGLKGVEKLDCLDSNGFEKLVKEFKPTWMYHLPAIMSVRGEAEPDL AMDINVNTTRYALELARKYNIRIFIPSTIAAFGDKCGKTMTKDDTIMNPSTVYGVTKV YTELLGTWYRQKYGVDFRSVRLPGIISAATLPGGGATDYAIHMYHSALLQKKCVCPVL PYESLPMMYMPDTLNSLVKIMEAPLEKLTRTVYNITGFSFSPSELRFSIERCTDRTIE VEYVEGPAQKIANSWPDSLDDSNARNDWGHQVKYDIDMMSEDMLRQIPILHGLPSL XP_845401.1 MSARNKMGLEQAGSAVPSSTSGYVSTSRHSKTSESRRSGDRQVV RGMDSVRSFFSRNCKPAEVNKPSSCRRRALQTSLPVEGGNTTRGEIVAKSLDSWITKR PASASASHEVPAKVPDKRRFIRLSQSSLSSIMTDQSLVTQPLLDTPEGYDHTAGLRVE SESGDLVSACTQRPVKNSHCVSPSLRCSNVGEPHLSISKQLTDDKCRIGKHNGSTKYM NNVVSSLPISIYSSPETFSCSNEATQQSNGKEGKVKAEKRPRPQMSPGQHTSMCSADQ NQMAVSNDREMDERERLGTEPSNKLELPRGVLGIEGILSFEENPALARADFNVGLRVL VQREGEWLFATVVSREENGYVDVCLEGNGTTIRSTTSNLRVDEAKTGSSTERKISSGK DEKCAPLVSSPKQTNLEVIGMSEATSPNLTAPEKTQTGPCEEVSSKRVHPSVILMYVS PTVGERLGKDALHVLRNLTKSGTTLVDSPQKLQGASQRIMSAKEQYGVPQQCGKHLWG ICFVLVSGTAEHYAREVRIIDEAHAVGISAVSLKWLERLHNEGSWNASSVSLPYPEEL MDQNDGGVFRCSLLREAERTLRQHLVYIPIADPDIENLIELSGGKVTRTLPPNKQNCP GPSDPHWIYVKDGNQNPLRTSHPSIKTLSLERLRKRIHSYFATLPSSFSGQDDCTCFD VKADGTLVDKCLKTQDDENTASDGETAATKMGGEEHDFTLFARPCDYPTREEPKILPG EDYYFRLPRGDDREGQINQAFPVAMGRVLNVNGSSAHGRTVTMQLYRITRVYHVQDPQ TGSITSRQCVCLGPETVSVMESDLIFDTGPFVFPLEAMQHLYILEQQQDCRDGASAGP PASPWNSQFSTMAPRPTQFRHRYS XP_845402.1 MALSFTDPLVELQSVSFQYPPHNLTAIQGVVAQGRIYDITFQLN SGDRVLVVGHNGSGKSTLLSVVGGRRKAAQGKITVLGTDAFEDTRVQQHVSLIADPWP PEAFFGTTVDKLASPAQQQERKERIARILHLSLQRSVEHMSTGEKRRVQILHGMLGKS AVYLLDECSTDVDVAERKAVLDLIQAECIAESSCCMYATHIFDGVGDWATHIMLMRNG TIVEFKKISEVTEPLESFTYKFLSRSHGKSLSLTSLPGVEGETNGASNMAKAEEAKVY NSAASIETKEAVIVCEGLKFRDLFDGLSFKVYRGERMLLCGCNGVGKSTLLNMMGGSQ YFNNTDGALRILGKVCFEDMSLNASIALAGNWWASAPPCEVYVREMVDLNTPRAKHLC ELLAVNLNWDVRYLSAGELKRVQLLLRLQEERPIVLLDEATSNLDLDMRHALLSFLYS ESVNRGVTVIYVTHIFGGLQEWPTVVMMLDRSRRGLHATWGRSGGNVDKCGVSMDRIV EELIMLKSKEHVNVIV XP_845403.1 MTHRTAETGGKSKHPLRSSNGGYLCSPFTLQPAFETMAEKYTVR DGKGSSGRLNSAREVDDDGGPGRPWMRAGVGAKTMSAKERRQQQQEAERIYGPSSYIA DGEDELLNSSGKTSSAPVFAISSWEKAALQSVIPRGMRQRNGKQGERNNSDSAVLTKE VEEDERVLKGAEQQSSLIVEKKRRSDEKDILPARVRRRKESAGSECHDGCNGSSANVL ALQAKKPINKLQMLDQMRDAALFGKKKKGLLTGQLA XP_845404.1 MLQRGLEAVLRQFTAADERGADNTKNKVSVECLQARSSEVRNCE STTDTAEKKSKAHHEEESRYDEEFVRDILSRDPVPIDELRGLSRSGCPAGFRYEVWCY LTGHLQPSSLNRAAVLTRKRQEYVGYMQSSYGSTDWDAAFAAVGDSVGNAPGGESGSG GSSLWPSFRSGASGFGSSSLNTPSDSELSILKQIRKDIPRMAAGLAFLSNRRVMLSVE RCLYIWALRHPACGYVQGMDDFTIPFISVVLANRVCRTKTVADLYTLDPEEVGALLSV EVISDEEWVSTIEADTYWMVSYFLSAIQENYTYDQRGLHSMVEKLEAVVRAVNVKLYN HLRNDLQIDFKQFSFRWMNCLLLRELNATQVLRLWDAYLADEEKDWCTTHVYTCAAFL QWWSAALLQENDYCVAIKFLQNLPSNELSDRDISAIVSQGIVMQKLYNSALAHLAQ XP_845405.1 MVKLDVSLLRFLENEDFRVLTALEMTMRNHDVAPTALIERIAQL PHGGCRKRLQNLLKHKMIHHENTMYDGFAMKYTAYDYLALSTLSKRGTVAGVGHRIGC GKESDIILVQDEAGRECVLKLQRLGRCSFRTVSRNRDYKNGRRRHGESWFYLSRLAAE KEYAFMRTLYDEGFPVPKPIDQNRHALLMELVGGTTLNNINVLGDAELVYRRCLDLMV KLAEHGLIHGDFNEFNLMVTEELRVIVIDFPQMVSTNHPNASDLFDRDVHNLANFFHR RFKVKTLFYPTLENDVIRKGDLDRVVFASGCFSRKQQSELERLLEAQQVIEADETESE SGGEEDNTEEKRVKEPVEVEGNDSAERDAGAKISYADLEVVCDEAKTGEDDSEVGDEE SDSDARSIDSAINVAAQQRRQQHLNPNYLPDGTLNESHVRSEVRRRIRRKDNQQFDKG LHRNVQKGRQKQKIRRKLKHAENEGLFD XP_845406.1 MSHFQPSPCFNTFSSFLQEITNIGSSGIDTENKENIPPGSFGRL NDGKGGCRRNSSHTCFRKQQQHQEQHQQQQFHNIPRVNDCCTPMKEVTYQQQQCHTGL TPGNKYMMNSANRDYPVGMNGIDFLLLDESDVFDGRDNGFFTPNVKRQGGVTGNHGKV SELFHESMEAPRLARNLNDSIEGVPLVGNVLQESDDEDCLDSYRPTEMSSTQIFNPRL QGQESRHCPQDHCSYQKQVHQQPSDRSHLLGSAWCETAPRPKPQPERNHTATRVTHGT TPLVAVAGRRVPVDKLHLLLGATAQDGVDGQSGARDAVNTVPLVPSSRPTPSAAQTFK VIPLARPSEPSVSPSPLATTPQNTVAGPTTRRLASSLFHKEMVVIDFGKGNIMRFKPN PAFPPPVPGKRYLVAVRASRSPYDNVAYKDAGLCSYVLRHPADGSGSNGTSATANITI TKSSGDVERGIFDGSIIRCMDTPGDAVQLQLLAVEREAAIVECRKHFKFLNLPFELVD VHYTFDRTICVVYYNIHRQEGTSGHPNVSRLVRTLQFRLKCKVHLKADFCSD XP_845407.1 MQQRSISPGRGRVVPRGQLTRSARGSTISCSSPQRPQAVVNELH RRDGTNPTTDRGRQIAEEVDELMNEVYGGTAHQPPPESLQRHAEGGNLLARYKTSILA SALPTSWQRGPSDPAGLCFDASDRNLLCMDVYEKRGLCVVGSADHGLKVFDLATGAEK RNLYTKKYGHTEWVTCCKFLPDSGKILSGGMDSKLCLWEASGPARCTDLTGHTGSISQ VEVCGNNGFALSSSYDRTLRLWDCGAGGRCIATFAGHKAPVMMFSWCGTQLLSGDRQG TAKMWDVESGECLATMATKRGQIGSLWHTLHTSVGLLSAIGDQGGVLTVMDFPRTGSR PIFQGELHPGGVISSIRSVDETPYLITAGADKVILTLDPRAGFAVVHNFTDHRDFIYS METFGPLVLSGGGNGWLLVHNVLDGKCCYGLGANGAAVREIRTTSEYLVAAGDDGKAM VYRFN XP_845408.1 MYRFSISGSALPSSVDWALLRHLPTWRLNKYTMDPVKGVGKDIE CTDDEPSPFWVSGREIRLLRMTMSRSSASPACGSFSYGERKSRIADKSKQCGWANHFV RWNRAISVFGVPYLNAADTSFCSVFDSVTCINFEIPLTEGGGVAWLPNGAPLSSPLRC FVEENVTKRGITSAFSFHSPYWLEVEGFQSRWPGVDIAPAASPLYVKDMPKGFINAEQ TTDASRFDSVSCGPQRQHECLLISGVYHVSLNSSLKLADALAKMTKAEEGQLLLPPSL HETSFSRSMPLQGSPQCNEMEKNNPCNLWVDVEFIEAVGWSVHSAAVGVELHKTQLLL NHRPFPLQQSGSDNSVTLINAVFIVERDDLLNFITYKPDLIGFTQKIFIYSFTVIRLA FQAYKMKYTSTTWVVASALEGFNIRVRKTDGLVGVEVKLFIRQENKHFTTVVVNRDEL NISDETFMELQQRCV XP_845409.1 MNVRVATAVRILPGTAIADKQFILSSVHKAVDGQTVVVDSCGDG SRPMIVRVDEVYDEEDNQSYFYEQAVRDLVCCAFDGSYCTVLAYGAAGSGKTYSVLGP KAQENIMEEAGIFLRVFEDLFRYQEKMSKRRHIMFFVAALELVGEQVLDLLKRRSKIP VSDVSSDYLLHNLRHAEVTSMTEATNVFNLINDMRQDLPTDGITRGSRSSALIFIDIV QVAHKHFPDRPTREKLVEVGGFSVEPSVRGILHSRVLLVDLVGSNIGRTSGIGGEEVI ENLGMAKSLQTLGMCVRSLYVGDSHIPFCDAKLTELLKPSLAESTSRVLVVAHVSPLA KDGDETRETLCFCDRIKEFKADKSYNVPSAGFGEYETQPVTQHRELLADVRIAAAVAY YTPQRPYFTSMLKGVSVKEARDAAIVSLKNELDGLEMRKETEYISKAKEKIMKDRDKR VRGFASRMNQMIEEYEVISQKVKEEKKVSKHLTREYEAKSEEAITEAKKAKKSRLKAQ EKVENLREQLGLPKVDSSLPNQESSQSHKYDDDKMFCGGSTEELSNEERERETLLDNL VNDFQTAAKDLNDVHATYAKRLTATQRQRAQVRRIKMLCSGIILDSTLVEDIIDFIID RAVDISHKVIKPSQPYSWKNIEGLSTVLRGGNRLYPPLFNSIPDATKVDSTGVFHRCT FLSSDESDEENSHYPDETRRPVEMDNDLYEHYLAMGNTQKKVKEDGSAGSEKKSAAKW GGEISETARDEARKPAKENAEDGGVEGSPIGLNASATESLHLDGDNDGEFNQSDNNVE ESEEPLTESEKQRMRTRRDQQYLMRVYDSPTLVDDLIKFLRGGTVMLKHGRIGKPHRR LFWVSIDREKRKLLWADPDATASRSSSYVDLDNVSYIQIGCFSKVFKRYCSTSTDPSF YRSFTVGLKDGSRTVDIVADTLADFEAWVLGLSNLVRVDPSWGGKLDVSVEVNYDRLN CFEVSLCETNYIFPTQYLMLKRRVTQIAAHTLEVLQQCGNDVAKAQEILNGIHPPGIN DKGAVYLTKGELRFVGRNEIDIIRITKIWMLFQQMNLVYDDNFVPATTFGITRRS XP_845410.1 MRGPVTILLQILLIVVIIFSSLPVDAWAAFGHMVVAEIAKRNLD ADVLEKVKQYTQHLSESGPFPKIPDFVQSACWPDDLKSYDLGVMNGWHYTANVYNRDG FELKEPLQQKSNIVSVIDSLSATLSYHETPLYVRSFALAHLIHHYGDIHQPLHTTSQV SSEYKTGDLGGNLVHVRVRNTTTKLHSFWDDICRPSISMKRPLEEKHYAKVRSFADRL VETYDVSWEHRRQTNATIMSMEGFELAKEIAYAGVVNGSQLSSQYVDRCVETAEQRIL XP_845411.1 MEGELAFVKSEHVLDNITGKELDAFKLQEHSGDKVYVPPNSNGN FDLKVGQQYTNIGRDTSESQKTEGGKTVLLHSPTSLTPTKKLSSVGDIAVSAASVLDV DGKDLFLSPKEAKNVIDDAEGSVIFSQKGRDTPSICGAAEGVRLQDGARDMLFFSPLL QPLEAGGKNFQDPVHEYVHLPNVAIRIIDTVEFQRLRTVKQLGTVCFLYPAATHTRFE HSIGVAHLASEMLKHIASCQPELGITRADVLSVIIAGLCHDLGHGPFSHSFEVAVNRI RSKTGARKLWRHEHMSVLLLRRILKKIEIRKYGLNEDDVRFIELCITGLHPSKRWPEN IGRPEKKRFLLEIVSNKRNGMDVDKLDYFHRDSIACYGRAAVDVQIRRLLKSCKVLCC EEQHQICFEEKMALSLGDIFSVRAKLHKHAYQHRVTLAIEQMMIDALYEAEPFFLVPG KNGRKLRLSECVDDEEAFCKLGDWILEGITASDDPRLAKSQSLIRRIMERDLYSVVGI KTFISYNVELGEDDIRSYMIQHCKMLGRYSEKLDSNLLVNFINITYDKTDKSGIPNDP IAHMAFYSPKNVGAGAFRLPKERKSHIFSPISYGEKSVIVFVKEKVFVDVIRDAFGVW VSAHANLLVVAVPAHNGRTEESPTGSAQSSRSQCLSGRADNQDSSSNNNDTVTILTAP RAYVEGFTGFSSSQTNGIPSYCQSESESTQASQCSASGLKLSEGNLAGNKRSR XP_845412.1 MVSPPALFHVIYENDELVVVNKPNDVPMDGVGCELTVERWALAY RSRRNDGDKVDSERFNEEVMKDASQLPDFVTEKPKGKKKMVKFVHQLDYATSGVLCIA FSKEMAARLAHCFEMRTAKKAYVAVLHGSVSPLSSTIPNSTSFLSRNATQGGFRLISI KEVSSCQFILDCVRIATGQTEPNNVVSEEKIIEINLPVGYDDDDPDRFRMAVNGRDAK ESLTYMYVLQNGYLPCPSKNCQVSVTKVILIPQTGRRHQLRLHCNALGFPIVGDVTYG ISSFPSTVLHQNEALMEGRNTKDVEVPIRASDWSRMMLHAWRLSFPLNVEPIGDGRQR SLLKRQRRRETLGLDDAHSSWEDAVWTHFQTEDPFALIIEDKP XP_845413.1 MLHEIYAPRDVASIAWSRRKLSDFQKLVNKIREGFVPPGPILLY GPSGCGKLSSVLAIFQQPQPQTPGGGGSSSRCSFSRARVLHSCDASPWEYHQFLMGVL SEHGGANNNVVVDSDKSVTAKALQRGEGSDGFCAAVPHVVKFYGEAPTSLLHSVTLRF LNYYNAYRHETSLCGNSVGAVKDGNLLTLRRHILVLIFTTHDTHNEKVALGKLLPSSI LNHPCLHMFYCPPVTERSLITCIKRVFNTEQLQRRKQGQTPPPPLNDEEIKLLAEQCH GDIRHALLQTQWLLLNTNDHIAGEEQKVQKAPQKRRRSPRVGAQALRSMEDLLADDFE ESNTSGSMVKPPSTAKGDNMNGDNENSNSSKSLSQENEGLSVSRDDYLDVSHAAARVL TQKYTMESVVQLLNVEPDKLLGYLTNNMLPYFLPEHMEPCALTAAAASYSDALRVSAV NYRFIRSNHGLFTTSLTGDNENEGTGPTDIRLISLLLFGKAYTVYHKNVYVPSVFGGK RAPPHLAFAYPRLRELQFARGRYKFRNDELEMNEHDSERDGEKKFALFSSEEEWRRDF LHRLELQLSNGENQIGPVVDVLKETLPPLLDRCNSLDSLILEYAPYARRIVLNHSSSP SESPIRTCNANVSSPSRCIGGTTPPPELKSAGALSRPKRTLFMVGQKTTTVGHEQPKR RCSNLRYRALCVGLQKTPPIREEFFYLPTEEEECDDDAPECFSGQTTVRPSGGSSWLP EGEVIEEFSD XP_845414.1 MDTYAALLSFNFMASWRTGNTVIDTMIAIIIPFLTEKFSRFLTV DWPNFIRWVIHFFSAGREEARIQHGLTDEYMHAVDLTEGAVLQEAVEQYVSEQLKPVY KKGEFLFTYVGVRDTASRSDNLAKVLRQDFKLISRPLKEKTDLGNGITLEIREGEDVA SDDRGDGTSNDRHKGLSGEVSEGTVSRKAIMRELVLTADGKLGNGKDKVMELVHNAYD LYVKRRDDTVNTQRYLYQVVQSGDEKSASQPGLMRVKRYPLYDVKSFKSLFFPNKQKL IDLVDQFECKTGKFAVPGFPHKLTLLLHGPPGTGKTSLVKAIAQHTGRHIMAVPLSQV RTNQELIAYMNDQQFEIVNSRGSVTKISLRAERVIYLLEDADATSDIILAAKKNDNAA TRALSDAVKPFKNIDSISIKGVLDAFGGILSAPRRIIIMTTNHIDRLHSSLVRPGFVT MQLYLGEFTEEYALQMARHYYGCDPTSEEQLQCLERVLREAGEKGIRFSPSEMEQLCA EYDTLQELVEACAKGSRRSVF XP_845415.1 MEKEKKTVNILLLVTGSIAAVKVGLLLDQLVDEACSVRIAATKS AFHFITRAQHSRKGIQLNRILTDEDEWKEWQGMNDAVMHIELRRWADIVVIAPLDANT LAKLAVGMCDNLVTCVMRAWEVRKKPVVLCPAMNTAMWTHPVTAQQLRTLCDWYGGDP SQLHIDVEEANEEGFKAVLPNTLETSLFQIVGPVKKRLACGDVGIGGMAPVEEVARAI KHTASLIRAARATPATTLENETNKNGKGADEPQ XP_845416.1 MDGKEDGLNNRNSNTDTSKDFQIVELRAIEKELLVLRARSEAWE STVAEVLEWISGALRGDPLHLQLIELLLEELDGNGGIERVLTEEKFCKFCGSPLFKMH DKLPYSSSRSAFSGREASVYVTGSNADFEQKYSHVYTTSFTSSSLPEGKPKWSGRADS PEFKNPFYNQCRCSSSPPQGRPEDSYGQTYAQNRRHGSYWNSAERQSSCVYSSNRGSQ SGSCYMNFPGYHNVWGAPTDNSTAYEACSTSETWRNYPNESRFHVFDSGSDEQRPIDY LYIRASGRIIPVDPSDRWPFC XP_845417.1 MSKLYKAQTEMVHTWEFTAFIRAVGEARSKQEEDEIIQRDLGNL RKSFETAHVEDWLLKEYVVRVLYAEMLGHPAKFAHIHCVNLSASPDLLVKRTGYLGTW LTIAPQEDIMILIVSNLLRDMNSCNFLHTAAALTAASKVVRRDLICAIKPEVVKLLDH SAPLVRKKAVIALHALYRNTADLIDYKNFFLRALGDPNPAVEAAALSPLLDIVQTNPE LCRDLTETFIKVLEKVVSRRLSGDYEYQRVPGPWFQIQVMRILAALVCDSRELAAKCE YVLTEVITRADTGSTIGYAVACEAISLITRIPTIPSLIELSVETTAKMFATRNVNLRY TAIQALSNLACINTDYLRRHQEDILECLGDGDEMIRRKTTFLLLSMCNEGNVDIIVKK LIKYLNSQIDKYVLQELTQSICRTVERFSMRRLWYISTMNRLLLCAAEHVPYSSIQGM LKLIVEGGESGDEASDVAFRLRCVEDYFGLISCSQKKMPDALCRVAAWVVGEYGFLAT AINRRLMVDGLCDLFARTDSGDARDWIIMAVMKIVASDGVVPENVKELIERFKDSRIA TTQQRCYEFSRLTQMLPLMKRSLPLDRCCEEVDIEETLSFLNPFVQKALLGGAKPYEK RPVCREVRMEIPLCIEKYETPQLKTSEASLTCEVCDTEAKPPELAIRPSTRRWGAKTG NAAAMVNTGLAPDSHMREVGGGERITLSAQVARTGATLDEPCGVFGPQCDQSLPATPP TLGTKCSKNKKLLEDIFDASVQLKKYPHVAQQVPDLFEAVEVENKKNLAGENGSALSV HMEHVREERAVGITLFIVGNVTVNDLVVNVLPPSNCTLHVTSHSVQSAKLTGSTTITM ETLKVNQSLEVVMQLLLTGFPNDMHVRVKVVYRRSEPFDMIDVGKSESKTATMTLQVG DFLRPASSMTTDTFGEMWLKYIGEYKTTLHGTAALTLESISQLLMERASLRVVEMIGN ELIVAAVLPGTDQLLLGHVVLVDHHCANATFRAQDKRLAEFVVRSLDSAIPLS XP_845418.1 MLSGNTEGKPRSKERQFDFSSYPSRKIALRLAYHGHIYCGLVRQ AETPNTVEAYLVAALERLRFVEPSGPKDFSRCGRTDKGVSALGNVVSFTSRASSWATD EQQQPPLDYCEKLNNVLPPTIRIVGWSYVNADFDARFSCTGRTYRYYFCNRGLNLEAM RKAVGYLKGVHNFRNFCKTDVVNVNNYERHVRHADIVGSDMLPDLVSYFEIEANSFLY NQIRCTMEVLFLVGRCLEEPEVVKQLLDCGDRKPVYPLADATPLVLWECHFEGVRWNI SQRACLNAESELLDIATALLIRSTVAFSMRSQLFAWYSEHTDDNGEAIFFEGQNQGIC PGDQWSVVGSDWTDERTHNSLRVRKSDIFALSKKVKMGSKGPLTSKPYTKLLDRETER TFEEEVELLSAKKRARFEVNMEKKKFHEEHRCGNPT XP_845419.1 MDSQSDIRHHCPAMASECSALPDASLLLVLLDGRLRCVSLKDGR ERWSAQLPCGEMFSCGESGIISERRQMHPSPSDFATCKQCEVMGARYGNSSTTDRYVS VVQNPCGGCSGLSQHPFCASLPNNEVDCCLILSPRESSDVGRSPSFEWYFSGGVSGKG RDKLYFPVDVLLEHFSASRCPAHGLRDLNHRESVYIEFDFDNGVELFHLSAERGLSVP RPRRPLNDNVSSDTAVASDGNDGPQMPLCSTSQIRIAVQRATDYRTLFFPPVPRYRSG PSKGVEDNSRSLFVKKSTVIINIVGCLETNSLEGTGLDDTLRIEQTLSDSGVRVHWLA VGDNNNGPQPTVPVSPGIVESSPVVSAYVLHPHRLATGNAVTEEYCAGVTDFDDGTSY VMACCQIPILNSSSEPSKHVLSIQSCFPENFRRKRLHGFVNRQYGVFFSESLNVPSSS SSSTVSPSPLPTGSECASNDNENISNKAMGDGFFSCEESGEEANHPSPNEMSSGALVL GKSLLSFSESENVEARTQENFMSGKQSFFDENFDVLMILGSGASGVVLLTRHRVTGVL YAVKVLIVRDKFAETAVMQEVRLHAVLHNEHIVRYYTCWSEMITPARLRQLASVGLCS KREVRQTFRALEANCVSCGSSHEGAGWLIPRHEGQLGNLLLLKSPAEDCAGVYMAGDD TVDDGTGSTAGSHTEPSEDGSDDTRSNSCESSSSERSSSILEGRVVFLQLEYYRTTLA QRLGSRGSIDRFENIIIALQLFAAVRYVHRSGFLHRDVKPPNIFIDYRVQFSGVDGPG EEDNDEYDYDLDESDGNGWTCTSVREEKEERKMWTEPVNGGGNDDVSAPHQAFQSVFE FLQFYATTPPLSTYLKKIKCAQNEEQKRRAVYLIGKWTCKRFLQVRLGDFSISKSFLA QHVELASNFGRTAMNTTGIGSSLYSSPEQLDGEHCTSSSDAYSCGIVLAEMYVQPKTV SERLHVLKAVRNGVFPESSLLKRYPELCVVQYLTLKDPSHRMSLMDASRALRRTVYRI LLSFFSEV XP_845420.1 MALMWRWVSLGGWCGPHLMLSKLNAPISAVKLPFDMARCSFDGL LEFTNRGFDEGFFPGPLQSRPFTPDAASIWLLFRGQHTCITHFNLNNDNIVQEFVNRF DAWERMLLHPSHPVTFLRTSIAEDASEEVELIPQFHSALQEKSAGRLKFRTVMVLHDQ GPTTCRVAEFTAQDAAGAPCVVWNLALDKSLPSTASLLDRCHDGYAQIISEMSSEGAW QFSTRFLCLPAPKPYTNLSRVEGVPALRGSCTGFGTTHAARLGRCLSCGATDGHKVVQ DAFDTKRPWETAEEVVLVEKLFQAGGDEVAAVEAAALELKRGANEVLLRLRYVTQC XP_845421.1 MLASQLKTAAEKLTEHCGRDDYYWTTDNEMHAVRRRKMLEKYSS EIKKLYGPDPLTWKIATAVVLFQIFLGSLASSMSWPVFLLTAYAIGGTATHNSFLAVH EITHNLAFRKAVHNELFAIFLNIIVPLPYAMGFKSHHRDHHNYLGWERVDPDLPTALE GKLLSSYIGKFFFVTFQVFFYALRPTLVRKMKITRMHVLNIVAQIIFDVIFYMLFGPW CIIYFFLSLVLGTGWHPLAGHFLTEHYIFQGDGSQETFSYYGPLNWLAWNVGHHVEHH DFPYVPWRHLHKLREIAPEFYENLEVTPSWPLALYDFVFKVNMNPFSRTVRRKGSYLH PDLRSAEVIGKEEN XP_845422.1 MTHGSNNDSTKISSWLQKKAEWRFAWDRRFVVLEGLRLSYRIEE NGPVKKCGIIASLLRQVDSNNSHAFRVWLKDGECWTLRAESSEVHQMWVDEITHVLER EALFDAKNTRKGMVLKQGLWTGKWRQRFVELEGCRIAYREKKEGPVRHRYEIVSADVR RGEESKGDELLVTTEGGNSFWLKFTTPEQCEDWMCTMLRGVRRSLPWHWLPVIPLRHP LHCEIGLQFHAASSTTRGGSTVYCYGGSPTSALFRYLPSNDLFVPLVQRGTVVRNLVS IRLSAQHPISFVDALPYEESAHAKLRPPPLLGAAMCTLRGVRSSPQAAASYTDDFNTS AGSSAVVNGNSCTNLVDCLVLVGGRGDSGIIRDATTEVWWCVFSETRGQWTRCAVEKS VLPNRTFHTLSAISPKEAILVGGVDENNQPCSECFSLSWSNEIDDADICFSFPPTITA VTPLPYPLALHAAVVMKDGSLLVVGGGDTSRGSQPTSSHFFRLADVGGSWERVTLEPP LPSMYNITAAAAVIEDKEYVLVLGEPRNARRVPTLYRVIFKDYTKGTVDEIPFVNEFK PQCSYGASMHISDGYLYIIGGRVCGPVENDYESGLPMNEPIRAVIGVREDPANYDERA E XP_845423.1 MQPRKTVKKVDVEPSPEEDAELLPHLKVSAKWSERAANNGKSLS QVQFLLGALGKQLEDCNKELASVYANADLLSSLRITEYRQRNTPGGLLTPTRKARTKR NASTRPVARQQLDENSTEALIAAMDEKKRARVTAALIAFFKRDMQEQNSPAYQNASTP VPQGANHSSTRTSQTLGRIPSANSHTMLCESNKGNQPSCSNGNELPTLLSETQQQQLR RHRDIPPELWDEMAKLRLRRLSLEEKIGSLLSNINAQTERFGLLMEVQGVNSYSTESV NRAIEMIRQKRA XP_845424.1 MSWKYSNQEKLFLLRKWPELLEDAINVDGELSLPKDEVGGAGRQ ADAAKNSFNFSPTFRLPFKAWEAIFTLLDNIAPTDLPSQLCEELHSVYGVNPLIALMV HSCCWFDKGIDDKVFSDQLSSLSGVTKELQLQSQNYSMPSDQKGALDAATVATGILID VLSLRKRWDEGSEGTERGVEEAINSLIEWSAPKRPVIELARALIVGVVAYAYVKPQFA AAATNLLRKAQTSVNESMVECTRSRSGRSTEAVSPYNITDWRVVKCLETELVLRQKHQ DISDFLSATNRWWLTLPETNPFKEKKGSRTVSAEERWHAVRSRYVGQQHVWSSLIEHF LSIGVVEAVKPTVIVLFGPSGYGKSEMARLIACALHKCTPSEAETEGHLVHIHLPSFC TRDSIYSLVDPPAAHIGEGILLSALRRNKEAVVVLDEFEKGSAEAIQNLWLSAFQKHG TLRSLKDAARSISTERVTFVLTCNIAADVIASDEERYLKASNEKERAAMRAEWTKICM DVCRKTMHDPFVNRVDYFFPFVPYTVEEKQQFVKLQLSRIIEDQRVKGVHMYIAPQLV RVLADQLQTFHSSNIEGILRPLLMKIYQKKWKKAVITVEERINCHSYVVIPASDSEGG EIPWTSMPGGASSLEHYDGPERTGNHSAERQQRRTVEQEATNSIESSRRSSKIASNSR GNSRSANHTRTSQVAQSADCAMLLETDVERELRLELEKATELLLEKDKEIECLKHKVL LLERIVAVLLATTLCFMLLLSMIIGTKMVLILAVALTGFMSLLVGMPLKLLIGALRTL YSVLGPMGSAIAFGIMSLWLSNAVRSVATC XP_845425.1 MSSDSSDSEKRLLAYKVIVVGDGAVGKTSLIRRYCVADYGSNYK QTIGLDFYSKEVLLPGKQDVKMEIWDIGGQQIGGTMIDNYIMGAHAIFFVYDVTNKDS FKNIEDWHSCVRDSLAKHARETAEEGAAAVEPLIVLVGNKADLPNRQVSDADHMKMAE MHRMESCVVSACSGERVNALFTQLAATLSGVRLPEDALNLEERVVANLQLLPEDCVGP GVSGPGGGKECGKKKKGKCAVM XP_845426.1 MPAGVPENTSLENIPTIVSKCREAFNNDANRDLKKRKQVLRSLL NLVEENTDEFCKAIHRDRRRHRDETVVMEILPLRNEVWHLIEHMDEYVKPVKPTMEGA AALDDCELQYEPLGVVLVIGTWNYPLLLILQPLLGALAAGNTAVIKPSELAPATAELL TKLLPKYVSSDVVGIVNGGVSETTAVLKERFDHILYTGSARVAEIVMAAAAKHLTPVT LELGGKSPVVVDDSCADNMKVVAERIMWGKIINAGQTCIAPDYVVVEKSMESVLVDAL AEARKAMLGDKFLKVLKGELLVKQKQQFLEESDYPRIVNASHFHRLMEFMKGGKVAVG GEADEATLTIAPTILTDIDPTHPVMQEEIFGPILPVLTYENEKDILKIINSREKPLAL YVFSNNKRFIRGVESRTSSGAVVVNDVVVHAGADGLPFGGVGRSGMGAYHGRYSFETF SHRRPVMRRGFFFSSIDTVRFPPYTTAKSRVLNSLLKPSAEVAGAVGRSVWGVAALAR VVEVGYHYMRFLMAGETTPAPSSSEPFSKGPRSNE XP_845427.1 MKGLEEWLASSTSSSSTTDTTSRDVPESDKVHKKTVQTSVKDRQ DELRSRMRNLLGESAVSSLVIEEPTKVAMTTSPTAVLNSSMSTDTDSTAVSPARVKGV SPQTKANGNSNGDGSTAIRGQSNDKQLEAPTEVQYRAVEVMVVDRGTQTTTTVGCQTD PEPSQSPVGGAMPFHRGFCPRCTCCCRGVVRDYPWRNAREEEDRSSRFQEELETIQKS IDMMIARYNLPPPPMPRY XP_845428.1 MDSMEEFYNNPFKNNSEVDDICFAPFFSQMSESITEPTPVQTCV TTPCADAQARAPSCQEASETESYSQTSTQRLQHKLNETMRASTAACDMYTNHIETQRQ EIDRLDEENNELQMKVAWLESQMRAIGLEKDCAAMAYKREVEKNAALEAKITSLKREL SSMEERARQLAATYSRGVPQSTYDVAPYQNAPPHEHQPNKQQHTQQQLQQQQLHSGHH QETPRMQTQRPPSQPRQPPSLSPSSRGVVEDVRGGIGAYPGQRKNEQLVIAVKDLESN LQRACQRKDELENHLRRVETTRIRSGAERAKKIALERELADVQKQVSDIRMKLRSLSA LER XP_845429.1 MPVTSFNNRHSIVDEATAAFMLCATEVKRMWGEQSFIAKLCAIL MKHWRTRRCMLFLVAWIAFMGCCYGLRSRLKRLLLFSTDQADEEKDFPEEGTISEGSC SVCENQCIEVGENSFSDVLYGSEWPRFEGAIPNEKVKSLLGDDFDPTDQFDATFNHFL ASQSGRCDGDDTEECGSKRESGE XP_845430.1 MTNNEGSISPSHSLPNFLMLDAVEEDFTNLPLDSLLLHKNWKGR KAGFEQVRDQPKELRIHLLNKPKKIYAEQNIAAQEALFEALAALVPFCDNDELDVLAG EPLRVVVEKGLTGRSKAAQASFAFALDLVGAGKQTHVFNVLLPAVAHKTPKNRIAATQ LCGHIVDLFGVHGLPAKALLKAMQPLFNDPNPQVRKEAANLCCHCYSYIGAGVKSCLT DLREVQLQELEKQFENIVLGRVPRRNIKGIETSTPIKSVAPIVGIASRTDRSNDLDDD AYAACSEEPVVSKIPRTFYLTALDRSARWQDRVAAVQETVLPLISVPKIRQKDDYHEL CTMIREYLVDPQAPLMLVGFKCIQEMARSLRNAFAPYARTYLNPLFEKMKDKKTSVVE HVTTTLESLIRYHCITLDQCHDEIDVTLQSRVPNQRLALIQWLSRLTDKLEPTCFNRI CRAQPMLSRVLNDEKIENREAAYIFVAKLMALNGEEHFQALLVSLDEKQRAKLVAIIN SAANMQCTPSSSPARKAPRLEQRCESIGQRPHSSSVASSCSRSSVCGARGGRLGRALS HNKSLPEQPRVSGMEDAVTLESMLPSKDEAFNLILGLLGGDMTVSVLLTAKEWSSRHC GVLKVKNMVVGWSEKESTDYLDHVVVYLRVDPGWRESIFQVFNAMASVLQEVVNKASK VSPGAGHAIISGCLGRLTDQKSKSVVCDLITSLTKSLGAAFVLRHVVGTLVQIKTPKL LHESNVFMTQLLRSTTVSPSDAKCVVDYVNNHCAEHLFPTVRTTGATLLAIITAGSVA SNEDTLEQSANTLGQSENTSLNGTVANASRTGPRREASQASTVTSYQPVRERDENERL RTNSVTTNATEVKPTSHPRHAHVEASRLNSCDKHKKMSAAVDDEENHEAVDIGPQLTL LAKQITGAPDWRTRLEGVKKVEELVFASNKRICPNGVTEIIGALRSRFDETNKNIVVD ALRIISLVVEAAGFSASRTGVKGLVQRLLGMLGDQKVNLREEAARLAGIALDCLGLEP ILQHIQKPLMAESHTSNLVALGLIEKGLEQNTEGNVSRRAVNSLVPTIVRLCMSRILE VRSAAERVIGHVLPFVGEETVLRSVKNLRPAEQQSVMALLGRQLQHALRNGSDEGTRA PSVCLNNSTSPRCSNSSPRSQCSSRAGARESCANLRSLEVSGVVPPGKIPHMNLPIIQ AQSASEASLTSVTAASARNAQGFSRNEELLSIQEMMVGLRSASATAAMAACSEFLQRL PNGEDCGTPEMIHVMVERLHDNIKQLNVELALDIIRCLKATFETERCAQRCHTSLLFR MLGMIFECLLSESFSLHSNVIKALNNTTLTLLERCPPNDVFSALMSRMTMYSSTYIEN GTKPSLKYVQVTVKCLMRLGFTSISPENVIVCCHEYLLQHPPTAFRNLDDISIRTVKT ILQDFCRRYGLSLLEMTNKLVGSQNLVSHFIRSCLDVEEQIAQRDNVKDPNRKVEYLQ QSRKVTEKGAAATQNEQVNNQKKGAQHVDPQTYCTSRGSLSESAAPDDASVVGIFSRI RNHETTNSGIEELYQFIKCHPRSPTFEKQFRRCSEAFRSYIKRKMESQMMKDDDKPPG FALPEILRLTA XP_845431.1 MPRGSSKSKKEVSEEPKTTGGSGGDATETSPPTPKAKKGKGGDK MLVNEPNDEKHTVEDARTVQTPSDHETSAARKKPPPKDVKDEKKSEPAHGGGRLLKNN PNDEVVPVEGMHNVATPRSGLITTESDGAKGTMLRNAPHDEAIPVSGHAITMDTPKRT IDVHPGTITNTDDDDDPKSTEEEESVPIGRTRGYCNPRPEAINLEAEVPETTAPNLGY KPQEYAMVNANASREVQELFKRILDYQPQTPELPAKLRPFIPDYVPSIGDLDPFCKIP RPDGKPDGLGIYVLDEPSVAQSNPAVVLLELRATNIHSVGGLAEAVDSFEDAANRPEV IDRWINDVKKVHYKKPLPTINYQKPMPEIDLLLQVWPQEFEEFLNSDVQFPPPQIDLD LDQYVRALCCILDIPTYTSLIDSLHVMFTLYQEFRANQHFQHE XP_845432.1 MDSPTEFSCGTQWDDDRRGEEPLLPELTLHGCGLRNLSLIVRGA QGAVYSAHDENGNRFAVKRLFTQRSDFGIRGVSEGALREATLLTLVRQEMEKLVHDTA FDVVRLQGVVEAPYKELCLILEYCSLDLSQVVVKSKRHTRSFPLVAEGPQVRCPVLSN MGVVRYLLRGILQILNNLHVNCRIIHRDVKLSNFLVRGDGSVRLSDFGSARLLHETVE EKEAAECVTSDPQDEDEVDCSDPFSHSCEGYTPAAQRTTLIYQAPECLLGERTYTTAV DVWAVGIVFAEILLQRHLFRSVNELTLISDIWRLLGTPSTKGEDPNIQGTNGVTFAAP TEPTVDVKFNDDIVSPEGRDLLKKMLEVDPKKRVTAADALRHSFLTSVDAQLSLKEAP ALWREKVKECLEGGTQAVPMGAPFLALDDDEEEEEEEEDVDMNMATL XP_845433.1 MQKNQTAYYRTLGVNREATQEEISKAYRTLAMKLHPDRPGGSME KFQLLQRAYEVLGNEFSRSNYDAELQRRKSKRIGFKRPPDLDGVLMPVYYKLANGDLY TFETAVSQMGCTFRHGDIISFGEKMGCFVGLAGDDFYYWRREGSNHATKLCQRGSFGV GSVNLVMRANLQSYNISQLRTLADAPLGRYRPTSPGPTTKAATPKAADCSTTRTNKWA TTTPRKGDLRSECELARLAITRQVEQRRFEKNVRALLKKEREARECIDEVCKATMEDC RVEFEEALKQKIVTAKTRRS XP_845434.1 MPVATQATLKGVTVEQLESLDVEIILGNTYHLGLRPGEDILNEI TRRKNANSSTDSRNTSKRVRDNMDGIHFLQGWKRNILTDSGGFQMVSLLRLANITEEG VRFQSTHGGGGGKIVVDDNEAKTSVASAEVPDDGEYSLLLKPEDSIRIQNAIGGDIMM QLDDVVHVLTTGPRVEEAMRRTIRWLDRSLAANANPEKQGIFGIVQGGLDSGLRSICM EEMVKRKACKGYAIGGLSGGEAKEQFWAIVAQCCKTLPNDKPRYCMGVGYPEDIIVCI ALGVDMFDCVYACRTARFGSALTSRGKIQVSKKVYANDMGPLDPECNCMTCKTFTRAY LHIVAAKESIGATLLSYHNLAYLINLTRGAREAILSGTFVEYVQKFFLGYYPARDYPK WIVDALASVSVELPR XP_845435.1 MSETIPVSVQCCEGRFELSVDSNHTLRDVLRQFKREVAALDPIN LEEYVVNHEGKLLLDDSVTLQTVGVKKDSVFVLVRKACCPAASPEKDEDDM XP_845436.1 MPVKQPPRVTRERKAASETAALELPATNENTRKAAMSPSGSSEQ AVFEVHPREIVFADFVMHESYEVALSLKNISREAQFVRVRQSHDRFVTLVSPLPHAKS TKVAPGLTVVYKVVFRSEDERDYSSDIIVTTSQEEFTIPLRAIANRGRLDLPESFAVS PSPVKCSGSTTLFLRNKGKLDCQWRAVCQAPFAISPSSGVVPSEGGVLPVSIVFTPPK LQTYSGSIVFLLGPEENAVQELPVSGSAMELSIIQDKSEALFPDTFVTLESQVMISVR NESDRTIHFTWKAEQTDHQEKCATENAIAEQSGALTYAVLSNAEHESTRQRLAREYID HITKGGRVFDDDVFTIEPVSGVIYGKGSREFIVTFNPQLAVSYLSTAYLDIEGKNQRL PLQMKGKGLAPLCELEFTTLDIGDIVVGAVHEYRVEIINKGCVEARYKVMPQDTLMGR KFSFSPPEGVLPPGTTETFVIRLESDLLGIILETFNIHIHGALDGLKLFFRGRVTSPS LQFNRKELNFGNVSYTTHHSCSLQVMNTGSFDIRYKLRIPESSPLCNCITVTPCEGLI PEGGVHDICVDLVSNALGSRETKLLVDVEDVGDAVHTLPIKFFCLVPTLQPSQDQLHY GHCFVGHNYTMNLEVVNKTALVGKFSVFLQELLDTQADTAIVSIGSPDNTDGVEVIEA RSRCLVPITLTPLAVGELRMTLYVRVLGSEEQLMPVLVTASACGPTVVAVPRHLSFGG LQLLDEKELQLTLTNMSSITAYFTTEFLLGAAKTEEPVFFLEPTEGEIEPEGTFIIKV RARLDEARTFEEKIRVAVRHSEKTSQVIDVVANGKGYALVPQDDADEFDFGDIFTETT VEKKLIILNKGRRDIEVIWSGLSRNRAGRGGMPNVFSVNPEMALIPARKSYEFVVQGY ATTKGVFSDCFTLKDKNRYKVVLSKSVQGNFITPSIAYSTKKVVFDYIYGTEGDTGCA VTTKTFTMKNTCTRTLHVSLRCLTPANGSSSPFTLENPTTFALSSGETRIVGVTCDAL YRRDNIAHSAKGRVLVTFSNHTTTEYVYLTVNIALPNVSIVPDTRQLNFGSVLADTEK RLQITLTNLSEIVSANFHWGIETLGHPAATSNAKVPLAEEEEREEQQEQQLIRKHFDI IPFRGSIPPGGKTVVEAVFYGARGRHEANAKCSLEGGPSYSVNLIGWSDVAVRFDRTS LDFGIMHYKDRATRTICISSPLRVAVPFSVDLTRLKQPESLTVRPLRGTVTTKVYLTV TFGPMIPEEVIETFTVQVGHLHPQVIKVRGLGQVSTMSVVPGEKNVKLRRVEDPIFQE FFNELSKREWVPHHTKMGSSNPIPHVDPSVLEAERLTLCQTILPTRELVPSVCSASPP PQTTTSEGQQEEIPPLLARYILDFGHVTRQDVRTAKLLLVNTSTCSTSISLEKNSQQP PVVTVEPLKIPTMAPISHTEFVVTLHASEIEKGSTGADRREITLNLAKGPRVIIEVHY YLATPMLTPESHVVDFGDLRPGHIHVKNVHFYNNEAAPCSWTFKLIETKKKGEAQDPR RSPSIETVYKKQFKIGKERGILPPYSCMAVQMSFHSWYVGPAKARLRLRFASNPNDEY MTIIGNVRDVKVNISPTPVNFLPLLPCEAVYHTLTITNNEEHPIEVVCMNYDQKVRIE RRALQRFLQGVTPTQEEKGLYLPLREAGDALPEGLLDATFENLNAEETALEFVGVDES LERALERRRGSYSSRAGQIGRQPSRRQSMDKPDAIALRSKSLSCKNSFSVVNPKVIVV VGPPCSGKTTQTQKLKESSGVVELDLDAMILSEAEFDTAEGELIRGLLSDYDKLHAAP PVMPTGRHSDKLNPLLPLANSKVVILLHTLVVRQLKKVDNVTAVVIDDLKSIITENRE AVLFAISKAAQVTGMTLKIISLGVSEVTADLRRNLDIQRKCNETAEAAKIPLLTEEEY EELSEGERDTYNHQLLYYNKCKRDLADVTKEVTRLAEEKENNEQHTVQKVIDAEMAAK EEMEERMRASTKGKRYVPEQRPAPVWHKLSDLERYKELYIHLRERKDLDHIIVDGENC KDLTEHVISNENSNGALDAFLRGSVTENANDKNRLINIWNFGANGVWEFVSEEYLNTT HEAYEGLRIFSRTPRDLSTKKNPKGAVPVVASPLVEASRWLIAPMSSTDVTLVFCSSR LGQFSTPLTFGITGTSQQIVVPVATSTLYPDICRDEKRIFRTVKTRAVAGRQVSGVFL LSKRSYEFGPLIVHGNDGSACGNSKVSSSLRNESPSMGKSTSSFRGWVAQDTLTLTND GIVPAEVNMSFGKEKDLTFAVAPNKVTIPVGETVTVMLRANPESIGEITAKLVVTVRD NPAPWFVNVSCIGTRPSVTLDGKRDLVVQYGRSLVTRPVEKTFTISNVSFVPVHWRIT GMDKLPNEFELSATSGVLEVSGAQKLALAFKPIKPGMHSLSLKVEVMDSEEALYESLP LTIKAEAHDAVVEWTRHVNFKMIHVGETKKELIRILNKSPYDIGYMLRMPKALQNVIT LSPVSGTVRGLIGYRDAAIASVEVSIRFDREGEIPPTLGVIEASFYDPQSNELLYPVQ NITVTGEAWYNQFSVRPSFIDFGPCVAHQKKVSTFELRNTGRFPLNFKLFNYKKAMSV STPEEEEFVPRRGRKTAKGDVGFQLGSFNISPNVGVVGVGDSCTFNVTSVLSNLQDQR EVLGIHVDHCDPEIEKTGTAFHIVASPTTPGIVGNLSSSLDVETVFEEQQIVTSLSCF NGPVRAFAKEECLFSFGQSLLGSRVEERFRIANLCTLTCTVKVRLLPKNMYEWKEKDR KDRKDKSAHGTAEGFLLLIDGELREEGTIELSSFESRFVTVCFAPQTLQSFTARFEAI AVDGTNPATNSLRFDLAGEGILPTVQYIFPPPLQITAPIIVNTVSGTGGKGKGKESRK AAVAKPTAHEVAPPPPADTIQMPLTFVGSSVTRCFRVRNNSDIPVHIRLTAPDDVPLG MTVTKLKEQLLVPPTGEEAFSVTLAPHKVDKWKTRLRVSVTENPFDDRELWVVGESFH RPISFHNTDPLMEERLTLGYWYLSQVKEHTFTVANNTPFAVRFEWNQSSRALSFSPRV GHLPSGASRDITVRLYSEAVSKDNVLCVMQVTSIECVDGDDWDDRQTKERWLSTVVSE TDDVSAISDGEGRPTFRKVVGAVAEPAYRATENFSCNKNLVVSYACEPTTYELTLPSN ENVELSEGITFPTTKIFQKRFITMRIENTGPNVLPFSIEVKKNEDEERTSLDLSGVFI AEPSSGAVEPHTTCDVRVTFAPKCVDVADRVLVVNLKHSAKPQHIIPLQGSAECPLVH FNIPQCDYFSTRVDGEAGSVILPNTIPVVFNVCGLNTKSVVTFKVINPTATIQRYEWI SNEMSRHLAPFRCLTPAGSISAGKQCEMAFEYTSTSLATRESLWNFFISGQTSVPFML VGKAHEPNLFLNVNKVFFGGTPVGSKNERVVILENKEDSPFSFSFDNASMNSEKDFVG MKPTRGVVQAKSSVQITIWFCPKEEIAVNMKLVCRVKRASNPLTINVKGEGLRTHSSM CIEDVEGAEHKEPIVVTPYHPFQYNLGRTQVNTIVKKKLVISNEGSCPFDFVMTTPEH RFLTFSGTFGTLQPKQRAEVTLVYFPTSSEALRNLTLACRISKRVVYTIRLSAASYTP KVMLGFSRYDFGLCFVSEYMCGQTPPSVLLNLTNGETDESVTVDYILPEGQYFDMDTA STVLAPGETKRAKITFNPAEVGEFGCDLKLLVNGHYPLFVPIRGEGVVPRIEVSSRFL RLGVARIGERRTAELRLECRSRTLTTVSLANCIDVELAARGISLFPSEEFVMRPDEAR TITAVFKPQSRMAEFQREISMVVCGKEMPFALITASCEDAEVHLDVQNVLFNNVVVGA VSTQRVVIMNSGDISQRFSWDAAMKTNRDLKIIPAAGVIRAHAEQACELTYNPTQVGS SIHQTFLLEFDNAPPIPLNLEAHCVDIPKPDTVLEFTCKIRSSVTKTLTIENPTNTVW TLRPTINNKFWSTVKSLTVNPKSRALLSVTYSPFLYTKEKDIGNLFIPLPSGEGRSVQ LEGIPQSAFEGGSANEKVVEANTVHTEIFELQNDTPNTLRFRSSANWLTEPDKGTVTV KMPMTIDVPAKQKREVSIDIISTSEGILQGAIVFLCQSREEYSRALDLSFKVVPKVMS AKAELIAPVRTTATYKLPITNPLNKNVTFTIRVENGSDVISVDSQITVKEKSTVELPI NFFPLVHKEYPVATVTAASNEIGSVVCKLHLRSIAPRPSVVTRVSCPLGQNVEFPLKF TSYSKTNCEFTIRLPDESKFSPFSRIANSSSIKVQGCSRSEGTEVTVDMLYEPSTVGD DRQTIEIVSQTAGVYIFPIVGTCLPPRRQGPFAVRTNQTTPITFKNVFNEAMTINVST DSPCFVVSKTTETIPPKKVISIGVQHRWDEERRDAYASKLTISGVHKGETISWIYYLK LATADQQTPPP XP_845437.1 MFGGVLEKIRLFEADIERHIDSIVQQLLIEDISNKRHQLLRDYF IIQQAEAVEPIAEKLLDIYLDQDDIVSAQEAPAEGEAVYSNALKEFEARIADIREYHR TFRDVPTVKCELDLPDVNALDNVFTLAERYGSCLDLEAHYHRYSNFMLSTSKRALETN HTVGTAWPGRVEYLTFVTSVINIILNDVDPYRKVYGFWSYKEFVEELLKYLVHFHKRV LVMESDVLESTLAKCDADAEEFWSKLVEHKKSVVTISSTAVALPGTSGKSVSSLHAPA PLRRYVKAFALWPITYVESVTPSEIEKPPSLEEVKAVVHIEAKIVVLLQTLLFEHLQE TEKVLLRDYSKTVEELEWERDNMQAEFLRSVEEAKKRCATTVEGSVVQAAQHEVAVAF APEEGKEVPTANGVLAPGTKAGDTNDQLLDSDGKPVARWLVCLQQLHKRFYCEVCGGT VYIGPKVFKDHFGAERHAEGLRRLGVTLHLKNYEGISSIRKVIEMRDKVMGNSRSLRK RIHADQEDEEMQDAQGNVITAGAYRKFQMSRKTG XP_845438.1 MTSSRAAGRLRSATKRTEKIKEQVMKSSTSVSRRTIPPSQRKML EQTQSVSQLSEASTGNLPPHKLSQRELVRVHGWEPVVKPLRDTDAVSLPGTATTGVVW RNDITNATLIPNDVGGGVRTRRAAARLAAGVEPPNYLVYSRDPHVKSKRRGQHNVHVT EDVPSASASGAVLSGVSLTLSKAVRSRWRGIKSVDNGENKQQDGNEIGEDKPNSVGDD DAKFVGEGNGEKRQDGRVKKSEGPEEIEEENGADSIDAKEEKVGKVEDMGEMKENVEE QNNNPHSDEEITDQSEDEKESGEEVGNETPAEDAENREGDGDTPRGRRRRGLASWLSR RRSRFGCERRKRRRAEAVTSADGQQQQQQQQRIGTLSASQAMLPPPYRRNRRLKRKLD VTEDSPIFASHAELRDKASHIFARAPFSTDEDVLRSTIDWRDNPTLLYARLLWELAPR EFLGIVTKRQEERTASSVLQGANSTISVKKE XP_845439.1 MVSNDPQFATVTILIRNADEVTLRVPIKEDITVRRLAKEAMQRL LARLQGKQELTRETISVVEIYVNTASGKAEIFAQDLVSQVVAVKEEVIHMRLHVKEND NCVTAPDADSSLSNAAVATTSVVDSSEASSRVDEGASPKTAENLQSTRKKECNNETTG ADRTPRISCRNLNTTIAGVKRHASFVEGENKDDNRKRKDRTKVGEAASEKGGSDVNGS GPLKLHTTPSTVVVKKIVGKEKHKKEKRTAGKVRKPAASAKVLPIEGCREALRGGREL RLQKRWQGHGTEVKIAVSDRLGWGREASKYFPENYTTSPDKIPRLIREAKRREQMRLK EERGRANQPVEVHNVSEAEGRRSGTTETQVAAKTPQDPSTSAAPFLGEKNSDAGRQSG EGCSATCPIVVERQLFNDGDDLLHTPVSQLAHNENRSDEVKTEGKELSNQSGGTPLPP GWGKSAIKYFDAKTYCDDPTKAKLSPDILAMPLRAGLRRSCL XP_845440.1 MESTIMSIETVCISLYQCSPSLTTCEEKTRKEEGEREEEVEVEI SVPHLCGHSFRIEKKDHRGDVLIPFKKGCTTYRELATGLAFRLNQYFVVHPSDSRQPH RPVSGLHSRASSYGRVGAGGRNCGCCFRGGYFCVLCGGEETSDGTVPGASTTAEAQEI MEQYPQVVIRHFLRVSQPGGNGDALSYEVVGDDWKVEERHICCFFSLVSTKGVGVKRP VRTVDGRTIRPKVGNDHLEKYDGECSLARSEKHVEETGYIEVKAGDRLLRIAYTDNLL FEDVVDRLRRRNLHVQRLFDGLTGASILPCELVRHFKGRLRALCATVASLFSGLPVTQ GVSEGAKRRREWSDGLDVGTIISVSEERFYEGSGGKVLLSSTSPECETLVYDEADGSG LWTAQQLRQQTVFEISDDSSTAHSLPDPPQSRGSTRSSAASAKCSDDFSRTPHVGDDI LPSLLAAEITLTQMKRRSSEAQGTSTDTLLTLRDQDVLDDKTSTLSCTGEDNETDGDA ECEVPLPTNEADFITDSFIMVTQQTLPEIYFDNSDEEENE XP_845441.1 MLRRKGYIGRQLRPSQLAKELLDPTDQLWCTTCHMAVQKKIWQE HKQSRNHTLAAVKLKKMKRLSLDMWERHRGAPLHEESGREVDIEKEFQRFRAEQRERE GYDRYSSPH XP_845442.1 MQQPKGINILITGTPGTGKTSLAELLVEELEGFSRIDVGKVVKE NEFYTEYDKEFDTHVIDEDDEGRLLDFMEPIMVQGGNHVVDYHSSELFPKRWFHLVVV LRTSTEVLFERLMDRKYGELKRNENMEAEIHGICEEEARGAYDEDIIIVRDNNTLEEM AATVSLIQSRVGSIQAV XP_845443.1 MPVRQKYPPVGCNMNDTGYPFRGDYKLQPALNHGHAGAIRKENT TLMLRITGSNLAIEKLQPSKGQKLQCELSIDDGIPKSINFPSGCGVVPVPADGHEYNL TAIVHGAGVKHYCKMSLNGTEGKGIFRLRAPSDSFAAENIRLCADGDVIYPSGGWYAI PIQAKECSLEFVGRQASRLVPTQPLFHAVAESSTVMIQCTAGTTDNAVIYCSGSGESI NVVQDRPVRLSLASGPFTLLLPRTTPGAAQVRPSHVPNTPLQPPPSDSSVHRVPEVET STIDSPEGPNTPPIFVTTADGFMIELTGDNGTSAAGYGRVQLPLPDRMTHRVQIKVSD MTGRIYLRQTAVVPSTQPPPLTFSITKDAAGAHMVKGSTLSQISVDNQPVVSGAVGAS ITDNARHVVTVREGSASAVFEVVAQPSAAAGPVAVRQEPQPAVPSGGTLLNSETWVNE LAKALQCTDINDCRQGVQNIIPRSPEATVILGFVSQNLFKVVPTISFSREGDSVSDIQ CPGHTITASINNGAPCTLSGVASIQVPSGHKVTLSALSPSTGRVVASCCAHYPPATQK NLDEHLVARLLELFQRCSLNPEAVLAELQNITQPQSAVGQQLVSYLIGCFRRLLECFQ RPINLFAGDTLPNVQLLAYVDDQIPKELTVASPVTVSCANTNVRVSAREPPAAALATR GGVIHKLVEAYRENAPTELMAQLMVISPTDPDERALVDLLSRVLKDYEAKLKRERDNV ISSTAADYLQFSCDGVTMENIRTSNPCQLSFEVDGTGFVALEQGGQIPQGYSFPAGST HCVHVIARDTASGALRAEAYITLKGTKCGPSTMSAPQNEALFLDASVMVVMEEIHLRL LCPPTLSLKCEVDGGGDVVGTKSSEFMARMNASSMHNVNVHLIDEVGNVVFRQRLAVP PIAMVQWALRLDSNSLYVDSTTASCTSFLTSSLNRCPEKELNGPLQLDCSGPQTVVLR KYTLGAVQGRTCVGEMYMMIPPFVDATHLKDLAQIYREASQLQSDELQRRLNEVRAQV PMGLMKDLITVLMENTAGAFNASIRSVGPDRGPRVHFRISGDETKLLSD XP_845444.1 MVRSIRYSRPKAAPPELLIDFLCCRFPYLTRSAWEQHARDGHLS VIKAARDSCGAGDNRHGVVVPMDYELQQNDEICFNPPRHLEPDINEHVVVLHSDEALL VCVKNGNLPVAEGGRYSANTLVGVLQRLAGNFTWETANGTAEMCLSKATNKETCGLKV NYYPVHRLDKETSGLVVLAKSADAARILSGQFERQSQVLNAQLTKYTGGESLTVVTSS QFDELITMDKSVSKSYIAVLDGSVPEGNEFIVCNRVGLLWEELKDSMKDAEKHTKLKK LKMACYHASVGKNQQLYGRPACSRIRILSSSDTLRVSVARVDILTGRTHQIRLHCAQI GFPVLGDKLYETSTPGRVGGLHAVADDIYLYRARETVSMSWGVEGMSVKRHLLHAAIL TFTHPTTRERLEFFAAPHEWFLSDVECDEATKLEENKSGTEILRDLLDRAVRGLASHR FQEKVITTFCYQ XP_845445.1 MMGGISICVATDCDGEKVNLRFLFDAAGPSVSRLLNYSTTAFNN YFRLKGISRAFAVNSAVVFNDVHCTWDRLERTTQLLHNSQVYLFQPDTLDIPAAIPEP YEGEPLLSADCYLPLRGATISTPIPAIKRIAPQRFASPKGGEKTRFSSPLMFRWAGAN KSPVGFRRTNSVSFDDCVNDRSRHNRSCSHSFSKDIHSTGISDDSSYLLHQNGGRGTD KHYSSFSSGGSILREEREKIEFQLSLPLDEMRRCVREETRRLDTTISTPIKRI XP_845446.1 MSDLEKLRACCRAVVRRQRNNATLDFPCVICQQVIRGQHGSMEH YRVSHGICTSHFDDVADLNGFLSHLRSLLLPAGDTKFHCPVCGVSCDGEASLVAHAGQ LGHTFWDARAIPTLAPFCITAVSSGNDELQHEEDDEVTGKVIDQAFYDEDEDEDEDEE WEEEPAMCLLCDTTSHNCLLHMKEAHSFDFVAAVQQHEGVNDVYDVIRIVNIIRGCVA DGTCPHHYGEDSPEAESCRHEIASSSLLSHLRYEPLHALPRAIPTGDKELIPRLVGDT FISSIVVGGNIKFGETKCQTGGDEGEDYPMVPTMMELAAKRLAKGGA XP_845447.1 MDDDLILNLVRLVRNGRVLARGCEEFIFGGVRMMDCGPCTEDYA RLQDYYAKEGNLSEVALVVSIRGAIVEIWRFQDFRSLKIPFPAPSSAHQANSAAAKMQ ASLKIFVRVIFAYLRIHELFSELTNIRVSDVEAKLVTDMGEVPTLEADTCSKSVWAVD GVASVKVLYNKLWRIPSPNPKTSPLIDSNTTPRENSVIELLRLRATAPVPINTRSDRC GGSLLGMGSDSAGSARSVPSPLFAPSQMPSSVGSTRLSFTNNPMKSPMLQVSYNPLPC ATNTDDSFPLFRPLPQADIAAVLARGEALTPAVRGHTFSNTPSFVAGGGYSSSGEDEG DVACTDDQLVDLLEICDGISILEEAIDINMVLQRLDTPLLRP XP_845448.1 MHFTSSRWITEQQRQREGLALRCAEEYPFWPHGVMGRESASLIM NTVFIEETDLFLFFTLRPLPVRRLAREEHWLFADCAWSSFNKNQAKFSHLENLLTTVN RVVRCSNAKDSAVGLQKSHSCKSAYSSFVTTGVTKRNRAFELMFPSSVTEGRSAEVFW VGRNDIISHKLALFENAVWLPLNSTPVAPKCLYNADQVVMP XP_845449.1 MQSAYTIKVSERQADGVRDVSVFHHGELHFSTSHRNMKWAVLTR FPAPFTQHGGSLHPAAISLPDEKCDVASACRGNEKQRDPVVVGKSDIDSGSKLMYEYL CVFHCDEPRNRLSHYTAHQPWTICSAYSTADPAAVTHFVLNNINPSCVVPGLRGVFVH GTPQSVPTVPSPLIQVGVNTFQPAADLWWMVSQLAINPVYVSVGGGNPATCLPTGDAA ANSTRMHIYNCEDNMECVAPKYALVGSTVLAQFQVSEDRMMVVAVGGNDVRLAMTTVS EHVGIMLWVWWPQRLHMPNLRVKGASVSLTVSESCRANVQVLFLFDASRGSLHVLRTP DLLTAKGSLDLLFTISTNCPPVVLPCMCSSMMQPIMVCNRPSVNEVALYYVPELLRTP LSTNYMATMSLSGVLPKNVIVEGVSYHNGSTVVFEAAVAGSDREPSVAASHQQYAFNF PSLLDEQHPLARFILEALEPVFETECVALLQCELLRRSWKSKRKGQVWDFGTGCLELI ANIVHNSMSPNSDRRGSPSGGGRYAAAGGSGSGRSVSQMCDAAPSGLELQTSSACTFV DKNFRLCDVVADPILMTADTLQKNAFRFQDRGHHENHGKFSSELDHGNIWTKKQCGLC VFTLHLLYESLKLQERFWILLEPLARLNLRLSEIMQWSQYTRYYSTCLCETETEDFAG HTTTGASRTGTTVSFQRALPENLLIEYFKFHTSSSTAAVMSGAPPLLYTILQRVLKGK ARTSGGWPAIRGITSSNPLSLANKLFFMLVDCFDAPQVTARVPTSWWFTLCKGLLEYD IDPKFVSTELCAGVGQPIERALCIAKDHPDDIWNDDFNTIIGRLDRLQHNLTSTRCVN PAGDVVRTAQERAIGREYRATLNDDDGVIMRPDFPKRWGDSRLDIVQSMFNTAAPITL PSQVDGTDAIYGSLRNLSRRATALPVGRGMFTLCTQNFRVRDSVPIPPLNLEGRTSDG ITITNNFEEAEPVNIIWPLFHNGCAAGLRFLSLPHFKGHRSAKEEESITRHWVLYQTR NISCPASRSGLLLAAGLLGHLKVLQRTDIYSLLVSPQSQFSGREAVTIAVLLGLSCSL RGTSNPVVFNCVSMHVQSLTPATEDIEVSLDVQTAASVSLGLLYQGTPDAFFVEMLLI QMSRLPSDEHFRDREGYALGAGFGLGLLLLGTGSSHGVPNVENRLLKFMEGARREAAP SACEGLEVFNEVNPDSGHFLTRAQMARNAKESFRNQSTRVYEGDCFNIAVSGPAAVVA LGFIYLQTNDALIADKIAPPNRLVGLQGVFPELCLLRTMMSSLIMWSKVEPTQEWIFQ NVPSCLLRLVHSPKKSGLAPSQIRYLTMNLGYCIAGAVLAMGMRFAGSMNADAKVTVL AELNGFLRGFIGSTKTGITSIQNSTGAFLPCISACAIALALVTAGTGDVQCLAVMQKL HKRMNVGYGDHLAISMATGLLFLGGGQLTLSNSLSSVAALIMAFYPVWPETASDNRMH LQALRHLYCLAVVPRLIETIDVLTNRPVSVPVRVIVNRGRLFQNEPSSVVKEMWTPVP KGRENQAVRMVTPCLLPELSTVAQIEIRSAQHYNMTICNMDPNVIGDGGIVVRVLEKN VTSTEDGKSGRSLGEELVVSWIQRLFHEQMQLRPGPIEAGVIIDNVNLLFTCQERFLA DLSHSEREFSLDFIMNVRRTLNRRYSGLLRHTGRLSTRHPLSQLIKKQKSVYSTASSL VQTLVSDDGHSSDLAPIAEALLMYAEATGMESTGNSEAFHVSFVMQWLSQALHFYGLV GRTKPLSQLLTKYTDVLQRREQRVYALYRMNQTLLLRPEVLEDLVDCCVELAN XP_845450.1 MDDDKLVMFDANGAIRMYDPEKFDQLVKTIEVEKRFTTRMDEFK NIVNQTMSIVEQLGKAIEEEKLKAIGSRNIVESEAEERFRTVQEAQVRLREKQAELDR YIAEYDSLKKVEQEQEMYIKHLSHASRE XP_845451.1 MELQSLIDTVSLQKLLLLGALLRLILIAYAFFHDQWFRVKYTDI DYMIVVDGARHMWNGGSPFDRTTFRYTPLLAALVMPSIWIANPMGKLIFASSDLGAAW YCYGVLKSFAKERSAKWMVSLFILFNPIVLSVSTRGNSDMLVTFMSLMVLSKFARRKC YQAAAVLGFAVHFKIYPIIYALPLTLGVWEQSVAASTNTWRRVVKTAVVVSICALMAA ISFAVPTVLCYMKYGQQYLNEAFIYHVYREDHRHNFSPYWLLMYLNMARRHLGQGVDF SPRLVAFAPQAVVLSFVSYKLRRNTAHACCVQTVLFVAFNKVCTVQYFVWFIPFLAFL FCEPKEVEDDESGGSGAFKFFSWVKALGVVLMWAATIPLWVTTAVPLEFHGYSDFAQL WIVSCLFFLAMVVLASMLARIAYRVQCTKCSAKSIKVA XP_845452.1 MVVSLSGYSPREVYEVECAKFNCKKNSAICNFLSERPDDFKSIS VIDLSKNFVGPKGVLPLLEVVRLCENLELLNLCGQQLDKNAIDALCLVLRSHPTVIHL NLSANPLSMSAGTSLLLLAKDNPLIQHINLTDSFIKPSVLLAINAQLERNREYRGKEA DRADIISNVQRNEKLNGGDVRIPLQTSQERGEVLSRPMQPIVQDQNVTSRETDDQAYD SLYPHDLGALLASFTHEVHDVLFDEDPTSYVAKYCSVRRTCFHDADFRADRVPLRRGV RRWDAFAWRRVSKLFPSATLLPEAGEDKTLVFPRECFQAYSWVFTCVEAVFKDAAILR DTLFTSYDVDHGVYGIRVYVDGRWRYVIVDDYLPVNEKNELMFTKPVDNKYFWPCILE KALAKMYGGYLALDIEFILESVPRALSMTPEATTPTNTPLLASNGFPNTVECRDDDVR RTSCAKTLQDLSGGVGITRFLRTAEFQKDEWWASMLDMSRSGAIMVGITDFENALPGI ETAHAYRITHVQQVGGIKLVMLSSQWSPQQWKGDWSDDSCLWQSHRDVSMTLRKKRLA GDHFGFWMSYNDFLSVFIQVHICHVFNGFTQHVVECEWGRDTAGGPCFDHHWHLNPHF KLRLSENTLFFINLSLPDTRFAPTCVKAMGMHILNSPRYPVCYLHNTQDCVVATDYVE TDSISVEGNFTKEGVYWIVPSADLTGVMSRFILRMFVSSPFVFSSEVIGNHWNTLKFS DIIECSGEFCAGDDNAQVLIRFLTNDKIREEVSVGPIVVKVATPEDKNLAIGLFLVHS SFAGSKASRTLGVVDKGDIVASSPYAVTDRAYIMADVCDNDVYTLITCISPTGSRAEI EYTLWSAIPSPQHTILPVWGRKSVTVSWPEGSGSFYGVKNNPQIEICPERDFDTFVIK MNLVECNVTDPAIVLFVLQNAGNKGDGIKGKIPANQVLKRSQYVRHHFVQCELQLLEP TDSLLVIPCLQPTGSKGACAITVSTETSDFSVRVLSSV XP_845453.1 MSTEDILIECPLNVEVEEEADGINDVVRLEENQLSTAQLLCRIT SLIAIGAFIYLTFWNNSQFWAVVFVYHPLFMVVAFMGALPELMCTVAGFHSRKFPFSR VIRTHLKWTMVFKALSLLGIVAVEWTKFVKSKNHFKSWHGIIGAVCEASQFLEALVGL SMYFNAGGRFFSSSQARLLRVAHRLLAVVVILTGLTSMSLGMFTKFAVEVYGALAVRV AFAILPIVLALWGYACPFVAW XP_845454.1 MGGKTNVKVLGRPTQPTGTFFLRSMDRDPDSRPFLRCEMRRRSD RSLPNTTLSLHYDEPSRSQSRQLGERRRFFKQPTESPFSPARAQPERRLLRRGSPKCY ASSLDSALCVSRDSSPFRSSIRRCRKPPFNDRSASEPRPSRVPLSGGRGQLNLGDLVP MPKPPKQRLIPPPMEKKPLRTARRRTPLPLSQTTPERRRGVKMFDANEYQNAFDGGQT ASLLFNRTPSDVPTAHQRTPSNISARSSASVRSDTSEAGVAGQARGRDSPSTARNSVT RRARSMTPTRRNYDIITGLPL XP_845455.1 MVYYFTLAADPSVICYMGRDKYENEKLIKYGWPEDIWFHVDNHS SAHVYVRMPKGKGLDDLTPAIIEECSQLTKANSIEGCKLNNVRVVYTPWSNLHKTDGM EVGQVGFHKESLRRYHTVEKKNNAMLNKLEKTKVTKDDVDFQTLKDERDAEERREKRQ ALAAQQQREKKEAAARKKAEELRSYASLMVESKMRTNQEHIPDEDDFM XP_845456.1 MPLAYLQGEILDMEKYRTEEAVWSRNTVREAWNAINHTLHDTTP GVDATKKKEIIEKDLVGLALCTSYAEVKHLLSLLTPSLRSHIVSQPSYKQTEVEEFFL HLGQDIEVRGADWVIQVPPPSVTDLRYTLERVGRFGDDGRGCIGHTPHRVAVWRGRLG EPLGLTIRVGRYVPNVARALVPLARRGSVLILSKAGMGKTTILRDLAASLSRDPAKPR VMVVDTSNEIGGDSPMPLPFLGRCRRIQVPRREEQQEVMKQILQNHSPEYVIVDEVST TAEAEVTWSISQRGVHLVATCHGESLAGLLQNQSLNLLVGGTAHAFLSNEERRLRNKI KKTILERPHSSPFKFVVELHSRKFAHLYVDVNQAVDCLLDQGDARRSARIGKTIAIDE PLPDEVMGLVMSQEKEGSYAREPSHESWSECNDDDSCALAGREGWRPNNRPERQRGTR SHGCRKRSNDDLLDELNNFN XP_845457.1 MISLRGNIGLLTRRRHAVITLHSALELCGVTRYKSSLPNSALTA RTAEALYSMEHYHKQCLNEDERRQVEVQAWEELMNLPDAAVEDASSSVVADILGSWCY FSKFWDRGIQGPNPSDSPEENNVKKVNVPLIAREFHRPHSPSTVDTAPPPRANPLDEV LDF XP_845458.1 MKYCEVQPQTGSRGIKRQWELEENASIPTKGSRATETRGHPVHD PVGTSEPLQCRQCSFVSQSKGGTTPSTKIQHRRGHPLDSNAGTKRSRSITEQEVSLIW NTVTIGLNDTCCTQCRHTHVSKDSLMEHFGGMHRQHPFSVAKESPPPLRSFNVRGFHL HFTFVNRNKTSQSTSGSSIHRHQKHEICVERKHTHSNECEENPTHILARSSLKCSAVT SGLRAKICRR XP_845459.1 MTLKNKRPGTAPLVKVGKAAESARPSSRRFAHAKQVRRNVELSE DEEELRYLEELLGKLLEEKALLGDQIASMATDVLDYGEVVKLELRLEAARSQRKEAII KINGMGACFNTGKGTVGKKSPTEALQRREYLEVKHSIHSLSMEVGQLRLKLETLKNEI KECDAYDSVVQEENEGLSSQAAHADAERELKRLINEKHRIIRRVEEANAAHLAAIRQE AKVARDLGLLEKRTRKFSQLYKPEAEVSDVCPKIEEAQFLHSATDLADWGKFSHANCG PCFCLPVRIAQADTPPKRAACPAPTTARRDTPPHG XP_845460.1 MRRIFSKSTPGCYARGVFQTTPSFRWCCFELIRESGRMRNRLCC HLPLKAARWHSSDAFTNDGTPPPLPLSNGGESDFVDLQLDDLTNALGSASARREVGDS SELGVVRENDIPEGQVFSRLNIPISSRQEEQRTNSRSALRVLASDTLEETIQQPTSTG SKQPLPYDDERFADMLLEMEVEGSLDETWQDGRKAYIEDVKEILEVLRSLKVRDICAI DVSNKTSNFDYMLFGTCEGPRHIHLAAWAVQEADALKRVCKIRRKQVDHTWEVVPVGR IIVNLMQESLREELSLERKWAVTKCMDPLSVANAPVSEGRSVKAHGLWTLTLNLQDLE DFEVDYCKDVLLTQV XP_845461.1 MEDYTIYRPIGSGAQGSVLSVIHVRTGRKFAMKVIRCHDISSVN AALKEIKVLLQLRYPHIVSYKDFFLVSDANEVRRLLFEFPTKKTTISTDSQGYSEDMP VDAVGVVTNNSCGDGKDAVEIGPNEICVCLVMELCTYGDLGVVISKSKRSFVATGFHP ISERRVVLWMKQCVLALKFIHRKGFLHRDLKPTNIFLDEGRNVKIGDFGLAVAVGMSQ EKVVGTPLYIAPEHMLQEEYDGKVDVWGLGVVMLELTTLQEQPINSRVIENPSIVEKV VKQVTDMGFSGHLGELLRDMLYRSPDDRPSLEDISCRLDAMLSAVVQSNGITTPSAPR CATPSSLAALLSNGCDTEHMASPTNFNGVQSCKKDSEKASRSRRSCSSLERTPYSVTC SGRSTRTHWLRDESGSAMRLVKWRASSASSTSSRFLTPRRISCGDRSGLSPSPHIVTP LFDFFARGAGRNIKDTTIRVPRDYPTLQTALQAVKGLSHVRNIVVKEAAVFTDPLVLG ADLPDSLSIIGEDPLPIVEVSDGPFAIHCIAGRGTIENFVIRHIARQTKKEYAVEPAE ANDKEDSHFGAISLVGGEWKISRCRISSSNGSGITVNSDVDAIVSHCHICDTKTAGVV VLEGAHGLFEKNTVKNCKLAGFLLKKDSTACVKRNDVVDGGVTGIFLHNAHGTVEENH IANNGSFGVVAKGPCANAVLKRNHITANQKAGVFCCAEAAPIIVENEIRRNNRAGILI KERASPNVSRNIIRQGREAGIYVFQEGAGVIEENEVLNNYSAGIIVTSNSRPHVMRNK IHGNRYEGVWVCKGGGGTYLQNDLRGNKKGAKDIEESCSVHWIENREV XP_845462.1 MSKSAIYGFETIFLAFNASADCAGDPYPPSRVEVTVDDSFGRLE GLAEEHSIIEETWRKLMKGLERKILHNGLKFRLHNVVQYDNGKCHLQLGITDYKSSMG VATNVDYFLRSTSDGGTDRRKYLARALGIECFTTTSDEKAVMFCRSSLVSEYPGYYCF PGGHPEPQDILCQLPLSGPATGNHNNIDHNHNSVQNSDLLNDRLRREEAVSQLSGVNP EVIVQHLFDSAVMEVADELGVERTACRNRGLLSIVENMKNMKPDACFWVEVNQTAAEV QARFDSRVGFDAFESVPHSLVVVHLDDIVDCEGAELFIKDCLGGKVTPPSVACWLHGM ACYRSLRGTLGKAQTHSFA XP_845463.1 MYKETGATPRAIQINGGIVNCMALSSQRLLWCSQSNGSGPAVMY AWECGEEEPNMHPLVEMGFVPILLSVFQEKVAVIGESSAALFTWSSALSFGHGNDDYG DDDDDDADEVLLLNEEFLCNGVPPGATHVVWSTDGTTIAVCSPCGITLVDCRLRYNLA DGVAPTLLLLDCSCWYGEAGAAFAAFGGSILFAISRGNHLVSLHCNEEWWSVEPYLQR DGHIITRAQQVTCFAVGGSEEGHLVVGLSDGTIKLVQQDNLQVFFVLDVTKQIYRDVD IPEHVSLYGGVRVMEVVVGRSIMVVMRTDAVVYYNKNSMELLDSNTLFFGEKTPQISA ASRDGSWCALVSGTQELLYLSAVDGILGDGCDDSFDPSSDIMHARFPLPPHLLEPLQL PPQHNQQKVPSTRNTGKLSVARDKKMPTDKPVTFGRPIKSSGYTASVPWSVQQRQKKL RERATRAPKHPSTAVAVPRYKFLPLSNRPLQPMTAANRFLLSSPIHRAVITSAKFTAS GSALVTASGDASAFMLKMPVAKNEGDGTALRVHTAPLSSIDANMSLNAPVVVTGSCDG VVAVWRPTKRASPYIVQKVGRDVRAVKFVYTDKFICYATANSVNFCRYCLDDGGGDLD RKRNESKMKPALEFTVPSAQHVVGVDAINHFASNVVVWAASNKSFGVYDLHVGQNINV IEEAHTRPIHSVALLTAGRYASIGSNHLHMCLTAGMDSTVRLWDIRQKRSVRQLAQHR SNATAVGVAFSPTGALVAVGSETRNVFIYDVGSGAVLDKLPVTDTATAICWHPIENVL AIGTSNGGVQLMGQR XP_845464.1 MVLNVILLGTYSHSPPTRTDLLYSLRKHSQRSYHATSSYLWTED NLFSNLTPLSLPETLFSSGTHRCGYYAFEAYLSLGGNDEDTRVTAMMLLDLTEAIQGL TAAVTESEGTVKREEEEEEETDVLLLELADIIENSEGTRGEYLSRWGAEEYDYHQRMV GRVIMMGGSVFVVPLRPNGQLRPRQKSLKQQSLRDKCYERLEPLLTLLEVDDSVRKRF LEEEMTEALQVWARRVASTMREGSWHLAVVAVPAPVAELVDKNPTLARAALLHHTARQ PLHWLRNGALAPTQYSGAESMVRGVVEEYRMLTQSGCYVRVPLRIPRYIFAHLHCSAA PPSLISTPLCATTYSLQQRSASGGDESCVAVEATEEELLHGLQLTIALQRLRRDVAGS HAAVIESFLKDVKKGGGGNLQESNESGEDIFSQRYLCNLRRKVMNVPTLRSHSTSWLY AYAQEAERLQTLTGDDVNRLDDYLFNDGASVCSGSDNTTESNEEETGRSHAVYPSERD ETDVLLQLKEMEHIMELSTREAVLGGSGGERSELKKVASNALFLNTVHMLSQDSSL XP_845465.1 MFLNNNMVDDARTVQLLEEIDVQLERWGKKYGLDPSNEKQKRIP TAGGGDIKPSASSQRSHDVPNVKFVNDRTNSKVGIVETAAVAAPQGFDPSALFDRIDM LEKKLKVAEEERMILSERLFAAERIVVEVVNAQKGLQDEWAALSTQSFSISHLDDDRR TIVDSGKTSKRDCAFTSYTTQSRQSTQSGNSSVAGLSAFVSPAKEDTSRSCLQSPVRN AGSSGSVLGGEEEEDVVGATERRLFALDDLAQRLAV XP_845466.1 MWYSNLFVAKLPRHLCDGDLLQIFSNFNPLGAKIMLDPSTGNSK GFGFVLFDKEEEGRTAYERLNRKLVRVCNSSFNLLIYPSQHNGKAVTLPSRAVYIRNI PTTMGEREVQNFLSNLAPLEYCAMRGDHHGNPVWVVYAEFDTPQNAQRVLDKLHGNSN HFGGPPIMVKYADTDEAKRERRRRREEGRLAPGPALKGACLFPPPRPGVVSLNQTQVS QSATETSPSCSPAAHHVTKTKMVAPMVEVEPRAQPLYFSTSPVTAMPPLLPVDMSYDG AAATVPLQTADCPAANGVLVLGNGQQVFLTPNAVNLHPTKFNAPPTALLVPAVDTTLV PLPPQRTLVYGPW XP_845467.1 MHISTPTLSDVRSENSLSFSGSRNGRRGVDASKFRTQPCRNYRF GAHCSFGSRCAFSHGEKPDLPPPPPYSAAVQNEMVLPPAYASRFRHDPYSFYSVRLED XP_845468.1 MENHPTESVADVPTGKEGERQPVDDYIARHTVIKSSWKGKYMRI FCVGRKELATINPQSIFRVTNRWDYGSQLIDVTPHPNNQTDFAITTVGKGKSEVMNFS CSTSLERSELLTDVQRYRALIDMKYRSQLDSLSFVCQKYCFNERKRPCRLRVTSVSVE QINEEGVVVGEYLFVHIRGITSIQDNPSSLVILYGPLMKAHLYEVTNPKVIREHIADF SKRFVGLPPLNAVPEMSPHIFKTSRLGVEANLLIPTAQFPVMKLSVKHNNIPVRRALV TTRDHLQELDPETYNTVSLFSFTSIHSLVRCDWDDQRFIIQFAVPMMSKVYTSPMRDA LLSHLVDCCRSAQNHNMHVVATTVDRGKRTAPLRALVSQEVESTLLNCIIDPNNSGGG VVLSLTDIVQFFNANVDHCGPRFSENSDGLFAENREKMIFSALMLLLENFPKNGNPLE FAQHFYALRRLCVTRTGFSSAAIVPSLVKCIESACEEAIKMSNVTVFHAMIDFINVLM VPHHDHYEVTHEEANKNRILGNEGFMNHILRMLRDYSAADNASLIIQALLNFFVYALC PPFCDTTDSKLFHAVMKQLVDVAGSELFSLLQYSCDATSYSAGQLIRAILEEGTPEQF TAMQRASLSEGGILKQLHEAIFGTKREVRDLARRLIAYWAYQNSDMQDLLRCIVPPAF LYFLQSREEPPEDEMEKGPTKNVVAMSGDHWESKNGWFKKRFHPSDVLSRVSGSHTTT QAAFRPAQTRPRNVRVKPTLNWNMMFYQLKQDHLRPDLIWNHTTRNELREALETEMQA LKAGMEMRRDKVIAWNYREFELRYPSLEDELKVGDHYLRLLFDSKKPVVAKPKDFFTD VYHRFLLSQDQKIQLECLNAMSILYEHYYGAIGHFNDIGHLVNLLKSTLCPLFRDQLL LFMLQVLRNRQNVKLFLGCDGLKILVELLPIAHLHVDRPQIHCSTNAIECGGESSVDL RDQEKEWYYIKDGEKRDPVSYAKLEQMYKDGTVNNSTKVWAQGLSGWLPIKDVHQLRW GLVASGSNKMLNFTEVSCVVLDILQLLCTHYPSRDENGAVMYPIPQVKRFLSGPQVLP HVVQLLLTFEPTICSRVHTLLYSLMDENPHMPQFFLTGVFFFTLMYTGSDVIPLCRLL HLSHCKQSVHQQSGNNDIVRSSVLSAMLPPALVCFLTAHGPERFADIWLGEYETPEAI WNNNMRRYLMEKIAGHIADFTPRLFSNIRAIYQYCPIVGIVYEQLKRELFCSQYYLRH LCDELRYPNWPIADPISLLREVLIAWQCELEKKPSGLSREGCLAELGITEATGATQQT VRKAYFKLAAKYHPDKNPDGRDKFERIQVAYEFLASDTLESSEPDPNNIDLLLRTQSI LYKRHAETLSRYKYAGYSLLLKLVKMEYEDPNMLHKDTVLMVPAMELCYHTVRNVSLN ADELQEEGGIALLSAVMQRCSETLTPAATDDLTQVKILCNTMLTFSVAAKFPESRSRI HLEPTICHFAAKGIAYDKALALSRACIQTCRELCVDDVLQERVIQHGAMWHLLLLLFR YDSTLVQSGIEMQEENHTQLFANRAAVYALQALYAMAGIVPSEDYLNTPSNAKVFTAL KRLLTPFIIQKMSKLPGAEEEILKMLNTNHETPYLLWNNETREQLLEIVGSNSEKCFN GGMVTHDLPFNIDERFIYKLHKDELIVGDLFVRIYNEQSNYPIEEPAAFCSALMAYLQ AQIGKNSSEGVLMVVEAAKNLVVAYQTADVATVLERYVAILLKLLSYKDTAITIKSSE LLEKVTFHRNCLEAMCRVDTAVTEVLLAMVRDGVAVERCCLAFLNVALPECAFVQQAF DRGLYVLLLRIIATTTNPECRNDACLALVKISTNKLWGPKATLHILKLLPYAILDTMK ENPVAACQLLDTYQETPELVWTKERRARFVDNCTTYQEEIAALLQRSPSATWSLPESI MVENIDELQVGGVYLKRYLSQSGWVVRKPKEFLSALLGRFAEECGRSAGERNAEILTL VADSALTLLRTTSSMVDHIVSLGYAQKLFTLMESPEDVVSENALKLVREECGSPVCVE SLANFDLIASLLAYQRSHPSQLPILMDMLCRLFSRPSSRVNILRLALQNQLVQRLLEW LENGLTPEVCGDQAPAAVRALIIKVLKAIADLKDPIHGQRVEEILSASPVWAKYKEQS HDLFLSGPRVGGYLENTHRNNHQQQLLSITLASTSAVGNDDEPPPI XP_845469.1 MKRNSAREGDIEDFFCNSSLSAPRGNCAQNVNSRRRRVVREDYG PGIPGNATIFVHTFGCGHNVSDGEYMAGQLVESGYNVTDEFGQADAYLLNSCTVKNPS EEHFVSMMNRVRDTGKPLIVAGCVPQADPTNKQWGDVSVVGVRSIDCVSYVVQEALQG NCVRLLGETEDQRQSNESNELPALDLPKVRRNKYIEIIPISVGCLNNCTYCKTKQARG DLRSYPVEVIVDRVREVVRDGVKEIRLTSEDSGAYGIDIGTDVVYLLRAVAVELEGTD VMLRVGMSNPPYLLRHVDGFATVLKHPNVYEFVHIPVQSGSDSILQTMLREYTVEEFF MCIDSIRAAVPKATVATDIICAFPGEGESEWQETMELCKRAKFEVINITRFYPRRNTP AAAMKQIPTDVAKHRTTELTNFFNSYRTFDSMVGEVHNVTLLETAHDKHHLVGHTKNY VQVLVDPAQARMGESVVVVITSATKYSVMGRVLRSRWERLSADAVGFATAPFRTRKGR IASALLMATAVGTVLLYWSSTRRRRCK XP_845470.1 MNQKEDHEDSQKLNPHPPSSVFTYDPSNSQSVLWHEGPVRDDHS HGDEPPLILRVRRGSSEVSSITGIMATDYAIAIDQPFQIQGGTGLSRRMSLRSPGGTP APSDEIQEGEPPARHQLYQTPNMSQTTRYFLADPHGLRSESDTQCLNSLTGKHWEYYY QQPPHCDTDTTVDEDHLLHQPSLLEPTVKHVTRSRGNRSGSSSPASIEMRSTSLRSYT AVVEPRPEVHAESSEEKLVSGTVVRQSDAATDGNSAVAERMKYESPEDPRNYIINIIA NNEPGRVTLQLIHEELNWEERFAEANGTVLEYLQGYQSIFAVSPLDDRVTMRKPLKAA KGRRRLRGHSGYHAMSNRIGSVSCSYVARKFDLELLSSLYKRRGYRAAIIHDVLHVSS FDTFDLFLFPGGVVVWWGMNRCDHWLVEDDFLSADPSFVNEAIQERHTQKSIDELFPM WHSYELDENYDATTQLGRRQALDRFSTNLCFDHYLIPRSNPLRSQVMLTVSYALGRIS VVDFFDNMTHKFHKEVLQIPSEIRGFFDYFSAQQQITRLEGELHIANMAITEFFDTPD FLWEMGWLHDYHEIAERQNSSEKIFSWFIAKSDALLQQLANIKGRRHRLFILGSDVFL ILLLVADVIFLMTSFILRLYFPRAED XP_845471.1 MVLTTVVGGIGTTFERLHKIERQKLAVLRDPLVLVTGSMAGLAG RAVALPFDEGGNKGPKRALQRRAPQFGILMWFYVPVSARLLPGTEVNPPYKAFTTFMI GAVAGFVMRLICNPINRVSDECLRTGDSFRKTCQIFRSKTILQFFYTTPPLMVNAVYF GTLLTVFEGLRRFCERNRILPLRVERDSSGKEIINFVNHFTVGVGHTVVGGVAAAVAS TVCYPLSAHFYQQTVIHDSAICRGLMPTLRKEVPMMAVSFGVFSLLQSLLARHHGPRA GFGY XP_845472.1 MSLPAPQCGACGVAFDSIEASRKHYQSDFHVHNVRMRVEGKRPV TAQEYKHMRIAEGIDVDNDGGPSFACKLCKKTFHCVQTLQAHVRSTAHLIKKEQRILA RDSDAASALTSTSLGSAAVGLHRRHNAKRPKALSEGTKKTKEVKVQPEEREEDVSEVR CFACGALSDNVEANLEHLFNVHEFTIPLQEKCTDVAGLLSYVARKTNGLLCLVCGEKT RSFASLEALRAHMREKNHDRIILGPEYDEFYSISLADVDVGERLDLNNMELVVSDSRR CVQRREAEVPRPRKKDSNARIEQHRLLIAAQYETQVALRREEREEMREVHREAHKLLK RQDAHYQEHMMKVSLRSNKLHPKGYDGEGRVN XP_845473.1 MRIVAPLDGSTAAAFCDNKVTNSRYTVWNFLFLNFYEQFRRPVN FYFLLVASLKFISIVAPVNPLSTLLPLALTFSLTAIKAARDDIKRHKQDAIYNKKERK VLNREAMTWETRTNRSIRVGDVILLREGEDIPCDVVVLAATNPIVYIRTDNLDGELDL KPRDVVAPQLSSDHRGGDDVPNAIAHQLLSVDDSCASIVGKLGQMRVTCSDPSPMINC FDGVAEFFFSRSPAAETVAANNSAPMRVSLSENNILPQSCVLKNTKTAICLAVYTGED TKCCLNKRNPKVKWAQIDRDISKYAIFVFIFQISCGFLFGAVGYLMNKNVEKTYWYLP MTTGEDGLAFGIYTLRFFLLTTVFIPISFKFVTDMSKYYFALVIENDVAMHHDGEWCN VRNSSIVEDLGQVDYVLSDKTGTLTQNVMEFLFATINGERKCLAPVEAEEVQVSCGEH VLHFGRVLSLCNTVEVVYDDVSQEMTQSGLSTGCGAVGTMRYQAASPDEVALCNGCEK LNVRLVARDATTAAVEVNGIKEEWFVHYVFAFASEFKTMGVIVEEKSTNAIYYFVKGA DDRILEMALDENSSTGGPQWGKGERMSSKAAILAEVEHYAVFGLRTLLVAEKRLTRNE LDEFLEKVREAELSMNNRKEEIYKLRLEMENSVTILGVTAIEDKLQDHVPETIRSFLQ AGIKVWMLTGDKVQTAEQIALTCSLCSPGDCVLRVLADKLDAFESWEGYMESLLQFSK GVMMDVQYGDAGFPTGSSSDPAVGEKVCAMGMMQKRNGSVTTETNESADVNPLSTGSS YVLVIEGGQVLERILTTPSLLKLLTELSENCASVICARTTPKQKAAVTRLVRSRGFIT LAVGDGGNDVAMIQEAQVGVGITGREGKQAARAADFSISRFSDLRSLVFVHGQLAYNR TAFVIKYSFYKSVLIGIIQLVHNIFHTHYSGGSFWDGFGLTLWNGLYSLPQTMLYCLD RKVPRRVLEQTPALYKVTRSGVDLGVCQFFGSFIFRGVFQSILAYFLVLSVHGTGFAS PNDAGQSAKDVAFTLTYAILILLQVVTVLMESHTVTALNAIFIFGMPVVYVAANMIYS SLESFYYYGVWKKTTDIVSFLTCIAVVSALVVPVLGVLTLIKIWRPDPRDVMRSAELR RQANDPLAVEKRASVSRLSRCLWCVPEEPSTYVTVVLADDELTIRNANSV XP_845474.1 MDDSDPPRKSLRGMTPEEVAELLAEYGASGPFDDLLDIQAVSNS VFDYGGRDVFLTGTNAETEAEVENDADGKKPVAADVDHTWPLESVVMHYTDIISSSTA QPLLEEVNKLLGGESSSVSLTVGPVDDGSLRYGTRNVMDDGLGIDDLDMFNLTRSCLR SNDDTELLKKLDGMLEEADRFLASRKKQYIDPMDETFLMERNRAFEEEERLWNPVQRD DDSSDDDDDDDDGSVSLSAIRAIRAFGEERKVIDEERDYEGGETLFSFEYGPTLEEQQ ILLEWDNAVRDCEKRAESVTQLEVDPNYAPKLIRNGGMPSSASALDLDTISTEQDEKK MCIHASPAWEEEQATVLQSIATIEARDSDLEAAASRQLELHHRERQQQLQLPRANHGR LVFESFTKRVDDLLPPGELEVQIRGILAMEGESVDVTAVGERCVSSAERSRKVAGRES FRLICEERETLLMGKEDLKAAQEWRRRLEEQENTLASAIADFIRREETLQRQIVEEEE ISFQQLCGKERDDVAAVTQVQVTRQRQALEADLGRVMEEHEHIRCEIVAREEEVAGEM YQEAQRALQGLRSLRKKTLQKISDSMLGCSNGSMESCGNQNHRILHTRNIATFTTEAS WRRSSETLKACGESLSALKTGLAAQLGIGNSLRIKMLLMERCFGEKWLKEYHQDINDT LDLLKTQLRADDSHSCRVEPPPGSRPATAGSSSSLSTANNHSTPLLGDRDTNLNGHTS NCLNAQLARRLQPLALGGGRLDARDVAQRCHSLSFALEHISDIDFSSLATLTVPCAEA GSTSITSYVKELDLGGNALQQLQLDDALRVFPSLRSLNVNDNKIRDIRCRVAPLRGVS EAQANSVAQSARVTRLDISANALDNVEAVGKLLSYHLRTLLIFSNKVESLLPLAQCTR LECLEASRNLVTSLVELKQLRLLQTIDLSDNRIETIDPIAHQVLLQNLYLSRNKVRDV PPTLSLGFLRQLFLNGNALVALSDESFCWFPLLTVLHVENNNLRDVSGLRHCPRLTTV NLSFNQLQRIEDLLPLTACRMVQVLSVNENPFSARQMDDNSLGKNLDKVRNKLLAWFP HLSELNNEKVLEGHRQISETEEFSSLMPYCVARRLRWRVTEDKPADMWDWPVSVSADH MRQFCGLMSCWGCERGPLDTYADLFKALESDIFLTSMQREQDDLCTIRRRRYHDAVSV EEDLKKRLRDSKQSLNPITQRLSDRVEVRNRRESTKMMEQHLIQMEHVPRVRGNIHIV DALYTQRANAYKEMRAKKFICNWMYGCFLVKKAKMELEELRNSYENVQRRRLEVAART IQPLWRGAVVRSRLKRIMKSNLEDDDDNFKPVSLDFLDDATAEYDGVGAVLQRVLENR GAELNITFDCHLTSFANKDVPPRTNSAGQIRVSNTHKLLDTVKRPDSQPQVERAPPSN GGDDLNEFVSSHFERRQKKIERSRREEMQREFLKDPLRIKRELQRR XP_845475.1 MIKLCRSLRGPCASPTVGASVMPTEQQDTVPAEQPIYLKPSRSK YRKPSFVFPRMRDHLPSYAYRAPSLSTASPMFCITSPSCEYYALRLPLLKAGFKRLHT HLAPKIPSNLLWGRSLMIRPTAPIKTDAGNTGGAVQLTSPHIMGVEGVSSTEALQMVC AHQRFNHFPRTYSTLGCKLGLAQRLHRVKKSLEREGVKRDVFDFFPQTWIFPEQKESL MKAMDQARPTQRFIWKPARGSCGKGIFVCPGGEKNSVHWKRVLEKMERRVLTSPSLVN RSYVVQEYVDDPLLLEGRKMDLRLYVAVTSYDPLTVYLHDEGLVRLAVQQYNEGAPDE ATRCDPVEVSLSHFDPFRDLTNYSIGRKWVKKGKQFGSSEENLEDADLIASEERRDIQ ALHLKKSMEELWNHIDSLCPVAQQRSVSTICATEPPKMRTSDHVWNSIAQVIVKTLLA VKSTMSKGVKSNLSPGSFFELYGFDMMLDSSLKPWLVEVNTLPSLASTSTFDYTVKTN IISDLLNLAMIEPFERPLECLKGVIDEQRLHSMGLCDSLTLEAAESVHRWKGHHRSHY NPTQLGDLEKREEVWLRLQDELAYCRGFRRIFPPLLTSHSTSFPLADVDVLAPHVNLT KADMWALEA XP_845476.1 MQNSYEEILFDDVASDNTPSEGEALTPVNVELVHDEKNNTEEEK EEEEYLMRVPFLAPRRASTFCNTPPSDHRNNSNGLTVCHVAHSVPQDENPVGLPANAA FPMNCIRKGVDEDDKSILQVTLERLFKETPRSNTSTIPICSYLLQSHLGVSSGEFREG QQEAVLAILQGESTLAVFPTGWGKTLCFQVPILVHRLLFQQKLMLWKQKQLENVSLPE YTAPCSRFGVVVSPLLSLMADQVERITKDGSLKAVILSSATSGARDKDLLESLSSPVC NIDLVFLSPEKIVRHRELRQVLKGQVHRIAFICIDEAHCVSQWAYDFRPSFLYVHRVL EDIVLGGDFSPPFLCLTATATPSVIDDLQSTFNIRRTVVVPYQRANLQLEAVSLLSEN VTKDSPPTHSDLREKLLQTVLELPKPMLVYVQTRADADELAKFLSSKLGAAQNGKARK KGDKTRSLLFTSATHEKIQNGTVENITSGVEGRPLVIQSYHAALERHARTKCQNQFMH DRIDVLIATVAFGMGIDKPNIRSVVHASVPSSIESYVQETGRAGRDGKLSICRLLYNP YDFYALRSRLLSSLVSPTEMLSVVTHILSSPVTRFGDKLIVVSVSKISEELMMSEETV ETVLFMMLTLESGVLTACHGTSPTGYRVIRWSTEINTTTSGKRKRDRDVQSGVGSALA QLEALDGVFETCRNNKRMESVVNAANALNMSLNDFNFRLDDLSASGFVSLQKLPTGYV ITVGDRFVEVSSPTARQELATRLWSLYNNRLESLVKSLELTFAVLRCPTHDSVRAGLE WDPRRNLSSEFLLQKEGIPCWQAPRRSLTKVDAVMTLNDFVEKNRTRINGSYEAARAL LGVLPKSLTSRGKYAGELPLALSWYVRSPHFGLLREFDLQLILKLLTPHNLDEKEET XP_845477.1 MNFATSQQVFNADELDRLTVDEVKTHACLAVRQLDDALGRPPFP NPSSPCCRSMAQNLISLLNHLQRRGRVSALDKCEEINMIFPVLCASLRSGSEGRQPCP QLMELLLEGLCYVHGLSSYPLHSTLKDAKEVLPNSPLTLKLFALTGALDVDSMCFFFS LPQTACKTGNEKTKGRRNRTEKVKGTPKKEVPQGSGNGERETSSFLVPKVMIRAALDV VLPQDCVKLLLSLMNGVEKFQHVVGSDAELASRILGVYGDHLIPSLHPMALIPCLDVP VLDVFIDIVQTHGEKMSLLTELVFLENPLTTWAAERLERLLRYCVNRVVRNEDAFGSV LVYVFLYRVLAKWREDALSACSIELEARLWCAVVNASLIVPSTAEKTDRFVKPLSILS QCIRLRHKIDVVRELNLVESGILSPTHAMALLEKLLTLIDSQQTPAAAISCAQPSASG GKKRSEKGKTSQSHQQNCERAEDDICCRCGFILEALCLVIWLSPSSNGSMYEMIKKAI ESIASLLLAVKNSFDGRARPPTATWECFLHALLSTLYIWVPCEGSVENRDGASVTAKL GHKSNTALIAAVQVTHKLQCCGLLALRLPESYTSQQFSLGVYKSLLNVWLGGVPLLEN FYVVNGFPSPTQSIGLVLSFIGQEQMMELVCAVSEDELAASLLASAVERAIDEGELND QLLLSAFLNMQRCLLSSMELRCRGREEQQRREEEAMRVQVFASVQRTEEILKKAAEFE ENLRLRNESREREHAFIQNIREGRLREREERHTRERLLAQENMQKALMTLTEMNVKTK NAKEVEQKERLRLYRIHFGAISRMSSFLESLQLSSARVMTIMNTLRHYISTMTQDALL EYVVTGNREVPGDIHPTDGVGCTIGAKSANVERVPSCESVEDAEHATFGNEKPTFWES VMQLVKSTAEDVENTDDVDNKAKKQSSLTQVATADTALSNGASFHKRVTPILNLFDYS LKRNEVFPNPLPMVEVRTAMRVLPQDTLARTSFTSVYDALLACQERGLCVIRDGSCTL TPLGFRYHVPFHDPNAKLVLQLERRRCEAGAMVARRNMESQGNGECCDAENDSDEVNN KRDLYETDSDADASVVQFCDEII XP_845478.1 MWSKVFTPLLTHRLTPAEKFPQLQLRVGQQRRVTCGRQLSIPLS SFDSHSLDFARREIEPGSVVELRDADHRKLLALAFYEPALLRVDIFHHTPKVVTDLPR ISEDFFVNRVKEAWGRRQSVFRHVRTGSTNAYRVVNGYADGVPSLYVDLFSSSFARVV ATSAGAERIVPAVTELLRQHGVEEVLLDTPHLKDHEKLTLITPTITLPETYMENGASN MWLPRDEYPTTSSNRWLINTAHRRIRAVLRDLCHGKRVLCVNDRGGAAALQAVMTAKS VVFAESDESLLNRVRENLVANHASAVFNTCETTNSPIEELSMRQQDVVFLEHRFDTLS SPEQWQNLLKVMLFRGVCGKGSIVVVAQEVALLGMHDYVASGGGVAASVVRATRSEMF NVFNRVTAEHGLRARLLRFFGPSIDYPTLPAVEAGCYSYAFLLELAS XP_845479.1 MTLLHMLGARAAPMLQARRGHDSVRGLFARRCFRKGDLLLSVPS RNCYFPHASRVPRTLRRWNRSTLFPEATMWLWRLSPDFPQDRVSLTASVSTDENEVVT LTLSPVEASLAVSIALRYFWQSVMKLKTRVGIGNPSLGPVKDRKADLYVSSLPLTEYL SYGLEAPYFSEGGCGSNVHSTIEQIAWNLRDCILLHAPQEEYRFYDAHPSELDSAILT SIYVVRARVLKLKVIRTDDVRTDTVTSAIVPIVDMLNHSASSPACAACVSLPTATVVV RAARDINVGEELTLDYGEHKGKRMFPRSHRNSFTNANELTDEEEDEDYMWESRYLFSR EVAGGEHDHFE XP_845480.1 MRGVRVRVGFRLAAMGFEFKLHQPPCDIAFLSVVDGPALYSSEE GTQTSMKESMIRQIGWQELDLPLEWFFSKRAYHLVRRVSRTMQCTIQYLSYRQQMLET EAKRAEEKLRDMREESDRVFAELAELREIVSMSGVKRQDASPTNRKVAHERWRGHSRI TEQLHTCLYCGNSYPSNSSLNSHMIKRHRRVQHYPLTEEATASPPESSAAIEASNDPL MAEVSQIKHDLAFLREFVTNEQRCNKQNKNDNAMLASTGNAALPVASQPCSPPPDDIN ISLQRKQVEQEMIIKKFQEELHATQLRVAQLEASIRQRNVGSCNFHRHCDVVNTSTAE PKVLNCVSFASPAEVYSLPSVEVLWNNASGSTTPDPYHSSLRQRSCDTGSLKVEQLTL SGNDDASSAFDTSVMLLGTRTQVRCIDKVIH XP_845481.1 MSLSCFLDRSLQGKRPSHIVCLTVGAIFATKVLIYVARDGSIIK RLHAAKWRVVRFFVEPIIKKEVKKSAEGIKMPSKPGEFKAKRLPKEGFSDEEVINLVS EFHQNLDKTFEDGTLSGAVYHGEHSHTKLLNRVVKMFAWSNPLHSDVFGAVRKMEAEV VSMVVHMFNGHLLPDACGTVTSGGTESIVMALKAYRDWGRARRGIERPSVIVGITAHP AFDKGAEYFGINLVKIPVDPITKQVDAKEMEKYIRYDTVAIVGSAPTFPHGVIDPIEE LSEIACRHNVGLHVDCCLGGFIVPFMAKAGLPAPVVDFRLPGVTSISCDTHKYGFAPK GTSTVLYRTQELRSHQFCCVADWPGGMYCSPAVCGSKNGSVIAGAWASMVRLGEEGYV DCCRKIVQTRIRITDALSKLPYIHIIGKPTACVFAFGSNIIDIFVLNEELRSRGWVLN CLQFPSGLQFSVTLLQTVGEVADRFIEDVTEIGGRMFAEYEDATKNGRRHGAVNAKST IYGSQQKVSDRTIIKDVLKEFLNKYYSTEH XP_845482.1 MTEVPGIPVTITCGICFGILHDPVTLSCKHSFCASCVLGRLECL EGRDFICLLCSTKQEHINNETLPKLVDNELRAYVKARVEGLAQQPVCQWCQEVAAAIQ CDECAGAYCMDCNTAVHKNATKRHHTFFPLDDAQETRKLYRCCGVQGHEEYRLEFYCK VCESLCCAYCLLTGPHNGHESISVPEAAAIFRNRAPGEITLMTDKKERLEKKVNQLNV VTTRYFDSLEEVKKSIKERFSQLQMMVSQREKELLEALGSLCDTGHASLNQCRGRVLA KANAINESVVLLQHMKRGCSDYKILENRSYLSYHLSEETPDVEGLGFKFFEVGDFGLP QFKLFLDLRSEEHSKNGIRRDTNLRRCIPHSQVDDDSRPQSGKVLNVCAQDGSMIPLR TGRRFTLTEREGIDLKVLNSVVHVTAQPNEADSLKQIGIRTEQTVEEIARLFPEERGR VTWRVQLGKGFDKSFVGVVERTDTSLVPGGFYWKPTKLGVVDGGIGRIGQSFKELPVC CIGDVLTFTYSVDEGSLGINVNGKYYGNLVTSLHPRISPCFILYPKESLIVSC XP_845483.1 MLKGIRSRAKRDNEPRVLIVGLDNAGKTTVLNALGEDEVPVEGK VSHAAPEGPTQGFNIKTLTRGNKRAKLCDLGGQRALRDYWQDYYSNTDCIMYVVDSSD HRRLEESHAAFVDVLKGIEGAPVLVFANKQDLATAKDAQAIAECLHLHDFRDRKWHIQ GCSAKTGAGLEEGVAWILSTCAP XP_845484.1 MESSVASLMEHLNAVETRRRVVMMKRKEAETRLSFVTEEHQQLE QQRVLSEAREVELKKELDHLLEEQRQAQLQLMSGADTIRQSEVEETLLTEHVQKQVSK SETLVAEWVCHLQELDNLQKLWESDNAATSLQIRINALKEDIVKLHSEKERLEKDVKK ALEASMIRGAGALNPAVVVPGPPTERCEEEEEYAAAVAQLREQLELEQRDLEELGAQN ARQIAVLDREVADLVGRSTELEQWLTDTIGARDQTLSSIELLPTCLKNKLCVSCSSNS XP_845485.1 MQGEENLKGATKCLAASPSEETVDPLAPPSPSSSTTSNRIHSVS EAERFYRSECARIGINVNNAFVKQLRAGSTVFNFDNGYLGERGIIPILTTLQRLSVAS LSMRGCKLTAEDIAQMLQYLGFHETLQKIDLRDVPLSVSSGRKLFALALKNNCLTEIL LDKDTPKYLSIQRQCFSNANMKLVASRCLVCNRAVIYSPDQRVEGQILLALSKALMNR GESYCEVALGVLFRTLLVCCDTNNGVLFICSYECKNKLSDDIVIMIESVLSEHLHGVK GTCPRNTTLQRCLVDNVARLERKRVNSEKLNAEGSTKVVNPLSSASSGATRCFDSSSA DSSDAEEMHRKNHDDADACSVCGNHTLCLSNGAYRFLSQLHDDVTVDCYLRPSALLHL SRVMIKHQRFKPCSQLCVQHLVRFGLYSYGGVQVTNSMTGISLLSSLDIPLTQLSNDD FSIINFASAYIDDAAGEDTCCALTVASAMTDIEGVAIDPYMIYAVGRFLAKIPPTSLG MELRHACEVALMVGCLPVESAPFNRRKERPTRDFYYLWEKWCKVADMETLVRAAFSRR RQGLFIVDGPHSNLFDNTRAVLWAFRKMRRSILIVMRFCVEWLALPNGVIPNESPMGR SFHTTLKVVGQTNIHNTIYVICQSNFGENVGNRGFFYVPRSVFNLYVSSDACIFVDSN VFALSNGEKISLHASRYLPKKVIGIVENCQVLHEAFNYMSDICLSTDGGGLEGSRVFS EAIRFPPALLFFAYRTGECHISQSQWKLLRTLRQLCLTESTRSLVLFYLSEVLGPNAV EWVARLLHDLVNLPPYPARLQMGSGTATREHVRERSGDIEWNQVMGLLSESTTMLTLS SSLPVDRVEKKRLTKRVSRPANRKKDAPIITQDPFHEDLLGMWSFMRKEAESQRKSGG RSKPNGGRLVLPLSAQMEKGITARALAQEPEVVPPSLIGETWHSICLCTKDGNLTEYA LFFIKDHVCCFNVENRSMNIPLQPMSKTLGLNSFPFMGGFDCAFNSPVNPRTAYFFCG EEWLEWDSYRQRCSGGLFKLRCHKQFRSLPEKFLSGINAAVPVANTPLVFFFCQLEYV VFNITERCLVGGPMRVGDHGKGNAGETIFGPSLSKVFPHGPMATMLMWEKSGETEESQ EQEDNNNNNWASMGSIRSTREESLVGTTAKISANNNNGDGKGSSITHEKEMVVMLIGR CGRVATVKNFIYSPDAKCEVRFETIPSSVLSRLPMAFRQNTMTALGSICRTVLETECS VHDVILCDAHRSVHRECTVTSTLTQSAECAENLLSVVLPHNFTTVAPLLCESAVFVQT EESLLGEPSQTIEVRYDPMNPCAFGALVIVLNLSCIEPRVLQVAPVEVLLESSDDGIA YVRHAHFFITSSITPVCWGVSHVGCVWRVRFVSKLPVGTGVVRLLLYEVMHVVGTIPV DPTVALPPLESTLVTIDAPCLLSPARDILNCLTPGAVFTTSTSAGWFERHCILPLLPN GDFCLLFCGPHFVELDCSNGVVVGHRAVPIAAHPAFDTLPAPFLRGVNAAFYPNVRTP NIVAFISGSHVILWDIEKGAFASGGGGVQSAATMFKDLPWKMEEVENIVQIWSQPEEV FVIKSPHVLRWNIVTSELVEGPMRLSNSTYFRHPAFEGKKILCAASFPKYPTRFYTFC EDLVAALDVETQGITNLTEAKPVSQSEVFFPVTWYLRWGIRRHDCVINLDFKNYQKLL VGVTMQSSEPCDDNWVVECSDDGVLWQTVGRHHQITSRCRTIWGSERVGHHSRRFWRF SLECEEVDSRHEYPVLYFHMLLLTVSSVSSRVLPVRVACSGTLNGPMSSLFVDDKVTV AFENPVDESGATKVVRQHLIVDYGEREPSDVMEFSCICVGKPRPVMWIISCSSNGEQW EVCGTWHSHDHYFRAAWHPRGPRRFWSVELEAREQSTIYENLTFFEYTGPSVYLEDSL YLSCLLDPFVLCEVGSSGDTVANVVVPAEVGSSIVLDSKQDATQVVGVCMICHENKSP YTAFVVECSSDAVEWRGVGVTFNLRGNLAQAAWDVSDCCQYWRLRVTQRVGTSPLRIC SIRFDTPKPTLYHHVDSKGDIAAGSSGKDLNLRGNCTEVVAVEAELPPLSSFVVEKRS VDGSSWQAVATLRNDDSADSRKVRQGWKPRGMSSHWRLRPVLAEDNLEETCFSSKSGP FISWKKECDVQAEWYSFIGKAFVRYDFSKAPDVKMAVEKFDEVRLPIFLSDNNAKTVV LRSRGMETEASVSWFFDSDTSPHFKQVFVNGRVETNPDAEAELEKLAALEVTSASSPR APKKTGKKISKASTAQQEEIVKAIEMEEEVLVIVVETSNNGVDYVPVAERPFSPKGNS LSFFVDTPTSYWRVRFKGIPKASFLELFEVFWSLEKGARTKLSSLLRCPLSDSMYETW KNAAYSHEDEFCQQCRAGYDQARSVVSGLREPEDIEHHGRIAQYFMRFKSEYIAFQSK VFRNASKAKNLPDNKYISTERRSGNDFMYLLHAVAERYSCGVDKLNMLIANPMLVSRD FSIEKESVSWFYPKIVEGGTLAESFYGFHNARAFVIILWSMSLHVQRNTDLLVTKKIN ALNEHVASVMVLVEIKEINWLAIEHFPRLPLLYHVGFSGQPVLIAFTLNTLVFTPRYR LTFPGCSPLPLNHQMQLFPGVNFIRRTSLATCPCPIFDKLLLLYTLQFLENTKTEIIL SAPTMDSPNATVRFTLPGNDINFGLRGLVIGYMVFEIELCMKATNVDPSEMALPVTEH KRINFVSYDTQFDSGEGTEPIIELTLAGSIGYPDEQILISGMSPSARYDIGDVFPDAF ISDLSINFNAVLGHQPQSFLQPTVNLSGTLVVPACGSFVCRLQRVALNRNFESLSMEV SEMPLASLVTLSDKLVGSLQKGVDVSWMEGIPMYFSLELQLEYVERRLTGRGTFTLDG IEGDVLVTLSTQGFGATGNFNTVRIGAVCLQGKDERHQVLVNVASLANGHLSVRLDGY SYLFAPRPYPTRVEISQRGVVCISTGVFYDVCIEEDSIFPSKKYANVLLSKDLFSKCL EEELREIPLITALLAKGVEFSFVVDDVFAPQCMLERQLVFITVRGVLMGCYFDVTVPV TQPDNTVEEAKKISKELLPRVIEQCDMPLWALYHMFVGCVERCGSAASGGEGGDADDN NSDGTNLSVEGSASRPQRGDTSRRQCDGVFLSRWIQQERGVFEEGL XP_845486.1 MEHLPTIDSRVETLRLVFGSFLRLIIYSVTYYTGRGLFSQYVLR RRGSVSQSKQSMISYVASQGLEIGVSVIICPVRYLAAVTTPRFLFDYTLTGWSEILRT LDLFSPGRFASYAVYAFSSTSEWDLDFFVWQMPSVALTLAKLWYRRRHLGTKKCCTTR VLLMLPLQILLRAYLSSFSVMIPECGEELLEAIVSAGLEAGVSAYIIRNTSPFVEENN KLRLVGTLKHHSIGSQAGEREDANKKQE XP_845487.1 MSSNCRTEEFNLERKELKIRFRSSGYVVLTDGAATIFGAPLKKN TRYDFSMCSIPVVSPVACRLHVGGDFTSVVTYIRTDVYDIHAVLDFARHEASKRGVPS LNDTNPGGTSSNSLKEGPWGPRVLVVGDVNTGKSSLCRSLANMAVASQVHGVALVDVD VGQQGITCPGSVATAFVDNYLPIDEGFNTVMPLTAFFGDKTVNASTRGRYLDLCASLA RGIISFSLATPKFAAGGVIVNTMGWVTDMGLDLLFQLLSVFSITHVVVCGSGNKLTET LRNAVIDEKIIFLKYPKQTGVFKRKGNVRDSWRAEQIVSYFQGTKRTPLLSYRAVCYV KDVHFIHALKLEPLSWKDVEPLSLAAVSWTDSLEAVNDINVAGFIVLLEVGETFFSFL SPVAGTLPKPFILVSPTIRLPRDKVPPLQAP XP_845488.1 MCIPSFFTCLFGVFACLKWCMLEGNVLGDTGTSIQTLLSCLAGF FLGFILPGVVAQLGVWQMKTRKQKPLPPRIDRASEALKELLKKERAATLSKLGQQSAD ESQEQCLHSDSSDSAESSEGDEEETSYSSGSSGFERMEELGLKMVLVIRCDGKKTPAS EVATHAAGAAMHLAQTIQSDGACVQWKRWYLWWNMVGCAKIALKGPDVETLRRVLVSA QERGLPCCSRSRGDAPGVPVGNPPSAVRDIEEDLVVVAVGPVPSSEVNPITGNLKLFP XP_845489.1 MQEPEVASFSLLSPSVNTAELFPMFTICNCISDAAESANGPRYC AKDKYTKCMYELRCLPLAQKEPRKIAPSSTDRGARTEEDTNTNDAFLLAQQERLEALV SASHRRDLPLSIALPVDAYVRQLPSSGERFVVSLSAFTGLSLGDVIRSGWRLIEEADF EDILSCVEEYGSVCMQLPPHCNLSFSSILRQLNVRTGAAETNHRSRWVIGDWLLAPHS GEQRQPEEVVSDLEWLLHSTFSKLNVLCEADGTVLREDVLESRINDTIERIRLGLATG VWCRSRALVVNSQIYNSSVCSSPLAAEEEPSPHVESTDAPTHLVDLVSKHQQSLRREQ RIIHNSRKQKVVSASGSGLRSSSSGKSVEGLSVSVSSARRANDQDLEDSERPMYFPDF GNSVTASEALTKISPTPGRGWQSPEMQENKLCVLTRLAELIDTASQRDAENRRNEDVA SKIHRDALYSMLLRSSFGLARRWKSSNGVRSPSSGSQPLLSSRYPAASTSAGEKATLK AVRGSAGNGGPAAVPSFIEATPPLAKCSSPRTRQVVPRFSSPTFPKDLPPSQKQAPHS ASRIASVINNVSPNKPRQRGHQLSNTAVNTVTSHNTNGANKRVESSVTQSVRTFATAT SARERPSFLRPVRPVATPRAAPACKPPAINLKKAASGRRTFVPTPAQTARSNKPTQMG NSRLNGFERISANAPGRVSNSRRTRSCGGTVRTRVS XP_845490.1 MSHTGCPDLEEAIDEEEADLQPFSLLNVGDEKLTAAAETSFDES VWGTVKENFSIEEIMNGTGEKLDGKHADNDEGREEEWDDKTQLYIPESAFTFSRRKRP KLPRLPVESLPAGSSVWIVDEYDVCHLLREYWSESHRVVCNGRSRGTVVRHSGKMTLV AFRNDHAELDYALTFPRICLSTEPIEAYEESGSYSSRSGPLLRVFGNFASQPGCCQDG PNNCEVLRCVSQYYESLYILEVQSPRLVEIRDHIVGGRGEEALHLLETFENESSVTVS SLILRSRAKVSLGRYEEAMADAQRIIALEPLWVKGYLCAARALSGMGKFKEACDNVKC ALRMLPNSQELHAVEELNSFMLSLQGTLPVDSLGLYIDGQYRKQLVARRYFRASEIVY AEASIILAMESPWSEPSDRCCVCLKPASSLTPVSAECSPLPGKRIALFCSEECRQRSS LFFSIEYGRHRAAVDRARDLIGVNSAPGEKRTLFDLTYMATRLFLMVYVTYGRLRAQQ DNSREDEQQDSVSSYEGFSEPRVSGNDGVLPLQSALQQLGVFPLVNADPQGNINKKVY MVYDNLTTFFTKDEKEMFSLHLFYALYEYVSVFAVGVKGSNCENGSVLYYLPQLLGAV RRVSLNEANCKVVVDETSSSVKLVALRDIGANEDLSIPSWDVAPHL XP_845491.1 MRSSFFLLSKDPFAVLGLARTATKAEVKMRYRELARLHHPDSGT GDSEKMERINKAYNFLLKEGAYEQLRSKLVGQKPNAETRWPSPISTEQLQQSEEHVSA TLSEEECAKLSALDPSTERVTPTGKYIYQNRDDGKWVELDRPLVRAQQPRYASFSAKS EMTEELRRRSLDLEKEDNAKTVFQRGVDRLSDSGDLPSRSPTFLRLYLVLALVSIYFM YQRAFAWGKHHRNRTWFYESLVEKREELMETYMRHRKVVETSVVAAAIVLIAASEGKV ESDPVVPVKPGRHFRTVQPPKDHFSIVAGG XP_845492.1 MLARRVCAPMCLASAPFARWQSSKVTGDVIGIDLGTTYSCVAVM EGDRPRVLENTEGFRTTPSVVAFKGQEKLVGLAAKRQAITNPQSTFFAVKRLIGRRFD DEHIQHDIKNVPYKIIRSNNGDAWVQDGNGKQYSPSQVGAFVLEKMKETAENFLGRKV SNAVVTCPAYFNDAQRQATKDAGTIAGLNVIRVVNEPTAAALAYGLDKTKDSLIAVYD LGGGTFDISVLEIAGGVFEVKATNGDTHLGGEDFDLCLSDHILEEFRKTSGIDLSKER MALQRIREAAEKAKCELSTTMETEVNLPFITANQDGAQHVQMMVSRSKFESLADKLVQ RSLGPCKQCIKDAAVDLKEISEVVLVGGMTRMPKVVEAVKQFFGREPFRGVNPDEAVA LGAATLGGVLRGDVKGLVLLDVTPLSLGIETLGGVFTRMIPKNTTIPTKKSQTFSTAA DNQTQVGIKVFQGEREMASDNQMMGQFDLVGIPPAPRGVPQIEVTFDIDANGICHVTA KDKATGKTQNITITAHGGLTKEQIENMIRDSEMHAEADRVKRELVEVRNNAETQANTA ERQLTEWKYVTDAEKENVRTLLAELRKVMENPNVTKDELSASTDKLQKAVMECGRTEY QQAAAANSGSSGSSSTEGQGEQQQQQASGEKKE XP_845493.1 MLARRVCAPMCLASAPFARWQSSKVTGDVIGIDLGTTYSCVAVM EGDRPRVLENTEGFRTTPSVVAFKGQEKLVGLAAKRQAITNPQSTFFAVKRLIGRRFD DEHIQHDIKNVPYKIIRSNNGDAWVQDGNGKQYSPSQVGAFVLEKMKETAENFLGRKV SNAVVTCPAYFNDAQRQATKDAGTIAGLNVIRVVNEPTAAALAYGLDKTKDSLIAVYD LGGGTFDISVLEIAGGVFEVKATNGDTHLGGEDFDLCLSDHILEEFRKTSGIDLSKER MALQRIREAAEKAKCELSTTMETEVNLPFITANQDGAQHVQMMVSRSKFESLADKLVQ RSLGPCKQCIKDAAVDLKEISEVVLVGGMTRMPKVVEAVKQFFGREPFRGVNPDEAVA LGAATLGGVLRGDVKGLVLLDVTPLSLGIETLGGVFTRMIPKNTTIPTKKSQTFSTAA DNQTQVGIKVFQGEREMASDNQMMGQFDLVGIPPAPRGVPQIEVTFDIDANGICHVTA KDKATGKTQNITITAHGGLTKEQIENMIRDSEMHAEADRVKRELVEVRNNAETQANTA ERQLTEWKYVTDAEKENVRTLLAELRKVMENPNVTKDELSASTDKLQKAVMECGRTEY QQAAAANSGSSGSSSTEGQGEQQQQQASGEKKE XP_845494.1 MKLERSSCFEVQGCISCLTQASNEDACLASTMMNGAHIFHMGDL QHAQCGARQAVTHVETFPHGNFGEGAVISSSFVSYDSCFATGSSSGDIVLVSRHSKNV VQHYSFQNKAAVLSLKEVPNEPSLLLACSPTDAQIIDLETSTARINLHIPKPCVVGGT ALTQNTLVVAAYDGKAMLYDVRRGHEPVVILAVPDQIISITSSPDTGAVATGTVSGRV FVLRCLEGNVREEAFSTGKERAPIRSVAMRNGYIAAGDTSAKLTCLDLKEDPKPTRYW DLHSLLTNESGPPSSGAEKLSSVTVPDTESWSLPAVMLQQSDLWAALSSTKYGVSHIL AIPI XP_845495.1 MLIVKTEGDIPYIRLPRGKETKYDEPAQRQEVAGYLTFKRIIRT TICATVFAVPFCVSAPSSPLLKLSQTQDTKKTLIPRMMSDFFYVNFIASWLSYYYIIE PGVINEKAMHGFMPWLGPISAQVPGLSCLLASHLFYPGMWALFNERTWGERIREFVRL NTKCALAYSPIHLPAAVAIGSIIGIVFYPFKFFKKRSCGRAPLDKEHC XP_845496.1 MNVNSCGNIDDVCDHDDQSFDVAHFGMAGQPEGMSTILTIFTTV SARDAMKELHDDVEKASEILDLPEEAAFLVLRHYNWKLDDDTLENYMNSQSSINCQLN ITDYSTTGITYGVGQGPPLVKNTEPIVCPVCEESVSVGDGVALARCHHFFCAECLRRN LVYAVNKSQDLLERRCPKQGCCSLVTMSALELLLPPQELKRAQQRFLTEYLSNHPSMR CCPNELPCEGIVRVAVPRRSGPDVCCARCDLQFCFKCTGKPHAPATCEMLEKWRKLIK EYEPSLVYIQSNTKECPNCHVPIEKDKGCNHMTCTRCRHEYCWVCLGPWVQHNNMYYQ CQRGESVGEVTAEKIFLSYYTRWTNHKRSLVLEEQSLGKGLERARELAQLRDRKESFD RTLTVLQEAQRILRDCRGVLMNACVSLFFTKSVDETFHYRVRQLELCTEETSELIDVD PKLLDTDKIQRRAGQATHWCKVLRMEEVD XP_845497.1 MGAKARRPNHREGRRGSNNENNHHDGSGGGAGGDARLKIPNQRG EHMRHNDMKSTTSVLSGAKVADVNCGSEGSKSFVAVSLNVRSDSCLPSNEARLSPHSL KELGIISGAVLCVEGDGSVRAFVEAFCSSSCASQTIMLADEVAAAFSGKQVTVSVAKD ICPLIPLSSLVLEITKEARIAVGADGLTSSTDIPFLQVCSAFRRRYQRRLVFRGMRAA LHVGTVPYYVDVLECCCKSVAEGCVEGDTDVRQIDLGILIDGSKIRYIGRGTVCETEL FDGEPVPVGVEKKQSHLLVLGDTGTGKSYFLRREAHEAATLHSRQVETVSVEVLSQGN MCDISSSTVLREVFVRAKAGAPSTVIIDDLHLVCATGDNGVVESGWAKGLLASTLASE LDDIRIRQLDVRVVGSAASVESLPRSLLTYDRFGEQVKLLAPGSAEERREFLQNRLKT FGGQAKVTASFVSLAAESTNGFTQRDLVRLLDVASIEAFKETGKTEITDSSITEAIKI VPPSALRQFQVSIPSVKWSDIGGSEVAKKTLQDCVAWCLGKQQWVFRKFNLSPPKGVL LYGPPGCSKTMLAKALANESKMNFVSVKGPEVFSKWVGDSEKAVRDIFARARAAAPCV VFIDELDGMCGHRGRGGVADRVISQFLTELDGLPAALNEGTDALVFVAATNRPDNIDP AVLRPGRIDRKVYVGLPDINERKMIASIQFRNIPLSPELDADYVAARTEGYTGAEVVA VIKEAAFQCVTAGVKSPYISTENVDAALQKVRPRVSPMDVEWYKRWALGQSVSMK XP_845498.1 MLARRVCAPMCLASAPFARWQSSKVTGDVIGIDLGTTYSCVAVM EGDRPRVLENTEGFRTTPSVVAFKGQEKLVGLAAKRQAITNPQSTFFAVKRLIGRRFD DEHIQHDIKNVPYKIIRSNNGDAWVQDGNGKQYSPSQVGAFVLEKMKETAENFLGRKV SNAVVTCPAYFNDAQRQATKDAGTIAGLNVIRVVNEPTAAALAYGLDKTKDSLIAVYD LGGGTFDISVLEIAGGVFEVKATNGDTHLGGEDFDLCLSDHILEEFRKTSGIDLSKER MALQRIREAAEKAKCELSTTMETEVNLPFITANQDGAQHVQMMVSRSKFESLADKLVQ RSLGPCKQCIKDAAVDLKEISEVVLVGGMTRMPKVVEAVKQFFGREPFRGVNPDEAVA LGAATLGGVLRGDVKGLVLLDVTPLSLGIETLGGVFTRMIPKNTTIPTKKSQTFSTAA DNQTQVGIKVFQGEREMASDNQMMGQFDLVGIPPAPRGVPQIEVTFDIDANGICHVTA KDKATGKTQNITITAHGGLTKEQIENMIRDSEMHAEADRVKRELVEVRNNAETQANTA ERQLTEWKYVTDAEKENVRTLLAELRKVMENPNVTKDELSASTDKLQKAVMECGRTEY QQAAAANSGSSGSSSTEGQGEQQQQQASGEKKE XP_845499.1 MVNSVFFSFSQHKHVQLVICLNACLFFSAFYICISLALFGNIHR ILEAPGVALVRMFGPVDDAERVANSGSQQHGRQASISSPVPSGYAQPSDRREQFTPVS FGGSQANIGSLGTPNMFRKMRRPSSSTSSRRSTLRSTPACTVSHEQAIDTTNVVLVAT RPLLKTLARTCRPHPPWCIGMSVPDTVTEEVRLFSSFLRPTALELYCYEAVVTSVTAM LRELWPTAELHPMSTTAAGLPPLKDMTLHFYAKNTVVTPDAKQYTQMHLQSITNNAGF QLEFCYDYRNVMCLLLTDSRSGLRMNVRYGAEACRVEVASRLFASAVASNEACRQTFL LLDALLRQNKILDDTGTNPEALNSEAVATMLVAIFNSYDANDTPDTGRLLMDFFLTYG FCANFDMFSHSVTARGLREPTSKVHPNAQISVLDPSNEGHNLTYRLEKAAHLQAVFNY CYTAISQFAQVEERQLRAQSVLSTIIGGESYWSRVLQLYHEGISPYFEVVNEKKIFLI RAL XP_845500.1 MESSVYSGTMPVKGVLYMTSPCMPSAALNSGMHLPTLPYNPLLQ SKRGTAAWPANPNNLGVLQGGLKDTKSALNRQKTAEGADTGTNDAAAASGNTGSRKGI PIKEPVRGRTGRKIVQPLPGESSSNRCSPRKPVQGSVESFKSLKSMLSKSTDTKWGAA TTSFLETGGGMAQTMNSFMSSGRDPPPLATTLAQYLQKEVSVAVDEGGVCTAQSRLRP FREALFVLIDAFPAYSQILNDIMSAYDGVIQEQAAMLIESMNERQRQERVVAQRGMEV QELNSTITRLTAELKEVRDELEDDGDDEVTEEGDTAPIVVPQPGRRSLKGFKKMERNL LRANATIQKLEETNKDHLEKVLVLIAALRESDKRAKKVEKEYQDLVSKVDELSEFKIM AGEAQSELEEFKEKYSQFISITDHNIVKEQLMAELQAAQAAGRHNRRAAAVRGMQVDV MGRKFKAIKEENAQLLNADIQRDVMTPRPKWDEVHEKVPALKEYVKSVRALPLEGDEA ITSVVPGMTETVLQVDFLVEQIHSLSNEVDRRAMVPMKVETPHPPMIGRCQNKNNPVH LCAAGVVPYVELDQMTVLSIVHDFFSSTLVEHPDVLLPTIDVPKIYLHYLNSVIETRP GLEKFTQPEHLAINMDYIARSRERCRPSLKLLTGILDGIYPSRLACDVIAIIENVRTE LKELATAQKRSRLRRTAVADCIAPVLQLKTEKDVALLKEALGVDTTHDVGGLISLSGK FMSTFFDQECASAILFYCNLIERLTLYSERVDQEGGVNVITYDNVNKAIMEVEPLTPR PVLRELSEKSMSKSTFADIHAARLRDIISVLGSAPVIRRSPLQPEGCTL XP_845501.1 MPLENNSNGGRIETNVVCVARSGEDIEKGFELLDERKVQLLYAR FPIGKGTFKRNKFISVMFIGPECGNVKRGRCLTYMNSFRDKLKGSAGITVTEKSSLSL HHLVERMRTVFVSDLGNFSIAQIIKEFQQRIQEQEGSFYFSFPPHLGSVELSSVSRGS RGSVDMEYGDGDDGMTSTDSLMMEHSVPFSTCVVSGTVERVLNAVRNRLGSLNWVTFA ANPVELCLRNAGNGGVYELVRNLPHTLWLFGLFRITLCVKGHRMTRVVSFQWIGDQLG AVPRDSYSKVSPIIESILSPFQHEVYLVGAQDLNPNDIIERCYRAFEYQMQEETLEPV DFSNYGGFINCSALQEAKKELRHVGNDATTPEKHLKAKLGVMYRTILEEERDQMDQPL VFTRVGKVREGKLRIREITGNSATTLKHEEGAYDAEETLKLINRNEGGLIWGIFEVR XP_845502.1 MSCFLCNQLKGLTPWEVLSWHRPTATGSLFAVLLSTILFFWYMK YTVVTFLCRLLQVLFAAMPLMGFMKWGTYTNDDIQMMVDRFADCFAPYAVLVLQKIYD LVTWRDRKQSGAIAALTVVLAIVGNYFSDMAVLGLIVTLLFTAPVVYEKNKEVIDNAT ADIMAMAEEHLGALRTKVDQLTKKNN XP_845503.1 MPLFCSSTTFMLKVRRAAVKTWKCFAFIAFVSSSMRYISCGFTA DDNTKSKSCPFDALGISNNSDMTTVKAAYRSKCQTEHPDVGGTEEGFRRIRWAYESCC EKLVKTGPRSNSEFDGSSHESSSKQKDDEGDYTHSYYRYKSKDERYHEQRQMFYYLFS SVETRNDIDNLLSKALRSHCFDAIDVSEPLRLSLRNYHRVTGYGEHHLTSCFAAMEHW EEYTQRRADVTQYHIMLILYTDGPKPGLTAQLISEGVEAIMEQMCVKGIEYDDWSLTL AHKAYRVCPYPST XP_845504.1 MDVCGLSHANCIDFVASVPFSISSCSASGWVCDEPSQQQFPVSA KQLIDEEEKVKYRATLWALFPNRSYDICLTLNGDSDEHLSDARGTSPLIRSESTKYCF SYNTLPLGCGIRDPVSFIYDATILCRSDFLDCLLAQPKDYMFIYLSKHCLKELIARLF YQTLFPLPLSQSLGLCSLPNYRQGRYCLDLEREEYPWLRNKKVKRLVSSGLYSVAVNR DLSNSLRTAQEYHLQRCGSTWLNDNFIEALTDMGDDKQLNVGILAVELIEESTGTAVA GCLGFGIGSVFHDFTMFTCQRTTESYGTIITKVMGAALQACGYNMWYWGDKIEYMKQY EGSYGARFLGREEFLRRWALYRDKKPKYFVGDFLRAGKGLLRSWSAALSDSNAVVRC XP_845505.1 MASDPSDGDNVLFNTEVDPELELQEMQRQVNDLQEDLRLKTLQE TAAQDEGQRKTTGVVASKNTSVFVGGMDPRTTESDLRVFFSACGTIKRLTMLRDKFTG QQKGTAYIEFETVEQAAAAIVKNGQSLHGKPLTVAMKRDNIPAFQRGRGGGAGGGFPR GGFRGGGANAMQQQMAMAATMMATMMGGPAGMNFSPYRGGVRGRGRGRGRGGPY XP_845506.1 MTIHESWPTFHDGIISVATSNVSFRGPPPNVSTTDSTVTYRAIR SSDTYPDASHSGSTYSRSYTLADDGAPPPPTQLTVVCRETPRKLRPGWNTNRAATEVA LKEQLPLITTMKKNKYYYLCKGESAGRVTKRSPLDRYIIQHSTGYKNHQYRARLLRVE GMSALMESFERMKLTAARQDWKAGSKNSDNVESVRVIFDALADNQTNSINADVVHDHL LLCTPTGVSEREASDFLTESCDGKTDLTFQDFLTYGEVLRDRIFAYRKFASLTAEEKI LCIATRVLPEPLPNSAMKLTQHIMKAAAAQAGGKQWRSALPMRIYEIRFIDSLTIKFD TDEKPYVACTDGDFTTLGMTSHKQNVCCRGDQVGGDTPLRWRTRAEPIVLQPTECVKE NEIVGTDLQTTTQYVATRERNEEKSPKAKVTAAEAKRRLMSRKEPPKSRVMGGHNHSS CPLSRGFLSGTSCGVPIIKLSLLASTSVEYERSSWGDELCAKLKEIYGSK XP_845507.1 MLPDPPLKKHRVEPSVDLRPWIEKYRPKSLDEVKSQEEVVQALR STLRQGASMPHFLFHGPPGTGKTTAILAVAHELFGPDYIKSRVRELNASDDRGIQVIR EKVKSFAQTAVGNVVQKVQSDGKIYPVPPFKVIILDEADALLPDAQAALRRMMEDFSD VTRFCILCNYVTRIIDPIASRCAKYRFKPLIKEALYERISEVASRENIQISRSSIDAL DHVSGGDLRLAIMYLQYAQRANGNDLQKEDFVEVSGSVPASMMQTYLAALMMKSFDEV RSVTKRLVQQGYPACQILAQLQDYIVSAACPLNSAQRGSIALKLCDIEKRLSDGCDDF VQLLELGSFICSV XP_845508.1 MQGVRREEMDINVLLQRQMELHGTLRRPPHTPAQLQETHETLKE LSSFFEVVRRTAQTLRVQPETVFQCLMQIGLGSVVSFDRQRRDGEVPMHQAKVLARAS ALELEPRLRDVLPVETLSEMVELSKSTRTLMRIKVEDLNDFNITEKALQQAVEYLDFI KAASSGSPDMMFKFYMVEDEASLVAMLGCSGSSASRGLSESAGKCSVAGADGESIDRY LPDEANIEQEVRRLALVCGATSEGAGERLIALRTLENWLSAMPDDYFNRNIRKVISHL SGPLSVCAGEKRSAICRQACAIIVIVAERASTSLFSEGPLVVAAGKWCSVLLRGVFVT VSAIAHASDTAVRALAIGSGGHTAVVKSAVEGLVGGTHPELRRKCLGYIALCTVVSRG GKCRKAGNNSAEVAAHSPDDLTRLAEQYMSKGDTSCRRMARALYIVLKHFNGSSIAVS DKKIELLVQQEYAELKPLLDNVKAFESALFGSSTQQRNSVTSTTTVVPSLNAVCTRSA RSSMSVSAPNSGGSPQTTAHQNEEAEGETLAIHDTTDVILVSNGQLESSQTGPSEDDE TQNYNRSSLASTATPGLRQARHQPSPLALKFKTTESRETNSVKFTPSLQRKIDSFCAR SAS XP_845509.1 MSFDMEVLREALLRAQYSRRTIPQLSDFPTCVSEEEVQQIYLPF LADEESQVRKGLAVGSVEIIGYRRSEELTIAGDCIAAALPVASILSNAPYLL XP_845510.1 MSAIPPPHYVRLRRYNLSIFVHCDVHNDTVQAIKERYGKLTGHI FYNARLYLGQQSLDDFLTLYGCGIEAEGAELVVVHSKGAKGDGTSEHIWETVEEAMAA PQGDSLNSPEVTAEGTAKLDSMEAAATSNEVGNQQQQQAADARGGTGAELNVGFVGV XP_845511.1 MSRNAFSSRGALLFSSAPLQCQRRWVTRLYTSYYTGVLYPNQLV QPKQRLPADVSVSAILQKRSELEAAAKRGSNKGNKVDVSKGEKTPGVSWDDADSTDVM RVDNTQQLKEAPQVERPYVPLGEVAKLELQGDYYMEGGMFQEALEHYGVVAKAYNYAY PENHAQRIGIRIKLSAAFRQTGRLESSLANIEEVLRMLDASTRPSLELICEALLELGI TREALGMKREATEAYEEALEVVNSFHNWGESHRMLRLLPRLGRRFNYNFEEKFVYFSP FDYDRTFALVDQCLERAETTFNEIGDVEGAIRVLQQRKEMIDKKFFNMRDFAGRIHTM RGHWKRRAQHLTNAPTPDELLRYSPTIHQVHRDFKFELTAPIGREKEVMPGVNRLVLD MGNPYRRRGRLSNKMLKDADHKFANYVRQKEYNE XP_845512.1 MPGHGKGHQVSGVCLLLIAFQFFLTLAVSGSDHVVVPMCGEVRG FAGESNHLLRIGVPKPPGDGEAIVLIVRPFPMNTEGVSERLAQINATYRLREGATATS VSDDAGILLLRNVEAGTNIDVEIRRVRPDGPVPFRFWSYHANNPSCFISVSPHTSFIS PIPTSLGQPVLPAKIYFQAVPMEKTKAVMLRLADGPLDGGKPQHFHLLKMPEAQDVGT HESSKVMPWNSSVLYFSFVPAISANKVMSLSVGVVWTADDDGGSGSGQASDPNATDGS GNSKDKKGHSASFYFFLITSLFAVYMVIMSVVNYRLKGITQFPEMVPHIDTFRTGGQF ISQVAENFRQGNMRGDYNDVGGKNAPAGNV XP_845513.1 MEDPRDNPNPRNSRSDHSGNRLSSLRRHYHSDSEESDHFFPEHL QVIELEPTSFITEKDAPLVTEVFESCDSSEDVTLEAPVGVEVELSGPGVLRGVRIPTG KVKEKLDSKNKQISRLKSVRNGEPPKTNMKLNERGIMSTKRNKPVMRTRELNSISDEE YRR XP_845514.1 MTRHENVEHGVVLKWMRGGNLKVLFSLLLNIVSSVGVIIVNKRL VYNEAGFHFVTLLTVMHFIASFFGCLMLSLFGFFEIKRLHIAQVLTISAAFCGYVVFN NFSLLANTVSVYQTSKILCTPLIVLIEYAAYNKQETKETLLAIFITCLGSGITVCADT RLTVEGTIWALLAILANSLYTIWGNTKQKDLGVNAAQLLIYQAPVSSLMLLFAVPIDG LTELRSYEVTPTSVWTIALSCILAFGVNLSFFLLVGQTSPLTTNIVGYLKTVLVFIGG FVFISSEADTKTLLGVTVTLVGLGCYTATKVRALSSPSSAKESRLT XP_845515.1 MSLFTVHCNFTAQEDVELSVRKGEIVTAIDEDTHDGWVQVEVVG DKERVGFVPLSHLTPSVAQVGGDSRLQSSAVGATSLGNCSFLVDESPKVCNSAKQSKL DCSYMLNKHNSHSMIGNNYDSVGTVGGSSYVNAMELDVVANTHVRSLSDTDQATRRAQ ISGKTLGTNLQSATDPSTNSLLYDTGAVVESFMKNELHLKQLARRRQDELAKMRSALE EAKNDVGVCREKKEKLAVKLRDLDLSMDRMRKRWKNMLEQEKDHILRSMTSSGIDH XP_845516.1 MIIDHERRAIDFSDNTTFVLDSNVLQRLRDAICSNDFYCNLVFD HNTFTDDAIGILAAILKGSNPIESLSLRSCSLKDLDIIHLAHAICTRKCLVHLDLSKN PGITSASSPEIGRIIQGVPTIKSIHLVGTGILEKNSSHLISALEGCFSLQVLELPFSV GYRVLDRTRELLKKNKTLSDVSDGLPEKAGRILMSQDSSEVGFFRQIRSHARSLKPQI IPSLATSDTESKRASNGYLASFPHLQQWVDPALMNAAMYIKVLDKRCNMLDEHLSEKR NRILARRGQTR XP_845517.1 MSDHVVFGKPVILTEEKLKDVIQSKMKQRALKEALDKQVAQVKK RKEEAGIGKKLANGVRNTVKEQTYNMNFEDNQIKIVPQGDPDDFIVKSTGGAQQGPSN GIRNGVTALRPVYQSNSLPPNFSMSTSEGNPLAPQNEYNTKSLPVGLTSRPPKSNGAP NLLGTPNVRERIGLGASPHCSPLVADSPKTFDSNCLPRNFNVDEVKAQLQAQQPKQPS PQRQLKQAPPLHMGLVKPPVSGGRKNFAQSPKRPGGHLPPLEIVEGFPGRRPVESTQS SGRAIESNAQELLGTPLLNGVPGRSGKARNVAGPRGRSNEARGRAGPAPVGPRSTQAR MTENQLGRLQKELETREIQMAKMREKERNWEEQVKQLKNELKNAKRKERDLNRLVKEV PRRAETAPDPPVVSPPMPGALVKGTNKGSTILSTLAQDRKQAPPKSKILPPKTFRPIS APLEAGVGSYVPEEITDNTTMQDSFKCASFLTRKTAFGLPEKNANKPVPIEYEHLLQF VEEKIITQRQADALWRLFTNGESPLALLRRQSMGPRAFGDASDLHGPAGRTHKDAEDT EEDNNEEASEGQSEEGQLQERKYSQEEEGEEEEEEEEEGGEEVGVEGEGEEEDEDGDE KVEETDEEECDSYDSAGSLEEGASNGGLSAGGTKGRGDGRVKGQFDVKLGNVRKDYDE NSSDEHDMFETYAKLNLEECLSEGEVNDE XP_845518.1 MSGAAEGSVPVPQAVTEDYKKLIFSFIQMIRSTETSTPDRVTAI VQLLGEEYGVDPAGVGGCHDTGVALLPAFQQALNEMKKSVSIQQDDKFNAFLDLLRKK GYFAGAEEGSEEYNSRLEKAREKFEKRNNPYEGMTAEEIKNKGNELMGLANYKQAVAY YTKAIEMEPENHVFFANRAAAHTHLKDYRSAIIDCERSISICPTYAKAYSRLGTTLFY QENYQRAVDAFSKACELDPTNERYREDLKQVEEKAKQSNSATAGMGGTPFGADGMFNF SQVAEVMKNPQFVETATRLMSNPQFNQAVVQMASRIGGLSDNPEEVARLMGSGMGMLS AGGDVDFTRLFGDIDREALERFKEEEAGRNPRLRAIMEDVQRNGPSAFQQHMNDPEVM NLMLKFQNILLNNGGGGANGGASS XP_845519.1 MSTRQGGKQKPLKAPKKDRQELDDDDVAFRNKMREQQKAEKEAI AKMKGGKK XP_845520.1 MVRAPPHVYSALVKNLTSKEVKVKAFYAVPDAADEEVNLTIKPG ETAKLEQKIVQATTFSMTAHIRRVEADGSFLETPFTGVLSPVQEYKLEIHEADGACHL KGSL XP_845521.1 MRLCRRLSYHAYVPKGASVQMGETFMPSNIATAVFRETEAAAAR ADKAKEETGFFSQPRLNYPVSSGIPALFSKDQFDMQYSLFHRDVVETLNRHTLGTPLE GHNLETVIRKTSFDATQAVAHTAASEHFNYCFFYKSLRPWGTAPPPRLREALQLQYGT NGSADAVDEVRRIVWVTAASHQERCGWVYLVWSGVHFDVVEFPHGSCPIASDLIPLLA LNVHESAYILDYGTSGLKQYVENYFKACNWPLAERYYLMAIGQVV XP_845522.1 MLRNTDVRLFSTFALNPETSVAPHGPPRGLVNRYVSMGLPPWAA WCNKVNRYSLYRMSGVTQRSFLPKPPQEMDVIWLNERVRERVRTSRQVQNVYRQLKYP YVKTGIHYSDVLDHWVQVPMVEAAMFEVEKDGGFDNFILKRSGPELRSTYGERIRRHI LVRQKEIQKNFVLQKQAQMLVESMEKEILPMEDGKKVEEVLEKYGIDKEQLLRDIARA AVAKKQQLASAVPQPSHVEVSTN XP_845523.1 MGFPSGSGLDKFSDLQSFIRASFPTHSGDFTTDTAIRVLAAYLS VSPWEVSRVVDMSRPIHNMENLEEYVSCVVSSLQKQGEVRTRAVTSTLTGRVGSSPAE VIHTEGNCMRSPTVKPHTFGKKKLCKQFCKTGLCAFGSRCLFHHEEPYRGDTWSVSSD TCITDRNFIEPKSTESSSTVPTGAGRELFTELASPSNNFSLPTERRRVTQPINKVTAQ GQTDETGIGFAPPGTQLPGVYVMPLSVRSGELYVYTPVQFHVSFPICLPPPGASH XP_845524.1 MSGIRYRPVGSDVMEVPIEPDEKFPRGTSKFCAWLCPHDPMGAE RRVGDLYWNGWSSFVLGFALSGVGLTLLTLAILCFYFTWDVPRSIALIVVSAICIIPG LFSLVVIWFYISGKEGYDYEQLMPN XP_845525.1 MTVSGMTGTLINRLPRRVPIPGLAMLEPKCVLHTTSLLCNKENK ANAEQEDREELERERQLRERFSKKIVEAMPQYSSQGGGSCSSGACGAQPPAAAMQMLR FLFFSTALLLILAMMQLSDTNSPIYLLKNIQSWQLPPHSVAYYILLRALLPYREQMRL KEEFETAGRFNPALTFDQFFIQRYPDLFHGYRTQQQDIVAALAACIAVANDRKLSLTI LRAAGSARDIRASVDNIMDALRRDYPQVFQGSPSTSYPIATAH XP_845526.1 MLRFTRLFREMAPELQLEYMPVLFTRTILGPQGGFAGEERLVKL EVARKYMEAGHAVTPTEELRRGLWCYNPDTDKYDCFIERNEEFLDFAARKRQWLDVYW RVNTGYLLFGRQSWGQGFLINCPLRKRDVAQKLWEQYKVRIDPRLIEFREKDRRTGIQ ELGHNWCWLYLPGAEELGINREVYDNKRVKVRIHVRKMNSMFALY XP_845527.1 MLYSTRRLASAAGKAIHFGGEARERMLNGIERIATAVGVTLGPK GRNVIIRQPSGEPKITKDGVTVAQSIEFNDQFEDVGARLIRQVAGKTNDIAGDGTTTA TILAWSIFAEGYKCVATGANPMDLKRGIDIAVDVLLKSLSEQKRPVKDLQMLENVATI SANGERSLGALIARVVQSVGVNGYIAVLSGNGSATEWMRYDGWSVEQGFVSSALVTNT TELKSSLESPFIFITAEKLESVHDVLRLLGAAKNSGKPLVLVAPQFSEAVLQTVIHNH VNNVVLCGIVCAPELSEEELHDLATCCQCRVEKVGSLGVVDDVTCLLGRAERWEQTMD STVVAGVADAAPRVRLLQGRLERALTEDVKEKLRERISKLNRTFAVIRVGGRSTVEIN ESKDRVIDAVNAARNALAEGIVAGGGAALLHASKQLDVLMREDEDMEQDRRTGILIVR NAARLPMKRISENAGEEGAVTVETVAEYEDCCMGYDAQNDRYVDMFEVGIVDPVKVVN SCVVDAASVAGLMITTEASVCDFKEERRQRDSG XP_845528.1 MSSLKGAPSLQRQPTKQFSFDVLDEFYEGEDAYVQSDFDGEEVE SKKKEEKKKEKSKKKKKKKDKKEKKSKSKEEKSTNKKGGKDTKETISTASVSAASGTE SGGKAKTSDDYDYTYDDDSFDKYSEDFDDEIPVAPPSASSSGGSDPPSSGRQPARKEL FSEEAAKAAKEQYEQQMRQMQEEQERREKALQRQQEELRAQMEEFKRLQEEKQREMEI EARAMRNTVGGAPAIGFPTGATGMEAKTGLPQEEQPVANIESQRRRQRERERAVHLRR LEQEEAARTLFQRLAQDVREVFHELNLSVVAAERERLLKDERYRKEREVRDRREELER REWLQKEVKEREERFWAAMEDREERFRTLIDAKIAKDDEEREARRKRDLEDREERAKN ERELRDEITRMESQRRDREDAGIRERDQKFLQTQLDDMKRRYENQLEEARRQLELARA HSEEMHRTELAAMEKRYAESSAHSDRLHMQHVESFEAHTKNAAELQKIVEVLHKDMEK ATQMNEAVTDERLEVLRQKERLINEQKALVDSVLEEIKYTKGEMEKERARIASLYAKF DIGLSNFTREAAEERRRCQESQAHYETLREQLEKDRRLMLHEVSQERKLFEQQYEEFM AKKLHAMAELQEERMAISRERTEAAMLRERRNNDEAELLKSLRAQEEAYAAKVDAMEE DRVAIGEMRREQKRLCDEIAAEREALRQERKSFEEEKVELLRRFEDLQRYAMESSATN ERLRKEVARQSAEVVVREPPAKRIEPHVDPSELNSATSRLQMDLSRQRAMLQRISHT XP_845529.1 MFIYSYVCVLAYVFVLAFTWWGGGERMVSNSVPALGDVLFSFYI SCFPLSFAPHNKKGNCDDRCGKGKAEYAPGILGWYCDYQYRRFFWPCVSFICRVCHRL RLSLGSLLRLLYFIYSFFYHLVVVCVCCQAGAANTVHAKGEKGLYSPWKQRALRREDF GCRNGAETHRITHKQTNYKYRLSQ XP_845530.1 MCCSIQTCVFKYLHVIFHVQVFDVFFFTGLSQVRVYISISIFLK VLFPPCLTEERRFRHFVQGKKKEGREEEGGREGNMSGFPPPSLVSGDDESDAKGNRSM LRSVESTEAACAAVVAGPVVDISNATLMCRRGVHDRIRRTYWKLMIGLFPHDVTGWEA IESKKASEYKELVRLICTLDENNNVVICENSNREIDIDIPRTMPTMHFFNLERDFTVI EGIPTTFSPMQQCLRRILHTFAGVNKGFGYVQGMNELVGHLLFAFTCGEPSAVDETVE ADVFFFFQRMLSHLGDDFCRTLDFDKNTGVMSTIRNFERIVQFVDPELWDHLETNEIR SEFYAFRWLTLLFTQEFNVPDVFRIWDFIFSFGEDICGVVIYIAAAMLVYKRDDILAL DHLGTILPFLQSYPSCDVVEFLDIASTWVMRFGFQPIAWLKSGSREDANKLRERYKFS GAGGPSWKENLTGWVSSMWKS XP_845531.1 MPPVVKRPLWSYFTPATFASTLHRTAYHTPKLMFGVAAAAILAK QSYYRGSLADEEENTCDRIERRAYVALPDGRMALVYPIIDTQLTPTRALLSLFDMMNP LP XP_845532.1 MPNLVVTATFSPPAFSIVGSPLREDTIKHMEERIPTVLSTALSR SREPSYFVHSKNPESWKMELSQHFCDELHRAAVSLVIIECLDAEGWVLRTSSSSQDTN EGKCCIKFYFTRS XP_845533.1 MLCRSALLIADGFLSSRYSFHTLFVCLVPYSLKRWFPRHALRKS DHRKDSIAAAMSRLGGDEPLQPSQENSREPSLELNGAGTLSHIKRQFISSLVGSSAEN GAIAWSPSVSSEGSETDDEEPLAETLQGNDKADLCEDVPPRMSSELVVFDGEGVRPSQ PDRPEGGYDRVKPILLCTLAGGVAASIMRHRLGAVCRFTLSGLAMTQLLSILGYATVE WRSLFDDVIGLWTSDGTDGFICNAVVALLGSVMRRCAFLTGVIGGFFVL XP_845534.1 MEKKGRSKEKEAKKSWVVKRYSKTPSLVVRWNQRAERLLFLVLF FVLCCSNVAVSFSPLSVSISLFHLSTLLYREMSTVFPLLRALGKVSHFTEVQTRAVGK AQQILFGSVGSNAQKCTTSHGEVAALRCRYRVCDAFEVPMSFIGSCQEHGDNMILAHV PGLPGAFPVSTEELHRHKSFFLIDNSRDDWGSATVNNADDMQLSECVQLSLLCVMEAL ETEGGGASILDKLLSLVRRRAASLRGSELLLATGSRINEGGLELSFPYHCGNSHASKR YFDISQEACTLFGPHVKHGTKMLCRYGTAVVVGVAPEESLGCPVPFWNPLGAPAACLA PVFSGCPAIPVGEVKLEYNGPTTSSSLLDAEDASRYLNPTVDGRFDVSSWLNEGLFGV KVGQPIEDGCVAHGVCYDLNLCEFVLFVRELSSGEVRPSSHCLLK XP_845535.1 MHQTFASFTSFLQSTTDQAKKLTYDGLEFVKKAQCIDIEEKCER LSGLFALPDSVVSNEKNEAKTATSEGDSVKSVIPKELVANPPTDWEEAAEEWSRLTMF SLQCVSSCLISPSAILENEAVTERLCALLGVNSVKDLPIPPQAGFCWPSQELVRWVLS SKVVHEFRSSLVPRYISDEQYWVNISWRFQLYQMCCSALQLLDVMEAVATKPNVAGDV DADNTDTVSKNDDMNWQKLRHELTERYELAAWVKERCSAALAEVDLASANLHLLISLV QKGEVTDLGDSVLESCKYHKTKLSRLIGVAMAQPEKLLNSELCCEKGSLFARLVNMNK ELGTVIESYTLLPKGDSSEIVRRATVDGDLAPCDAHVLAQRQNSDDAVFEAALPWEND AEGEP XP_845536.1 MSAPRVNTKTSAGKKNQGESSSTKNDDNREAFVSRMLSFWPKVT QEIGVSSILGAAVGVTSRRLTSDALYGTGLAFIVLQFLNFFGYIQINWKKVEIDAGKV LDQNGDNKLNQLDLKALMQRFILYVGQGVGDIGGFVTGFYFGARYLA XP_845537.1 MKYMAKGALAKLLESEVTGGNINACCRILRRIFSETVEDAQPTG FSVGRSALLSDVVALGKASLAADRSHVRSPSVAVLCHVSQRLGDWCSALDFARLLPNL PSPSFLSSLLQPNNCAAVLRFCETRGWALDVPHATRVLAERHGSWTSALDVAEAMERH CGMGERYSLGVLIPYLAASGCWRKAVRMFFDGIAQGSLVDPRFVGDLVYRTVRLKQWQ TAFYMLGAIEKTREAADFCPSDENFFRDIITVSPSWRSSLSILHLAIGSGVKPDKSIV SLLLGQCEEANVWLTAIKVYDTAVREGFLSSIEGDSYQTLIRSFHAVKQWEKALVALS WMKKAGESSMTTGMGELLEMCQQSGQWEAAVNIGGVLLEKHEGMPSRTRLALLSACAD GAAWQCSIRVLGEYLNDSTSMPHPLLVCATLQACVSAQRWEEALFVFFKAREEEPRLI LPPLAHRLVLKACVGSGRWLESMCLLEQMEPRGAPKDNHTHRLGLWAAALSGNWQLSL YQLHRIPRANRTAQDQLIVRSSIQNVSAVARAITLRHLQPHLS XP_845538.1 MPICPGLCGELAAVPFRVFLGTLPTLAVEERCLRQLQPVFAWYS SRKRVKEQANEFIEIDLASCDAELLLRYSHIYYVRRQLFDELIERQMTLLDSGKAPRM AEPSLLQCLAGCNTTIADRLQLEIRQLGAAKRAASVPGRRELDPVARLEVYDYACMMR LVEEDAGAVEDAEMKARAYLPREVIESKLGHFTQLLLGSDARAALDKKDVKLLNRMIP PDYTRVGCVEKLRPFDVTAYFRFYGERINNVKVENYFKRALWGHVYRRFATTPSFLSG VSTYWARHSGLDASFTTTTMPQEVAVAVCDQQIQFPAIKFRAQYVYTSPETARQLWRT DAAVPLMRLFPLMGSRAAEDLAAGVLTDAFWMHLGLSEEENLLQDSLLLKVRRFVDEV GDMYETNIDSVLKRVDDNFKQVVPQLKAEDLQVDAPLQDGEGEDTVRETVAA XP_845539.1 MEGVSALLDPFSGGGLCCLFFFLFLESKFGLLHALYTSLLHAKD RYYAPHISVPPPQVKFDNQPSTQPLTPRAGPPGTICCYDKGTNTQIGLVTVNSPAEVQ EAVARARVAQREWAKTSFSTRRQLLYSLMEYILENQALICETTSVECGKTMMDGSLGE ILTTLEKLRWTAAHGEEALAEEVRDVGLITFHKRAAVNYVPFGVMGAIVSWNYPFHNI YGPMISALFAGNAFVGKISEYSSYYASYYLSIVQEGIKELGYSPHLVSFVVGFAETGE ALVNSVDKLTFIGSPAVGKIVMRSAAQTLTPVVLELGGKDPAIVCDDADLEHVVPIIM RGTFQNCGQNCVGLERVIVQESIHDRLLTILEKRVRALTQGPASVGLYDLGAMTMGED AVRKIQKLVDDSVDAGATLVCGGKGGTSFFPPTILTNVTPSVPIAREEVFGPVLVMMK FKTDAEAVELVNACEYGLGSSVFSSDIERAKHIADQLVTGMTNVNDFGINYLCQSLPF GGVKISGFDRFAGVEGLRGNCVVRASTTDRIPGVKTVIPPVLQYPISEASFTFVERLT NVIYGGWFAAVSSVIEMMRMKSAPRKKDS XP_845540.1 MVTANGSSNNNNVHLLTTERGWRTYRVREQTFEVENRYTLTSVV GYGAYGVVCSAFDNTNFRDVAIKRVGHVFEDLIDGRRIWREIVIHRLLREHRCRNILN LTRILPPRQRISSFRDLYIVTDLYDTDLHSAIHATKTVDIKALQRIMVRVLRCIADMH FMGIIHRDLKPSNILLNDDAENENAVVCDFGLARAGVLDLQEPVDLTDYVVTRWYRPP ELLLMCRYSLPIDLWAIGCIICEFVLKRPLFGGRDYIHQMQLVVSSVAVTNWDFARGS CPGTTTFMNEIVRKYQGRRPISSVMSTMPREGIDLVTKLLAFDPNTRITAAEALRHPF FSDVGAEGESKCTIPEKVDFSFDLHAEISEAQLRRNIWKEIQHYQ XP_845541.1 MSRCNVAACFFPQQYGCETECGSESLLRAVLGDNANRVDSVMNH CTPHCVAAAIARCIREGPNFPHHLHVIAGVGRFKEIMIACLIDMIPQPLFSIDVPLQC MMFASEEGSVVCKLFDSESMPSLLSTANDMEQIFLVSLRHERRMVTIVAVEQLSTSLV ASMLGSVCSNIWVLLSGLHTTEREMSLLNEAMAIRDAVREFSSAVGAVSTELHVEEES SAHATPPVAVEVVHPSAPSLKGVEDNDTAVVVSSAEAETVSDTQSVARRLEESQCEVN QLRKKLEEAEDTIHRFRAAERRAEEEKKNLSLAESLAATTPVATSERAESLFCEGTGG LDSQNSKGNENWVGERTVVATQRELSAMQAKLSELQRCLVETSEGNLGRVREFQCREL EWQRELRRRTEERDVALQECERLRGATPCHGSQEKVFSRAVETPHAHQEQELDNLLSR IRSITAAHGNAAHSEFPMLFSSQRSAGGAGQPLSPRGDYRRFASLERYRS XP_845542.1 MVSLMKLALSSVGVFGAAGLLRKRWEVLGQQAEKNRELLRLAAV ALSSEVVDNVLVRNEDSLGRLVSFVKDVSTHPSFINHLKAYAITEFTANEQTVRALRK FVVEDLICDSWVADELVSMAKDIGRDVIADPQVYPTPVLRFLGDAALDGLQTDTFKEE LMTALKDSLWKAFLGPPPDHMYFLDVR XP_845543.1 MWFAEVLVVVCVFTTTAVVSEANNCTSGSACQPWDGGKPCNGAQ RMDLRVGVRDSASGNISALGFCPIVDEVQAFRLTGLFPSLVNKTVGSSYTISVYGQGE SGNRTGDLSNSVLYATTSDKCKSDSLAVAKFLILNLSMKQGLYTYAGNASYPTDTGFF PTCERNQCLLSSDAFCIGNGVNNNCASCVEKGSLGKAETTVWASYYGSAAGGVLFRSG DYNPKNFHAFSIGVPQKV XP_845544.1 MFVFFVALAICTASGVFFGVGATGGTGCGPKQACFPWLENSSAT RCQRGGRINLRFMLDLPQGDTEAPSTNQKRAVYAFCPIVDELQVFTLKGAGRVSSLLQ KTSSSGQASITPNPAPRYLSVFGFGRSGQKEYPERDVSNSTVVIAMRDIGLCNSPDSV AIVNMVILTIGMHDGKFNYISSDADTKEPDDSESGIPSGNSSGTGSPMESVQPAMVGF RPTCDESDHCIFSPTSPCVGPIRGMKNCGACYNTPDDIEGASIQVWTSYQGTDLERQP LLSGGMDPMGFRKFAGGKFVGNIKYAVSKIENTASGMMPGDETNSTP XP_845545.1 MKRSFLFLTFSNNTGHYGPPVYMPLEYASRVTNQKQLVFTHPKD PKYGWNTHVHELASLHPGILGPGRRTPQLNWYNRGGIVCEIPPVPVYRHHIWCQGHSH YILQHPKIFIKCPRGEVVCCKWCRLKFINMSTDEDNDDDWREEEQKIATTPEAADDLM QPIRDVGGVLRDRPFQDGREPDPHVYRAVFNPERHRWKHPHHKYEVHPAYAGSSTSSS SADHSCGREGGNSSAAVH XP_845546.1 MSVALFFSSFLTVPYFPCICLSFCGAEFIRANQTSKRLTTNLNM TIKVGINGFGRIGRMVFQALCDDGLLGNEIDVVAVVDMNTDARYFAYQMKYDSVHGKF KHSVSTTKSKPSVAKDDTLVVNGHRILCVKAQRNPADLPWGKLGVEYVIESTGLFTVK SAAEGHLRGGARKVVISAPASGGAKTFVMGVNHNDYNPREHHVVSNASCTTNCLAPLV HVLVKEGFGISTGLMTTVHSYTATQKTVDGVSVKDWRGGRAAALNIIPSTTGAAKAVG MVIPSTQGKLTGMAFRVPTADVSVVDLTFIATRDTSIKEIDAALKRASKTYMKNILGY TDEELVSADFISDSRSSIYDSKATLQNNLPNERRFFKIVSWYDNEWGYSHRVVDLVRH MAARDRAAKL XP_845547.1 MSVALFFSSFLAVPYFPCICLSFCGAEFIRANQTSKRLTTNLNM TIKVGINGFGRIGRMVFQALCDDGLLGNEIDVVAVVDMNTDARYFAYQMKYDSVHGKF KHSVSTTKSKPSVAKDDTLVVNGHRILCVKAQRNPADLPWGKLGVEYVIESTGLFTVK SAAEGHLRGGARKVVISAPASGGAKTFVMGVNHNDYNPREHHVVSNASCTTNCLAPLV HVLVKEGFGISTGLMTTVHSYTATQKTVDGVSVKDWRGGRAAALNIIPSTTGAAKAVG MVIPSTQGKLTGMAFRVPTADVSVVDLTFIATRDTSIKEIDAALKRASKTYMKNILGY TDEELVSADFISDSRSSIYDSKATLQNNLPNERRFFKIVSWYDNEWGYSHRVVDLVRH MAARDRAAKL XP_845548.1 MMNHSPHEPCTEKCISTRCNVSSHLMKGLPETVEGLSALKKLDF SRSLLGRNDIQPLVNAVLRHCSGLQVLNLSQNYLTDDSVVRICETLVEHSPLLVSLDL SNNPLSNKAGFYLLRFMDCMPALRSIGLQKTLMNDRMLRQLPNACAKLEPSISVRPPG GHAVTAHLAPLDGIHSKRGSQLSSSTAGSVVDGACAHHKERFPTLQKLWRVSAMAAPT DDEYASLATLISSARAVAGGVNLSLPPQ XP_845549.1 MADVEAIFTAVLTIGVGLFVIDLVPLQEPMVCPGDYHNKSTCPE VFFAQSFHVAREKFTSAATKAGAEVKRAVIASRDGVEYTLDTAFLRGKNSKRLLVHIS GSDGIGGFTGSAIQTKLLSEWNTSRGEGPSVLFVHALNPYGMANFRTTNENNVELHRN YLLKEEWNALTTSHTSNTSYDSVMSPLFLPKAPRVIDRYTFFYHFGKVFLKQGLREFR RSLEGGQWHNPEGLFYGGREEQRSLTALREVLTSYAASGVEKFVFLDVHTDSGSTGKE TIIVSSVDDKTLAQNIFKASVQLRGNGGTYEMARGFIRPTDVLGNKTGSLVIEQAFAT TNYIFMVRAAILENAASRHAEGTFVHETMKQWMRDAYFPQTIHYKTTVLTKGIDTFNH AMDYLST XP_845550.1 MSSISSAFFIQFSPFPPCWLARCCLFVCVFLSRMAEGSDSALLE GVSFPVEPFAEKHRKILALLAHAATQAVNTRSNEVVFDVLCSSEPSLARLYPEVAVLQ GVHQIRRVLRNLQDSQPHVRPMYLKMIVDSLKDMNEMLPDPLLLVIARTVEILEGEGR GFRELEPHLLDLVEYIQVVILRETKLLQCRGTLITLRLRGVPPFVASETESTT XP_845551.1 MSVKSERRSFLSFLGCRVSVDLDDGSTLVGRLVSFSPTSNLILT DAERRKPLKRRRGSIRNECYNCVLFVRGSSVLSVKHSSGVTTDATVIDSITGRQTVVS KTIQAASQSLDTPLR XP_845552.1 MNAQVLVRSQQHLRNTHTDIYIYIYIYIYFTTLGALKEMTSSSK SKYHVPELTQDDVPGGACCVAETTFATQFPSYLESYIRSIWPAVETVLGQHQLTGKLD LMEGSMTVATTRRTWDPYAIVKARDFIRLLARNVPLAQAQKIFQTEITCDIIPISLKG KSTRRFIKRRDRLIGPKAQTLKALEILTGCYVLVQGKTVAVMGPVKGCQQVRKIVDDC MNNIHPIYGLKQLLIKRELAKREDLKHEDWSRFIPVYKKTLQNKEKAKAVKKAKKEKL QASLKKQANKEKSVFPPAPPKRKEDIAMESGKAFLVGQKRRKRDDFKME XP_845553.1 MSYPNTVIVQHRNQTVFLALPEGASWRDARHTFASLMNLDVNRT LLVSFRHPNSREEPVPYADGEAVDWNGGSCVRALSVEVSADGKQVLQEPILPPNESRG AVPSAIPLPLAMNLDAWNPIPNTEG XP_845554.1 MAFVLPQIHINEQSSWGPPEVQGGKIETTVGSLYHKREAVEPFD WLRVLEPVEGGRQREFTIVEDEKRNKILKSTRVKERRQVPDWSAPRRHQNSRRNFQNK PKRNITLPPDTVKVPSDAVILEQFRQAELAKMPNLTSLPTVSDISQHNRPPVYKNEMD KASCKAPIPLNEKETKVDFTRSDSFTDNVLRGILKSEPPGTYPIVVATDEVLALLMTC SRSVYSWHLHFYRVGRFYFISKVDGCNVEKQWVDETADVSRVPSETEVVETDRTSSLE AESSKVNNFFVAQSCTAARYQMDCEKSPFPGKHPRLYRYRRFVMHADTKDRYDLIVRC EVDAMQGDKHIRLFGLLEHCIKGEENDWRKMLASQTATCISEEYRRNAQKMARWIALC HLSGAHMKIGFISRCRKGAGVFDPLRHEVLATFTNDPSPLAAQLGIKVANMWTVADTI ITAFVQSDFSEAALVKRSGDTSILLVEKCEEEFYEEEEDEEDDDEEDDDDDGEEDG XP_845555.1 MECEFMCDDGVMRSLDITAEATSPFGCVYQQRLRTPRGEGATLG VSDEKLYVLLDEMHQSLIATGFTREEFAAGRLQTIPDAPIEVTPVDSHRVKHGIFCGR HVSIVTQDYNGPCPLLAVANALALAGRIQLCDGDCRRVEGVHVRHMLLNHATANDKPV APRFTSAPTRVVDGVEVSTLAGMVKERLEEVRSYLAQENEGEKTMRRLYYGMNISPSF CGVDCFEAESDVMLFALSGLRVVHGWFIPAESPFAALREMSFNEVSVIATKSDSPLSD LAGEFLRSTQSQLTEAGLEMLRQDLCEGEVVVLFWNNHFSTVVKLNGRLLLLLSDETY ADKSAVFFQTIEDAYGAATFTDGNGRDADGFLLAVQSLTGNDFSDEDINAAKSEIRNE TDAEPSPQVVVDYLKRKRRSQRAAEKGKVD XP_845556.1 MSDADVKEGTAAGDSVAVPESVVKPDEGRRSRGESTGGTAAGDT GVPKNIARCLVYCRLRPRNKTDFKNGGFQLVTVSGNDIVVKDQRFYKFDGAFGDECTQ SDIFEAVAVPCITHAFKGFCSALMCYGQTGTGKSFTMCNTTPGQEGIIPRSAKLIFDK IQSDNARSYEVTGQFVQIYRDNLGDLMSATGRDRVDIHFDEQGGVELTGCSSHVLLSA QEFMRFYRIGNDRRVVTATAMNPESSRGHTALVLRIVSESPSDPEAGKLKGKITFIDL AGYERFSKTGITHDNPIMKDEAKCINASLLSLGHVVSCLSSGSRHIPWRDSKLTRILQ DSIGGRSRTSIILTVGPSSDHLHETTNSLQFGLRAMDVKVTAKQSVHVDYQKLAQKLQ SLLDERDERINLLEVQIASRDAERHELMERYNDRREDIDRRFEIEMAELKRTGASEEQ MLNLREVYKAEVENLQEQQDEEFQYREEVYSKEIVHLIREQEHQEAKRRAEMKLAQDL IIAEFQKKLDNAREGTNDDLVRVLKQLSEKDAILASRANDTVRLHEHIEVLREQVKEL GGVPIEEATFPETFLDVGQVEEMRNRLEADVQRHRAKGVELLAEVDRLSQLCSERLEE INRLRDENTQYRAALENSGISLNDTDDLTEFLSEKRTQMVDVSEMETLRVTMQADLDE AKAHNRELAREVEQLKFELTATAIPLTARLRCPPCATARGPSPFDAARNLCSTQRKPP QKDGTPSPNNTQNENLQRTVKQLTEQLEFSMRERKSLQDRVEAVETQLASHGVEVPGP YVPPIKLGFPGSAPVTSSETDAREPPEDTDMDVLLRVKEEEIDVLLETIERQEHLLNA ARSNEEFHRRVICELQQQMVTAQIQVEDPQNAPPPVDAIAMDEYMSILRLVRESERKL AAQLAERDGEDGAEVEALLEKKDAELQMKEETILEKASKAQYAAKLCIRLKNQMLRCG ITPCCELPDSYNELIEREEEELNEQLMCQDELLARLRSEEEEKHRMQNMLKSLNEERE RQSSVIRTVQERCELVEKKQLVTAAHLSRLATEKSQREQILEETLRRATQELLDCKIK MAMEKEAGSPGVLKRFLRRLRSN XP_845557.1 MIRRLNVLRKTASSCASLTFSTGDNPYIRVLRMLQSDGEGPPAA WHVFEEFRTYYRQVTSGTSIATVDMKPLQSFCGSFDDTEPFAVVMMKILCDLHKLEEL RFLFAQCMLELSSPSVELFNVYLLAISLSDTFNQYEVENAIEAMRMKGAEPDVVTKIS LFIVYTRLGEDYSSWWPSIHEDTKSIITSGKNWQQKFPLLSIRLQHCFQTLLRVHHDV TMVQECFELLRLADREKMSPRLLLPYMLLSTNNVASPPSIAVQLIAAAEGASEQEVAE ASADIGVNAFEESSSILQEAKGGPVLNNDVTALKLMAKCAKWGDVASADYVLKYLRAH PCIIPPQHKFALALLHLETLVRAGLLKEALYLLEDEIPESDSIPGSRPKLFLETRRLT LLNAHPVMSLVRFIAEVDSRVEESLLLLEERKAAGGNVSHKTLNVVLEACALMKDEQK ASLVLFTFRNVAVRETARTFTLLLSTSPNAVSAVKKIPTFLEDMVDLGVEVTAEFLRG GLELAVDAQDVSTAMMLVEYHRQRSVSIESRLSVRLFKMLCLVVDIGSVRQLVAVLRA TQSPVDPRCLSLCIASFRKWDIPCDDLKGVSTSGSTG XP_845558.1 MSSCKDSLSLMAMWGSIARFDPKHERSFEGPEKRLEVIMRVVDG THVSGLLAHDDDVWQKVIDAICAHIVSREFNEYIRSYVLSESSLFVMKDRVILITCGT ITLLNCVPLICEAVSTVCGEVEWVSFMHKNYSFPWEQKGPHLSMAEEFKTLRSHFPSG QPFIFGPIDSDHYFLYFHSDVVQPSCSDDAQLSMTMYGLDRNQTKHWYSDKMLPTGPE TAVIREATGLSEVVDDSWILHDLQYEPCGYSINAIRGSEYQTIHITPEEHCSFASYET NTCALNYSKCICGVLRVFDPERFSVIVFIDPDSAVGKSYHSGGTIGVEPEYYPNYEAH HRTVNEYTPGHWVLKVNYVKRAVGTVGTSAASGAKE XP_845559.1 MDDERTTAAGRITSTNVREEEPSITVPCELDTHIEQHERRKRGR VWTAEEQAAYWREKKEKQRQRKKAAAAERREMQQKEWEALSQSERERRRAEAIAVHEK RRGAEMRLQQLCQEHLADAKLPVLVFDLSFAWCMNASDCRSTVSQVKLSYSALRRHAF PLRPVITSIGGSADGEMACAHSDVLQSLCTFNGFSRYPLPVHENHWSSLYDLQRVVFL TADAEVVLERIEQGTVYIVGAFVDHNARKFLTRDAAIRYGVRMARLPIDESIKVGNIC KVLTVNHVVDVLCRYTECGDWSTAFDVLPTRRTVSRRERRTNAHISMSSNTVFGECDS VDTDETGGTTRVASGQAD XP_845560.1 MCVLPRKVVVRVPATTANMGPAYDSIGMALSIFAELTVEHSDAF SMSVEGEGCEEIQRDEQNMVVRACCLAFEYGNKKMPTLRFIMRSDIPYGCGCGSSSAA AVAGFVAGLQLCGHTMATGSEEELLQAIAKFEGHPDNAAPALYGGIQLCYKEHGGRVL TTRVPTPFALSVVLFIPREKMKENTHVTRGLIPDFVPLEDAVYNISCASILVLALSTG DLRTLRSCGDKIHEGQRGDALFPHFRPCVNAAKEAGAAYAFLSGAGPTVCALVGGRHG EVLTQPKEERVAERVALAMIDAACAVGVEGRVVITQPSDQGVHVVGAKPVRHNLVYVS T XP_845561.1 MPTPTEVAYKFAPAFFEELATAPENLAGMYGSESALMLVDFECG TKKVYGADIAPALVQWANIIQNCQLTVGDVSVLPFHSGVCIHLTLVAESASVRHFFQF VTNLEEYPAGDYGPSSFYIRNQIVTRTGAVEKEPSCAEGKNEPEGKVEEEEPLPEPET TGTPVEEKKEDSRRAPNKKPDGKGRVSPSTAAPAVTEAAVDAPTGPKTWASLASIATK GEQRPSIKVVANESTANKVAPKPASPPTKQAPQSDSRKQVSPKRAAKAPRAPSEPVGE RLMFSIDHAVTDEEILTALGPLAARIVSLRNNSAKGYVFFDFSPGEDSVMDSIKAAPF VVGASQTTVNVFRQKQRS XP_845562.1 MIRRLNVLRKTASSCASLTFSTGDNPYIRVLRMLQSDGEGPPAA WHVFEEFRTYYRQVTSGTSIATVDMKPLQSFCGSFDDTEPFAVVMMKILCDLHKLEEL RFLFAQCMLELSSPSVELFNVYLLAISLSDTFNQYEVENAIEAMRMKGAEPDVVTKIS LFIVYTRLGEDYSSWWPSIHEDTKSIITSGKNWQQKFPLLSIRLQHCFQTLLRVHHDV TMVQECFELLRLADXEKMSPRLLLPYMLLSTNNVASPPSIAVQLIAAAEGASEQEVAE ASADIGVNAFEESSSILQEAKGGPVLNNDVTALKLMAKCAKWGDVASADYVLKYLRAH PCIIPPQHKFALALLHLETLVRAGLLKEALYLLEDEIPESDSIPGSRPKLFLETRRLT LLNAHPVMSLVRFIAEVDSRVEESLLLLEERKAAGGNVSHKTLNVVLEACALMKDEQK ASLVLFTFRNVAVRETARTFTLLLSTSPNAVSAVKKIPTFLEDMVDLGVEVTAEFLRG GLELAVDAQDVSTAMMLVEYHRQRSVSIESRLSVRLFKMLCLVVDIGSVRQLVAVLRA TQSPVDPRCLSLCIASFRKWDIPCDDLKGVSTSGSTG XP_845563.1 MSSCKDSLSLMAMWGSIARFDPKHERSFEGPEKRLEVIMRVVDG THVSGLLAHDDDVWQKVIDAICAHIVSREFNEYIRSYVLSESSLFVMKDRVILITCGT ITLLNCVPLICEAVSTVCGEVEWVSFMHKNYSFPWEQKGPHLSMAEEFKTLRSHFPSG QPFIFGPIDSDHYFLYFHSDVVQPSCSDDAQLSMTMYGLDRNQTKHWYSDKMLPTGPE TAVIREATGLSEVVDDSWILHDLQYEPCGYSINAIRGSEYQTIHITPEEHCSFASYET NTCALNYSKCICGVLRVFDPERFSVIVFIDPDSAVGKSYHSGGTIGVEPEYYPNYEAH HRTVNEYTPGHWVLKVNYVKRAVGTVGTSAASGAKE XP_845564.1 MSVTRINQQTECPSSVHDLVSCWGGCTQSKTSTDSGLEKRFELN FAQPVDIGTVTVKQLASVMERAGESLRQNSAELGIHTLKFDRSLLVFTAKQIVVRSSV SVMLHEAVHPMLELMRSHNIIVDWASFMRVNYGSPWDMTSETSDIMAHEYAELKSAFP TGHPYLAGPVDRDHCFYFVYDGIDRDPSSCRRENDVQINVYMYNVQADDEYDLDGNTK EQQLLVSHCAGEYETLRVSTYGSTHPFASFETNAVSAASDITKIVNGLLKKFYPERVL LVLLQDRDAQGTTACGVMDRLEGFTVVHRGANHFGGGYVFHQATYARSA XP_845565.1 MKQLAPQMAPAYIPKDVESGWYEWWEESGFFRPASDMGRPIRGR SFVIVSPPPNVTGHLHIGHALTGAVQDALIRFHRMKGDDTLYLPGTDHAGIATQVVVE KRLMKESGKSRHDVGREEFLKQVWVFKENHCGVITRQLRRIGLSLDWSRERFTMDEQC AKAVVEGFVKLHEDGLIYRATRLINWCCSLQSAISDLEVVFEDVPKNAKLTIPGYDRK VDMGVLTHVAYKFADSEDEIIIATTRPETILGDTAVAVHPDDERYKKYHGKRLKCPFR DETIPLILDPVLVDVNFGTGAVKITPAHDPNDFEAGLRHNLSQLTMMDLKGHVTTEGP FKGMHRFDCRREIVKELEKMGLLREVVPYEYRVGRCSRTGDIVEPLLMPQWFVDCTEM ARKSVEAVRNNELRLYPPTHQVVWYHWLENIKPWCVSRQLWWGHRIPAYKCTGAVPST HEDPWVVARNLEEAKAKAKEKFNLSDAEVRELVLEQDSDVLDTWFSSAMWPFSTMGWP AETGDMQRFFPGSLMETGHDILFFWVARMVMTSLHFTGKLPFSEVFLHAMVRDKNGEK MSKSKGNVIDPLFIISGVSLEALHDTVRSGNLDEKEVSRALKLQRETFPNGIPECGSD ALRFGLLSYTQSGRNVNLDIDRVVAYRQLCNKLWNAVRFVLYHALGEDYKPKATLVNS QKVASLPLECRWILSRLDVAVEECTRGFSEGTYDFALATNAVYRFWLYELCDVYLELT KPTIQAGGEKKVIVQDVLLHVVEVALRLLHPMMPFLTEELWHYLPNYESFGVQSIVVA PYPEVSGWQDSQVEEQMKLLMETVHIVRSTKAFYSLTNKHKPDVWVTARAAETREIVE SHKFMIESLGVVGRVSVIPPEEEAAAVPKGCGFAVVNKDLSINMMLLGFIDVQKEVAK LEKQLAGLQKQIEGVNKKISMPGYETKVPADVREANKVKLESLVEQEAQLTEGLTKMK SLL XP_845566.1 MAPSSELNVANRAVLNVPLDASCPQQLRHANIEQDRQSVALWRQ ARLNEIVAEEEKQALISREDHRLMVRHLLSVACSRDPQQRVNATKWRSLMRAADDEFD TTEASFPGATDPYRQVVANLSSMMLWSSELRNWSYGYSTNSVEKCHERQREVLRQLSE SRKLFLN XP_845567.1 MRLLYVIPLLLAAAAVGSLAQPDPQPLLFVSKMISDDHVVVGNN VEFTVTVYNYGQSPAFDITITDLLPDGTTRTKQVDSLAFGESAELKYTIVTKALGGYH VGVTEVLYSLERGGKKTEKAYSNIIREGTAYFYGEDYDDTNFRGIVSVVTREFYDRLY KSYVREAAVYAFLCLVPALFPLVVYRMEQSQVDLLIRRSKAVK XP_845568.1 MNTSIRLLSKRQSTEKVWKAVPLPQQFHTSLETGDWMKALHAYQ RHPYHAPPVDTFDLLKAVMHATGVRVDDVKSRFNEKIRLSAILQRKAPDEVEWSVFWE ALNKGDSKTISAALMGARLSSVSQQISTAEACAVLLKAAGEDWEEKLVDSFPFATVTR CNLVHVALAHKRWDVAVELLRNVRITRSDVVTLWPLIEELDWEKALMLISACPKNSVP FDLALTHILRGGCSLQHLSEHLENACVLGDADVVAPLLAHAVEVGDWEYVGRGMDHLV DIGQVSQPAREVFEHMGKIHGTEKVCKRLEENRIPLHRVTVERLEALKL XP_845569.1 MDAGDARVERLRRVNRYKAVQAELAREREEAEFQAMRERKISAA ARDEALAKELAERQRLELKDAKMLQFVRDLPELRNLEAQLKHARMKVDRSDQVDECCK RREERLQEEREYNAYLAEKEAKEKAEEEEKRRKAIQAFNEHQAAQLKLIEERRAQAER DAEQSRQERFAVDAVAARLQEKEFLEALERREKQRQLQAEQDEFYRLRKEIKENERLR QQREDEAIEAYLAEKGRRRETDEKLLREKEAVKARILEEQSKKIMEERLKREELESLL SDYYEAERISRERQALADAKERSEKLADAVKQENWNLIQDRIKARDLERQEEAMMRQK AVEDLAQQAKAKRLERERQIEIKKQKILETERRLEKFQELKREEQRLAAEVEERERKR AEELQEYIRRARAQLLEEYVPTLGQHVPARLLTVDEKKKFGITK XP_845570.1 MSSSALLFVANLPTVANAQYVERLFSAYGHVADVKIVFEGSVQF AEVMYNAVDDADSAIAALHHHYCASRNTPLVVLYHVRSPCISEYGRKVGREYAQAAAL GRDPSYIPLEGFDENYPRTDVPPPPSDREIFEGARWNDSSGPAGEGRLHSY XP_845571.1 MLRRTLSCACGTGKTGWGAMSNAELVKAVSSRQLTFYGLEQALE PDYQRAIAVRREVVSDYVASSQSAEVKRKSLERIPFENYEWDRVVGQNCENIVGYVPI PLGMAGPIIMDGCEYPIPMATTEGALVASTHRGARAISQSGGCKTLILGEGMSRAPVV EVESLEEAGRLHNFCVENFTEIKAAFESTTRFGKLQSLKCVIIGRKAYIRFRATTGDA MGMNMITKGVDKALELIKQNFPSMKVIALSGNYCTDKKPSAVNWIEGRGKTVVAEALV RGELVERTLKCTVEDLVSLNIDKNLVGSAVAGSVGGFNAQAANVVAAIFIATGQDPAQ VVESSTCITTMSKVGNDLLISVAMPSIEVGTVGGGTGLPAQSGCLDMIGCAGSNKEAP GANAQLLSRLVAAGVLSAELSLMSGLAAGHLLSAHMRLNRRK XP_845572.1 MAAQHTCLVRVNLYLFDEKSGQYEDYGPVGCTIIGTLSDPPIYK MGCYDERSEYLCTAVITSNNETGACIALQEGGYVSFKDEQGRCWSMLFEEEDEAIKFC AHVTVAMYGVSGSPEQSIIACDVTMGNRDRIILANDQVKVRYICWVIQAENPRKELPT LGSKLDGNLHDDKPVALCVPTNHLSVTPDMRGFEGMLIGMTEKGSRFVVVPSKVRRGA GAQVHTCFFMHVVKKKDTLTGEGNNSGVVPFLTSPSNMSGQKADGVSNLSPDAAYAVP PPPAGFNREQLSAVDRLRDCAELLTRQLQSIRQQLDVFMNDVKLFERDTKPHSLSSAQ VEYSVQKLMSDTEQNKELLSQKEITLKQMEEKNRDLQKKVEKFSATTNMLAEEKKSTI NLLNENKLDLDRRLADAQAQLTRIHSEREDVSRHLSSIKQLLHVTDQDIKTEKRSLQV ASVVLQTNKAKLAAAEAAHTEESSRRKIMESNATTLNDQLRSITDDIRLKEGQIEEQR QKIESDKLHYVQLIEDERGKGAEDVRELRQELLEELSVRERRYQEERQRVAQDSFERG RFQGIEDAQNEALVEADAATQELSITIQRRKAELNAMCVRLRVAKEQNEADECRVGAQ VVVLEKTISTVTAQNTQMEVELNSLKTAADRVESDVFASMRSTVEKLSHPIGRDDLLA LIHSLRMNENVSYDFETKREEERQAAIDRERREVMEWVSGTIKGDIVRFPPTHVPYFA DGVELNSGGTGPLEEGGQLSHIVELRFDDINNAKESEKCCLDDVNALYRSLLLEITCG KSL XP_845573.1 MRKFCHFMANCWNSARSHATYGAVPLTHSQVTSVYATDGGKVDE LGLLELVEERIFSWKLNKWEMRIPPNLPNDQKELIRQEQENLKQILSGWRKCFGALNA DILQISSLTGVPKEVVREKNRTWLQEEVAKLRWMGEVNKAALLRDAFMRLEAFGSRDF MFMERLCCIYGLARQGTFDEAFTNYITEDPVTNDIFVDERNPFKELVAHIVRNYSQID IIYDFLGFNYSEGYRSSLRRYMEYLQCKTAENVRASGRLVTGDKGEHNILFDYCVSRE SLVSGDSCQGIIDFLYINGNDVTLIIIASDNPWLRNRQLPHRRQMEGIARRVCFVLGI PPSEVRIRNLLLPPTYLDKGSIVRLNDIVFRLSNEQSNLLIPWLTNYNKELDPKDVDY TALAKTTNEEEWLTL XP_845574.1 MLRLCRRLSYRYQEFEMSKGAKYPFNYAREAPNTSVFPQYQMNF WMFWGWGRQELWIDRDTRKYETTWEMLRRLLMWFFPCYFIFPFGIPKWVAANYYGEYG EKPFLDYTMHYPMDNHYIEWGGSVLDAEWLRHIHTKESY XP_845575.1 MLRRSILFRMKYADLELTTRGEFPHGMKEPAFVKKLDQNIPWYF STYRSMYHWPITGDNWSDLNEAEKHHDLHMFYTLAWWKLGEGIFGVDEDS XP_845576.1 MLRLANKFTVKISLISRLLKEIPFCVMSKHEEDCQKAPEHDKLT LTNAEEGKVVTRFPPEASGFLHIGHAKAALINSMLALKYKGKLVMRFDDTNPSKEKDH FEQAILDDLATLGVTWDVGPTYSSDYMELLYEKADELIEKGLAYCDKTTREEMQKCRF NGVPTSYRDISIEETKRMWSEMKEGSAEGQETCLRAKISVDNENKAMRDPVIYRVNLT PHARQGTKYKAYPTYDFCCPIIDSVEGITHALRTNEYHDRNDQYYWFCDALGLRKPIV EDFSRLNMEYAVMSKRKLTQLIDSKVVDGWDDPRFPTVRALVRRGLKMSALRQFVQEQ GMSKTVNFMEWSKLWYFNTQILDPSVPRYTVVSNTLKVRCVVEGIGGLEKCEKLLHKK APDMGSKVFYKSGVIFLDAEDVALLKEGDEVTLMDWGNAFIRNIRTSGESGTITDADI VLNLEGDVKKTKHKLTWVAENPEAEVMEINEYDHLLTKKKPDPEESLDSILAAVTKYT QEVYSEAATSALKKGDIIQLERRGYYIVDNVIPRKVLIAIPDGREKVNHLSAKAHHLK YVAKVSQPAAANDLAAKRAAKAAKKAAQKQDSKSQNKEQAV XP_845577.1 MYVGDKSKGWIAITRCWGIFASIFMSLVLVFCNGTTHFLIFLRM TKKNVIRFTDLGLSTPVPQVLSTSKGEKKKKRLEVKSERNLRTKEAEKQLSLAREKVE KHKEYVKSEACAKQKEKAEKRKALNDMRVSLHERRENEEKLQKQRVVSSQQEYISKLV ANIAEEEGRTRQVREAIDRDTLDLAKFAPQSVCIHVKRHPHIELTRKELPVLREEQAI VEAINSTSRTCVLICGETGSGKTTQIPQFLWECGYGDPKGSPFGREGCILVTEPRRVA AISMARRVAEELNVPFGEDVCYQVRYDNNLSDGFKIKFATEGIVLKEIQSDFLLRKYS VIIVDEAHERSVTGDILIGMLSRIMPTRNDLYLEELRKNGGLPQMTTLKPLKLVIMSA TMRVADFRDNRKLFPVPPPFICVEARRFPVTNHFSKRTELFNYVDEAFRKVCQIHKKL PPGGILVFLSTQYEIGLLCDRLLLHYAKTKIEYCETSYSKHALLTSELPTTPSESDES ESDIERDEFGLATEDYALDKDDTELENCNIGRKRCRNAAGSPEKAEGFADESEVNGEL NTLHVLPLYALMNFSKQQEVFQQPPAGKRLCVVATNVAETSITIPNIRYVVDSGRVKT KTVDESTCASCFRIEWTSQASAEQRSGRAGRVAPGHCYRLYSTAVYSNLMPKHSAPEI LRTSLESVVLLMKHFGINHVGTFPFPSPPKEADLKRALTHLGLIGALNSDDEFRITAT GRRLVAYPIPPRFSRVIVEGIDRKLPRFLITLITLIASIFSTTTSVFTDEGHRIKWKS KDISDDEKERKQRLQALLHPGSDLLTSLNALLVYMNNSSAVNCDRYCLVQKSLSEAKQ LGDQLLVLASRDTAEEPVEGASDADVVGPEQLFEERALAHLSKNQEIVIRKLFIIGLV DQVARRATVQECRSHGVEYKSDKTTKTPYITVANHIIVYVHPSSSIARTYPPPEYVTF VTLQKNVRSETKESLTLMLGLTIVTKEWLHECAVTVE XP_845578.1 MNSGTCIGGLQGSKDLLDAVRAAVVRGDLDALASLKGDFLVIKE NIVKCVDGSGNTLVHLALGKNTTMLEFVVKELTGDVNAPNFQGRTPLHEAVRNNYVEC CEALLDYGADVGAQSATLSTPFHTAAACGSVECMEVLLKRSDNPKNKVNELDRNKCSA LHKSASDGDVRVSKWLVEHGAEVDQKDADDTTPLLMAVKMGKPDVAEYLIKQDANRDQ ADSHGNRPVHYCAIRCDYKILKILIDAGATVNVQNNDLNNPLHLAAIHQRPNSREWED LIELLLDSGCDPAVENASRKKPADYVGRSFKKFFSNEVSVERRRLEKKKMQEEEEEFK TMLEMRNTWRANIVADLEKVKRRQKEELDRLHRETEERHRAEDDARMLLEEAIERKRY QEEQRKKRLEAAEKGASTMKK XP_845579.1 MYMYYPLYYVDLCALVSLYMFLISFSFMQLYDHTSPSFQFFSSE VNNVMAAQGLLKERDLAGTAAFEVALQHIVVRQDRTYHFAQLLIALSFLVLIVEVVLI VVTYAVLPILSIEPEGYVCHILSFASPPCLILPVVCLGLLRTATQRFAIESGSQMVHR LNATVMEPCLGMHFKYLSGKISSDGYCYADRDLLSKPDYTSQT XP_845580.1 MPNADALWVVFFCIIGTMRVEGRQIVVVGDLHGDLNQTLAILKI TGLVDDRQHWIGGDSFFVQLGDIFDVGPDDISIVKLLMKLEKEAQSVGGDVIELLGNH EIRNLLGDYSAVDPGSLAGSGGVSGRDRLLSNRTSVGMYLRTRKAIFHHNEFLFMHGG LSTATASIITGIDKIHEFNKDLRKALTNGTLTPLGSTGLNLAEGGGQEVVNPILVRSI LNVRCKDLIKVLQNKFAGIKSVVVGHVPHNHQDFKDWRLCGGHLIAIDFGLSRWKKGD PGHVAALQIDDTTGHVQLLESTVRFPEFSADEHPVDRPLIGKWFPVIERVSVVVIILV SLVFIGKWAVSFICGGNGGTPGNRRYGTFSPV XP_845581.1 MPKKTRVEDPFGTADENDRVVELWFHAQVPPKFWEKHAGTNLMF ASNVMGEEERALACNLVESDSPPEPFSIRFFRRKVNLAPGALLQVCNETHKMTVYIRN SGMLESDVAAPKPSKRGAKKERPRKGDDSFFTDTVETLTSLEFDFMDLLTSCSAKYPL QVDDGSLFRNCVMEICCAEPFLPPKRFDRYRPLKFEICSLNNLPDPRTLDTPLSVSVN FGDISISSPKVSVPHSGRSIFRQIVFLGQSTPLQVYREAFFKPLVVTLLDDKANAIGR GSASVREYVTDNRTTFSEMVHLLPIRSGLYGCVCLTEGTFVLLKLDFFSPLPPCCHVY SDGRPAYGHFLTRGVIRMPYMTRWAGAVLSTFIATLLETKRPTVNDDVYQRKAPVVKP EVPSVKEKKKELKQHKGQQVVPQRPPSPPSPFSLPFEVVTPPGISGVEVMDDDTRIFC IEGPVSEIHKLFERMSAASGHDPQLVLLMNAELFIPQREYLSFPPLVTLPPSFECLDA NMDAKGESALGDTPEANALGATLSCSEGAAVSPRPTELPGRPPSEQDASIEDSFDTGE VEANGTGGRIHRVRISATIASLVSHQRHLLKRSLSESCIACYSKLDAISSCSQLRQVV ERDLFPTPEEVLALERSFGRMLGLPDVFGREEFVNVTNNVDMENFAYQGEVQETAADI DLHALEEKDVGKCVVFDAIRSGCCRRLPPFVEQRFPHACWMRVVSTNKNVLCAFPVNS QPSRSIRYRVEAEIIRMNGSLFIFALKSTSLAKGFTDSHNLSYEARLAASLRAKKRRS SSLQRGGALSLEILALERSLQRTETSGATGDDSSESPRKDADTRARTQVAASLGKYPD SKRRHILPVCLKPSREEQREQYALCWEIYRRRAPQERPKLPKGPPMRF XP_845582.1 MRIILKSVLGKKREHEVSPDTKVEDIKKFLESEYTPQSLRLCYN NRVLEDPMTMEQLGIGEDTVIVYVGKKQSVQQLASKSGGCASPSAPAEGPAKGELNEN PGVAGASSVPVDVPAPSPSAQAPATTQQPSGPAPASLRSVDPALIDSIVAMGFNDREQ VSLALRAAYMNADRAVEFLCTGIPPHVQQQLAEADLQASAMGRAAVPSAGTPPSDAGS GGTQSDLRRALSAIPHIDDFRSLLQNNPQAFSALAGQLLENFPQVGELAQQDPEEFAR FMMAGSVPDNADQTLVTAGETEVDDAQPLGEEDRAAVNRLVLLGEGAWGEREATEAYR MCGRREDAAAHFLLFNFLGITD XP_845583.1 MVSWSRPEFAEAFFGKLVRRRCIYATKAALDSSPFVRVLGLLSL VSLGVGAVVGAGIFVITGQAAAQYAGPGLTISFVLCMFPCFLTALCYGELAAMLPVAG SAYTHTSVALGEFASWTVAVCMTLECLVSGCAVSVSWSAYVQAFLKRFSFVLPQPLRK SPIDVVGGRFVLTGSVVNFPAVVITVVCFVVLCLGVEQTASMNSFFVVVKLAALVCFV FYGIYYSLGNWAEVNANLTPFVPPNDGHFGHFGVSGILRGASVVFFANVGFDTICASA QECRSPQRDIPRGIILTLLLCSTLYVMVTVSLTGLVKYTELGTDAPVIAALEKVKAPS FLRLFIEVGTVAALSSVCFVSFYAMPRLIMAVAKDGLLPALLTHVHEQFRTPINATIF CGIPATFICAVFPLGMLGELISFGTLIALACVCVSMWKIRIDHPEFHRPFVAPLFPYV PILGALLNAAQLFFLPLTTWRNYFVVMATTSLWYIVYGIRHSTVGEDGITRRPDSLLG TVEPPLCEALEGVQGAGGSLSIELTERYVHN XP_845584.1 MIYSGEIENGQMHGRGCLQYPNKEKYDGDWVFGKRHGTGVYVYA DGSRYEGEWVDDKVHGNGACYYTSGNVYTGEWSMGRINGRGVLEYHDGDRYEGEWKDG RMHGKGTYCYSNGDKYEGEWKEDKRHGKGVVVYAAPDGCVSEKYDGEWNEGRMQGWGK YFYADGGVYEGEWVDGRMHGRGTYVFPNGNKYEGEWVEDRKDGYGILLYTNGERYEGY WHLDKAHGKGTLTFLQGDRYVGEWHYGKKHGHGVLSYSNGDTYDGEWRDDDAWGYGVL QYANGCRYEGEWAEDRRHGKGLLVLPDGSSYEGSFAHGKKDGPGKIILKDGSMYIGTW KDGVIVGQGEFRLSENCDLSNPDY XP_845585.1 MESFKGLARRVTQSVKEKVSNVEPTEEDEALAQACKKVRQLEKV GKVLEEKFVRASMLIEELSGILKEIGLEYMRVPDVQPESEKVARDLFSLGNSLLDSSV AQRKELKEDGTDMLSAFLKNVSVLDASEESYRKFRLEHNFQREKVWKLRRAESQDSDR LIRNECKLEDWHVKLWTAGEKSRAACSQLYYDGRTSIDLSVMSFTKVLSAYFGAVGTK MNEMFSSITLPTYSTEPLLPPTKLPPSSAQLCKPPVGGTASVPEPDEQQQNQQVVDSD VVTTHVAA XP_845586.1 MKIKSHDQITFPEDVTVSVKDRIVTVKGKRGTLTKDLRHLQLDF RVNKKLRTFTAVRWFGNKINNSTINTALSHVRNMITGVTKGFRFKVRFAYAHFPISVT VENQLVEIRNFLGEKRVRRQVVADDVKVYRTDAALVKDELVLEGNDLEQVSREAAVMH QLCLVKKKDIRKVLGRHLRADKDQHRS XP_845587.1 MRSSPRLAMSMWDNPAFEHNPLTRYWKRMMKRSGETLTSHSIPR HIHGYIESSNPRTECLVRWFPYKQQMTVDGRYVPTLGDIIYTHYFPKQLDDDQRVKYV ALKTNRSELEVAEELFLMRKMKLFVSQLLRNKLQNRPLRMPDGVDYSGIERRYTLGIA KVAQAMGMSMYRSQSYDPTVVYSPYLDFGDCIDCVCTKQLRTSSQYLLCEVAVHRGIA RSRLTDVTEDPKEPLSHLEPSLYEFVKLRLGILAVAAKQEHYVVDHMFDGGHRYGGCL IHLFPSPLDPEIIDHEVEHIELDLDLARRWMKHYYDHYVAPRRSGLA XP_845588.1 MTHVGAAHSLPPQFDSLSPEEVDMLRDSFIYMDRDNDGHVSKAE LLDMVFRCVGEERYGPLVSYLLPLFDVADKDGDGKLSLAEFVMSFVDGPGVVPAEVIN SCVSSIRVRLTDEEIVTLQDSFRRIDTKADGYIDREELLAALKENLKDTFPDLRENNF NDIVAVIMASADVDNDGRLCLSEFIRSFQEDQGVLPAVFVDARSNGFVQHLSPAEVEV LREAFATLDKNHDGYVEFADIYESLWEAVSDENYDKNQIYELCDLIMVTTDRSKSGVL TLGDFVRGFIRNVTLVQLPVATAHEEILRCCERVQLLFESGELERLSGYTETGENTTK CVNPGGLVSVLSNVFRDGFPLLEEEILSSVIGALVAASENDLDKKFGIEEFISCFAGG PCSSSDGVGLLDHENAISESDVQTVSRLLRDLGKSADEGGNVQEPFVLEAVRNTFRDD PEKADRVVQYVQYNTTCPSNLGSGVGGLGSSSKLLSSKEDSASLPTKKFSGPVRGVDA AVQCEQVSETQGEPEEEEEELRVAEPLTEKGVGGTSLGLRDLLKTSSGANVGAKTGRL LTSRPVYRSMRISEWAANQRSGLNLSDATIEGKQKGFDSKLRKEFEKFAEGNDYIERS RFVKLYLSMEHFGLPPSEAQVNELVSRYCRGDKLKFNEFCIIMLRRVGM XP_845589.1 MRPEQCDTYTELSSAPRETKVICKYFATGGCSRGAACAFSHVLN QNAPEGVDASRGFFICGNVANFGRTTALPTTQSVLSLPPSTTPEDSSILVEQMCAGAQ QLHAPTAEPKLTPTIPSLPTIVDAGTARATYSSIPPERSLICCEESWMPQQRPLVPLN HSPVVHFVAPPPAMAFYHPMPYVLVGQVPLGYSLQGSTVNITRAN XP_845590.1 MLFFFFSLSLYCNAWLGGSTRIEDGLYSPCRIIGKTAAFMPSSH FFSSSHYKTGWSLLVFAFFPISFSFLIFCCSRGTSSILMIVSTCVNAPDDLLRVLVYV CCLTFPIPAHMQTHVCFSGKYLFHFMISLFIVWAFIQFSIPFHKDMFFFSLWGYIFIF IFICFAFFLLSSCTFDNVLFILFPFFFLLLSRPTFSFTPPMRVLLSVIPFPHLCLAAQ CHYK XP_845591.1 MDPINPNDPILTRRLEMLAQNALSVESADRERAEQEIREFQNRV DIQSGFVLLLLNVASSQSPAASFCSIVFKNTVKNCWNEGTSEHCVAESDKAFVRNNIT GIMFSAPLNVQRNLAEAISMIAETDFPSAWPDALQRIIHVLMNEKSVVLHSAALSTAH SILGRYRNQPDLSQETANDLRVIYTDLTSPLLNSMVLLVDAVEKCGTDAHAACTGLTS AVECLRDITAFDLGDEFIWGIEGFVRVLLRCLQLGGSGVLGACTIELKSVVIMCVSHF LLQFDEDFEKYASEFLKVVWDTISSPSSCESDMDDIVVQGMGLLAAACRGATREVFNN ESVLVNLMTEVIMPNLALRQVDVELFGTEPDEYIQRDIEGSDFHTRRREAGELVRALL LFFPEKTGPLFTAKAQELLASAAQGDWKAKDLAIYLVSALSLEGQHVSTQRGVTQCLS KLVPFEPFLKQNVLSELSCGVSPQSPAIVKASCIRFVAAFRAHIERSLLPDIIALLAS WILCEDTVVQVYAAHAVERVLTLQDPGKPGHVITDTVLGDKAPSLLHNLCVRLNQEKK PIAYTMQCLMRVCQNCSGCVKSFVGDIITCMVPVIKENSKNPSNPLFSHCMFEVVSQC IMLRPEDAAAIESALWEPMIFILQNDVLEYVPYTLQIMAQLLDAHGSGAPEPPTYYQA LLEPLLLPEMYKQRGSIPAIVRLLVSFIEHYPGFVHGKGLTERVIAVVRSLVQYKNYD HEGLNILTTMIRAYPKDVISPYMVSIYQSLIQRLQKSRTPKYVRILIIFLSITVITHG ADDVVTQINRIQDGLFWMLFQRVWLPHVPKVLGVLERKTCIIALASLLGDCVTLQQNA ETWSTCVVSCLKMIHGAVEKDDWTSFTPQTHSVNDLAQHVADIGYTNAFCPLQGAVQT PVDVCPMVQQPEALFRERLQKALSGPNAEQLVRLLQAYPEVMAQLQ XP_845592.1 MFAYICATAQEFIGGVHFGPDNRVVSVNTSEYPSLSGIQCGMVL TSINGTRVGQSEEEKLRWKCAAGELMLVFNSRAPGQHDELDLSSAEEDENLLDSQKTL LSPNLECDEIVFGQRKSVDVLIDAVGRTGPGGCIIIMSEGVNPSYALTVAAEQSSRTT MYINAVARLKTRQPLTRAGEDFLKSLRKGLWIYIEQASKSMSLLYTLAESIEEARRSA TIDANARVFLMCEPHPHFPEALLEGAVTLRSSLRPGTSEVMLEDNLLASEQKLRAVRG GSLVTSDGKAEITKVNARVKISHEVSIVPLEKNAFMELSRSATAPIEREAGREQGITR TARYTFGKNEKFISLCRVKDGCYAVGTLGGYVIMIDADGLPLIQFRPHKACIWDVAFA SPHDFATACDDGASAILNYSLEAHEIRATSVASFQQEVFAVTYVTPDEPDSAVVSGGL SATICVLHSDRQVSSFISAGMTIQALRSTRQRHVIVGGGSGVCALIDPVASTILEESG RHKKKVPAVASYGALGVTGGFDKVVRLWDVRNGLRMVTEVAMPEVVTAVAAHGEHVAA CCGSDLFVWDVRNLSRPLVRREKAWKDLTRGLVMCDNSIVTASADGVTRFWSLNATSE XP_845593.1 MSEPTTPIEPNCLTSSECVRRVAKLLEQLDAAGLRYDPAQPPAP DAVENILITDKWSCRTCGATDVSTCPHTGRPHRRYLVRIAQDILRLIGARTGQFVVRP PKMSSSMDGRSRLRSLRRVTMNPQALLLAYYYAIPSCEEVDSLVTECAQHFLVLLGHL NQYGAQGEVSGEYADALLSSTALMWREYSRRIAEGLDLSKITDRQACKDTLLNATKET YLAVAREIRISPSNECLNAFLQLLRVRLGRVAPQEDLDKLEASDQQQQRYDDDEEQAE LNRHGKCSLNRTSLLQSSAPPVLLSEGNTSGSDAEAPSPVAIGPPLPPNWYIDEHGRS RPPQVTEEQRRKERFSRMEFRARKAYETVIKVPEQKDDPLKKEVIAALNDAQLGILAE QCNQQPPDLRNVPSFLNLVVEGLLNALPRRLRSRVEQEVRDVLDWRVVRRSVMGSPGN ISVLTRYVMGKVAQYGAPAKSEETLSLAERISEDLEKCLPDLGTAVANAFRVMFSSIR QLHEDVAHYSLLYIAPQLRDNAVPFMREFIVECLPSVEKWESSLAFVRRYFNDERVTA WIASPVAASLTIVTESAKRLRGCLVFGLIDLLRSSGCQPNERWHDYPTECFFFEKPIV FFAANAVQECALLLLLSGTVSTIFRAKGMDSATINGILKQLHDKFRRLLSEQLTLSLL KTCVSDLMRKVLVETKPECVLTDAEVQQLNGAVEKMTDTGSTLYMTFEKRIILFIEAV LARGENDPAPLGLVTDSLKQVAALLQRALTLNWEVYRLVYDGLLSHVEQQEASA XP_845594.1 MYPRHDDVSLFQRFVNDHKLEEKGLPLYQLAQLEGTDQVMLGVD GTKIIDMITQAVREREKMAIYTYTTPYTVYEKINEFRTMFQSIKNCTPVFVFNGIQYS PDSAEEFGREKNVVPSEVAALTGTDSSRLSNTTNVRFAEIHKKTANRFVVEEDVEGQI IRIFRSEFKNTIRAPYLAWAQLSSFRHCNHRHISEVYGCLELLAFPGIDRVVTNINPT RGTFDVVYKARVLEAARLSEEDLSSLILVESRSRVMRTVTLKFSSLEDMIKKVVRYKG STIGASFAQQLHEEAIRASEANRMRASNQRGAAFRNLSAFASPVLTLTPPHCLPLHFL YGIPGFPHADAESFVGLPLPPVLYYIMSAGLLSPSLFAAVSQETVVDDWPLVDSIKYR DVAETVLPLRVQTIYQLAWTMSRGLGSISWFRRYNVLPARVSKLHVPPAIQLDGWALH SVTVPRGLHLVDVMEFAHLACSVDQVIYNTMEETYAAILLQSLDLLGYLTHETQDLHE EGQSSEPSTFGRALQLCSVPTLSEYTVLLIELARTNAISTEPFRITTEEVSPRDTPRD IVFASRVLSIIPLNVSGPWTAPIDAELAAFSMLSRMISRSIRQLLEAITTLMFSKGRT HVPLHRIGEIQRLLPFSTPVEFGCGVLVEYMLMKDKCTLKDLEEAFPECTYLRHDLAT LFYFWDLAVQVLQRIETKENFCVDQHCLSSANERMKRAQKNLNILTGVRETYY XP_845595.1 MVSGPTATAVVQHKRIREDEDDIFDGERDDEMSAGCVNMAKIFL RTPPDPYGGCHASVWPRPQENQKQGPDTVPYAAVADTLADISAESSRLECIRLLSNFL VAVIQRNPIDLVPVVYLVINKQGPAHEGIELGVGDALLLKVVAECCGITEARAKEEYR QTGDLAEVAQSKKRIQSTLVKPKRLTALAVFQALREIALMSGKDVARRRGDVIKRLLR DAVGPEVNLIVRALQQKMRVGLAETSVLAAVGYAFAMSNIGVAKIPSLTPEQLQRELN VGAANLTRTYNEVPCLDVVLAAVLQHGLEVIVPTSAEANMHARALSVRPGIPVKPQLA HPTSGVSVILDRFNGKAFTSEYKYDGERAQIHYTRSSGVQIFSRNSETNTSKYPDIIS MLPEAFSVDTVDSFIIDAEVVAVDKVTGALQAFQVLQHRGRKNVSFDNVKVPVCVFAF DILYFNGEPQMSKTLSQRREVLHTHFKPVSTKFRFAEYLDSNDVDDIQAFLDRAIRDG TEGLMVKTLEEESTYVPAKRSHYWLKLKKDYMDGVTDTLDLVPIGAYYGKGKRTGVFG GFLLACYDGEGDEYQSICKIGTGFQDDQLEAITKSLQPSILDEQPRYYRTEDKPDVWF QASQVWEVKAADLSISPAHFAAYGLVDASKGIALRFPRFIRVREDKKVHEATSAAQVA EMYHNQSLAQRGVGDME XP_845596.1 MGVALRVITYFRRGPLWGHHRKPSKRPRSNSFDVNNKNCTSTEY DKGALAVNENTRDEDEGVTSLLAMRVMSGADGATEVPLCRAVLRGSSVEGAYGCHYHA YPSESPHGAHGTSLCWSLEKSSIKLYELLCLCRLANSCRKDVVLFDGREGLLFSYRAT L XP_845597.1 MTSIEMQVDVAELERKYEALANVKVFDDNVRKGVDTILATMPNP DKQHSPEEKVRLYVLRCKALLLLPSYSKDSERCCSAALKLRNDRPDLWVLLSECFTQR GATKEACEALDNALRLDSRNEKALSQYSQVLRIRSADSKLPLQESRKYLSEAVLRAKE AVAVSPASASCWHLYGIALLSEALSNGMNVEGAQRSLKALEQASRIEPRDPDVCYNKG AVEGVLGYFGSASCDLLTALEEDPHRLKGARKQLEDYLSIIRRAISMTESMQRTGKKA FTSLVTKLAKHVDSMTLREALERETSSLCKVSVGVVDVLSETTMEPMVVLSVDRVGEF CLLLFHGIRRGTFKMRDAVVTLTVPKSNPVRVTHEVPAIPFLDTSAYSCSYVQVIVDV DSTIVNGAPISSQMRVPPQVSTRLFI XP_845598.1 MVQRIRVLHCGVDSYGCGHCGAYFCDKSDVISRNFNGKFGKAYL VSRCFNFYFGPAEEKELMTGKHIVRDAFCSNCDSYFGWTYDFAYEDKERYKVSRFVVE RQLLQAIAAGPAAGS XP_845599.1 MSNPWNAKLVEELLFRQYEKGEDVSMLLPPPPALSVDQYLKEYE QSTKVLFAYVRNGPANALTPQNINNPRMYGGTPTKGSSSMQRTGNQGGATCATTSSSS ACGVDSKAQMQRHPRGLDGSQSAAPSLPLTAGDAAAGQGSMAPPEHIRKRIEWPATVP TNSALKSNSRSRVANLTTKRVAAQERVDPDLIFMQNPEELPLHTIFAKYPKAVESIAA LRGGSGDWREDTFTEEEEILYKRELRYSHMGPSQCGFFRSNLIQR XP_845600.1 MPYPLGSSLWVKCDDGEWWPATVREVETELLLSMGSEYDTCIEF YHDPGNFYPLDSTSGGVRLLHLAVEERDKDEQRLFNVSATKEAVRRLLEERSGTPTAS PTEDSQPYSAAEMRYMRSLVDSVSPDSAAVMQNSLKQEGVNISRRNIRRVARKERSSK SKVSRSRTSVEERSTVRLTSSLGSTQSSKSTNPTPPLSCGRSSSSWRRRSGGRGGVEV YGECVFQEAVDAATLDVLRAEVFGNPDRFVLSPLYRFLDVLGAVSVEGESIETTLPSP AAVNEEPPQGFSPSRRVLLVPLSSSSYNHTDGWLESFEFENKRIAMELFVNGLKVVTP PNPSVPSGRESVAVKTTPVADITEMVSGKELFSLRVHFTGLMEDATLWEGYIVAVYVE RTGVDLLAERIVSNYHSSPAQREVEGVVDVQVRAVCPITALPLSVPVRACGCEHVQCI ELQAVLMHCDRTNVWNCPLCWAPMTPETIAVNYRLKEWLDSNRSRVNKVDFIVETPPG RNLNVVWRKNEPRKIDNIETLE XP_845601.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845602.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845603.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845604.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQLDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845605.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845606.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNGTIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845607.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845608.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845609.1 MSVRQIFFTSEHVSEGHPDKLCDQVSDAVLDACLEQDPLSKVAC ETCSKTGMVLVFGEITTKAVIDYQKVVRDTVKEIGFDNTEKGLDYRSLNLLVAVEQQS PDIYQGLGDFGGENLGAGDQGMMFGYATNETETLMPLSYELARGLAMTYSELRRNGGL PWARPDAKTQVTVQYEYDTRGGKQLLTPKRVAVILVSAQHDDGVSNETIREDLVKKVI STTIPAKFLDENTKYHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGK DPSKVDRSAAYAARWIAKSLVAAGLADRCLVQLSYAIGVAEPLNIHVDTYGTGKRDDE YILGIVQKNFRLRPYDIIKELDLRRPIYRQTACFGHFGRVDTNGKGGFTWEVPKTLEG LSDVQ XP_845610.1 MLVSYLRLQADRRTRHHMMVDGQINPREWFNWHPKEYQPWYFDR HKFLYEQRQYAQFHHLLCHQVYLKDMLHLMRYPEPYTHIIDCRTSTQKMHRWVPHSLW LPRDEVEYALQLSADEFLDMYGFRKPDKSDDVILLSHNGIYSEQAGWEWKKQFFQHVY NYRGGTNELFGESYSDMNVSDVLSPWKGPFPQSGVFVDKWSKRKVLTRTGPFDRQYEM QDFALPDLELEKARHPEEGPRQNMPFGLQ XP_845611.1 MRPRCGYANAVVTFSSLPCLLTTFCFGAETCGAFTAAIVCPQRW CAALGERRLSRRRYSAANTKGRCKHVYNIINSLKLVPKAVLASEFDKLHPRDKLDVKR ALVRRRSRRSSCLPVFKSALFNRKYFFREADLTEDSTLGRGPGGQATNRRKQTAIVKH VPTGITVKFSKFPSYWLNRRAARDVLNLQLEERMLGSKSELGRIRDLRERRRLWRLRT TCKLVERASKIAAKRSQRHEFHSVLTNQQPLSRVAVLQLDLDQSKQPMYLSDLFDREC GQWWPLLSKAFVRINAESSNEKSAKVPDILFYTFPSVRRHGESVTSVEQYEMNQVKKC AADEVCLANVKRALKCFVELFGLRLYEKPTTTAKNCSVLVLGRDGLNWMEFRGRMVDS SGLMTPLALACFAHVTLSLAQLRCAREVNAIRSFFRREAKAGVPGKWAVQGQEGITEV LRCCELHEEHHPTGVDGVV XP_845612.1 MQSCLHYVRYFAGHTGRYGNEFLEFDIRDDGTLKYGNNSRYRNE NIIKKQARISPAVLEEIKRLIILSGILERDDECWPQPDRNGRQELEIHLGDTHISFVT NKITSLSEVESPDKPKGLDTFYYFVRDIKALVLALVSLHFKIKAW XP_845613.1 MRLVADLKLDTTRVIPSHYVFATITALDSTVLHFASLFFLLLLL SFCSLAIAMSFTANSGNSPMSQMSPLSQPYTSPQMLPQSSLRPTAPPFTLSGTEDQPT AGAPIRKGGTDPTRYKTTICRNWEMGSCSFKGCTFAHGEEELRMPPRVERYKSSGFDT RRSLTAETPPLYPLNPLPHSGVGRIEHLLNMLYSEVLRERNRFVAHEEANQALEALLK KEQMQREETEVQLEAARWKLEQLRTTVHEASVEINTLLASSPANEELRDRVAMVTQKI ASVFSSEDTAVGGGREDEERVMKLLSSLQNCQSTEDM XP_845614.1 MPRPDRRAKYGRRAQNKITTGGGGAKRKSKPIAPHVEKDGIDIN ALLEEIERNDSPAEEKVPKETTKKSRRRRKAEKVEGLAVKPGTTVAQARMLASKMQQR HSASTNGPSQPAHQRSEEDEDEDDDDEEQDGSGEDYSDIANERPSEYRKGGYHPVVVG EVYNQRYRVVRKLGWGYFSTVWLVWDYVEKVFQAMKVQKSAKHYTEAAYDEIKLLGEI MTADPEKVRRCARLNDHFEQQGPNGKHVCMVFDVYGEDLLSLIERYKYHGVPLPIVKC ISRQILIGLEHVHSLDIIHTDLKPENVLLSAPKHAIVSQMKRFKPPPLHDRPSLVKRD PKTMTKSQRRRYYKKLRAAGKGKDSAEGNEEQNDDEDIAREVHVDPNEAAPQQSEKEP LSETDSEWEVERLHHVVLADFGNSCWTYRQFTDEVQTRQYRSPEVILGYPYSTSIDLW SAACMIFELITGEFLFDPRKGSDYSRDEDHLALISELLGVLPVSMRLGDGKYRAQYYN SRGELRSIKDLNFWGLEDVLYRKHKFTRKKAKEIAEFLLPMLELEPHNRATATDMLNN FQHFFEVQEDDYAPLCFVPSSDDHRGGERSSGNMEESEFSDTFEETDDYDRSRRLDRT YDAMEDSLSRQDEETARYLAGHSLLNEASLAKRGLTILDIQAVLSGQQLEDEERQAAA TEIIRLLSEEADDSSDGHCADREDGDSNSSVDESDSSCTND XP_845615.1 MSKKQEVKYYGSSAGKDQLVYGVVHIYASFNDTFVHVTDMSGRE TFCKVTGGMKVKADRDESSPYAAMMAAQDVVARCKECGINALHVKMRATGGVGTKSPG PGAQAALRALARAGMKIGRIEDVTPVPTDSTRRKGSRRGRRL XP_845616.1 MFRTFGRRLVSCTLPLLQSAPHDLPEGFEFMEHKVVNKDIHAPH ENLETLRLTLTRQDEFLLREEPVKCVTVTGTNGEYGIYPGHAYKIVQLNPSPLTVEYT DGTTKKYFVSGGFAHINNEGSCDVNTVECTLLDDLDLAIAEKELAAQQAALGSAKDDK AKSVVEIRISVIEAVIAALKHH XP_845617.1 MEQQTISLHYQRMEEWLIDRRAVLGKKYKKEYARLLELAPTLVQ LVKYDIPAQQRRQQKISSAVDDTHRAIEEATKSALKFEEKRTRMIEEYDLHRGGDVNV ETNLEGAVDARIASSITALSGVLRELGSSHYLAMFRGVYDDFLSKYSSGMYGGGAFSQ HFPWLERVFEERFHAIDEVTNRSQEGVAAATEQQSNEVGCVIDWGDVGTSEPIDVGAV VEIKWENDVVANCTNDDEECLPPAVAEGVATVSVANAVHRKNILAELQAMRCFASERA NAGDNSLDECHEPVLAVEKQLTTSTEAFFVRMHTSQTARVAFIDEIQRFRRAATAAVT RKASSEARLQQVLEELQEMEPHLEVLLQSARACRDECLVELSKMFPGQRVVMVGDINK FL XP_845618.1 MEHSRRKEESPVWLNSSIHVWKTKNDINVVNLRDASEHLPALPS ARAEERPPGNGGHRTSMERTGENNERTTEPTKQETLNEILALMHRASDQTRGSSKGTT ADSNLSTNAGGKGPVTDSEGKASLFPEPKTSGIVDVEFVQGVNLPGGKNVSPPLHTQP PRDSMQTVALFHDPVWGAAAVSSGADVFDTGSESQGSAVTSLQVENVSGRYSKGDVNP PLMPAPAAPVTMIAPSAPLSYKVPGNVNETMFTPQAQPVAMTSSPSQLGYPQNIGLST AGWAGAAPHPTGVAMRPVQFVSVPVPVMAHNAVMAVPQPYRMPYSVYQMQPQAPHPAQ LQHQPVVYHTSPGQELHHIHQQKVLAPQDATPRPGTNIGATPFVPGGKSV XP_845619.1 MSERDKQRRSLERERMATVIFSYISHLMRTHEEETTVADEFIPS TKFFRTETLPNISLIHYVRRVVEHMNCSPEAYIFALAYIRRLFVAGFPLHTHSIYRLL LTAVVVATRVRDDFLFSKKYYSKVGGVTACDLNMMEIHFLADLLEYRVEVSPDEYRVL CNEITALLSSEVSKFDGNSSNPNNDTAAEGVASIQEPVGNSKPRWMSECCLYW XP_845620.1 MSKGYTVYSLMHQPQETVINESLIRECIYLPTARVTDEERMRFV CAREEVQRKKRAKAAMETMELRNVTTLLASYRRIGRIENLVGLGNLTKLALDNNLITT INNLGHLKKLQWLDLSFNQITEISGLEELTELDTLSLFANKISVLQGMDTLTKLTSLS IGNNNIEALEDAARYLHRITSLRVLTLKGNRVERQPLYRTRLLAFVPSLQFLDGLIVR RSEVVKAREEQREHLMPIDEEDQRIASELKAQQDAEDIRKDYQRFNCPDETKFYDELF HLEVDGRSLSEILRLDVFAMLSKDLIEKFQVEFTEKAKDLAETMKAIRAKRDADERVF QSTADRYKHNNAEASKKIIKEFEKELKVHIPRTSGKHDSNGKELPQEVIVRFEKRLQE VRHQLMEKEADQYDALESLNAGTIAKWKGDAVDVILQTAFENFLKMEVDFHAGLRKLF DTVFEMRQKQEHQSDTYHQLKQEESLLTVVDNKEEYLKFLGDWFEARRKRLEELEQFY VKNEENLLNERSARILKDEQCRHRNRMNEIHEFVEQMSLWVHSC XP_845621.1 MGAFMYMNELWKKKSSDVMRFIQRVRAWEFRHQHTIVRLRRPTR PEKARMVGYKTKQGYVVFRVRVRRGGRKRPVHKGITYGKPNTAGVLGRKLNKNNRVVA EQRLGKKYGNLRVLNSYWVNADSTFLWYEVVAVDPMHRTIRRDPRINWIVNAVHKHRE QRGLTSAGRKHRGLRQKGHKASKLRPSRRAAWRRNNRMVFLRKR XP_845622.1 MAVESDVLPPLTRLPPDEALSFIWKITRSILILVFVIFAVIPAL CGTVYPPFSALWDVIAAISPYTWGSVGIGIGISLSIGGAAWGILTTASSLSGAAIRAP QIRSKNLISIIFCEAVAIYGVILSIIMMGKMEADGKAVDSSGIFTYRAAAAGFTLFAA GLAVGLGNMCCGIAVGVVGSSCAIADAHSSSLFVKILVIEIFASALGIFSVIVGILMA QKAVMV XP_845623.1 MAASQLIATRFTDLLAEGNIESALKYIANDVVYNTWLGVVYGKD NVEVFLRDNVRFVHHGRNYNRWKQVQHSLDASLKQFTVNDVSGYDESALDVRSYYDSE GYDSQGYATFERDGTIASHAKFAVFHVKVKETVVLRNNKVVLVNVSKRA XP_845624.1 MRANPIERRKERETALSVRQRELEELRQRKAQLGEELGMELSAL EGDNLAAAFPVIQYCGSRPKKDAKKIPVESLGSVMNQFEIAIKAISQNNRDIEQQITD LNRTIGVEAQRFTKLKRHSKELADATGVSLDPNAVQHLAGKSRDGEDCSGGLKELEET TVVLEERKALVEKEIRAARQLVKKKEEAVLAMSSALESRQEEIDQLNRLYNDIRVVDR DIKCEKETLREIISEHDIVDTKLNEAIERNVSRTRLLIEQGINEIKTEIADSVSVSRR GQERVMKAQEFRIDQLEKRLDCINKALKNNHLTRDVEAIVSHKWAAAGDALVAATPDE SMYDIEAIIPPQERCHPAIYNLLLTEKERLARRISLLGIIAKEKKEVIDALACKAEAL ARECQQAIQELDHVASAAAYEEEMQRVEAMEYIQKQRLHYSDLFKEMWKLKTKNQGPL WRAY XP_845625.1 MHCRTASDEEEHLSLHNAVEEAVHLTWNNNYERAKEILSRHKAN HPRFSLEFANVFLVQTLMNSTNETREVLLDQLKEADALASHAKHGDSMFRVGEVAGNN ENLRFISKSQRQKRKKEFERRRKAAQKAGEPFDDAWKLECDVIYAEALFMRAVGQLMM NAYFRGGINLRKAWGLYYKLIQQVEADTDDRIPEELKMCIKYGTGTFYTFLAFVPSTV MKVLSVVGFVVDRELGERYLMEVFESDGIRSPFAALVLCTFYLFLPTGLGKVEETLVK AKRILDKMNERYDANTYFYGYSNFYHRKRGEIEQALETIEKAEANAERVGLVPTLVRY LRADTLFMDLRFEEAKQRYTSVIEHLSVTKQSFAYTGQVVLSLAACCVMLGDDNTAVS WLKKVGSMYNPKSKNDANSPKFASRVLNNNQLLPLCGVYMLYINRDLAHMNVNQAERV LMELQRVTTGKDMSSPEAMYMHTLFVAVIQKGCGRTDEAEEGMKKIFANEKSIPRDSM VLPYAYYEMGELEFRRGRIEEAKRLFTKGQSIPGDGNETLMNRYRIALKQLKQKTNDG XP_845626.1 MDHEEVHAGARTGAGGGEEDEITENMESGTYDGTQQQANYDPNE QLQYYYDPVDGNYYYYAPQYANEADPAGDVNENVGRSDKRVTAQELENWEPVRYNWFS DTVLSIFMSLGYKLYLCMLLYCAIILQLMLSLNWTLQVLYRFYAPQSEGDPSTWSPVC FFTVFILLSFFVVTALCTSCDMLHGAWRAKREDIYFWGATTWAGKSPPVWLHFFVILL TTGFPFLWATVEAAVAKGSFTYFLCIYAFIAVVTVEFMIVGCYVWFYFLGIRGKITAM RLYRGRDDFETWERASKYSYNKQLKKRWYHASTLLEEYGLDEKTLRSNALSFTIGYVP LFAVYTAQAFSTNVDYPEMTWAAICTVALCCVYFLAWFTVFRKRSHWSVYFAIFLIVT LVLTGIIAAITCNLPGALGLIIVLFVLSQCMLARKREHTLTRVEQRTLFGMTDTTEQE DPIPNRRVDMHLCCCGDVLANCFRCLGAREAIGYRHPDVVRVEEQYNRENVSLRTDQR LLMWWWIFVMAVVASIIGIGNHMAYDYRTEIAAANGAPIEGNNTGLFLCQIRYNKNGS APLGLYDLSLLSALAYTVGEPGERDFATWFSFFPNFVRMYPRQLPPNSTYATDGIEIP FSHYVDMTSDYHVFTLNSNSRGLSFMRDVDEWGISITLQLAKVFSPFVGMWPEGFQRS FVQRAAFLQSWFPGVDVLGSISKSISQLIDDGKKERILLVGDGFNGGYVKLLSTIHGV PFVALNAPGIGQKAALGTEGTQVLSPRSLLSYVDSIEDTTHTIYIPCDSRLSLVRCSK IEATVNTLRGLCGDVHGRMLH XP_845627.1 MSRDGRVHGRCSPVLELSSPHGYTPSLQERVSPTVAPTPCFRSE GCRSPAVQGFRPPPPIISVGQLIYPTDRDSPATPGLNTAIPSSPSPLAEARFGIASAG HIHRPSGIAPVARKLSTPCANPVAEAKPVARPSFSSDCGRIVEHRDPTTHEVISSYRC RMLLGAGGFAQVFEFEEVSTGISYACKVVERKNLARGGSSARFRMEVDIHSRMNHPNI LRFIKSFQDEFYHYIILEQCSQESLMDLSKKRGAFNCQEIQYIMRQIVSAVEYMHNSM IIHRDLKLGNVLIDFEGNMKLGDFGFASEFTSPFEKKTTVCGTPNYLAPEVLACKSTG VGYGLEADIWSLGVLLYALAVGKPPFEAKDISATYHRIRKVDYSFPEGISIPEPCKDL IQWMLQGDPQKRPVPVQILAHSFLCLPLPPRTAPKSLVPVDGQVGGSRSERCGSRRIS PPPVQAPATRCSSGVDGRADRFALTQNRMGPTDCEDRAVLQEAAGVERCGDEAASATA GGTLTTEKRNTIKPPPPTAMLQSCVSCSKYGFGFLTLQNGCRYPEAFLNDKTKLVFDR NTDTVLYYDRSRLQAVTRESRNHSPLLSEDLAKRGFCDELVVFRNASRTLVRRSENKD DGDRMEAAAAKKLAIIKFFLPCLECGTRDKRMTVLTCALRGWSPSWGTELFAPCRTGG ERPDVVYVKDAVAGTLHELTNEHQDVDMTLLAARMSDYSFHVSMRCDAAQQSLHRPIY DGGEANVTEQQWSFDVLVYSGFHALMAFEDRGTRFAMSFEDLRNHTEATMGGKVYFAA GSTVCSKHITIPTPTLQAIGVLLRRVRCCANIVECF XP_845628.1 MPFLASTTKPPTAVSGAVTGNFLGDGEKLVLLSRLNIVSLFRAD DHSFEHVADFSLFASLRFVESLPLFDNRGCGRHVVFFLSVKQEVSVVAFERRNNGLIG MVTLFHGDVETYFHQGKINDASLCCSGVYRSTTGNLLPIVIFSIYRGGVIGFDVAAAI SGYSRDSKTAAAALNALFPSDYVQGVLKKTKRRSNFAHGNFAAAEIDVRSIALDHRDG IDGAVSLLVLYADLTGKTHVSEYLVNFWRNADQRRVPKTQGRSRIPEALNILPGGDII QRKGLLSTSVETNACLLHVVPDGFFILGPQLLNFCSWKSSGVQSGSSKNVTTLEVPRL GAYVEPVCCATLPGQELLILFEDGSYLKVSVRDDCEGLNSGKSMLSQSSGAPLRTIPK CVAVVGECCVVGSHMDHTLWMRWLTGESGVLVYNCGPVFDVTVAADGSRTSVIAGTGV GLNGGLSFVRSAVSVRQDIRVTGLQNVRQISVSEDTIIFSLPGYSRVCRYCVGETMVL EEIHNTFFGTEETLLLEYNNERNVFLQVTTAGLRNVWPDRGEYVIRVINNDIGHAHAS EGLLVFSNPANLYVFCMKTLTPVATLCLADAISCLLVFSSSSLLVGTWGSCAVHLYEL HDGMIQSKVILQCSATPCSMCVVFHSGGHRLLVGLHNGYVADVPISGANVCGEMVETM LTTQPVRLFNLESHAAVLCLGEIPLILIVTNTGFQLTGIDFRDVSACAIMPKMRSPSR YIFFSKSECALILGSITSVQKLNTDYVGLKATATCVKYMPWWNVLVLSIRRIEKDQVV STMGHEVSNLSVLLDEPNSFELLENERCAFIDCVALGQANEWGSCGEVASDAGVVLIG TTFVFPDEQLSRSSRFMWCTVEVAKLRTEKTLLRLQGSKDVEGALQCCCIVPNYAGRV ALGIGGCVVLYSWNAADATFVAEETIQIGTLIVRLIPVMQKEVSYIVASDARHSCFFV RIDTIQGSLNIVARDPELRGVMDCAILQYESRHDVCLGDDLFNFFCVSHVEPLANSSG VSAPAMPTKKLQTTAQYHMGDLITVMHQGSFAPCSVLNDVVPIPATLVRGVCGPQIVY GTSHGAFGAITPISSETFILLKGLEVSVASVVPPLGGFTHASFREVLRVGQERGASRN ASFQVTNPQATELFNRRRRRCVPRGVCCGDVVEMFLTLSGGDQLRVVQEANQHVRRWF AYAWPTLEEYAMSDLDDFSSNQGDELAPDRIVDAEINSLLLRQALPTLSLTVEAVTAF IQTIQRIH XP_845629.1 MKYLAAYALISLSGKTPSKADVEAVLKAAGVPVDSSRVEELLSE VEGKDFDALCAEGKAKLVGGVTAGGAAPSGGAAAPAAAASAPAAAAAEAEEEDDDDMG FGLFD XP_845630.1 MKYLAAYALISLSGKTPSKADVEAVLKAAGVPVDSSRVEELLSE VEGKDFDALCAEGKAKLVGGVTAGGAAPSGGAAAPAAAASAPAAAAAEAEEEDDDDMG FGLFD XP_845631.1 MALQLNKDKYNTNELSMASLVALCSDCKRLCVEKTGRMVENMSR APRGWEEEYTSAAEKRRGEDYELCHSRCLRVTSVCPSPTALEWFESLGYRRVAEMSPT DGIPTIQQVSRCEYALTDFTNVLFSLAHKPSKVMFDKVNPKTGERLDREVGGESSDIK KPVLGAGSIDEAILNRWSSPKRGRRDMEDLGLIRSPHDSTQFKRELERQDMKVGGTTP RW XP_845632.1 MDSSPVYPYLLFPNADEFHAADKVKYAIRHAVGQRSPNRLLTPR ELREHHRLVRSIEDLELTLLLKDTSPMAVESSAPFDGNHQSSTGSLSHNSGGFPSSST ASSAKGICMTSGMSAGAEEEIWDNGRVNADLWNWPVEALHQQYSLLIAIDFGASSFCV SGRGRVLVDYVPCAVKTVLDVISTTLKTRRDEMSQIKRWVQLHVEESEWENVLGQVWD PVVDVTIILINVPVFDISPTTAHDEMRHLESVKVSGGDDNIMDLFPETAQSFNRFERG FSDETINNCTWIPLVTRCAAEALLRDSTLISRLGRTLSAYEEACRAQSCGGWPDVSLF TSIEHLVRLVPERSSRCTSVVLLTNAGEEALFDNYSLLESCVRRKNIILSILLLSEAG FLQGSNISLLSRFLLSVGGFAVHLDQWLSLGAHWLNVEWCRRFVGSRCLAQQLLVQLE TKFPINVLHLPQNVPLTVTSRPDNHYVLYDASHGLEDFSLPNTSGVVQLLRCIAEGKV NEGWSVVMNYSSDASQVAHLYARWHCNMKRGRLIVSYEMDISYPVVHRCVCVSGTRLL VDQFVKAKGEDRGVSAGVGMARTSWATSLAVMRSQFDGWMRAEEVAVQCLRTTENPPD FPILDELRRLSSREGVSSWWLSYCNVRSIGIFFRWDDETLRSHHSYTTAERICVPSVT GEAIHVLRAALKKNHRLLGGSEGSDIYIFGSDKGDVQYVVQTLLLDEPTASERGSLLA VGFELRFSFFLRDAEGVERTLAKVAGDVKACLSSSKRGDSRISGRQTLVVEVEADERN RREVHFYNSVVSCQRFEQLCEFHGSSASCSALSDRVASRRSHVNELRSSSGLVGKVKA TRTAHKFVYQASLSPYIVSPWVLADALVLHWVVETAGAWGTFANASFNLFVMRRIRDG FRLLYCMAQYKAVLHVTRAYDEHDPVDVFDVVVAPPGTADGRRGSQIILYRVVRPFKF GVSPRYRSQLISDILKDIQANTVLHTFEALSASAVLSTEGSSQQPKDLPRGCLGQRPQ PQLLLQFCSPDCGYSISLPILSSRNGEEAGNDLRVAVQRLLSLLCDDYTFVSESLVPE SLQQRLYGTVSLKCDAPVGIPPLQQQQLLVCVLRPAQCCCVTLLLVPGPSTVPSSGGN AREVTVHVLSLDAAALYEGVMRHYGRITGDVDKGGVHSCSDNSLRESLNILLTSFSSL HVGSQFLRYERSHQGNFHDLRAIWGEEMLRVFTPFQDYFREIDVSYLIFMHHGSFIDC TNEEPCESRLESLIASLLQSASLRPMSTNPTVFLRATEVVEDVQVEEGVNTSNVSELA NVPFLMKLALLRVSEPGYVNDCAWISAPCEGVTGETDCVKLLLDIFMQRKRYHQKQRL VLQCFIKTSPCQLFDVAGGERSLTPQVARDIFRKLQETPRTQGGSEPASNLQTFFAEE MHTETLQTLRRWSLPYEEIPMKARLSFDSLIWGAISQMVQYSLTESLKSQPFEELRNV VMGGQTSDAEEGLVSDSGQPNKVTSDANAADARGEGVDMEVLAHDSVDVVEAVSRIVG LSELMSVTVIPALLSVPCFELDSFTVQFTRQPACADNVEPSIVLRDVFTRCLSEDLVC VVPASDFCYLFIPNRRYFSSWWLMIDVRTVQETATECRLRLLCNKPRDPDVCGRVGKH LRRLIEARVREANQMYLLRQLRDTQSANKELIPFHWGNQFNPPAPINKNKKGSGPPTQ LAMTSMPFHCRGVAVRKIPVYYKLQHQCQKVLNRITNSSSRLELINIYNREQCFIVAD DAQKDTFHYIRLVFVKDTSSQPSVTEPAPRLSPADCCPWVVVQLFSATKNALVSRPLR KLEDFLYLLAVQELRDHLNYVQHKVISSVDLNFLQYKALDPITIDLKDANDDQNLDET DTQLAFALVVLSLHEMKFKHFEVESEQTGAFSNFMEYYKLQMRTDRLTNASTAAQPVL GDVYKCGWNALLRFVKVIEKEADVLVSCSVQVNNDSRLVVSKFLTKAPGDWCCVGDSE KTYLMQIDDAVSIGLSRWRFFIMTRGFGVMTLSQVVPAVDKMLDFCTSTLCANSAVLR LKKFSLDRVSLAVFPLLVERLVGVLEPLSPVCFVCKPNNPPSFLVGFPWRWCEELQGG PQTEHAEFVVACGFHISVGGSGSTSGSIVPVTRAMRVIHPDLPVSKTPALARSQFLLS QNIKVSSSGEPRALIRISLAEGLSVCLLDLLDSDNWMQLVGHVVAEVEKESDLMHDIM VQRLGLSLPARLTESILVSSCCGSSVDVPQDGWINVRTDECRWTGSNVRLPPQSTLRS DMLTIVMEGLCNRGLLANDTIRIEDAVTALYNECPQLSLRACHDFLRNFVREGVFKVK HKSLTFRTGNTVSLAEDVMTACAFRRHVHGARLLIESQHWQKGNADSLQQIESFWCTA RRDGEVRLAQAVVSLQSHNKQIYCSRVSDFTLRSRTHWQPSDDILPPSLPRGANSHSE YCPSVDSALQSYVQHIHGIFPTARVVDLDPRDTTVTSDATLLCRFRCRYGKMADPQGG EITFVPHLYYVLVPVADTVKMMGLAPLREVGDHWIVKGGLFIVEIGFQVVHFALDVFM VSGCSLSDGTAANAATWFKRKLMFSTVLYDLTVWRLLHCVQMYATHLPGQWQTSDAIE NLVKYYPNPPNESLNVAAVFDLDEPKLRRLRRLMPNEQNAAPGVNAVNDVHLQQLIPE QGELLHRESTGEKYQYCGLMLWRSLRLLVLVSTLRDVAHCDRRQNSDLYKLVMNAKSS LLRMLIDSTLRQQLQLTWKRFLTPPIEAQELQEGDVEEALQDLRVLQTKSARVSIVSF LEPFLSLDLNWANALFNSGRYLSRLCFNEYALHLLPVDGSQTRGGAIMTLGSGSLDCG CLVVVEFSRCEERGVIENGVLYRSYGERITSTSSMSEAELELVECVLGILSSLLWSGE AC XP_845633.1 MTAVLLAMQTVVLLPAMFGGAAETSIEAVTSPYHEVMYLEKLIS NFKGKLSTARSRATALSNDYSLLTLAAVCTEDTQKAAAYIALSAETKEAELKQEAAIA AGEAQITELVKTLKARVSQTKALIHAERDAVDTQVATPATTTQTFLSAGTGEHCTIPT SRGPSTTDSCTEAAGSGTHIDKAVVELQEIENIKLLKDERFLTPEYELIVAAQGNAGT GGPHRSYKKGACATSGDSGASLRSLTVALGVKSITRKKGEDEDPAKQSLFKGQGAGSG CVDADTDSKSHLTTQKKVANAICRHRGADIKLYERPLLKSKTTLANEGNLRALAQLLA TGKVNTKEEATSQTEAVKALLPAGESTLTADLVDPLATEEITYDNDNNPVKTTIKDTN KPGKFATTLAFSYSRRQRKKSAITAQKEVSDTKKEKCKEDTEKSKYIADTDCEHKDGK CKLKEGVNMENDGKTTNTTGNNSFVISKVPTFLAFLLL XP_845634.1 MNMLIIRFLALCILLRNANAAKNQHAVEFGALCNLFTLKDAADP PATEETSATFSELRTPIYNLNVSVADDDFLKDANGKYKDLMQGNDAAKLKAWQQHITE IINSKHGDENKQIYAKLTNKAQKASAQAIITQLIKQTDAIQEKYDAAQKTATESHAKA IEDILTAIYGDKDSKYTEGDFGGSRNSCGPTSNGNSAAGKNLLDDMICLCTNKAAATT TECGSATAPQVDGAGNTGQQAITHVISLCKSPAKPPALTGELIEARLAIFHSMLGRNP NGETGDEIRYVLGKYSASQCDGASEQNCVNYVAQLKTPGTGITWENKMRDAIEKLKAG QTASAALRHYRQQLKNLALTARNAYEAAKLATEGTTLPLPQTTPGVVEITETDTTCEK KGTGDECKPPCKVEGTGKDAKCKLNKEEAKKLEEKTEQKDGGDSKTTNTTGSNSFLIK TSLLTLAFLLF XP_845635.1 MQSSQWLQPLVLFVMTLLLATGLKRVDAATGVGIKKTTWEPLCQ VSEELDGIEGHVLQEATEMIAATIDFDAAAKRARIFSLKNPKHKWTKAAITIAAAYEA KAATAVRQLKDTYIKQQVEAASRSAYVKGLVDDFLKLLEQTVDGSNNACLLADENADT PVTRSATTKLGQTECKLTQSPITATRRTPTHITTAGYINLVEGTGGNKHQPTAATKEC HLTTAHNSKGFAKSGGTDAAVTVMAGYLTIPNTAGELATANKANLIKTTSDGLKHWAE AHAAIKLVDRTLPTEYANESGDLTERAALKEAAQNLFGEAKDHQGSDEKKAIETVFSN TNVDTINTIITLIEKEDIPKGAAMRQTPTKLEDIKNSIELTNLLSYYQQRLSQDFATL DKRLEESTKHQDLKATEKICGEAKDDEDKCKGLKDKGCSFNTDTKKCELKKEVKEKLE KSNQETEGNDGKTTNTTGSNSIFIHKSPLLLVVLLLK XP_845636.1 MHHFQLQLPYVEILLMVATQPIATNKDSTTVKAACHEVAFNDKL AAAFKQKIDGLAAKKSQLLKEAEALDAAACLATDHKTKKATRMLASIGSSRAAATEAN ADAVKQLKASIETLKRRNAQLMAAIAFNTKGDLTISHDASAQKSDLIGGAGAKSCSVT ITQPPKNEHGCQSSLVNDPAINDDVNNVQNLDSYQAIHDKAFQLTDIAADIGNKGDYG SATPATHNKKIACVDTGDRSNKLGSVAKGIILTNFARKADWNTPTKNTIKSKGDGTDC EDDQDSDKKAFVTAKAAGYAICNGRRQTVTEPETLSQLTMDALKEQKDVQEAAMLITA GPTAELPDTDKQKEAVVALVGEGKTTVHDKFLKDMEANKLDFKIGDKHVNKGIVSISG TEDYARAIGFCLGDQYRKEKAQKKVESVTAAAPENNKECKGETDEGKCNEKVGCEFKD GKCEAKVTETTGTNGKITNTTESNSFVINKAPILLEFLAL XP_845637.1 MFTNHYAALLFILFVAEYSQCDEHALKEATAEKICQYSLHGKTQ ARSLAAKLQALEGSLQRYTKAGAQVTLLQLHSSSYAEGAAVLGSFIAQKKQETQAKLK ALRKDAPLAAAALGYGAGITEEFISLLKQPVAANRGDNTCTNPKNGNNLEQSSLNGCA PYAFSDSTSTSNYLTDYGSAPFPTGGGHFQTTKNCKLFQANLQTYLGADPSNTDKMSF GGGIIVLAKSTPLTDSNVKTSKTAVSHVNSVLQALEKLKPHLQSDLSPSLDSPEAVQA FLDGKAKEDLKDLLKQAEGKTADLTPTEIDNAIDKYFGKKDSDGKRGIIKQLEKEDFK IKTESSTKPTALLDMALDDTIRLTAEKIVELRKQAVTSEKQTCDTPVNADGCNEIKIE EDCNATAACSFNKTETDNNKKCKFNETKATANGVPVTQTQSGGTTTPSDRCTKHTKKE ECEAENKNAKAGEKAVCSWIEDKCRDSSFLPNKKFAYEYSCRFMNTVIL XP_845638.1 MTLIPAHASVLLLFVVAATRYSHAADSEALNLAELTTVCSLIKI ANDDGTDMPTAQLETDEIAAINEVNFSLADDYWTKAFPTDGIKTKAEAKGCEDVQSKD SCQEQWLAWQHIAHSLANKDKNNKAAKISPMQKTSSVGRAAALAAAAIAAEAATLQAR FDAESRPALTDLKQKVLDDLKTAAYGAGKAETLAENKCPGAKGGNRAADCKVATAGNA LCIVSVCLCAKNSGDQPNTDVCGATLTQDVTSWNEAGVKAAYRELEPHCLKMPSVKPT GANIRSLLAQLKGLYKTKGGGSGKSVILGTAGTNHDCRAEASAACVDMSASTLLAATG TATKIQWEEKLLEAANKLDAASEAATVAKVINTKLKALRAKADKLYSHLALTKPPIAS QEILTPANKDQANNISKDCEAIVIPEECSLKSECEWNDKATEGEKKCKLNTTKVGQAK TQAGETKGNEQTATEKCTGKVEDVCKKEAGCKWEENKCKDSSFLPNKKLAPSMASAFV IW XP_845639.1 MCELDILHDSLYQFCPELHLKRLNSLTLACHALLDCKTLTLTEL GRNLPTKARTKHNIKRIDRLLGNRHLHKERLAVYRWHASFICSGNTMPIVLVDWSDIR EQKRLMVLRASVALHGRSVTLYEKAFPLSEQCSKKAHDQFLADLASILPSNTTPLIVS DAGFKVPWYKSVEKLGWYWLSRVRGKVQYADLGAENWKPISNLHDMSSSHSKTLGYKR LTKSNPISCQILLYKSRSKGRKNQRSTRTHCHHPSPKIYSASAKEPWVLATNLPVEIR TPKQLVNIYSKRMQIEETFRDLKSPAYGLGLRHSRTSSSERFDIMLLIALMLQLTCWL AGVHAQKQGWDKHFQANTVRNRNVLSTVRLGMEVLRHSGYTITREDLLVAATLLAQNL FTHGYALGKL XP_845640.1 SLINLEATAAEEAIKLSGAEAKLNGPLPAGLPTKVPPITTVTCE VHNKSKTKCLEAQCKWGGKKDDDGPCQASDKQVSEQTKQGGRDTTTGGDVKKEDKCTG KDEKTCGGTQGCKWEGTECKDFSLLVNNKISIIVSVSVSLVAF XP_845641.1 MLKVAVTALLTLRSCAGPAESRGTSLKVGTGGVGVTVSTYSGCS ESWSPDGRNMVCGSPSTGPSKTVRRSNSVTSTPQKIQGQPVSGSIAGAKRQEMQTAAP SSGGAPHLTSVTLDNPREGKTQTKNTSDAVIRGPTERLTDLSSRGEPAHAPVGNGKAT ERVKQVHDGEQRDLEGRGCKDQSPARSEPASGLMRDDGMDVSRPPARRTVSGAEQEST RELMTRNLSEQESAENSTQEKKSAANKGHAVMISAALTLISF XP_845642.1 MYAIKIFVISLLLAFHTATSGASDFLNEDEFVALCLMIKVTKNA LRRIKEAREVTKDATRIGARCLQVAGLGILNKICENTDDETCGRRRAFWDAAKDLVNR RHGGVSMRGADSEAEDEEEEGSESADPEESDWEETDEEESHSKEEDRKSHSHEEKQKI HPKEEDQKPHSKEEVQEEARREETISTNTRLDHRTLEKVQQIAGTAAQVYVDITKTPW VPHSGDLERKIYQALYGVPREPEEIREGTASRDGVCRQKATRSAVNNPPATLSRDLLC MCATDVESREKTKLCCQNCVAGPNLAVWKPNRDSWERWNYLRAQCAVVRDLQIGFKEM VKKFTHKFGHRHDNSIGTELYMGFTTRYHMWPLSLFHKPVPGPGVVYVLMGDRRGDED VPWVRLLEQVTKEMGGLPLGVGEEKQYAETLEKLDSELKQLFPVE XP_845643.1 MTSRLFADIVDASKCAKFFPKTPSAVQEVAAEAKRRALEKLERI YSLSSASRTFLNTASVADVAAAEIGVSASLLSVAMNVSPDEATRQEAKQQMVDLQSFS IDHFESNKRLFESLKAVSQSNAYRDEYVNGGRDREYSYWLDEELKGYKRKGMELPDSE LEKVVSLQKELSSLCTTFSRNISEDKSEIVVSAEELAGVPENVISGLSKTKDGLFVLK MDYPTLFSVMKNCEVASTRREMSRAASNKAYPENLCVLREIVTKRQKLAELLKFSSFS ELNLDDKMVKSPEAARAFIDDLVPRLQEKWKSELELILRNLHSSCALTEDGRLRDYDV PFMINQVKKFKFNVSETDLQEYFPLDTTVKGLFDIYERFFDVTFTRVDNGDELWHKDA FTLHVLNNKTKDTLGHVVLDLFPREGKFSHACCISVVPPVLLEGSESKFSPALSVVLA NFPAPSAERPSLFLHDDVVTFFHEFGHAIHSLFGRSKMATFAGTRVKRDFVELPSQML EEWVWEVDILRNISCHYKTKEKLPTELVESKVNTRNTFSGRDSLRQLEFASYSLELFS APFARVKDIKEMDTSALMADIRSRINPHIKYDDETHFECSFGHLTGYGAGYYGYMWSK VFALDVYDFIKNNGGLLNPAVGERYVSEIIGVGGGKDPSEMLRNFLGREPSSDAFFKS LGV XP_845644.1 MPVGWSSSSSSSDEELRMRLMANLRERRVCGRAGPSAPSRFVMY NGDSIVVKCGTVFCPLRKRYFHLQAVKRNPAAYFGDGTLRRYERSSLSLDSIYLIDAS KERNINNQSRRVNLLQSVDIGFYIYDSQSMSCNSSCVKNSSSKVCTDFRYERVTRPEN FMRSVSSPEVLRTQSCSWVPVPYQIHPSCSVESYAPGRFAFFERGTQHCLSMVENDTV THHQIDSIDSGGSAVGFYVRGRVVVILQGKFVRCLTWDPDNSFISPKCVFTANLNAVF SCPIFASLPGDAEEACIIFGTGRSIFSVAVPKEDSPLLKKLCAFSVTPLSSLETFSFG RKDNTLLLCGMRNGTIQVLPLCDPNPRGVSGVAPRHDKACVSYVRRIENTFNFVSVGS NGEIKLWDLRYMHVNTPVGQMGCDVAASRYCGSEAVFMDDIACVTSANGHISCLNARK WAHIGRLQRIAGGNRRLHIIESSCGYDLLDADELGTVSHALPVTYSSYGMQTDMPLSK VIYLYRVAASLSPSFHCFQFLSVCVLIRNV XP_845645.1 MFRLLCRRSAANVKMQDASLRTMTKVDFSDPSIFRQKSLWWLLR ALFVLRICKFEFISNNSVALMKRAEAIFGPFLTYNTLVKGTVYGHFCAGESDREVKNT VKSLENLGIGSVLDYAAEAEAEGFAPSPGIAEAPNLSMASLVNNTSVTYLPHKQAFDE NMKLYVMCVLHAALHKPEGGVGLAAVKVTGMCDPQLLARVSAILHSVHRDWIEYFTEE QPPPVEECNVVMGTKTEHKRYITRDQVRKGLTKLASSQKYTEDEINAVLQVLDPNNEG KTNYYKFKTVVSEAVLALDPTPVQKIIIDKLPKLTTEERELWRHLHWRLSVIVRTAKD LRVRVLFDAEQTFYQLAIDNIVLQFQRQFNKKEAIVYNTYQCYLTYTEDRVFNDLTRA ELEGWVWGGKIVRGAYMRQERETAEKYHYKSPIWPTYEETNACYKAVAERILREIARL PETRFEALFGTHNQKSLEEITEAVLQLPPVKGYVAFAQLYGMSDNLTIPLKRAGFPVF KYVPYGPVKETVHYLGRRAMENASILSNGGSREVRLMRKELRRRVFWM XP_845646.1 MKSKSSKQVLLPLQGNISPSAESPRPGSLMTTEGRTRNYKDLIN RTVFSLIMAYFFLGLVSIGAEAIIFLLIIILCLMFHEVSRINQRERKNKQLPSVFIMK VWFLCTTMFSMTAYSIRDPLVATYPGAMRYYRNAWMIAFGFPLVGMVGFVLSLRKGMY RYQFMQLAAIVMTLLYVTAQGYAQISNVMRGMLWFVLPISCVINNDTWAYIFGKLFGR TKLLALSPKKTVEGFVGAFVFTIIWSFWFAGFLSYFPHMYCAKTDFHSAFHCEKDPLF VKRDVPMPAFVQALTFNRLTTIRCARVQQHALVFAAFASLIAPFGGFFASGLKRAFKM KDFGDLIPGHGGITDRMDCQGIMGFFTWVYLQSYVYRDENCPSWHTISSCALQLPEEQ RRSLLSTLNRSLTE XP_845647.1 MCSSVAMAEVATAATTAATKKDKKAPQEEVVVQQTDQAQVGVII KVLGRTGSRGNVTQVRVRLMAEEGSPEANRTIVRNVKGPCKEGDMLSLMETEREARRL R XP_845648.1 MCSSVAMAEVATAATTAATKKDKKAPQEEVVVQQTDQAQVGVII KVLGRTGSRGNVTQVRVRLMAEEGSPEANRTIVRNVKGPCKEGDMLSLMETEREARRL R XP_845649.1 MQKQRITFDAAYKFTLWYSLLCEAQRDRAKSVERARHGSHVRDV ASVLVRASLPRRAYKSCCLAGVCDRDSLTHKAVHFGRPEVHITHGERDDVYHCLAHST ACIGRSVDLCVKNYCSAAPLSGDCYGGLRCIIQHLQLRSLTFSSITSLHDAQQVVNTL SAAVRCGLRWLTRLSIGGIPLYADLGPLLYSLRETDAITELRLEECGIENVDGLGHYL KSSKKLQKLSLRGNQGICGDMKSLAVAVSLCSSLQSFDAGLCRLNDTHLSEFLLGLDN FLKRSCFSLDMSSNVLTKTCFLCFLEAPLAFRREVTQLDISGHNFNGAGDGAAAMLLE LPALRGVVFDRCDIGSRDVQRLVRVFTRKDRTWSCLSFRGSALTLNDMKRLVMTTFAE RSRLCIGGNNIGGGIQKLCLNIVLPFLCELDLSLCDIGDTGVLQLANSIEKAQPVPLR SLRLDNNGIGGEGVRGRGGLQFLGRALRSSYSPCLEVLSLAHNKLSLKPLLTLVEQVS STLRELCISYTPIASDSCEMADLVGRIMRRQKGSHAFDELDVWALRSTDLDSSCGSEA TSWLERQRAVRVIMEARV XP_845650.1 MTERSPALVPAVSLLREELQLLNGVVEGQARSRVYRHHIFFRKA SFLRSALRNALPQFARRAADPTDLRTVAGRLLTLTIRCAESATLELSASHIDTVSVAL LLLAITSRIGCVLCVVLGRKPETFGKLHFIGSKFGVKYKRSRSEAELAEETIGVATPI RRRTIGGVIEAVIK XP_845651.1 MSSIGGFKRRAPKSLKTRRALKKYDPKVVENPKKILFLRGTKTS SVVNDAIVDLTAVTKPYNKRLQKRNAFYPFDGREHLEFLGFKNDCSLFCFGNDSKKRP HNLVIGRHFDFHILDMLELGIIAADRMEMPRDEAIDLASLGGKPFFVFEGSEFVSDPT FVRLKNLLIDFFRGSSETEINLDGYDRVLSFSLRSANGGDVCVPPATDCYGTGKQQQE KGNTILCMRHYALHKPSTAAGIPRNFGANIRLLDIGPNFDFILRRASFATPAEFKVST KLPKEVLATLRSTAANVSADPLNNLRGQLHVGKQDVQQLNLRRFKAHKRSARSATEGE NDTQQREEAEGEPMVKRHRRRRGNENEDDINPDRDI XP_845652.1 MPLRFTSAFLLGGAMNVFVGGAAYAASKAYTSPYPQNPKNSVVY FDTAVQDGHTWFGTASTSPVGRIEMELFDDTVPITARNFRELCRGYTKATPEGKPLHF KGSIFHRIIPDFMIQGGDITKANGTGGCSIYGVRFKDESFEAKAGRHCGPGILSMANA GRNTNGSQFFICTVPCPWLDGKHVVFGQVLRGFDHVRLIEGHGTPHGKPTKTVIISDS GVLKEPA XP_845653.1 MPNAIGLHCMSRMRELHLSGSCVTDRHLCNVGVGKCLVRLSIES CTNLTDVSLLTAVETLEEVRLDGCKNVVKGINEFGRMPYLRVLILKETSVTDRCLRGF ASSRSLVKLFIESCSQPTDVSPISAVETLEEVRLDGCKNVVKGINEFGRMPYLRVLIL REVGVTDRCLRGLSCCRSLVELALEYCLQLTDVSPISMLETLQKVRFDGCKNVVKGFG ELGRVPYLQTLSLNETNVDDRALFTLRATGSLVELSLESCPQLTDVTHLSMIDTLQKI VLEGCANVAKGVGLLGRLPALRELYAGATSIADTSISALSRSGTLTKIDVKFCQGLTD VSPLVDMKLLEEINLEGCKNVEHGLPCLEKLNLLRVLHLTETQMTDDYLRGLCASCSI VVLDVSLCDQLVDMSPLASIETLEVLRANNCKSVVRGVGALGGLRALRELGLKATMIK NKSLCGLGQSRTLVQIDLEACERLTDVTPLSQIRTLEVVNLNGCKNVVSGLKSMAVMP RLRLLHLMDVELGSDVLDELKSMNVWVNK XP_845654.1 MVWSGHKYKRLLPLCLLTLLWFLSLSRFRRVEVPKQRENIQHSF EPTLTTLNEEDLQSVQFIPQATVDVLRRRDFFVAVGFLSPDNNERRRRRYLLRLTSFQ YPGVATKSNNFSGELMIAFILARHPDHNYTFSAKLKEEAWHWNDIISLPMDEGKVSTN KTTGDGTHWGPATEIGMSRKVFHWYDFALRFFRNVTYISKADDDAFLHVPQFLADLHT LPRRRVYWGYMKPMTVKDPFYFAAGILYTLSRDVSEAFVAYEPLRKIIRVPYSKEREM EFKPLILENEDIMVARVISYLRLDNLRYYVESCCRFNDLNYGFCSGVRDTSVIIHGVK EGQYADIVNRLGKHRTVANPFYRGYLGWHASCT XP_845655.1 MQDSVLLSEAFDASHRPWPNRPERKKGVSPSGRDFNMTLYRMKG CPYCERVEWLLRYHLVPFEIVEVGPLTTKGFPDQRFVQVPQIGLEAVADPNNPHAAEK SVAYVADSQHIIAAISAPLGFTKQLSDPRIMETRKWMMERFQAAGFLAINSTWRDAYT TYPHVTPSHYYYQNPIFHVVGATALYALAKYKVAPRFAAEESATSGFPSMENSLQRDP SAWLAAELSGFATRLSGGCHQLHGGKEPDIADVEMYGLTRIIDAHPRLRSALHEGPLK EWCAAMEAALQKRTHVTKK XP_845656.1 MYTSGHPSTRSWGTEGINAPLQQKATYSFPLKQPRRHQQQQQQQ QTLGRQFDAESTHQASPTAAKLFVGQLPFETDEKRLYDLFSAYGTVEHIHILRDSQNR SKGAAFVTYSNVEEADTAIFTLHNRYKMLANRMIQVSYAKNSANISLFGACNAIAVHR MNPTNPVPDMANVTPESRVI XP_845657.1 MDYNEISPSTERRIEDFFAATTQCTRDVALRHASLNVKDVRMTF VGSGAMAASTSLGGDASDLNGSVVEWPMKASKTLASHDSLNKTGDVYVDQGVLSFLID ALTSAHLALLVKMKHVSVSLTIDFVRPLLSERPFILVSRLLRSGRRICSLSAEVRQEQ NGKTVLCARADHTKVFNDTKSPNKTRRAAISKL XP_845658.1 MPSFTHILFFLRFSQSRAVGGREKKPAYSFRSSDRMGNVRAPVK LHSTRVSNTARVSSPENPVHFIFLHGFLSHGGSLMSLARRLHECLATERMAASVEAIV VDSRNHGRSPHTSTHTLEDMVADLREWLHWHGYVVAGKRAEGNLMTPRIIAVGHSMGT LTWAKYLLDHRHGDVHRAPVAGFVSLDMPPLTKSLFPSSLRNLLHEYIDYMRRVRLSA ITDMRSAHEEFNRCGIRDRRLQGFLTTNLQIIRKSGGAPPDVSWRCNLPVLDENLRNG SIFLTDADARVCARGEIDVPILSILGAESEVGRHKRLQGLWKGCSIATTEEHILPKAG HNVFYDDLSGTTSLIAGFARRLETLQTS XP_845659.1 MSLASVPCTQFLVLRHWKAPAVISLVWLKYPITITNRNETVTSW ALQTFESVTVQHHEVMSPGFWRTVFAAKGKRRTTTFASDRGAYLRFTTPTKVLTTSTD CINFETNHAYRKITSVLACAFSGTNRNHTHTIQTRRKLFCDFVTPHPLSKVTERFPVL ECEESLFGKTLQTSLCFHWFGTELWKTETFLIYQIAVGKVVFSRHRSSGASPKGGCEC NSSVEYKTNGIFLQEACACMQ XP_845660.1 MTARFLCLLAILTYVTADSIIEEGSQNTVSHVSAACLFSEALHG IPFGVKALKALAAANVSDASKAREGCQDAVRRAEDAFSSTPKVEEAVGRARAALKEAE SAENAAKTALSDVEQYAARAPLLAAGKTAPVDDYLKSVAEDNSAASTARRIARGCSLP NRGVNSWVLKKAVEFGCEFFTGDICKILTDGMADLRAEYDQLEAAVRRASEARVAARA AESNAHKAAEEAERTAETEKADVQEISREEFEGNVEVPEDKEKTERTEVEEVPKKDPE GNVEVPEDKEKTERTEVEEVPKKDPEGNVEVPEDKEKTERTEVEEVPKKDPEGKVEIS DDDHVQELGDDEGEEAEVGNDGSYAESIGGYTLLILLAALSHSAAAHF XP_845661.1 MHDLIAVVECLSTCLTPILQCVERNVELISCFEAVRQLSIKIDS TPPKVCVGAEDGRNVKNSLCRRDDIAEQINFYTQTELAHIFEQPKVLESFARREAERV EDLLKDSQSIMKYDRFQVVMNGLMEAVKAVLQFFPDKTLQCVSHLIATMLRPLQ XP_845662.1 MTARFLCLLAILTYVTADSIIEEGSQNTVSHVSAACLFSEALHG IPFGVKALKALAAANVSDASKAREGCQDAVRRAEDASWNNPKAEEAVGRARAALKEAE SAENAAKTALSDVEQYAARAPLLAAGKTAPIDDYLKSVAEDNSAASTARRIARGCSLP NRGVNSWVLTQAVESACAIFMGEICRIARTRMVDLRAEYDQLEAAVRRAGEARVAARA AESNARKAAEEAERTAETEEADVQEISREEFEGNVEVPEDKEKTGRTEVEEVPKKDPE GNVEVPEDKEKTERTEVEEVPKKDPEGKVEISDDDHVQELGDDEGEEAEVGNDGSYAE SIGGYTLLILLAALFHSAAAHF XP_845663.1 MHDLVAVVECLSTCLTPILQCVERNVELISCFEAVRQLSIKIDS TPPKVCVGAEDGRNVKNSLCRRDDIAEQINFYTQTELAHIFEQPKVLESFARREAERV EDLLKDSQSIMKYDRFQVVMNGLMEAVKAVLQFFPDKTLPICVSRWKVALVQSLTFFQ PAPHTHQTELLERLSALRKIVQQLLLSTQKMCEDYVKSAEVRRLRPTEVESINSQLSE QLWRPLNNVLVICAAECQQAIKQTVIAPVIATADGYESQPLWRLQSSNGGSAVISNSV LHTPLHLAPSEMVRGFGEAIVEIPLALESLRGGSEGRFDGIEELLEEVTEYWLDDIVR TAVSDFIESKVANIIMCFPLNSDDAAALAVEQLVTDLSYLKSILAAVSDDPFERLESV LSRLKTASIKSGSTIAIRELIAEQGQSKE XP_845664.1 MTARFLCLLAILMYVTTGPKGGEGFQDTVSHVSAACLMSEALHG VPNSVKVFKTSAAANVSDASKAREGCQDAVRRAEDAFSSTPKVEEAVGRARAALKEAE SAENAAKTALSDVEQYAANASALANNNVALVDDYLKSVAEDNSAASTARRIARDCNAP LEVSSWVLTQAVESGCAVFMTVICNTARARMADLRAEYDQLEAAVRRASEARVAARAA ESNAHKAAEEAERTAETEKAKVKEVPKKDPEGKVEISDDDHVQELGDDEGEEAEVGND GSYAESIGGYTLLILLAALFHSAAAHF XP_845665.1 MHDLVAVVECLSTCLTPILQCVERNVELISCFEAVRQLSIKIDS TPPKVCVGAEDGRNVKNSLCRRDDIAEQINFYTQTELAHIFEQPKVLKSFARREAERV EDLLKDSQSIMKYDRFQVVMNGLMEAVKAVLQFFPDKTLPICVSRWKVALVQSLSFCN RLELFEKHSGCS XP_845666.1 MTARFLCLLAILTYVTTGPKGGEGFQDTVSHVSAACLMSEALHG VPNSVKVFKTSAAANVLDASKAREGCQDAVRRAEDAFSSTPKVEEAVGRARAALKEAE SAENAAKTALSDVEQYAANASALANNNVALVDDYLKSVAEDNSAASTARRIARDCNAP LEVSSWVLTQAVESGCAVFMTVICNTARTRMADLRAEYDQLEAAVRRASEARVAARAA ESNAHKAAEEAERTAETEKAKVKEVPKKDPEGKVEISDDDHVQELGDDEGEEAEVGND GSYAESIGGYTLLILLAALSHSAAAHF XP_845667.1 MHDLVAVVECLSTCLTPILQCVERNVELISCFEAVRQLSIKIDS TPPKVCVGAEDGRNVKNSLCRRDDIAEQINFYTQTELAHIFEQPKVLESFARREAERV EDLLKDSQSIMKYDRFQVVMNGLMEAVKAVLQFFPDKTLPICVSRWKVALVQSLSFCN RLELFEKHSGCS XP_845668.1 MTARFLCLLAILTYVTADSIIEEGSQNTVSHVSAACLFSEALHG IPFGVKALKASAAANVSDASKAREGCQDAVRRAEDAFSSTPKVEEAVGRARAALKEAE SAENAAKTALSDVEQYAARAPLLAAGKTAPIDDYLKSVAEDNSAASTARRIARGCSLP NRGVNSWVLTQAVESACAIFMGEICRIARTRMVDLRAEYDQLEAAVRRASEARVAARA AESNARKAAEEAERTAETEEADVQEISREEFEGNVEVPEDKEKTGRTEVEEVPKKDPE GKVEISDDDHVQELGDDEGEEAEVGNDGSYAESIGGYTLLILLAALFHSAAAHF XP_845669.1 MQDVPNPNPSIGTSPSTDPASFAFSLDELASENFDVIQWINTSL QCISSANPDGVETDAELEGRITNLYGRLHSYSQEVASSVDDTITQALVRLPRTGLEVG RMVTEVQQLQQALCKIREEAQPAVEMISKPYVAQLNDLKKTQKKLMRCSETLKKASEV DSNMKQLDDIMEKLRVSVSEVDIDLVAVSIREVRQNLADLQKLEVTFGGKQLEAVERH EQLLQRVVETECMEQLRRRVVDRAAHLLKVLDTIGRADAVLQQFSAESIASEKEKVFC LLHHSESKVSRHPISPVRAAEVLKTQLIPSLGCALTDQLDYLMQLAHVYSGNRDITDN AEISEGPESQPVKVLRLMADEIVECLSTCLTPILQCVERNPELISCFEAVRQLSIKID STPPKVCVGAEDGRNVKNSLCRRDDIAEQINFYTQTELAHIFEQPKVLESFARREAER VEDLLKDSQSIMKYDRFQVVMNGLMEAVKAVLQFFPDKTLPICVSRWKVALVQSLTFF QPAPHTHQTELLERLSALRKIVQQLLLSTQKMCEDYVKSAEVRRLRPTEVESINSQLS EQLWRPLNNVLVICAAECQQAIKQTVIAPVIATADGYESQPLWRLQSSNGGSAVISNS VLHTPLHLAPSEMVRGFGEAIVEIPLALESLRGGSEGRFDGIEELLEEVTEYWLDDIV RTAVSDFIESKVANIIMCFPLNSDDAAALAVEQLVTDLSYLKSILAAVSDDPFERLES VLSRLKTASIKSGSTIAIRELIAEQGQSKE XP_845670.1 MSFVPPPASSTTHAQREVELTLLRFLEKYRPEVCDLWGTHSNIY LHADSNEKEEEMCTIDNEEGIVHSLNRESHEKYLKSRLVKLPEYAQRLYNAQPWMVYW TLQAAEMLGITEKLYEQISQDALGEFILSCLQEQPVEDEQKGCWGSEEGGKHGKGSMP QQCGNVYDFLRRCDADHTCAIGFSGGNYGQIPHLATSYAGVCSLCILECPEYLQALPR SAIKRWLLSLRCADGSFRMHIGGEADIRASYCVAVITTLLQLQDVDASSGDILREQEA QFVASCQTHEGGFACGRFASEAHGAYTQCGLAALILMKRPELCNYTALRGWLAARQLR FEGGFNGRTNKLVDSCYAHWVGASHVLLRVGESLAKITTCGETKRSLTSREMLLLDHA QLVDISNLHPESFEAWSQHEEEKQERASRVEAYLSATPLAASWSSSGVPNVLDDDAGD FYFNQRRLQLYILACCQNREEGGLMDKPNYPNDFYHTCYSLSGMSSAQNLQGMQVNRD GRDLSGNSFYAAAVSRGYIPGRRDSYGIVLPSDERSGVSSELHLSKNCLRPTNPIFNI NQSKVLFALRTWGAKTFMC XP_845671.1 MTRYSLALCMVLSLVFAVVFLRPIAAAPQESNGSNTISVPPIDG DTMLLNQNVILFACIVDTPFTQFDADEFIEKALGILTQLPKGEFNRTSQFELLEYCSW DTRVADEKSFCGAEDEGPTYAAQTLVRFGVAGVDGESLKRLSFSSLSAILPPFIQGED PALFPPDKRQHFVERMMNSDNTFDVVAVVVLFLYPILLFYYLLTSCTRERIRDKQCVE FLRNSINSELAYRQHMKCAEMYASSGQTVQKCGSDAYNVELEDMDPHNRVNVMSREGM ASGEVACNDGRDGGMQKPTQL XP_845672.1 MRPSRRCSAAEPIKGDVYGKKLPESTSTRSAGPISGTDAEKPVL HVWKNLGDDSGTITSFWKTIKSPNCRYSAMLALRITLVAALPLMLISHFYKEKLPMYA VLGLLGVANSQDTVGEQVSFTITLVQVGVWSTIWGSFLSLFDIPKNEALWWSLAFLGC FAVGLTGNLRCRRQAVLFTIIVMEVQRSKEEWSALTALLVGRDIIMSAFFAQLQAILL PVSMNRRVDREMAKACGYLAAIVRDAAKVCWSKDPLEVQLTLPKLSSDPLQDCFITIP GQLTYVSYEVWVSTRQLELRRERLKVLQDVMPMLHGLTAVARIMSAEGAYRKHRHRST EKMGEDAIMSTALERLNDSVNCFCDALSNTMEQLSTVLEPRDVIERVPFDEFATATSN LQSALDKLHYDTMAHDFVPEDTVWYMHLVFAHHMMILLGEEIHKYAENLRNFDPSRFK SGSRRFIEFFFLDVFHGFVKMIKNRFTLATPHDVRVFKNAFKIACAYIVGCAYSLYID KENVYYFGMTILMGVGLPTAGESIMSGVQRVAGLLFSTSLAYAVDRNYHHGWESFVCV LFGVFIALFARVAPAYASCALHCAITLPPSLHVVSDFRLTLSRLVSNAFSVMSYYAIV VFIFPVDPIKVLHNTEVCVVKALSENFSELMGLVHPPVGEGDDEGSEIMSLLLRIKGR REAVWETLKSVRVCMGVAATEPILHGIPYPARGQEEFVPVLRRLAASVDIILLGLLHT HRQRPGGMDHEIQQVFATIQPVVREIDKYSVHVMQDFVDAVHKPMEWSYDLAAEHYSK LRELTVLLRAAFKSVYEDIIAGLRRNAVQIKLGKFPNHNFLDMSCTFDGASFAHDANE MSLSFGDTLRLDELLDCEELTAPRNASFLLAPKDFTINHDMNMSIAILVGINLFCTQL KKTMEATSQLNAFERSRIGS XP_845673.1 MTKLEANAAVYSHRLRNLDRAIVHRLAGDQIIFGEGIITAYQLL AGDTKLVDLEKKRRGGKKFSAGVAFAANASRERKLPQRKGNCGTGKEAPLVEALSLVG KVDAAIAFCEGKLAAIQQLCPSSNTGMHFRQQRSIHEELMPHALGTGRIELLTNDGIT NDVPSKPLLSGMSRRPSNYELSPSDFKGECCTPEQIFIEAREDTQFLWLLRCIAEETI QRYVIVSEERIYFTSFVVAAREEVTAVISAATPHYTPSSVLSELNNQTLVAQSQEKLI EEKKKVWVNGNYIKDSSTDSDECSPVVKGSVKTVCVNMESPILYKKHVRLLPFVQTLL YETEATQRTQIERESHVSLELIDAHRQLMIHHITSKSLMVTEEECMRELIENINDFKL PVEGKVCPKQQKIPIPRSDGTLSSMRDIRSCSSSPYAPATQSTHSCGDTPSHGSTLSS NPSTLAVSRNVKHVTAGHCFTTEQRHILRLQNHGEVPVYICERVEHVKRQQISTRERR AREQLFSCVESGRGDARKMRISALADNSVLNGHTY XP_845674.1 MRECDNCGRISEDSRFLKPQQHVPQCFLLIEGKYLQESPASQLF AVSTPVKSCDVSFYNEETSQKVTFDLPKIIGGANHNSTNRRDVSSTSSQLHLRPLPNE RLNLFLPSRELYMRERALQQMESAALNERVIADTRKDIALIRDMLRSAITARPLKEKQ HDRPNHDETLPPAKVRKAETGKWEKELFDGFGEAADADAVDQLLNSGEATRNRNREEV CVIEFNRMVKTSSLCVGKYGLNIKGIEDMDITAPATEFTVCVEEYKVVSSQGPRVLHE VRHRLYRSINMKREHNKSFDASFGQPVEFFVTECNDLHVFIIHLLYRGRLFAWSSVNA LYEGPWVSKLRKPPVDMAVALVVEHINLDDPILRGFISDKASDDLGEAEAYLNRLESQ PPAANKTVENMNDPSVSAVNLRPRMSAKTGVDKASETIQHTKTQRQNYDENGKVVLAA SPVPPVTKKSFSHKEERESTSPSGPQNSTAILKPYPREYREERETTSPSGPQNSTATL RPYPREYREERETTSPSGPQNSTAILKPYPREYREERETTSPSGPQNSTATLRPYPRE YKEERESTSPSGPQNSTATLRPYPREYREERESTSPSGPQNSTATLRPYPREYKEERE TTSPSDPQNSTATLRPYPREYREERESTSPSDPQNSTATLRPYPREYKEERETTSPSD PQNSTATLRPYPREYKEERETTSPSDPQNSTATLRPYPREYKEERETTSPSDPQNSTA TLRPYPREYKEERETTSPSDPQNSTATLRPYPREYKEERETTSPSGPQNSTATLRPYP REYKEERETTSPSDPQNSTATLRPYPREYKEERETTSPSDPQNSTATLRPYPREYKEE RETTSPSGPQNSTATLRPYPREYKEERETTSPSDPQNSTATLRPYPREYREERESTSP SDPQNSTATLRPYPREYKEERETTSPSDPQNSTATLRRFRRGGMDGRDGRDHTGAVTC QSNGGCVSSKGERSDTKTNGASGTRNRGRNNDGNGDKITGGSGSQRFPVEERVSPLSA CVLLGLRSEGDAPSSRKIGSEREAFASVDLRVNKIVGLPPNCLCARVLVYFVNYISRQ TKGLVNDSSDCELFMRPPNAIGYQKSGGNGTSPTFDIKFDDIMVTNNTYAIVIIEYIT NPKCGPTTFGHVSLPIHTLAPVGNFLSRIRLGDPREPEARSVHVDRLSGPTSILTSYR SSKQRQHAVAEGKFDAAALYDKLLQPLKSRAECHPCGFISWCVGSDPNAPSFEYPGEP PLSNGEVELFSVREALDTSKSFHYTDIGEPMRAFGQQRSQSFRDFSSIVPYDPNRGFF ILTEAVHGMCTREALYVVVTSININGHNNIAYTKKFRWSSDVGAPHFDDPIKAIRMVK AHPFTVAEKYLLRITNLDTIPKYEGRQLLVEQVGWTLLKMFPEDGAVRTGRYALALFE GTPPPQLLDEVKSYPMDEVLSYWVLSNRVQYITPPASVVVSIGDICFAEQFSVDHPGR PQPQKLMTTKHQEISLPCAGNEGSMGYKQDDLLKDMGISVEKAESAIRTALKSFLHRT IQRRREKYGKKDVRFVDGE XP_845675.1 MGSGCSTESSTDVRCSFVGLMDEKKESWRGRLLGDIGKDPTGVT RDFPRTQVYVAAPNRNNYYREKQDHVSVLVLKDKEDESKYFSDRNERVRESLELLVEL CGEDSSVIKSEWEKQQKDIPDSSSLLMTVLLESGMMRATEANTENGGAGGETDAVTNI QNGGGGYAPKYTVHSKALRLMQYMVQSVAFFSTQHVTDALRFSWCKHMQDVSWAVYVY TEGGACGKERNSSDEILVLRHMHTLRHYVGQSERSTHPRFEVDWACTFHIDLKRLLDA NNTAAKEATFAPEGEVQRIDGELLAARIESPNRKLCRITSTWKKRRSELEGRLMECFG VELTKVDVLDENGPLSALNSGG XP_845676.1 MSLPDGGNPESPNELSTDSEKEAFSQNPSTAGRSADDEPFRMEV TRETLDVMLRAKSSCRAGFSMVDWGGILQSIWRKMKRETNEKKLPYLSISEIRRHNTP DDLWIVIGSVVYDCTKFQHFHPGGERMLQLCGGRDATELFNYYHRWVSCESMLQPFAV GLVKPEDEERGEREKEKEKGPG XP_845677.1 MPDNNNNSSSNNSEILTRNEYPTPAPPAFFNASPVLTLPVTLQK VTEFDPAKQENLSPHTLPDGSEYYGDMLLDKPHGLGIVIFKDTSSIYGSGDRYGGRWA NGAFHGEGVLNTTRFTYEGEFNSGRMCGRGKITYKRRPGEVSKRGVVPVSQYELTHPP KEYEGDFHHTYHRHGRGILRYANGDVYKGEFNSNSRHGRGRLECASGEVYDGEWLKDE RHGGGKVSYVDGGEFKGMLVRGKRDGEGCMRYPNGDEYFGNFSNDKIEGRGTMRYKNG DIYEGMWKGGLRHGEGKSTLHKLGAIVEGDFVQGLIHGKGVVKYPGVSTFVGVFENGE RKHGTMFWHRVPADGGICYQGEWVGEMRQGRGLVWYENGDFFFGSFVKNMRHGGGNLR YADGSEYSGNFVNDVREGEGILQRKNGSIQAGIWRNDVLVDGYDGEWDGVSFNGVGSL VFRNLLAHKGKGSKGGGIPGSLPAGGNSGKSGDGAPSGDVTTSPLLEHFGVFCQGVRH GPGVLRLCGHVLIGNWINDTLSCDSGSWEFPSGDLYVGSFKDGFRNGPGGRMWFTDGS YFSGDWRLDSPIGVGLYHAVSTKNVTNPAEECLATANTAHQVESQKEEQRDGSNTLMS LFTSFFRNEPLKGEKECEVLTTVCHEHYLLRGEWRPTVVPYSSCQLLVAQCAAAAISA PDVTAVVAKVKKKKQNDVVIGKPPVTMCLGLQEGSGVVLFNSGVCMRADWVQSHPKLV FPHRANAPYHGEILKQNAEKNISEAPLSESGTGKVCAVCEKPFTFFRKELKCAICDRV CCNSCYNTLDLRDHPKLEALMRQCKATPIGDSEAEAPAVVNSPSQLQACTTCISTLQL EAQFITLWLPMRYCNCASQEGEETGAVGGGEDEDGKTPVPSTPEGKEESVNPDLWCTT GTEQGTCDPRAQTKEDEYIIYEGYISSAIPHIFGSLWWGKNEYYLGGFKEGRRHGFGT QILWNGETYTGGFADDKWHGEGAYSCSDGTAYAGTWENGALKTIKYHGELDSKGRQHG RGQSYGCGKDGKSRYNGEWKHGVWHGSGILQDDDGFLYSGEFTSGRIEGMGKLLLGGS VYYGNFVAGKQHGHGFELFSGECAVVGEWRNGVLCGSVAIHDAATESVYETTYANGNE RDDCFMLPVMVNDDKASHCSLCSATFTFFIRRHHCRLCGEVFCDACSQSRASMPPHFK MDGQQRVCDRCFQRLKCGRMLAIRRYGRNREVYAGCWSQGQWVSRGLFRRADGSVIVT NMAGRPILDCDPSTDGMGKGPSFALHGCAALPPVDELRKLPASPDAEVEAFKLWWEVT STVIGLAVPLNTEPIESFTLPRPPHIRAPNLFSMLDGGNDNAAAVRAANFRPAIPPPP PPFPALDDFKKKTEVKSAPPQKPVITETSVFSRIVDRCAERLPLGSPPLGDDDDSTES VVPSRYTRKDLLPPVPACPPSGMDDRIVWSDWAIRRVPKYGGIAALSGTGSSTRLPEE LNHVPFACSFVPPVVPDADRVVLGTERRWRPEPFKCPQEFTIDEAPNLEVIVQQRDRL FGRKPASSES XP_845678.1 MSSADPKTDVPHGFRLIPMLFYEYFAGSAFNVDVLKAIFRSIGT AGSNCGKGLGLFSRTVNIVIAQLSQRVVEWCVVAYFTSSNSLLLHRAAVLHCTFPYVQ HYRFTNCWITHFPCSVAKIFVPSFLWNWVRNTIVGLPSLGDDGTDGVNDYGESISFYN SSYASSTPTAVVASSLVSEITEHIIQQIAWAVLFYRSRDEKDALWPMVKRYLSTTVES LTHSVLIVCARSVGAWFGGRVSRNPSSGCIFWCERTLLLLLGPAIGKSGRRLGVKLLQ ELERFHPTTEAEARADDARSNAESSAHDGEDDWNTGSFFYPTTLSTVDYYEVLGVERT ASLEDIKRAYRAAALQNHPDHAPKEAEAQNAAQERMAVINQAYETLGTDSKRRKYDQA CANIQIPGVFSRFNDFPLSTHDGSTVLVAVASLVVKSFVAYAQYYSSFLELTGLGKGP LRYVGFL XP_845679.1 MGGGGSIPRKKATSARQVSTDRSVRRLQTKRRVGEPRFNGIGYV TIPLCIQEDLPPPPEDDDAPDDSTRKFAWFVEDLDMPGEWELYPPDACERLDEARRSN MTECLVVVKKRMCTVNLVKMEHLCAGSNARPRQVKRVEVEVSNGTTTYQEKRKVPNDP FAEDSPRETPSPMELFESEENAVHDVGSATTTKAEPEKVPNLVRSILTEKTTSYAMSL TSNGTTVFSGTKAQMLRWDLKTAEVTAEFDVQDSVVLSNALSHDERHVVAGRSDCTAS MHSVDGSTSCVFSGHQRKVYGVDFLLGDDRVATASMDCTVRIWDASTSTCVQTIGCSA ASVFTVVTSDLNNHFALSAGDDNIIYAHDLRSGGDCVIDRFIDHASTVWCCALRNDEQ VFASCGIDGVIRVWDIRNSSSPTLLLRHHEKPVHMVKFTPRGRAILSCGRDDNMRLTD AMTGEPLWRAVAHRRFVYRIAFSKEKKFILSSGGDHRVNVWNYDYIDRM XP_845680.1 MFVLHRGFRRHAIIRVSFASGYLHTFGMQQRRCCDVRGVISLLR RQHMRQQNPHNTKVVSSDICDEGNDEGFDCQTTLETSQLIACCENMCQENKLLDLSAD ERVGATQLLISALAKVNKRGFDSVRRHREGASNGPCETKEKGEIKAKTSLEGVVLWDL ALRLFLNLPSSEGGVSLTAKQQIDLCGSLLQCLIHFGAPQNVVKAAYQTLHTSLMPPS STSSENFPEEVVRRSSHLHVSYARYLLKCASGGGTGECDLSLHKDAFRILLLDCPERG LPLGSDGYLMILEILRRITTGEVPSLKIEPQEENGCHDGVNDMLWSEFDPAHHISDIL AAGRACCLRVVQCHGPIGTAQEAFCRFISVGMQLQAVHLNPFLRSRHSIASIVAPEGF CVKFNPQLYIQFLNGISDMLQSELTRRSAISHVLEGPCILSQRQRLAGTAQDVKTSHV CVWLVRHILRRQRDLQKKATGPKGGVEKDKFFMCTNSNDVCKSNYKDSCGDDSLQIWK EGTEAALRCLRHLLLRLPEGRSGHRKRQRNRDQVNVRQEVFCLLFEGVLDGLFYTATA EGEITGETGTGIPPVMVIFTQSYNQWDWRYPSVSIFVECLYTWGMHDMVKDVFSHIYR YAESRWNIDEQKQLTDEEINSEDCGVTTRWKGYSLPLRTCEMIIESSCKNDDPATAAL AVAYMLRQLLSLKAVEHNMCSSRNEGCEETDGVNTDVCEVESRCVEEWTRMIKEEIIP QIDEVFRRTGVDTNKQWLTDLTDAKNAVGSTLR XP_845681.1 MEKYEFPEDYVNPRNIPRIAYIPDVAAYVSNGGGADTVISNLLM ESSKYEHMEKRLVSSLANLDYKIPTIKKTLNSLEFLQKQLEEAEQQEEDGKRAGIRSY YCLTDSVFGEAIVRPQKTVHLWMGAKVMVEYTFEEASALLKKNLSNAEVNMRNTKEDL AWLQEQITNLQINISRVYNYDLKNKRAKDNKKETSEK XP_845682.1 MAPFSGKQKKKQLQEKRQRKKEQQNTESESKKRDEHGATEECNT QKYRQEGSSGAEHNSDSQHEKEEYKYGADRVGIRSVFLKETAEEVAERKKLSYERLAN RHFMGPEGIPFGTWFNMPGPTKAAIIPLSVEIPTRGWSVDRVPMSKPNASDSMSDCNN SSNTDDVVDEVGDVLRDGPHVDAREQARFKEYVRALDNYSLPGEMQKLQVSSYERNID VWRQLWRTVELSDVVIIVTDARYPVVHLPLSLLHYIVRECRKACVVVLNKADLVPPQT LNKWSEFLQSYFLTMGVVAASDEEATDTGIVREIPLVPFTALPSEETAIGTDTACDAM KRRKKKNRRNKLYEQLRTGKLQVCTDDSSDDGEEDDGDDLEKEISRLVPAAVAKGIVK GGDDESYAETDNFRGMRKAERELQRDRRDHKELQIVSNMISSLLQQCRDIGLSRRSAG SGNTSVTVHKCDRERGNEEDEEDEYIRIGFVGHPNVGKSSLLNCIRGTKVVSVSSTAG HTKHLQTIPIPSENVVLIDSPGLAFPVFGLPRPLQAVFGTHQIAQTRDPQSGVAYLAT HLHLERLYGLSRSDYYDDDDDDEKSSRRHGPCGAPNVWSPYELCESYARKKGYFVKRG KGTLDVHRGAIELLQEAYEGRLVLFLSPPELSWLQSSEFNNEVRPYLLLRVMPLASSV XP_845683.1 MLSAPRRRHLVAGFRLSALGGACGSHCSGTYSYRFIRCSISGSR CAQIMGRNPICVSSRSIAYKTDASSFEREVIQSQQAICLVYYRPDSSGCNAYLAHTER LVDRLNEQACGGKNGEGTEASMQRAWLKLCTINADENRNLASAFSVERAKLPITYFIM QGTIIDKVTGQVTETRLEGILRKFLDHYQQQLNVDLMGGSPNKQNPLPAAAGADLLHG ASTQYLQNQIMAALLGTECIRLPEESEKLDGLRKTIQQAKKKAFDELQELRRELGVDV RRLGEAEMMERYYKSSQYSATAVLSGLEALFLARVHATIGNISAENVLFAVKAIQRDF EPALGDNTVKRLISLCEALVLRAELHLERKLESESGGEAHVAKLLRWIDELVDARLVP ERYPSSEVEEMFNLLKLYIPQARRAQGSNKEECLSAKRVRQVKTCLLGVLQLFHDDPK SQEARLRLSSLLY XP_845684.1 MWRISNLWRRPQLTTLPKDPSLFFGVGRPRTPEQVERYHAMKNT TIESAFPHLAACWVGPSWGTVMLTPHHVAPTCVKSAVWRCSQCLQEFEMSIARFIDQH GTCPLCGKEQRRISSTRNEGPERINGKMSEGDNTDVGITTSPTDATNEEDLELEDVNS LRAPRMTHTNYKSVLHSNPEWEGRNILPMLAQRWELVAEELLHPADTEEQESLLVSPK IDGIRCLIGYNKSQKKLQFFSRSGILLECCHGLVPHAMPLFKADPSLLLDGELFAPHC GFERLSGLVRRLEKFTTQTTRRKQAKLLEYFAFDIMASDQLSSPDAPFSERYRLLKEL IPHSGADRVFDTMKPNGRRNHQLEKEELSSRKKIPKLYHVPATLVSPDEVESVLEKAC SQGYEGVIIRRPKFPYEHGKRSLGLLKYKYMHDAEYRIVDFLPGNGKFEGGLGAFVCE TSTGIRFNATPKTTTKRRLELWAERDRLLGKYLTVQYQELSSQDVPRFPIAKCVRGES EKDWL XP_845685.1 MRFSRPCLSSLFATTVPFLSRSSRSASLAKNLAQFHPTIAQTWI TAACNKLLQPQHVLPSSRKLAWWRCPYCEHQHPKRIDLHVAAGGACPKCSRMPTLTCS RKRSASSSRTSDNTLAATVKHRCRPDNSLAILDAPNASRVKNSIADNGYLRVSETRNL LPMLARNYDKEVKRISNDEQLFVSPKLDGVRCVVAWNLRDRCLSFFSRSGMLFDCCDH IEPQLRPLFEKDNMLVLDGELYNHDASDFEQLTSAIRTTRQHRTAGIEKVQQQLQYHV FDLLYAKEFPHMTVVPFGQRYKHLCDLMQKINSGKGQGRRKVVKLVPVMSAKKSDIDR LLRLNVDRGYEGIMIRRNGIEDNKCMTSVSSSGGASAAVPGYAYGARSPNLLKYKLMQ DSEYIIVDGVEGKGKWRGCLGAFVCQTRAGHRFTVAPATTEERKREMWHRLNVYKGKM LTVQYQELSTNGVPRFPIGKCVRGTKTGSDWV XP_845686.1 MSGSAGASSIWMSSLAQLQRLSWDVGRPPLLNHWLNFFVSLRSR NTPQIFTEGPLGVVNNEHKMVNSHTSAAAAAVACTVPLPQEVRQVIAECFVDGVRVAQ LKNLLTSPTGILTDASSINICEGNDGVFSEGKRDFCGTTEKKCRDCLSTSPGNAAPGA DNHQCDFDEVLFLWCASHETPCHAQQLEAWLREAVGLLHFFTLRPGSKTEQLHIIRPQ ECLEYSSILCVLLRALCAMFLRSGLNATKGCVAGPTLSISFEEEVGLLSLWLLHSIRC LSVSSFTVLLQLVQMLLASGTKTRAWLLEVPSLLRYDGSGMKGHLNDDLSRKGPAQLW DMWERSPTCRIARDIVPITPNPSSSPSLQQLFTDPHASVGPNVVLSLNEELSQIATSS MGG XP_845687.1 MRAGCVACSRIPKLGIAALGSTTTSMQATCQETQELRCATTQMA PAVIFPLPPPLRGSYIDRKPTAASFNEHHATASFRHHMIASADVSHRPRHFYFASGTR NDTGTRSVKEGERKQLKQQTNVEVDSVAYGDQLDELSARWAAKFYGQVTFGPRNYPYP SSRWLARRFQMKKHRIIKRFRFRRYKLAAVANLPFAKMIRVGMLPELKSSKTKRGDVV DPTLSGQLVSAVKNTGEKRKGQRTRPKSKYQV XP_845688.1 MGWWRRGSSSSNGMQRVVETHFWSLTRYRIGRRFLGLDYADNVI FFPAYRSKRRGGWAAVTLGVTSSSNYFTNDTSAVNVLEDGYPLHWRANSRLKEFVAEE KKLWQARVKEEVAQLAAEKVSASRTGDPNGGAAKEPGDLMGDINCEHRFRDTLAIKCT SPGFAQRHLRLSRLWTSLYKFLYFYLWGIGISLVVQAYLLFRSWLNPPARQGLKNIEA HVLHIPKLIFGFCVSCVVWAAHHLQPVVSPVLEALEGVFPQVNWSAASPEALATKAQQ LAGHDVSGGKHGSGQLDQLNVKKTTETMAGNWAVFFSGNFTSIMQGLVFLLAVLLFLL XP_845689.1 MTERSLLIRCTQAGRYLAVGMDFPSARVFDVATGNLAAVVNVPA QNRFSLAVVSLTSLSLGIVNERVEQQQSTRKRGKEGRKTDCTLYTTFAAVGLSNGTLL LHNVGKDELVGHLCVSDTQQAVVATAFTGHFLLCVTADRLLHVVDCIRGERIVTNLRV QHDASAIAAVEDVVTSAGRDDFIPESVFCIFISGPTNALYRLRIGNNATSGDVGGVHS AVTASLDRLITFASHTSRTDIAWMGGTPQQPVVITSNAQEGAVRVWDARPSLDGSTAT SRCRRSLMCGQRIIGISVREGASSGDDRGLDGMNDLPSAANNGAKGEGDIGRMSDGGA LVVVTTFTGTVLVWMLGRSLLVAVAEPMPRPPTFQLTSQDESGRLLFASLLPGANAAD GSSSSACSSLLLLRGRFAVPQFEVLDLCDAMSKAVKLKGSAAARRRTALVAGGGEVAV YFVPSDTQSKASADLVAYNDLETLDNAWATHDQQQQRRILLAARATRAGTEGFVPAVS YHAKSVSELPQKSLTLEQRLKQLHVSESNESTAGQQQQQHALGLATVPLYQALHANDT SAVMELLTVASRSSGDIRATVMSLQLPYCLQLLQLLGQRVRGASPCSPLFQWIDAIIR YRGVEMYQVQQDLKQKSAVSSSDGATKGIKPDQPSPPKDFVAPLLHQYRNMVSLYDQI AVMYGRLSIFKSVFPSERNVSANSHGDIIFPKMFVEKKYSGGLYSTATTERKVDSLSS KRRKSRKDGNKKKGARPSSKSKRKAKKGAAASKENEEEDNDMDSDEELDLDALDQMVL SDGDVNEEDDVDDNSSGTDDEEEGNSEVSDEEEEGDEDATEDDEEDEGDAIHKKKRAR GEQTTAMVAESERGSSYGMESRHSGEEDFDSGSDEEVGLAAAPSDGSDDEESADDSES DSTSSGRSGADDIPDGNFDDDDDEDDLDEEDDGIGSDVAELLNNGRNGDDESDDEERH ELRRYKRAKADG XP_845690.1 MPNEKAQTLTVAEAFAETSKALQQFNIERIRVDGLSRGNACDEE KFRMLTEQEFMREFVFHSRPCIIVGALCDWPALQRWRDDRYLFDLDEKLPMVYEKERT SKGNGEINENDSFHEDEEIVTESDAEDSKNGTSNNEAESQGTLGPRRVTVALTPNGRA DAVTYVTYNAVKNESQVHDKLEGKSDFVVTEKLFMSAAELRVTLPELYRLLRANPLVP PLKSQFVDLRRPQRSIAYAQMQNNCLAEEYQHLHADIDTALDRFGTRVFGGKREAANI WLGTPASVSSMHQDWVENLYAVVRGVKEFILVPPWEGVFVPKPELPAAAFTLKAAANC KEGEEEYIFSDSPTRDGTSVPWMDVELTPAAVDDPLHIKEELRDKLHPLIAYVQPGEV LYLPALWLHRVAQHADSEDSRVIEEASTEEIAKVSRHDQKHSAPLPLIAAVNYWYDMS FTNPAVVMLREFGLLL XP_845691.1 MEDFDFLDDAEEILQPLCFCTNQDYSRVGVGHQKGYVIYNVHRG GSGAAPSSAKEESWEGRGGSPPSFYTTVEVKFPRRESDCASPYTHFRTPSAASGPDAE MSCGVEEKVRASTSVGDRRECDVLLSTFDCNPSTGYGAFLPDFAETTADAYGTSGQPP WKSEAAACAVGSDCALTNLQTAGLSWDQTTGDVLKLTTQNVDSSIRHSLVEPLPVEVG VGLMALLYRTQFVALVGGGPYPLGERNEVKIYVAGEIQEERTVPVPDPVVVVHLDHKL IIIFTTVELRLHSFETGQCVFSTPAQTDVTVMNRPSLSPFHASGNSSAFNNFATDSLT GNCSMSSMKSVNDTVLGATTSSVRPTEGSCDITAPFAIDFHRKFLVFSSSLFGFSLLR YASEDPLSAWRGPEMLGTKSPAHANRLVSLAVFVDGGATVDCGGAEYRSVGVGIRCHV ASCSSRGTLIRVWRYVDDAEGSAEGGNNSGTPLTGHFVMIRELRNVSVPTPIFHMMFL GEVFLFCVAHNTLKIFFAGEHEEPKPHDVSTKDPSKAMRVQNVQSSLHSLRSFCKFFS SEWAALECPLPLKNEVFLPKWVATMPELWRHLMRVAETSSNRKQTDSQVVGEYGYSSE VTLNSGEASRGESLHQDTGGSKSAVKAPSTSSWFESKGIAGYVWRRVSPLVETVDLTA RRCLPTATRDSATSHSQSLAGSVDDVADASCAGKSFRSEKAGESYANRNYATSKELAR SFVVWWERPAYRHLDVVTQSKSSVGAACLTGETGPSACFGQYGSGSTLLQCVTCDGAA MSIEFNPIDKLLKCSDVFPVGFSKGLA XP_845692.1 MRRHVSLVWLNAATGWRLHTATTSEGISEETREQLLEEEEFRAM DASQEDSEMLPIHHRLATKADALEAELKRLSFVLFEKPQLVVDDCPSTWTRRPANVVK IIRCYGATNACAKGRHQRPVGWVPPVGSSSTIVQTFNRMRHALLNMRKKKLGQPETAL NDQLATLSADLDYLNRMKRLHALNFYGRMNLTPRRHIWLALYTLLWNSVIAIQNSLAC VFFGTLRGIRDHGVVMGAIRGPATGFLRASQFLAYGLVLSPLIHVPSGLINSMYGVWN ALSGKLFFEAGSGRWHYCSALTALWLHREVSLERRAIRSVGRLEFRRKNMRAENRWKD RLASMGFSFDRINEKFGGKHQKAQHTTRGRAENIENPYEVLQVKRNATLEQVKAQYKR LAKVFHPDTVQCGSEEERRKAREKFESISQAYQILSNPEKRRSYDLGGAQALRLHESK MGRFMARTPEEVVQSVFGGEIFKQKVLGQLLRSHWHLRNEAQVSVSLHEFEQLQVLRC FELTLELVRIVDVHAMAPAAKGCKHRSGQPQSEVADALIDELSGRKKNQPARERKQTT AQHKNQGDTPNNEGTGSPYELTCFTNEFNCFSRDFEDRCDRYTRHLAEACFGRELMYE VGQSYVISSQRFLGILPFYAPKLHVYKKIFSGVDRVYAAFREKVDDRAKDNPEWLARK VMTEYFSMEFDSVVADASCVLRFAAQNVLQDVAITEEQRRRRCYALWYLGDQMMRKGV PWSRTEVKRDDGELMAYIQQAANSAASTSKPGSF XP_845693.1 MQKKNCRWKPDETSSECQQCAQAFDFFSRRHHCRCCGGLFCGCC SGSFIPRELLHKVCQVKEIKPHHPHVDNNPEEKETQSGGNPSLFAGLINTFMGRLSAL QRVCLKCHWKARRLLEAFTEPSSSAADPTTTHPSYPIKCTLPGSGRERKIYVISLRGK SLFDKRDYVGLSAVFEELERLIGEGTGVPNATDECHDGRSHSATLNPSPSNDSISTLY SSDQSTFEATLCFDEAGAHRWKRRRGIMNLEESPRKSYHRVTLQVPVLIPECKGGDTL AGTVSNVRVRTRLVGLTQQQSFNREVLQQETIGTDAYVILPDEELGPVPRYSGITPES SFVLDAFGTPATGNGSVVSEPSYFAANSTLNPSGVVSRLNRGIDWLAHGTSMDGIRYV WETIEQFGGATPICAVIDYTPCDKETSTPGSPVTADTSKCRSDGAYTFGSGPIYVHCH KSEEQRYPSVREQASALHRALLLVVGKVIVRDWLQQNV XP_845694.1 MQVSLHNKVKTYNLTAGKSLPEWIAERRKNKRLAGGEHRVELIH DLEFPHFARTIFRTANGTHLFAAGDYPPRLKCFDVNQLSMKYSFNADMPILGGVSLSP DFRKFALRGEGRQITIHHSAAIVDRVRVPHTMRCLAFNPHTAELLSSGTSHEIFRLSL ETGSFVESYKTQCADGVNHVEVFKNHGLVLCAGVDGVVEAWDSRAASCAARLTVAGTG AVSGVEERCELRHIAVDDERGLLFSCGTEAGQVLLYDLRLQKPLIVKDHMNSLPIVKT YFFQGSSTATGEATHVLSADTRMVKVWSKKDGSNFTSIEAPADITDFCVLRSQHNIVE PFECSDSGVICICCDAPRVQVHFIPQLGVAPRWASFLEILTEELEEKEITTVYDDYTF VSKEEMENLGMTADDLAGGKVRPVMHGAFIENALYRELKAVVDPGAFSRYVQENSRRK TEKRWEDRISKFKRNANAAETGDNELVGDADSANGGPMTDGKTTLKNQALAAAQADPR FQKAFNGSRGTPFALDPSNPEYAKLLQQIKERRAKASERKQRYESELFSIVPEDVGEN ESGSSDGGGNNDSGEDNEDDVNEAKKHLKGVRSSGKQQRRRRQRPEGEKEGASRRVTL YEAKKDSRTNFTSTDKVIHAARKRQRLERLPLEERLRRVSSK XP_845695.1 MPAPAIGIDLGTTYSCVGVFKNDQVEIVANDQGNRTTPSYVSFS ETERLVGDAAKNQVAMNPTNTVFDAKRIIGRKYDDPDLQADMKHWPFKVTVKEGKPVV EVEYQGERRTFFPEEISAMVLQKMKEIAESYLGEKVSKAVVTVPAYFNDSQRQATKDA GSIAGLEVLRIVNEPTAAAIAYGMDRSSEGAMKTVLIFDLGGGTFDVTLLNIDGGLFE VRATAGDTHLGGEDFDSRLVDYFATEFRTRTGKDLRGNARAMRRLRTACERVKRTLSS SASTNIEIDALYEGFDFFSKITRARFEEMCRDQFERCLEPVRKVLKDAEVDASAVDDV VLVGGSTRIPRVQQLVQNFFNGKEPNRSINPDEAVAYGAAVQAHIVSGGKSKQTKDLL LVDVTPLSLGVETAGGVMSVLIPRNTSVPAQKSQTFSTNADNQRSVEIKVYEGERPLV SQCQCLGTFTLTDIPPAPRGKPRITVSFDVNVDGILVVTAVEETAGKTQAITISNDKG RLSREQIDKMVAEAEKFAEEDRANAEKIEARNSVENYTFSLRSTLSDPDVQQNISQED QQKIQTVVNAVVNWLDENRDATKEEYDAKNKEIEQVAHPILSAYYVKRAMEQAPPAPP SGEGEGNAPVPDDVD XP_845696.1 MGEPHRFSNPSFLIFFSQSRACACSGPKDTCQKIKQNQKEDRGE HKAEKGHRQFYMALSGHYVCFSGFSDEVDEDDAVSTVTAAPANGEMSGAVSLRQVTNL ASSLGAKTQTRITKRTTILVAGRGLTRKRLVAEQQSIPVVSLRWLESHGRLPISDCRV PLLHGYVFCATQLTVDEERALTSIIESNGGTFSRTLSACISMLFVSPDWLKQFQQLQQ KHGRPSTAMRDGAAAGPILPEKIRFAWATNIPVVEHTRFLSLAALQSTCLGGNSNGCD AGLSRTDFETIAELCALPSSVVGGVVPHTQVAGSVGNAATSVAGHVVVDIDNKPYRKR ARDECNSALSSDDSQRAIRIVSPERQATGSHHLSTSQKLFGTWNTSHTGSECCATGFP MGDENAVLDAPPPLHLSTSGATLNPFSSVADPHPIPTNSGMTGEVRLQNCMTSSTSLE ASRSNGDKDIFDFCETFFTHSRPFLQISLLGCTPPQLVDCARMAVRCRFLRTPVVTPF TDVVVVGSELVDEDIKEATRMAAVASEDQKQKAMLLAQLRDHLSVTYGIPVERIVTVG WLRECCQVAAQMSASSGHEAEDLIFEPVPLPLEKLPTTGMFSLQPLTNMAAFMDFDRQ QQQLQQGKVNRAVLRPRPRNNPTNNQATTAESGGRQHDKAVTTSSKSVDDARKRYEAD ASLAVQKFNELYVLLKGSSDDEECRPVFTKSFFCFVENDFSRVDLNIVRALIKYGKGE WTKRSLNEWVKVLSRTGAGAGEGEKECTGVKENEPREDNITSMIHYFTSAYRKLIRAH DAGSFSHRKVSRAAGETTTLARSDKPGNVDTPSNGTRPAGPFHHVFYVVPHGNQKPSD VLLLGRNQRQADTAPARHPFRFLPSVTQDYILCSLAARHLLQPKSCFLFYRSTPTEAE RLARQQLLRRGDVTTKGGMPLAPSPWLGRRRNEKAPLIGVTVYFLCLMPSKSGQQGCV IDDQGFETLTALRRVLLNCLGAAVSELCGHRAEKLVLEAVTHVVLVDVATIFLSKIPQ VVDEVAVGGSSAVSDIRCCFRTGDVDERKSMDALLSRGSTWPCEVHQELLPQRLVQYA ASDRVSLVGLEWLEASVAWGTFLDEKPFALPTTLTRQQPHKENRAVEGETVIGGPLVY SAVTPPATPVRETDVTLLPFSSATCHSPVFQSPQLAVNYQFDFTTPGATPARATLRTP GQQRASTPRFASPSAHVKAALVGDEETFPPIGFLCGLSRGKSNTPARLEENMADNSPS SANACTPVRRTLLLGDVSDDEENELKAADSHLLRAGDENRHNKPCDGGSVVDHGPLTS VGRKYSREVQEMPQLWDPEGSRSSDAVIDKRLALPSAAAGVVSKQRSKIIFDAPRIYI PHDVPGKDCFVAFCDELNSRAPRRNCDGDQDEAADIMGPACPQQQHLHCASETAKVIS RVQLVHGTREADILVTHQLTQRESVLAAIAAGLWVVTPKILEDCENRQCFGPLHDLSM HEWTPELLPPDAPRCTRQLAMQCRNRRLQRQATGKLLFENKYFILVIVAADASPAVTA RAHSMRNVLETGGGVVEWAMCWKIGNEGTAALQLGESGSEGKKLHGPNEVTGLKKCHI PPRKSPNTLLDFVLVQIKGTEEAVVGGVGCGNVFPTKEIILLIDEPHQEDALVGEIRG GIVKVFQNAKEQLVRAKQEAQGKMRGELQQVAQKSESRSTAVGVDPFQQSIKCDGQST RVAGGKDAWKIAGGCGSSGDSDSNMSGSVIRGMPHVGVLSVFKSDWVTLSIQQRRKAP LCTVNISL XP_845697.1 MTENSLSTTITTDVIKRICVKTGFYRNPVCNEKLYLHNKGFDSI EEGAFDPYTDVKVLWLEGNAFTVIHCGKDSQPCNVQAEGQGAGTEEEVNAYTEASATD TTQVMSKSAHLDCCADWLERSQVDSSGAAGCVRSAEYGINSKTDGAAALAFKSTATKS SCNAGRATKDVFQSLYPTLRQLYLHNNALRRMPDLSSFQFLDSVNLSSNCIRSVEVSC APFDKKAAQYEEELTTKANAIVANSKSMQRELNSSPTGGKLLQQQSDEITEDATGSPE VTQEEGIHINEKVSGDGCDVGNAVNDPGRDGNLEAWCKRLDAYASFCPHKPLDPLEDG KPLSEVPDEYRTPCSSLRTLNLACNYLTAANDIIQLLCYKNLSVLDLSNNQLADGEAV LLVLERMYRLRALKLSGNPLVRTIPRYRKTVLARCSKLFHLDDRPVFDGERRLVTAWA RGGDEAEKKELLLMKQEERDQHMRRLREFRETLGLAAHGGLGASETVRLSASGAHVER YNDQGRDNRIFIDNDNVGNINDDAELTSSETGEEDSNEAVANGTNNNRRASGNDAPRA FTSNTSHEGISDPEMWRDVSVTNFTGSDDEDDDGDIFIPPRN XP_845698.1 MSSGLTARRLILQQLTAVTQHIPLQARRTSGKGWWLSSFVRPEG DRRVFTLITEEEVAFLKRRCEEEGDEGRVILSTRPTRNIVRAVGGAALNITLSFLVSP LLALSVSLEAIRLRGLRPAALVIAPGVGLFWGAVFMAIAWYAAVQQMLLCGVHTLRAP IFYLFCPGCCWNELSCRYEYPSGVVGNSHPLLSLQAPPEKLFERAMKRETRRKRRGKR DVGYERKGGTHPSEGDDYYAILGVTRDATPQQIKEAYNRLALEIHPDRNPSQSAASQF DVLTKAYRVLGNAEKRRKYDMGGRSGVEDIGKKKRGAVRALFGGDALYAIVGDVKTGS FSQRVIDGLDWTQEELAIFRQRTLERCRDELLSVYLQPLRSEKDSKGAPALQELKGRL QRLLNTGLAREVLHAVGHEYMRVVLYGKASGPRERMTLYLNEAGPHRMRRRLDKWRHL CRIRQHTLRDSATMVDLAWYTSVEELESTARWVATSLLLDHQVPEEERRQRLEALQAL AEIFITYGQSYKGANKQTMDALMNSLRDYYQQQKRGEGG XP_845699.1 MFEGAGEGLNVRLATSIKLFLEPDADPSRNDAADTRGSASYASE ELLSVADTLRKYANTDECASSPTAVASEEKRSPTAALAAPLRGDRNLRIPTRASEARP RSASNKMGKKTDRGRSAARPKAAQERKRLHQGRQGSKATRKQESAKEKQNEVVVSRAA SRRARKHQARATAVSTLRDYTTATPANRKSFIESITVNENECWGAPPLEGSPEAKKQA HTSTMSPLPRRVARELYLKDAPTLDETSSQAPSQHHGFYSSPDLTFPRWDGFPNNSSK PVNDVTTGLSNEAGDALFHHFWGTSGEPPANVVFPGGSIHPSKITRLKEKSRHNDVWH VEDGTPAEKANLSSWKQPLQEFGDTEEKPGERGELAVTLANNRMTYTETFDDYQNVFY RLWSCSSSRGHIGFLKNLSDKAGATGAVGEQPNSLVACSAILRDPQGLLVSRNLNSML FAVGSQRGPEGSLKKWWLASGGDSAATLDKQTILDQGSCVELSYAARILYVALLYIRT LGGFPTLQRITPLSDSSTVVEKENCLELVFATTRFSPGGKRGKGMQEVNFREERSVIV RSVLAEKSCVERFGKREVVHVLPLLNESVGDAPERVSMQTITSAAADKSFVGFHPRSD KQPTRGPEPSYTAAASSPTLNGSALNSLKEKAVDYGDIAVAFLMSVEASKLSGVLPEP MEIEGLRYRVFILDSCKNYRKPQVNVFKNEVGSDTERWINNRMGAFEIELLRKREEAR AAQAAHLAQVSLQEMLLKINGPLGRFPWYRPMTIAESTLAKADKESSGTYCTHSDDVQ TALPRFYQLRLPRLHQDLAYVMCNLLGISLTMVPCPASGGQSQPSSFYSE XP_845700.1 MPPKKSELEAREEEEEEEEEEEEEEEEEEEEWEESNDLSQLEDG PSTFYVGGFSSMQKLQEALDAVTYREDLVIVYPGAYLEKEVVIEESRLDGLRIMSVPV ALGRKAGYRKKIHIPRDERRLGPWYAPYGGEAPATATVTPTAPDPQLFPVIEGRLIVR HSRPFVSVDEDAEIPEGLQGIKHYDDEQDRVADGDEEEENADDAPEGSTEEAEMVHKA KDNHLEMQGLCFFGGIELNPLTRSTFRHCVFGDYALQRKDPLATTEAVETTTCQPSTE PPVLPTVVAHPLSEALIEFCIIYGSAKQALYAYPRCSLQIHTSMILGPVEGTPTTYTA DQFRAARHAQQSPLFRLKNRWKKVVSQPKIPTKAMCNVALYLDDADVSVRDCFIAHTD IGVMLVDGCAGTELKFLRLESHSTVGILFTGECGAAKVKCCAIRWCGRECILVKGRVP PIPPDAEAVERVACERAQREAEENENEEVEEEGEEEEDADVPRPPPIPAQHPSLQKNA LEGTVRLEGDVSCGTMCDNVIYMEKDDTQPPLSGPSEERRFLLKGFHVHVRGSTPLES EDN XP_845701.1 MLMPQFEFLRGAECPICIESLLSNAPAATPSSTDVGASGDLNQV GDEVNFPMRVWPNGAFGWVGNMPDAFVAAVSLYRLGAGRARLAASSSETSGALEGIAV LPCGHLLHFTCAMQLYEYKTGAQCPICRHPLKRKSDILCFHPQCRRRAGQQQGEVVVD MNNAGSSETDVAHDVDDEVCITGQRQCPPTEAYVDRLKREVKDLMKRCRNLNSRELNL ANSQQQLEDQCIKLQDSLGRAQNRYNALTQNGTVGVERLQKLRTVALETYNSVESLTR ELADATREKARQHGRIAHYAQKLDHCRKRCGAGDTDCRAPFETGKPGKPDDFTKVHEE ALHPKKKPRL XP_845702.1 MCYSTKGSMEATRLQLLEEASWNDESKRKLYSTPLFPGFPWYTA TSPPKEREQPTRRFVVPYFFTFRVFVKGRWVGRELVDVYSEEHVHHSRSYYEACVEKG RLQKISRSRCNVRRNHMKRSHTAGEPSGADASAGDSSNANGPLQHGDVVLHSVHRHEI PVRMGATGVEPIVLAAVRICEYGILVVHKPAGLPTHASGRYFMNSCTAMLEYVLAPRR LHAWLVEKDPLLQSLVSTIHLTGRERDELLAYYLTDSMEEDTVPIERLPRPCHRLDKV TSGVLLLGISKVAARRVGEALMSKTRQMEEILPRRSRFESDEGTITFDKLHMSGATVR KRYLARVRGCFPENSMKIRFENCPGDKNKEGVLADSNEKDTTCPINGGLLIVTKNSHE GRRDATDGMNVKTFVNSVGVPVLFTSPLRQYRFSENETIYEDLNESYGTPIKEMFCQD AMTLCQPLRHYQSDHSHHKDAGTNTESLVHCVPFSGRMHQIRIHLSDWGHPIVGDVTY NTNERGHDRQTFYFCVDELPKSFRDRFYASDELCWECGGKLPVASFDGSNGSRVALHA WRYEMEHKSLLACSDVVDSESITEGQILGYGEGPPPSLECCYEDAVRMDVGFVQRQGP VVIFLAPPPPWVVE XP_845703.1 MHPMNVQEMDLCVDNIIICPFCSLLGTTFHTRMLVSLAPVVGAC ARHGMMKCQWYIRPIFRRVGRLQPPSLRVVSFSRRCSSIPRSGGVLPLGLEESSDSAP VWERIVVSIQDMLQNVDDTEALRRFCLLWRSHFPRTETTSLLSTLEKELLANHSDPQG TGERVPRDLYCLPVFVQALCSALRLCTLRAGPPSLQMPENVVSVNAAVHGLDEGLLST VVDEIRVVIFYLARERLTHVWHAIHDHLPDEKLATECENGVNTTNDVVPTQAPSPIPS RDGERLSHMGVKLSAELLQHAIMLADTGGALLSVDPPVVLLPVVYQLLLPALGACEQL DSKRLGRLATAFAQCTDFDDPHVGQASISSADISVNDSDDRDENKSATTSAPATSEAL KPVMTSYTVLSQMNALARATEVRMREVTTRLRPTPSQTNIEAVKLRLLSLKERKVAER KAAKHEGSVVNAEELLEDVAVLCCALASRRYMDDAFWSRVTEFTCLTIEITSTAEVPR DVRHILFSLYYVKQLTMYDRLMSVLVRRGMLREPVPPPSEVHEVMKKVRGKTAADFQQ E XP_845704.1 MRRRVVLCCQDVGSLLSSKHSVHSGIGYHERVFSRNLLYRRYPV VTVLPKAGFTVLDTKRWIASSGPPVTGSPLSPVTNPSLNVGTGGGEAVAMEGPLPVSY SPGSGVNGSLPVTSTAITAHCDVLSECVAKADELAVQLKAQNALSASAEILTQEGMEE FVEELKTSATNEMTALVKQMQTTPLLQRAGMHELRRTLYYTTSLKERDWLEEKQYTAA MRMLTVEVLRRDGDGVLSADDVLYVTTHVVTANFYNRHLWNRMEKSLLKFSNYENIDM SSVKAFSTRLFKTRRGCAKETLDIRRKVLLAMSRRVGVLANDFDLPSLLGVLQCYTVH DLTPFHLEPLAIRATNHVGDFTPHECATLAHVLRKWRTMRLEVCERLVERICTSDQLT HHMANAAMIAIRTCFNQVSDGGRNAMNAEPTRQKLRAMGEQIGCRLDEVEYPALPVIL SILDVVVTLKIYVPKKCLQVIFSQANDMVAIVMEQKDDLVDPKTGKRVRPITAEEGRQ LQALLSHYGNDLAPELSQRMKEAFREGVLPDEASL XP_845705.1 MRVIAVGRDAKGHLSDSDFIFRSRQWVSCATPAGAAGRGRAPRR SSVVSHKTSRPSSRAQSATPLTRGLDYTPLQRRTRVRSVRPDSEGRGGKSVRGESKPP HSFHGCERPTSSTGDEARKRVHAALDIAWQKFGEARVFIQHNCFQQALLRLESAKYLI DTTEAVGDCCSVLRRRLAMEQLGKELSAISHSCIIRGYHSRKQDPTVTRPPSSIIDGS FTEGCKDGDRHGSRAADCSDADGGGKPCAHGGGNAGSTGVVGNDFPCMKQKQQLFFTK LNNYMGNSVRDSRDFDSVRRASYGSGIPVAAHAGSGVVHGSCIQSVPCGSRSPNSLSC GSFGVSSHSQPAAQHLFTAAPASPMRVPRSCSGFDGSRCSSCNLSRPGIDHWRPMSGG QSREQEEIEGQRQNGYSFCTPHCSRPGTRVDKLSLNPTGETHMRADNGSSTSPVYGEG HQRTDISGGYLPSSTYWRALSGNQGNSQSRDGMCTPNASTRRSSGMPNSASTTHWSYS QNWPINASPQSPVNGRRMSVSPQRNRRTRVSPGTNVGMPQRMQQDDSIFDLGEPKLVK LYSFERRSPSRRVQTPKRFHRFYASGTGDAPMSAYSSMRFNSSSRSRSGATSCDQSRN KLSPSLQQHVGGLSFNSNRNKFGVTAADSFAALGVSYPGLSGSTSSRGTGARDITTLR NRRTRGNFSHNRPEIEGRGTRDASLFDGRVPGCPLPDGAQMTESVFHRYFDGIRSYQQ ARMLHKRVVEQLLLEHEVEEIERENCGEGGEYDVNRKEVLLHHLEDAYNRWLVSHLGA KQKLLNREEMQGGELGVTLRHVTDEGVEERRIVRPSSCDSAKSVQSTKVLGNQKPHMT GEENLRRYQDFRSASQATVRTDEADTASVKHQLSSDSPAGLPRHTTMGMIVQKSSGAP QNELLGTGHKHESAVEGCVTVAPNDKLNRVTDISHGAHLKTCKEKASTVRDTVLKAKE TNGMGAAGLSVDRRSGGGSNEEAHTGGNVSAGEVSNVASLTKIGKGALSNASDEQEPT TKGVVNPVEGTVIEGSARRADEQQRDLLENTTKSLEPKSEAASEVSDGTQSAASVGQG PTPSDATTKSRATKPYTTSDVSDDAKSVTSVEQEPATADTATDIKDTKSDATSDVSDD AKSVTSVEQEPATADTATDIKDTKSDATSDVSDDAKSVTSVEQEPATADTATDIKDTK SDATSDVSDDAKSVTSVEQEPATADTATDIKDTKSDATSDVSDDAKSVTSVEQEPATA DTATDIKDTKSDATSDVSDDAKSVTSVEQEPATADTATDIKDTKSDATSDVSDDAKSV TSVEQEPATADTATDIKDTKSDATSDVSDDAKSVTSVEQEPATADTATDIKDTKSDAT SDVSDDAKSVTSVEQEPATADTATDIKDTKSDATSDVSDDAKSVTSVEQEPATADTAT DIKDTKSDATSDVSDDAKSVTSVEQEPATADTATDIKDTKSDATSDVSDDTRSETSSG RDSHTNDRTAKSHETESCSSSW XP_845706.1 MATLNAPPNVYDEEGTVLYRAVPSNLISDDEPITAAAVNAATAA AAGQEAPTIPTTNRQKFGQTQSGVAGTRDAIQSDGGPVRLTQESLTPVKALAKLFPPV PLNVPGHDKDRTNTRGQEQECAWMKAAAVDHTSRLDCVHLQEHLERRCQQEHARPSGV VCTIREGIYADGMREVIRQVTVLCPERGLLLAELAEEMQQTTNTYDILFDSASQYTVR KAIERDLRSYLFKEKEWMESEVRRLENRVNELRAKHNGMLKRFEEQKQSEQKIHEEEV KYLRKANQQIINEIKRFAAVEKSSTPTPGM XP_845707.1 MTSFTGTTFHAQETQVNGGGSTFMVSPMSASSTENMVLEKDELI SLVCAALMSEVVFSAPDPENAASLDRSRDAARIATLVHSISAEDGEFVLKLALYVRRD LSIRLTAAFLVALCAYERRCQPFLACYMKRIILLPSDWLNIANIAYSKPHLYLLARDG GESVTATTGTAECCCAQAIKGVPNALRDALAVTFTMFDEFSLAKYNTERATKRSGRNQ TTSCDEYVSRSEDGHTEARQVTIPSRLTFKHLIRHLHLSHPVYAINCLLGKRYPNTVD EFVQRGLDEGGIRAFNSALCGQRMRLPTPETWERRTSREGNTAAVWDDLVARKCLPFM AAMRNLRNIVLCGCNPTTHDSLLQLFSSEEHVFNSRQFPHRFMSAYEALDFDPEDALD KFSGKAMISVKPPGSPLPKIIKKRVGKRIGKLPSLEEVEKVKQMYRGAMQQAAEISAR LNIIPISGRSLVILNITRYDLPARIQDLKNGVQLAVSFYYACEDCSIILLCRGEFRIV DSEIRRENGILSCVENVCDICRTLLQQRDLEVMAELSRDLEDSHRSRIFNFPYLYLDE LIEKRVNLQALIVMDCVHSCYSGENHTPSLGDLPVYLERLRRTCNENLLFVALKVSGS KNADRRTGLRYQHKNDFLLTGFSAAALRVVAEGVSCGPRRYVERIDQVYDVNVTTVRA GRCKFESDLRVLREVQGIEKERSNKSTEGLVKGASGEFSGSTPTDSAPGATLLSSAAE KVDSVCSDYSIPPRSLQSRYKNFRFFLSSTFLDMENERNTLVLDVFPQLRRWAAENNL HINIIEVDLRWGITEDSTRANLSPSVCLNEVSRCAPFFLGVLGSRYGYRPPTLFHTVD DDVDSTDFAWIQTLQQEGNANCMSVTEMEMRHAIFAANRRTKGNKLHTMAFLVRDNAA LVKSLPPKHKRAYAPDTPTAAASIERLTKYLEEQGAPVIPYTATYKQASLGDRFSHPS LTAPRTAIGNISIGSSGMLSSSIEECPLDMADFSRKAFVALKSIILRHMNLPLNFSGD VCEKGGERKQCRGDGENNCLSERGSYHSNTLYSRECMNQLSFSSSLLKKLVPPQELMG VLVRFACTGRFSSGGEHESIVPPAITEMSAWGGSPLANETRDRSNVLVIQAQEGDGTS TVAAALAMYLRKMDLTSFLVTHFACQAGDGSLQHLAYYISFSLIYGLGLQEDFRVQET DSVTTLIQLLPRVYEAAGKKRDVCVILDGMNRSSHAPEMLQSLSWIIPPEPIGGIRFV VTLTTGNNPFSNAFALRVPPPFCVTLPHLTVAEGAELVRKHLASSGKRLQESFHSNQL RLLLRKADASHASYLTYAIMYLRLFSTFDTLTNDITQLPSTLAQLQVATYERLEERFG KDTCRAVLVSLYIASDVGGLNEFSLYRLVSNVASASRLVVLLSGTCLRIRHRRITVTS TSFASSIAKRYLSRASDVVDACLNMLVSELYYKSLSLQASRYELRCSIQSIVDGIKRD SGGELAFDPRRYTAGELLTILHLAKRAKEYDVLIALLLYVPLLENLVVSAGYLQRLLS ILSQSTLLATPHARKLDPVVDFIQRKYHVLVCRPFHLRQCIRNNARSTMIFHGLSHSV CDGSKGCGGSKGSAWVKWINNTRHIEEGRLVMFPSIDPVKCFSINSDGEFMAGGGDDM NTYVMPHTSLDQITASLKHSDTVTAVTFLTNRPHILVTGSGTGVVRVWNSEDGRMLQQ SENFHRRRVSSLSCHPVSNVVCSGSNDCACAFWPVVGSTAEASTRSSLVPTELLQHHK APISSVAYHISGAILATGSWEGKVYFIDVQQRHDEATVSEEGIVVKSSNREQSSGKGV RTKRYTTPPYSHCVLETWSPVRALAFVPSMVVTCAAALCNGDICLYDYASATCGARFS LHAGVPITALAFSPDAKWMASADERGSVLITYAGIRGTVLCSLNGHRRAVTAIHFHPK NPLNLYTVSLDGTVRSWSVGEREEQSAYLDHHNQTGAGAATLNGSHAVTVTACAVASD GSFFVTAAADGVALVFTDRRDDRNLFSCLESSDNEEVVFEPQFTLLHDQHRISYICIG LQNTRIMCGTAKGEVFVWDSTPGLNRREGRLLQRIRVAEEGAHPVVYIGCESCRNTLG QEDETNKPLVTYLPCARITAITTSGMVVSWVAQGGDSFVSCLDETQFTNSCTLLPEGE LDCQCADGRQPAVAGKKLQRSVLSWVGKPEADSSSKEEQSTSSCFLSSTNSDTEGVPS NGAEEIVCAIPLLRATQSPSRETHEESQQPKAVDTIIGLGENHSFSGDTTTGSCDEYY IVVGRRQCHFLSARLCSVIQLPMFTSQSQYNDCSDDAFSSVACLPAGDVFLCVSNALC VKETGGGGGEYSALFAVSTSCCMIWLLEVTFSPPVADRGKELTAEEEKDAEVLMREYS ENTRLSVLHSVAIYGPQGKPTPVDSLNLAVVSPTTVDFSCDHAPEEVDHSDVSSVLLL TAGCRDGSVRIFVVRPFQNPSKGTEGEVDASQYENAGNTGGKSDSIAGKAEGRCVNDN MVWQERGVFFASSSVTAVVTMRKPIYLPTVGPNSCLTVPVTALPKKDEVDWGFETNLS SVVHLAGDCLGNVYQLQLKREETKTVSKDSRGKHRFKTALHCPLVSADITTVGTPVHG DVMDCSTCKYLPSPSPLTKHYCECANEYGIATDAGNDEEAWRSESRRIMAMFAPSAIP SDKSSQVGEGENKLPTAVSDHELSGETKVTVELPPFERILQKAPSSLSVEEQRAWVKG QQSLLVEAIRVQRCAVKAREVLAEYSQNVLSQVGLQ XP_845708.1 MLRRSSAALIRRTPVRHSGGELFVRPKLEEIPPADQCRGFFGPL NDSLKFLRLLDIKWMMNRAVAMRREYLIATPTLFTFIWMFTWKGAVIYFWGDRAPPRR MDWNTEETGRLPLGFKPTPAPL XP_845709.1 MMTLRSSTSLQSDLSRFIFPLARSLRHDKVSGAVTDASPVGSVT ASGRHNAAEYVKPEGFCKSHQRTPGVRKTVTYFSPHMNALLNRPFGSTGTIDTNVPLY QCPICSCGSSAEGDIKRHMQPWMDPQEMTRHMSWLHASSGYTQSNFSIYNAGEMRRLC KSLPCSSADDPREETAMKPRVVLMLDVANIELSLEDVLIQLLTSEESILFFSRVACAI VCVHEVFIPHTSRPGHIFFQLSRLNALSDIFTLYAATRIESGDLLTAALMGELLFQDM RGCAPSIVLLTRDQQQKLCVSNMFSGVAGRGARVFLPRITAQSILCCLREANLASMGL XP_845710.1 MPVEWPKQNSHYVSSAKGLGRPRRQETRNVDNKTERKTGNASTE SGLPFLTMTINEMANTQFSHRTQELRLQMMQQTGSLVGLHNSVNSTRKFDMGGTLDAM LDTINSRVEENPYSYDKNIKGPPWYLPTLNLHQLTQCCCRPNSRPLFGPLDGDRLSTI CHCSGASHQNSKKTATSTLTRKRRPSSPRNRSDDVGNCEKVDKVYLLRGRKRFRELTY LQRLLLEQWCRTISDVSCRERLELDPTKLHDKVMKEVRRDEHTVQLFEQVQKHERMQE REYTRQIQRLEDERMYGANDARYGFAIQSDWRSLLTSWDKGTACAGESAYSASQGSQD GSTPSKIVNSPRAPTRNTFVTVGEGTHTGVCPRRAQGFLAGPYHVPGLLGRPMHRSNV GYQLRNSGADEENIPLQELQKLLVAVKDGSLMNAVSASRKGARSHRLDAGLLYERAGR NTIFALPITFYMDSNWLECIIFDDDLPAVKLITQKLQEHISSKSSQGAKNMSPFSLAK CSYGVFRAPRHLQALSESNDPQSLLKAFMEGNQRPHDLEGASLFLLPLRLCVADTGLP PRVVMTDAASVVSVRKKGSIATIPSSVFTNHKGHGYDTSGKRAAVSKEGIVPPLNLCK YLAGADVSGRALCASEHLTNYSVELQIVIRQSAWRSTTLKQLANEEYDHLKRKILAKV FVMLAMSNAMIEHERRRESVSYVTAQTLSGDATVDATPTLLSMPRTKRVAVHSLALPP VTSYPGSVEDSNLEFSLSDFVLPLTCAYEYTTQVDVYAAPAHRDHYVVDAKHLFCVAA TALEETNNSILPALARLATEYRCQYSRLARMAEEDVCKTTVSCSSGFTLDDSKRASAH LSGALELLKLESDYSKLLVTLLRNESTIIYYNLHHLPHYRFIQQRKLLWGHLYLRTLR LAYAFCVLVQELGLFPIPPKYRPFLRPVLLLLEYHGGQEELRSRAEETLLELEMRANE LGAMLCRKEVTTHYMPELDAAATVVAEGEYSVSRFISCLASPDDVLSPVLLPVDLPQQ LFSDVPSIAG XP_845711.1 MLLPFPRLTMLCAKKSSVPKCIQRRCAATTHFPGESQTTPASGR PSVTESLNLKPADIEGFLLRHLAHKLEDASLESKGVKSPQWVSNSGDTASGLPPSGNE IVGVRDDVRFHRRLSSRFTSQCDQEKYNGTTEVPFDTIQRDVQFCLQGAREALSLLHK CLIHHRPSEQSATTVPRRLVALVLYSHTRHNVAVGYHMRRIRDIVRTMLCERHNEVLG VVSAAIGVRWRDLEEVGGCSTEESVGGVDKNPSIQHDDSLWYPDAGVYTFNALLQCLC RMDTEADGACRSDTPLGIHRKILIISEGWSHVRTAAELHRSQHEQQQDVNSHNDTVNI EPLPFVLGSTHSEDELIQFARYTACRALE XP_845712.1 MNKLTFTPLTDGEEFSARRGRGHKSAPISLIIDNTCRVYITQIP FSRIERDGVNALRSEFEAFGPVEAYKMFTDRSGRFIGTVLCTYRNPADASAAVQHMND QNIDGSILKVSLSRDHGVVLLHNADGGEQSHAGGNDSVDGKWKHDRYHDGTQESTDSV RGGRGFGRGHSFPFRNGRGGPHMRRGGGRGRGLGGRSSGFPGRDVDEAFERYIASRDA QRRAGSPNENEGDPTGEVACETEAAEVVCEVVGDIGNDSAQVPEADNAPAEAVAGNEE S XP_845713.1 MAALRYLLAPRRALVTPFLRSVTCVSSGGIFSSQRPHTFPVLWH AVRTHSNDSGERSKPLTLHVQLPCGTMRTLTAYEGQTLLDVAMEHGLPIEGACGGSCA CSTCHVYLENDEAMELFDEATDEENDMLDMAFFPQSTSRLGCQLTLRQQKHDGLKISL PKATRNMYVDGHTITPHH XP_845714.1 MFLSLALLAVLVRIQVAASIPVLTADVAHAISFERSNAKSQTDA SGGLGQSSFGSKQWLLRGAISQFNPADVSAHAGHTVFIPTEVHLTQADWKQLVSLPVN GVVAATSPFDTHNELLLQHQLSRATVSIPVYFLPQDGVAAEKLKKMFATLEVSEYAAV SIGNSVREVTPAANASFTGINIYASLKVKPKEASADTPRLLITASFDTLGVAPASLTA GGASAAVAAVDLWWRFNAEAAVNADKNAGVNVNAAPLNPYSVSFLLGNTARFNYAGTR WWLSNQQEEDLDRYALVLCLDELLTSDRSDNTFGEDVDGESTDGKQSADDSSRLYMHV HDSFHNDPYYSQVKELAEATAIKQGIHLTILVAKTNYHHYDLHFEHEVLAHRQVHAVT ISSTRRYRSDQLFRAARYPLSTFPNTTKNYTDVAKQLGRRVDFVYAFAKALLRLPEHG ATTTNNRLWVGNDAYMLGMLRHAAVSHRSPVANGGADQLKFAKSLERHMQNRLVMPSS PLGRRALSSSVSLSTYRLKPPGIVLFGPYEDVAHVSVVKRKSMELLVLLAAVVAVGIF AVLEFGFTRTIRVLMNCEGELETASSGSS XP_845715.1 MRSCSRHALGWRRTATLVFTCYGIHVRRVSHSGVDGQQPGRRPR VDQGGSIEPGGRTGETTGINGLYGNRAQSAIRGMLGPQGQADARESKAVPILGRATAR GTKYTCDRSTVFENWRHICPSPVGWHLSRLGCATCKMPNDINEAVESLQLQIIKGCNV FELDGSMSDVHQSIARAFYEALQAFELDREGFVVVCRCGVIKQQPFQEEITQVESSNT AVVRNRIVPIFERYKASSLPSMQLKSGFRISELSDEQLARLNLRRVSKTSAAGLSPDW LEAFFTNVAYNTKIECIDVLLLDGFHTLFDGRPDAHIDDDILQLFAYLENQVKLGVLQ YYGISSPYLAPHIHRDYPTLPPDAMVPDYIRNRQPPPQVINIYRLMELARRAGGDNHH LRFLQYPFNLTFSQALNKPLPYDGNHTLRSLTTALGLNTLGHSPLEATDLMELPQRYH NFPMEADLKSLRMNFFTVCERCVLKEMEVKESIEKGPSTLPPLEHLFVASVYLAAQRQ FTNLFFFSNWATYYMIPRFRRALMRFKEASSADLKEWCKQYEQLTNDMLRLRKRMFEH KCGKMALEKNAAIDRMSPTLAMCPMLNQKAINFATYGVDTVLAGFHVSRYFHEATELN PARNGELPVPEDEIMALCDCMEVSFANSCPPDPYMLEPVLTEGKLSKQKSKSMDYMVK IDPQNPKFPDIPEEVEGGDDRAGSSGGSGQV XP_845716.1 MQSKRDSSSEFLETLYQSTERGKTVSQTYLAAQVEKEINRTLDP VQLYEFQKPENFRYNLRHGGVPVANKVCDASSLSRERGLNGPGANGDHMEPESVSGVR PFWRTHLDEQDSEILRMIQRAMRPPNKSSVPPVAPDDAAVIRKLKTAEDCITFFAANG SSSHLKYVYLCRAPHVLEFRPYDLCVSPMKRGLEQKEHYIMSATGVVHVRPGHPSEVV SLAEWMRESSLFDVLRKVHFFKTYLVYKAFFRWYKNVRAKDFLNKRRLLSRNLLISKS TFSTPILEVLNASYDLTTHPLVLYEAQGKHDYTIEDFRTQQAKQRQKTSAAFVACMEK IETGVMKLIEVMKSKADVPDINTKEALEQYLLANAIVTGSGSFRSQRKMKSMHDARGE QLQRMRELKRSIVEYSQIDQLIRMVDCVTSETVFRVILSAIKTLYNTLHIQSSQELRS VGFQVLLQPTEDQMLFNPPERAIRTLCTELITECIEVTGSVTRLCSQKRLKGHFTKAP ALWVLGGELESDARTLYLQSSILQLVGDDYAQANTKMQAYAVTLPHIHFLEREWSDIL AQWEEETGERPLTCSTLFKLYDKIQDAQDTLRVLMASFVGNMLWINAAKLKTEIEPRM RIVRDGIDSTLREITRTAISSLHSYFKQKIQVLNERPGQLSQFAEYVAHYKEVVSETT ETEAAVAQADALCDLMDRQQVEFLDDEDKQLRERTLGSVSTAMSLQILFDEARLHAEA FIEENLPFHTQTLEDSVCNVEDECVTIKQILTNSEFVVLTENVEPIIQYLAELNTKLS EIQASETQLTKYAKLFDRPPIDWSTLKEMRSLVFVRREAWTLLDTFMKQREMWFNSPV RELDTAAMEDEVNQLIRRTGALNRQVQEKEYEDEVGQHLLTELQELRAIMPVIHDCGN KHMTDSHWNIVLREATGSDNRYHEGLSLRNLQDLNILEYKEVLSEQVGLATGEWKISN DLDKIKQTWNGISFTTKPYRNRDGVFILDALEDVIQQLDDNQVELQTIMASRFAAPVR DRVEEWIRNLRHVGNVMEEWTNLQKNWMYLEFIFSSDDIKAQLPEESMQFSSVDTLLC LLTMKAHNDKNVYQICTENGVLEQLQQANLNIDYIQKKLEECLETKRAAFPRFYFLSN DELLSILSDVRNPKAVQPHLTKCFDSIASLIFSGDTYSEISGMMSGDGEEVEFEKVVY PIGNVEKWLCQIESMMRASLLMHMRKTVEAYTPEARETWFFNHPAQCIQAVDMVVWTQ EVEEAIRAGSLLEYHEHYQQQIFQTVNLVKGSLTKLQRTLVCTLIVLNVHNRDIVYTL HESSVTSLSDFAWAQQLRYYWEATDSVKSGMSVGIHHCDAHLWYGYEYLGNQPRLVIT PLTDRAFLTCTSALAMNLGAAPQGPAGTGKTESVKDLGKALARQVVVFNCSDGINYKT MSRMFAGLAQAGAWACFDEFNRIELEVLSVVAQQMLDITSALAQRLESMSFDGHMIKL SRNFGVFITMNPGYAGRTELPDNLKALFRPICMMIPDYALIAEIMFYSEGFKDARTLA RKMVQLYKLSSEQLSKQDHYDFGMRAVKSILVLAGGLKRQHPNDDEDMLLIRAMRDAN VPKFLRDDTILFMALIKDLFPTVKIEETQSDLLVRYVREDMEKKGLQVVEGLITKTLQ LYDTLVVRHGVMLVGQTFSGKSTIMHVVQGALTQIKADGHDPEGNIPLFNTIHIHLLN PKSVTMGELYGQVNEITREWTDGIISNIARTITRVAQELPDRQWIVFDGPVDAIWIEN MNTVLDDNKLLCLFNGERIKLPSTATFMFEVQDLAVASPATVSRCGMVFTEPFYVDGG RGWIPLAKSLVTKKASMFPRFRADRVSELLERFLPMSLDFIRKECREWIGSVDAQLAV SCIELLAAFMKTIDEEDLLPPLLRTKEAKPQNDDVYLLPETTYSGVKLVPSEPEEFKA PEIAYEQVSADDETLLDMYFLMSFVWSVGGNLRDDSRERFSAFVKKHFEELLPGKIAA DDGNSVYDYVVHKASMRFVTWRHLVPTFCFSPELPYFDLIVPTAETVSVITLFGVLVA NSRHVLLNGVTGTGKSLAVMDFVTGTLRGDDPEGPWEYFDTVLSAQSRARDIEDRLDG KLYKLRSNAIGPKPGKRAVFIVDDLNMPALERYGASPPLELLRQLITQGGFYDKRKSP ATFKEVHDVVFLTACGVPGGGRNEMTKRLTSRFHLLCQPSFSDHSIRRIFGCILHGFL SAWEQPDVNALSSVLVEAIKECYYLISTEKLPTPKRSHYTFNLRDFSKVVQGIMQVSP TSAPTREILLNVFVHEVSRVFHDRMIDDGDRRWWWKTLSGVSQRVLKMNWDPRLENVI FGDYMRRDRSQYAEIQTGEGLIEVLREYQNGYNIESNGTCELVFFKDAIHHISRICRI FRQPRGHALLVGMGGTGRQSLCKLAAFMCNLAVHEVTITRSFNMAEFRERLKGILMES GCKDNPSVFFLTDNQIVQEEMLEDVNNLLNTGEVPGLMQNEDIDQIVEAVRPLASAAG KKEARNAIFSHFVTLCRNNVHVVLALSPVGEPFRRRLRMFPSLVNCCTIDWFDQWPAD ALHSVAQHIFSELPLDEEMKNGLIKLCVSIHIDVQNRSEEFFEELHRRNYTTPSSYLE LLNCYHQLLSEQEEQTIAQMKRYQAGLDKLQSTQCTVDEMKEQLVQMQPKLLQAAQDT EEIMTKVKLEQESAQVVRTECSKEEEAAMAIRREADGIRAECQAELDKALPILRAAEN ALAELRPDDIREVKSFQKPAARVVLVLEAVLILLGERDLSWDRAKLVMGRMDFIKDLQ NYKKDELTERTIRSIQKYVNNPEFQPQEVAKSSKACKSLSMWVLAINNYYEVVKVVAP KRERLAEAEAKLSVATATLREAQDRLKSIEDKINGLKRDMQENIEKKNKLEADIALTK ARLNRSEQLMSGLSREQDRWHSSITFLQDEKLGLPGKVALAAGCIAYLGPFTGPYRKR MLTAWWENCKEFGVPIGNSVFDLTTLVSPAKVSSWSAAGLPQDPVSTENGVIVTKSKR WCLCIDPQGQACAWIRAMERENNLRTIRLSDPSYMRTLENAIRVGLPVLIENVEETVD AALDPVLLRQTYRSQGRLLLKLGDVEIDYDPGFRMYMTSKLPNPHYLPELQIKVTVIN FTVTQGGLENQLLTDVVRYECAELEAKASNTQKDISDGKTQLKAIEDRILHLLTSSTG NILDNEPLVRTLSEAKDTSEAVTEALNLAEQTQKDIEVACNRYRPVATRGAVIYTVLS HLARVDHMYQISLDFFKQLFVKSMHRTEKVSDVDERVAILLPAVTLDSYRTVCRGLFE RDKPLFVALIFSEIYRQEGIISDAEWEFLLKGSEGRSLVDIDNDSWPVWMTEAAWNEL TALSMALPETFGDIKDTIYDNEDDWSTWFESDTAYEWFPSTTQGLTLFQKVLVLKACR EDLTSHGLSIVCSHYLGKVFTESPAFDLEACFADSSPTAPIIFVLTAGIDPTVLFTEF AERKGFAEKKLVLSLGQDQGPKAEEMIRRGSKDGWWVYLQNCHVYESWMSTLERCVEK LHISTTLHSDFRLWLTTMPTRSFPVLLLQSGVKVVKEPPKGLKANIRDSFCNEVTPAL WDGRPENPTTWRRLLCSLAYFHAVIQERRKFGPLGWNIPYEWNQSDFSASLHTLQVYV PTGVSESVPWSALRYMVGTINYGGRVTDFLDSRALVNILDAFFNENVLQPGQFNITSD GVYCIPEDVESLDAVKEYLADLPAFESPELFGMHSNADIACNRTTARNQLAVMLSVQP RTKGTAGRSPEDKVLEMVSEFQTRLPEAIDKTKAHPDTYQLTEGGVMISLGTVVSQEI DVFNSIIRKLEATLLELKRGIKGEVVMSARLESMFDACLLGQVPQIWHENSYLSRKPL ASWFQDTLLRVEFFRDWNDNGMPMSFWISGFFFPQGFLTGVLQAHSRENMIPIDDIRF RTNVTRYEVVEDIVNTPKAGVLIHGLFLEGARFTFEGMSLCESNPRELYTSMPLINLE PQRLKDQDKSKPVYECPVYKTSARAGALSTTGLSTNYVISLDLSPGSSPPEHWIRRGV ALLCMLDD XP_845717.1 MSRRRPNVMLTIPKNTLQVFDMGLDFRSYLSRVDVNGLPVLGNV VSYEASKLTEVFTPTVGLLVTILHMLAHCTSSCSAVAWLKAYDAIQKMYIENSEEENT EAVRYAVEARAAIKDYLTGDAVPGTNLLCEKLLTLLDQQSGIHGSQAPSQRKVPREPK GAFCNLHLSDQKCYQDFACNQLHLQEVKTVRHRKIFYMRLIEVLKAEKRSRQQIRHRI KDSVFFARYGNKVTVQCQGKWIPFFKTVYTDGRLEQALRTQHFACGDSACADENCLGI HPQDRRVTTSSKLMDLNNPDVVLQQIEEEAERHLSMEGAFNDHEAEHISAAASSSSMP SPTSEMWRNTVREYVRQTGIPQVEADESLLRTLQDANVLATPTASTESLTPLLDELDM RTFSSSSPVREHPNAEIG XP_845718.1 MTDMLFAVLLTISLLVHVSLALDPTYGAQDAYLDHFKGISSSGA FQCLTGSKVIKGDQINDDFCDCPDGSDEPGTSACTNHFTKVKFPDGWKFRCRNIGFKS KEIPHNRVNDGLCDCCDGSDEYGGIVQCANICAEVQEKEAEELMLEREKMKLSLEEKK KMVEQATVKREQDKVALKEEKAELEAAEISRERMSRDLPPLEDHEKKEKQRLSEEFKI LQKHIQENEANEEGTKLKYRAGCTKWYTTVDCGTKSSVTDEKGCDELIPGNVSGYCEC AEAKTDATVKYQKNCDHKPLRCSFVCKTAGEEGTLSSSEEQYFDTTNDPSYELPGAKN LRAKIKDLDEKMDNLRSSIAAKEARLKRNLNTEDIIRTLEDECFTLDVKVYTYKFCPF KDAHQYSKGTEIGNSIGKWVRFGESTYSLWSTTDDHTHMLYEGGDWCWNHDQRTTDVR LVCGPENKLLKAEEPISCKYAMVFQTPAICE XP_845719.1 MNPKLFSTYVIDCVILFLLVLFAFGYQTVRNQERVDAQFELAAS LFGAKDKSAGAEKSISSVKDFFTVFKGIIDAYYHIPAAATGTFMHYTYGVGNFTPLVP VVEITFHDRPQNSLAKVPLVFSFDVQASDVLGPFISPQSYYYQNGFCGDGVTSRLRYI LCQTSSTLDVFDNVDKVKLSFQLYSMRRSDDGRVRPAEWDIEVMFGMGGYGPVITMTT SFSSIEERFPQRFPVMISCAVAPLVLLSFLFRMDRISQLVTYVKVVLTTCRRSQWYGR LRPDNSGLRGQSFLLLGVFSDIVALTFSITSLVIQFTPKVSGTTEHALTVLLGFAAFM RSTQLISVLKLSPSLCVVVDGFVTASDQLFMYVVAVFPILLGYSVCGFIVYGSYQSYF KTVPYSIVTLICAAFGDNLIDTFVDMDRGAYVVQVLFTRIFFGSFLAFFICNVLNVAY SIIQDSYNQAIRMHGATSSGTTGANGSGTRLTEELREILGKLRG XP_845720.1 MTRNADAHFSVGTAANRQLNVPAPSHLVTWSADSLAQNQPSIPS LGNLNLRNPGIWSKREELTPRRQSPVVAARMARIVSSSNISPRYTTFTQRWSPVSPLD LSLTQSSHRAQTAGERGFAFIARYGGIARSPARQAASRSGQSPELETSVASRQTSQHS SLPVEMTPRDRSLKRQIITERKLRIVRQESSTPGASSSNLACLSEANGSRTRLLSQEQ LKKQGSSIHHGGETGLTDKSPVAAPLTVEQLLRKPPQKASTSVGRRVQTPEISISLRG SFSAGNDSLSSAHVAELSTTTARELPSGGVPCVAPHRMSDKSMSASISSCVHPVIVQR RRCSDCTTKPAPSATTSPGTMTATTAGATPTTSSNRSQSSVETVTDIPSVGQVAAPYN GQKSSFVSSRLVMQQFVQRWSNHYEENKKAYFEGGYMSVVPGKKLNSRYVIVQKLGWG EFSTVWLAYDTLHTTLGKPHQAFVAVKIAKCDSVVSESTQYEIKLLRYIGSNTPSHAP LTGLLDSFEVAGQYGSHTCMVMPLHGSNLLSIIDQMKAKKGIRSPSEISLIKEIVVSI LIGLDELDKLDVIHTDIKPENILCSSSDPKVLDTIENFCLRNKDRSSMVPADRVRKAM WQGDPNHLVCIADFGLSVALKPSKGQAVTGKGQKDVAAKAAIESKKEFPVEKAGTVSN VRGTMIQTREYRAPEILMGMDFNTRTDIWSVGCMVYELITGEFLMDPKRRTRNERMMD VEHLAMMMQILGPVPEKIIKLREGCGNGKPPPRYIHRYFDENNRFIYSDKYRLYPRRH IDRELQAYLPPAEAKSAAAFIVGCLASYEPTSRPSAGEMLNHTWLYDATNN XP_845721.1 MDLDDEFITNRSEATIPKVLCCVCGLLIDSNPSNMCSSCLRSHV DITESVQKEYIIVYCPECSRYLQPPQYWTRADLESRELLTICLKRVKGLSRNVFKLVD AKFIWTEPHSKRLKVKLVLQKEVMSNIVIQQACVIEYVVVWQQCPTCQKVATGQPQWD ACVQLRQKVAHKKTFLYLEQLILKHKLQENFIRVEAQPDGLDFFFAHKSHALNFLEFV SRNSPVTRRDAVQLVSHDSKNNTAVQHHTFSLEIAPLCREDLVLLPYQSYYLKLGGLG PLVLVHKVYSSVVLVDPRTLRANEFTGTYYWKKPFLPLMNSREMTEFYVLQCTPTGVT NGRYHLGVITVCLSSEVGYGREWIVTSHLGGLLQPGDLAMGYLLEGRTFNHEEWENNK YKQDQLQEVVLVRKHFPAQRARRHRRNWKLKRLDVMDPSCAERNARRQEEQEEERLEF EDELERDVELRRDVPIYKMLDSELAERVVKKNEGKGNANPENECDDDADEAPQIALEE MLDELRLSDDDETAAADDEEASVENARKKKRPEV XP_845722.1 MKVAGSILALLRNRLPTAPLHPVTAAELLCELHPSEDRVVSAHL VGCVEGNGVLLSLPVLLGLIRRGYSDSLKSFGSSALSQWGPMEETIFTALWVQLRSQR ESLVEVGSGPDATDGIGESEAQVSAPERLHADSCLLIERMMLLMISLGKFVLHSTLTL KGVRLQLLAEALSPLCDAVESAWCRMAEARNAGEIPSERLLDISKLVARCVHIYGKYF AAYHHIFCAILFTGVEASRKLLEAQLPLTDRETLARVRSARDVLLEVKPLLTGLLVRA SSRETQRYVTEVEEWLEMIVSLVETPVLKAVGLQLRSHICSLGSSGNVDNSEILGAEL RNCYIVMMHTAAVLLAMRFHRNRFFEECNFCVRANNLIAQGLKTIWWMLDTITMSMGS PNKAVELQDLHSEDSVTWCEWGNCCEVAEVVRRFFPLYSDDARVSKMALTNPYFCVSH HPPVSEITSVLLRILRAACHRLARSVAGDGDLQCSTVPFVVFLQIVRAALSWGDACHE DGGLSPSFVWSTIRATLPRQLHASSPPLWTVLVLLEDLGASAYFCAYHCRVKLSIEDR TVIDAMKEMCDNCLAYLNKRYLCDDDLADADVICRVLHTLAALKTRERDQSTLFGGDL FSRHSTLMNHLRKQLVPLDQGVLRRSGGSSTSSSRTGINNLAGDPQGGDFHGGAYWFI CSACETNILWQKGTASISSPSSCHCWMRRNDPVEAVVACIELFLPLLGQEDRVGDHEH FMEDAYPTLRSVYNAAILSYCTTTPLCGSLGSDELFSSDCALPSKYGTGSERQPLAPT AFLVECHQYLLLLYPTAMQPQHAYRILRVMLTTSPLLFAHTPQLARFLFRCLIPVERG RSTSAHDFIESCDPQELWQVLLCACATQVACAGCKTSSNNVRRDEGGKVPIIPSSIWS EDAEIVFGVLYDVGNSDVGDNDTFLTIRGHVVRRAMEILHAQGL XP_845723.1 MSDSGDEYERREDILLQDDINLAGANVNGVDTDPCGYYASLGVS QDSSQAAIRRAFLQLSQIFHTDKHVGEDEEIQALMNERFQQLMEAYSVLSDEGKRAAY DASGKLGLNRYSLIPKEITQREDILRYMSTLEREAELLKLSKLLSASSRTTVSYSVDH LTNSMWKLGTSANDTLQQQSNGVDTEEAGTDEGETETPPKGDIADAANGAEGSSAQPV PLNTSVAVREVQLDGKNLLVLIPGDEVQQQLRQQLQHSTGGGSGGSAAPLSPEQRFGG VPLAAKLLLGLLPRKLHFEHSIHHYASPSFSVRTKTEAQHEGMRSVLRCTAIASYQPN PVTLYSISWRLSVARLHIACTWERILNNLWRLKSKLVLFNTQSLLPMYELSLKRMLAA GLEIENTWVMSMRNRGLFRTTIGQSTPEGAQRGLQFLVGYRSANVSLFSTAPVVWGGG EPGCGGVSRGVLEHSVSVDALRGRAHVGFSSWYTISKYNRIGVGFSTALPCPRGLSRY VSPFVDHPEYLSINEMSFLLGRGDHLIRIPVVVFHSPKVQSALLWLTAPVLLYRIGRL VMRPYQSARMAALYRQNRLDHRTEMDVARVRATHEQKALQSSSLRSRMAEEQIGGLVI INARYGVLQPRYPESLVLPPKQPNAAGNRSEVSGRQRQTWWRFWRAGRGTPTPSESVT VNGKKKDEGEETEGDSSSSVLVLDVTVPLQNFVRDSQLVLPEGSKSKLVGFTDPDPFT RERKQLKIVYRFQRRRHVVILDDEDIVRLPQREHLVES XP_845724.1 MTRCRLVGASSGGKYISTTNAKTRPVMGCLRFASRLSALGSTQQ PKFFALPLLATTRGFGHLVREVDMRGFRGDFGQLRHKNLASTQRYVTDALGAKKNVIF CGAYHTGKLTILKAIGEACEARGKKVAYVSCNPQRASRFGGFLLYHFVGLRYLFRNEI PSRDQLDGALERHARLCESTYAGCVPSLKSVDVLIFDAVDQLEPTILASMDAVCRRLR GKPNDAFGGLRVFAAADFWRLPVHPSSDTGGYIFQLDNWGELFPKQHLLKKIYGQTKA LTTLVNKAYYGQLSAEDIEELEARSSAGRTESGAACGGSKKEASETSGSFSVSDDGSR QVEVEEEGAGSGLLPPQRLISNAEAIIKFTSRFPKQPSIRVLPPRFRTLKRTEIGNYI VNMMVQSSTLHSFGLVDSLNLDIGASVHLLFDGTADFGVTAGTVGEVLQVKEHALVVH FPSVHRTVDVPRMRISVYHPFYPEVRYEVQQFPLYPRHCISPINMLTYHHAYQVHIDC HQLADTNDLGNILARMRTFDDFTIERVHDFAHLDGMVHEPTRIYYQRIDNQPLSSAAE QWCRNCKSYVSTSDFYTHWDKCVRQVRWCTVCNTRIPLERLGPHQEKHQIVLCLDCGR AVEWRRWEGHRLTCSAMMREVSPENEFLPLRTRQLALEMGLDKRDLHTMKGFNRGMLP KSRKQCNGGEA XP_845725.1 MPPNSATRWLPFVSSDLKDYLNRYWAVMFTVGARPIETGHIRHY VSWYCTRMKVVLLDHHVYVEPLRQQLQEVSRTPELPLLFVNKKLVGTLRDVELLEREK KLKDVLHFGFEWRVGGSVAATNGQKSLMGALPAPYGDAEFFRGRYRGPPVARPVVSLP TLHPFALRSEE XP_845726.1 MSSGELQQSTPGAPQDLEEMLTALRNEAEAGASGGSTKPERSHP AVAFYCGKRAVRLQVVPDGTDISHSEDSTLYVISLHQALLPAHYNDSFSEEEHSLRLS SSEGLELLRTNWELLLWLLMPSVQISASCDPMDGADDSSPISSWRELCCPLTWEDYKC LRRHGVMDRVHYSYVVFLRFYGWRLHNEENGVLDRHRNWEARYRLLVSPDQCCKCSLG GGVACDEVVRRWTCDDGVPCPYTALTRILKVLLELCFNRYAVNLVAFIIEEMSKGRLL FLQQILESVWFPIVVNSKQVADADRDYLSRKLHHLTHSDSD XP_845727.1 MHCCKVFSFRLTAGLLQRLPMPMPPPRPGVSVNMQHAVEIEAKR RVELDEATRARYVVVKEETRASGDRVIGIDLGTTNSCISYIDKKTNRPKIIPSPTGSW VFPTAITFDKSHKVRLYGEEARACVRTSASATLCSGKRLIGRGVGELGRVQSQLHKTN MVTLNERGEVAVEIMGRTYTVTHIIAMFLRYLKKEAEKFLKEPVNAVVVSVPAFFTPQ QKVATEDAALAAGFDVLEVIDEPSAACLAHTVLQPSNASSREHLSGSKRIVRSLVFDL GGGTLDCAVMENDRRRGTFTLVATHGDPLLGGNDWDAVLSQHFSDQFERKWRVPLEDA EGNVGQGVATYRQLLLEAEKAKIHFTHSTEPYYGYNRAFHFSEKLRDIVPLEATLTLE EYIELTRPLRVRCVECLNKLFDHTSIRPADIDNVLLVGAMTRDPPIRHLLTEYFGRHV ESEASCPADYAVAIGAAVRGAMLQGGFDDLLSNTRFVTGTAQALKQGGFLRRCCNRIG SLVSSSVNPNAIGQRWRGRAKGLSDEEIANYAKELVEFEAACDRRLLLERAENDANFV MRRVTADSSKRQGMQEKRVRQLSEQLKFWQYMVHNFHDHEDELLRTVRELEQALDELE GLAEDNTSGLTTAGTVDFSSVTPVNHCEEEERDCSSVSAASRSAQLRTAHGDGKLKER TQDEEGEKPKGRKIMRRAVPLPRASAEAQELVEAGHPALRGADVSMTESTRSAFFEAQ VEERAWREPPTPPGEHGSWQEVKRAVDAGEPVGSPIGLQELQRPMTHEEMLQVLNNIA PIDDPVSEEHARKRDHSIDMRTMTIVEGAVDMVALQELLEEEAKRAEELQRAQKKGEK QLVADSSAKLFAMD XP_845728.1 MSGEKTHTLKQVQTFGKKKTAIAVATVTKAPQCNIRINGVPISQ ILPETLRAKIMEAVKVVGARYFSRLRVDVRVRGSGQVAQAYAVRQAIAKGIIAYYQKY HNEIEKAALKDKYLEYDKFLLIADPRRCEPKKWGRHSARTRFTKSYR XP_845729.1 MSGEKTHTLKQVQTFGKKKTAIAVATVTKAPQCNIRINGVPISQ ILPETLRAKIMEAVKVVGARYFSRLRVDVRVRGSGQVAQAYAVRQAIAKGIIAYYQKY HNEIEKAALKDKYLEYDKFLLIADPRRCEPKKWGRHSARTRFTKSYR XP_845730.1 MFRVVSFGSLIQDSRFYFKRNGRVTTMYPDGYTIQRRVFSKGRR TRAKITLPGDDAKNDLGEVYYTATIKRRDDGGPCFQVVRSDKPDVIHSGDQCSPAWRK ALEAAKQDFPDAHKELAYVGSDDKGCMIVQGAILFGLTAKPVVDELNKMAKALSINEW LSTISADTKSLGDPIGSPIPSSSSQPRKPKQQLVTSSQPSQSSSWKTRGKKSVEAPED DDVPYCVRLSNSEVSASVPCSICGSTTPFCPITGKRHDTTGKGGRGRKKSELTEGAVA PSEPTGKKRGRRKKQPRDDDGAEGSQNMSASSGDAVKRRRTSDGATERGPSTRDAKRQ MLLFENNGNAVDGSLANGEVPYAPPPVLFRPPLSPPQSKEALRVLQRTLQALSVVRTP FTSLLQLREPGVKKTTHASSKSDKGAGSQANIISVNGADTDGNDAAAARKQAEEGTQH FLDIVDMDKGTRARRYIKFMKLYTSERTKMDLLKKAANSNPDSS XP_845731.1 MALAQSCRHFAATTYRQSKITDRFQLLITKKEIECDYVAVDATA LVATGLRLAKNVTTEQRRHKEVARHVVQSIQQLLKKVRCKKSLLIAMDGAENLLKADR TRGSSLTRKVESRLMRLPGTPLMQAVEERIVRMMPERQILPGEVVFAGTCVQGCVEQK MSAWALDLASRDTFNGSSDSLNLIGASELYLNVLALSPFYNVSSVVQNNADLRHIRLQ DILEWLELDKKAKEGESVTIAKMRTDILFLFIIANGASATELNPIPAVGFHEIVDRYM KVLAENGATPPSQSATASAPTASANVPAAPAFLFEDSPGNTLQLNLRMLCRIMQLVAR KEAPARVDGLSEAYLEHALQTHAMLCTGQAPQCTYLPAAGTGQLGSTAPSAVQLAGHL AALCASTAQRNARCTSLQPSLVTPEKGSCEEDSSATKLPPTPPTSAVAPVEDKPLTAA EYTILCQSLPAAVEGLIHQYVGVAPKPDVGKMITTATTEEAYRLVREVLSYANPLRPH KCLCLSPSYCWLQNEKTQLWRFEYVDIGVKSHELGTRRQLNAMKGVTLEVNMSRDGPS HFDPLSGTWEPIANFPCGAECEYDKHTEECTSSPSAPQTAKEVEGGPVKASLKLLTWN VMFDRYSGKPTPLGMPGIDWCSPKRYPVIAKIIEEEDADVVGMQEVEPVFWEFLSKRP LIRQRYYFSCGHMSPAIAPWGVLMLIHRRRLPVQSINYLNVPAWTNHVSLMPVVGLKM VHGTVHIAAAHLLAPYTKSHENARTSQDTALRHHMTKTLGGGDVVTMGDFNDWPTNEF IMPHESQYVDCWPVLHPNNPGKTMDETNTFCKLKVEEMFFGRSDKVFLRSRRLVPVEA HLVGTRSVNDENNNADAPAYLFPSDHYGVSITFSLKLP XP_845732.1 MMQRCGTSLSRLCFRRLLRTPLLVYSIPPIRDVPSGIAHCPLSC SMRMVTSSNDDEFVFDPTLSIQKDAAIHTAKKSFETIVLEYVPAHAPEEARQKVKSYL TQHPIDILITQPKVQITHLEDAESGAETKVSLSPCDLPEALQQARERGMNLVQMGARG DVAYCRIRRESARILSLIHTELEALREQEEKQQGKGRGGVQAAAKMGELIDHTFRDAV DAHFVGWRSKKIVEDIRRRHPVKLTIKEFQSPECAIGKLREMCQAMQHYAQEKVIYHH FTSIVANDREASITFAPALPMAKSDSWKHIKYPGEKEWTNALRRMEDACRKSGRYGTY AKSNKLKLRSLGQTSYRVDKYGRKMD XP_845733.1 MVGNGNDTVLTDGDDDECIAEQEDKVTKALQARLRCGMQRFATS ATNQGGLVKPSGPPSSVAAAAQRSTTTKRDNLTNSRRQEIFVEHVKHFMRYTVIADKA RKLVSLEERPKEDPDVGNDRVELLRIHVSLPCVWRYILHEWERVEEASKKSSSLAASR DASVSRLVSLFMKCLNSLDGIHALLFIACAACRALDLTGGVTSVLLHLLLETGRVEED RLLKSDSGELVYKAIEEIDKEMHSRFGGSDCCYFQTHSYLLLLIWYTQRDVSDNGGPL KMSHQVVLSQAFVKATSPYQTARTRRGSKAAASVAPSEESGKRKPRGRRCAGSSEDGA ALSGFDRHATLVTEVQEHETRWAEDSSKFLFSLYALQNASGSASYGMKKLALREVMGA VFLFHRVLSESGVNDFLLESKESRIRELHEWSLSKGFVTPPLTHGGESSNNGTTVNSF ASTDVGEDGLNVMSMAGRTYRECTDVERSFPRVANARAMLQHVTQIAAANYRGNTRAV GEGFPHEYIRARLHPHQVESLAYMLERETRGLAQYVELRGFFEATSGLPSEVADRSAG RGSREFSLFYSSMSSEWFIVRSDSLSARFARRALHCGFLCDEMGLGKTLVVLSLCATA KKRAIELEETEVPTVTTLGTVKGSNVGAVNKAFAESDAGTAGAGEDEPIYLWRHIRVR KTGAPWSDMLEVLYGSLPQPVYLPTPKPLPKTTLVVVPLSLLIQWVSEIDRFYPLARY ILFHGPSRQRYTYEDFCSADFVITTYETVGAHLRVETDAAFRLLTMTLPYMEHKDASL AQWDTFCRGCNERRARAASASQGVNDCDGSADVFDSILSLFESARRQVVLEAEGAPPG PTLDFVSQWDKTFREFLRSDVLYLTDFVFERIILDESQKCSKTSRLQYLQARRRWVVS GTPINNNDVNSLQPLFKFLWAELSPSYSVSPLSAFYCSSYDKQRHMISALNAFRSSRK MARYAINSFYVGRHSKSSPSDTRHVLTQPAIRKPLCMCRLCNPFTKQTVYPKSPVLTL KDALYRRVTSAASEEETEGFISDRGGLFRSWRFSVPLTHLMVRHEKTEELQRALQLSP VTEAHVEVPLLDGERFLYDYAGDVVARGVALLQRQGLVGNCSAKLLSWVCLLGRMALH PSTAFADVRNSDQALYVQSHQKNEQVASNFLSSVVSVTPNDALAYARKLIANRLNTTG TRKGRGETNVLVPPETTVETLRKLTLDPPEVPECAICLDTMLHPTLLSCFHLFCKECL FATIQVARPTLSNETTARCPHCRNPKSMREKMMVIQVENTQVSTLGALQDEGMAPPNG ENVSHEELKRRVDAVGRGSRIPRLIQLLEDIWDEAPDDNVLVFSKVPAILQMGEAALK EAGYQNVHVIDNHVTLVQRKKIFAQLHANAATTASATGSASGSTPSGNNRGHVLFLSS RVACSGLNLVFANRLIFMEPNLNPAQHQQAVGRIDRCGQLKRTYVYVMYAPRTIEERI MNRTSALLQEASQGTFPDVATGRGAPAGNTSSMGQSELITLLQAQQA XP_845734.1 MNTTGEPAKAAAPPAVRRRGRGTFNRPLRAEEPTAPNATASHAG DNVSVITTVTTAVLPASTGPSTRVPEEDLAAEYGGQKRDIPLNIRENFGVHEEEQVVD TVRQLLFRGEKRRRYCVIVGNLQNPDRPITLKLFGHKGLKPFKLQNKLIRLVNISVSR PRLIKQTDASKEEQEVWSGGGSDDEVIADAQADTVTKTENDFDNQGSCDHGQQQKWRK EEQEGTPKRDYESEIQRAHERRNPGGDGGVKDGEESQPTIRMSGSEVEKNGSGDMDVK ANERPPSQSGEGRDQGWEEKTMDTETRDVDDYANEDVVLPLTVEIRDSTATNIGQPSS IANSPVLTAARFDTLYGYFFREFQHLLQLEDVRMHQVSINLSLGAVYCCLAREGSYIT PLKYATFSEFVQRMNEESMQLYFLKDAPICVSRAVDRECGALQSEAAFSLVKIYFFSN ERQSRTVARAMWDAHENRFVLLDMENMGVTFTWTVFSVDETDIQNNRKKHQGEGVSND SGIPDGDSATETDKPMRKKTVAFPFELELRAYRRWKQHTEHPVAPIAEAILDKLSLAE HNLIQYGSTIGYENMDLSKVCDADAESEDFNVESIIVEHTSRVKPRGTDLTVDSTSSL WIENFAAARALRERMAKGLVGNEERSNVKTRHGERSGRKGAPVPNVKPVTPASRLTFF RSRGSTVHWKLRPGYSTEENLSPLSEALHFVQQVLKTANEIEGRSARGGVAPEPL XP_845735.1 MGDDGYSSYVLLQEQILTVKRSFSEALEKELNLVEVRAPILFRV GDGTQDNLSGFEKAVQVPVKAIPNASFEVVHSLAKWKRRTLANYKFAPGHGLYTHMTA LRVDDVLDNIHSVVVDQWDWEMVMKDDQRNLAFLKEVVCKVYAAIRKTELAVCEKYKQ KPILPETIQFVHAEHLLLAYPNLTAKEREREIAREYGAVFLIGIGAVLSSGDRHDARA PDYDDWTSPVEASQVVFPRTSKPIPTMNSLSSLKGLNGDILLYNPTLDDSLEVSSMGI RVNAEALRHQISLTGDDSLLKSEWHQQLLNGEFPQTVGGGIGQSRMVMFMLRKKHIGE VQCSVWPEEIRKKHNLL XP_845736.1 MSTIFDFEVLDADHKPYNLVQHKGSPLLIYNVASKCGYTKGGYE TATALYNKYKSQGFTVLVFPCNEFGGQEAGNEEEIKEFVCTKFKAEFPIMAKINVNGE NAHPLYEYMKKTKPGILATKAIKWNFTSFLIDRDGVPVERFSPGASVKDIEEKLIPLL ESTQSA XP_845737.1 MSAASSIFDFEVLDADHKPYNLVQHKGSPLLIYNVASKCGYTKG GYETATTLYNKYKSQGFTVLAFPCNQFGGQEPGTEEEIKEFVCTKFKAEFPIMAKINV NGENAHPLYEYMKKTKPGILKTKAIKWNFTSFLIDRDGVPVERFSPGASVKDIEKKLI PLLESTQSA XP_845738.1 MLRSSRKKMSAASSIFDFEVLDADHKPYNLVQHKGSPLLIYNVA SKCGYTKGGYETATALYNKYKSQGFTVLAFPCNQFGGQEPGTEEEIKEFVCTKFKAEF PIMAKINVNGENAHPLYEYMKKTKPGILATKAIKWNFTSFLIDRDGVPVERFSPGASV KDIEEKLIPLLGSARL XP_845739.1 MRGSNRAQLSALFSIVSAATHPGVRAGRIPCVTQVTAPNDATAP SATALRPEKSHLTWQEALCEMEGLHEMSVPVRSAVALHEFLTSEAKKLGCDAAQRGEL RRRAGVFCAKDNARPPRWSSGVFAGDDSRVNGRKLKDVLVEEMEGCRAWATECIQKMG SAAGIDQTRCALKPVGGAGEPFEEICGAVACFAGEESGVVESFALASVLLNHLRPMRR CLVELARDAWEEALNVCEQFLNSDDAISSALGAELFRCVASVAPSNSRVKLLSLYVHQ RRNQLLSNLKDLPNLFRFCSLRCFDEEELLNWRRKLREQMQAMLDIIREMDALDVERA QEFKRACSLGRRAAVNMVPAGGYSQEHHGSHSNRFSFSSPASLSRNMEKRGCGVECEE GKKSVQVREISAKGAEYVKGNVEGLDNTSRSGNRFTSSEMAVVSSCWQRTALETWRKQ RGSAIRMLCSQDGKEAKEGGQGKQFRVELGHHEACRADVAEGPHRTPLSRLRATISGG CKDYSDLLTALCSWVRENRRDLNETCYMTFVLEIVVFIFVCELRPSVGVAALSQLTSL LVQFRKEQVVNNSVNLFTEPLTVGLAAAALRTSMEAARRGTVRGGIAIDPEDVGNSPF LKDVAALLFEVRLPHMLRQTVSILRDGYFTSPLETIGAPPPLGFSNEFYQHTGVIAVL VRMAACRNMRPTVSPDFPTVPIQPVAFLIELTEVVGESAILMLREIPLRRKKPIPGVL NEALWGLFVPFAAWLLHNGESLAGELPVDGVRRLLKLCEAVVADLAARWNYSVVPTRR RWISMEKPVVSLFTQEYVADEVTRRKVVSFASLLEGILSAALRFFPNIFSEARGFSRV CSAVAIILHDVDTHTAHQIMEFLLRLSPPPEQMDCSAHHAVVRCLSAMRHGCTLWMQA VHHYTQTLRTIIRDEEDASVTASKRSRVSADVSTSLVLRCVGSSNAPRAVRCMLTLRI AALASAAGISLNAQSLVSCMHNFVMRRSQPNGIFNGVPWCDVLGWHSNLMTRARRASW AMRDVQFLHAACLQALLAQGEWPEALLLLPRVEIPFASDYCREGSKTGTRGFKSCVMS LLALCASDRTRSCRDTAATLIEMVRRRTSEREMCPTWDGTVTKLCQIACVEPPIYRGR DEKSRTPLFRDCAPSFPQPAPDAEGELLIRLGSTALSYNHPRYSHEALVKRLEARVAR LRSEVAVTLAITCTGTEEPQRGHEGEKHCRPSLIRVCERTSVGLHRSRVVWSQNTLMR EETDPSHARVHDEAARSLLDTRLASVMVPLRRLERIRAEKHHRHDGGKKW XP_845740.1 MLCRSPCCAAPVFCRIVDIMRQREPYARPGILYPIRVELGDRVD KCTPHELQELIDTMLARSQRYGTVKTGTVYNVNQSVATEIRAYGRYVVTGNMRGGYIK GDHRYGPRLTGYSGATTKPGLKWNGEDL XP_845741.1 MITEGAATPCAEVPAIRRVRRTRSRFERLVRPEDVAPGEPTPSV EGWVLFLTNLPPNTTMDHITDLFVTREGEGLWNVREVKMPLDKNCECCGYALVELEKR EAFEAALRDMEGMTLSFAEGEPPNGEDAWRLHIAPTFLGEKDDEEEELVAGGKRERE XP_845742.1 MQRYKEGRSGAYTETYHKLLGKSPWIVIRNIEHPGGPNKRNREK VAAGGNDLNANDDECCSTSLSEGDIATVFSQFGEVIDVRLVRHQRTGRFLGTAFVKFE DYRSAILAADEMNSNHEKGKEVSLTTTGRAPSQRGIEVARCEEVEVCALPSGAESYAE WLSRVVLEAR XP_845743.1 MRPLVERFLRGDNSVLSQILMRVESQGDPTFGDSSERLYNRLIE MLVHEGRRASGSARGSPSNKENCFVAIRVFNIIFERAGVDAPVLRRCLTESGSNNARM MNLDHYFSQQGHMNMHVARFALTLTKIYRISVETLLTAAPNIVHVERLAGSRENSNAQ SSASSHDDNVSPMRGTFSAARSNLRGEGRSVSNPRAGRGADGARVELFQAVGNSNRHW RELPDAPSFVPFSVNPLYSENVGASNHTWMPPQFPTPVLLFSRHFGAGCEAKLPIMGA ITAQQTGEQLDAEDAIASPTPERVGDGGEAVEEVVAGEKPPDLLLSRYLAKGAVLTWG NIASVKTGKKLQLASPSDGESPPQGSYTLSPTRLAIPVPVVSFSCGAYSCYFVTMERK ILSCGNDDWGQLGAGKSKDSQPPGAVKGSEGKYRLYRVPLRSGDQICKLAAGSAFAVA IGLRSRRMYLWGQNSFGQCLVHEGMTVRTPVLVDIPGQYTEVVDVACGGFYAALSFTC GSVGTWGLSTMLATNVSPDQLRDADAPNNQKCAKTINLFKPLNEKVVALRAGPCHCLA ITSSGAVYSWGVERNGRLGHGSGKNEEKMRLIDALSNQVVTDASCGSFHTAVLTKQGQ IFVFGENTYGQLGLPTRQPRLLPEILPLPKRAISVSCGREHTCILLEDGDVMACGSLR CSGVGVGFGSRFVAPRRAVQNYLILTLASGDLHVLAAGLLRTMALTVVQTPPESPILD DLPKVNEVARRHGLRGVSAGNGFTIILAETGEAFSIGQGENGQLGMGDTSLSQKTALR KVVVPDFEELCAVECGFNFAIAIGSSGALYSWGWNTHGQLGHGVAVNLGEAVFTPKEI SALKSLAQVVQVACGGTFVIALTQCGEVYSWGETLYCGHGTGGERCLTNPKRIAALQD IAAVAAGDRHAAAVSYDHVIYAWGRGPVGDGGSPSTVVPVPVAVKFAHPVRQLVCCQV NTFIITDVGDLYVWGLNNNGQCGALQSMINSDHSTSTLRQKGDEDGGMKSDQQPLLFP TFVASGVREAAFTKMCGVYVKEDGSSYVCGRVRQNGRDVTLKSFTQQWVGRPPSERDG ETGAASPSSYFVRCFHGYDSIFQLVERKRQTPASLKTTRQNLSAFVRGSKEKAFYQRN NQNREK XP_845744.1 MSVTCCAYRRILKHLQRSAVRYGKQEEICFAVFGRALNMNDFAA AGYGETAQQVARSMFSRPSISGISCDEPAQRLVGAFDMLRRFAEVTTATGSSKVERSM DETERSQNEPKEPKGSGTTTSSGIRRAVVMDSVKVVGETSKPVSPGVEEDQANGGKGC ENGIEDDEDQVTDGFHVGEGSVYIESSVSQKVRKISRRVLVCLDLFKIPPYRHMMPPE PLYPTNIRVRFKFPLMRDAMLCMAASVGKGNINGTLERKRILNEEDYGVVCNVIPTRT ITVTDHLEVELRTEYVLSRTYNSAEDDDEHMKVHPVEGDCESIVSGDDSGTNIQHIFR YFVFIRNYGPEKNEKKWHAQLLSRHLVIFDEEKEAVTEVIGPGLAGNVPLLPPGGSHF YESGLSLYGTSGVMRGTFQINTYNESGESRCIDIHIAPTRLAPKEGSK XP_845745.1 MHEPREVVPLERAFLDVPDVSEDVHWLLRRFVNEDGVVLGWGLC RNGELGTGTRNNVITPFIIGGLDKPIRIGCSSMTSVWLGVRGAVLTMGGGMWGELGVP DPQVMPLLSCTEHGVPISTAQIDLRQFNWNEMIVDVKGGHAFFAALSSKGEVLLWGAN DYAQCTPEVRGACCATPRKRRVAAERIVEVACGNYTVLALSERGEVYGWGYTLLLGEE ESTWKTLPPNHLKRVIVDGEERGTVVQPVKIRSLSSKSISHIRMGPWHAFAFSSEGRA YSWGLGEGGRLGHGDEENVSLPKEVKGLKSAVVEVACGSFHTCLVTSSGLAYACGDNQ GGQCGVPGEVIVTTFRQLDLPLRHKVISASCGRHHTLLLLETGEVVAYGTGLGVGVGL GHGLRMIRGVPIMDNYISLWLTSGSCHNFSLSLPKNLSMLILGLPHRGVHSAISVIGV KEGLLTAALGQGFSIILNRRGVCYAMGMGGWGQLGIDLSKVRDFTHDRVPVVRHATKI TSLSSSAIVQVSAGICFSAALSDTQRVFTWGSNVYGQCGLGVSPIENPRIAEPQEIGW LADKMIVQVACGCFFALALSATGCVYSWGNIECCGVGDLPLPPEIPDNLVMESLGNDS TASILMPVEVPSLSNIVAVAAGAWHAMALNAAGEIYAWGIGACGRLGYGGEDDRITPV KVLLSAFATKIGCGPFGSYAITDEGKLYVWGANGRAQLSATGSRILLPTHVLDDVMEA TLGKYFALVLTRSREFRFSGVMEHQKGVYVSESFNDLRNIPPELSRDNLSMEGCQGVR VFAGVEHAIVLVEKDPLPPAVVTELHYTLRDRPAQIIRRSAR XP_845746.1 MEHQNDRCTYSVRPHGKLQEYLLELESKLRNTAALLREFGTTPK GSDAEGSPANCTTSAAQSVGAYIEELQGEVEAYDELINERLKQIRHQADAASQVHVAY QKMFPDHSLKPNTISPAERKPSASRGVCYDGVRRYLSHFINWDPLEFARVPGGEDEAN WDPRTSPAPRNSASLYPTEGIKGDGGDRNCGPWSHAHSTPNHQSQWSGAPCGPRGSGQ QCGRRGIKSVVDDLVVRQLQYDGVPLTTTMEMDLGCYNGLAAACEKNPTRSSHPVSVA VPQEIRCGVLRPCSLREESVDILQMVTDAYPRTVQWIVNIVNLCISSADIPRTVMTTA EGLALLLEADANGAIPHTQQTRESESQSSKVFFPAVSAPEAEPTPDRDMRETQETPEL GDVVRLHRSAAFLWSSQLNEEVEDGEDDELAEATSRDAGTDMAPDEEQDYLEEHEYYV GMEDLIHADVEADEVEEDEDDDGDGDDGRIFVNDSAGEEEDEVDEDMLEEGEDVGEEE TEDDYRYLQQQRNAEEGDVGGGIRGRGEGGEKQGEPTASTEQNEVQLVAFVMQRLKVF LSDLVIITALQQLALMVSAARATMSDMTINGGEDGDTTSLTLQRAAEFALALCRHPSV AWRLAVENGFHQSHTLGDGDPQVSGDAARPSALLLSFRHILVALGYMPLALGNEHRRA CEESQVNGNSHGGKQAQERLLWCVAPFLRLWGDNQRSYSGVGVPTDPMYGFVEDSRGT GGAAVAVPICTTPLVWQESVLMSLLDQVGKLKQLEELLQQEGHNVKQAASSFNTTPQP ESLLYRFIYPLSAPVLRNLDDCCERGLITTFGGSPSQRTSMSESPQGTPFRLPPPQQQ PTSPAAAISTQRRSIISGFRGMLQGWTGSRNNNRRNTDTDPAVTSGDDAQSQDPTASP KPSAPQHTVILELPHASTILLQQDELQRRATSALLYPKENLSFHSANPVRLLNSFVVW QPMFQKTMCYCPITRRRCIDACVHGITPEQYKEAPTSLTTGAESGFNPAQLLNCGHVI SYRAMMALAERPQRLVRGSSNGVRCPYCTMVTPLEQSLMLSCIY XP_845747.1 MRPTPDQTKEQQNRFRKSLEGLSVVEKITDFLHAVCRVSNGRGS AILVGLPRHHRQQLVFLNNGHTKSRHVGGPEGRDEQREAECSGVLLTTSFVFPNRDEA QGSSVTFLEQPVVGTSARHGRPIWSVQAPVCADKVFYQHVNANLPKKHNPQPPPPPPR GMYGTHDAESRNNGPYTFADSNISSTFGYEEDGVLGFTFTVCNMKSLHCPRQSAFFSR RSSLAGKPQRPPPSGDPFDSFTDFQSSNNSTFQAKSSERVYSPHSSISEMSVCGSFGG NANWRSLKGISSRSPIRPLPLPLLLSNLPPVRVGDTHLIVAHINGKERRSVVVVVKSV ESEYCEYDFFDLEWEYSSGGPIFDMQGNFIGIQHQVGFSAYGIFTTAIVRDLFKSMRL GICRIPIGDTSNDMAERSVHSGTGEDALSQLFRVEGGHSNLNVGAGDQIIPFEDFQRL HLRDTIKKTKLSSAGDPPTSDAVWEEFHTDFNSIVLMLHAFAHAPQITKTALREMASN EYTKYLPNAASMGGIGILLEIIDEYANDVEIVLAAVIVLARVSLYKLNRETIYRCDGI LTLLHIMDEYSYYPSIQHWGFCCLRNVMDVDSVVRAEAVELFAQCDGIDLATEVIRLH KDERHVMRQASLALSCVAREHAKHAEAMVVCGIGSTLAEDMRNKAEDSFTFFGLVSLL YELLHCIASSSGVVPSSDDPSRLLRTGKHDWDYENSLDLGVVTPRSFLVSESGKLLLQ ELNEGHVLQLLSDVMESTSHETLCGDSLRLMTECAGSVFILLILTGCCEEPIVKRLYG ACDRLVVKNPSEAALVRRSKNIMCWVAACAPLCCSKEEICLV XP_845748.1 MNANGVPTGSATASPADLQQPLLGAEVSVPIKYRKYEASLTFSQ STQRLSIKRTTRSGKTVCMVNIPTWNIVNIVTSTEALAGNGRCRRSKAAARKSEWSQA SVPPTFPQTFNPADSSQRYQPIARVESSIYPVSRADSFYSSTALPHSRTASGARGGAT TYLVYYVKKAKGQIPKISTTEFTAATSMAYQQEAHSTVQCILSAIYPRGCKKLLFFIS AKSGKGNALSIYKRAVLPVVQASRHEFQEIITTRARHAEDYVADLGNDMSDKYVVVAV GGDGMFHELVNGLNRRRKAAACCGADAAAGGTVVGRSNGSTNDFSLVGDETEVSGSSN NGIQQKASQDHDAPNDWRDETTNGAATKLCTRGFAYLKANNIDFSLPVVTKLQCPQTL PLIATIPAGSGCGMAKTFNVDNIKESVLALVHLNACSKSTMNVTGSQRECAKTQRDCD GANGVRKGGVSRRGDQVGVKTRSGRSERSTQHNAEHVERVACMSCSFGIINDIDHGSE KLRWMGNARFTAYAAFLLLKGVQMYQCRLRYLPWVGKQGQQLQKIEPSDKLPNAEELP NCTYTDQCPHCSQYKVATESASRVDCVASTLTSFPTLVNEECSVPAVEGKGKHSLPSD SNDSITQPMPSQEEQSVDFEDDSLSWVTVEGKFLSIFISNIPNASKDAIMTPYAHLND NSIDVAFVVEKSRKVGRSDFVNIFTRVEKGDHVKLPFVSYVKARAIELEAIEGDIMID GEVLPVNRVRIEPQSRTYEIVRGLSLPGK XP_845749.1 MQRRLQCCLMRVARLHSAALMHNTNGWLMISCRTVHITESEAQS LVHLSEALFSDEANALGVCLPDTSLNLDGESGEGDLYTETLTTEKYMDVLVSSPTQID TRGNVSASSTDLMMPLTQAVQELKLCQQREDQRAISAALSTAMCALMGSSSSPSSAAA AELLLAGADLKAIISDGTIRHMCIEDKCMSRCDFSTLSLLSVQANRVDFSRSLFYAAA FHNCVFRNCSFEGCVLKELRCLGDVCFDGCSFCFANISLRIPHEKPRRISVSSAATEG VGRYSAEHAVIFNRCDFDLCDFDGCDTLPASCFTNCTNTHLAAKFSSCVRTS XP_845750.1 MMESMNLEQPPRLNADPWRDMEPKVDAMLGDLLMISERFRRIVN PTVSDADELIEAINSVGGEVETMRVALDTTIERPELFSVDAEELKRRTEKTRSWERDV AKAIELRSKILSNREGQIQDPLESNVMTPNTWVDLDTTHIHPSAQSSHMPMNSCEATT DTSVGVVESQALMPVGIPIREQTVKASEYSTASVEVHTVDDVDDPNNEGSLLKYVLVI LLVSSILVAISLIFF XP_845751.1 MQRRLQCCLMRVARLHSAALMHNTNGWLMISCRTVRITESEAQS LVHLSEALFSDEANALGVCLPDTSLNLDGESGEGDLYTETLTTEKYMDVLVSSPTQID TRGNVSASSTDLMMPLTQAVQELKLCQQREDQRAISAALSTAMCALMGSSSSPSSAAA AELLLAGADLKAIISDGTIRHMCIEDKCMSRCDFSTLSLLSVQANRVDFSRSLFYAAA FHNCVFRNCSFEGCVLKELRCLGDVCFDGCSFCFANISLRIPHEKPRRISVSSAATEG VGRYSAEHAVIFNRCDFDLCDFDGCDTLPASCFTNCTNTHLAAKFSSCVRTS XP_845752.1 MMESMNLEQPPRLNADPWRDMEPKVDAMLGDLLMISERFRRIVN PTVSDADELIEAINSVGGEVETMRVALDTTIERPELFSVDAEELKRRTEKTRSWERDV AKAIELRSKILSNREGQIQDPLESNVMTPNTWVDLDTTHIHPSAQSSHMPMNSCEATT DTSVGVVESQALMPVGIPIREQTVKASEYSTASVEVHTVDDVDDSRSSNSAKKTVLII FFASSLLVAILVIFF XP_845753.1 MFGRGCMLTFISTALLLLGVGDAADVKDGQGSVQTTSDDVSYYG RLKLHPTLVNNPDFHNPLWHVQGGEVILSNAQHSFRVPTQVDGSFVVHGVPYGSYYLH AEYSDHIYPTIRVDVTQKTSHGLVRPLIRTYANEAILQQLQGTGLDESAPATIPFVGI HNYFVPREEYTVWGLLTNPMIMMMLVSMALIGMMNLVPEEERRESMREFQNLRKQFSG GGADEIKQPARRAVTAAGHERKHK XP_845754.1 MTPFSCRKTSLLKAICIFAYFASAAHANEPDAALEGVVDLTSNN FDSSVGKDVAALVEFYAPWCGHCKNLVPEFAKLGRAAAGAKDKVLIAKVDATAQKDLA TRFEVNGYPTILFFPAGSQKPEKYSEGREAKAFVSYLNNQIKGLNLFLPREHKYVMAL DQSNFDKVALDEGKDAFVLFYAPWCGHCKRLHPSFESLAKVYQNEKDLIIANVDADDK SNSEVTKRYKVEGYPTLVFFPKGNKGNPVNYEEGRTLDDMIKFVNERTGKKRTSSGDF DKTVGVDETVTNLMKEMAQSSKNKEERERLLAQIQQAVSPKALGEGAMHYIRIATNVL ENGHEYISKEHERVGRLLKGSLTGPKRDSLTIRFNILSAIKGE XP_845755.1 MESKRFSVGSETQLPLRFRRSYTSDAAGIAQLRKIASVAQCIPP TAMYPWKTESEFTTLIEQSVISITAISTVIDKPVGFICLDDTPHTTLIPGDSWEVLLD DSDGDCDKPLSIFPCNTLWVKAVLVPTSTALMSDSTNMTKEDLDLQRKLLLFGYSSEA LLQRFLHIALDNLPSIEHLLVPCPMGQTYRVFENIGFRPRPLQPSSFNGTVLHIKSVS IVPQLLLRLGIVEDYDDFVVRILGGDGLITSLPEEFYLDELLKDQNSNNKVIVAEDAV THRVAGIMCLEASIEDQQMISRQYYTELYGKLRPMRGQRNASKGAVTSNMVRIKFFYI DPAYALRAKSFLPVIYKEFPFVEYVIITLPYDTEKPPFLGDFDHIPLRKYYPRNSEGY LIPPPDGLWINCRYAADPVVATPVRSEKDITSINVFLDEPHMEFSQHQITLLREDIQR LRSGRETPEDVEESNINSFVFSFVTYTENVGSEKQLPIVVGVASARKISVNEMYSLRA NYDLDKLVNYYSKAPRDYSETDVTLSSEEGRRKFFRNEVRGLLVRSFYVRPVYRSRIS FLMRELLRHTDCELALLLEDNASSPFTTLLHQLLRIQPRRVVEKPRPPASEPVFTPRS PERIPSKDVSPLGCLFAATRRTLGDRKKLVHTRIIVVGAGSTGLTFLYRLLTVPYICF TNLVLISTDGMPEHPNQQQNLWSTDRMELLEREHMGLTVGNPIRVIHGSMVDIETAQR YVVVDDSTYEPYDYVILTTGRQFGVPLSISSLQQPVQQRQQLSRTSTPPGVLPISGSA SVERLQRTLYELDRNPENVSNIVVYGSGLDAFAIATSIINLGFSPQRMVLVSPDVTNP FVDKDAFECVVRMWSALGANTMHGYKISRTEYDDDGTTLTTVVLSPVPALAAPAGPGT DSNARSSVEINCSLIVCCEDKDIDSNVLSTLNRRSIVFDGRVTVESNYLTTNPCVYAT GPVAMFTRRYGTTTSFDEFNARDVGTNLAEVILGTLGFEEFATPNLQSCTDKENELLA AHNELYSKVLDENGSRNANYGVDLNSLSAEKDAHEIAKQNQLKQQQKLPVYTTPVASR IRLPGKYVFFSTMRIFFDPAQCTRLYYSCIEDNKPYVDDITASYQVATPADRGSIYKD VEQDLLVIYLNKHTRLIDAVVYFGNGSPETHNYMCLIGLPHSLLNLIFRYNEARTDLL ESDDCGSNSSMSGSKSTASNKIDIVAQESTLNLMEYLRSPRLQVVFYDRFVEFYENLR KKMQEHEDVMKMKQSALQRMEVTPRISAKNRAIYLEKLTEMQKDFARRVQYELIKFLH ESKEYLPQIMYLPDITEHVEKNEGRQE XP_845756.1 MLRVSIPALKTLQPLGSRVLVRRTLAAKQTKAGVLIPEQVAGKV NEGTVVAVAAATKDWTPSVKVNDTVLLPEFGGSSIKLEGEELFLYNEDSLLGVIQN XP_845757.1 MFCDAEDGAAIVSHIPISPFVPIQHNNIDVSAVNRLANFAIRFA REHGWCSSHPFKMSSGPKIGENKGESNELDDITVFYAGVEVELAEETPKFKREGTPAS LFLYAQLWLSHVGVSSNGLCGKLFSFKKDEEDCREFLGSFKLTGVTVSRTTRKPTPIP APRLEMMRSLIAKNTAASELPRVERINFSQLNERCRFASVRSHEEFACALPSHPAVAP LKVGYRREFHLRETDFDFNRHVNQVVTITMVINTFRAALGDNTTIFPRLLDTDVHPLD GDLLIRRLRIDYVREVPADHAAMAVMLFFQDDVSMDNVIASSTNSRKKSLTELWFIVQ GIPAAETNPFVSAVGKVLLRC XP_845758.1 MLRVSIPALKTLQPLGSRVLVRRTLAAKQTKAGVLIPEQVAGKV NEGTVVAVAAATKDWTPSVKVNDTVLLPEFGGSSIKLEGEELFLYNEDSLLGVIQN XP_845759.1 MFRYRCRNAKNLLLMRNIRRWYTSAGNDESLPSAQGPPLAGVSS PTLWRNGGVLGEGMLGNPRVAATTPQEVEETFRCSVLARTVSNLKLRRYLQKLEPKDH TLVLAALRGAQAGGLRLDTKTNEVALSKLMDGGQLQASMEIYRKMIQNRMNPTANTYA TLMHMCIERDMPEACQKLFEEMVKRGQSPNTRNYEMYIGSLAMENPPKWKNAIEVFDR MSRERHGKHLTAATYESLMRVYLNMTPFDWRVVYNCYYEMRSRQPQIQLRWETYHLVA EALRRGQAGYTRRLITYLDAWFCITYFRSWEFFMGFMFYICLMFLIKGLISWVVVWYY GRAVNASRGASESVIL XP_845760.1 MPEQLGAEAPQHVVPEGDQSAGSEAGNVTRGTKNHDDSDPFVSL RHMEDRKQLETQARAVVTALLAEKGEVYVQGLLQAEIQRVKKKESEAAAIKKKISAAT KLAGELKGDIRRETEAKAEEEHMCKNLQAEMKRRSTLTEEATRRMESRRLTLKKDVET SVRDVREKYDDRKRQAMELVEENKRLTDEVAKMRKEFDEARATYADTCKERETYVESL IRSYREVTREVETLEAKIALVRRERQASEQRKASLEKQLESYNSQFGSLSEGQSVEDA ERMAKEQREEAEARIAQLESEKKEASEIRLRLDKETATWRAALAAHKRELPRLEKAKL AAEKRCRQAQERARQQLKEDATTTKDQEDGGM XP_845761.1 MRSSANFFLANSSSGGLSKYRPRFRPRRLVLPVNPSDVHSISRA NNPMYAKYQEGIRLRRRAERRGVIALSFVPSERSVGDAFRIMVEVCEKEGFFESKSST PNYLRKVRELIKRAETELGTGERVKNTSANPSFYDIGLSEARDLSRNADKSRHAEGNI ETREIDSLWSLLNATPLDSPVHGILALRGKALLESIIANTVQQQYPRLRSKHLQEIIH ECCSLLACGRAAFRLGFADVCGINGEINMWRELNVLTERFNTARHKAAAHLQRVEKGV TQQRRWYWRGVLRSSSKRLKQFPVRQGDLLPRMEWIRESVYAFVAFVDLAEKSGDNVR VVPLIENLFCGQISSFVSTNQTLKKAHRMVDMLSKARIECDATTEKEKIQQLESLSTD IENELAALEQFTDDELGVMSRVVHPLNARSDNRKEQFSSSGGAHAVQSLRDDIFDDYR VTRHNKEAPPMVINCMRPQNALKEAQIILRYDPNVSETLSSSPIDVDQVIRRVTEVHE TNSYATLYNFQQFRQVEYTVCRLYAGKRCIGQGKGETLMEAVNEAAQHTLLNYYLKDV SNANSREKEEDAVTEAFSATPPELTEVRVKEATEEEVIF XP_845762.1 MSTMDVPGTAIEQYFNQLIQMRLLTQVIVSDTRGDTTVACLMGL EHGGDAVVSSSEDTYGVAESIMESNVALSGARCFQNLDQLNLGVPLYVSFQYHDDVVV QTLDGCCMLTLIGSRSQGHFVGGLLTLLDQIRSCEAYQELLTQTQLCFR XP_845763.1 MTVNIVARISNLPTSITDAHLRELIEPFARVRCIIMPKSALWQT CPTGRAEVVGATTDDTILVYVHLHGSIIDGNRVNVSFGKVAKCVQPTSSSRFAGERRE RTSRRTRSESRGARKRPKRGDRSGEYCSRGHGKRQTVRRSPTPRKTRRYSTSPSSRSS SSGRDSWSSDRSRSRSRSPYPSWS XP_845764.1 MNNANDTLDYSGNTSSTYSAAVNEEFLTPTRRTHVSVSTSHVSS SEADFVTGSMVDDEEYYNEYTSGYESRVYTHASFTYDEFSSGSPNSDDLSSTFMSYYI DSVIGQKTRYFFSVLRKPIVFFSLILPGSNFVGSLGRLVYAVTVSASRRTAVNYSMGV ETLCVLPKGYGHMPEHLQRARLALPSFVEYFAKMLLRLSGVPSLADLQKRKWLETVRI LKSATGEIISQSAHRSSGPMIPLAVFLPVFSLSVITIARGVISRQQTYNEYEEDEDVA YEAAHSGSYKGVAASRGLDALQLSGLDDSFPNLGSEKSNEVNYSGVETPKGSPPAPEL FPSPKPQGERHAPSETQTNPQTVPTSRDLSPDSSAVGDEFKLIMSPTSEDPGRAPSAA SREAVRHPKQYDLRGDVVIALTGEPSPQEVDVLLMAAAKYKCRRVILPSLVADTALAS VHPLVDVVKVSDVMEHVMSVEESEGRTTVGVFLRPVTDETEELRLFTHPTSVVYIFVP AAFLEANVIAHLVDRSVYYSASLDEPFPINVCLYDRLTKERREGA XP_845765.1 MLRPHCTERVPSCIDSGSGNVVFALHPDPFGNQVCWGSCVVTRN LELVFSRPSYGASRLKIPGAHEKGDTSQFFCFSCVNATKLLGVPIEPKWVMSYDLSSN SYSEETEMSHANSVLQGLPTVAMLLMTNNAETKLLVVNPVLHVEKKEQIITYRATLLT SPDSVQHVVMCRGGRVVLLLEQCVGGNVASQCFGLLIRDGQPHAPFKSVRLTVPGEFI ASMPAQRIICARARRPLGQTTHAMIETVDTEVTTFTDHGVVIVFRIGVSDAEPQRVTC VWVSHLFRRSESQKKLLRPLGVVERWNTTMSFKQATGLAGTKPPLPPLGCEVPQQLQG FKTHSSIICASSNGALILVAKPNSPDAFIACLGASVHERSSANAGVGVSLPPSLNLCD ASWISGPLCGFLLLGNDDGRKVLSLYFLPLTGESPRRVHIEQTDCEWANIENRNVRFF SLSTVVNIFGVPQLRISLLFSQHRKRGDTQIEDWSELRTCLFSFPTVASLSSVEDPAH VSTLVYCTGLAAFEAIPWPVGTSARTKCTDEVHGFGDVQLSTEAALESQLKRWPHLEE SGIEFFCGLIHEMIQRLTTSVQRCRFVDSGFGNRAVAALVAVFQGVAEAFVTLGVAYS STLLKRYLCYVHSILVILRQALTVMGQYGAISACFSAVAPFAHLDSSGSEGELQPEWR ALLGALFDEALAHTCAYPSLAQALLPHCSAGVKQIMEAGRRMEQWTHKQQETAATTLT TADRGESTQVRTLQEVLSLVRNGAPALSMLSPADVCCASRRLFFSDGIDTVQAFLKSF VGDYWMNCPDIQAVCKEYETVKEDMDIVLQCR XP_845766.1 MDAINESTLMSRAAPNAPKGISVLNKSVHESMHFPPAWVSLSVG HLDDAYSLSSKNNDVLCLAASLVMRGDTERALKMMEALIISSCVVVHERLKGLLSDDC SSLKRMSQVLLSHVRGRAALAAALGASIDPTSEECQQAAMGACEIVDWDVIHRWFIIA LLLHDGDYKASDIVWFHHFFALPPMTTLDGSPKSATSARKRDADTLSAGFLGEGPTAM WAPMDASQMKDILLSHCGKDEVLLISTICAWKTRRYRSVTTSATEFLALAGGDQVILD DYMSIMVRFVLCMSLIELGERTLAGKEVAILMQHKEPFVAMVGCSIATFLLPLPECVG RITSFNGPIPRRRYLIALCEYIHALTLLQLGSMEAAIRVIREALPYSSSHNVGEWLLD ILCIACTAIEDSKTISEWPVSVHRQLYLALAPAFFGGFNMHELKVNMKSPLGRMVYPR RTALCASIKQMLPVYMVRANQKFAKGEYVEAWENVTLAVACAEEIIGSVEFAFTDCSP MLVYAFGCRVGEHVIGELMSSSTSEEFSTCSVAGDTAALVQKSDMLGESVIQLCLQWA RRIREFHPNARLGALTMSKCTTFAHTDNFLSRAMDVAHRYPGSALAQNCLTLALYGNH NIPEAADSAANALQTFPHTVEVKALYGYMLKKDGLYYYNYRGLIPVHCSPCNDYKWAK RNITTLILLLINVVVILVTLSLNLPNVFSLSETAREIAVRVQLPTLIPISYTLVVFTY AITASFTKNNLTHTILQDLFFDNGPLNRFVFAMRGIAFVNASNALLITIAGNNFLFTS HWYTFLFYLVLFIVFVPFTSHLWLLPSSDQPREAMWTWLALVSIDVAATAFLLVPHVI LFVIEPLMFALFFLQGSTYRPTQDNVSGNVKKRLVIHTAYRNVMPSRYAVTTGSGFIH LSLLKLLFYKTHSSLSTKYLIRAQTDEENYRVFPLIEVFEPISPAPIELDEGTKYMLK SYLHYPGAATTKVERKDGTAEGGQQGLESDSSEEEGYDDPDWGDQAPLTRSFAGGNTG GESPAVGEVIDQEALNSFLNVGVTVLSEFGKRQGKRKSNHDMPDNPVELSDIRSQRRD SVPGGERRSRRPSAVFRQGFSSPRDGCGDGRPNRSKSERRASFAFGDSCAASPRGADK HNRGTADDENRYLGGDCSVAGSGGASAAQTKYSSGNTTSTFRDPVVASSSSIGSSKCT SVATGDAKGGNLTEKPSALPKSKDKRDKKSKGNASDAPPEELQLKKSLEQLCEVCRSV SFTSLSVSDKKNLEGAKTNVHQSLSSLCKGVEVGPIRLKSEGCCEAVCDALFHLIAEG DVTNPLEGVRGPLAETLLQRVELSCLANLLVAKEIPLQCVLHGRTNILNIVMVPSCVE GMCADRWSTILTALQTDARRERVESLRLLFKGLKYMKGKDCLQSIKPMLQTAVTAVLI AESAHVAGEKLFALLETIKKEGRIPIDFWEEEDSRNRTLFSHACASGNAELVDALWCT GFVKCPNKVQGDGTNGLMQAVINNQRRVVQWFCDHAMDSTDKSFQHVHPVYGDALNIA KEVDADLHALIEAKVEELKTYQWASTTQKR XP_845767.1 MLADRINRICGLRGNHRHTIKPGASLATVFDNDSSQDLKIEVSW KRLTSKDLDGICRLIAEGDAGALVKVVHLSDNDLGPQGAQKVVTAMNMSGVQDLLLCF NDVGREGCDALSNIVSISVNLRLLDLRGNGLTPRCVHKLLKAVAVSTSLKRLGLGSNK LGPEGAALLARKLEKNTYLTSLDISLNEIGPGGAKPIAKLIEGPECVLECVQLYGNRL GPEGVSEILAAVKKNRSIKQLTLGNNHATDAVAADLAAMLRENTSLERLDIRLNTLTA AAVRVFAQDGLAQNSVLRSLCLAGNPLDSIGGEDISQALILSQVTVLSHLDLSSCQLG PIGGMRIANLIGTSSTITDVNLSDNKLDDEASASLANSILNGVSISSLNLSANEIGEW SASNLIEATQRNFRMMSLVLHGNKINRTVQKKIDTLLEERLARKNAECQRTSSSRA XP_845768.1 MSASDGGRSSKRSGNGWSRVKRWSANVPATYVEGTQMLTVDGAQ QTAVVNPSWNRGYNPADCAWTREGGLKRHRTGDNNAEDRRLRHKSKMSTLCPKTEPLG SSNMPFDSEGIHTFDEGLLSFLAAVAPDEEAHRKARLVMHDVAQTLYNVGLQVALFGS WSTGLCIPSSDMDFAAVSVIPHVAGRTNNSNMFRRNYEYGGSCGMVTESLFASLSDPP EFKRERQRNYSLALRTVAKSMRSSNSFCRIVCIPHARVPLVKAVHSCGKAVDVSFQSD GLKTTAFLRDEFRREEFRRARGLIMLLKALLDKWELNDPSKNGLGSFPVSIMVLWFLR EEAPKECTGESGNNYATQFVALLKYYGTQFDYLKEGIDYAKGCTFTKTSTELFIVNPL KPGANCASSATLFDSRVVPNLREAYRDLLPLLKPNVTACLIERTLGRVFGVSQKALSR GKAMWKHRQDARAYVGGATAQHQWDELTNMYVGNPIGV XP_845769.1 MFGELVCGPPGSGKTTYCEGKRQFLSVYEPTRPVVLLNLDPANE DIFPYPCDVDIREVVSHVRVMETEELGPNGSYLFCAALMERRIDWIIQKVEEAVDRRL RDVVITGGGSVHPRPPYLIIDCPGQVEFYLGSPVMHTLFRALQKRLCCTLCTVHLVDA SVSTRDISTYVSSCLLSITTMIDHELPHINVFSKWDTLSVEDSEEGEAYLRASSFMAE DFDRLWKKQLRQRRRNQRLAKLYPTGAEKLDARDEPSAAARDDMEVEAIDLEKDGGHL YRYSKAVMEVVDGYGLIGFLPLDVQSQDMMTRLTQQIDDCIGNFL XP_845770.1 MDVVLEVLRTSNNNDERKKADAQLRQMQESDPQAFLMLTWDGIS STAVDTTTRFFLASTVVQFVEQSWQHSVPKDLQVVMINRYLHLLLCSEPLPSVTLARK VALLLGLMLKRCNARGEPGALPPPLEHAGKMIGESVVHAVNNLSPTLIGLATQYLLVL HVVLKEMEGKRVGGVFPRLCASLVPVMSSVFVSSATWDYVTCYMPLLYMMKCVLRVFG SGVFDAGFYPHLLDITWRLAHSVSVTGQPTERVERGQRLMEYAIKVQLKMLSVFPSKM DGLPPAFFISQGNGDMEDRSLLALLVAIIESPIGTVVTEKLVCRALLTFKALLTVEDS QPFVANCVIALANAPQLLSRVIDRITYFLADATGDAVLRAWDLSPEHHAAELERLIDD TEHVSSCAEELLLALTGSTHCSESSLRLTWEVANTLLDRGGVEEVTAALHVIGICCYT MADGPYSASFMNFLAAKLLPIITAAATSTVGDQIYPSPFVLRRVVWVVGMWCESVKGS VARSEVHRALTSLLRAPTHVVLQLCALQAIGHFILDLNFSIEDVPAECVQGTLCAIQN LLPQLSAPATIEQLAGLVQGLIARKLLHVGSGELLLDMMLPAVYRAISGAQRTPDGEA DHSGGNDDGDEESDDDVSLQGRCIGLLLECVHSCVTIAAPELEERVWSLFRAIVLPCT EPGSRLALWADEQAWELLLSMCCAARSWLPETNDALLFCLDNMTREISSRHIVVRCVY SILLLCPEPAKHISVALVDHAMAELTQTCSSDVASAYFALLAVMLRRGEVTLRCHLLS LALHKFLSIKSVHSESFSEQLALLLAWGFLTYGDCENWEVILLDTVAKVLLHHPNANS FVEWIVLLFDVSPGPFVTEQLVRLLQHSLSAQHTVLLQLIGEDDRAMARKVVEGTLLP PSSMQERGADTDEAGELVRDPTEMLMELFGDTALIGASPHVIRLCRLFDVCTIS XP_845771.1 MMRRLAIQSSVRRTTAAITPIAVPMKVASPMCSAATRQASTVAI SVQGLHYVGTGLAAIALAGVGLGIGTIFGNLLVACARQPNLTKMLFNYAILGFALTEA IGLFALMLAFLMLFS XP_845772.1 MVVNEAPWLTRWIEALLVVAVFLICCTADMLKCNNGFTYKCSDN GYRTCRREPQSSFCDDLVLPTTVMRELRRCSCEDRKFAELLLHVVEVGAPIAAGGSGG ENIERIPLAPLLSNALRGSIGDKIVGNRSSGIFRVPVLEALRKEIGLTVDNSTPCLNS ESTFKVFHSHDSVLVPGSVNLLEEVKAAIPFDDGGKSMPGIRSALEELLKRRQQPNEP GASSCMFAHLIFIVVGTPGRGGVWSNNESDTRHAALQLMEVQAHIRRTISRFLRGKRR YTGMLGTVWYVGVPVGFPVHNNSATDGRAAENGRTRSCDVGDGLPRCTMNCTAHTRRL TLYKSVNGELERMVGSHGRRLSARTIILPYNDYFSTIGNLPGESQWVDCFTLSSGGVA EMARLLVEGL XP_845773.1 MRPCAPGVALQLVVADPWQRCARRRTSTSASTNTCKSFTSRIPF KLRSDQVNESNIPSAREVSEMIATHEELQEQLPSAKFLESRYIKRPLHTESCGSCPAT VCGPPLWPDCDTPEEIMDEERYGGPEAMDRVPAPSAEHMLLAWRALMWGTLYAFVGVT LVVAVAIYVSGVNGISSVLQHLRSRSERELHRLSAEGQEVHHFVLDLTNPVAFGRQLQ EAWQLVQDIANKQEEGEESIAKEIKEEL XP_845774.1 MATSLSDVNHIILVLSGKGGVGKSTVACQLALALANVHGKKVGL LDVDICGPSVPTICGVTGKDVYRGDAGWEPVSLFHEQHEADTAASATGNVKIMSIAFL LPSENDAVVWRGPKKDAMIRQFVTDVHWGALDYLIIDTPPGTSDEHLTLCEVLKPFNT AGAVIVTTPQDVATDDVKKELSLCHKLELRCLGVVENMSGFVCPHCAHCTDIFSKGGG KKLAEMYEVEFLGAIPIDPTLSLAEDKGQCFVTTATDANSPTVRKTVAAVTGVIDAIL KQVEKSAQLQQRH XP_845775.1 MSKRKNFNTRRACLRKAPRDHTHIGLCVMYCGTAFRGLQLQAHA PTHHTVEGVLIQALKDAGIVDGVQRGRVSGEEHHFARSCRTDRGVHAVRNLVCLFVPN SKLEAAGGCDRLPQLLNAHLPSTVRVERVTTVMGDFIPRFCCVSRIYRYMIPVYALLS PCSSWNEFYAEFPQAVETLRCRAQGGSFIDLCPNEEDKLLAALRDVVSRGNGLLAHHV VGTHRFHNFSASPGERYGHGWNRKVVMPNDNTSVRTVMRCEIAPRLFLFPQETIGPTR SEYAKSLKIFEGDTAAEGERVVAVSERTFPETALLPYLLFQIEGRSFLFNMIRKIVGL IIAVLRGARESLMQETLSAERHALCPLAPGSFLYLFHSFYTSYDNRVRRSGSTRFCPL EDEWTGEVGEAASAFAVTSIAADVIDLDMNRVPALGTLLSAIDAQRRVTRPCWEEEDA HLTSMKEFHPAVVEPHPSCSEMTTFLRSLRVHNWGLSQVKQPVQCGKNKPLDTDNGKE SITGCEGVKQQRASGDGCVVDEGQVRKRARKEECMCEGCDGTPFSRETHTLGEEEVDD GWLYVASTLEEEQRLRHEHHKRVRRSRAWDGTAHGGGVEVAFHSDGDGGGSE XP_845776.1 MGGDDQIFRSNVSHNYYSEALQAQRKPLNCPTCKRVGRFAGTLN EIYRRIPRTQWESLILCHVCGENVLRREDAVTRQRVWYCESCEICLCTKCQR XP_845777.1 METHNTEPLGDFAKIRSRCHRYLLAVATHLSPIEKYVVAPGSYK NLGLIAINCGVQTGSGPFYLRQQLIAHTALSDASIELFYSSKFPFTLICGDTSFGDGL KPLKTRLIEVATTNGGPTAYVYAIPVPHEMLWKGGLLPSSGMSLLYLLCCKGDAVLHT LRRVFQPPTIGVGRVCDDPLQPSITGLLSMEEVGSGTSFTSDTAHTSYFYKGAKVRCV ECVAVSEIPGLYIVSDFITHSEHNAIWNELNGDAASHFEVEHLARRDVAHFNRRFYYG INRVGAEGVQVNSRPAFYDWMAQRLCNTDSEVKIHNYPMKQHPEYFDQLTVNYYNYDD PKSKLVPGIARHVDSHDAFGDYIAIVSLGSHTVIEFSRYNRPPDVFAPLGVLVKPCSL LLLTGEARYCWTHCIVEKREDVLNDQLPPLQRGNRLSLTWRCGRDTPHARESCLCPSL CDGT XP_845778.1 MHEKGYADVDVSPSDKGESADCKVAVVSLAEKIASKAWLFAGCF VVLAMATSFLWEISDSDQTIGYHLFVSPKFVTDGTTFYGTTAEGKLVRVRLRSVEVPT LRQPYGREARDHLKSILLLSVGQSTGVSCFVASVDDVGGVIAEVFFNNVRAFASCGRE IDSCAASSLPSYFTPLSGGFTADSIVNVGDEMVRSGWAWVIDNGWTRNTKLQAAMDEA KVAKRGLWGGNVSKFPYRRSPHSRKIGKKLTEQRQPISRRRLFASR XP_845779.1 MRLSRLFRQSPLSLPSTKLNPSPDHYAVWGKAIMAENNRRVGPE HMFRTAIRAQQQLQGLADKWTPDAKVYCCGSMVTYGQMEWGSDLDLACMFDDPYPSHE VQAKRTDKLWTVIKRYVPHYLRNNLLGLTEARTPVVKLRFANDEKVARARYTPLSEEE DRKARTALLDVRNQCVGDNDVEYIAEKMGRDNVEGIWVDRTTYGCRIAIQCTSKEQMI EAIGFFPDGKIMTRGMREDYTRDVLDVRFVPEMFMYRWDISFVGYGVKNSYLIRHYLH NGPVAARHTAMAVKAWGKATNVGAGSAAMLTSYAVTVMFIYYLLVTRQVLWVDPWSLP HPAHLPRYPDFSPLYDCDPTELGRLLHGFFIFYAHHFDYEREVVSLNRNRRSYRSDIG WNFPQNKKGTFSYNFCIEDPYEDVGTGGLNLGRHLHPAKFQLVKQEFLRAAQCMERFL PTNAPEKSILGVKRADLRHFERDRDRE XP_845780.1 MTLGAAIMSDESRERLAREHMEKARAMKTDTQEQIEEVMKHLSL AIEVKPLSVAVLLQRAHLATRIGRYHVAIADLTFTIQLEEHGIDRRRLAAAYGSRAAV YRKLNKHKESIVDYVRAADVEPDNGTWLYELGLVYAAQGMKALAHHFVAASLSEKVTG RMSEITRFRALTSLGTCKLASGDITGAVAVLTKGLEFQETAALHNLLGIAHFLRGEYE PARSRFERAVEMDHLSSEYHTNCALCLFQLGIHSEAFKQMEYAVIKSVENARYHFYRG NIALLLGLHAPAMTDVVKAIELDPQCASHYYSKALILVATQDYEEAMAILNKAIELNP TFRAAWVHSGLLHFLRKNLFEALQCFSRALELEEEDATVHECVGLVYFDIKYYDLSAA SFTRCIDLIPDDPVLYFRRGTALLLCGDLQGAYDDLQKTVCKYKFRNPEVLNSLSVVV SKLGRHLEALELAKEAVALNSKNHRYLLQQAECHFAVRDYDAVLEDLANIVSLGYATA ELYYLRGRSKYALRDFCGAADDLLQAATCQPLLNECSNYCYALGVAYLCSGKNINDAE KALTRAITSHSDPPSFFYDERAKARQKLGDTKGMLEDLNFMLQRDQSDPTVLLRRSLG YKALGLYDKAARDFEKAKTLNGAREVLDCVPYEKFFEIEEVLWGNEQVE XP_845781.1 MFRVSFPLFCTMPSVETAFRRALGAQSIVLDLVPVKKPLIDGSL SWFVDKNVEIVLVSGVCGTSPDLVAERVKSQAVQLLQICGEGDAQKGCHAIKHWVEKA AGTRSLLCSMDLQVRLLFSRQVVTDGGKLSATSSSGNQALDKVGNRIHCSIYETDAWE PYSRERHLGDVTADALLEEVLHKALQIVQRDAEVKEQHLVSESERELNLVLSCGKEPG VWSPDVNAYHLEFSHMKCKDRELCTDLGAIAVSWGVHDRSENVEMFNVPLVRLKDSSG LVYGDCLDDPQQSLIDEETVSLLEAKGAIDTITGLVDATCVRKVANSVWSIPLLHAQL LQAGLEFLCGYWSPTRVEDYMRSCGRLDIDVWLSERGRPATIPMLPRCASLLGHMCYL QESLPLEYVRSPMMLLTSAGRMDFKFYMATTTGGHVVTATSCFSSAEAACSLLFPCHS AMVQSAGVGDSHRYTDIDHQRNEHVCTLTEVNSSTNRNKKSGGSGDNDQRPSVTVRVI DVEASLRKLGVVRGSFANATVQYFDDTGQVVLQFNEMDSIRGIARMIKRVRWSKGATF TSVDLQNGPRAPVVIASRALGSTSLPKPPPYSKKFRLPIPLVPGGYSQLRFTSALEMN VIECKILEMFKNSAEDPLKWHLHYFAPCYFNKWRMTRGIVSTLMHYDPQFHYMVERCR SSSLSGGSGTGGGSNGRDDTSGNDNGGALVLRLYSGERLMEEVKLQESTLLFQVRENL IRYASRWSMEVPSLEEAAFPLKEFKKGGACTFRNFVSWFLQNSEVFTTHGENVSLELE ITTNVRIQLASCRDWSGPSPTRDELCRLFLESQFPLLVPIVEIQKRLYYFFDNCTIPG ELTIRCVGSDACVEGDRVIRCNYRWELLQVDSGTGVECLLASLEATEGDSRRAALEKL LTKVWTSCCAAPRPTTQAKRGSKLEGSGHPSHHCPKSTIAWYQHLLAQQRGLHKVVHE YDAKNNVLTVKGISADTNKGVNVSGTVIRVVPLRSEYPLIFLLHRYYRMELGLPDPPQ PRGEDELRVVSLLTLYQDCNQHFPASFPPISEAITTISGKNHWRVILRLPSNLFALET PSHLEYVYLGKGKHEGKRAVVCDFYRSLHGKAPASLQEYLSKVTSLSPPTVGEEKCSS CVLDGIKPVVVAKPRRGGHNSMYDELRRLIGEALLQHVGYEGLVECRLSYITGISASY VGQSSSNDDCVDLFRVVINTEEWLPFQLLRALASCARRLLSIVDLEASLFRLRSRWPS LFLEASSNERLFCTTFLRRYWGLRVYEGDDVDEFTKVPGSIYLVERVRPVSSGRDSGH YEASLLLLQAPFPPSTTAFTKVLALHRNVSAARARFGLWAQVSRKLVRPQESDAPLEA FDRAVISTSGATSSGTEVRGE XP_845782.1 MIADAEWSEFASVELDDAFIESYIRNGGTARASSGDDASRDESL RSGSASMEEIWGECSMQSVCDGFVRNFLTDDTMGTLHYLLKDCDSSLKDIADILHRFI SSLDTTRQGIEDVRQQLHRVMLQHGNATSAGRAVRTVLQRLLVSPQVVRIITQGGEEE LGPQFKHSVQELLCILRKSRRYTNILLVKEDSGCYTAVPAGASAIPVLADAGNRETVQ VPLLEFKMYHEQIELLNRLTVLACTKAKRFLAKKIALLSVKNTNVSIQQEHILKPTTF YSRLIDEAVTLLCPSNVGDMSNQTNPESPASLPYCIVKALHSELRRDYYDIMSKLYLE RICHYVMTLNLMENTASTANKTAPHKVSAVPWVNELPLITDDEAPSSQSAFELGERAV ILQNVFAPPLVPTVEQAKWRLHSYEETFRSLNILLCDAVTHEFIFTFTFFSGDMSVFV DVFKPTIQFIVDYVAEVLLAQNTNNGVWRGLHEQYPQTAVNTVCGNDCYGLLILIRLC HDFNSLMKDVRRLCCLEGFYDSLLLQLWPSFQQTFERQVRALRLADVPTLADSFLRAE DKQGVSDWVARIHPLIKHYAAFTKALVTITSGCGFNGSDTEEGYAKATALANQSVQKD NADGNGATASGTTGVGGDGNKNISSEDDVGFEELQQIAWRIIEEERASDMADSSSRFA VLAGNLSFLRVEVERLLCSVTAQLLETSRCDPDQREHRNLAFLQNNVRYILNEWQEAI RNGGAPMLGPDYSALEELEKTLRSGLVLSIMKHHFPLINRVLQNDEHIDVLAVAEVFH HKWRIELEELCRNVRSLLRDEKCKEELLAQVCTEVLLWNTRFIACLSKATDDAAASGV STPSSSCFTVTNQQMIQHIRTLAAIAESKDDDEEG XP_845783.1 MSYNTSSSSDSLLCEIDVNIQQQLDNSNFFLPVPKHLWNVQSKK GKQRCQGGGTSPKNTAQSNQPSTEMTCVMLQKSMRNALCNLELMYTNDTERLKQRLSE EFHRLRESAAERTRQLIDLSTKAQPLSYRVLFVLNLRRWMLCRGFEFALQDLKDWLRL ALLLRETDTLHFLRECTKKLGPYARQRVEDALILHGSDIDSVCQVVMDIPVPTDETTT FGGPYYNLTLNDLIAMGDEDTHSVHVVNAQHTMPSHVREGLVEWMLLVNVELNLQLET FFLAVSILDRYLLRATIQPDREYLTAYAILLLASKVEEKCLFPLRDSVRLCGKTYKVG VLIATTNRIFEVLDCNVVYATLSNVGFGFLWQQEPVACEKQYSFLTYILTTLAIRTQY RQYRLSALAAAAVYVSRLRFNIPTGRPCDEVVVLLPVIKDAISCNISARSGGVYDLFK RSCFHEASRFPLPDLLYGL XP_845784.1 MPEAVVIDGRAVAKAIQKELTEEVALLERRYKGRRPGLSTIICG KRKDSQTYVRLKRKAAAACGFRNFSVELPANVTQETLEREVIRLNEEEACHSIVVQLP LPPHIDKVAALSKIKPEKDADCLLPVNVGQLHIRERNPAIVPCTASAVMELLRCSGVE ICGKRVVVLGRGDIAGLPVATLLANEDATVTVVHSATPLCDIADIVRASDIVVSAAGQ PGLVRGEWIKLGAAVIDVGTTPVADPSKVPGYRLVGDVCFDVARKRAAYITPVPGGVG PVTVSMLLKNTLTMFKRSVRAL XP_845785.1 MDCLVCSGRLPVQTAFNRMAAIQEEIARIDDQLATLYLTENMAA TSPKEAPTDESIDQTIAHMLAVELCQLSASGDTVGIRVLLSGGADCNCVDYHGRTPLH LACLMGHVTVARVLLEFGADVTATDKEGKTPMDLAVRSDTREIVELLMSHCICDSSGG ARSPPQELDGVLGEGLQEDSPLTATHPDFSSLPRPMMGSLIVIMVGLPARGKMYTARQ IQRYFQWNGLQSSIFTYDNYVHQLAPRQPASCDITQDVDMELRVAKAIAHDMTHFIHQ EDGVAVLAGSNVTSVRRMALFNAVMETGHIRQGRVVFVEVINTVSERIRNNVLLAKEM VTGASDRFVEEYYEKMEQLEAVYTSLDPVVDKDLTYIRVENGEVFSLNNISGWMPSRL AYMLHNLNPCSHNIYLTRSGEYIDLVDQRIGGNSPLTERGRAYGRAIFEYFRREHGAE RFVVMSSCAVRCTETVHYFDKRNELSQDPYFASGSTSPAVNCRVSYLPTLDDINRGDC EGMLLSDVRRTMPGTLRNILDDPYLTAWPNGECTHQVFNARLEPLIHDIQASDHSVLV VSHLHLLQGLYSYFVCKDGNVIAPQNAYTIDIPLECVVKIRRVGDNRVAEIIDLSHEV DRIAQLYHGGAQRHCRRTEDFNKL XP_845786.1 MSLKAKIDVFPFDGYLLQDPSVVSVMQAVVRGNKTGGTGVGNSG GASGNETGSGGVGGGNANKRREAGGGTTNSERRIKTPLEKLMSLRARCREQQRVQSVE GVLLVHAHDHPHVLLLRHNVKTTNRSRVLPATNTNSTAVYRLPGGRCRNGEPEELCLL RKLGRDLLNESKRLTSSSTAEEERSEVVVDVGSAHPNGTAVTASSSSFRVGEVLARWY RPHFDPLMYPYVPAHVAENDVREVRTIFLVHMPPRMLLTGTYGDEELVAAPLFDLYDN TAKYGVLIASIPTLLSRVNINYCR XP_845787.1 MGQKPSITTLLRQCIYNQDTDGFRALIGEHENELIPGCLEDNIF VEVITKKCEPEIVDTVVKLANENQLASLVATAVLYDHSLPLGPLFGMMKERERTIEEH QLKYLFLTLCERGRTEAVRVFVENKCYDPSDPRPLRAVVRGQLKNPNVDTDLLMLVLS SHAPQPDDVKCLIETYLAEAENGDVRKVVEKCLVGFHQ XP_824154.1 MGQKPSITTLLRQCIYNQDTDGFRALIGEHENELIPGCLEDNIF VEVITKKCEPEIVDTVVKLANENQLASLVATAVLYD XP_830030.1 SLPLGPLFGMMKERERTIEEHQLKYLFLTLCERGRTEAVRVFVE NKCYDPSDPRPLRAVVRGQLKNPNVDTDLLMLVLSSHAPQPDDVKCLIETYLAEAENG DVRKVVEKCLVGFHQ XP_845788.1 MRRCIPASVLRRVLLLQTRLVSTNTQTPPSGCATKIQNESPMNG SFNLPRERQILGGLHADWRTQMKRSGSILNDITPALPDTKRTEEQRRRVAGWRPVVKL LGDQRLRIAIVGRMNSGKSSLFNLLRLEPTVPGRSNVVRDFDGITRDSVEGQAQLEGM HFTIIDTPGMVQGRMVEEAFRTVETADAAIFVTAVDEDIMPEELSLMQYLHLKHMPVV LLANKMDLIQEEEEEAVLDRYNSLGFGNAIPFSARRKSGLEMLAAVLEPLYHIHAMHK VENDWDIEDLAMQGDESAMEEIRERNCSDRFIRIAIVGRTNSGKSSLVNRLVGFERNR AVDEKNSTRDPVELPCSYKGRKLKLIDTAGLARHRYRADRDFIGRIHGLSVNEIRFAH VVIVVFDATEGHPNKYDMAVLHSVAAEGRPFLLCANKWDAVLDQSATAEAIDFKIKRQ VREVKYSNAVVVSAHTGLNLTLLMDQALELYDKWNKRVRRAELTRLWRKMEKSVIIPY HVARIGRITQVNTRPPTFLLQLQTKNDSNTLPKALQEMMKNTLVEEFDFRGVPIRLIQ EVKDSNPDYI XP_845789.1 MGKRRRSIGELPDETGFNGDNRGDIDDVEAGDVMEHILEEGEEE MDDDCEDDDDEPTGTGSSPPSLGAYIWGEVSMALYVSMLKQLHHICGCIAIQPSSEER RKLIAKARTQTKALWDLEMLSSDSSEQEDLAEYFHSQISSLLDNVTSLAESDMKAGEL CQAFLQTLNMFGFPEEENLDEVQLQANIRKSAELQCYSYQQRTSNQLNVLRRYEGDRR SEDQYLQPRVGRIVRFPIPHPHEEVNTRIQLIEPKRRRPPLEENRICSHPKRATAFGM EDVLVDEELQFRALVMLGRHLDTFCSIQHISPYLRLTVWNLLDRDEEIFERLCEVPKG DDDTAVHLQLLTELRQRVARKRMAFESTRVGARECVARLTWSAVSARWRDQVLCAVEE VEDADWCLLRDSAKVGTRAIFPNYAVCSAVRDIALKALRRVRDGTIDCGGIDDAADCY EVIARVLVPILEGGQPMFPMDDTSDSDGEREREACRKKEPRGKAQRPFHYGELCQRFA TLMSRGEDAELTDTKVARSVRWNLNCETLLAEEGVVCTFLTGMFVWPLQCLASFLELV AAGRHSVDGLRKFSAAFIPSNWSDERGVLEYLLRREPTNLPLTAFVAFPRLIRDVYIS ARWLLNDKCFAAVVACRREACKLPSNKPAINFIQWKALSSVLAEVGYKDELLALTVRG ALPSDADEGKLWSVAELQHTVRAWIDGVELMLLAEKSAMVSGATSATGTAFVVGRSDS KSDGEG XP_845790.1 MGGRNTGDVSLSQLSEVSAKAEALRENLRKALIDHAPRFEVCVF ESLMCLLDNFLQPPASDNFSHSVREDTNYLIERYYLQEVFAALLLLVGEDDLDGVEVA VGSAPHRVASEVPQSAFYVDIHTRRYCRELLLYLCFSRVHKKRRVVRALYPLLLNGST KQSGEVSQNHISEGLFASSRFKSRKKLVSGILNGIVLSFPDGIRSVIDVLLLDDRVDD SMSVHAAKHIASLFTIKLDHAWLCGDHWKSEKEEQQENEQIVTVRYCKNISLEEQIRA LSSQLVAIAVCHAAAPLLAKEKVALPAFLQGKQKLERNLLVKPETLEERLHICLATIL NTVSQLPLRSEDETYFKRCYKRFYLYNKYFFTPAFRALTLRTSVNAPNIPAANTSAVV TCEGTSGSGGIPSNGADEVVKALSRFCALAKGSTLGPSSLALLLALPPVAPGLLELVF VQDSLPPPHRFALQQLLSALWKVEGKYEEMAHIFVRGALSPVRGSVTIDRVSGFVGVD LRTASPTVRRIRGLQELVMSESTPSGLCRGVLLAAAEECQQRAAKGNTSPDDSSNSRC GGTGGSDVGLRPQRRVVNFLYSNTIHDQGEEEVGKEICDRTEEITLVRMVECICLGTS ADAVFGAEITLGCVCDVLAVVATISFVCWRWTVLLIPKVFCKDVVTAVFAQHATIADR RKAAEDLLLRGERLFSVLTALHAAVDSSSDDVSAAAEGSLAAAMEASRQYSSNVGCEG RCVDDDHDATGNTVSYSSEDEVRSTYRRLAVEAEKCLETRSTGSLVVHLLALSRMAED EASKRRNAPSHYSDKLVGAVQPALHVLSRVLAEVDDACAAVAAIKTMVWWALARADSP DSSFIGNLLIYQLSRDVRGRSSKFPLQASVAATPVQLDRMKVRLLDVLLGLCDYDTEG RTLRNIDDYSRQMYGYSLYDLLRELCGPRHSVAVQVAALHLVGHFVIAVAPRVSVELA CVLCKDVFRHTPHAMAKAAAAAMLVCISSSLEVFGEGSVEPLLRMADAMRNYRDAAVE NTVHEDVIRRHGERIKGLLEEKQFMLPVQEIRES XP_845791.1 MAAEATEKPHPLKDRWFVSYFPVVKQKKFSKDSEEQKGVELDWV STAEELHATINAFSPLTLLPPDDNLVFAREKVEPFFENFPNGMRVSVFTRTKVQATQA VPLVLAAVMGEHLRTVTDGPSHADVVRIAHKPGTVYPESLRVEVWLRDRSKVDAVTKY FSEMLAPHPGIRVAGRPINAEGEEAK XP_845792.1 MEEEVNTTSSNLIVTWRSRRCVAPVVEVLQSRPHLLDCLTMFPN GTKALHSPTTCKKMFVLFPGNPGIVNFYEQFVELLSLKDVDVLVMGYSGHSICDRNNG KVYGLRDQIDNARDFFDSIQERALSYYGNDIYIGGHSIGAFIAAKILVVFPCIRRCFS LCGVLSRIQETPNGRHMFFVGGNVIFYYVFVYLVVAVLVLLPRFVSSWFIRRQAPTLS PVLVSQFIEHYNTGALRNCFSMCREEFQVVREPDQQFLGLVGKRMVFYCVQDDGWAPL SHVQEAKELCGDCAGFVVESDPSVIHAWCLSNNETVIEKGILPFM XP_845793.1 MTEPKLATTHVVGEPTFEGLARFIERNNITKIFVMVGAGISVAA GIPDFRSPHTGLYAKLSRYNLNSPEDAFSLPLLRQQPSVFYNILMDMDLWPGKYCPTT VHHFISLLAKKGMLLCCCTQNIDGLERACGIPESLLVEAHGSFSSASCVDCHAKYDIN MARAETRAGKVPHCNQCGGIVKPDVVFFGENLPEAFFNVAGLIEETELLLILGTSLQV HPFADLALMVPSDVPRVLFNLERVGGRMFRFPTDRTPNFRASSYRLSTGNGNGSKISS GDSSNSSSVDGYDQFTLAENDETGVLRDIFFPGDCQVSVRSFAQALGFGEQLDASVRE GREIFERTRRREKVVEG XP_845794.1 MYVAPRSCFTSFSLSLSLLRWVQPSLAVMCLWQLALLPFCIALR TSLFLFGTSEASIAHIERAENSSSTRNISIPGTTSPLFFRRSGKERQYMRYSVVRTRP SRSRRLRHCVLISFLALLLMMLSLSISFYNTRRSVDSLKMKLREDAAGNPLLREGAAQ TAWSLLNTENGSLWGYVGGIDSLIEKRVDRAYWGPTMFRSNEGTVNESTVTNVVSERF RNLNMSEAEMKRRYKRHQLVKEVTGCDSLSVPFNPLHDSRCINFMTNSSNWLDVVPIG QNVDQRTIKFRLLFKPLRINASYSVEYPLETFVKVPQKYFVLEAASEVVAFNVDRLLL VNRVPPTGLGCLPLNTLRGSVNKYKHNTSTFKKFLQDSKAENYEQWIEKDLFHFLRRA KHHLRKNGNNQTCVLVSIQLKIADVVHFLETPMRIPYRVFSDTWFDYFDLRANVGELE DGLPTFAHERHYPGVLHLAALAMFDYVIGNMDRSPFKNNFVVGGVANQLVSDNTTLLH PNHPTFVYLDHGSSFRLRRPERNPIAKSHIGFENGKEDTFCLFRGPLLRRIQELTGPS GEVTHGNKAKEEAYGAAHNHRSTETLFTHMLRERVPPEVYSVIDSSNLDLVVVRMKEL LAMAGRCLSDERIRRTVLFP XP_845795.1 MRLLKKSVTAEGAVEVKLQVSTSEDLWHLYNLVLPGDQVRTNTR RKVVKETSIGSQAAEVRTITLQLQVRNTEFSPDELRVQGVNVKENECVKLGAHHTLSV HAFPPQDVVILKDEWNDVFAARLKEACDNESRADTVAVLMDSGTASVLLVTPSFMYTK AKIEVSIAKKHKNDGTARDRSIQRFFKQVLDALCMHVDFDKAKLILICSPAHVREEFK AYVEAAMAHSEAMAMRNLRKNFSKIVLIKVRDNTNDALREAFADPNIANQMESTRCRD EIKVWQDFQKTMDEDPDRCVYTPQMVYRAAMLGAVGKLMVSDVVFRSEDPTVRRFYLS LIRFVRQGGGGVSVFSSNHVTGEQLTQLGFVAAILHFPCDELDDLEVVENFIDSEEAA TFIRENAAASVVV XP_845796.1 MIKFTLRYFAVSSSTFYRLPRRMSTPTTRWAAFCALYSHRELLL CTICRGVCSGKSSQRSIVVEKNETPNPDCLRFYSMELSFLPPGRSLDLPDAQHAGKSP LAELLFSISGVQSVFLADEYITVGKVPHVDWGSLVPQIQECIVEFAESGVGVLSEEGE ACFVDNNNDTDPEDDDDEVVLAVKELLSARIRPLLRADGGNVRYISMDDGTVFVLLEG ACKSCPSSGVTLKNGIERMLMHWIPEVVEVQECTDEMASDLLAEKELRRKLKKDEVSA SQSN XP_845797.1 MPAKAVPAPESAIKRAAFKQQQTENFKKAIAANKVAAAALKKLA YSRGLKYSREYRSTEKKLAGLRRNARQHGNYYLEAKPKVAVVTRIRGIAKVPPKQRKI LQLLRLRQIFNTVFVRLNKPMENMLRAVEPYIAYGYPSLSTVRAMVYKRGHLKINGQR VKITDNQMIKDKYHNDDIVCAEDIVNQIYACGKHFRTVTNGLWPFKLAPPAGGMRQKR RHFVEGGDYGNRDTLINRFLARMI XP_845798.1 MFMTGLILLGKGREVMPAKAVPAPESAIKRAAFKQQQTENFKKA IAANKVAAAALKKLAYSRGLKYSREYRSTEKKLAGLRRNARQHGNYYLEAKPKVAVVT RIRGIAKVPPKQRKILQLLRLRQIFNTVFVRLNKPMENMLRAVEPYIAYGYPSLSTVR AMVYKRGHLKINGQRVKITDNQMIKDKYHNDDIVCAEDIVNQIYACGKHFRTVTNGLW PFKLAPPAGGMRQKRRHFVEGGDYGNRDTLINRFLARMI XP_845799.1 MFMTGLILLGKGREVMPAKAVPAPESAIKRAAFKQQQTENFKKA IAANKVAAAALKKLAYSRGLKYSREYRSTEKKLAGLRRNARQHGNYYLEAKPKVAVVT RIRGIAKVPPKQRKILQLLRLRQIFNTVFVRLNKPMENMLRAVEPYIAYGYPSLSTVR AMVYKRGHLKINGQRVKITDNQMIKDKYHNDDIVCAEDIVNQIYACGKHFRTVTNGLW PFKLAPPAGGMRQKRRHFVEGGDYGNRDTLINRFLARMI XP_845800.1 MEHLIAARPLPPAIGRGDKIEGAKAPERMKTSIRDKLAAVQSMR TNELQEALAREKQLSYVICELKKRSGEMEVSRETEREINAQKSFYIIKENECLKKQVQ LLERELADARRRYERELLRLQTALNNTQEMLVTDRQISKKREGEREEAVQRLVTNLRL MQAEIVHYESENKRLEATKQALSESKAECESVRAECSLWKEICQHQQWFLLNEREEFV DALRVHELEEKQLWEGTTCAAAKVMAGYAKSVTERMESEVDICFKLKMLMLKDVVSTM KAEQLEEQRKLAAEELKELREKRMALMKLFDNYQLQIEQNQRENCESLALLKSVDDAT MAYVLKQRDQEVVDKICLWKGAYLEGDKLLRALLKRYQPFQRGDEKFVSHVIGYLNKG PHVQEPLDIWLD XP_845801.1 MRRVMDRIYSQPGINAIIREKAAELGSAAAALSYVHRHLREALS SAEVATAVHDVFPIGASQDSVGKLCRCRGMIQEVDPSVALYRASPNNFLGAETTDDAV MLEALQVYVIPVPGNAHFYGIQDQQQTGYQQAQGAATCTEGGGFLPADTSDLGRNSGL DRKRRERTPMGDQTHQGEWNSEDPDVERDPKQSRYEGDKLLGTLPAHSAANVPLHHQL NLPHPPLCWTLHTACVVTVIYGGSAEEEPLRLNDVVDFFGFIDEPSIVEHSCGPYAEV EDFENFDAWHTEQLPPGVLPRMTCLSWQRVYCQPDRPLCHFYFESKRPLVLQHLKNTV CKGDSLLAEYILLHLCARVITQEGGMPVGDLPLRVEGDIVNLDMWSAYMREVAPVGEV LLDLSKLTSSSLRVTSSLDEKSNILRAGVLQLANGTHVTLDSRAVAIASSGVQDAIFS AVHKQVLQLEYPYQKLELPIDLSFLVLSTTKLTDEIGFLQLAVSVRWLPELTTEAAIS NDISVDEVRDYFAQVRRLPRRFEREDDISTTQLSDKLLAFSQSEPRWNNHDSFIHNNS FAMAASMMRAYAASVGREVITNESVGFVLALEGQRVARCYDET XP_845802.1 MSLVEVLPNYFTLSKDSPLRKKFEKVYKWYSPAFSPHDVPRFAE VGNITENPEVMRGIRDFFVDRYKNLQQPITHILGFDSRGFLLGPMIAVELNVPFVLIR KANKIAGVIIKSEPYTKEYAAESEECMTVRFGSFDKNSRVVLIDDVIATGGTMLAGVQ LVDACGATLVEVAGILGLTFLKGTQPAHTFAGGRYSNVPFVTLVDETVLSDENCGDPL HHKGSRIISCAEAKKLI XP_845803.1 MSQYDAILTERHPHHFTLADTHPLAKELHANIFGESDLTHANCA HVYDISSLTEKPALFRKVIEFLKCRYETMGDTGPTHIIGVESRGYIIGAPLAVALGIP FVTARVTKRFPSSFVPEGDDLKYLPMSRSIRNDSIPPRARVLIVDDFIGTGSTMLAAL RLADIVAAQVVEVLTVCDVASLGGIKIIRESDDEMFKETPIFTLIHFKLSPREAEEQL EFVNSYITRSRL XP_845804.1 MSSLMSANNSGLVFAMALTTNQTFFLTYHMGSYANNAVMLSSRK PMLMRDVFLTKSSSFFPNPLSCVYVHSPLDAVLNSLLAWFLVRPIIEIIGWRSGVAIY FGSGFFSSFAYIFSSQLGTGRTNTPFDCADTSNGAFSGFATLSLVLPKCYIPTSKRIP TSYLGVPYLIKCFYDEYVAPHYVDKREKGAIELRNWGFVGGVFFVMIYTSLVLRTKHD MTTMRTFWRNMGITTRKK XP_845805.1 MGCQRMGTYPIAGVEDVRRAVVLEVNDFCISVKLPPASELRKDS RYGINLDAQVVGFNARRVRDEYELAFRMYLTGNDLEKYARRCTVGYLFLPSGEEHYLG PLIFGSDSVCSAMLVGEVPSNVEVCFECLSLPRGMTALMPACFRLAEIMATILDADVH NASVASSHMQNLVKLFPSYGDCMMQFDNWTKFVECAEAQLGLWCTRRYTEDEIKKYGF RNVAHYEEPRLVSKRFIYDYVDGDVGKDALHHEKFEELKKLVAAALNSCTDCRRPSHL CKKHLTEISSMPCVLQLNPPNYLAPVTAEGIVWRIVLQDPLHPLRVVWERKVTRVPDF R XP_845806.1 MPGRRGKQRVKRKKSKRQRPSGMERVLIESIHVRKHKERDILKH HIPPSVKPRVKKVSLDATLPMFNAISKNANRSTSSVTMAKGTKSNGTVVGC XP_845807.1 MSRDATPYEGEGDDDDYDGAMRCILVEKIRRHRALEREGSVRVI TRKGVPPPTLGELRAIADRRSRHEDLLRLQRRLDGVERRMGLWRDYLSYEPPPFMSSS VPANASETGILEGRAALLSPLSTLFRILLRENRARERLRLLRARPPLPKSQTPSLASA RRMSLEVARFVGDDIFVPPGDGCRSAITSEQLLVTPAYEVERLRNPLVFLAREYRMER LPEFSLNPAKSPDTGHSSVVRKDCGASSAVTLSDFRQPPVMLEAFNIPCGIYDVGRRV HLIQGYEPLYSSDGLGSLDSLSKAVKCRRDGPQRGDTAFIDGRLSQSLWCSGTPAKLR GPLQEDSLSGSDDDEVSPAFCADVSWLPKFPE XP_845808.1 MVGERTVSGGWKPDSSAPVCDSCDVTFTVYRRRHHCRCCGGVFC NSCSNTYVSIPALHEMKPQRVCRACATALSGATDASATAGSAATDPVSGGSFPSIAEE EEEGGDGIVSSGSSRLDDDSGDVDDSSSHSGDVSSAVAAVYSSVEEGPRTSSMTFIAA LQENLRHSDDPGVVTILMYASATRQQLILVTVSDGETMSMLAERLADTYLRLENGPFK AASLTDRENALKRLRFFSESAAVDNGAQAVSVALQHRRLVLTGCSLSELQCQSAKPLV HDFFCSNISAGECGEWE XP_845809.1 MGCQRMGTYPIAGVEDVRRAVVLEVNDFCISVKLPPASELRKDS RYGINLDAQVVGFNARRVRDEYELAFRMYLTGNDLEKYARRCTVGYLFLPSGEEHYLG PLIFGSDSVCSAMLVGEVPSNVEVCFECLSLPRGMTALMPACFRLAEIMATILDADVH NASVASSHMQNLVKLFPSYGDCMMQFDNWTKFVECAEAQLGLWCTRRYTEDEIKKYGF RNVAHYEEPRLVSKRFIYDYVDGDVGKDALHHEKFEELKKLVAAALNSCTDCRRPSHL CKKHLTEISSMPCVLQLNPPNYLAPVTAEGIVWRIVLQDPLHPLRVVWERKVTRVPDF R XP_845810.1 MPGRRGKQRVKRKKSKRQRPSGMERVLIESIHVRKHKERDILKH HIPPSVKPRVKKVSLDATLPMFNAISKNANRSTSSVTMAKGTKSNGTVVGC XP_845811.1 MSRDATPYEGEGDDDDYDGAMRCILVEKIRRHRALEREGSVRVI TRKGVPPPTLGELRAIADRRSRHEDLLRLQRRLDGVERRMGLWRDYLSYEPPPFMSSS VPANASETGILEGRAALLSPLSTLFRILLRENRARERLRLLRARPPLPKSQTPSLASA RRMSLEVARFVGDDIFVPPGDGCRSAITSEQLLVTPAYEVERLRNPLVFLAREYRMER LPEFSLNPAKSPDTGHSSVVRKDCGASSAVTLSDFRQPPVMLEAFNIPCGIYDVGRRV HLIQGYEPLYSSDGLGSLDSLSKAVKCRRDGPQRGDTAFIDGRLSQSLWCSGTPAKLR GPLQEDSLSGSDDDEVSPAFCADVSWLPKFPE XP_845812.1 MVGERTVSGGWKPDSSAPVCDSCDVTFTVYRRRHHCRCCGGVFC NSCSNTYVSIPALHEMKPQRVCRACATALSGATDASATAGSAATDPVSGGSFPSIAEE EEEGGDGIVSSGSSRLDDDSGDVDDSSSHSGDVSSAVAAVYSSVEEGPRTSSMTFIAA LQENLRHSDDPGVVTILMYASATRQQLILVTVSDGETMSMLAERLADTYLRLENGPFK AASLTDRENALKRLRFFSESAAVDNGAQAVSVALQHRRLVLTGCSLSELQCQSAKPLV HDFFCSNISAGECGEWE XP_845813.1 MNVSEFLKVWKDVKVCDDVVADGGSGGCNLDIGVPSLSEVDDGD EVPVEYGDVSRDDFGGDDGGCEVFDEAGSFFYGQRSKRRRYDGLKTDSISLCKPREPY ACLKTFSTNEGSYDNFVSGDSGRKRVKVQIAGRGKPRKGSLAAVTALREELLRKKTLL DKSCRQEVVDSSTNEEFPTIAEGESTLDELLLSVEDLVPVGNLATIVEKSLAPAQTSS VEDPTLSAVSTEAETPLKVDEPGERKESTGSAPKAGKLSMFARAKLYVSGGDALEGKA NIEDFFGKRFTS XP_845814.1 MEKYLLGPSMGEGRFGSVRSAVIRGSGVPVAIKLINVTRVEEGI PHMVARELLVSMRLQHPYIIRTYEVFPYGSSVALVMERCATDLSAVLCERSPANPLSP QSAKSLFRMLLGALAYMHKEGILHRDVKPSNCFLARDGTLRLGDFGLSRMQDTEESMT HEVVSRWYRPPELLLGQRHYGPEVDMWSAGCVFVELLRGYTGAFFASDGDVMQLSRIF DVLGTPTQESWPTAELLPDWGKVHFEPKNPCPLGEFFPEASGSAVDLLRGLLCLDPSR RLSAADALCHTYFNEY XP_845815.1 MYRSAFRSLRCSTVKYARWSAAGNYVNRHVGPTAADTEVMLQMI GKKTISDLMADAIPAELMRPPMKEIEPLSEKDALSSVLSLGARNRVLKSMIGQGYYEC ITPSVLLRNVIENPGWYTPYTPYQAEISQGRLESLLNFQTMITDLTKMDVANASLLDQ ATACAEAMYLAFRHHNRKRDTFFVSKDVFPSCIEMIKTRAEPLKIKVVVDDPALFDWG EVSPCGMLVQNPDANGTVHDFTELFQKAKDHGVLCCCGADLMASLLIKPPGEMGADVV VGTSQRFGIPLGYGGPHAAFFAAKEQFKRLVPGRIVGVSKDVAGDPAIRMALQTREQH IKRERATSNICTAQALLATVSAFYAVYHGPGGLTDIANEIHQKAKTLAVGMESAGHSV VNTTFFDTLTFNLKGITPEDYASQCNEKGINVFLDYGTGTVSISVDEATTETHIMSLL EAAGLKLPVFAALTKIGRNKTAFPEALARTSSFLQHSVFCKYRSEGELMRYIHRLQRK DYGLTHGCIPLGSCTMKLNPASAMLPLSWGTFTNLHPLAPSDQVQGYSAMCFDLEQKI RDVTGLDAVSLQPNSGAQGEYAGLRVVRAYHQSKGEGQRNVCLIPVSAHGTNPASAVL AGMKVVTLKCLEDGRIDVEDLKQLCEKHSKELSCIMLTYPSTYGLFDRDILSVTSLVH QHGGQCYIDGANLNAMVGYTGPGFIGGDLCHINLHKTFSIPHGGGGPGMGPIAVRQHL APFLPNSVLRQKVGGSQSFGQVSQAAYGSASILTVSYMLMLMLGTRGMKVCTEHAILN ANYLKKRLEKHYRIMFLGEEDLCAHEFIIDLRPFKKSAEVEAEDVSKRLMDYGIHSPT VAFPIPGTLMIEPTESESKQELDRLADALISIRGEIAAIERGEQDKTNNVLKNAPHTA KCVTAENWDRPYSRRTAAFPAPHSNIEKYWPTVGRIDGAYGDRHLMCNCMSLDSFK XP_845816.1 MLQAAEQRNSLRLANAVALVADASDQPITEYVAENGGAAPRTSS TLTLAERAIRNMEIRALCEDKNYQRVKLTSCRGSVDLKLFTCMTSLRDIHVEMDGDIC NIPSLQDLPDIRRIYLSSKTMNDSDVRPLCNIETLEEINICNSPNVVNLGNVGRLPRV HTLMVNRTGVIDEFLCGLTLSNTLRRIDLSECLRLTDVEPLASIETLEEIDVSGCFPC VCGIGALGALPRLKILNASLTGITDECLARLSASQSLKKLLLSKCERLTNVSRLDTVT TLQELDLAECKNVVSGIGSLGTLPVLQCLDLSGTGVADDDLCALSCSATISKLIMKRC VLLTNVSPLEKLRTLQHVNIGECINVIEGLNSFSELPSLRTLYMHYTPVTNECLSVIS TSQSIVSLNIAACTRITDISCLANLKTLEDVNINMCESVEKGLGDISGLSNLRMLSAR STVLDDECVKIMSSSSNLERSSLEGCAKITDVTPLAAVKSLEYVNLDNCPVVKGIAEL GKLPLLRVISLRETNICEEDIKNLKKFNRRLYIER XP_845817.1 MVTLRMAARRRGLHAKRNWHVSVFLFLGLTFLVALFALLSLITG KGSDNSDLPKSTTGLCDTPLMYDILLDAGSTGTRVHIFEYNKWRLVGEVYNSTHPGLS QLASDDSANISANLQPLMATAVRAIPEVYHPCTKVTLKATAGLRLLPPEKVEFILRET KKVLRRYPFVVGSVSVMDGDEEGMDAWLTVAYLLGRFDRSAEGTPNSTAKRIATIDMG GASTQLVLQMSPGYTGETQFITSLHFGVTEIPLYTYSFLGLGLRQATLEVLRELTAVE RTSFPCFPSRYRHRIDGEGGVSFVENIDGNAQGFGACLRFFHRFINTRSSEHLAALRG GRHVLKFAEGAQSVEIFAFSFFHRLWRLRPADNVTVQYYKELGEQVCSAGGKHNSGTN CMDVAYLYGFLTSGLGLSDKTKLNVPYVLSDVKVTWALGASLLSVEGKVRR XP_845818.1 MDNIHHRPASRKNGFLLSEVFSSFTAFLVNGVHFNVTVRFPAPS CRGKPEAARIFHVKNNSIACEPPMPSYGTGRQLHHGAVPFPVGSTSHSIMLLPGRCGN WFFFHPQLQTGEGPPTSSFRIISSASKISTSSLAPSQRYITSGNSTVIGGPTATC XP_845819.1 MIQNNGNMRNTQNVFNERFNRAQGEVQEQGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKMGWPSDRFQCSLISLYGGNGGHIYVRREMMRVWYIIQRKLNAW WVEKTESTPPTHIVIGISGIGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDVDAYLIYN KKGNEEGRVVLHKREDVTNVIEEMRLKKRGHIIFDINSRKETLPYEIPRNVWGVTVLA PPDGVRYKYWMKNLEQTRIILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARLKVKEE LEDEWRLVERRVDFVGPLPRYVFSNGAGCREAAVIEYLSSLSCNRRDGYDMMMGKYFE WKGNHFTESLIKIVRERSRYGNLESYHCRPLSVAIGNMILCTLFADVAESMSSHEFMM EYGKVGAYSLKTRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQIF EQKFLPEAGQNAIGNCKYKVLYRSLEKSKLFVDGFFFVEDCSRRVADMRDGFPQLGVA SKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGGVI KTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDNKIQKELIIAYHEERKYRDAPA FTRKRGHE XP_845820.1 MIQNNGNMRNTQNVFNERFNRAQGEVQERGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKICNPSCGFQCSLISLYGGNGGHIYVRREMMRVWYIIQRKLNAW WVEKTESTPPTHIVIGISGIGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDVDAYLIYN KKGNEEGRVVLYEREDVTNVIEEMRLKKRGHIIFDINSPKETLPYEIPRNVWGVTVLA PPDGVRYKYWMKNLEQTRIILNCDDVRDIKAFVAWKKLSVFPNYTTLDENARLKVKEE LEDEWRLVERRVDVVGPLPRYVFSNGACCREAAVIRYLSSLSCNRRDGYDMMMGKYFE WKGNHFTESLIKIVRERSRYGNLESYHCRPLSVAIGNMILCTLFADVAESMSSHEFMM EYGKVGAYSLKTRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQIF EQKFLPEAGQNAIGNCKYKVLYRSLEKSKLFVDGFFFVEDCSRRVADMRDGFPQLGVA SKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGGVI KTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDKKIQKELIIAYHEERKYRDAPA FTRKRGHE XP_845821.1 MIQNNGNMRNTQNVFNERFNRAQGEVQEQGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKMGWPSDRFQCSLISLYGGNGGHIYVRREMMRVWYIIQRKLNAW WVEKTESTPPTHIVIGISGIGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDVDAYLIYN KKGNEEGRVVLHKREDVTNVIEEMRLKKRGHIIFDINSRKETLPYEIPRNVWGVTVLA PPDGVRYKYWMKNLEQTRIILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARLKVKEE LEDEWRLVERRVDFVGPLPRYVFSNGAGCREAAVIEYLSSLSCNRRDGYDMMMGKYFE WKGNHFTESLIKIVRERSRYGNLESYHCRPLSVAIGNMILCTLFADVAESMSSHEFMM EYGKVGAYSLKTRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQIF EQKFLPKTGQNAIGNCKYKVLYRSLEESELFVDGFFFVEDCSRRVADMRDGFPQLGVA SKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGGVI KTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDKKIQKELIIAYHEERKYRDAPA FTRK XP_845822.1 MIQNNGNMGNTQNVFNERFNRAQGEVQERGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKMGWPSDRFQCSLISLYQRIGILDNNVYIRREMMRVWYIIQRKL NAWWVEKTESTPPTHIVIGISGIGKSCGVGSFLLHSLLHFHEGMLDVVVFFTGSKAYL IYNKKGNEEGRVVLYKREDVTNVIKEMRLKKRGHIIFDINSRKETLPYEIPRNVWGVT VLAPPDGVSYKYWMKNLEQTRIILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARLKV KEELEDEWRLVERRVDFVGPLPRYVFSNGAGCREAAVIGYLSSLSCNRRDGYDMMMGK YFEWKGNHFTESLIKIVRERSRYGNLESYHCRPLSVAIGNMILCTLFADVAESMSSHE FMMEYGKVGAYSLKTRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQF QIFEQKFLPKTGQNAIGNCKYKVLYRSLEESKLFVDGFFFVEDCSRRVADMRDGFPQL GVASKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYG GVIKTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDKKIQKELIIAYHEERKYRD APAFTRKRGHE XP_845823.1 MIQNNGNMGNTQNVFNERFNRAQGEVQERGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKMGWPSATFQLGINDESGIMDNNVYIRREMMRVWYIIQRKLNAW WVQKTESTPPTHIVIGIPGTGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDVDAYLIYN KKGNEEGRVVLYKRKDVTNVIKEMRLKKRGHIIFDTDGPDETPPYETQYLGWGITTLN TPDTYYYKHWEKDYFSWNVILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARAKVKEE LEDEWRLVERRVDVVGPLPRYVFSKQSYTNRLQDISDYLRSLENEKKEEYETILEKYF TWKSEKVVHSLVKIVREGGRYDDLESYHCRPLSVAIGNMILCTLFSTVATLMVEKHSE SVYGKVGAYSLETRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQI FEQKFLPKTGQNAIGNCKYKVLYRSLEESKLFVDGFFFVEDCSRRVADMRDGFPQLGV ASKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGGV IKTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDNKIQKELIIAYHEERKYRDAP AFTRKRGHE XP_845824.1 MIQNNGNMRNTQNVFNERFNRAQGEVQERGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKMGWPSATFQLGINDESGIMDNNVYIRREMMRVWYIIQQKLNAW WVQKTERPPTHIVIGIPGTGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDAKAYLIYNK KGNEEGRVVLYKRKDVTNVIEEMRFKKRGHIIFDTDGPDETPPYETQYLGWGITTLNT PDTHYYEHWEKDYLSWNVILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARAKVKEEL EDEWRLVERRVDVVGPLPRYVFSKQSYTNRLQDISDYLRSLENEKKEEYETILEKYFT WKSEKVVHSLVKIVREGSGYGNLESYHCRPLSVAIGNMILCTLFSTVATLMVEKHSES VYGKVGAYSLETRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQIF EQKFLPKTGQNAIGNCKYKVLYRSFEESKLFVDGFFFVEDCSRRVADMRDGFRQLGVA SKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGGVI KTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDNKIQKELIIAYHEERKYRDAPA FTRKRGHE XP_845825.1 MIQNNGNMRNTQNVFNERFNRAQGEVQERGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSKMGWPSATFQLGINDESGIMDNNVYIRREMMRVWYIIQQKLKEW WVQKTESTPPTHIIIGIPGTGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDAKAYLIYN KKGNEEGRVVLYKRKDVTNVIKEMRLKKRGHIIFDTDGPDETPPYETQYLGWGITTLN TPDTHYYEHWEKDYLSWNVILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARAKVKEE LEDEWRLVERRVDVVGPLPRYVFSKQSYTNRLQDISDYLRSLENEKKEEYETILEKYF TWKSEKVVHSLVKIVREGGRYDDLESYHCRPLSVPIGNMILCTLFSTVATLMVEKHSE SVYGKVGAYSLETRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQI FEQKFLPKTGQNAIGNCKYKVLYRSLEESKLFVDGFFFVEDCSRRVADMRDGFPQLGV ASKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGGV IKTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDNKIQKELIIAYHEERKYRDAP AFTRK XP_845826.1 MIQNNGNMGNTQNVFNERFNRAQGEVQERGGRQMQNPSVTGKRK SREEELYESLYYAKWSYVMSGYNQEPLGMKVFFGRPQHIWTEEEVDITPEHCEVDAEL EERPTGLEIFVLTSMMGWPSDRFQGGLISLYQRIGILDNNVYIRREMMRVWYIIQQKL NAWWVQKTERPPTHIVIGISGIGKSCGVGSFLLHSLLHFHEGMLDVVVYFTDAKAYLI YNKKGNEEGRVVLYKRKDVTNVIEEMRLKKRGHIIFDINSPKETLPYEIPRNVWGVTV LAPPDGVRYKYWMKNLEQTRIILNCDDVRDIKAFVAWKKLSLFPNYTTLDENARAKVK EELEDEWRLVERRVDVVGPLPRYVFGNRGNCRELEVIRYLSRLSCNRRDGYDMMMGKY FEWKGNDFTQPLIKIVRERSRYGNLESYHCRPLSVAIGNMILCTLFATVAKSMSSLEF MMGYGKVGAYSLKTRALVSLLFPRVFCVVTKHLNYLRRLGETEDKRSILKDMTPQQFQ LVEQKFLPEAGQNAIGNCEYKVLYRSFEESKPLVGGFFFVEDCSRRVADMRDGFPQLG VASKTIVLIQITDNYRKEASVSELQEFMTNIARYFSDWDTFSRNMAWEMIYVNAIYGG VIKTRQRCVNNNTADAEQQTEETQVFWDGIDQYQITFDKKIQKELIIAYHEERKYRDA PAFTRK XP_845827.1 MWRQILQRMGIVPHPKLVRRLKPDKPVHGLFCCPGRYGIEAGEV LCSVPCCLCVAPNISNHIHYGPALMRAVSSHSTTEGMVRVEYSRESALTTVLCAIHMS HGPSDLREYLEWIVVERPDDISMNHALGTELYGHLRTIERINAEIITQLCVDARSVGV PISIETMKRAHAICSSRCVDVPTHADIFGGPALVPFVDLINHSSEDPNITVAVESFPF LHCEQNQMLLEHFYVTVRAEGGIHPEEELHYQYLEPTDRQSTNPLYWASRFHMFD XP_845828.1 MNCSTAGDQDGSSYDAVVQRINGIAEQLKSLRYECANCLNKIRH DKAVFSCRDCYCIFHLYCIKRWAKEDASGDGNAFRCPHCQVVQRSDLKYYCFCGKVRD PKYDPHITPHSCGDTCGKARPNNCPHSCPLPCHPGPCVPCSAVSGPGSCGCGSTSYTW RCGQPDPLKTCDRICGKMLSCGKHLCPDKCHHGACRGCSKQSTTSCHCGSEVRPMRCG STVFSCGKVCGRELKCGVHHCERMCHEGTCPPCKTDPSVVATCPCGSVPLVVVRTRCS DPVPVCGNVCGRWLDCGEHKCDAKCHEGGCEPCQQQVAATCSCGKTQATLPCLMRRSF RCNKVCKAALSCGKHQCRARCCPARKGNNADAHCCRQVCNKKLSCGHNCMELCHRGPC PSCPNVVSERLRCRCGAEELMPPQPCGTKPPQCNRPCSVPLPCGHPTGSHICHFGDCP PCMFPVERLCAGGHRIVRNVPCSAESTTCTYKCGKQLSCGHKCVRFCHGGPCVDEQRP CGQPCGKIQDVCGHACASKCHGSANCPVCIVVEELHCECRRRVVQVSCGKLIQFKKEH QGQRYLIKCDAECLAVQRLEILSKRIKPHPPIKYSVFLWEAALTDVEQIRKFERILHT FVVGCEGVMCLGAMAAPKRAIVHNMCHYYHINSESVDPEPRRGCLLTRTPKTKLPDPL LSVAIATPEQHSPLFFMERICQEDKLVAAHVIVVNGEHANTVTIARVLHDFAGEFVCE EGGLNNGVPRLRLFFVQKAKRGEAYRHLQAVKPPFEFYIPSADDGKEFRKLQNPWSKI TSWRERETR XP_845829.1 MPPLPRGVVKVVGALRGDNIIRIMQRHRYVIEEADDPTYDFLCG GTCVVFVDDADDLSDAARRTKVSQQLSVLKTHTGASWRCVVLLLRVVSEEVRPDILAW LNLHCSVEQGCGVMLFWTDEECAAYLEGLSDSNVATADYCVGVRRDSTPMQLLIDALT QTPQLMTRNDVVRAVNSFGSVAGLLTATAEQLTELPGFAQKKAGRLHAVLNAPFNTSR CLVADVLQRDQDESNDESSERRPAQETMKQALRCIYDREDEDVQEGGQ XP_845830.1 MFAFSDHMDDVFNAFFSGGDMFSGGDMFSGGGGRGRRRQPKDTV HGLPVTLKDLYNGRSIEIPHTRTTPCVGCDGRGAKSRKNVTCTACRGAGRRMLARQMG MMIQQVTVPCDACGGEGRRMDPRDICPVCDGRRVNQVESSLTVVVEPGMEHREQIVFH GEGSYQPAADAAGDIVIVLEQMKDDRFEREGDDLLYTHTISLAESLCGFQLVLTHLDG RQLVVRRERGEITRPGERKVVLGEGMPIRGRKGKFGDLVIKFAVSFPERIEEAQVEIL RQALPAPRSVDLSHCDMAQECYVSRKELDHLRQELENDVEEQETTSVGCTAQ XP_845831.1 MESTSSLSDLHKYAERRFGRSLLIPVDEEFVKAVHPPVPSEASE VAPAVDFVEAYRNLVSRNLQRKRTANNAPTSPASSGVPKFIYAHAAEAVVGTRVGEFM GPLCSPLRKSHASMLRSAPYFVTEKSDGTRVVLVSLIAPLGPSWSIEDKNGGDVLLKH VGRLDDVVALEEARQQLCQENTAQVGDLHQKIHLSFGHFAVERWHEKSSYGTVELFAL RCCENGSSSSQGGGDVIRAERLIGKRHMAYCFDRSMDYAYLLLEEHAVPSLHSFVVDA ELMVPIQKGSARLLLGCFDVFRYVIVGESAPRDVVLTRAHTSARHAALRKDIIEPMEE FQRGTVGVPLLRIFAKEMFPLNKFGDCVLRLRCGESANHIGGVVYLYDGPYGWTKSDG FIFTPEKFDILQGASKTQLKWKWPSMLSVDWSLTAFEGQNNFFVVDSFFRKKRFGHQP DSCGHVRLSSKMSLLNPFSLPIPTRGSVVAECVFDREQKCWSIERLRNDKAEANSIVT IISVMESLVEDITLSTLFDLIGLNDTPLPSEKVHELESAADMVNRGGERGNTAAIQDV EEKHEKKRCQFTLRATQLQAQGEHEIHLYWAVRLPSEKAHVPCIHCKVSECTGFGFAC PAEDPTSRLREYLYIALANAGGSCAWSDFTVEAVFNGGTGRWNIASMQPNGDNKRSTC VGVIHHLQWLLQRGVDSASPESTETPERVIPLHLQGVERPAVLQVEQVNAHYACKTKE LSTGKNRSILRHYNNWIKGVLISTSVSYLRSNNKGGEFDNDGMVVADLCSGRGGDLHK WRAHQPKLLFMTDCCLEAVAEAAARYSITKGLSIKVVPHDKNPPGIRAQFCVLDVFDE KGSLVTKLEEFLKQCHDGGKLDVVSCQFSIHYGCSNEERVRVFLSAVSSTLKSGGIFI GTTVSDTELLRRLRQYGTTFGNGIYTVRFPTDAVPNDSFGVEYSVSFESSVSEMPEYL VPWNRFVNLCGAYNLQLVESFGFVEYGDMHYNSALGQELRDASMNGGRRDSDGHLRLR LSPDEAEAAGLFRTFLFVKI XP_845832.1 MDNVVKNLMHNSPQKQCTATCGKRGNTFTVSHANRHPDATRARF FLDEANLPMRPDMELQQDTVLRHHINKTQEFVGQFAGGMSFDDQMAAVKLLVRTAAER DVRLVRGWGCDEPTVKFTRRVWASLLHQVEAFFNGNNGIEPLRRPQTALEYVSALGLW FGGDMSLPFRRHIVRVQGVDGTTGDAIVIGFAWFNYSSYVMDSRRGAQSATMMELERM SERLGVKLLFFPPLVLPESVPCKNQVNATWQVVAAVEEANKRVEENVLVPAMQ XP_845833.1 MSVQQEPVTECVVILDAGSQYGKVIDRKVRELRVESRVMPLDTP TDILRSDPSIKGIIISGGPSSISDADAPSYNTDLFSVGKPLLGICYGVQLLTRAFGGK VGCAGVREDGQDEITVDTNSPIFHGLSNKEKVLLTHGDSITEAGPHLKVTARSSAHII AAVQHESLPLFGVQFHPEVELTENGVQILKNFLTFCGCKFTFTMEDREEKALRLIRER TTQGQKVLCLASGGVDSTVCAVLLLKALGPERVVCIHIDHGFMRLNESQEVVAALRAA GVNVTLIDATKQFSEATTEFPAKRGKGAYQTGKLCEAIDPEEKRVIIGNTFMSVCDAA VKDLNLDVNNLLLAQGTLRPDLIESGSAYASKVADAIKTHHNDTAVVRQLRAEGRIIE PLCDYHKDEVRELGTRLGIPTHLVQRQPFPGPGLAIRVLCSDGSLFKDEHYARTEENV RAVCAGDERFELLKPLCKELRSLQPASCILPIRTVGVQGDGRTYAYAAALSIGRLPNK EEWNSLGRLALVIPKLTSNVNRIVFMFGPKRAEAPLKATKSSLVPEVLDKLRLADDTV NRALIKYGLVRRLSQVPVVLLPIGFEEKGPFSVVIRPFITNDFMTGVPAAPGSADMPL EALKEIVETLQGFDFISRVMYDLTGKPPGTTEWE XP_845834.1 MTRPRLTSAPRGSALKEAISAHQALRNAVAQEQSALDDELKKNE QILAEHEQLMRDWSCDKEGVLATIQKMKEKIAENESKTTEARAEVKQNEEIVKRLHAR NEEYEPRLEASAIEIAERLKKREEEEKEMREQLLTFQIACQEREQQYRDQIHKLEETN AQEAVDHQQKVQQLRSKIAEVEATMEEERRAWVVETEMRKQQRLIERHKFFTEEAEEA RKGKEACAAIMKEASALRMDSNLLTSLDDLLCC XP_845835.1 MHQTSATVTTRLRSSRGEIIDLVLPRDCTVERLVNFLVNQYDYP KGTRIVHDYQEIGPRSALSDFPDGSLIIELPTNVSQRSRVQSHHQHPYCTQQQTDSPR QQGQPQQNDNLPYNRTMESAYSPKQRTFASGVRLSGGSPPLASLSGWQSPKRQPTSEH QSVGNDTRYSAPSAFESANSRPQTSHHNNAATGRQTIPSPMGVPSNITRSSFTTESES AKAADDFQPDMKQQSQPSEPLSPRSVVSLRCLSPGLKRNVLLTLPDEATLDDVLLAAV AQEPRLAGCKLVFRGKQLNNLSTKLSSCGIRSGVGTIEPVPINPDADGIYSLYFSPNE VNGVQKTMLIEIESNIAAMEPMVNQDGLTLNQRQGYYEELMRILFRTDGLNDLEDEWR LRRKQAVKRLTELQDNLKVDGVV XP_845836.1 MARVTLREDFDDCAADYRILSVSADHFKEITCKVAACKQTGEEM GKINETHHEATTLMTMKGGSQLLLCDDERTFRVRRVEYSNTLLLAEAKAAKMHDTNGI IESDNASDAGEGREHVVVCSSERVFEVKPSVAYNDISQHLLESPLTLKELDTLGTVER SDENVEYIKREELENGTSSSAQRFYTFPQLVALNRSSARELAVILSDMGAVVFNGYVR LLKPALMREALRASLNFFDAYDTMSWEGVMEQLCPSVYPHIVLRAVRAAYGNATASGE TPRGMAAFLQVPKVLQALAASVLLADDAEQNTQFTEAELQDKKLSKSVNRRGSLFPEL DFDIFYDSWTSSIPSSLFADGTLPSQSDRNALLKFLYGSVIVVRNAEGDSHVGARAVW APSNVLSTDVSLRTRQLFEIRPGRWEAEELRAYVAPLLDPGVAFDHIIVRYAKEYRVP GRPVMYGNLS XP_845837.1 MSFSMNTVTHSPHSLGSQHNVMTASPFVTPAYVDALDHLSTKLR IPGSAVEPTNAMYDDGLVPGVCRLYMEGRCRQGSRCFQVHANPEVVEKLRQEALEQPT CCFFHGAPCTSSGLPLNLQVNVGGTSITLPRMNSTNCLWSAYAERGDSTLDLPREKVC REHRRGLCRFGEECGFLHICREIAFVGEDASSTGSGLHHSRTSASASPLGSGRKRQVP NSSFGSPPFMQSTSSRDSQCSRKRSFDPNAPDWCNGGYPQRGGRYADDGGVGFFPPQA NSCPPAANYPYWPPYGDMTHVHYGHGLSYSNSYMGPGMEQQHPHSFSRTCQSFSHHQV CENVGAGASMFGGPLLRPLANAPRRNTGSVRHNPYGATSLGQ XP_845838.1 MERTNRARVTFGDVTVVPYAGTRPGPAGNKRASQADESSSYSYS DYSDDYSYYGSYTQSSRTNSNESSSYSQTIESSGTKTQPPPAREQQQQQQQQQQQQSY TQSGQLQPQLQVQPLAPQHPPRAPVAPQQPVPSKSVQGPRGNSAARVSTESYTGSYSS YSYSYSDSTDEGHNVVASARSGHVAGAAQLDSEKKRAAAGTTTNISAPKAPIGSMQMP TITQQTSQTSGHQHPPMAQPHLQMGVQQVSPWAQQVPVGNQQPPAVHSQPPANIQQRH GDDPLMSARSRQQPPTGSRRPSLRTPEIPKEDQQQTHTSVQQRPANAKYQQAVVGHQH PPMAQPHLQMGVQQVSPWAQQVPVGNQQPPAVHSQPPANIQHPRVGSQQPVGMAHKYQ AARTQQMPSKTQLGRAPATSTEGLKIDNFSGSGSDYYSYSPYGSHSGSYTGSYSSYSY TQRMPGQSYSSGGDYTTTVKAAAPARPVEPPKPETHSHTAPPAASPIVRGRVQDDDSY SYSYSYSYGSVSSPEVKHPLPPSHVVKAPQPEQDANVVSVSSSELGYTGSYYDSEYDY SYDYSESATKTQKAVEEPKGAEQHSKSTVETTTSLIKPTTSGYTGSESYSASKSGDYS IELSGEGGVTSSESTEIPSPARIRKTILLAAPSEAEPRFHSLPRGCIVPHSSMEDTLS SFLDQLAELQVETMERNRVLKVLNTEVEAAKDLKERKVSDSQRIASDEANSPADIVEA PISVIPSRNLTRKSLMFALRAVGVEKAKERYKILEDVDTVVPREKARTILEYYGSRCL TEKVMSMFVAYDEFYSGEVPLSIAFEILEYCGLVTQTVGKFKIFLRPQVSQGQILLCV ADQPQEATENIVDDAEGEKRWIQPQTYETTVRCCGEGWKYGDHKVYWNGESGDVFVGS FIVAKGITERKVAVQLLRYIEAFLYVCHAIMVVTVGTGMKLRVLYTPLVEKLLNPTVK GVTDATSFLYELAFGSVFNPKKYVKLLANNSNLRDRYLCEGDDAVLHDTIRPKHLVPK APFMINGDKDDELNTLLMSTANSYTTVSANIWTQKGVEGCYLEVGVEKLRISEKVPEN ARCYCLVSVRKSKDSEWMTAEVLQAVSVGKANKGGFKWKFGKSSSDRLFFTGAIEDSL YVEACYDIVDASGETTTYCVGHVLVPCGKLKSGKLPVRPGSCYTYTPLDEALKQPVEA SGGFCAPRRKTAGPPAKSLTVTIKRLRSKDVPDLKTLPSRCLSLHRHVSLMSLMCAAV ISGRDGGSHPLRWLRQQQVQCCFMVTADSELMDILQGLWKRVMKGKLGPKPQNLGQKY KRILILATKVVAAHNNITGGDQGIITDVVAGKKGIPFTENMNRPLRPVCV XP_845839.1 MQASESGDHGDILPNGGHRSRHHRHKGKHPQHEETAALRVEGAE SVDAEKAEREQVQEPPQQQQQEQEQQENKSDADSQNIGSVVEEFVSRLPAGDAVRATS EKARKLATEGKQLVKDVLENSNIRAVASGCVAKGKQVVDGARAYQHTAMEVAAKTVPH CRKPMEMCAATARSTVNSARSVAEKSTRCVAQTGGELRERAKSYVETMKSIRGKLFNF FEASKPRVAEVQRTGMKAVGERDLQLFVTALAQATACVVVLSRVITVEMCKVNRVNST MRYIQGSTCMRLGASLWNCINPSLVQHVPVVGERVASAAAAFVAEVKQYTSDDRDDVQ DEQKVAA XP_845840.1 MSGSFAQHGSKGGTSGGPRRNVKPSAKMGKATNVKKEAKRYHKE KYAREQVERRGHHAQQHTAVGNHEGRSHQRSARTKDIDIHLAAKVSSELRSDLSSFKT RLNATNIFGADLSEIFKFVPPPFIQQHLPEDVRSLRSYLSKFERGAPNSVQAFIVWYE WAEYELNKGKGRGGGTKKHYDNKAQGLTSGDEEEEAAAASDQKDGEQVETHGKVSRSR AVLAKNVKEREHVRALLQMCLRHNSTRRKEGQHSLINHLKAKLVDGVDCRSLKSMRDT AHHALPYALSRMLLGMVTEDMAMIVLHAHILFLALRETDITPSIVLSLIGEEIALDAR LKVTKARLEARAARRDTQGEQQKEDQGDWDDFDPDTINDPTRGERNQRIVAAVFAMGA VVANRGKMRVEDARHAAQYLCFAYVEQKATRVMTAALLLITLQKFPKLWEDESVMEWI SYAFFLYPKLEYFRPEGVQLLLRLMAMETKPPAIKKFLPTTVQKYAAMDPLEPTTVEQ IANALFRKEQVIAVYPMVHPVWDDWFDLLVQRCAAGESLQEHLSTMMHNAIAPYRRGN SDIPRRALFQQLVARLGQLVVKNDDADQRQEMLKIASQAVGYGRKIVAKASDPNELRM MPLEMLDAKVRDLIKQYFCIRDSDPSAHANRTWVLRELRACLSVPLREGVTCTYVDEA VRALLRFGFYPTPKSSDEKCMNRAIYLFSDVFSFTYTAALSRPKCTLSALSVIRDYLA AEEKGITRYTTGATERNFRKARNCIVEALESSSTRSVLFYEERDIHVLLVLLFLILST DDPSNEEAKNLANSTVPDLCQFFRTGTLETLDLFYDVLMALVMRPSSALHVLPLMVCV RRIATGYLLRFARYVRERPTLDLVLAPLREAYHTDDRELIRQAKAKGVAAEAEDSSAD EEEGDEEDENNEGTQVDEKDEDEEDEGGDVPSEDEADKTETETETEADESEEPTEEDE ATEPEEGADEEQLNPDVEDEESDTGDEEEEEAPTQQYIDALKGMIGNVDLQFVYPTDT ANKEKGDVVRAIQVATRVGVAMRSPLTVHIFQVLLAVCRENVKAADDVIFNSTVSSLQ MLMMTKNRYFGRFLVAESLFQLLSDIQSYCRKLDRVLVNKESRSARHALVVRRRMTRL KDVALRVFHFIAFLAHKNHAGEDVRVTLMEFYKSIFCDRGWDGKKRMPSVKRDMHHYR HGFAWALLPAALEKFSEVEVLEGPQRVRVFQGCCQMVEAVLPRLSGLGATLRASAASA ISSFLQSVTPTSVYNMKYTLLYDYLHCLKMVLKYNSRVQLDTAWASNIVKEVVDDDSL MTSAATIRLLAAMENLLNLTPRARETKAPSPVSVLYKQYEKSGRKEKAAFYRRAKRVR KKVVRALAAHRNGELTDEEKAAKRRRRETLRINDRLERQMIRTERSQILTKEEREEKR KRIMVAKQERIAKNRERKRRLHEQREKAFQRWREQKLAAAAVADE XP_845841.1 MSQVPITAEPAVLESISVVDGTEVNEAPNCVSLLTEEVAPVNKV PQNINSEEMIAVVPPSPVSEEKEGTSDESKPPFEKIMEEEREEVVAVVNKAVTPSTIV NEDEEGKADVQQPPATDEVETMTTTEAEEISQAQIADAETPKASAQGKVEVATSKEAE TDADSKVYEDIIGEETIATTVDDETSFNCRKRSRSESGAGELLLDSANYNGVSGAAVQ KSDTEVNLKEMDYAGASTTESEPVKQMLSSVE XP_845842.1 MACRRSLMLLLLFAAATSSLLAAVPASATEADGAAKTAESDDAE REGEAEEEKLFLPTVQAIFPKVRGGSTPTFHAGSRVDALIAFRNNDQRQNSTVLLVSA SVAPAHTPNQILQNFSAIRHARIVQYRESASFHYTFTPHALLEPNDYHLIVGVYYKNG ETEQPQFVAAFNETVAIEASLDTDPSTILTYLTLLAITCAVVYVLASKYGILSLLRGK NESSSERRRVEVGTKGDGYDPDYVSEEHLRYKEAVLMRRSSQSPKKKK XP_845843.1 MRLAVKQESFRLEVLMSRLQSECFTFCCKNLSSKELTMDEVKCV ERCAVKYLQASDIINRALDKGESGGGAVKQMLKL XP_845844.1 MRRSVFFMGRTFTQRHLNACRSPLLTGYVRPTSLACALPTPSVL RGALLGPEASSAVPPGAASDALEGVLSHAMAVMLQSNSITSATLNGFSCLQNISTCVL ACERVFKVLRQCDGKFNGVTCA XP_845845.1 MRGFRSNPHSAVTMACGALLNSMRLRLTQKEDDMLWENMRVILR RHGALPLPRVSALLSDEMLELVSNNTGGLGQYVKERPSKFQVEVASSGLTVVMLASEL RRAGSSTPLIAKVLANVAASPQQQLSVSQLYRSLPALEQRKCGNERLLEAFLLQHASL VLVQNGIVKASPTSFVTRMGLNPIPPTSTSNPLAASAPAFSTAGHATRQAESIHESTL TISAFDDPKLNRILLLLQKTVPFSFYIPLRSVMQSPRSGMCFSPDATLKEILEELQRV PATILDCRVTGDDINDVFLRMMDADRRPYVGSEALSAQGFEVLNLGQPLIEAFRNFAS ASPENLQRLRSGIAMTELDDVLPSDLMERLHIYPATHKDAACIFVFDRLRHLFDVNMT AYMVRPWEVMERHEQPSSLTWQTTPIPVVLRQCLQLLGEKPLSVEELVASLSTSSRGQ LQCAYASVEAFVKQHSLYLLLKEGFVWTPFLAAASHGVRIPASSAGARSVCGPGRQLS EKEKARMLMQVMPIEHPVLWTRFRHSPAARELPFDVWECKQEFFERHREFFKIYEVIF STVLVVGRRDGQPPPAELLHSPCRTLADLVRLIAMFTVGGAQEATVLNYLPRDARQLV RRYGSIVLIARQLPMWFDVRGDESGSGTGSAIISYIGADGGSREQ XP_845846.1 MTTQDEKNRDSFLLTAGPALISGAAQAVLFNPFDRALYVRVMYR RHHFLDRRNFEHPFQGFVNAAVYRTLVAASYLFWQDSTRIFIDRYMPACFHASNSPGV NAFLIGAVAGTLNGSLLNGMQVVKYRMWSVEEKFSFFHVTRNVYEEKGLSIFFRGIVA TILRDSVFGIVYEMCRNSRNVHCFFTFLGQSITQKAQYLGLASPACSHATDNNSPSPF VAASHNSGEALSTHRAEKPSVDSAVFASNLFAAMLASVFSSPFNYVRSVVYGVPSGSG PVRYIQLLQFLYLQTLFVYRSGESYTSVHATHGGSERVAMNQQDPLRTRLMERSTQRH RYPMAALRWMNSRLNIGWGSARVGLGMAISQSIFVFVQSCWKAV XP_845847.1 MPVKKKVATAKNDEKEDLTTSPVMAAETTPTASSPTMQHLDTPQ GTTSASELYAVLQAAVAEDPAKVNDFAEEIAQTFVEAEKAETPLLLRVRMLEFFAKYG QHMRDGNALKKVVTSLVKILSGPDNTQQLLVAAVQGIAALGPVSMLDKKWEYLSREGA DVLMQVMIDENGFAEPVRSAASKALDTLVSTAFRPVVTKLLHWLSDDREAEEEDQLQK ERRTAMARLRKVAQTASFRPQWTEEIQEHVLALIIRVLSAVTITEFAQLARIAASLPS VEEKKGLPLLTAFLASTKLNTDRALESLSLVAQHVGAVAYDLTPMLDEAGLLSAPVDG TTVRGMWHAKLLLLAARSATPENTDTLYKTLLEHVMNLIGDGNTLPEYLTTLEALLLA LTSVGQKKPLDFVKQLKDKQFTAKCRNMLAAVEKVEPLLIYAVKRLVQKSSAGAKEAE MLGSCHNLRIILSSFSSSHIPMGAITESWAHKHKLPVLRQGREGLLPSAKGGRSGYDA QLPAVNKRPRTESNYRPQSNRGSSRDLQGRSRGWKY XP_845848.1 MRLSSVCWRLEALKDFTDWSLWGPLVQRISTGVCTDCTALHTSV LPTRHLQRSLFRLPVPKLEKTCARYLEAVKPLVPPKQYDFTAKVVQEFEANEGIVLQD ELVRTNKANRHTSYVSADWCDRYLMDRTPLPINRNPCLVTRRDADKQDMLTRATFWIS SSVIFQQKYLDNTLQPDIYYSAPPNHYCRSDWFQRTVALSPEYVSAKVMSVGSNFHAF PLDMSRYDYLMCSTRVPGVLRDEIKAVGFAQHIIVLYRGQQYVVTVADKDCRPLPDEQ IYARLKAIAELNAAPPDIDVGIFTSMDRTSWHAVRLSMARHQVNQQNLELLDSALFVV CLDDDVVVDYLKPGGATGATRSMLAKETNRWFDKSISIIVTKDGTLGVSFEHSWGDGL VVKRYVEDVFNHSIMRPSKDMPRDVSPTEPVRQLKWCLPPELQHQALKAKTRMSGDMR RLDFCTSLLPGTGFNDPLLRNLRIGLDGLMQVAMQLAWWRLNKSTVSTYESCSTAAYL RGRTDCIRPATIESQAFTMLMDSPGVPISEKRGAFLCAVKKHRTNVKNTRTGQGVDCH LFALSKVAERRNPQRVPLLFSDPSYATLTSNILSTSSLFSNAVVGGGFGPVSAGYGVG YAAGPECLLFNVSCWKECGPIHSAEEFSRAICTAVVDCYDMLED XP_845849.1 MSGGGPSKDTDKKKGVSYFVGGGPSSGQQVDAPADSSSVKGMID SLFRSAPVAASDGRSTAPQAFYGYGRRLGHTQANSPFISPTALQERTVNVRVYRDGYT IDDGPLLSMDSPESVEFFESVREGIVPARLTAMYPITKISLRLIDCMHLDCKSDVRFP GTGRRLDEGTSGGASKAEVNAEMGAVALPVDARPFEFHEGEEQAKIAIVNLFGERKEF KVNPKRHTVADVYGLAAAYANVHLGAFKLVVRDVPPRQLSDESKTVDEARLSNCTVIV RTL XP_845850.1 MIERTDVVTGTETQEGSTVPQEARQFLQIIATMQSESEERARII GELRYRKLLVEEERDKNFMEREAVVAKLADARAQLLQLDVEHRELQKRQRSSESEILE NSRQSQRCRTLLSTCRGDLTVHPMVPNSASELAAEATAAGTSSAAHNAYTEEAKWLKH LFITYGQLYNGTDFLQELRVSMKNLYVEVSKETHNTVQRNLSDWEATCLQSLPHLTAD ERTLLHFQLMLHPFV XP_845851.1 MGQKGRMSAAPKSVGSSIIGGRSVTTMRSSLLPTLPGNFDDAMA TPWPIANSNRRASEYPSSLPGLPHVATTASTAYGSNYYLDQYWDRGMPDVWQNSVVGS SDNMVLDRERSRRLMALQRRKYKELVKAEKEAFMVLMQKEEGSVESINAFTAFRISLQ RKQEQYRAKNGPWLAQSFAIRVEQLIEQEQEERRMIQRAEFRVRDSRQCVERIVGAKM RFQRAIESLFRSEEQYRSIIEEWETSEARHISALRPFVTLKSVRALGQCPFVSVKDCP FHHRGEGVRSNHYRFSVRDVD XP_845852.1 MKTAKVNSTIKKGKAKKVKTTKSTEKTPLTWTFSFHEVKRQQAS LLFIAWDEDDSGRIPRQHLLSILYALFPSANSMGDGKVGERPSALSLREIRDAFLMTV GRPLSEMSYVTLKDVCSVLDSLWASPARRERMAQGCLYSVFTSVADSGHMMTRSALVE ACNHIAGAAISEGMAEAILSPHGIAAGLLNEGESKINFSGFCKIMLPLLAV XP_845853.1 MFAFLPPAKAARTERSPLGSTELGCDVSQLTTPSVTAVSPFPVG QVRPVTIAEADIRVGGVSVRPSIFLSGIGTNSCWMVDENTRLLRVWDMRKPFWHRTAP RVAHIPFFTESEGLPLFVTELGPNDESIAFCTDYGVVSSLDHSVEFQVSTENEPVKVS AFACRREQQATLTAVGTLSGAIFVAIKIDGERFVISFERTSCNVSVRTSTSSSGGSWW SSFLPKRLLSTDSRESNNDEADGSRLEFTLLRFRGEHPTQLWAVNAASEFFLLDIGPL RRRRPKNNGSAEGGIRAKWITNISSVLQRRGRVVAFDETPLSLCCLVYLFSCSRWDAS LEVVTMEPSTGAVRRVVSMQSIALLAHSVASAPLHHTKIYLDESSHMITILSGQCCVF LNNDLGVRNPCSSEDVHMLRNVEFPIVSALLPDGHIVTMGINGPVVSNTRADELLHEA AEDSREAADDRGSRGSGIRQNSDAWKQSRFTGGRDGLNDVTRLLNNLLDSLRIDCKMS IDSAVLDAGEAICLYSTPHKGNWARADLNIEDDNIIMHVTHSVVQRQQEHRRFLLTVL LHKEIALRLQPQTIARLLSMQEALLVMVAIRRLQNDTTHCSSSATTTVEGFEVMTPLY QLASAGSNNINSSLLAANLSQNGDSCSVEDYRRLVRSAVERERCQLLLRRAINCVADQ ARSELSSANSMCAQATSAEIVFGDPSRLSQLLQVLCDNLHETQGSVLIDRRSKFDDAL SVASVFVLVARAIDESREDIESLCPIPQNVRALMWTSSDASDYGIQLHLSSAAKCFSD ALAGSLMWSKSEMETMSAPADPLSEKMNTSELSSWLVPVQDQLRLLDFIAVIIHFTFR NHSEGGPTFFASAMRSTLFREPFLIEPLGYPFGAPVPTETTVGACVLRLCEELALDFV VDDVVSAFCLAEPVENPLQPSGKYDRLGLYCERNPKIFEVALRTLLTQRREWELQLLP ELLPQYEAGAVARDEFLAKEAPQLLWLMRPQAFYSLVEEGARLPSSSSASYFLYGDDL VTHRSRCLALSRLAWVASGSGNSSSYYGLELDTAVVAAQKRFLMPDTKNVVLGPAELV QRLLQLPSPDAWMSATGVVCLVEEELRKDLLTQIARRAKQHDGEVLLQIKREGTSELE VARALEVTAIGRILLAATGRGEGCATATAKACAGIFDNAEQQLLMSWLRARSAGLVS XP_845854.1 MIDETSLREFYEFVYPVDLVVQWLSYNLQHADKIISSPPGSVAN GNDPKVLPSTGEDVTEGGRGSTSSADGYLARREFCFTLLGDIFTRFRSYSSVTELRRE LIRSFPEKIDVGAVYNIRPNQKQKVGTIVPVERELVFDIDMSDYDNSRSCCTGKSICR WCWTWMSCAAAVLRKVLEDDFGFRYLFPVFSGRRGIHLWVCDKRACKMHDDERSALVS YLTVVVPKASQHAVVADFVNGKPIHPTIQHVQATVLDPAFTSLFLNSTPENPNRVTHP KGARIVYKAIVSALKMGTRRDVEQRFLRNVPFEEGNILDWTYVLRTLGDAATNVIAAA QILLMYPRLDEHVSTRRDHLLKLPFCVHPATGSLCCPLEWDNVPTFDPVSDPPKLQDM LLHRHMDEKWLAPLQRMLQEMGRDPAEER XP_845855.1 MSIVNQLTPAERRILNEALENAEKRACNGALAAAEGTQFPLIPG VATAGARRAKPKACNTMERISQQERQERELWMAVERSLEHPFVYRTDSSKELAVNMLR RIPRLKDLSLRDLETMSSTMRIAHVGDGVVLAGNQPRKTEANVETMDYIDRYSLVVNE DTATTAGWSSDVDLAPKAEREVTSAQEASEQGRDDSSCSPSKSSARHDMARYVYVLLR GNVLLRLPFKCPMDALVEPYEMFGLPTTLAALPEDAYYQTCSDCVLLCFPRNKEYVMD GVLKRLDKRLVQEQTTFLQQHLRVKVLTHWTPQEYERCARALVPLRVSWRQMVVEQDM ESDAMYFIKEGQCVVVRNVPLPRGRARYGRRVHEGSVTCFPSTGAKARQSRQLNESVT SFGHFPSSVPRGQQHNLPSQTMKFVEVATLREGEFFGELGLLSHEVDRKPDVEKIRSE AYWRNTLAAAKDAPTDYAPLDGELPLQKVLQPLSSGSPSPRGIEGDPTSFALGLEPTN KFSMFPQPTMGRQASVYTRCPCVFYMLPYDRCRELFGAREYAQLKEFANGYPSREDIE IHYERQRKWSKYRKTLVNDVLNDASNMRQKSHEGCSRVK XP_845856.1 MRSRNTDAPSRRRGGLARAAGLLKRAATRRDSLESKTVASFTSS PPPSAPQDRVSRTSTVGMWEDVDTRSASRYYEKNPPSPIRLNSAFHRSYGGQVGQGFK AARAHPMSATTSIGRFGSILFSGDTVSVPRGRGHSKSPALSPAADIIAHIVTDDLKGS VERSRREVSTDPPCWRVQHDEDIARHELHIEEMEAYSALIQKMMTHRVLQVKAYQQRL DAEEKMWLALQNVHAVIEKEEERRNLIVSKESVDRRNLTRKVDGGECSDEEEPAVEIN PGEWISYAYPLQTEKLRIQESAQRQRMYLEHAIAIHKLQTRMRLALKMLNAARIKKEE IDEKGHPTEKYPVRKSNTNESLLLWNSWDSDLDEAMDQEIYELINILWKNDKVSHSTP TTLNETQDMASTQSPTKRHIKADDEEPEKIKTTEREGIINTHEQRKNYKQRIICREEH ERDKIMENEVNAMFNILRNTNKTQKEEIYEDANKEKIQKKIEEKKKDEYSHEIKENNH QGISDKDNENSTKNNSAETNNKSNETRIRSTSTKVDCAFDLHDQFTDDMLAISMDNAT TTETQDREGLQGDECRERFALCASAIEGEEDASRKDIVCAYDDCAFDLHDQFTDDMLA ISMDNVTTTETQDREGLQGDECRERFALCASAIEGEEDASRKDIVCAYDDCAFDLHDQ FTDDMLAISMDNVTATETQDREGLQGDECRERFALCASAIEGEEDASRKDIVCAYDDC AFDLHDQFTDDMLAISMDNVTTTETQDREGLQGDECRERFALCASAIEGEEDASRKDI VCAYDDCAFDLHDQFTDDMLAISMDNVTTTETQDREGLQGDECRERFALCASAIEGEE DASRKDIVCAYDDCAFDLHDQFTDDMLAISMDNATTTETQDPKGLYFFAETLITFSAG ESTESTFGDKAGDVPYSGFDSERRGLALGAFNTYGAGTSLQNDGLRAGEGVDSLRPFS AGETAESTFGDKAGDVLYSGFDSDRRGLALGAFNTYGAGTSLQNDGLRAGEGVDASGS FSCSLMDVEEDERILLLQEEDRRFKRLLKRFVSRPVNDLEKRRGTPNMRVDVASFTCG CVLERWVIRNR XP_845857.1 MASNITQERYEQLKKERTFHKFTYRGHEIDPLLALTEEEFKALV HARARRRMNRHADRRAPVLLKRLREAKKNVKAGEKPKAVKTHLRDVVITPEMVGSVVG IYNGRQFNAVEIKGEMIGHYLGEFSLTYKPVAHGRPGFGATHSSRFIPLK XP_845858.1 MSDDAKTTLSRSVLQSCSLESTLANARSLTRTMSFVPQIPLRFD TPNKKRKATRDAHLATPTKPSEQPVCMPLLKSGRGALEHKVWNTDATPTEGTTSTKKR VQEIETSMCTTCARHVPEPQRSINMAKALDDMGRVYPSLSGVMLITRRYVKELASALE AMQESHTSEVKRLEETVYKKYEKFFEGKVLQLIRENRKTEAVAKQLREEVFTLRKQRD DELSKAKEIVMTRLNDCERKEDEFNMLKRLVTLVFKTNQGLVNQVEDLSSLLRKHRID VPKIDDALFLYNKPKTSNAINSNEDGVKKLSEQVSPQFIEASRKEMSNSRLTLQRELL NNAFDDCSAYRLQINGLRTSNNNLRLQVASLQGQIVDLEKYIHEKRFMNTEVEGDDVP LTPRPRNLPFAIQTDLGVDLKKSTCGIVAELTAVAMNLKHQLNSSVLALRRLANVLEW IDDENLLKTVGDEGVWGLIPVFPNSMWNEIPHFLRTNVDCDIPNLNWSGEDVSSLLYD FFSRFEALRLACRFKRDSKMFIPRVYQLFERIENYLVRLDATVSEVEERVENVPFGYV VTYFLNNFLRTYTYGSPHQHLFQSGTVAPTYPSNNSAAAVEMEFAKVSYNLWYAARRH KDTQPLCYLFVNVLDGRLPLGLFGLMERVLRNAEAGILKYDTDGSNLFTYNKLVSSVL KVVGDMDAQVGRCVVLAVAETFRESDVPVVGGRINFKDVSADEAAPRADERKVRHRPS YQGQGIVKVEPNSSHYRVGASVLTRFWRRLVIRSHEYVYDIIESILGPFIVESQVVAG VFLLPIVTSTAAMQAFDENKYEPSETYLQRSCPFMRSKADKQRDSSPNKENPQPLNLS ESYDMLRLERTAFIQPFKDISLEAVFTDVLTRVKHIGSSIHFSIDASGSKLVSSPTVS AVKTNHPSPRENKNQRENSEGVKGRRSRSKSISKGKQRANESNVPSPPTSSLRDPTND CVPLSLVVQSDKDVVEWYDFCAALRQTVISIPNSVFSDGDAKTERED XP_845859.1 MPKKGKKNATKNNVKDVDIDALVAAIEGTSVPSKNSKEGMTRKQ AMALEEKQMEEVLTKPVAAAQPLVDEHRLRMSLLAERLMHEKAIRDAEVAKALVPLKE EEKAWQKVSDNKYIRYEQFDGDEEVMNFIVQLFTKELTEPYSSFTYEYFIFGWPDLTI VAYGYDGEDVPDASVKGKRVGAVVSRVSRKHIDSPLRGYVAMFAVIPEFRGFRLGSRL VTLTIELMREKGCDEVYLETPTNNERALSLYLNLGFAKSKFLPRYYLDHSDAVRLKLW LKDPPFCTPVQPATPAVAVAAAASPSTSTSPI XP_845860.1 MASNITQERYEQLKKERTFHKFTYRGHEIDPLLALTEEEFKALV HARARRRMNRHADRRAPVLLKRLREAKKNVKAGEKPKAVKTHLRDVVITPEMVGSVVG IYNGRQFNAVEIKGEMIGHYLGEFSLTYKPVAHGRPGFGATHSSRFIPLK XP_845861.1 MDKDLAGDMKALLAALGKEDDVSCGNGTGTAGSTYPSDSLEGKL DQLLGALRANGSDVWEGKPLQDDLGIRFDFKQKGSSGEQSHKPSAGSEESAKASLDSL MEEYVEAVGKVRMTEGSPDE XP_845862.1 MYRPRGGAKQDRKESAASRKADHSGSQTSDATSPDVSFVNSIVG LKTRLLTPFMKYDKTLKQVVINPEHDRVFRSEVSRVQGVVLRELRRILEFDSRLTPKE ALYLVQKSVMLAFASCAVGSDGEVLVPCGSDEEAEVFMPRLEKIFAERSACAKAVVSL TAVLCTGVVRDNYGVVCQRLEARLAAEEASPANSRRTDVELQALAATKKNTQDFLQFR AALVTAFDSISAPLTSNGSNSIPRETAAADGTTANLVVQSFYSLLGWMLMVQPDRLNL ETTRITPSLPFPPQDISDPPVTVTYYDPSSGKITIERSASSFKWGLLINMASKLIGVE NTLRTATSTGGELFHALQLSEGGLPIYNINGVTIREPGEQEADGDVSEEVRVSRLEKL QNILKSKVTKVSLLVAGVSGMHDPQEVSFNIIPQGGEGASGQEACLILKRPSVSVDWK LKLVANGENQLILDSVSPNISLSNAASEFINTHLGNLHVAQVNGCEPKNNSFLDDVMR SSLFLILRLQTVATVNSDSKDGSVAVGVGNSRRQPKAMEIEKLEGAELAERGGSKSNV MRSAADDEIKNAGNLDSQHRHGTDSDRPLPEGQGTREQGDEETQHEGSEKTEPKKRGR RRKTLHSSETDDRTAETSGEVRSSTVATKETKKVGTTEGEEKGEATKKRKAEKKASEK KKKDKEDARKKAGKKLSKAAKKSGKEKKGGKTKKGKDKEEGEEKRTRGRKSNKAKDSE SKDGEAKEETPEGGKKADSGAGTSLKEAAEAQGVVDKPLVDVKPRSDAAVVVSRKSES KKESSSSTSPGGGDAAAVRIAVAPKGSVAMTPEVMAELKEAPPLTFENAVTLDHFDGM NMELRRPDLKTSWDISMTHGGDKLVLTRLPPVSAALKTHPFMKTLVPDDAGQISWQVD GVNGIDLHNATKSIRLQAMDSIKKSSKVSLILRQLIK XP_845863.1 MYKYVLQEKSPFCKVLRDVLNMFGVPAENVNSGGECFAVVTGPK THSGLVPLVSALRKTAVDAKLKEFVGAAPDVAPLVNQWLLWASLLVSNEPTQTFKDSG CTSFMGHLLLQVEKCIDLSDGKKGFLTGGTFPTIADLLLYVAVHNHPLWTPEAYPCVK AWALHTRSNERVAPLIPNLEGDAFSQFNPVATGGKAGATGGGKAKGDKPVFAKPSDEE ILRRKQEKEKAKLAKAANAAASAASATTAKDGISSPEKKKEVVPLNPNQLDLRVGRFL NVRRHPNSDRLFVEDMDIGTETRTVVSGLVDYYKAEEVEGTLCITVCNLKPRSLRDIN SHGMILCASNETSLRIVQPPEGAKPGDRILFGEAYNKEALLETKQLSGNAATGLLGPL RVDDQSIVRWGDIPAQHPLGVLTVPGITNAIVK XP_845864.1 MRQLGSAVLACGNFNTPLKSLRSITLPTTSSLKRLLRRSQLGAN CSTNRMCFATTTTVMMLNKFGLKSSGDESASDGYNGIYRMESGSNKSGQHALEGVEED LNSLKRRVQQRYSDELRFLSHDSNRQPMVIVLGNHSAGKSTMINRLLGIELQRSGVSP TDDGFTVIQSGEDDITEDGPTAVSDPRYSFQELRKFGIHFVNKFKVKTRKLPATSLLP PGLMIVDTPGMIDTPIHLNDRTSVEGQLRGYDLFAVTRWFASRCDLIILMFDPANPGT TGETLDVLTKSLAGVEHKLLIVLNKSDMYDKAADFARVYGVLCWNLSKVLQMKDIPHI YTTYFLPRGDEFDDTRDAAGFAAFNKSSSTEETAVGGRKTASGSPQKESTSIIARDEL LRQRSEVVSEILQAPLRRYDNLITELEEGVKRVLLAGRVCTEIVSTYRKMKVVATLAP PAIFCVSGLLLAVGGFTEVAALLASATAIAAVFAALKTRKSLVDFENDVLTNIDVIFD RLYVRHEKTMDTQLRWKDTVKPEILEFLNSSSVAGRQSIASLPTLSKKSQDSIISILK NDIPQLRVRVANYKVKNFLRAGERQPLGNEVRVK XP_845865.1 MSERILPSTLRGVTNGQKEVTASVGERVPLLPRRFSARPQGNQE AQERTAVKCEQVRYAIQEVIGRGAFGEVSSAEVVGTRDLVAIKRVIHDGRLRQRELTL MRDHLGPNTQQGGVSSLDVGNGVGAHATSVTGSNGEEANGTTAIDGLESWNMPTIVPY HPCVVKLLDHFFASDPSGVQYLFMVMDYIPLDVRRLHHMFLRQREQQMPIILVKVIMF QLARALAFLHARGICHRDVKPNNILVDQETGVVKLCDFGSAKKMQAVGGEGPREKNVP YIFSRYYRAPELLLGSQYYHFHVDMWAFGCVLAELLCGKVLFKGSSSTMDQLVEIIKV LGKPSERELFALNPQSAGSALIRTWGDSHNASQLSPTPSGPLPSSNSANADYMQRRSA PRVKSLLWVEVLPPNTSQAALSLIEQLLRYTPEERLTSAEVLEHVFFDELFSDDARLP NGAPLPASMFQVTREEAEILPPWLLERMAAAEGVAKGRELNQSATAPENAI XP_845866.1 MTVWLETAWAAQKEKKRCANNSRCCFFFMLSNLSQILWEFVCRS IFSPRCSPIEMYRNAFYMSLLLKSSSFFSFFLLFSFVASLASSFFLFLFWFSCLCDLS PLRNCFLLVLTVIYPVPSTKKTPPTYIYIYIYISITIKYQKGSSNKRDSSPLKGLYIY IYICFRGIERITQKKNKGELRQIRGLSRKQREQKKKK XP_845867.1 MGMCTDRCVGVCKLNLALMHFVGMGEVCVWRRCGERGKKKERNI EDKRKGEGGVHLNCLFCLLMCNMFFTFCASSFVLFYLNQMLPPLGEAHICVKIYKLKY IYIYIRSYLYCFLFLCKCVWDGSICVSEVFYLALDFVLLFVQTYIKGQGGNYTHAHTQ KKEKDNCHTKSVTIMKISFIGCGNMGECILGGLIQSKQYPPESIRVFNRTKATVDRLC ERYGVGGAQSATDAAAYADVIVIGVKPKVVCELLASIQSSVTANKIIVSVAAAISIAS MEKALGSEARKIVRTMPNLPTRVGAGVTSITSNAHVTASEKETIFQLFRTIGIVVEVL ESQIPAVSSVAGASPAYVFMFMEAMADAAVHGGLPRAQAYELAAQAVLGSAIMLQKCD MSPAQLKDMVCSPGGVTIEAVRILEKGGMRSAVIEAMIACTDKSKELEKGLN XP_845868.1 MSGDDDDVLDLLLSSGGENSEVGEEGDRPLNLSIENDDETEVVG IGDRGAAASSEAVQTPTDDELRFIQNKADAKEFGPEVYKRWKKLQRRLHRAHGGEDDR RGKPRKRSKSRRSTHKTKEGKRGSRKSKRNRDDIEHYSADVEVYEGFDTKDGVRHSRE KRRRKSSRTDYRLVDEGIADTNQASSSELLDSFQQDYRSDVLENRTRKGTKKGTQLSS TTTNGARPKKLSVEAQQNLLNKRAAAVVDAMRKARVDDKCAMAGNEPPLQRVRICDRV AAYAHCRVLQRYLIENGILQELSTWLYDFERRELAPYELRTAALDILLSFPIQGELEG TVLANGDEIIDDFTGMTRDHLFNTDLGAAVNKVREDKDEIHENRAKAVLLMGRLSRAM AGGVSRAGRRGWTTSSARSPHDAGEELSGNKKALSWKCQGDPTVAPPFHIVQTATEVF QRALAQPDPLDPLSYLRTPSWRPPKPTATNVSQRN XP_845869.1 MSTHRESAQALVRRLNAPHVCLQPTILHNATGSGLKRGDVLVVG GMAGSGKSHWASRVAASHLSKGGAVTFLFAPGGAGFNMRRFMEHLEAVSGGSTHPGTP SGWCGVGNGSDNFVVGDGIDRVDGAVSSCDSEVRRGVLLEALNRLTVVHCMDVNDLAV FCMHGRATAVTELCEAVPLVVVECGYGRGGYLHHFERAVGHQVPLCHWLFGCLQRRWR CALILVEEWGTASIDCVDTATSTRHSHTNTHIVSGMDELSYLVERESEILRAVQVDAA SWNDARRGSTLSLGGPCGKSLGSVTTPSTPAVGSTNTELCFSLNVGNAISSPSLQTSL SACGNISRGQPGGSFNFNGTSRFYYLYIQSVPADRSPPSMTRSNVLVGRLLQWRRDEL STTDPNIVGSIEVLSEQQRRQKRAKAPRLRGDVLCGVQL XP_845870.1 MDVGSKVFVDQLLSRVSFSDIISSHAHIVIEAHRGSGKKSPAGM LMLVRDDKHMNWASGRNKNSNNNTYVDISATAAELPSRGQPPREGSSQNVPAHTEDIF LVWVPYSYIPRECPKLLPAFPRNSPILGVTVVGKPGDSSTELSHITCIEMKCIDKIRR VASVGGKSVIEVYRLDSAEIVMLSFCQGGLTSFLAEMRVLSPMSQSHLDTNDFIVYGH HPKNLTTKFSSSEEERTTVMSHLNKLRSYTRSSFLNELWGTASGTGTPTALQPPTHET GDTRDMQIMLYGKKDSNPLATVATKLTNVFNSLLEPSPNSRDEFASNVEVDPSLLFPC SDTPPKGDERGDFFHGEGGVAAQISQVELQVPRISRCENLRQMGPRLTANEWNTCFVG DERRVDVERFEHAKIVAYMGGIDSDIRLEVWCFMLDVYGCHTSSTESQRQRVRDEYRR RYEVLTGQWKSIFPEQEENFTVFREARVAVEKDVLRTDRFLPAYADECGEKLCMLRNV LLSRVMLNLDLGYCQGMSDILSPIALLAQDEVEAFMIFSCFIANHCCNDILKDVKRGM EQHLTALRALVAFSAPLLFNHLRIQGADDMFFCFRWLLVLFKREFPVEDAMLLWDVII CCPYTPRFEIFVAAALLKAFTPQILEMNLSHDELLKFVNSASCQLDVRHVIVLSQDFY LEVAKHVTTLGGGMVFRRGRLPTLEEVLQILEGNTT XP_845871.1 MVTSTGLLSRVAAVEKAAEIAKPPPPKVVELTEAAKQLPQHIIG VTDPTKLNAQVSYFQCPPWAALPSVACHLQCTRDGLPLPALGLHRFPFYLFGRSKVCD YVLEHPSISSVHAVLVFHGGQRCFVLMDLGSTNGVKLNGNRIEKRRPLPAPVGSSIQF GFSSRVYKVQLGPPSSSKRLREEQERQEAEEGKRKCVEEDQQNGTLGDTRVSPSTSGA VSNEERIEGNVVVDRTVVESVAAETKEVVTCDGVLETSVQAGAPPPTERHFYHVLVKH KDVRRPSSLAPRNKGEKITRSRADAINLAQAILAQHKERKTWSLDEFVQVVRDFSECG SAKRDGDLGMVESGTYTEGFDTVAFSLKSGEVSAPVETELGVHLIYRVE XP_845872.1 MLQKAGRHGGYATQFWTKRRTAYRTRHEALTAHSVKSIQRRLVL PLEAHDWSRALEVLRARYALLGRTTLDYQRVIRGIAADNVSPFRIQTGVKLLNELRDE VYAGDVKADGVWVTLLWAYVHLGRPLEGYECLLQAKRRVKMSALTWHHMGETLIPLLA EFGMLAEARYVFENFMQVGGSLQEKERMSHIIAEAAARSGSWTEAIQAICRSTGDVAV EKVEPIVTKPTNPTLASLFVAEAPGSTPGVGRVRTPIDSGSLQNKRLTTEAVRSMMYS MARDGQWTLALGCLHELWGRQMPCAQQDSHTPRCASISVDGVAPASVSSTSGDVALQP LIMTSSEMQQLLNALGDQKRWKEAVRLFRDCYLSGCTHPLVRTSHPLKPLTLNLLFSA FPRETRELTLTNSGEEPELSHLDHMDSKRQQISGVEKVQITLQLDVVCHPDQVVVLLD QLLLERDDIVITDCMMGTVGPALVQLGQWDRALHLLRQVPELFPTKEKHLRQHEQLES AETKRRIRQHLVALLFYLYSAVSLEARYYTILHFPHVFPAEMFSSMPPPKELAASLRE LQQQRIEKCEKKEALRHFASRRRSRTVQRTEQMDAELRERLTLLHSKRANAFCVEDDP ERDPRPIPKGLHDTASGWNFYGRGGEMVFMNHRRTAHPFSMHPKVMRSLADPYRGWGL KQNSCWAHRERVKKWNGNSAV XP_845873.1 MASEKGGCQTKNTVRIKGENEEEKEIIPLDQDDIALLKLYGSGP YHATVKELEEFVKTKAEAVNKLAGTRDNELGLAPPVQWDLNSDQEVMRSENSLHVARC TRIINKGQDDAKYVVAIRDTAKYVVKLGNRVARQDIEESMRVGVLVGYSSIHIEIPLP PRVDPSVSMMQVEEKPDVTYNDVGGAKEQIDRIREVVELPLTNPEKYTQLGIDPPKGV LLYGPPGTGKTLLAKAVANRTDATFIRVIGSELVQRYIGEGARMIREIFQLARTKKAA IIFFDEVDAVGGARGGGDGDDEIQRTMLEMVNQMDGFDSRGNIKVIMATNRPDTLDPA LTRPGRMDRKLEVGLPDLEGRTKILRIHAKSLSCEKAIRFELIARLCPNATGADLRSV CTEAGMFAIRARRKTINEKDFLDAVNKVIKGHHKFSATAKYMVYN XP_845874.1 MSSKDIIGQKSAARKFTDNIKMTWSRLLDQTVPHRPLRWVMFVF MLSLYILRVYFCGGFYVISYVLGIHLLFLLVQVITPLADEDLGSEGQLPHTAASPDEE FRPFVPRMQEFVVWCSMMKSVLVCTFLTLFRILDIPVFWPVLLLYFIFLTIIQVGERI RHMIRHRYVPWSAGKPKFVPKS XP_845875.1 MEESTERSLIRVDSHRDSQPEPVEDAHGEDVVLKGGKTRPRPMR FGVRPHYFLPNDIVWIRPPGLPYWPGEVLVADVKSNRITARLFDPPPSLVSSGDADDG LKRDCITAPASAVYFFDRLRTPEDVADCIEQRLQRTKHKVDAYEAAFHRAVMHANRLV RIVLSPEKLQPYSICGVGIVYSLMRTHISAPRQPHTENFMPQTAVIRLRVGLENAVRD LKGFEYIWVLFSFSYALPVDEECTQAKDSATAADDTGGVKGDRAEVAVGAKRQRPRQG AERSAGFKTMLVPPRDSELRGVFATRSPHRPNFIGLSCVRLVDVRGLEVHIADHDLLH GTPVLDIKPYLPFCDAHPDAYAGWVAELDAAGRGGSDHKYDKQSLQVDRVFEEEAVA XP_845876.1 MNNKNVLVSGQRLRLVPYLHHHVPRYHCWMSDPKMLELTASEPL TLQEEYENQQEWLCAEDKLTFIILAPVSVRQGEMEVHEGVCGKCSATAEENVEGGKKI LHSSTGGASQHSKIPVDAATALTTRDGEEGLYVMIGDCNLFLLSSGEGEMEGDGSTDS TVDTAFHILSSDKISSVEPTTTNPTAADCGRCFEVEAMIAEGNFRRRGFGEEAVRLLM SYALDKLRASRFVAKVRANNFSSIQLFTSKLGFTLLKEVPVFGEIHYIKFFIDSDGES WKEEAGYLIGTYDESVERKLRITNLVPENEQT XP_845877.1 MDNESTNEAAINISRQTARVAIDGEETAPHGIEVTAEAEAEAEA VVRSIPQPQATVENSHNFASAQRRLMNDLQVIHMNKCEQFWTRPLEGDLFQWKAVVLG PDGTAWEGGVFKLLLQFPPEYPFSPPSVRFTTKIFHPNVYGNGDICLDTLKDKWCPSL SVESVLLMIISLLSDPNPNSAANAEAASMYVQSRDKYEERVRRVVEESLEQSFSDADD DDATEGAE XP_845878.1 MTQDTARATGGAAEGDRGGTSDASAAAQLSPEELENIDSLTNQQ LRNRSTQLQREIHQLKNEERTMSSELAGLRREVEESQKRVRDSNKLPYLVASIAEVLD LEADDQDVEELNVGKKKSRHPQKSAIIKTSSRQTVFLPVVGLVDANKLSPQDLVGVNK DTFLVLEELPPEYDSRVKAMEIVEKPKEKYTDVGGLDKQINEMIEAVVLPITEKEKYV RIGIKPPKGVLLYGSPGTGKTMLARACAAATDACFLRLAGPQLVQMYIGEGARILRDA FALAKKRAPTIIFIDELDAIGSRRSDEGSKHGSREVQRTMLELLSLLDGFGSTDDVKV IAATNRIDVLDPALLRSGRIDRKVEFTLPDEEARAHILQIHSRRMALHGDVNFEEISR MTEDMNGAQLKAVCVEAGMLALRNERVYVAHEDFAEGVTAVQARKKSSLNYYA XP_845879.1 MQRLLHAKPPLLSSSSQLSQRFQQTSNSVVDVFVFGDSEILFLV SSDKSGTGSRDQVFFPDKVSRGHDGGSAAHKDRGGTLLCELQRVAAVEVKKEQRAAKK CLICSLCGGYCGIAAASTVRVEGLHVVVFFVLECDCLVFASFTLNTATPGPGLEVRSL GKASLLAARWFRNGAGGLACSADAFRQNYVPISSVIDCCDDAAVTGAAATAASVPTFP PPSSSSTLQRQNRPLSMGPHSNSYRGHLAVLRIAFVRVVGWVEYVELVWWEKGTADDR DGSSQQWRELRGFSQVAAGALFMQVAGWTNDSFAAVREARAVHWLPCSYDYEQQYPLL AVLHQHMHVKGDALVDRLSIFALSMRRQSVDQSESDLRGQCSWNGWREKVSGFCMNGP WRLEALTLAHPCFLLHVSDAATSHAPPTRFLSYSKACAGDVLGVFLRPAHVMYPRSSF LREDSAYATWEPVAVRAMEVCEKGGGFDFVLYGECGEVARCPVGGFIESAVSCAVEPF EERRVSGAASGVQRIVAAVAAIRSGGALLFLEVISNASPLGNKGKRPSGDVPVVFSIL ARVVTLCEPRGCNPFQHLSQLVAVRWDGGKGSVVTSVVCDEPDDKTVEGTYSRDVRHM YCSLTHGELLAKDKGALQLKYGETGQRVSGKQQCNSGCLWPRVGIRPTLQFSSDTDIY YSVGAQRSVDFDVLSHCILPPAKAATCGYVLDDGEEHVGGEEIAVFRSGDIYLCRHFS SEPASELFPAVSRSEKNYKWLSKVHWPSADELDMSAALTLLFSSATVDVCMAAMRNRK RVGGGGVDVKAGGNTFDSLDEINLLFVCWSTAIIVTAGGKVLWVGDLRASPSETIGAF PSLFACMLRPFAMYDSSFFFLLSASTTPYVHGDVGSAGVNSVFLLLQVPWLLSESSEG TEHVIAVCVTDVCFLTTDGHPLFAFMPSVCPQQHVTLNHLEKQVAGHTLCRGEMMYCS SGVLRAVTSALLFVTSATGLDLVARFLPSCEDRVDHASRDNTKVVLDLRNVIADECHV DVTPFFTETTLIQCVRLPAGDCQLYAIVISFLSGLTLVAVSHSFHCGWWDLQLVAIPP VASTGVSEVGNKNVPIVAPFVRLQRAPATVVADRGILFCAQDACGGKWAFCFRLTDGA SQECGKQREVGGESLFARLNFLHNQTQRSLGESHTSGETGERVVVTRSAGGPFTFFLI E XP_845880.1 MLRLLRRSIVGSTFNIMVRRQNQGSVSQGALNMRDQQAAAAENV TPERVWALWNEGNLFSLSLAQLQGFLSRCGVRTDPAAKKAAVVRQVEEYLHSKDTTVK GGGQGAASPQQHQQHGQQGGYGRWNQASVMQPETLLDLSQAGFYEGAANMVPKAFQLL VSDTAPDVVVSRVNTTAFPGFPSNTECYTLGASEKDVAIRSRYSKVLQWCCLNMSNLQ MDGELYVDFGKLLLKPSVMRKNRRIVSSYTLQQRLQVNHPYTWVPTLPESCLSKIQEQ FLQPEGFAPIGKGVQLTYSGTIKRSKDQLHVDLDNKGKVLAVNSAWVNLQTAWCTHAK GPDVRLLLRSRPPIRRQDVELFASTPIIKLADDDVADVLPPEHGQLVYLSEDETRLFE RVSDRGVTITVREVKRQPLIILRDEEEDPRVEYSLSAHIPANAAKATDVRAVGLTAFE LAGRLAGLVAEDFVREYGCEAKL XP_845881.1 MRHGASPVASANSWRGREECQKCGKFGHHGGQCLQCHTTPSTRR VSNAVVTHYRRHYATPVMAERAMNAEKTYTSSGAIAAGNVYTSALTPPRYGHLIAAAT VSRRGSEEAAANGAGVELSPCGPLLQCRKSQQSYDSPSGIPYTLSYGCRPHHPISGDF PPSGNAVASPSYMFTSDPSVCSEDVSLGDPSSSFATTTRGRRGTGGAKQHVECSLLSH FPGSIPPQQSLKTDPTARLSVGAVEKELLEAGVEELVGILLELGSRHAEAAQFIEDKA RLMAIRRSHYANTSIATRSRSSSVPVADTSHDSSWAHRREGRPVAGRLPASIHAADSS AVSSENVSHDQRIGSISATPEDQRGKRRVLHEELVEVPSESPLFSVELHPCLRWYGGC RYPTECAFAACPRNLCLSWVRGCCDSESQCGGVHQLPGNCSPEVRAIFDLNHGADRGK LGQQKLEGAGHQQWGHNHRHHQKPYGQSTVCVGEGKRSDTLDSVHEGNGAAVTFTPRG QIISDPTGVPGSFERFVREIPGGEGECNEGKEISSLRGCRGYQSWFCDCDEGVEVRLT PQGALSTVRSLNDDFGEAADPSFESFTADVGLVWSSPLKRSGSCSIMACSADCEPEIP SFNSRTRSASPIDA XP_845882.1 MSGQQGEGSGGAINIAQLPLEQLEELRKQLQFEVQNLSAAYESL RGVHSRFVSNREVLGEYKKVCEAAASNEQKPQEALVCISSALYVMGEIVPSDRVLVDV GTDYFVEKPMDAAATYFTGRAEAVQENMNSIEQKLRVKQGQLGKVVDTMRARQAQLQQ QQQQQAQTVA XP_845883.1 MPTVQPCRWDNKTLPYVPEQPCLLYYLIPGDDVSHMAVPNARGE YPNLIPGMPYLEELLLELDNEEEIEDNAVLPLVEYAPPPLTADAGVQVEWTDVPIPPP PHMMRYVKPLTETTQSVTGSDCSPSTTQEFGGED XP_845884.1 MDGEGCTAERGEKEFDPIFDEAEKHHRRWLQRRTVGDDGNSDVV EEDRGVTTEFEELDESDVEMAVRREAENNKDGDIEVVNEEASPEPEAVEDDVKDDVSG KRFRKCDAVAARAWLKTLGTEDLAHDDNGAPQSIDAADEGEGEKSPSSVRSPVARGDV VGKFHARSLSREGRGTSSVIVDSAVEKENFREIISTLAPLKPLPTGIRPKTTQSSGMG DSGLGSDSGKVEANSSNQTNCMKEAEEEVGDHVEAIREGRGEQSDVGTEGSKTEESIG VEVEGDGGNETTGEEMDLR XP_845885.1 MEVYRRITERVRGALWAHHGRLMSERQFHRILAFVYNNKYEYQI RFDRMEVVGREWGGRVEVVTTPAGYLKRVRVNPCVEDLTLYQQQQLILSAYASACADG RKLMEQAEMNIYKQFLKDLKPIVMGIRDNPEFYTVPENSIETIGGVLQTGDSNNLCHT HRTIPAAKARQPTDEVRARQEWEQKWINSPRGQSWALTLRGKTYFTLHGPQYRPRGAP GAKKETLPLDIPAPYTAMDEKRLLKKNWMAYLDNKHVAEVMWTRVKIADREKRQRLLQ ETGRAWHRPINEEAINRW XP_845886.1 MPLRSRPRYTQQQSDTRKVMDGDALLASSKRRDPNGARLALMDA DSGCASDVLCTVPDTTDDDIVLDPSHGRQLQEVSAAGKRMKFGEHHPATRGIGTDVID LVTDAFKEARERLVEKRRRVLYQVTHPDPSDYTYNGKLVPAPPLSFGRITEEAISLGN KVMLGQHYSLVKQAGMYRDDEEGGSAGHSTATSVEQRRCGRRRTTLYDQHTFFFEIND LYQEIVLVGKACAGKSSLLNALLGQQVAKTSSTPNTTRKLSFYQSVSPEQMQRYLNVK GNGLVKLPGGGLQLTFVDVPGFGLEGMSEQWRDKAIELTDAYLGVRRSVNTLLLCIDC ERGLTKVDLRYFTWLENLHGVFFVVLTKCDSVPHSRVCSVMRQIYSVITKNRRKYRKV FPFIIPTSANDGTNIEMLRGLIAETSGLIPGDRLRKILKAKADAEMRLGLEKEEERIR EARCLEARMAKAYFESTRSAREVAGKDAKAPGLSLVPSTASPHPPPQPPLHTKPSAKE RKFVLSLDCDEEGTVEAVPTPVSDSMADEPLLTFQNDDDAPHIGKSRGDSKLKRRERF LAWRRAHPLQRHASAYGVFRLNTGLERPDTSTLELTYVDGTPDQTDAQLREFDRDSAL KGKVIDLEVGGTSYVGGPVDVANHGAQLGVGHGPGNGDGGAAPGRTTELSAVIGAGNT NGGGNRTLPASSKGGVSRFLNLIDQYAQRETQKPRSKREQAKEARWRARLQKGSGTKF FAEESDGRVAEYKAGKKFGPSLISAACDDVAGRRAQWEAHTYMRQAVAARPTAPWAAL EVLKRRTERVKQDAAMRGMTKKECEAYLRNCGRVTEKFEEFEGEVTAAKYMNETRHTK TLRSQQQMHLNSTSKISYRSMPVGLWKHYGERETYWPTPRVKGR XP_845887.1 MSTTALSSAKNARSPGSNAAVSPGSKLASLREGASTGVAKDEAE SAALGGLAGGDAEGLAAGAMSGLAETATEAAADELGDAASEDQANFFSEKLVEVIPQC NEIEGERDLNGELLGEEAPRTDEGDVNNVGCSSAAESLPQEEVEETEEQIIIRLQKEL REFEAYEKEMQPNLNIYEDIIELRREAEQLEDDRKRALLQIDLGDISSYYDGDVEREV EELEAAVENSYLQACWTKERQRYIARNETDLPEWWRVNVKDLPSRLKDARASFDSSNR VAQDLYRAHEVKVNATTDSRDKAKAEIFNGMKAEIEATKGERERLRQLQKDQLFHLRR GTHVKDQLLTTTKERDIREARVADMESKLVQQRYALNNEMKELNGDIEGLKRLLTDQK HASRETLETLKKQHVAVDSSRGELSEAREKYERENSELMLLKHDLQTVLHYIRVRARE ADK XP_845888.1 MSTTALSSAKNARSPGSNAAVSPGSKSVSLREGALRSDRKSTRE LSPQSELKSDRKSMREISHSELKSDRKSTRELSPQSELKSDRKSMSGVSPQSELKSDR KSMREISHSELKSDRKSTRELSPQSELKSDRKSVRDSEMRSAGKSGRSVEARYGVRDD GDGEWSELGSEVTSELRSKAKSGRRSERRQGGADEFELSESDVDAHGENFFDSSRRSD RQPSSGAPEEEEETEEQIIIRLREELREFEAYEEEMQPNLNMYEEVIELRREAEQLED DRKRALLQIDLGDISSYYDGDVEREVEELEAAVENSYLQACWTKERQRYIARNETDLP EWWRVDIMKLGFRLREAKRSFDSSNRVAQDLYRAHEVKVNATTDSRDKAKAELRQEIA AELNELRQRRERLLVIDKEQRFHLRRGTHVKELQVTSKRRQDALEARVVGEENRHFGA SVELKRTVKDLEMELIQLRRELKDAKVSGESIVQELRKHLLDVTRSGEEVRKARQDYE EENSDLMSLKHELQCVLHYIRVRAREANY XP_845889.1 MQLVASPLETRRDIMCFEKGVECMVVVDPATRKLRIPLSCVYPT RAHQRTTIPSLCLLFLDGRCRQGTQCHQVHAALNVVAALRSQVDYLPICCALHGDRDY VNALDNRSWMSRVVVHVPDATYGGGYIPLARFSYTTPISRLLDALFHSTPQAEGQDGA QWESRAFGTPPSCLVLEAGDITLCRLHVQDRCRYAEECNYLHICKEVARCDRGLTLGQ RPRSWKKPPSSSPSGGCFVVTASGTSMPPPPAAAAACDAPTPVSGGWTCPGSPTGSLF GANRSVTLGDASVTTQPAVVRCCDPSSCTEAHSVVDCGQAHIPFSLSRQVSDIHMRQV SSTFFPSDNLSLDVDPSTSGDCLAEQGCGMTPNIVVVTRNGKGGRERWHYNPYGTNGT DRGIEKGEGRGRN XP_845890.1 MSHPQSHRYFSVNAPAGGERHLALDEKGVLCLTVVDPATRKLLI PLSCVYPTQAQQRTTIPSLCQLFLNGRCRQGTQCHQVHAALDVVAALRSQVDYLPICC ALHGDRDYVNALDNRSWMSRVVVHVPDATYGGGYIPLARFSYTTPISRILREVNARLE SGVSVAAVDGGGHPKRMVLNACDFKICGLHTLDRCRYAEECIFLHICKEIVVDVNNGS GDYSLTSQARDGGEPGPRGAKKGSVFVSVSRQQRVRGRPLSYPTVEYQTPFPLRSYEG SMSYNAVSDINSDCSWVSGRYPTGAYMESRMDAAPLPSLAPRFVQGHPGVPRCYSSTT TSTTTTAAAREDAAMYGAGGCWDRWSSPESGCAPCAFVGSCSDCHYADNNNYSGCNGY AYGSRNGYGSDGPNCYFCPGDECSSTHLCPNGTSSSVPQPVESVSSASVSARAWQHNP YGVTPTGGISD XP_845891.1 MFLPEQSNIPGSVTYVSRAAGIPSSSTTQQYQGGECMGVPSELD AIDGGCAWRTASKGVCASQLPRGGSVAVSVSAPFIHLTDWGDATVSTREISDNAAEVP AARSIGNREGVDGSLTSSVFPSGDVNSTIDLPISLVNSALPNQEKNNMEFQDDCLAHV FSRPESPFKDFSAESEDPFTIMQTRSVTCNPNIWEVEGKDVQSSLIHDAVKVGMSQIT PSLFSPITEAMESAIDKVKVAPDGLNDCRTVGPQQPTEEQRIPVVDPQRSKLHVPLSS IIPTKALGGRVSFPSLCLLFQSGRCLRGASCYQMHIDPQVVQRLRQINESLPYCCAFH GECNANKWDAEANAHRTILIKGAAVPLSRVAYTNGLERFVLKNNASRSLNTCAVCRLH GKPGGCRYGADCWYVHICRDVLKELVATIKLDLEDPGSEDQCKVPTKTESTGNRVVSG DLLGALSQQQNRRKQQNYPTVAFHPSKSPTMSHLHKGRSIVSSERDGTHSGKLSSHGS ASTNTFSARASPTVGQITSDGTTCRNVLVQQRPPVYEDGPLGETRHGLATTMRLHGVA FPVTDPSEEKSVPSPSQFSLNDRQSVTPISGREVSTPSVPCCAMYFPQKQSSVAPCPQ PMQNGHTVWPSTVLVPSTTVMYPMISATGLPVASAQQQMGTDGQTYGPFCFVSGREDG FRNLCLPMYGSGKGNMPSQVPLHVPF XP_845892.1 MHRRTFFLFLFSKPLTQGYVAQLPQPAPTAQRTAWRRCFETNKE VQDMYTPNAERYDEWYRETYGLEDISDGCAASGASSNRLLNDDKNLSETADVEDLRAA EEEVEWCSRQFNHNTLVR XP_845893.1 MKVFAAAAVTGMVASGYFKVDRAVEAKAAAKSPFSQSVYHSYKL VCVQDESHDTKIFTFALPEKDMELNFEAPSCITLRYVDDKGKEVVRPYTPLNLESDKG SFELLVKSYPNSRMGSHLHNMKVGDSIEVQGPWKTMDIKSGQYEHIGMLAGGTGVTPM YQIARNFLGRPSNTTKFSLVCSNHSKAEMLLADRFGQLANDNPGKLFVFHSLTSPPWY WRGYRGHITKKVIEETMPSPNCVDKAILLVSGPPGFMKTISGEKQGRSQGPLSGYLKE LGYPEAMVYKF XP_845894.1 MKALFASAVGAFASSWYNFSSVNCAASKSPFSHVDFRQYTLMNF YDESHDTRVFRFALPEADMSLNLEVLSFVELRHVGKDGSVLVRPFAPINSSNQRGYFE ILVKNYQNSKVGNYLFSLKKGDAAEFKGPFVRVPIKSNRYKKIGILASDTGIAPVYQV ARNVLRAPNNKTEISLIYENTRKEDVLLGNELNELMQTYPLFSPYFVLSKAPSDWMGG VGHITKEMVKALMPAPNRVGDSIILVSGPPPFLDSISGNKENGTSPAEQGDLKGVLSE LGYIQKMVFKI XP_845895.1 MFHKKYVVKGQVSVSKKESKCLWDNIYGIVGEDNLSCLDSFWRR NDSVVRTLWEFSGGGSAVLYSINKVPLVISVNRLSEDADSVCLENQFTIVPTLFMLSL MRCYYKKEDTDFGSLFGARVFCHGPTSRFILSGAHLMLPGIIERRTQTDTPVRKGDLV FIYSLGNLFPYAVGLATDGLAGSNQRGKGVYVIHSYKDGLWNLCFDAFHEYCSSSISV VPSTFRDSEVVEIVSSQMHPVCERTRGETSLNGEADLTDDAAGASCEDERVMKAALSL LEDEDNVLDFALCEAIRELNVSALPLPVGEFVPLLLSNYPRFPNVQMRFDFKGTKYRK ALSYLTVRDETLIISEEKKGEHFIVSVNKKSDLYRTHRRSYADFLKLFHIPLKEEERL VLEQQALRGGVKKGLQRRIKSVEALYSPRGRSLLNIQLFLCTGILLEQGGVVSGTVNG SSDKFDNHKGSGDDDEYLDDLYSKKQLCDMLRSYISSKNILNISGDRSGIPCVLLDEF LGPLVRGSVKEIPITELEELILQKLFVPVHKITLETSAVITGGSSSAPTVTQLTKRGT LPKVLIYTEKRSGNKIVTAVKHLDDFGFDLFSLSNKWKHQFSTSCSIFDPSKGMQNLK PGTKIALEVHLGGNWLVKLKKLLEVELGFPHHLIAERCA XP_845896.1 MIEEVESVYKRAIETIEGSTAPPDPDAPNSFKWRLRPSEEAAIA CNRYLNPPEPWGIKLCCSSTFWGPEKCVNIGRMLILNHTVTSLDLSMCDMQEEGAVRF FSCIKRNRCLKHLNVNGNYIGDRGAVAASKCIGNLESLHASCNGIHDAGAVALASSLP SSSKIKTLNLRGNRLTFLGAYKLVSALEHVVDLLSPELRDAVSAGTTLYTGSEKGLES SLKEFTSAKSESSEKERQSSFGHIMEGLQESESDVKEFNTSLHTLWLRQNDDIPEELF RVLDKILEKRVPQPPKGVMKKGRNSRKKNAS XP_845897.1 MEYQGSPQQEEGDAALAKRLHEELNRSEASGHQEPSDQTGGRRQ VECPMCSSVSVVVGAADGVQQVCERCKATTHGGFASGEDNENYSMQKLLLCNICQSYN RVPKGTFDAILCGACGNQLKAGGSVTENLSPEDTRVRTQEIRVRCPKCSSINTVEANT DALSVRFECGSCRYANEVFF XP_845898.1 MGIVGEYEILETLGTGAFSKVKLVRHLPTNVYFVAKIIQKSNQQ VETDVRLEISILRRLRHRNIVQLIEILESSNNYYIILEPVLGGDVCSLVLSQEGGLAE DFVANLFFQLVAGLRACHQNGVAHRDLKPENLLLTGEKVLKISDFGLSRLHKQSNFHA EAEEYAHTLTGTLAYVAPEVLDGNYDAFKADIWSIGCILYVMLTGQFPFGPTTDACEL GERIKDGRVCEMPKSVSENARELTMWLLSRDPASRPSLDEVATHAFLRQHVPAKNIMA LTRSRQAKILAPDPSEFSSAIPEEKQVANS XP_845899.1 MPRPAPRFAMGHMLPHRSNVGSQFLHTQRHGSRSTWYKKHYFSL RPFAIQRHHGTTPRILLDRSLWKSLWITKLQLPDINRWERVVNSQRVTEDRYAFVEEE GVMHKVNWGLYCERLETELTVTQERLPQHTLLMKAAPSSWKKLDIDISVIRGLSLREA MAQCKLSLRKGHQIVFRALEMAQQGAEAKGLDKEHLRVAHISCYPGPTDKQIDIRSKG YYAWKTKKSSHLVLTLAEDPEMVLPDRTCLPYSSLMSMKRAGLSAQPTVIDVPAITAE GI XP_845900.1 MFRKRYRPLDPAEERSVGVEQALHVGFLRDEQSYCFRWPDAPLT AMLRRSDDSLVLGMQSGSGSGTQNAVVLPPVPRLSAVLAEHDKAELFSSLSTNNTAIS FSADSSSASGIVLNRGKRQFSAPASTCVDDSVFSFSSFQMKELESSGALKAFYEAHAF STVSATGDGCDKVLKVLARRRMEEEIVRLEWRAYYETEKVSPAIQRILLLTVAVPFLD NFESSVLASTEGEAKWQRIIYELWVKWRIGSVKAGEVATASDGTPWGAPLLPGSFVSS LSREYFTTVGPDRSGALRGVRANDAKGADGEGCQVLSSAAVGRRGAYGYLRWRIDLYE PRLRAVPKEGDDEYGLSSSTKLVGAAEVNNIVEEKVPSVLCDVRLGGRVDPLDALALT DIRSDVGTWRQWLAHV XP_845901.1 MSKAPSQPAKKWMSARTLAKSEDATNRKSNTAAPASQPSQQPAS VMHERPTPPPPAPVQLPQSVFFERQEAVDTLSNLYRNAQSVKKIETGPAAFEARDISK VHEELMDCLRPAAAA XP_845902.1 MDGDDGMPPSEHSGTPFSQFEEDQEQEDLLREEIPEEAGESELP EGDDQTDIALLPNDSEYAANTSELEGFGTEVRTTDMELEDSVDPELDMVGAEVAPVTK PSESVEELPLTAQAVDSELADVMPEREQPSYGVQTQLDVEDITEESSQQPTAESSEVE AHQLSPNEYIVSADIEVSVFVTVQPMGFQVTVKAPGGSRGDVYSGFLYECIASRFGVH PESLRLRWRGERLRFGVTVPYEAGPGPREGRLWIDAFFNEGMIPEHLMSIEKDNHYVR CVTVRARLEQIGPSDLISARRRGLTFDEAINEVRESTKPQNYMTISIVHDPMGIRLPF LGGYRLKKDHSRIFRHAATQLSSPGDTTLADLQYGPIVRNRVSRKTQTYGVSRSTQTL REGRTQTARPDYEVDEKFDEAITAKPYFSSQELLALQSTMIVVIQKMYRKWKARRVFR EVAALRQDFLNKAAQQAAEEEAEKRRREEFELRRRAVPRTADDFKTLRKELEAWRAAE AERILADTSLSEAQKRTALTHLTNKEVKLLRELETLRGTVLNNRRMHRFETILQAMTC AKDCGPVSVTTQAAERACELRQLYASFTEPPKTVEGRLDILLHVKWTVKEFDVPLTRQ IVELIDREADLLQRGRTMCSLKGLHTRLENLLKRFIATPEYNPAVEEVVRGPKFGVAD GLDAPKISSSRRLKPSNVL XP_845903.1 MSFDGLRGRLKKKTSAPTTDGLGVSGNSIDLSDSVYAAAVQEAC TNHRSRKRQRSPISDVLTEQLVGEGNAGGTTSTPAVAEVSITPVARPRHLTEEEFLER CVVSEKALGRCVERMSRALVEPAVFGSLEIRDQDIAGDDVVLLGSRVSALPLPLCRFL VQRLQCVSTSSVVSDAAYQEEEYNLLFALGEVEACQRQACVSIPEQLEGLIAVLQTYW LALCLYWRAALCGSVSGNPSHQGGWSYDVYLLQHHALPDTVRHTHGRQVALGLEAWRK ATRVRQDAFDFLALVLHDMLVLTRRLLRGGVSGVSDEESCAIPVDMAKNLFLLQKLLR SRDFPGCRQLYVDLTLGTANWKLGLFSGGEVHMRRSMERIERRRIAHLLHNENALRLL HAVRELMDFVQQHEAVLQDCFFFTFNSDENGPNKETAG XP_845904.1 MRDSGVATATTYVAPVGGHVHPSLQRYMPGQLPKRMKRLCTGET NLKVHNRMHMDSIRTEIAPILPSSEHLRQTLLPSITVQRAAGRSNVTPRAGPKEKQPS GVNESSEANNKKNEAVMGVWCRLPHEDEVVVRSLVESNNKGKHNAFSNEAFYFGSHHT GGAGQLEYAHHNMTHNNNHSVSAWKQRQHYHPDIWHTRAQQHPPPKKEANTTEEMQKH SIHGTQNDEIRGESTHSVHPKEKCGNVEKEMTKKAMDYNAAKNKKYENLSWIQKSTTF DTSKMTAPKLRFELTDYHNTMPITQTAPSTSQYIEESRRFETINGKPLTTDNDKKNIK YARRRYDTTTKH XP_845905.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845906.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845907.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845908.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845909.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845910.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845911.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845912.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845913.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845914.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845915.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845916.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845917.1 MATPKQAVKKASKGGSSRSVKAGLIFPVGRVGTLLRRGQYARRI GASGAVYMAAVLEYLTAELLELSVKAAAQQTKKTKRLTPRTVTLAVRHDDDLGALLRN VTMSRGGVMPSLNKALAKKQKSGKHAKATPSV XP_845918.1 MFTSFLIVLNFFSNFSMLLYNAFQYFGALSFHNEILLIFVLVFF SAAGVVLSEVCRVVNFYFSSGGSVFVERRISVYLSTHVVIAVCDRNALLQNAIFYYMY EKFLVSPVGSSCWRNMSSVILLIDPMRSAYEESYDSPFRVDDDDSDDAGRWESVNWRC QKQLRRFFIIRVPTDGCWLPVGNDGVELTYERKRETVDGSEGVVRTIVLRAKGATDAA TRIDKFVETALDYYIMNLPDVMNEGKVFLELQAPSSCRDEGGLLFKRYPLGCGKTFDT LFFPEKSRVLKLLDDFMGKGGRFSTEGFPQKLGFLLYGPPGTGKTSFVGALAEYTRRH VISIHLPFLKSNHSLYDVFLNPTFRCIGESDPTSLAVEDVIFLLDDVDASSPLVRARV RSGRTICRRHHATLVSDGSVADDFVGVESPVLDSEVDEEEGEHGGLAPEPENPVDLVN QLLKATFGKRYTGKVGTTRGGAENETSLLKWLRPSDELNLSGLLNVLDGAVDTPGRIV VMITNFPERLDPALVRPGRFGTKLRMDYLQLPALLDMLGLHFGAVLRESGESGTDESS DGNDNAGGGVSQPLRAIRTFERGEADSVNTSAPPKLSAYDVARVRDVVAALNDSRKGR EGEDVSGLMISPAEVESMCAVSTTLDEFLLRFSSRFGAPQASANVQ XP_845919.1 MAGIRFAATGAFFAAVGYFSVDRFINSASWWALLRSSGEASAED GGSGGCIRRRLGSAGSVWQRFNQYSTPGAAGVQVLRFLDFVACVCLLDEEDRQRLHSR LCGAPTEGREDLCSLFKLVDTNASGTITYDEFCVLITLLSACQRHLKIAFGAFDVTEE DSLSRDGFRRIINTLMVDPTVQIVERTGHESDVHSHGGGRRDGGLTSSEFLSSNLVKL FFGVDEDARISFDDFWTVVRRIQWMVRRVEFQLYDTQNTGRIKLHQLQKILFPDRYCS TQCEEGHSDEESRYVSSRLNCLKDKFVSWDLYMKVFDVLCETESIVRGMNLALNARDP EGRNDHTSCLCHRDDSMTPIVSVLADTAAGLTTSHSGRDRELDYVEFHRVLQSCDHLK HLTKSDAEEIVEIFDIDGSGKLSPDEFGKMSRMCTSFFMRSTPLFLEPRRNTIQRFVY CMQQLR XP_845920.1 MPVSLTSVTTDGRTNTVPKLRPYQQELFEKAIRGDSIIYLPTGG GKTVVAAAIAHYMRRKHEKRIVFVVNRVPLVAQQAKVLESVLGKGSRVATVRGGKKSV KSWSVLVDEGCDGVVITDSIFHEWIIGSPKAITEDTCLVVIDEVHNATGGCLKKIFEY IHRICPREPQRCASNDGVSEPTLPLLLGLTASPVLSFTRSDSLNKLIDVTRCRIVNVT EKMDNLLQEVPLPLTVGVEYYLSPPEEAFLFYLQRAAVALQDNLKVKKNAHLRAGFAC PVASDGYINRCNEIKVKACSGTSGEVDRFAYSIATFLLCVSQAFVKLNEVSLGSAYKT VMDDKLFKSLQESPESAELVVPILEGLMKLLRESRHKYPERDPGQDDSSVGFDPFEVS SRVQFLLQLLSWIGKHVEANHVEVAGIIFCDTRASVFRITEAIEKIPTLSSLYKPRAL VGKGKTLVDGEEKGMTDAQQRDVIDEFRKGNTRLLVATSLAEEGLDIAQCNLVIRYDS CVSLRSFVQSRGRARRRNALFIVFEHARREIKVAAVAMKAVRLQDFLVNAVRDAIEVG TRRAPRVWEESPKFWLRRFERRHNAPIERKETDCRTDFGSHTHPFIVELTVTIPNNSS PEKIVIPKPYEGKTISVKASGGRVKARQYAEYQLCEELDKRGILIGEDGERARSISTM KMLSNGILVCEEGSLSTSELRKLFSRNPTAIETYDRKDWGPHTPSSVLRDSLRRRRLP APEIHINRSGDETRAEIYVYMRRDEKIEQRRFAASGVCALEEAAMKALKHMKIQLFTG TGHVETTDDIGSRDLAWESETSESEN XP_845921.1 MRRSVYQLSKELPISEDEVACLVKKVMRECPSAFNSQSSRAVIL FGEQHTKLWNLTKDKLKATVKPDSYNEAAKKIDGCFAPAAGTILFFEDKSVVEGLVKS YPAYAEGFPVWSTESSGMAQFAVWTALAEKGIGASLQHYTSLIGEAVTAEWKLPATWE MHAQMPFGKPLEKCGEKTYMPDEERFKVFK XP_845922.1 MSNPPKHYSVESLRTVGLLPAQLALSRKPRLRPHVGNLKGLVYP LPYYAMWRGNHNKYTYNKSTVCLWGEGDTRSMYHQHYAHAKCPTDYGRGGREFEYLTV KRGKMLQKPLPRVQYVAEGSKPVWLFKSWHTPLSSPSMWEREVQYAEHTPEHIGAKRP LAVVAPRTMHRYLFLMHMEKVTITVSPLLFGYGHTIQKAVLDFYRRAISARSPFPKDK VFLFYAIDHITPRIEVTWLDGTSYVPPVLEGASSQDLIQMVMEEAWLAADRMAAEGRV LNPLAIDDYKWDQLVVFKKVRDKEASKGGGRKK XP_845923.1 MTSQTSAVLVAVRVRPFNSREAPHECTVTVTDPRTITLTDVGQV NRFSTSVSGSMQRHVFTFDKIFWSVPGCVLPLPTTPSGHSQQMPSASSIRLSVADSSS THSPSPTESPTVRRSSNSSDASVPCAAPTAEVSVSAITCVRPSFHRLPCFAQTPSCDN QTSVYSFIGPLMYDSVMTGYNSCLFAYGQTGSGKTYSMIGPHDSFSEKGDQRGIIQRL CEDLFDMMRREREEDEGISYNVECSFLEIYCERVRDLLTHTTFHSTNDDYSAPNVTTM GPPSNLRSKTSPSQSLVLTPRQGSSNPSPQLRIRQHPTHGPYVEGLSHVKVRDVEGVM HQLTCGMRERATAETRMNEHSSRSHALLQLNITRVSAVREEEAVVTRTRVCKVSLVDL AGSERISQSGATGDRFEEARNINLSLTTLTRVIMQLTDKQAGKNVVPSYRDSALTWLL SDSLGGNSKTIMLATVAPSAYCYQQTLNTLRFAGVAKTVINVATVNEDQRFQKLITSL REQIVKLTMQVEEGRILDPQNSEIRKLRRDKGELETQLEAMRIKVSKMVPATDLEILR RRVAETEEENTRLHAEKCNLQRQLMTTTTNLREELIRRRSEIVKLQEMLSKKDVEVQE WKRRHHSEVLRGGKATPQSTVQLSRGRAASGGRAASPSGAFKSNNSSKCGMLKTDVAS ISSDSIVEGDADSGCAAVGELQFRNQQLERRVEKLTESVKEEQKRREDVEKVNHNLRF ELRELQKLRDATRGQLEEAQRRLTEKSHALEAAESDLASTRTLLSTERNGSCASEREA LLTTQLEDLRVEYLGEKQSNVGLLMRVSHMEQQLFLSQQEAEIKSRDVGELEQMLLEE TETSERYYIFQLYYSGKANICHAFHQKILLVKSLEPTNSVGVVTGVTRRSSFSDGPSV CVRALRDCECNEGCRRLLLVEEWFNTVLDLAAQRHAMWRWSASALSDEILSLRINFAE GEEKQQRLLRKLETAEISLEEAIAVRNSVQATLSTTVEQCEELKRQVEDLKEELQAQQ QRNEMLITRIAEVQAVRDVFGEPSLSVDDPVSGLTLDGRGEEYQRFSRGSGERSLEDL SSEMTLYKERVDMLSAQLESERNRSHDLQVEMERELEIRQQEVQRVKSSCKASLFEST QIVREYEARLQELQDVIETLRTALAEESNNVDIAQEEVRQAKVRHCTLTTELHRVVEV KESLERRLEDTELQFSTIQQEQQKLKREFCEVERRLLDLSQMHEADVYLNVRCGGEDS EWLEKAAREKEAIEQQKRLVQKLNKELLEAITEQKASLLDMDRQIALMQRGATSHNGE ANLTQESNGGVSQFQGCIDGEG XP_845924.1 MKFHLQSVLLMALDVVKGPYLSCFAPVNPVEQGGDDQPRDVQGD VNKDSSRNKDMLHLPTNAGRFKAFSDVFVPKSEFCRRVMCLVEAESGILYLFYPEEIS GVHYERKTLRYTLCFAFAVDTNLVTATAATVERLLRPYSFVLTRIVEGLREAEQRSGY MSRGLSHRLSTHCPSRGDCQQQQSKNDLIGQPSRSDFHEYGGGVSAEIHSVVGTTSFA SPPTGDEALFRYAKGTSRVNVFLTPPQDSSGMQWTSLEALMGELFTFLGTDPKEGETK CPRPWATENTVSLRLCETFFIPVGHRAPPHLPQQHALDEVPVPVAAYEPEVFEHVDLV MHDVFRIVDGRRTIRQIVQVLAAECERYEDSDELLQRQGRIFSPASSQCAIHSVFAHS LHPVNFPGSRSAPEVGGLASSTASTNAHPRDALNTQRATPGLLSPPSAADICLTSPFP NTVCSGTCFSAPTVCTWSRTLQTSRYLPERQNAEEAANNSISDKLSVWCELELLVVEA LQHLEVHNYVKIIRPIKMKYTYFATNALYSIMSDRSSPARQLLGKRMLLVEYMFHKEK GQRTSLQGSSGNQKRLQELAPEYRKNLEGLNSATRLTPPLAPLSAHDKGVAVDDEISR EQQYHIKRERSSDTALPCSTSLRHSSTECLQDGDSSHDDVDVTPAAETNPDRHEATYT EVLINMAAAAALCALGKFSGSTIFSVQRDMQGHPQWSNAFANWEESCCKSLVEIAIIN GWLVAQ XP_845925.1 MRRSVYQLSKELPISEDEVACLVKKVMRECPSAFNSQSSRAVIL FGEQHTKLWNLTKDKLKATVKPDSYNEAAKKIDGCFAPAAGTILFLEDKSVVEGLVKS YPAYAEGFPVWSTESSGMAQFAVWTALAEKGIGASLQHYTSLIGEAVTAEWKLPATWE MHAQMPFGKPLEKCGEKTYMPDEERFKVFK XP_845926.1 MSNPPKHYSVESLRTVGLLPAQLALSRKPRLRPHVGNLKGLVYP LPYYAMWRGNHNKYTYNKSTVCLWGEGDTRSMYHQHYAHAKCPTDYGRGGREFEYLTV KRGKMLQKPLPRVQYVAEGSKPVWLFKSWHTPLSSPSMWEREVQYAEHTPEHIGAKRP LAVVAPRTMHRYLFLMHMEKVTITVSPLLFGYGHTIQKAVLDFYRRAISARSPFPKDK VFLFYAIDHITPRIEVTWLDGTSYVPPVLEGASSQDLIQMVMEEAWLAADRMAAEGRV LNPLAIDDYKWDQLVVFKKVRDKEASKGGGRKK XP_845927.1 MIDPFRSSFSGSTPFNGGAIDQLQHMQQPVFSLHSEPLPPLVSN VGASHNGGVEENTTLHMTVTEEVDAFDEGQLEKLRRTLQLLEGISQGRHAVDWKGLIN PSKPVESAKNVSSMLARPTTLPSAIECVAKCITTPEHLSIVAKLDQPFRSQVRDAVLQ ELLNTLTTERDPPPMPVCSEMLAEMVQLNLVVLRGVSRTLETLLSDTNTRRAAIAVLG KLADRSRGDAVFARAVQNLEPLVRAINDTEYEYDRVAIARLLRWGGSEREASLVLERI IASQPQQYQVTSMAYIGQRDELASATCDGSVVIWGSPNAVSKEVRPATSIDLPQNYVP VALEAPPNGSYMVVAGMPISLASQQAMMKASGSGISGHNWEKRRQASPSRVRAPLLRF LTCNEGTGVWMSGDTTMRKENTALTAAVALPNMVVCTAESYPSERSSEAGIQHNLVLL NGCAPQPLGVFEGAHEDYITVLRVGDESGHTLFTGSRDCVVKMWDIRSNSTRSVFSLG SATAPHAEIPLHRLENAHTDTVTSIFPHRKVLLTASLDGNLLMWDIRQLCAPVHEMQL HSPILDVAPASGGFMVVSTARSLNLLSLETLKFHDIVPNVSYTQLRTNFDGRVMFGAG GTGVSVYSVRD XP_845928.1 MFRVRRLAGFIQCSPCGKMGPLKQQTRRYTPIWKSDPAVDNVAP LRDEDERRTLWAEVGPISDVGSAVTAWIRFGNDPVLHTAVPTMLGGKFRNQQREKESL LPNSSSPFAYVEDYMGTNLVFGSPVHAKESAAVWATYFERRYASRLRLSRRTVANYVG LINSPEVFDDESDRPETRWSQDTFFRECAYLSEKFLKEKVSNMQQFEAALKRASPEAY LAFFDAFQQQTQTQIPLPSPSVWHYEGERRKQWAEKFISISHKAQAFFKDVLSEDVKK YQEVPGKLLQKVKPVLADVGKILVKRHERWLKGRVWTSLTEEEREAYCMKEVKRQQMQ VEDGEFDPMMEDDVDDTELEEWQREHDAIMELMNSPIDGLHFTTLELWLHAMRCEELE TEHIYTSARVRAVQVAARKKLYDTTSYEEVIQAVVESIARGTLDLGAGVLRPHFNEVW CQLNYAKFGSSTITQHTTTSRRQLLFFHAGSLKDIAATATLYYATKPLSNSLDYASPY KYRRSLITLCSNYGVETAYTTQRPLLRSAANLARAEDLIHAVVTAAAQPFGERRRAAT RDLHMEFQRLAVPVERVIVANPVSALLESGADPDEKPVEGEKVNMWPLGAKRVVLYKW SAPNVEKLKAMESDAAPAVSGSSLTAERLREIQELKRRGFLEVSLWRRVTAQERKQRN EIVEAKKKQVEEVVRTVPSLAHLHQYATSLYSRIEERVAFPTETSTVTDTTNMKEETN KPLEDSEWEFAVLLDDRVLLNKEESVELYLPYRDANGELLAQGEYRALVRAFDLEANP NLHPAYCSVGYSESFHVFDALPQLIAQFFRVKDATAEAAGVTHIPAADFTPFCAFLRD AGLDVPLRCEFEAGQAVTTDGDVYMDYFLQLLRGEAFHQSHAQAGLTEAQRAIEPLCR AHWVVHHPGADESEWATARRSVLDHAMQHEREWWFPNEMLDVKDVVTGSTNGLTPQMY PAAVRYGVELCTVLTAEGKFVDERGSGLSARCVVNGTGAAESVVFDTANCNGTNTTSV EDALRVAHGALRSAQDRHNTLAAFRLGPLSKQSQVLLFCGVNAYEFGGKYARTYAYAF EKAKKELEVTAASGFMAPSLSHEDIERLSDQPTTSPSVDRFASTTHPEQRKAQFVPRV GPGSTPLEDPAADQKSEWS XP_845929.1 MFCFFQNRWESGLGAHLTEELISSAFSDFAPYAGAEQQQTRFVR RQSDGTTTRVAYGEDGGWSVSMGNPYAGGISFATTPDLLCDMQLRIPLKLARDVVEQN SERGIIGSADNCEVLPVSRISLTPITTPYDSRSPFQYSVPPPLGPTGCFGMVELLYSY VTHVYGAGLRVGPLSLRATASPGGMPSIDNSYVSALGQTACLVDGSWCAPLSAFPSCN LTVALQHPFMAVEGDTLAAICLESSAPKTPEGQGCNNFRLLVVQTLATSNRQNKQNPS DSHSHSFSSVLRGDKNWRELGTLVAVQKSFRNQRFRLSAAAMIHDRELDYETAALADV TAIFSRPTVLRLGFNRARRVAMGIATEILNGLTLTLGVHYTAGDLRFGLEAAL XP_845930.1 MLRTNGKILNGKTPSNVVAKSSGVKKKIVKIKSSSAPKPVESAL TGNYGRVLFQMAICIAGIYVCFGFWSIKQERIMTKPYDAVVVVGGNTTVVSTKLSTVF VLGLVQVVMAVVVSCVLLLAERLYAGKSQKAEKEETQKPKLEKKKKGTVKPKNGEMVN RREDKKVVVGRTASNKPKRKTEEDNTALSTGADKELEANKAFRNAVLIGFTNGFASML GFAAMRRLPYPVVLATKMSKMVPVILVGFFWHGTRYSLSKCLACALITGGSFCFYMLG EAGDESQALKSKTRNRSEVVSLFGFVLLFVNLLADGFTNSTQDKLVKVHGWTSNKLMF VTNLSTALWIGAVLLLMECLQPFATAYLSISEPVTFSPSFAAFHPLLHRLDESFRWFL RDVAPFNDFSKTMDFFNRHPEALYDVTVMSVLNAVGQMFIFRTISLFGSLTLTALTLL RKSSSVVLSIIVHGHSVTLEQWFSLAVVFAGAVWEGLIHARKEPVSSK XP_845931.1 MAEGVPAPSSSFAQKMHQLLQIRAERQPLAEGYMRCPLNPTHQV PIAAIVTHLSKAHQQDSLDIAPDAMYGVNTRSRRSEFLKEQLQRMQPVDESDLSESGY SNHRRKRYRRSSSQSYSSDMGSSSSCCSNGRSNVSRQSNSSRSSGKHRHYEERPYNNR RREEQPTQRSFHGNNTTNWHDPSNVFKRLLGRYPFGASINKQRLTEFLICFGPLQSFN FLANGTEFVVEFESSVAASKCLQAATPNLLIDDVCVRMYPVPLPSPGVAPEFSYGGAE IMRTPPPWPDDAAPPTVVSSLPAAQQNQPWPPTDITPSVAVGVGAGSKSVGVPVLSNV DDLVDAFTSVSSARITSNTAILSCAVRTTCGKNVTTEDIWKELWHFGEMRNILLVGPR VVVEFSDGRGVRRATQAMELEPGKFKFCSLLGEGEGR XP_845932.1 MWNEVSASEVEALLGELRDIVSDPVVMSVTKSGEDKQLISEEKT KPKAVGRVGFSTYASVTESRRTVVPLYERLPPITPPVVCSIRDELTMSGADTLRKGFL LLPNLTGDGEGMPQRPMSPNEVRRLLCCAESSARGGAANNSATSPTAGEGGDVKDSYR EPNFLPIMCARHICPGGIVPRARWGHTLTAVQGHKLVLFGGMDLKEGDTSDLFEYDAH HVVWDPVFVADGVGPAPRTNHAACAAEDRWLYVSGGTTARGTIILGDIYRFDTWTHEW KCLWEYRGIGTSASNSTSKNEPTPRFGHSMLHRDGRLYIFGGRALKRNQSGSRGDAVT CMASTDVYVFSLTSGKWKKRIQAGKENTVSDEAKAPGSAGPCASERQESENAVELPPT RPTVRFHHAACIMDSTMFINGGVDGDSVTLADTWALNLKEGRWIRLHDGSTADAHPRE KHHLFACGGCLLAVGGCSASDRRSLVSRRCRNFVAVLALESENKLGSVSWFPLAMGNA AAVVPNRKSFGAAFSGGFVHVFGGICGCEPPSNTMVRFLAADGYFATDSTMVPSSSAG NKEGAISMMRSVRESGDFVTYDAYILPRSWDGDVEVDTVANKPFGVHRALVQQRAPKF WEDVLRCRTSVAKSIISPIADASSQLVNFACNPGLAESGNADNPTVGSCNRAGCDEEP NQAHVTAYYTEGNSRVQGLSVSLTANQLQCLLDYIYWGDMHLSMLAELDDDNVSNRGR EDLQKTAAQEEVRTVQSLMRAAEVYELPLLHALCNALSSGNIKELREARERCGEQLQE DLLHLLETSRGATATVLFVDPHTKQQVSCSLHPFILTASNSFFKDLLRPLLTGEKMAF QMGSVSAKLCAAGRYAASKSRRGIVVGPVPMPYLAVQPVLCFLYTRQLQIPRESVFAT MLGSRLLDVAPLQAYCETILAREEVNYDTALHFFSLAGRYQAPLLQEIALLTAVMGYG VIRPTMRTVDLSEEEVKEIAAVAEELGTDTWVPPPQTSTVAKTREEYQARWDASLP XP_845933.1 MTGPTRALFLSSGINLGRLRLAEQFSSMNGWQSKEDPAFDAYVK ERRRKENYEAFDQRVERGYAAAAKLHKAEIQNAVKRRLKSSGAKFTAETLREMSSAVT ERLAWLRDVWAQIDSDYRSGDSARQETAAQEISAALRGEPNDHMRWVYETKRELRFAG PVGRRAIQEELQAAELPEVLDEEVNRYHDLKLNMMEIEREVKAKYGVAGQQHWAELQA AKDEEYIQKLDEAAEVYKQLLDQSARLDESRRSELQRSYVERVHQAQVRFKAAMELEG QREQLIEAHQAMKEERMRTEREKRRQLLREAAELRAQGKESADVLTALKERQLDANAK RQAEYELKECEDILKRKSEMLDMIAHFKHDVEEREGREMLQRQKSDEERQVNVFGFYE EVGVEDGLSISSEGTTSQGVSSGLGTVSTSTSCAKSADSNSSAQPSQKLRKEELWKAI NADTYEDPFRTVHQARLDAVKTYDPAYARTFPLNLVLGRKYSRQGAGEMAAGNETDKQ ILQKGNNILYSFQWGLNNGTVHDLDADGSTDYFMDGAFHVRDKETGDIDWRYEKKRGG PVFRGPKFYRLGAQREAADPGERAMDPTPYTSTPREHKWRSS XP_845934.1 MAKRREVADDSDVYVLEFQKNYSDTTRQSLIVSDEVPDSTLLWR NMVMFTLLKIIGSYDSGAFSAAVGAENGIADEWGLTNLEQGALSASVFLGCMVGCPLA GHLFSQYSAKIVLIRVLVLHIFFTFCFATVTVYVISMVSRFLIGVTLSFIFVYVPVWV DDFAPRDRQSVWMALHNAGVPVGVLGGYLCGAILPSYTRISWEWAFYSKCIFTVPVIV YFLRVDHRSVDRNSSRKSNVQGSLGIGHGGNGLPTNGTESAVRRGNENVFDRSSGARN LVSSACDAVLHIWKTAAVLLGNIEYTCSVLAMCSLYFVVSGLQNFMTQYLHAEPFNAS MKTIMVGFGTAIVASPIGGVITGGVLLDRLGGYQQNTRRVMIFTTAWGAGAAFFSVLC IFAGSTSALLVLMSLMLFCGGAVVPSGSGRVMASLPDTQRPAGAALAQMVYNLVGNFS GPLVCGSIAQWMGDLKYGIRAVFCCSVIGLVPMVILLFAADRHPSGVCAMSSCGPVST VVEERLEVKSSGVVVENGNDDTVDAK XP_845935.1 MPAAESSTLIGFLQQLRGTQVEIEMMNSTIVTGEITFVEANMNT YMRHVKITAKGKNPEMAETYMVCGSKIRYVILPEAMNTDDVLVKAAAAKARPGSKRTE RSNE XP_845936.1 MDVAALRRLQHRMEARGRAAQSCVVNCDYSRPHCTCVPRHQHDE GGGRDDTEVATASNHINKQGNNGNSSIVTLSGAARSWLVQRLEDIEVDITGGAAQHSR AVPCCCSCCQGYHHSYHEPKEGSNVYAGCLNGKGSEKGQAATPSVSEVRADCIQQQAD GSPTVADGRINSSSPAPRTYDVPTLRGTQNVLKPAKSSKLLHALLRNAQMVAVPAKAR EWENDPESEPDTPSRSAGSTLESAKRVFRERKRAATVPKTHSATESTRTSAMRTRSSS LLPTDRQHRTATETATEGYSQPPSVTVYPHVNREVSQRPVGVSPRDAATDTSPLKSVV ERSVQCTPLVTSASTQRALALSVAIQTEDVADPSRSFPKGSSGSLAGKQLQQSSSTST NGPATLSAEVTSPTSRSGASESHEQGSAGRYVSNTKATTATMEPSPTEVINALREKLL LEKADAYVRLLEQQVSGELHTKGRVEEEEAHARSHNQERILSLLSRLHQEDREIMEAL YDAVNEKETLEQARSLVASSLPVSSPSVSASASVPPPGQSFGDVSQESMQTSARPVYG KNSFPEEVLAAYEQCSAEPSPHPKPPAGMTPLTTAGSPQTEVSQGSMRAWVDRYFSDS LDPQHRALLHHVLLSREAEVRRAEAAESQCRKLKSRLCTADAPSLMLTEGSGKHESQK LSVPAVSGGNEEESKVPDLRRDGSGIKSSELSCSMPPPAPPRTENLPSRGNDRAVNAC GANPSATSSSPSRAVNEEELQRWKSRCDAIEQQHRASVHRLAELQTYIEQLRRDAHQA VVKVATAGTVTVPTAPNLPTTTLPQPNESTVQMSSVRDMWSPGSGPTRGVSDTLSFTQ PPSSTCQPHSTVAPLSTFERPSKPATEMGPLSGVTPPTSLYPTSVPTTTTVQTSTGNR EAALQMLREELDAECSRFADESRRWQQFVETQRISLQKGKGG XP_845937.1 MSTLSSWLTGGKTGGGKESEKEDAAAIAARNELLNVIEGAPPSL VSQQADQFAFLRSNARHKIADVVLALTAYTFSGFIHMYGQSTALTTLSMWAAGTTFFL NMQVRKVFELEDETRRKQAVIDMGLQEKNVFQLEAVASWVWMLSSLQQFKIHKRLKYC GYSSWTALCCCTYFTFRHMYQMLLEA XP_845938.1 MVKTVSSLNSVDKLSHGTVSESSSRAQGQCPQRVLRELRDYLSI TPHNEADGLTEAYLLRCLEATEHSIPKTVQLLRQRRVFEQTFPTIRLTPQVLTALRSG AISTIGRDLQGRLVLYIHPKCFKQDPLDEQETQRLFVIVMEYALGCCTANQAPQQVLT TESPRVVHTDRRTSASEVQKQQIVVLVNEEGSSWHSSNMALSRAKSLLALLTKYYPRL VVQILLYQPSCDVKLLVKHVLPSGPAASGALTAVEVVTPNHIQKYISPTTLPEELGGT SNFVSSSSSSAFADAVLRHWFLETSYLLTEDASSRPIWKLPPCTVAAAGWSNLLARAS AAAVAKCGTTNYVAITDGNEGADYHVDPLSGEVNREQLHARVDNWVQESHVMYSRCRG QIRCSSAEAENDDGGGSILSDVHFLSCREAELSTSDVWLGVDGFNSAAEREALLRELQ NERNRRFAMEKQLLNSRTSLGTTTQLDAQVTEKIEEALKTARVSLNMLVMNVVACAQE SEACGNPPTLRQLLDLTLTTIEGGTSEVQKVSNMKSPKLVKKKKEDKSSCCTVM XP_845939.1 MMRQEKDKQLCLTADELLASDVVDCISSMMRSATKPQVREALQG VEHEKNGTALHLVVCEYTPTQNLNNEEAATTTCVVAAEDLTTVFFRLMATVSRGVTSP KYMGTGPMTEGSKNVDGGSSMETEGAAALPRVTRCVFITSASGGNSAEDIRNAPSSPR PAPLTLSQLNVHAMEMDQPLGDLQSLLQRVYEPVLRQRREDALRYNLQEFVNSMQCRY HFLTRVNLMQFVHPKLLTVCSVNPTADLHELVGLLHEEGDDVDLDDVFAIWSPCRNLL NVAFEADTADDGNAARPQVINLSEVVGGAPHHGSCIGDNTSRNHGNNNNGGVLEEVSY WQEVRQWINHIRRQLRSREWRLAHYLLRHRVPTSYEREYELHSKLAHSYEQHAKGVLQ LLPHINDVNDITTFLVNALRIIEAAARPGAYPRKRCTHFVNCVVHNIMEQFVALADGL DVLHIQPAEKQLQKIGEMMKAIVELQRCLVDGVLSARIDQRDVEGTTVTETRNSSVAG QWCTEVKNLSGFGRCSLLLERMKQLKDFLNEHFHYYRTLAQTFAEGGGSGSTTTSFCR HLAEAYSRFTADVVERGCLWDVSPTGAGKYQRIRDSYSSRVSRIDDRLALHVGISLSN EQERDTYNVTTSTVKANTSTEAKTSDPRRPARGRVDAPTAGGSPLYRAYSCFCVFRRE KVLAVLASFRTALREQIDREINDICEEYFGKESCPHIVRATVARLGVTEDVGRMLWER SLQRQLETHMERREEISEHGWRQLLLLRTLEHRWCLNESLARFFGARLQDLVVEGFAA GSEVHGTAYALAFLSLVHSKNELRWSRDPHIQSARQYLDENSPQSLEKARLRLVLLRK GSSWGSKQSEPWDVLNFRDSIAQKLNEHVERWCNIVQSKFLHVDMVEETMAMKGPFLR LSYVERGVGTAGGNSDKNNNFHRNNEGNIDGVSRGEQVLVPNIPQEMYAFLRDFYTLE SVQLQEKHKQLFGNIRCFFLKNEARFRIANSFRDLTRVFEEAVGDEEELMAHLITDEY AQVYGCLVDGAKLTWLEEDKLLEFIQRLSCRVYNFCSAASSVRTAVDDVERILQQLHS QTFAAQSIVEKVEKLCGIVKQLGSEGSSREWYVQRIQPRLDAALLLQLQAHLHRWTVE FLSMGRDPRFLDPASCTEEYKLRPLRIRMRVLFKGITLATPEAACRYHWLNILNNSFA WLHAIPALHSSFRRSNHQHPTATPLFGGGSVVEVTAETVYGRLVDCLSSEVLAEPMTA IDKSIQEAFDVEKQWRRGQQLLNLEVGVLQQKLGDDLVKWEEALRHIREFADTLMNHT QPSTLLGGILIVASDAQKELGRKLDNMTQYINSRYREVVSKHLELCHRRITEERTDVE KCDVINSIDDACMFICELPTIYTKLEETGRRIAPLVAAEEYLKNQGLSLPDSGVCVKK VKEEHQAYKDLIARKVKAVELRRPFLRENAKQKGKGLQDDVAELEKSLAELDKQIQLR ERQDKQHLEELADSMQERFYDIQKQILVLEKQHDRLTLLCKVLGIQPVDEVRLQSVAS RTENLRWVFGHLLEVCRKLNRLSQTPFSEVDPQRLHEDIISLQAEVQEFPPGVRGHPA HNELVQLIEERLAARWIIHELSSRAMMPVVRAERHWSLLRSKLGTQWKLEELTVGDIW RSDPMTRAKIYYEVLDVARGEHRLETKLEQITAFWNNFEFNTTIYKKKHVLIRGWDAV FAQLSDDIDTLQGFHLSPFYSASHIAASVTEWESRLNLLLKVLEVLMGVQRRWVHLDG LFSSNEDIYQQLSSEGMQFDRVTWELWNLMPYRSSPFGSTETAADLENVVVRTQDFLE EKRLLSSLERVDGQLTRVQRALNRYLDRQRRLFPRFFYVGDDDLLETLGNSNNATLIA KHLPKMFTALARLIVKDMGANGQGSAGSAAADLHIVGFACEEGEEVATNRSIRLEGRA LHLTLNEVEIVMTQTLRQLTVSAAASLADAGCVTTEWIEAFPLQVVCLAFQVWWAQLQ EQALATWKAQQKREPSLAVSRMVSLLDQLALEVTAVGVTPSVRRASEELITLAVYQRD VSRLIELKNVTAAEDFEWMRILRLYVVAPDTYSKKGDAATDVGRPRKSGVVLANEVDY PEVHCRMADASLIHGFEYIGWYRRLVQTPLTDRCYLAMTQALHTRLGGSPVGPAGTGK TETVKSLGAQLGRHVVVFNCTDTFDFSAIGRILMGLCQVGAWGCFDEFNRLEECVLSA VAQQIRSIQEALRGDLHSVNLSRQQVPLKTNVALFITMNPDFADRSQLPGNLKQLFRT VTMVAPDREAIAEVMLFAQGFRTAESLSRKVVPLFDLCREQLSRQSHYDFGLRALKSV LVIAGEAKHADCKRNAVHVPETASSATAVEDDSNVNSRECELMLGSLINNIVPRLISE DVILFYPLLRDFFPGRPVPDVFESLLRSTIEEVCQDTHYTPTPAWVEKIYQLYRTRKT RHGLMLVGPSGTGKTSCWKTLLRVMARLSTQEGHNDFDEGDLASPSRRSRGRPLEAHA YVIDPKAMTKAELFGVFEATTREWKDGIFTDILRRIVNNSLDTNSTQQQHWIIFDGDV DPLWVENLNSLLDDSKIYTLPNGERLSLPPSVRIVFEVQDLRYATPATVSRCGMVWFS HGTVPVSCLLNRHLTTFLRAPMIDKRGGKRIVEVCDDHEEMQSRLCGGHFTLHRAAAS SGTTTLASTQRELTTSDGDVRTSRENNKAHDSLTEDALNRSARNSENVPESPPPRTPH SFVSAERDILELQVFMVGVWTTAFAKGGLLERAFEIIHTERYRGQGIMEHNDLQILRS VQSLLLDGIWSMWQVREKWGALPTERVVRSYAEKLLNYAVFWGFGAALNNELRRQLLD DIGFQLLGQPRDLTLMDVEPDPVHGTWRTTRERVQPVDVDPEDVGFSDVIIPTVDACR NRTLLEAWITGGDAVILCGPPGSGKTILVTSLLRDSFTHESVFLNFSSGTRPENIIRA LEQYCSVHNHAVHGPIMTPTSGKRLLLFCDEVNLPALDQYGTQPVVQFLRQLVERKGY YRSRDNVWVTVEGVQVIGACNPPTDKGRVSLSHRFLRWAPVLFVDFPTEESLHVIYSS YCRAMLSFSSRLQRYHPKCLAAAMVDVYTASQSRFNTWQQPHYVYSPRELTRWIRALR GAILSWSDQQRCELTVEELVQLAIHEGLRIFQDRLVQNEEREWAHRKVVECFARHFTD VTSSPAQPPLLFSSIVNGVYMKQTKSELVQYVEEKVRTFCEGEGDTELVVLDTMVDHV VRIDRVLRQPSGHMVLVGASGVGKTIITRLVAWMNGMSVFRIDVNRGYQLADYERDLR EVLRRAGCGLERICFIFDDSNAMETGFLEYINALLPSGEIPGLFDGDKWAKLMDDIRA SVEEQQSLKATSGTTQHLPRVLQHHQHEREGNELHNSARTSLFDRSSTMNRRDFGAPM TGGGYDFDRHPLLLNETAGPMLTSDYIDITSEQVLYRWFVNNVRRNLHVVFVTDPSTK EFADRVVTSPALFNRCTINWLGEWDRTTRAQLAQKLTQNMDVMFSCRESFSGSEDTSR KALTEALSAIHEATEEVNSTARQRNANSGTFITPRHFSDLLQQLKLLYEEKKGRSMEQ LTHLRSGLAKLATTSEEVGQQQTQLREHEVLLDERSAKAQAMLERIVSETERTKQEKQ EAEQLRQQLKEEEDLILADRARVEQQLSEAAPALREAEEGLNSIKQEYLREMRAYTTP PTMVKRVLETVLVVMGERRAGEWDVIKHYVRRDDFIASVKAFQARDVTEEAVQTVRGM LQEDGFTYEAAMRASKAAGPLLQWVTAQINYAIVYAAVQPLRSRIDQITITQGAKQAQ LERTETEISTLEMSLQQLKEDYQSMAEEIATCKSTMGVIASRCDRATKLLQQLLEERD RWGAESLGFDSEVSVLLGNCILAAASLTYFGYFDEYTRQSLLLPVWVQQLKNFKIRCT EEHSSGFVDYLVTPSQRLEWEQQGLPKDNLCAENAMILHRSRRYPLLIDPSGVATAFL QKKHKDGTMRTTSFTKSDYLKQLDMAIRFGYALIIEDAEFMDPIIGPLLKNEMRRVGG RTMTRLGGREVETTSSFSLILITRDSHYQPPPGIAGRVCLVNFAVTLSSLESQCRHRL LLHERADVDEQRARVLKVREEYSVRVRVLEQELLKLIAGSEGSILENNTLTVALERLK GETKALKEGIVESDELMRSITAVEEHYKPLATAVSKVYFVLRCFSQLHGLYQYSVKFI FRVLNDALDQLPPPSNSNGAQQEEAPAEKKEEDAARLQVLTRHVFYLLYHRARRGMFA QDHLVLATALGKARSGIADPIGQQLTIEEWNLFESALGGPVASTGVSIPAESIKGADR LDQLPKILSGCRVCSPSSAAVLAELLKLPLFEQVRVSLNNPEHGEAWRAYFAAVEPYA ETIPPFSRKVKNFSADDANCSSVGGSHAVGPTRRAFITALLLLHTRRDAFVPAASEFL RLFFDGADVAVGDGRGNCGESGYRAIAGHDGAKGGMGDFDSFFSAEVLDLVAIQKELT NTTPLILVANAGSDPTVSLEAVASAMNVQLHTAVMGSADSTEAAERFLTTATVDGAWV LLKNIHIDRAFADVVVRHLHRVFAGDQVHEGFRLILSMEAKAQHVVGNLGERGCGGDV INARSPLDLPVSLVESSIVVVYEPPPGMKASLLQTIGSLRAPTQQAPYSADMVRIYLT AAWFHAVVMERLLYVPLGWSTRYEINDTEFWHTLQAVNRWVMTTESTSGGNTVGDIEG NQVCSANREHFPWLALQTIIGTALYGGKLSNDFDQFLLNSFCTQLFSPIIFEDDKFFA LLEGLCVNQKLRLPSMDTLNDVLAWVKSLPDPQPLRWARLPASASRLMLEKQAVAMLE RLAILRFVGEKEHSGRSIVDGCAAYSEESTIPRCLTEMQWAQKVRLFCSSWRSSLERL VPAGQVVSAQSAETPSGGRSSGAERCKEEPVSVMVDREYAFAMERLHEVLDDLQVVEN ICCGTGKPTADQRALIDWLLKDQIPPMWARSYSSHTAVAEQWMIDFARRVSHALYLRG AIQRESGGAVCLNLGLLFWPEAFVTTTKQQTARRQSQPLEQLQIQLDLMPEEDVRARA AKVVKEDDVWHIVGLTLFSASLKKCDTASGGAGYTCVLLPDSSTAPSTPVGAVMRWRP VVLSRTTTAPDAGCCMAPAAKSSVLTRLASRDKNSNCLIVPLYASDLRRALLQVVELR VDIKNTPMHAWYERGTCLVAWSMEAY XP_845940.1 MMSSGNQHEKVQLQQGGNTRGRFCSVTIVGSGVSTGVPVIGHLS SDCACAEAFRDPQGPDRRNNVSLLITVRDAECTGSDSEDDRNAKHVLIDCGKTFRDAY FRVLAKHKVRYLDGLLLTHDHADAAGGVDDLRDLQRVTVDDVSKCCTIEQYISTYASE KTIESLRQQFGYIVRNSWLMGPAPSTAEEHELVVKRMREDREREGQTDNIGYRRSAAL HLYTLPDTYPSSFYIPGFGENFPMYALPVEHGKGYMSLGFVFGRGVALRSTGASSCNG YSCVVYLSDVSEIPPNAMSFLRDLVKIDVLFVDMLYGPEVSHPSHYCMDDTLQLVCEL QPARTYAIGMYCDIKHAAGNRLLKERLEELRGAGKCGDGVITVELGYDGMTMALPLS XP_845941.1 MASVFYILDSKGSPLICRSYRGDVTHNPPSVFQRRVLDEEEFRI TPIFEEQGYIYCYIRVNNVFFLMVSKLNILPLQQFAFMRQCVTVFESYFKHVLEETIM DNFVIVYELLDEMCDFGFPQYTEEKSLKKYITQESLISYLLPEDKLHVKELPAEASGR GGLTPWRQPGKYKYRKNEVFLDVIESVNILLSPGGETLSSEICGQIKMRVRLSGMPVL KLGLNDKATFEMLASRGRAVEMEGVKLHQCVKLSQFESHRVISFVPPDGEFELMSYRT SKKVAPMVTVECTTVSKSATQVEMALVARTTFRRTLTASFLDILVPVPSDAFKPEGRC SAGKVRHAPESNLLMWSLREVSGGKQFTCSFKFSLPSVRSSDPSVFAKAPVQVKFEVP YLTASGIQVRYLKVEEEPNYQALSWVRYVTQSGDYQIRTA XP_845942.1 MQWKEVLDSEDSIDSPEAPLLSVTSALLAFSTGELQRGEERTRH LDASDDSNGGTPRFDHEVAEGVCFLFTKEAGEDNKLTCGGGDRVGCFHDMENQRLLTD LCPGNDAVASECQMVWNAGNWVSPSGEVVDQSERYRALLPLQWGVCKDSFITLSRDTV TDSLVVIHRHRYPRSGHGSNGEESLGQLHIPFSQPWRRRVRSLLSLSHTNLMPVTDII MNEAKQELLLVHPYMDGVQPIASALRSYPHLIYRPITSPMMCSIVRGLVDALLFLHKH GIVHGALSPWTVLLTTDNRALVAGPSLQNTTWPLADLESVGGNSLHPSFHAPLEEKDS MGGHCLPTEADDTFALGVLMLAMTWEMCDPLCVELHERAVKLTHRCVAHRMTLMELKA SLGPLVDPRNQQERKDRQEEEGEKCSKSCGEHNPLSTVELVPKPQPPVVTGILRRTDS PVLYRTTGLDKWMTSRASNIQRVQRDVVVTLKPYNEDKFDALLRWRVVSITVLFIVSI RRSLQRGCVPLKKIFYHSKETPPRPVPRRLQQHRMTVRRSPVSTSHADRTHLLLPARV PLTQNPPRGGARERDGNSLEDD XP_845943.1 MKSTVTMAASVRCTHRIRAPLDHLIMRPSDMDQVVTSIGTTDAA IVTWYMPLANLQHILHRRYEAEQFGEIIIPPVTTQDPTTSADEQNNNPLGFVTLVAGR NYPQKQTWLERVFDPAPHSTLSLRVAVMDRVHWQRTSWMANSICRSICWGRLPQKMFS INLDWKNAVHIDADFDVDADRYNAPYSVHVPGLGFQLTLRDTGRTVLDRETPTVDGFL DNESALHRLALAREVNMQGLGGCVYRQTLWSAPSLPNTAKVMQFQPGELFKRCFGVDP PAHVEPVAAWLVHEVEQTLVYKMEGEVEDENEPNSATTYGDRSLTERVQKKAMNRYNG FRDEVRGKVYSELDGKELPR XP_845944.1 MLLHYRTLAMATSRKQVVGKYELGKVLASGYFDCRTRICTHIVT GAQYVVRIYSKSTLAEAQWMWDRTRDAIHVMRTLPKHENIIEISELFETESSLYILMQ LFAPMHLTKMYTTVGESGQRERVPIQRTKALFLQVVRGLRHMHDCSVVHLGLAPDHVM VNDRDHVKIGNLVSCKYVPKGTKLCRDIRGTMHTVAPEVLRNGEYDPYLADSWSMGVL LYFMLHNGRYPHDGANTTKNILYNRIRPPDPKLPAEALNLLQQLLSHNPGSRMRVEQI ADHPFFATEHKDVDTGRTEQQAARYTGVVPNLWASRTAHIPVVLRAPLKERVQYSGNR EDAAAYLIQMCYKAFRGRKRRFSDRFLSTQPRGSINRSRSQVVEVVCPSSRSRRRKNY QTPHGDTEAAFGQERTHLSSQHYQQRWSAVTNTEMQQGELGSRTSSINSGFAKCGEGP ADPAEGSSFFGFSGSESEGSPMNATQGSHFNFSLKSGNLPLTLPPLRRQGGPCKLGCD PTLDAPVGTPPNSTFDGPDNASPTTASVCNEVVRAASFRLRVPSMLHLKAAEAFSRDL DLRGPGFTHFNTRVDPGRRCPVCDRPPAQRMNRKQPYLNTPYEYKEGKFTIKTVADSD XP_845945.1 MRAEKVTVSPAGEGAAPLFVFGYGSILWKQQFEYLRALPCCVHG YKRVFYQGSTDHRGVPARPGRVVTLLTCGDPEAWVGGVAFELPVEEEKREKILAQLDD RESGGYEREEVTLYDIKTHERLHLPPGAVCLCYRATEDNPEYLGEAKDEAIAEQILSC EGLSGPNSEYLFKLAEALRKLESADQHVFAVETAALHIMKAQNVPE XP_845946.1 MDGQRATKGVGHASDWAELRRALFNVHLLLALQEGSVTQPPGEE KVVASREASQPLLERGCGMNRVQSVSLMTLELEDSTDALHSQCLEKHEEKRGVCRALP LPPLRWAVPSHQQRLAALEKERRRRQRKNAAAEGKLNMLGNTLGSGDTRQPSSITGSS ATAKVMELDKNVMKEDLQDGTASPAHSTRSSLTRTGDHSECESSQDTYTAAFASVDDH CPREMPSFRLSSGSDNSDCQTLSRTISTLSVRKTLAPVHEGHRCASVYRLLGAGSSLS EDTTAHMAQTEVEQICSGEAEPYTSYLFSPVVRVVDLSEGRSSPTPPGGNEDRMAPDG DLEMKDGCTRVSFSPNGECYVVGTRRGFLWLFTTGSPPGQNNIYGYGDEKGDGVCLDE EPPVEPLKLQAHGGPVTDVTFNDVGTLFASAGGDACVILWNQRTRLKVRRINTGGGVP TLVRFVPENNNYLLVSLMQHRLLRLYNTSTGRPVTRRGAEMRIEASTVAVHFCADPFL FIGDTSGTVSMWRYYLGCEHTCAMCPLEPRRSGEWREGRASSPAVTGLLPHGVASGAS STRGSIKERCCQVPAVVVENQPCFEKAGSLLLEKGTPVGALAVSTMTQEQLRYLKRAQ RIGWKIPKRGLFGKLIEGILSEALNRNNSSESPGEMDASDCSKLMCAVMLLASLPCDR MAVLCIQAKAEDTREYTLVPMLVVDRACRIRHMSTGAAFCTDNVRSPTFTSTCEEGFV QIIACTAARMLPVAKEETAATGSSAVVTPWAVMATLPVPCGGSPSSLAWSPDMRILTA VTEEGMVYQWRRVQLHQGTTVNEDINRDADDGLRASTTRDEVDEWRRHFEREKKRQLH RYRARKNAANQLEDTSRPYGGLNAGSGSRTSVVQSLCHASN XP_845947.1 MLRSTLTSLNTFLTSSVATPPISVIRTGPKWWADPERMVRQKLM YFTLGVDQLPLRRTAVIQRDLQRFHMCKPPPRVGDSTGYKRSRAAQLNTWYRRIQYQE YHMQHLFTRHVWGLLRVYPGNTTKIQGKADDGYVGYDSVPFHRYNRAPLPFPARELYE RRK XP_845948.1 MRFWFVLLALLGKETRANYYERNALNATAANKVCELSTYLKGVA HRVNSGSAAVMKKLSDLKIKSAQLQLSVMRNSVPSSEKDCKDIRTLLKTVLKNEFTFQ QELEEMRNASALAAAAAGLAAGRLEEWIFAFAQAARTTSQFCISVGGSRPAVHDKLQE CFRGTIGPETLYKIEDSHVTKSAEKNLQLHEALSSISFSSLGAESIIERNEDRGCNLM RTATDGLLKGGSLSHSFTWGGGVMNFASSVEGKLNVKGGEYGDVTSYGAVRWTEDPNK VSIFGDVIRLFARFEEAKNAVMEKIKTTADELTKCIGHKEAELTNDQLHEELIGEILN SLELSRRVNEESTSRGQEEVRLKSNYSAEPARRPVMRAESNPAAHSGVRAAALCSAVL LLRML XP_845949.1 MRFWFVLLALLGKETRANYYERNALNATAANKVCELSTYLKGVA HRVNSGSAAVMKKLSDLKIKSAQLQLSVMRNSVPSSEKDCKDIRTLLKTVLKNEFTFQ QELEEMRNASALAAAAAGLAAGRLEEWIFAFAQAARTTSQFCISVGGSRPAVHDKLQE CFRGTIGPETLYKIEDSHVTKSAEKNLQLHEALSSISFSSLGAESIIERNEDRGCNLM RTATDGLLKGGSLSHSFTWGGGVMNFASSVEGKLNVKGGEYGDVTSYGAVRWTEDPNK VSIFGDVIRLFARFEEAKNAVMEKIKTTADELTKCIGHKEAELTNDQLHERQFKAIQN YLELL XP_845950.1 MHRRLRIQFLFSTTPLPWGSSLLGQQFILQNRVHYHQLIHERKR KPRGDIPAASPFASLRFRSSGPDSPEGCDEPFALSFSEPGFKAIPPDEFTAIFNTRPV AERAAAMVVARQHASGRVGLKVFVEQLPKVSRDNIFGSGMGVEEWLQKCLLLRLVEDA HGLRHVELTAEPKSYLADVDKDESETVNEAGERIGSHASEGTHRGLVGAAEKLLDVLR DDVLGRRERDEPNYKPLSEAYSLLLREGSRVCELSGTVHTVKYGDFLSLLRTPRAAGE FWVLHDRYICTRLAGESKPPRFVSPQPQTRGANSMNAYGGWAAASNVPTREDVYEILK YVPVNWGNFGNLNLPPAVKKKHIRISSTLQWFRRQPFYFELRSIAGTTEIRRSIVLHP EAHGLTPEEAHEQLQLKIAKGEANSLIPLNAEGEVTNMAGESQQLATILKFVSRVCPG YFVPSSLLMQRYTKKNLTHNELIGCVKNHPAQFEVVQLRYTDTPLVRRRIGANSDRWK GHFIEDFEQFPEDVRGLIILMGRVCTTWDRSHYVYVRLTEEEKVMVGGFEGMMHILNR HPRIFRVGENFIARVDLSDPLSSQEPEPAPGDMATRVIIREENPYQTPRDLAVVFHYV APDDEPCTAAFFLQCASPAMRAVLPPRIVTVLQLFPDLFVCKETSPGVFLMRKVKKVS GGRSGNIFGEAPITPRTSGDARSPSISTLSSQANVSAECSGESLDLEAEFAENDFLSR EEAVQAVRSLIPARGVEASQLLLWASLSVQQAANRHYGGVLKLVEANRAHFRIQDTPE AKMVFLVES XP_845951.1 MQSGLCHCVRRVAALGSVANLHHFTNLPSGFSVWTSRRWQSQSS RGQPGLQWQEGVVDPRYSSSGNMRRFNPDTVAHYVKATIQNDRREMGLGEVYDWHEFA KDAVYIPTRSGPLWVGSDDPRCAKFMRRRSKMQKTPQQKARPKPGPDPAKALEDHPLR EYFTTATNLRDPLSTANNLHRAGLIREYDIKYTAAKVRYVPRPPVVSIMGHVDHGKTT LLDYLRKTNVASQEAGGITQNVGAFQVKTLGDTLVTFIDTPGHAAFTTMREVGATAND LIVLVVSAVDGVQPQTKEVIELAHKSGIPFVVACTKIDRQPRVENVKQQLRDCNVELE EDGGDTQFVPVCARDGRGVPELLEAIALQAELCEISTPEPSRCEVTVIETNGVNTTTE VAGIVRCGKVRAGQVLVAGMTYAVVRKVLDEHGQIIQEAGPSQPVVLHGFRVHPKPGS VLLQVSSESHAQKFYHFMKEVYQAEGRREDYLQLLNQEQHGMLYARKPDNNLVRTYST QAFVLSCKAATFGMLQALMKSIYEIPRLEGISTEIKVTEVGGLRDYDVALIGSSGQPG CILLYGGCKDTNTLDVPSHVTVIRFNVLYHGLEALKETLVGALPKITKIRVTAEAECL QVFRASQAGKSGNAGGMRVTKGTIIAAHLTFRVCRKAPLVKGTAETDGVSDNEGVGDE DMLGHRVVVYEGQIKELRRFKELVPSVELGLECGVIMQDEFQFRTGDILQQYETYEEP RDVAEEYQKAELREKIMRDTAAAEALLAESTGGEDKPTEESEVKTTI XP_845952.1 MLLSVPEAHTEVITSLQFSPHHASVLCSTSGDDTAALWDLRNPS DSCNIARLTHHREPVNHALFIEESEPCLLTASDDRTIAYWDVREISQPVAVIRGFGDG INKMLRLPVSRRSGNACVNGGACCLIVSACDDGAVYIHDVALCGDTRRQSGMPVEGAG DTFSSTGNLVDRFMASTSTVNDLVLSPNPNLLLTASEDCAIRLWDIGPIFGGQRTQDE RLVTSFDEFENPVNHIAVINSPRVTQFVQDEGQGGHSGCWLYAACSEFIFAVDLDPVS GALGEGARTLTGHQDYVRGLEFVGDDTLLTVSDDSTVIEWSLRTSNPVRQVKLHEGLV MASAVSAARDVLATGTDGGEMRVWRLPFLTETCCGGDGC XP_845953.1 MECVSQSLTSYSLLRCIVSAAQFAGGELSFGAHCAELIAMDVEG ETTTPLRIHYDDLIHFRVVREQQLMRIELPRVTVQKWAESNGFALELGAGDDGHAVAD GGRYEGTCALVQQLSVDDMAHFLQCIAPVVAASRRTRSLSRVSITEVLPLSYCPQNPD GTEVVSATESSSRGVDCGSDGEGSCDNSKDEDCNRPNSVLRDGAAASDKDNSHCPSVS LLSAPKPGRPSSASASLSQRASCSAETGSTQREPMRHARSDRGLSTTTSALSERKGAT VEVNEKLLCRLESVDLSSDASGAKFSRASTVQRSQLLACEPSRLGGGGDKEKEETEAI PGAASVCGESEAAASDMAILVRKSRSKKGRSSTEGHLFNTEKCVKDDPTALDEDPQTP LKRGKRVRGRPAPQTRRGQLVVPLSLQEATVSVPPPAAERPPRGKECPTNNTVPFKGT PASALLEVSKSSGNADNNSATEAAAAYNFADVITAPSAADHLPVTSDTHKLLADLHAV LPGRRRPTAKRAGTRQQPSRRPTAKGRTKAISTAEATKVATPPPKATALTTQEKHIIS PKAPARTASRNPSFDPIKSPVPYQATTGSAASEYETPLINPRAFYLSREAHREPSPLA QDVQNTDVSNDPHDNQPQLPGESLLNGCTDSAYATQKAGRLPSGQYSFCTLSPLSEYH VDASLLPPTDNIRTDVGATLGNASPSTACTETDETSGLFRERGKFVSPKGVKGQKRLK TKMKRGGVHMRPPTPGTSSVASKLHRHHLYRTKRRGGSASPPLASAAAIVTATTCAHS RKNRWRQVVRQLNNLSLCLTKARACGEELRGLFLLMLEDAEI XP_845954.1 MCICMYACIRVCTYKEGTKKKASAQPAVMFYTGCSYRGERTSDD RRFDGQGIFTFANGDTYVGAHRDGCMHGHGTLFFAKERGGGHYVGVWENGRNVSGAFV FSDGLVYGSGEQTKIPDPSAGGPLTPEVSETGTILAAQQSGNTGESDKQITNASVSGE GEEVEAPPVDTSSNSTLARNWLYCRKGDRRLWAEHLREVMPVLPLQALLGGERAPQSR KWAKSLVVAPCVAAEAKTPATFAQGQPRCLSDVPEEFWSDEEQRKSVVSRMELQASPF IGAQGGAGREETMIDGERLATNLTLRIIKPLESEAMRRAIEAAALASTAARVKSDTFM SPRSRSSSEKSSHCLNIVEDSL XP_845955.1 MPSHYRYQYTRSFAERAKETESARLRYPKHIPILCEPTSAASAS TPRDVRLFSTRQQVQRELDCNKFLLPETATVMEFMMALRQRLLLEEGQAVFVFIGNEL PPNSACLGDIYARAKDPDGFLYVSYGVENTFG XP_845956.1 MATAEGHFDEEWSQTADSLHALDKGASPTHSRVKSLLNSVKDPL CVGSLVAYELLGKASKRRWFLGRVLQLDSRSATVRVLLPQNSHDPAVLNTLRIDKERK MEEMRHRHMSVMALREEMAAVRRNNEAAMGRAKESSDAVRRAIAAVEEVRLHEVFNTR VPSVQLRLALEATVALLNEDLVVDSSWSWEDLRAAVRDPTYIQRLLEFDPMTDMSVEL YNDINDAYMSDVHLYCNQLSGKTTGGSRSGTDTLLPLLFDWIIAQMGMFHVICEIVNS QRSTDELHANITSHIAHIKELNTQIKRIETKLHCSAEEGSSVDDGACEDESEQYLTFV ASADRPSTNVSRSCILTVLSISDDNGDVVSITDKDVQFIDWCATIHRPLLHVALHHAL EGGFSCGGLQPKDEAVDSQPRQNSTDIAHEENSDLLQQIEEAQRVNNELQQLLNEQRE LAEKTRQEGIIKISELKQRHDEDLRQLEHYRKEIEELQRESSGDQIRGYDATDQGVSE HESPLHASTRGGSREGYIIEGHKDQHGERVTSTLKKNIDLREWRTHIINNREDINKAV LVDAARACQVDISDIDDICFNEYYTSVVFTVSHPPSVSQYDLAQRVRRAEFLSVLQLM QTIGKQGESDPHQHSNRGRREGDHQDSPSYSHSLHETLDDGDLFMSTREEIAQIEELR GHIKALEERLRILNDENTLLRRTKENKEEVIQTKTEDAKDDGYLLEKETSEEETTRTN TSVESSEECQTRETYRKETPTEHSKLHEQDESLRDHDKDNICNIRAHRQRQQKTEEPL SEESPEERKAVRIKEDKQGQHSPMRPHQQIIGQLIEKEELHCIREAASGDVELQGYVH EMEEQLAELRAENEELHRIREAASGDVELQGYVHEMEEQLAELRAENEELHRIREAAS GDVELQGYVHEMEEQLAELRAENEELHRIREAASGDVQLQGYVHEMEEQLAELRAENE ELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEM EEQLAELRAENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEGDKAR ELDVQNEKLHEMEEQLAELRAENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAEN EELRNTEGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEGDKARELDVQNEKLHE MEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKA RELDVQNEKLHEMEEQLAELRAENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAE NEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEGDKARELDVQNEKLH EMEEQLAELRTENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEGDK ARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRA ENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKL HEMEEQLAELRAENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGD KARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELR AENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEK LHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEG DKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAEL RAENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNE KLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMERHLQQLKEENEELRNTD GDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMERHLQQ LKEENEQLRLTDFDNAKEIESLNELIVNVESKISLLQESLKAIRAENDELRKHDSRWM ASMEGDCMVSSQCVQLQGERLDELLKNKPEELRCAVVVDAANACRVYRDCVSNVTFTP SGRVVEFDVTHPSSIHPSEIAQRLQHYPFRETQRLLLKLNEPKDGLDLLKEQIDEGRQ QLNTLEQELCELGAKLHDVSSERDDLLRLQEEKTNELAAQQARLTAVENQLDALVEEN CDLKRTLECKSNDLVVQEGLLGDLDGKLQEFLVQNEQLRGSDEAKARELDVQNEKLNE MEEQLAELRTENGGLRDSEAEKARELDLRSRHLEEMEEKVKKMQEEVDALRECVREKV IELDAQNELCSAKDQHIKKLSKESEELHRAEEEKARELDLLKKHVCEVERHLQQLKEE NEQLRLAESDNAKEIESLNELIANVESKISLLQESLKAIRAENDELRKHDSRWMASME GDCMVSSQCVQLQGERLDELLKNKPEELRCAVVVDAANACRVYRDCVSNVTFTPSGRV VEFDVTHPSSIHPSEIAQRLQHYPFRETQRLLLKLNEPKDGLDLLKEQIDEGRQQLNT LEQELCELGAKLHDVSSERDDLLRLQEEKTNELAAQQARLTAVENQLNTLARENEELC KNNEIISVDAKKQSEYIQDVHRQMRTLSESHSNLQQICNSKTNQIEELNSQLRQLQQD ANELQKENEQLREHEVEKSLDLCVQSGRVQELVEQLREVRTENTGIREEQHAKLRELE VRNQQLLYLQKELEQKINGLRTENEELRNTEGDKARELDVQNEKLHEMEEQLAELRAE NEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLH EMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDK ARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRA ENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKL HEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEGD KARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELR AENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTEGDKARELDVQNEK LHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDG DKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAEL RAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNE KLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAELRAENEELRNTD GDKARELDVQNEKLHEMEEQLAELRAENEELRNTDGDKARELDVQNEKLHEMEEQLAE LRAENEELRNTEGDKARELDVQNEKLHEMEEQLAELRTENEELRRSNELFSLRNDDRD VSRDAKDGAMKIDSDGEYLLKEKSADVDVSGDGDGIGVIKNELILVKRENIDLRSVDD ENSKEIECLLSDVKELRLKLSEISSENDELRKELDIKSHELVVQGELLQELGDRLKEF LTQNEQLRGTDELRVDELDKQQRRLRDMEEQVMELQAVNERKTNELVSQSDGLRKAVD ALKKLRSGVDVVDASSHNDRPSVLMSNALDDLEEALNEPSRGGEEERKHDSRWMASME GDCMVSSQCVQLQGERLDELLKNKPEELRCAVVVDAANACRVYRDCVSNVTFTPSGRV VEFDVTHPSSIHPSEIAQRLQHYPFRETQRLLLGSSIGTNDAGGGNLTMSSFLRRACG VMVDEQGVFVDEVLSRLVIERSSLSSLQCLLVVGFLNRDRIRAKREGDLLDELEERAT EHTEMLENMEEMVVLLDEARQAECTVRSELFAREEELLELRCTQDRDKKFRDEMLLSL FNMLEKARSSHVEVARGKVEELMSGVYLVGELEQEVKKLSEENAALREELEQREITHK EDLDALNRKIAALLLELDEKGFEYTDTIGKLDEFVNVLEGARAAEKAALMALEAREQE LFDLQDAKDDEMREVEAVMQQLEARIEELQGGMPVPLPWTSSEHLGETGNSTTSAVTV PSASNLAVGGTDIISSMRHQLERLKREKEALMVELQSKGRQHDDVVDELNRNIGGLMT DLDSRIRGCQVSATSAKELLREISLLRSVEEGEDDEDEGDATGCPTTHLGGPWAHH XP_845957.1 MTTASGAVVGPHAAAMSSTPVLPSVGSGMPYILSSCNEAVSESR LHELAAEEEERRVTRQKKLFEDLLVFEGGAVADHESLGTELIMRDFIHFALYHKKWGY YPKLYRKYRQLMTTGYFDPIPFGSLRNQQDYERYVGKIHDSTPSCVTPTQLFQPHYGW VIAEYLVTMMRAKFDPRDPLVVYDIGAGSGALAVSVLDYLSEHFPSVYEQCEYHVVEI NPQLVQVLRNRLIMHYHHVKIHNISILNWREVERRRCVVFGVELLSGMPHDCVVLSAD GVCNEQWFHFQQHDNLSTAHERYFSVKDPVILRYLRYARWMQEESFHSLKVLCLTGGR DNIDPPPFTSIEPNMYDPLRVVITKMMWMHSPFRTLWLPTVSMLFLETCATYFPRHHL FLVDWGSVRQGLPGVNGPVLQSKTRIAKDIYLRRPADSLHGNAGMIDICFPTDFDLLK AVYRNICGAYKEVSSMSHPQFWKTFGGDKTSIFTTRSGFNPLLEDFDPLHVFVTHHPS EM XP_845958.1 MCSCCCEPPVGQWIDIYGGPVRPRRSGFECPLDALQVIAWSVIV TLATLHFTLHVPMLDSLLVCIISPISGFLTFTTAALKLALSCSRIEDPIVFATDVPRY AQEELVQEAAPPGTEPCVFCRRFVILGSKHCSVCDKCVPGFDHHCRWLNTCVGEGNYV MFCCFMGTAWCSIALVFGVGIYVISNAFIHKQDFSDRLKERFGVSSYVTYMVFLFLTL ALSAAGLAALGNLIVFHINLCLTRRTTYEHVLSKRAKRREKLSKQSKPLVATDRSKGP CGCLAIQKRRDFRRYKKNPAGSSGAVPDIGVHNLGDNATDGAYPSRDTSQPGETCEIR ETTSDHKGGVRLERETHDNEPIA XP_845959.1 MVMQMFMQVYDEIQMFLLEELKLKFDMDPNRVRYLRKMMDTTCL GGKYNRGLTVIDVAESLLSLSPNNNGEKDDGARRKRVLHDACVCGWMIEFLQAHYLVE DDIMDNSVTRRGKPCWYRHPGVTVQCAINDGLLLKSWTHMMAMHFFADRPFLQDLLCR FNRVDYTTAVGQLYDVTSMFDSNKLDPDVSQPTTTDFAEFTLSNYKRIVKYKTAYYTY LLPLVMGLIVSEALPTVDMGVTEELAMLMGEYFQVQDDVMDCFTPPERLGKVGTDIQD AKCSWLAVTFLAKASSAQIAEFKANYGSGDSEKVATVRRLYEEADLQEDYVAYEAAVA EQVKELIEKLRLCSPGFAASVETLWGKTYKRQK XP_845960.1 MQGGFGRTNFNQTSGLRPGSQMRPGSQMRPGSQMRGARVAGMGE SLQQAVSVSAQAPVTLEGMRAATRSGNAGPGRQVGDRSYYIGLLRPKITELTTEIERL NEQEELIVKGGSVLTQLQQRNKALTDEAAKLKGTLADINLALEKSTTQDPSSVKDQAT KLNQVNGEKRKQVDQLFLNAKEMEALTKKNTQTLEEEMQNLDRRILAENQDFGLYKAT RDEAFNVSDAVLSHQHQIRMLTAKQELLMTKLSTDPDKKRAAEVLRGILSKRQLKEEL TKQCALSVEEERQLLIKQVKTARGDIEVLERQVNETRDALSESKNRCASLDEELKSYS GDNIKAFQELQEKDRELQSFMDSFPAKLKEEMDKITEVQRNIATLLERISQALELKKQ MPQEGSPNALQALESEVDAKRNQIEHDTMTHQRLERELMDRKVELEKVENLDTKIKAE LQAHALKMEEQSQEMIKYGDMDGLRRNVDARRTELLNERTFLIKLRDSSKQQLNVLSG TVDTLRKQLNEDTSYRSMSTLEGRLRQLRQSSFTLEEFVRLKGRESYFVGLKTECLRL VDEINILLKETEQARGTSVGGGAVLMVGDR XP_845961.1 MNHDDAMWNVMGQTFCSFKVKTHDDKARFCRNPYNVTGLCQRGV CPLSNAQYATVVEHDDELYLYVKTAERAHLPRRQWEKVRLDANFPRALAQIDEELQWW DQKLVNKVKARLLRLKQYLMRRRKMLLEPKVEYVSVNKRQEDKLIRREAKAEAAARLE LEVEKELLERLRSGTYDSVINCSLEAFERVLDREEDRTEFEALEDEEDEEFPEFVMDD ELDEEEEMEESNRKIGKRGDSSVVHRSGRGTRRQRLVIEEEVEQEPVSKRVRTADLDW XP_845962.1 MASLGEFCVSVVDSVDSFQSIFTSSSFDHLLLCYEADVDAVTAA DLIAFERVVKAAATEFGTRLGLHLWNCSDDAFGAARTQLGKEKGTPLLLIVYRGEIAD MLHQPLANLVSEVALVCQRLASYQRGDSIAVVSSLPLSPQQPVQDDAVDTASVVGQRM TVDIARLIEMGKRLMTTGRAEYAEKIFGRALGTLDAMSSNCGSDTRLRGSTAMCLAWV SLAILVQGRCADDHLQRLRGDYEEFCVEFESDAARVCATQRMMHSLTIKWKGDVCSEK RLRSILADDPHKHDHRCALVVTLFLSGDLERCLTEALKLHAFGVPFGAVALSSVRDYV GPEHPLFQLTDVPS XP_845963.1 MALGSGIGLVPMWSSCPSVNPPTFPKGGIEILRQSLEAQEEVER TFREREAGHIECLNFKKSLRHLLRKQNERREELQLVEQRASERTQALLDELLIVVRER LKAMSPEGYQSITFDNGDMYEGNWKSCRMHGTGVMRRVADNDIYEGEWFFGVRNGNGM CCSPNFGTLYSGKWLAGKWHGRGELAEPEGLYIGDFVDGQIRGYGEYLYREGYAYKGD WVNGVYEGSGTFFYTNGARYEGQWRNGYEHGRGTMTYYNGDVYTGDWCNGRKHGTGTY TSKLLQYEGGWSSGAVHGIGKCTYADGSMYKGSWCRGLYDGDGEFVSQDKKCSYKGEF RGGKRCGRGVYQCGEVEYSGDWLDDRRHGVGEIKTRDGRVFRGTWSHDEPHCEVVPGC NLEGTSLSYCGGRGAPIAPEEPDPVLNMRLGLPDEVIDCMADAPT XP_845964.1 MAALTDEQIREAFNLFDADGSGAIDAEEMALAMKGLGFGDLPRD EVERTVRSMNTNANGLIEYGEFERMVKSRMAQKDSPEEVLKAFQLFDLDKKGKISFAN LKEVAKLLGENPGDDVLQEMIAEADEDGDGEVSFEEFKSVMMQMRGK XP_845965.1 MSRNDCVMMDKIIEGDGKTIPRQGSIVTLDYVGYLPDGRKFDST IERGKPFVFRVGCGEVIKGWDEGIVQMSKGERSRLTMPPSLAFGSTGFPGIIPPNTVI VFEVTLLDVV XP_845966.1 MLHRTRLAQLINGRILQYFVPYERDEVNPVVFMDVTVEGDALGR VSVELFHDIVPKTTENFRSLCTGERGYSQCPLFYKGIPFHRVIPGFIVQGGDILLKDG RGNVSVFGFPFPDESFEGKAGKHLRGTVAMAHSAPNQNGSQFFFNLARNDHLDGKFVV CGQVIDGWEVVDRVAVLSGSSCGTPVSRAWITECGQSSGDKLEDTERALSGERALHTM PGKEVLDILSPRY XP_845967.1 MLCPPDVAFEKRHFKRRDGNKVVPPSIALVAGLESGFLFKLSAV EDVARRGQFPGLLTEDEFLLMCEESEHIRDAYAMAKHLVALAPDGIFTRATLQETAGK VGSTQDTLSVEEVDALFNALDSDNRGYVSVDEFMDALYGEEGREAMREIRREYMRRKI EVEGEPIVEDEANPEADAEAEAEAEARARAEAEAEARAEAEARARAEAEARARAEAEA RARAEAEARARAEAQRPPPPKQKAGCGC XP_845968.1 MLCPPDVAFEKRCFKRSGNKVTPPSIALGTGLESGFLFKLSAVE DVARRGQFPGLLTKDEFLLMCEESEHIRDAYAMAKHLVALAPDGIFTRATLQETAGKV GSTQDTLSVEEVDALFNALDLGNRGYVSVDEFMDALYGEEGREAMREIRREYMRRKIE AETEPVVEDEANPEADAEVDAEADEEAEADEEAEL XP_845969.1 MLFRSVSCKNYQRGGWSPGSKHQKHMTLNPTLYLYRFPGPHGPG PYTMKYWWTLGCFPTGMEVPFRLHEFLSTYQQEHVPVEVEEWLRCYIKDPLSELVNAS NDFFKAVEVYPEVESARGYKTLQPSIAPLLVPMKKFEEQLGVKISPVGLRSVLSNPVL KDRFLDDLFDYKSYVEKGGSTPHRRLARSRFEGSLSVLGECEKCLPEQHQVEISESLG TFIGATVSPAETTADDERSLILLLTTISEGCINAGNYSDAASVLADALMFCHDPDSQA TTHANISFASLLNADFKGAEYNGREAALLQPQVKPTSTACARGYVGWAAAAAYQDDFE KAEAIVKDGLTLYVGNEHLEKLANKLQALREEQPSVYKQVPRSLRESRSHLPSQQSRG LLSGSGKGFSNEFDWVEFKNKLYPSKMDPRNNEMGSVFRRVGDLGSFISTSRSMERL XP_845970.1 MRRVVASRKAICEYRLYSQVSPQGYPVTAVTPQQIRRVPFYRHR KLYDLTTRELSEEAIRDFLPPKPAVPAGWSMEHKIGSCRFDLTKTMGADDSAREDLHV VALMEPKKYEQTYRMDNGERNGEEYLVFNLFIKKHQHSGGVEFGLTSIDMELVMDSLV VHSTDREMDYSIGALGPGKTTSVGTSFGQKLNIECRRCRDYRYRGPMLNELDDDLTDE ILDYLDERGVNNGFAEYMMAQAHFLEQEEYLNWLRLLKQFAT XP_845971.1 MLTDTLTIPPSTLLVKPPGLVAPIFEIFFSLAGLILRNGTDDRF FFLQSPRCKFTGMIAGHARHVRYHATQSVTGRHTLIRCTRTYVHECLHGRAGAESAMI AFPGHYRSIQIYLHFLLPHFFAGIPTMSRYPSSKRLRCKRARVGAHFDILFLQFEVEV PDFARRCLSLQWPPSIFFAVIFSPPLSYALTFSSLIRLCYRKFGGQLLIYILFIFF XP_845972.1 MYVEKSPRKRCAVAVKDGTSILFVVLARPLPPPPPKEPELLMFF EKPSSPVAKQPETATGNEVEWQKWSAGSYCVPLVVDERGEIQRYDKLCHREFPNLRDA YRQCGPFESFVEFAALFGCLRLDRMYFLVATKVEEAAVLPFGGAILRVGGTEWISFGI PGSEPLYLTSGDRNRLKEFETYSHEGGYYYSDDCDLRRPFPFVSSKDGNGPQFHSDWS RQLRKPFITAGVESCCSVLLRGFAAEKEVLLKDGSILHVLLCGRQNNLNPGPRYFGRG LNAVNAVGNDHVYEYVMWRQGGGNGPIQFAKHTILRGTVPVHWSTRISKTISEPAMMF SQNKEEVLRGCDSYFSFVFTQLVAIMRYDSGEQLTGRGPRLRCVNMLRQSHHSSEEAL TKHFTEAVTKSQAALQQAFPGSQLDLVHVDWLNMLKEQGIDRTTTTFWTTLLATFSTD ELVTAGTIGVDGGVTRNSCQTSFVRVNCADSLDRTNIGCFYTCFQSTLNMLAYLRLEP DSFVDQNRLPPLEEQEGERPLETTLAMLQLSGSPRRDSVATWQEACNPSLYPAAIGRA LSELYVYNGDTIARLYTNSAAMHSNILRGICGLKAAASNMVIATQRRYENAFEDKSKF RNIELLLGRNIDIHFPSMSQAFLKRPVPVENWGCALIAKGIPVGVPCSEIEQAVRKAW DSLVVPRLREDGIPVTSSDALTFIISAEDNEGEYHDEFICAVKQLTFEQDTSGEEDTN AEQNSEHLAVIEFDKDFCRALDAPFLLQENAILKFQTTGVALEPYTYPVLSENGNSRG IVRKAAKSLRSGVKNFVRGLYK XP_845973.1 MFTKGSMSRKLLCATGALAGLGFAGGYVTYQRRLEENRSCTAED FNAAQDQTELQFALSHITDPKKCPPILLYRYSTCPFCATTKSFLDYNKIRYECVEVEP MFKKEISMSAYKKVPQLKFCVRGDDGPFLVDSEVIVSTVAKHVGMGKQLEDPEVKKWR EWARGPMVRLLTLEFNSSLLNSWGSYSYIDNIETIPYKNKIFLKLVGAPVMYLVAQYV TKPRLLKSGDLLPTDDPKVKLHNEIDRFVKEGLLDGKKKFHGGSKPDLADLDTHGVLQ SVRGHRLYNEIIEATTIKPWLERMDEAVGNEKYIPK XP_845974.1 MWHSSLRYVSFKRLPFGRRSTSGGVNFNKGLLTDRERGDPFTEP HAYRNKKSIAAISKVAKKQDILLREEKQRKELDKIQSGYVTERELHIGCDKPLGGNAN EIARVIDEQALISPTPGEKCSTALRELMENEVDRRNHMMDKFGQPVGAREFHRLFKEL RHADNEAETIERHQTRLVEEYGVYPSLRLDAYMLDDDTYFPEWVNALPYSIRDRVKFG SLGLTEKDEALRVTLGRMPLDRRRREWERLKKAKEYKAAKEETLTLAELRDARQGKRR FHWLQRKRQKRASILRRLALRKPDAFELWPSRVVDYSQRIAFIAQHVENGLDTKGQWP LDPEELARARVRRSKEEAERTFLMSAEEKRAHKKLSGRSGDGSIAEMLQSLEVPDKPF KRLSRKVYANRVNAIVHGDQDEYGRRYRKMETRSKRRMRPYASLGEIGLENELRKEPR INAKGLNNTDDEDWPRHTKSWGDGMPSMRYGS XP_845975.1 MSATTKQAIPKLFPKPLHKYVGNGRVFQCLKSYEKYGVVAYLNS VYNLAPSFKWMLSIVPLYGIFVGNPPVEKIDVNSSAALCTTGLLWFVYALLIQPQNSG SRSLAAVNVCLAAVHGYNIYRAMSYKRSKALH XP_845976.1 MGESFVPARSFKLLVDAKVELRQKGAKEVSQKVSTVFRSSSITE AYEITTKELHEIERSLLRTTSPTYRRGGMIAIRAIIASLPPRNHEQRLVEDLATIVFD SVADVDVSVRMAAFEAAHALVRNLQQQLLNFCFLRTFRGVACGISEGDKRVVLLAREV SSAIRELVTGNDNFSFKTELFVTFINETLVPFCCATGDEGSTHSAVVEWCLEWIYHVL NLPGDDFIIQLWQLLKPLLLLSGTCNGSEALSLLQKCLGKTKDAFTRHVDVRVAKLVS IACECVQDANAALAKKSALEWISELHTVGALELLDLTDGVVKACLSQLGSKDLETRLA AQKVNQKITQFIAAPRDDTKAIPYEAVLKGVLEQLADRSVEEVRVAALEWIVLVLHAA PDVLECCFDASFDTTVALLCDRSLHVVHKCIEVLCLISGEVHFDHFIARLVDLIHTKA DVLLPKVPTIIKQLQLRYQEEDLGQCEKLCLKLADVVSSHKDKRFVEKFVITLNTMLL TSRELLPLREVLHRGVDDARAREAFLGLYNCFCYNTVSALSLCFLSRAYEHAYQLVRF MGSCEMSANTLVQLERLVRLIETPALSYVRIALMEPSKCLPLVRTLFAMQLILPQCSP QYMILYRRIKTIPSLARLEREDSANAVQGSNNAIWEQLLNQSKEAQRCTAEFERRFFL QQMGDADIL XP_845977.1 MACGDFGKMEFLVHSSLHDQAPQRVQLRVRNPLSAEDMLQQIRN QFVQLKQQSAGAGPSALLGSHLFFFSSEEGCYVPLDGQSASVLHNYGRLLVHAPVTPS SEEPDRPTSSQHTPRLAQRGPSNVGSGSTAAVEKREVCGNKCAISHDSNNQRNENSQP SKLPPLETDIAGNEGREGEIEMKPTMIKAGPMLTMELMLKVTGVILSEYAARLNESVI EPTEESLWGLIEEVLYGDGNTIPYSLDPHTVDAFTRTIVRSLSNKGKSDEESV XP_845978.1 MSIFGEPLPHEDDTATSLIRREGFPPFSTYDQMPVHYACSCCAE INPSRGRNHLLRTAKQEKELEREKLMQINIIEERAKNPHPSIPRPRTSNLQRVMEDEE LNQPAIPLPPTTLDELRKSRRQTNTTRLIVTVHHGKSINVDPRDPVSVLVRCGAFEGQ TAKVPRGRSAICTWEELFEFPYPNEEEGLEVLVVDDTLPAENDHMFGGIVVPPHALRN RARGDEETLPVCAAGEMHRSYGRMKETPMGSIVVSWYVKRDGEDDADGAALKQNLEGG PINCNFVVHRLFQYTDNGALPYNGGVLCLLRDTDDNCSESGLYTFNSEGAMSSSPYYG KGGCTYLPQDRSQMLQLITPKKLGHVLICVTKDEVENEEDEELIVVGAVPLDFEKLYH KGSAVLLVESKVKEDVLWGEIAVEWGIISYATIQKSNEEDRQGTQMSNDRTGQRDGSG PRESLFLTVVRGLNLTDREGEPLAQGQVSVFACDMEGSTYSAPAVVEADGLAHVITWN QEVRFIEMDGGQAFIDVQVLDDKRIVSSGRFELLNDSDALTVKMHDPQNETVKRGEIL VSYKLIRSTEEDDGESKRELSNEKEEEGSSPRRGASGRSGRGGSKRQSEMRSDQLSSR RNEDGSEYASDSREKSAEKGRSAREKSPSKQRSQKRSPRSSRKSNSKGSTARQTSRSP KSTSPKRNEEEGGRYLDELDEQQVKSAEDGRSPKERPLSKRSEEERGSDRFNESAAKS AEDDRSAQDKPLSKRNEENEDLEELDEQQVKSAEDDRSAQERPLSKRSEEERGSDRFN ESAAKSAEDDRSAQDKPLSKRNEEDEDLEELDDPQGHAAEDEQHPSGGERKKKRSPKE SEKSRKSPKEGKEKRSPRETTGKSKEESEKSRKSPKEGKEKRSPRETTGKSKEGSEKS RKSPKKSKEKRTPTEAAGKSADEEGYGDDGPLSKRTAEEESLEELDEPQGLAGADERY PVKEDEYTRSPKELAEEDLEELDEPKAEEPTEEQPLSKRNEEGGERLSSKGSEGRFEK EGGKEQRTVKLDVPPTPSPDRKPPIGKGDERSAKKKAASQGGSEKRSGSGKKPVSPEA ATKKGRLSGQRDSAENVRGRGDSAGRTRAAEGKPSTSEAESSDVGAAANTRNRSPRSS VRRPSERLDEHNFSISPGASLTSGNWQPWHPTKNASNEDHIPLEKRTTFRNRTETIKQ LEMRSRDSRLSSGHPSGNRDSSRRASTNRESSVGRGF XP_845979.1 MAAPAQAVVKEKPPIHVYPQAKIGTKIFTHHGIHSMRYNGVCAL TGSTVLMASGRFVMFVDVHKGTIESMQGPENGGVGAVAVHPSRQYYVVCERKPSDPAI RAYSWPSRTEVGEFVKGATKGFSACAFNKDGSMMATVGMYPDFFLTVWDWESRGMVLR SKCHNTDVYTVLFSPFDSGLLVSGGAGHIKFWTMANTFTGLKLQGLLGKFGRLEISNV SGFVVLSDGKVISGSESGLIILWEGDLIRCCFAREVDREDDDGTAATFMARSYDYTPC HEGAINVVELMEGGRVLMTAGDDGYFRFWRVSELEVAEGEGAPPLYVPECLGEILVHA GAFIRSVTYCKDVDEWVVLDSAGVLWRVPYVHPDDILNNAVTKPKEQAVPALEFNGGS ITSAALSPIDHTVVTGGEDGTIRLVDYVTPRELYKMCLPQPNVVIGLRFFQKDPEKKK FLACCKSGAVLLVKRGSTAFTLLGQWRPHNDGLALFAVDAAEHRLCTIAHGTVFFFTI LDDFSSLEPIGFCKIPLPGATCVAWDDASSCCLIGFECGKLLAIRAPTRDMVDQSVSY EFTCNYALVGIRQRKKVEKKQANVSAGEREGFVEEEEEEEYLGPWPVRLICPMADGDF AIGAGGVELLYKYGLHVRYEGQKELPPLPPTGIEPPDYVEEPLMNLCYRDYTPEASSM SYSGRYLVVICEGSQMLLRQLDEMGRVRLEPILVASAHDRLDGPIAAACTSFDDKMLV SVGSDGLVVAQLLDGCIAPQPPSPVAQLQPLRAEEIVEPQLAPFSITEQKDLDDRRRA EDEKRRELNLFLDKLKDVHQKYARLLRENQSLALTHRLSKEEITIHPQIYRELQEEMR QRVEESRKPTALELARENIRTRKMRNRFVDNLAHDRFLVRSFSKEFSVASFRTPYVDG SIKLFQQQIDELLGSERCSSLACDGDRGIVSVASGEASQQSPRNLSLSTAAGVVRWLN SEERKRNEGEQQKMNAAHREAEILTTTMRQYLNKMDERREERHWRKKGYEMLLAHKPD PAVEEASLNEELRRETRRRGECILRTDPSYHSAPSAVIKLQQLIRLEEIIFNMRNNFS NELLKLRDEKERLCGTLNVSLQRIRAINEKLKDKSFHADDVKLTPEEMPGKRFEISRD GLVAFMKQRQEEKLREQTAKKAQRGFGADLATGEPATNTDTSGADTPATRRSEGEDSR KVTISANRDSFGTAAARTRSVRTGTLAASKGGRPFSGGGFAAGAARERMNHELRVKHE NIKLTEMEEEELQIERNRLLAERQRLHTQVQAMMDEFDVRLWSMYEERSRVDANLCLA HTHSLLLFREYNILLVFRQKDFELQSSYDEARNSRDRCLREMEELQRLVQDQTASIEK LQEANKVFRREVEIFISNSFPAEHVPYITKVFLRQIKRRKHHSDMSGNDDDITSDDDD DDDMGEDEAWEEICPPNCSEERWCEVIEKREVRLDYVDAITEERRQLEATEQRIEEHK ALADKNNAAVSTCLKAIEDFQGEKRKQLNMLETLVAMRCGQVRCLDEEGRCPDTFRRN DLVVVSDKVITGLHDRIRALAEEKHDRRGKLKSMVAEQQALQRERSEKQALHTQWEEK IYEAMLLKFGQIVNLEVLESSCGSREVEQLKERLRLEELSWEKELRKRDKKIAVLREK LHESLEYNTSLLQTIGDQESDRQSVERSLAQSTQKVVSKMYDSINVATEEDRSNLRLL IAAQQEEIDALRTEVALLRTKGGHVYAAAMAAGR XP_845980.1 MEDEWNTDGGLVSLTLEVPSAIQLPGSIRFRSFTETSYEDNESG DSATITAWKATRWNDLGIHKRRRLDDAPGYECGDVVQPLLHVVKDSFDVAQRRMETLH WFQKPRSAEEISARFALDRRLGVTPSLAATHQALTRLQQLNRTEAIIDLAEDLVMDSP TISLWTLLRIVDGLKGQPQCAARIVFSLSPLVTNGAVPPSAWTSLCDGLDKVARQLPA PLERPLVELFGEVLYRARDDGPVDGKFVVYYGQALLKSRAPIGSIMGFVRAELLSGRN APSADGTSAIRLGAFLSDLIQVMCSASGGAEEREERVPLSAADRLMHCGDLVKHAYAG RLQLTQGAFDAFLRFCDESQDYHRLCIVFLAMCTLSTPTLSSVARVAEVLCDVKQMSD YLADVLHQSTPSLMLYLLLRHGSVSLFPQINNNNIRTRGGNVSNNERDKLEMHFCTCL ARLCVRDGDEFVCMALFSAIIDIGRPVGASAFISEVANSLRCPVKLFGGRATPAGVAA SVEPFNVEALYYALRPAVASSGSGGIGDGTSLDALRTIPQSRPLASLLGGRDLAEKTC GTTVEQALAGLLTSPHVYCTVVCESAISSLVKSPPLAEAFAKMMDGYATKMGAIAFVP FDVCTAEQLTDDGRKFLFEWISRYAWFVVLPLSLTMQLAMNPAAVTVGKWKTEERLNE SRCIRLFHAIQAAKHTKVAFITAEPNVAEDAKASGVHPVITLTDLAKRLGIN XP_845981.1 MDRFTKVRNIGKGNMGACVLVRNNEDGKLYVIKQVDLTKMNRKE RQQSLNEAHLLKSLQHPNVINYVDSFLTNKSDYICIVMEYADGGDLSSRIKKSYGVNF RETQVIDWIIQLALSLSYVHKRKVLHRDVKSQNVFLTSQNILKLGDFGIARTLSGTYD QAKTFVGTPYYLSPELIMERPYDHRSDVWALGVIVYELLTLKHPFNATSMKGLMQRIL KLQYEPIPPLYTTELRNIVPRLLTRDPAHRIRLSDLLDLPVIHRRLREWMLSDVMPKD YIETLLRNGLLPSQVAALRKSAVVPPAAPTTLPARDRTQCLTPLSPEVITKPSKLPSP IPAGGSSPPLVTDISEGLSPKLPQLDQRQKQQTPQQNDHFQQQQQQQQQQQQQQTPSL QPSQQLNGARLPSQDRDAGAKKQQLQPKHLYINYKKFNGGYNSSSVSGNGVVKQNSSA PNPMCVPSGPRNAGVSPSNAPSIVPNSARHFTAILGPGRQNGQNVVAAPPQSSEYGPT GHNINAIGCRGTAQPSVVPNSIILQRLAEHRAHKRSQQETGNTALRLNPFLHQPNAPA PLPYLAGVQQLDIRAMLQRATLERARRNAT XP_845982.1 MLRRAAPAGGIIARWGLSNCNVSIRHGRHGLTRHDFSNVFSRSL TEEEMKALQQQQEYRPVSAVVPGKLFMRHWIAGEQATESVVDRVISGFVFVCFMLWGC GFATLGFNGNSCAHTAVLVFIAYWLYLQTHCRLLISALATLAVLHLIVN XP_845983.1 MYRSGTTNSHRDSLQISSLVMVCFYFATYTGGVDAVRVRPTEQQ LSIDINNEEVCMYSHGVHRREGVMFHYHSLRGGNDFDFYIRDPDNRTVYVSYAGEHAD EERVYFTKRVLGEYSYCVDNRPYTGSIKTVKMSVGMTSLKRWKDRIDPLMRMMDGSDR FMLAMHDDQMIFRLREEHLRKKVQESKKLVLFRGVAETAVILFVCTLQVLLIKRMFNR KGTRAVA XP_845984.1 MSSRSGGRPFGKSHESLKLPVIRTVGTVMHEPPRPVCRVISPAE PIQWRKRSRINKSPQNIPDASVSVPPTKDSTGRDFEITISQYPGSNDAASGVINNLDL FEARLHGEPNLCRLLTSQHESSPSSRASVMPEHLFPFMDDSSSPRLLRGTMITLLPCL SSDLFLKNNTIAARLREMERGEVLQKLRAEGRRLGMREVTVDEFSHLVGCLFGEELLD KDEVEYLFRFLYWNTQDCISFEDLIASVSLIFEEVDVLILVRCKYIMEERTLNNSVIS LVDVDIMLGAFKSVFGEYVPEVCELCADVRRAMEDIMPTYTVPASTFREEIKRHELLM NCLDVVHTDGSIGWLPLLQLLDRLPDDDCKSFGTTHVELQRALVDTAIAKWREEPDES VSDDGKDCVMDLCHPKFIADKYMISTNVP XP_845985.1 MTTNTADVGSVEERFKLIRSVGEECIQENELRAMLEKKPDIRCY DGFEPSGRMHVAQGVFKSINVNKCTQSGCEFVFWVADWFALMNDKVGGELQRIRIVGE YLTEVWKAANMNMERVRFLWSSDEITNNANTYWKLVLDISRRNTIARIKKCCTIMGKQ EGTLTAAQVLYPLMQCADIFFLKADICQLGLDQRKVNMLAREYCDLIGRKNKPVILSH HMLAGLKQGHAKMSKSDPDSAIFMEDSEEDVARKIRAAYCPRVAQKSTEVTDDGAPVA SEDKNPVLDYFECLVFSKPGATAVVDGAEYNTYADLEKAFVDGNISEEALKEGLIELL NGILEPVRKHFVEDPHAASLLQQVLSFRAGGAAPPLSAVPLPEQSATPLAVAWLPACI KFPVDLAVALSDAIKQFLRENTDGEAVLLLPEWTAMACNNVGGEEKYISAALELNAAI LKSHWLPSERVRIVRQSEMILANPNDYWLTAINVGRKNKLQRVEEVCGDLKNAGAVVA ALMYVADAAMLKATHAICTSHDRGCHEIATDFYEGKLRVIPALGGVVPPLSNAEPPVS ETLASGPVNKDDILFIDDTDMDMRRKIKRAYCAPNEDANPVLSIATWLMREQGALLIE RTEANGGDVAYKGEGQLRADALSGALHPADLKQAVSKMLLDKCAAAKAVLSTAEGKKY AQTLKNAEKSLSKSK XP_845986.1 MYITGENSAIKCRYNMILKILLLVGAAVGAECSGVADDDTTKKL LLEGDSALRQGRSYYQEALAKYTEALTHNPNSIRGLYSRAELLSMLRRRDACMSDLDQ LLKLDSKHQRGLVLRSALYSQAGQLKEAVRDVEKLVEVMSEGGKAAKVQEYKAKLQQL RRYAEVWIPLQLKLQAAKQGAVTLSRDEQCACVGFLHDMIREFAKDNAGLRLQRAECA LACGDNQAASEELKYVVQREPQNLDAVALGARALRALGALEQARRELRRCLSLDPEFA LCAQLHKLVREQIRVTQGVEKALEEKDYGKVLKLIEGAMRFEENPPYKDQLLRWRCDA AVGMQDTKDGLPACDEAIQLYSPEDPTVVSILLQKLELYLMDGNVERAEEMLQRAQQL QPNDGKVNEYKRKMERIKRVGLRKNYYKILGVKKTADSSEIRRAYRHLAKTFHPDKLA SQELSKEERAEADKRFRDINEAKEILLDDEKRARYDNGEDPTKPPGQDEHAFHGQPFN FPNEMFGQGGRFQQFYFHFD XP_845987.1 MYDPAVPNNYRDVKIVQWKRAREVEENEKLSRTSMESILATPSP FDFPGMVQPPSPTPTDTSFPGSSEVLPLHLSVEELHQRRVRQSAALGITTAIRLERDE LEAAHKVKVATGMDRKGRIGSKLEKFMKMATSSSSSGDAASGSNGDADVSSGMSSMGL PPSSLAGSSAPLVFQRSTLAAGGYMVKEDTNAQDGRKAPSGKPSSTILIRFIRDGPPP DLFEEDATAGGDNEGGAVGSLRAAGLAFLENVQGQCARYGIVRSVRPALLTEEQRTAV RARLEQCASTPVEVELRFARELVRVLVRFDTVAGAFKAIDALQQNCVNWSVCFFPTIL FDAGTLGPSDGEPLCGT XP_845988.1 MGSGRKCSSNESSKVGTQSIGNISPSCVTLPNDVGDGTPLRVSL AHKALIGKGSFGVVFQAMNRDTNQIIAVKEIAFTSNADSQLLDTVRRELTTLKLLDHP HIVKFLGGEWADNCLRIYLEYVSGGSISSVLRTFGPFQEKQASRFTRQMLEGLAYLHS KNIIHRDLKGDNLLVDPNGTLKISDFGTAKSLVENQPPQHNGVPPTPAGTAYFMAPEA IVGDPVGLSSDIWSVGCCVIEMLTGSAPFSHMKNQYSTMLCVAEHKGELVSSMIPKGN NFSSKTLDFLMRCLQRDPEKRSTALELLEDPWIQNPPEDTELSCSIALPPCAPSLQRD RSSGGGVFEPPFGAFNDIVRTPKFSHFSFCTEETSSLSESKGGNSTRKSSTKSNRTPR EWRGEKRHSESHGGLSNSCQKERDRDSAREERRSAGGSVLGVSFPNI XP_845989.1 MSTLEGCADALLQQKVVQASNYERLEARLMEMERTKRSLFFGLK EVITDVERRTQDLSELKQENSRLRQQLQQGNVKFGALAVFIKDEQKKTARLRETLEGC EIAWAGYPARVALVLHALQERQVFREQLGDVVKNLERVNQLVIVLRRVGEVHSRVAQR LVEHSAETVRLDDAYKQERQLTAGLREEYEQHSVATLAESDRLRGVAQRLELQQLVRE SELAAARVESSEAEARNDICRMALQGALTAADTQAVKHQRAEVYFRFVSWRCGLLHTV VSAAEAQTEVVSEMMNTWVGGLRCQRDRLKEETAQTESGRALSAEELEVARTSEAIFQ RRNASLRLLCGALRLLYCRQRSRAADIHKEWSQLEGEVSRARKAHEARLFARRLLLLQ KEAREGLCAEELLTRRALAEGEEAARQEAVTQRQLDLEAVKKQKLQRDVSPLRREEKA DATRSWFQLTNPAKLVVPRARGAAQTEKKRPRLPPDVATPARRTYPLGAKPKSAESDK HWEGGNETPSLSHPQSPPSSPPRNHHSVALIRPSSQVKATRCSRSPSLSGMPVSTVHN SNSSKKRGQPRKNFVTKLGPVGHLVTSPLPRRPSAAKPIDDVVAGAGVQKGVSHHGPR PSLLSEKEPWNGAKFEDVFSDIFPY XP_845990.1 MQEDVKHLLCGVVDGSCVDPTMNPLITGAPLAVSALAQQCLYTC YAVSSNHAVIGDKSSNFWRVLRYVERVRDKYVESETLPPPPPLRLRNSCTYETTGGDN SAAAGACGSEIGGIGGVVFKVAPSCSDLETSDQSFHYSPLAPFKQGRVRKQLLPPLEF RVVVVGGGRVGRAIVELLLRVRELVHPSRVTIVTQRPEAVACFAAQGVQCVAKDAGRQ ALMQCHVLIIACQQSQFHDFAKIYCPRCSLTPAAVGLVDNEAAGGSRTDEVDEVGGAV KDRRRPRKRTLRDVVEKWRTMETSERGSSRKNVKDSPGESSRKDEPLTRLLRPDAIVF SCCVALPAHKIASDLGHLYPLVVRARINLKSVRGLAQDIQTVKAVLHDDYVKRLQSEG NTFLTRLTLIQQSAKGGGKQAELLRLVKAAHERHGDLTSSNLSGGMGNTLESLPCLGL GDSFARMDSTNPLQSRQSKGGGVLDLKNMLPTTNNENPMFVVHMWRALRSLSVVQALN FTRTDQRSFIYLGSMGPLLACTLVALPTNTQRAIVSGIETYLDDSFRYYQNRVATDGV NDDDIAPFILLRVNQRHVPAFDSDDDEDEGCGSSSPTEETLTPAQPFLERELRRLVAR FPTVFKSTKIVLQQLLSVYKMVACSANSP XP_845991.1 MQLFLRSATGATSVVEASAADTVGTLRAKAGFDDTSSIFFFGGF CLREESATLAECGLQQGSTVQVMIPVEGGKGKKKKKKVFTKPKKPIHRHKLEKMRALK YFKVTENDDGSFKVERTRDECPNPNCGAGVFMAQHKGRKYCGKCHLTYTMK XP_845992.1 MQKRRECLERFVLKSFLLFLFRFFLSFFLVFFPLIIVSTGDSFF ISQLPSGIGITTTPFTIIIIIIIITIIVIIMLPLSSPSIFVQKIIYLFIFLSFFLSFF LSFSFLFLFVCVCVCMCFFFLKLRATYHYWTVDCARVTLQEIQRSTVISFFFFPFL XP_845993.1 MTEQTEAEVQKRETSTSESSAGGPTFNFAEGAGTPLKELPSLKK IRARSIAMRQLETSIEPLHRLVYGRPGRMGERWGALEEFCGFPEYKREEVVDRLGRIA KRRLRDFVMATGMRINVSQKLPKLAQDVTAFLMSPQSEGVIDPELEAAKPKKGSSRKS TQRGRKTAKRPASTRSRRANGSERKTPTKRLARNSTLRAASLESPSDDTLRVAIFRRV LFTPQTERSYLTTKVLRLELQEKFGDLEHRKAIIKEAAAECVSALISAESAAIAFTNS NMSSTTDTTIKTNDFTQPGTVSSENGSPA XP_845994.1 MHDGAGATAIMSNTNHCGLIDQVLKRLEGKLLVTSCSNKLPEDC GPFLRKLTEAADESRISDILDDVFDRVSTIFATYLKAVLAAAAATTTTTTEDGGEVHS MEDTTPLVEGTALTAFNLITAEALPFLTSSHECRDLPSWVEGVSWCRLGSLLVCLIRE ATDGFQSPTVRLRWHHIEGEELQPMLSVVVLVWEVVVRLLSFVVNCIEFGEEGDAHHS SKPHPLLHIISDCFVAMVENNNKLQVQASTLPNSVDVLCVFINNYMWIKQQCRRLPMF SATEVLALVDGYTAGALQDLLNCCTTELQHIISKTTSPAVDGECQSEILFHRIISLCR CIRGVAPPIPRQEVTSLLLERTLRPLVERLLDGEKKRDVPSLSVVTRALRAFGDVQRV AGGSFFLQDLAGKVTGSRSGSSGDIRGNVGIDGDVSESLMARRLSEVLQFLEEEPVFG TRCCQQSPSFCTLRRLFLDVPS XP_845995.1 MRLTATHLLLFIHATFLFHYCAVVYSSCISPHIFYLSYVFLFFF FVLASPPFSLASSLFFKKKLLLLLLFPLTLFSPLFSTNATAKKTTIITRATTIIIIII TPQIHEVFKYQNAINIVGKVQNPLTKENKKSPLRINLNMQMYTYIIAFLFFLILFIHS SSSPPFFPSVVQHIKQKQKKQKKQKTKIKQK XP_845996.1 METHQDPRNLIVNYIPTPVTEEDLEELFRPFGPLVSVRIICDRE NGNHPKGYGFVRYKFVESAMNAMSRMNGYSINNKRLRVTQATGPRKYSQAAGSTSVRQ PGPRAPPGSAPPKVSGPCFGDGAVEQISLTTSSLVPCPIVHPFDSRNSVLTAMARPQE TTVHHPATFALVDRGRMHMIQAAPGIMAAPVGNQQFVQTVPASWAPALSTIQPPVVLS EGDTGGIVTGNIKTCGSSSPMELSVLSMTTQPVPAMTIPRVQQSNSATQPEQYHPSSK TMEPLCFQSYMPLNPVRDGILQGSVSSFFTPLGASDPTTGSLCGAALSSPTTRMIGTT NFMSPN XP_845997.1 MSYVVGDEGCEIDLSKLISYTMSFQPLQLLFEDIIKRLKQSETA NERQDNELTLLRMEMDELRRRDADREAQLQNILSRAPGAHRQQPQKPEGATVETVETE AEDSKLEQAPAEWLPPYEDRGQSDNLQPLWDEIEYLKRMVGNFCEPMNNASIEQLYHA RRKVSTTQQSPLSGTDGDFVTKEKQKGGDTEGVAVGEVILQRANEELQLDGISPKEED PTGESMQPDNRAEDVVPDQLGQQGESSLAQPSGRVTRPVSGRRMSQGRSSISGVSFTR GMSPEDSNNPESLFVRVRQDEESIGRLNRVVAEILSELKGLQKDVDLMRTVGPGDGLD AVQSARLEGVEEELEELKRRLSALEGGGMPYLGDTTDDVARTTPHEGTESSFRNSGDG DVGGVAEGDTAPEAAVEARPTGIVTGSGGDVVSSSSTQNRPANTEVRDLRARLNNLEA AFRRMNDKLLKITPTDSQPKAKETSPIPPQSVGEPASGVEKELAESKEFESGVDKGDL EQVWGAIRKLQGEAKGLGRLCATLERKKQDKGAAQESSPETGGGVSDEGQMKADKELG SKQGQESGDGSPVLADLKGDEEVKERVKDLSKRLNQLQNRLVSVEGNVLNLDDRKADY SGLQRLADDVRGLRQLLEMESSKGGSEGAAATAQKQEEQIQNVQKDLVEQMQMLQAVR DGTSGELDTLRKYVEHIDRCKADAQLVANKAERDYVENALERLMREVEQVLNTTNASL IDTLDKSLNILRDMLDGKAGKEDLVNMQRILSEEHDGGGVADVLMGFRGFRCLGCNRP VDKMRPRSLGSRLQPFVNRLPQNLPPDQIMGHVQDVPLIYNTNKDVKPSSA XP_845998.1 MRPLLVFGLRGTLLERIHASRVPSGMPGGAITVGMSRVWLRPSA METLLALQQHCTLAVWSSTTARNTTPLMEAVFSQHAQKVNFAFVWSREHTTADEFRRV SATSRDDQHATVKDLREVYRRFPEIATPQNTVLIDDTPSKGKLNASNFLWLETCEELK IENPNVMPALRRFVEEHLLAEREDVRRLLPARVPWGQQ XP_845999.1 MYTPRTLSVLIVLLAVFLFMVRYCHYLDMDVVSSVKLGLAASGV SFIAFGATHLPDSMLLRPHPSFWRAVLAVGVLYLALLSFLLFQSLDTIRAILLLHDPS LKSLPEERQYAEDCRIFTSDDPFLFVRTTFDIFIVAHTLGYFAKTIIVRDWRASTCIS VVFEIVEVTFQHALPNFKECWWDHLLLDVLICNGGGTLLGILALRIFHARRYNWVLSD NVKSKCGKARRFISQLVPQSLVPYEWNVFLSPKRFVQFLLLLSLMTLQELNTFTVKHI LHIPPKHHLVVLRLVMWLFLAIPAVCEYYFYISGLDPTNKLGPSVWVSVVNLLFEVVL AGKLAVEGNYFQEPMPGYIAIPWIISLISLCIWFAIFFGVLTLKQRMEKRCLLYAISS VFFYFGCGCVLAMFAMGMPDLQIGREAFQRYVYPYERYIIFWR XP_846000.1 MRRNSFIRTISTYTRYPDEQQKLLYLAWSAAWLRKAEVEAARVQ GITLYDLMQRAAAAFFKVVCTEVPNAKKWLVLCGSGNNGGDGFEVARRASSRGGGTCV TVITVAGSKPLPPEAAAAYAALQEERQKPGVEIVMKDARTWLEEGASLSGVDVVVDGL LGTGMGGAPRGDYAAIIDRVNESGKPCFAIDIPSGLDAETGDVKGSCIRAVHTVTFIA VKPGLLTGSARNHVGKLHYDSLDLADWLLLPDQQRDVFCRRLESSHLFPLLSRSEPRR CINKAMNGKLLVVGGDSGFGGAIAMAAEAALRSGCGLVRVLTRAEHAAPIMCRCPEVM TQTLTEESLRMGLQWASCVAIGPGLGQGAWGIEALRVVEIHLRENINVPSVWDADALN ILAAFSRKRNDGEQQPPSVRLNNRVITPHSAEASRLLGCTVSEVEQNRYRAAEELVAR YGGVVLLKGPGTVLRIAEDARVPVPTGTAAELLFPACAVADVGNSGMASGGMGDVLTG IIAGLLAQQFPLWQATCAGCLVHGTAADLAASSQGGERGLTATTLFKYLPVCLNPTPE GFQASQCYL XP_846001.1 MSRTDKSFLAKKIISSDGPSPAEEDHNVPLVEWCAAGIEPEEEA NRRRSVLEHINCIVRAWIRSTMIAEFRMPAEAAAQVEGRIFATGSYRYNVHGSGSDID IVLIAPNRITREHFFNTLAPRLSHESRITELHCIRDARVPLIAMVADGIDIDLSFGSI RQDRVPEVITDDLLQGLDDQSVLSCNAVRVAHNIMDLVPHKASFRQALRFIKAWGKAR GIYSNTFGFPSGIGWAILVAFVCQCHPNQNAAGMVVRFFRIYHTWFSPNPHGSGRENR AIYLTETMRVKTNLGRGWDPRESKSDALALFPVLTPAVPYGNACYNVTMTNLRQLCDE FARGHEICSSYIGMGVEETKMKLGPHGIWSKILEPVKFFGEYKYYLHVQVTCTDAEAY QGYVDAVESKIRFLWAGTAESRAQSLESFPHVRLRLNPKGYEAPEEVEARSRLLKKAP RGGGIPESGAQGRAGASSNGNSSSVSSAVGGGSAGTAVKSFSRHYYFGMSVDSKAASM KVDLAPSIRSFHNIVRQLRQYRDGVTRLPVITVEDMTKIPAFVKAAAGYKDPVSTTES DAGSGRACTPSEADTATSSNGAAAATAGASEAADKSEGAPSAETAGVKRHRGEDDEND AAAMKVVAKDSVNSAIADHAGPKLGKGSAEGGGARRDNSTGHSKVSQDDDEELGLEQA LGLGF XP_846002.1 MDHIDLAEEREMGNGDPIMVLNVSVPYSANSSDRKSNVSSPLLS KCASKQTSGVMWLTKPTLDPSCIRLCPLRRWVPDTQVSTCMAHGCNVAFSMFNRRHHC RVCGRVFCSACCSETVNALVQSALEVQSNPIEACGGVDKTSLPSGRVSGTDIANGEDN ISQQQQQGQVQFMNPTTVAAYRVCFACHYEVQLVVSRRDRNGEVRRKCRGELKMLQWS LLVRVLSYLTMEELLGVSLVSSDFYFMSRDNVIWYRYNMTRCLREEELQRMLSTTLGS RNSTRRQRLPQKQLGELTFGTDFDSITSAGAAKPTISLNARYNFTQFLDFTRRREATR CKGLSCFSVGMRDLLSSPIKIALIGPCGVGKTSLMREWGRQRRPGDNSARFAVTPPTV TFNQFDKTVFLTGGLTARAQLRVFDISGHPRFRELTRFVCASCHAVVICYDPQRKSSL MEAKAIIADVETKLGVQPVIVCGLIPPADAGRGGSAVEVSAEEATEISSRERGSLLCA WHEGKILFEHVVQCLLDCIALGTSAISFTAPVSPLGVPVDSEEFSVNRSTAQELLRIT MCPSAIDVLLD XP_846003.1 MKATEEEAKNGMFYGTAALGGSGTQYSERAQRIRRQEMFFRHLR RLVVPAFAGYGLYVTRPHEGHFIRYLVDRSRHEAFFNEIHSVVAADDGDKPVVANAAA GDGSVASVWVRWLPLNSGASGRNGASDANEEDAIRIANRRKLFSSTGASASRGAEGTS PCGWKSLPQRHELSEKLWELRNNTKRHDASVTSDTSGSGEKLLPVRLEFDDWLFFATG ALIFTDPLGAVVRRHRFFGICGSLWWEL XP_846004.1 MFRLAGSRLAVSITATLLEKKRGNAAEPIPTNIAASASMEVAPR RVGTVTSFMHRRGYGFITALQLSSSDSPDTQCLASAELEAAVRENAENFFFPRSSLDG GFYVSQGQTVTFDVLPSPQVNEQVEKEHREATEDIEASGDPPKSLPVARHIRLCDLDT GKERPVTPVMLHGCVQSWDTITGSGCIAELDLAGNLHDDAPCFNFSLEDLDVVNISDL HQGCFVRFCIERGDRNAARRVIIDRSTGKRHAPLQQWRSSQGASRALGFAADSKPAAA RYYGTVRELVDGRFGFIVLEETGESIFFHMSNVDQDDQNASIKVGDTVSFILRGVSQG KHAGKRTCLKIRRCSPQPSLASRNHNSGKGTNHLSDDDDDDEFNLLE XP_846005.1 MDLSEKRRQLEEIREARKAKERSVLQQRQNVVPPKRESITGSEG LVVGGSISGSTGCARTRCSVSVSKCPGDGDRAVDTKECKPACGNLSSASLSACSISRS VAEDGVGCSAARRQKTLTTSAPSVSAKTLCSASHSSVKSVENTGSDPAKGGCGVGKAS VTSVDRDIVGSVFTSFGGSKSPCVGSAGAAFMTLEHSIFLGSVCGSGSRCVLDVRVLN CPSSEDGDACSKGLLFVAASLSEACNVENLSDMMMQGATGGSTFRGFDSVGLVLLICI QQGTDGGGPVVVPLCFSSEVRTLTYTKRCPHLLFGGAANGCIVAWRIDQALQQCGRAA SPPVLTTFGKSSSLSYGCLPSSSALSASAPVYPCVQSFPSPHSHQTQVLAMAVHGDLG SHHLFSISEHGQVCMWEPSKLCLPSSTRESLVQGMAPGCVGSCAAFVSTTADAMNKVF FGCLNGHILEGGAKGPGVVDMQPISGSTVGVDKSTHENPSCRVRHPKEKCYDTPAHCA AVVAVAPHPFHGDPHVSATLLSAAADGSCYLWLGSRCAAIEGLTSQVNCLQWSPTHSA VFAAGESSGRVAIWDLGRSNFPVASVYPQTGARLGSGGSFSSKPSTTTSARKSGTFVR NYAPISSLSFSEDGSRVVCGSSDGEVHVFHLQGDLVKEQRAQSRERATEGGGNSYAST AVDCVAEELPPASV XP_846006.1 MISNIRRAGEQNSGKNNSTMTDESRNSLTTTEMTTNACDESIKV AVRVRPQNTSEMSGTHKLALRANTSYSTITLIDHHSKSSQCPRAQTFQFDNIFCSCGC TDEGGLPPAGQLEVYEAIGRPLVMHAFNGYNSCLFAYGQTGSGKTYTMMGGDPGSVSG VDAGVIPRLCLEIFEKRARIEGKGHSRWKVTVGYVEVYNERVSDLLARRRKGSREDVF VDVREHPTMGVFIEGQRLQEVSSVEEVVELIELGNRVRHTASTKMNDRSSRSHAIFML ILHEERSTQTASGQVITTAGMSSRMNLVDLAGSERVAQSEVVGQQFNEARYINLSLTT LGRVIDMLADMAKNKSSQWSTPPYRESKLTYILKDSLGGNSKTFMIATVSPSALNYEE TLSTLRYASRARDIVNMTRVNEDPRARRIRELEEQMEQMRQDIKGKDPTYVRELEEKL VLLEAEAQKRAADLHALEKEREKNIIREKMLYATEVEREELLIKATELERQVEESKRR AEYHEEMSQRLKDEYAQREQELLEKVRQHRAEIEGIRERKDAEMLSSQQQLSRVMSEL EQERHSREEALHILRERQEQLSAALCNSQQSSEKHTELQQQNEELSHRMQKLLEECEA QQRILSDLEVFRLERNELEEIAQFLDLKLEETEHRHIKTINHLLTTIGMHNLWQAELL TAVGTEVETQLTKCNQHHFDQRAAMEKNHKLFQMEQHQMTKKLEQKILMLEKEIEEAK KQLDTTKQSEAAITNKARQAEDARAAVERNLETVEAERDELQQRLDATSNDLKSQLRN SEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAER NELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLR NSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAE RNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQL RNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEA ERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQ LRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVE AERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKS QLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETV EAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLK SQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLET VEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDL KSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLE TVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSND LKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNL ETVEAERNELQQRLDATSNDLKSQLRNSEDARAAVERNLETVEAERNELQQRLDATSN DLKSQLRNSEDARAAVERNLETVEAERNELQQRLDVFERECAVLNIRLSYLRRKLLSG FVVGEEPYKLTRKIINIGK XP_846007.1 MHAFNGYNSCLFAYGQTGSGKTYTMMGGDPGSVSGVDAGVIPLP GSWRNSGHG XP_846008.1 MPFPQTPLHGILKLMLHGRGLPKVGTSRPHWTLSTTELICARMH CWRSALTCYDFLHKRRLPVAAEAIFFLYLQLRSVSSGDSCDSVSCDSVRHCSIPFLPP RGDAVLDGAPPKPASMKESNSIHCIANDVSDLELPFATLFLLRCAEHQSTLRPLYHRL QFAVRKLRNSSQARADGKTSADASGGRFLDHEEDKDRCVFLKGLLSVLSAPCVIINLS LEHNGQRGRWPDVLRTVHNLHHYNLLNSYLIELHKMYTRCEVKYVLTKMGHDVHYRKC IEPPSLAPLMGMLLTEWETYWSMLKGKAEPRCALARMLSPASSDYPLPPECAVGGTDT VERDERIPWLTMAADGNSGGEYGNCASDLTPLRMKLMLEESSLEVLQFVGLTAFAVEG RGDVQAEEEEVFHRLVEVATRGADFAFCARLAQTWANPYLIRPLAGRQSPHIASKLLL LTRLIAKGSASEDVLKMLDDWSSLLSAGCSDSAACLSCASFAALLCPEVVAALAARLT PSLFREEVVEKRLLPCNFALGLHVQEVVVDTLRRGGFHRVAEEFSVRQACIEVAALCK ENERCRPEEAVGVMRKYFSQKLYSGDRKHLLFELLEFVGEPRYPQVVDLLLSMCKEPL LEGDVPELVVEMPVLLFDVICYMITERQRPGDAAVLFRLTIDAIRGGGSTPLRGKCEE VPEALHQHSRTYLAIEGAVDSFTMAVASLGLVKGCDKAPRSGCHDDALQWLKLLSEIP STLFDEPVLVVWLFWTMKAMTQHEEARTEWGHTATSESVTKAGVLKASGNLSRSLKKC GARAVFPPMLLHWLSSNANISWAVATKWLSDHAGEEISKNCRFAFLRLPLGPAALEGL PCRESKEQLFLAVEEELKTDVLHYTNCNLCSIKPPKLNLKSSIEELFERIRYTLLRAW YYELRDPRDTVAGTPVHCGSSESHDPLSLVSGLQPQGGLQRKASYILTMCSVQRALRF LWDGGAATPLEAVDGSSAPRRV XP_846009.1 MQQKYLGRDEVGGVEVIMRQMLATILPYGIVFGSVLLKLPQIVK ILRNHSADGISIISLVVELMSCVISSSWGIARSLMFKDYGESTLIMIEMFLLLLIVGC MQRKLLITVLVFIVAVFLLVFMSAGYAPRNIHEGMLRLQIFFALGSRIPQIVINYQNK STGQLSALTFFLAMSGGISRLLTTFHNIPSDKGRDIMLTQFGVVVFLNFVIVMQCILY KAADRRQVGTTEAKAVVKKNN XP_846010.1 MRQYNTCILGFLPPFTDTLKNMLINFLVILMLWGSSIFGEMLEP NYRGHGTQNTRSGTSSTSSTLVRHTGHIPWVNWPQLVHRVSVARCTVLSNGFSGDVNA NDTYDGQRDLHLLATSRSQSPIALCHLPVFIFFHKENCPACRMLIEELGVSPEFELLS EYMTMVSAETVDEILRSSPYPRPAFGEIHPRLRGNKRRTKQNGKGEDEMIKAMSPQGE YYPRVLFLFPHNGSVMPVFNNGLDHDSAHIHFYGRAKSLLRGMMSAIRIMDAKVDFDE L XP_846011.1 MEPADGLKRCGASTDTIIEEIKALAKSMLESTEAIRRRSRNVES CKSYDALLSVLESTAPLTKHPARQQRTQGHNDVICEFPVTRGGGISGGATANNAKPGN CYAKKVSGDAAEFCESELDDTEAEVTSCSPEACGEDGHDLGGFYPNSKAQLFSACTMG ADFSADSDDNVYDGVKTQVVFKAVDPALYQDISGCTWQPEPLLLHSETGEAVEDSSED KDEDKESDYMDDAAVVGTKKVYRVPSFPFGGGITEGQARFLSKTFSETIRRGGLSSSK RPAHVTVKDFVGYKYDECATTDAERSRFKPVSPFEFVVELERGVMFDAVRAREERRQE FRRLAKEGKAPVTMGSFNLRVIMDPIKTGFEEEKTFPIERGTIVADRYQIVEMLGKAT FSRAVRCYDLSQPIYEDDVKVEDKDRTATTDAQNRCVDTENGGVSNDAKKRKVVGYVE VCLKIINNSKDFFDQSLDEIRLLTLINKYKDPDKAHVIRLIDSFYYKEHTMLVTELLS DNLYEYSKYNREEEETFYFTIPRLRRIARQITEALTYVHSLSLIHADLKPENILFVSH RRCLIKVIDFGSSCFLSDHLSSYIQSRSYRAPEVILGCDYDGRIDVWSLGAILAELVT GEVLFTSETVPEMLARIVYVCGRPFPRRMLWEGRHTSKFINKFGCIYEQGNKDGDDPG EDSSYYYLYTPVPQSSGIKNPDAEGTDAENNGTRDTESLISEERPYRVLREKLAAHNV TDSAFISFVEACLTLDHKARPRSKDLLSHPFLAQVD XP_846012.1 MAFLLSAWLPTFRTYFSLFSTIVWQVMEGMLLDAVSKSMVAEGA KLVPKYGVRNSALMSLSVNALKGNPTYDKARTLGNRELSRLFPRGFSIAVVEHIVASS NQTAHLRLEECFSKYAILSTVAKNSDTYQLGCYKPPGVREVVSEAMDSKFSALLPYCE HWAEAVALECLPSNTPFAAKLALEFIDTTCYYAERMRSLGSVVEAGNILLQSRISDPF AAEPHSIGVSGGVKKGEKNDAFWQKFATTVPLSSGPHASHGMLGYEWYILRMKVGAIL SLGMLSFMGEKSRSRLETKSMVRSIICGIL XP_846013.1 MASVIEKGLASICCVTTSSKPWAEGEADGDVKYEDLRGPGGRNT SGSVSDKRICVPQKIDPKTFFANERTFLKWMSISVMIGMMSLTLLNFGDTSSNASELA GLVLLPVSILFMIHSLFVFKDRANKIYMREPMRYDDTKGPTILVLVLGVSLGIAAIFS VQKQYYRTASSSFNDGPRFS XP_846014.1 MFLKKTMSGVRDLSRGRPISRVILDRFAGSISSESFSFESVLED ACRRANVAVSAAPSSIADIKWWRKLCTACGNKTSASRCGLAMLRQRLVRALSERLATE EVFRLNGYEIGKEIVDEPVVVLGLPRCNGHQAAHVLSRSGVFLAFKQCDTISPSLLLD VERHDAFQREFRWFKSLYPDFCCVRTVNPGQIDDDLTLQLMCPQSYAWGLLHGLDEYL LECLQEDQTPVYEHIKRMCQLFQWYKRCGHFSECVSQEVNPINNVIEEQKYGTKNPLT RTRWLIFSPLALLSIESLCEVFPDVKIIWVHRALSQCIPSLCSALALHNSLYTGKPPS DTQLVTMGDKVLGMFGSGTEHAIDFLASFEKSRMVHWSNRDLKRHTTRLATKTLQHYG IEVDRYRKMQMINGQTEYTEVFRPLHDSQMRYFGLHDGIIGEVFERYIYQFEEFAFER KYGVTVEEYQPLAAASEQQSLGSMRVNSGENSLPSFTDDQPMTGHFLQEGKGFK XP_846015.1 MTEGRFETIHNLRPKNWDGRRHWTNWHHLYDCEKDHLARESCPF HDLRSGGQFQYENWGGGEFKPLIPPNHLNNRPCGDRMDFSKGHGTQIGGLGDIPLDVE GGKPTQHNKHPGKTVMFTRKDPLKRGLFSSYPYIPEASTGAASAGGPAGPNIKTGKVN VFGQAPEWIADPYDGKTDRGRIFHFKAGPLNYRPDDRLAWMPEGEPERRKKRIHGVFR AGKPCGIINDVEWVPDPLQEAKVKKQVRPFRTWHTRTKWSMPTHAPWSTGKITAEPFR GPNLNITQSGLPCLDTFKRVNMTQTIGKEAALAPVELPGPARLK XP_846016.1 MPLWFICGLFSVDLVLEMTSPRHLLFCAKQGNIRRTWTFPSLCA PRYGYSRPVMMFFSLCRRCAGVLGFMGNEKPVEGRSVGVVGSAIPNYVGVEPPPFKRG VRALSAGPLTIHMQRRPYRVTPTPEEPYSLPARVRELTRSNQWQDAIHLIYTLTHLSS SEHCSEAQECSGKKTGVSDVFEMFLFEFLRSGHVESAFAVWRKCSTNLKFDPGSELLM KFATFSLVVDGKTQESLDLALMARQSQREGDAPGEDFVEYGMSWILLCTKLSGTTKIG ASDVAEVQNLAEKLFVRCWFQPQQSSGEGVNQFKTRKLRFMLHGMVALVSLEGGLEVL RRLSTRYHGGASDSVFQEFVSLAEIFESDNHKNKAAHTLTAAPLPSMLIHLFPLPLPP SGLVVFRYLFCDDDDAPSRREPPQPRLLSHRTVGTTFLERLVENGSVDSFLRSAVEHD ISESCPSLLTRVMQSQLGANARVLPTVFGRQLLPSVQRALRSRPAAGTLHLLLLRFLS RVLGPFLGTRAGKGSHMEFPAGGGSIASSAVFYIELLGCVAALVPTSGIRAWHRFVQR VTRAIIRECGSVSGAAIPSLLQVQLHTQWFLPELLTYVDTTRERDMYFACQHPLMQSR ERNWDRILCALIWECHGKPVSAYEGTGSVSWHRHAGGLVRYAGEEDRIRAFEGAPPFF DVKRSFVQRVVRWQWLLESLWQLVGYKNGDRNHCSWEELLCACSRILSNCRKYHRGDI VRDFVDVLFLPAASFVSPRGSECCRRTNVLTLLSTCADSGCGTAESVTLARWYFLNEL SLWFTTLHVSGRFRALGDVLQPGPDRTAQRFALLRNYVSSVSANISRSGEVCKNKRQQ LQDLLTYYNEVCKSDNSLRTPQLLSPLASALRRADLLDSLEELIKVSLSELSGGLRGR SGTRAALKKLLFFHDFHRGSAVCAESGCTSHVTDENYHGAAVAVANIAEVYILMLLEH GHLETVEKILLRPPASHSLQDPATEVASPTHGGADGFLSPLQFDITLLMPSTNREAMQ LLFFLYLAQEKNVSCWRVLDKLPKYGASAAGVVAVVGRLVSQEKHLFGQFSRTAIRLA DGSLHPKTAGTIIYGSNRGCIQNKPQMVATVIGGEKRASNLKDLNHLINNGDWVLALR SIPFVLTDPLHITRKALLVCEAVPHGAAWEGAVRVFVRANHICTDISHGVHCMKEHTC LFPVMGIQEIGRLLTLLASARRWQESLQVFESVGSHAVDGYMFAETCFTLRSSGHPGL AVDLWAMWRAAVGDAVAPTPRMCGQFLACGVVGDVDVADAACVMVREATIPKYGTWSS AKPELPASDEKCSSSPCVVTIPGTELALSFEREEDTVATLLRDRWNGSWQDALQMALA SGRSRIIQEVARKSPRNHSIYKAVVGWAAKEQRQLSVAERCAIAGHLITNPVSDGGEY DRVGRVLEELLGSEDD XP_846017.1 MDHDQLYDSPQSAKDSLLDMTALLIVTFAQRAVMAPSYRVTLLA TVEGELVREGRLPPKGFGGVFGCIKRLYVKEGVRSFFRGLLTDAVLSLPATVVENISS TLVSFALQVAIPVRLVESMNPWTYLTLSLSSTSAAVLLATPATGLHSTIVTNYVADIV APVPSEKSKNPDKDDGENNKEIKEGEKGGEESYRYATATEAVASIFRRWGFSGFYRCI GADAIAVFLYRGTYYYGLQLLPSTLHNRFPYGISRCLAVVAGFLTQPFEVVSRRMQLT ASSTTGRRYKGMLHCARTIVAEEGYTALWAGMQARLLVTCVGVAVLELHRHFWAV XP_846018.1 MVSKYHRLLQQGLREEEGVTERHMVAGGEQRHGHVDDDNAEGDA DFYDQKDERRAKMSNPKHESANVSAGGKQNRSVRDCLPGSLPPVANTSTDAAVRFDRE RKNAGHGIDISCVEGDGAQMGTYVSTGRSDAKAGGGSSAIGVTADDESDGNLDTDGSD ASEGDEVESTTDADVYGEDDTTEGPRGGVRLYSCDACPHAVFTTHAALLAHAEEHHAD LLPDHARLRRIAQKLNPVWNRALNARRNTITSWGKKIFHVAAQRDAGESKMQEAHRAR AQLECVVRRWHDKARVFIFGSSVAMGVWDGTADIDFAVVDVDAMERGSWPPLEKNAVR SITELLRRVGFSFVNLEPISHARVPIIKHHASSPILTVARRDAEDVVARSIRFILNGP ATREDRLLLEGSVRDAVGPTGVQQVWWNRTSDMMSATLESTTAAVRAAMCSPALASAS LRTKVQPAHDECRPELYNIDFDLSFRAFGIRNSTLLRKYLLSHPCARPGAIVLKDWSK TSGVNNSVNGYFTSYAINIMWIYYLVQKGYVPYVDPLEIPESLVNYTDFDPRYTPMID PEITNTEREELYKAAGDMLVGFFYFYSFEFDWGHNVISLNRPGITTKRMLGWHVEDVV PVASTSVSSGGGGSNVKRHPTRYELCIEDPYEENLNLGRHIGVTKSLRVRTELYRGLL SLLKEGETRSCVFAAADSSGTPAAGGKQSAALPARALFKLMALTTQAISESRRLPQSN SDNSGRIGNGDNESLTEVGGGHRVEGAGVDPASCAGASLSSFGEPPIGVHEKTLESIF VEKAPMEFQLVRKVWNWHQLIHRLGYKIHRGHVMPRREVGVRCTARRDAEETTTELAS DVDTTKSLRPGRGLTDTMLRDLSRGYMTLTPEWVAWSAPWVSQHLRGYSRLTTVRSAV ADETPPALATVPSVVKPPTGEAVMGAMRTTRRNAAPARRVELLKLWLWRGISKVTPFK SPR XP_846019.1 MPSPFQQTLLARAYHYVHPKTGRPIRQFRRYGDPRYINNEAALI RGQWGLITADFGMVTQSQMENARLAILRRLPRGSFTLTMHTDYEEFPVVKKSPESRMG AGKANIHHFAFKFTTGVPLFEIMPISARRLNQAEAEGIFLAGRPFIPLQTVVVPQGRV DEYHVFK XP_846020.1 MLSMRYDDGFMNLENGSRHPSSNCNTPSVRFHNGLAWVENWQLS SSNLVPQTPPSAAIGPSRSPSSFSLMKYSHDPYGVRGNSQPQMQQRAAGCMMVGRGPS PNGCSSPVMRLSCVEDGSSRFAPGHSAVVPSAIERPLSQTGQREFPDGRPRRKGRARD RTRGGRSLADVPPPTSMRYFPPPPPCLESMISCGISQATLVKIATRWYERTIACEQSY VGRLHGGCAVLHGAAAFRRQPILGSLQPVLCPRMELTLQFTFDQWLKAASRWWETVIR PMTMEYRLPPPYVF XP_846021.1 MTITVDLFGEADCNDGEGHMWSADVAKFTEVPEVPRVFADSQHY ITYTTKKGNSLRVVKRLNLARGTVRGHTTPIHSVRFVNYRSNVAASASKGEFFVWVVT DSGEGGTGRPSADAELTINMYFRLSDPVTISCFSFFINAENKRPDVLVLHDQQASILD SSALIALYRDTPLTATLKQNSTALRKLASNVTANTLCSVGSGGWFAFTTDSNMVAACT LQNRNTPPWSCCEGAPVHSLHLLDTPHAEKTTLVASCSRVVYQWSLSGASEPTLLRKF AVDGTIVSLEGSRDSFAVFNDKRKLAVVRIQSPKEFTCTRYTLPWQVRRRGTCFNCVG GESYIMADNDDRLTVMQLKANASSGAGKRESPLNTRRSPAGAAKPASNVLAPTTGTKI KSNSSTQPTGSIIANLVNRLGICSVGMAPPASSNTSSPATATATGSAPDVTSASANAT QRGALHGYSNQPVTAGLGSGSSAGASKVFTPALSKSLAASAGRGHGSSSISPASAQLS AAVNANNLTHSSRPALHNASLPQAPTDGVLATVLQQTEDEVHQELERLDSVMKNTLQV LQLTPDTIHRAHEQLLSLSLEAQMTELQQQQERQKNASLSNSAGFTPFETCVLLSILD PLSQSIANGLTRGVEDAMMANLEHGVRHALVNRARTTQKSAMKARLDEVLKESSSQFL AQVEQTVRNVVENELAEVFGDINGLLTALENDNVRLQRELEAIMASDVITEMKKTREE LESLREAVTNQQLAIGTGSQLVSRPSPETVLSTTTELIQQQQYRQGLEYIIMAEQPQL VLQLFVALKKKTENVYSALIEDPATPNDVWLRVIVQITGAATSEKDIDAVVGVLIDIL SEREQLLQKTGPTAKLTESLHSFVTVGKSGRKCSAGLRNFKNLEKLLP XP_846022.1 MRRTFSRYARFAVCQQSTGAGTIEGPLRLRSADQTVVWCCAAAG RRSWFCLLPNSVRAGLHEPRRFASTRATNSRKPARVARSGASSRAPRKGPRHSQGLKS SQESEGEFMATTSDDDFGPMAEGAAEEESAGDCDAGVGSSLTGGRETTESSNVAAAPE EEGEGVGTTEGVETEGGKPGRSEEDPLNLRVATLMQQPGKAFRVIAVSYSAKRELNFP ITFEDIASGTTLNCRLEDVHTTYMNWVRQFCASWVMLFVPTLSAASAVLLENAVVYSR VERTVSNSHRGSPAPKQWEEPDHVLVSYSKMREVLCKVKRDEQVDKELVSNTVLSAFA EDWKSFIHSTASCSPASIVTASFDFRPNGGHLQLNKKFLHAQLTTAAMTLLRHVARKG SSASGTPCSSSTKEVTSGANNSVADDIRRKESWLLIFVSAPAGSATSFLGPRAVNLGV PPMQDLLTGEFVDPLLLGGRNVIYIIGSGTAAEAFSKIPSVRKGKRQISILLKDIENQ LGPFRASRPTLTTTLIQALDTFSRHTWIGLMKEEDGTVRVPRAPQSFVHLHRRSVTRE VLPKFLESTLGHISHHNSAYGVAEVGMGGAAGAAGANALLQLGKLNRKVDEHIKAIFN NAERLEKEKALRESLRRSNCFFVDVKSANISAARRADNPFSTRNGILEFHGQLWATLG MGETARAGKTTGCPPVKTLTAQLAPNGDVQAFVRPEECIPMELPTGSSDTSTIRHKGI SLAASASSASSGIIIAWDVKRALLFLRGSNRLREFLLNGGRIWCAQYAQYLLKGFNNH NLTTMERTLLQYHTVQTKLHPLEKLKIIFEKQLDIAVNTRQLISIVHRMDGLLACTEM ELRGLQIAPQEDITAFARNLKRTREELEKAVNDKIMVFTEGMDNEVRRKINVRSTQDI STIIYGGALCRHLGTRYVSPHIPRIPVTAIFPHAVCRLTTTPVPELYTNAENLLGVKG TGNSGNNNNANKIAEAATITANAVNTTLKIIDKYLKDNTLDNLLNNTAIVVVTCKVRT SSFLEVITIHCPSSDPNDELRHTVAIDDVLPVMSSPELVTITQLKEKIAAYRPLRALQ PLKKYGRRSYDLRNLVILTHCSFDKTAVLVDTGIIQTIAETVLGKENEERSLLERVCF CDINRAFPPPPEGGDSFENDFLGLVNGAEQAGVSSRSNWEAFTRNFAAVGGFEDTRRA LVLHCASLVGTARDPFRTAHPLPFALVGFNGQQALGLHGQERASTRGMLWMVTPERLH PLLHRSLRGRSASSGADAIERINVLLSNEKQVDLSFFKALQQLRFMEKQMQLFEEGAL FRAVLPECKNRVHGEFCHVVTATGRLSSQSPNLQNIPKEDLRCLIVSRFGRRAGRMIE ADYSQLEVVVLAALSRDARMLQELNDNVDFHCLRVSLMTKEPYEDVIHKVKQVKDPHY IQLRQQAKTFSFQRQYGAGTSTIATTTGLSETEVVRLIAAEEQHYKDLGRYYRLVTDC VEAGADRLLQLRTLDATSWPPTMRRMVLLTEPMHYFVVPTGSKFDFTKDKKAVPRLKN YPVQGLAGEIVQIMCGKIIRRFYAKRNYNDKAFLVNTVHDCVWIDAHESVADEVMDDV SAIMSSTSEVISSLWPGVKLDVPFKAEIHIGPSLGELSR XP_846023.1 MVLKLLLELGAERYAEQFAAKCHELGMVMKESAGPGRVPVPVTL QPSMISRGEFGTLCCMQPLWNEAVDNTARNFTFLRDALQETAASDVNFTGKLLNMLQE VYLSGGPFQQLMLGIFRTDYMREGVYDKMLSTTASRWKNVEINTISCSFAGLSPLITE FHQHIAAYLQVLQKARGKEDDDGVENMSWIWGKGNCRLERSVSGDVVPKAIADAVRAW VEQQKFASLRASWEQFQQNEVGSGEIHQLGVLDTAPVVLVVVQENERNTADQYALLMR VLEEHRIRFIFRTLQELHLSLKLHSISPEQPPLAVVDGHYPIAVAYFRSTYVPEDFPT DATWAARLSLERSSAIKCPSIPYHLLTFKKLQQLLCDVDRVLVPVAFCGDSDKAGLLQ RHFVPQYSLNPKEVGEEAVEKVIHDVLQRPDQFVLKPQLEGGGNLLSGETMVKALKAT KEGDPVTYSKVRCEYVVMSRIQFHVSTGSLLARGDVVQLERNMCSEVGIFGVILSAAK GSSVGTNGSSVLFNTFAGYTVRSKPADADDGGVMAGVAALDSLAVVP XP_846024.1 MSNTRQRVGGGKASFTQRTMNFFRTYKRDLFFTCVAFMAIGTVA RRELMVRGVVSPPQKIEAVPRVEREFGSKRQRSE XP_846025.1 MYTSVRKPPLSTAKLSEKTDAAGKGNLNGVAESPTSRDGGIGSS MPYVARKPNSGDGQGRPGEVQRAGQVTYQTTNALFTGSTVPGKTKDVSTAKSSGGGDH LNNGDRPPLVGDNNEVSSPRTIKMNSSPPRRYFHRGAINCNSSENVAAVLGGSTLISG ERVGSGSGYPRRVFSGPPSTRMLNQGGEEPAQKPQPPGSSRSKSGIRQLQFALNGNSS QRLLPVPPRQLCPSNPARRGGGAATVTPRCSDARRQKQDSTDPFDMTQVLNGVGTQLG LPSYCPGAESSQGSNRLRGSKPPSPSLLPLASLRSQQMPSTAGVPAPRSLSESQQLSI NPSGVFGCATDCKNGLGYDFRVVASTGKSFNLPIKLTAGVGCVQGLRPTMEDEHFIRL QATTAVGQPVSLLGILDGHCGRRVAELAAKHVPDNFIAHPALGENNALAFVESIIQAD RAIFHSIGKGSGGVGLSAGFGGSGGSTLIAAAVHGRMLYVACLGDARAVLYDGNTTIP MSEDHKPLNKKEHTRILQCGGFVQLGRVCGVLAVSRALGDYEFKFNGNRFISNRELMV SNVADVRQINLTDSSKFLLLACDGLWDAVQNEEATQFVRDFLSYTPDVGSSPEATKRA INNCCQKLAEFAVSRGSTDNVSVMLLFFHNVADVVASFDRASDATTSRATVTSPVSPR LFSASRGVNAGFTGMESRLAGISGGSSSGAFGGSSGAEGSSVRGAGRTKVDRGASWLT RSRSLW XP_846026.1 MIISKEELSAITLKSSFIYEYGDLPHFMSCINSFPLHFFVRYRS SKEDPSQHRWSRLLKVVRREVPAVGGSINSNVNDSNGDVSGAGGGTSSTNIVPLQWRE KKFLITLSVEGEEKYVDLPRISLQLPSENEVMSYCARHVELEANDTGDVEVERSSTAR DQLPSAHEIQKLREFRRNVIENHRWTPEEVAQAREQNELMGRVGASCAPVRLTVASMN NMLFESKLSIASSRRAVGHLAAAMERRNAVRLPHSSSYVSATPPQTVAGTGSQLTNVS QCDMGGIESQGTTQFDEERVPEVDPQVLQEMVKAWGESVRHGEEFYKYVSNKDRSAYL TKIATITQNNYEKNRQDRVRGIANEKRLDRKANLVESGGLWIVDDKLRAKLAQNYRQE QDGEAGVGHVEASVDGKKGNDSRKLPGGLNALDDTKGQTVGKEETMLQRFMRYHREQE LSFASVPDSFVDEVTGDNLSLSTSRGKDAQHDTDGLNTALGKAVIGHSPELLSLSSNP QVVTSQLLHRVTCMSGRMSLKRGHSVSNKS XP_846027.1 MMEKQWIVSSLLRSSEQGNAEGVNAFSTTTLGLKRQRDSQFYDG DGKPLRGLRREAASLMYNNRYLSEEELHRLEQSLSAGQGNTSVTSTADGKGGGSARRI LNGLPALPDMFGVVPSTTSGGKAAKSAGGENGDSRWAVPAMNRWFLVQRNHVVQNRLT EGGNNSNDESAQQLGHQSAHMGGCGYRWVRADALQVESALTGSLVVAAMPVADTGAST GRTEMGSGGAKHKRVQEELQESWISQACLLAPPETEFSVADAYKGSDPFSFFTVSEDE YRILNLESPQFQPSFLSRHNDVEEATPHHFNFDATMKLLTMYERFGNFVVVADRWTYA NASGTGPADVNGADETLLPPPVEALMERYKLVSEAVLQYRLHMLRTVLRDGKSGRCDE AVAAAVGCRKPESRASREAVVAKSQKDTDDRLIASSHPLVSLMLKHPILETQRQRQEW LTQKFREIKDDDGKSCNNNDNGNPNKEDTNDEVSATANAASEIAMINGHRRSYLKDLA VMGTSSLSNHLDSSQMQNSRDHGDGGTSFAVGGNARSGSASPLESQLSVPLNICPIPT ASPFWYDGLLERVRRERLREDMILESRENVPYHVALMSLQKVGKQAKVLFDRLRTLTQ ETVAASVEEPEESDVSTRASGTSAVVDTGVGGKEGRGARPGKLATAKQCKKRQQQQLT DPSSEDSYQAVQEEVRRVPAICERLKLCVQAGWFLPPSYLGKGCGSDLKGSNGNTNNE NSGGNRGAPVGGSSGGTNIIANRVLSNSSEALLLSLPPNTPRIHRSVEHELEKHLWED HRALCDDSAEVQQLLGEVRVLYTQNVILRRFAGRCGTLKASLKKLASDASSMKI XP_846028.1 MIHSTAMHHFIRTFSLCLNVNCIPRLRFGGLTPEITNNVLQGPL LTPHRTFLRAGATTIRKSGSRCFSHQQTSANCSAVSSTESAQHSSHGFLSPSELLFQL VSPHIASAPCVSRVAHVYSTIPKSFDEVVSNRHLPPSVVEHDTRRWLRNQFGTLSSAL RQLHVTNSTEHWGIYISQDHVYVVKAYSEEEAEEAFATFNAVTHTCDEKFGEPSIDCT SRQRTSLCERESLLEVFQPVFPNFTPPPLPPPSQRQRSFAGKRKKHVPDGVFTPQMLV PYVPTFFVPMSELLQELPEGYTAEHIEGLFAATGTLEIVELEGEKFVRLHGGNRFLDF TLDGAGIAAHERWRAYQPDPFLCQSFCRIMSTSAHWVSLRVLIMRSPTALVEALLPLR GYKTLLFFAQMQHVFCFTPRGEGEVCRAASVTCLSYRDSPTPAVVSELVGLLSGQRAY ITDLQRCDKYNNSGCICISDHAKTQIIMYYGTLINFFRVHGEIFYVEEDSLVGLISDR KITTNTTKTLEDKLEDALMKKDRRTAQKVRRRMSLERNPDSPYTDREVLLDAILRYLP RNRSISLNFLLRSLPLSLSDFLPNKPLALFQQAPEKVRIFEYRYRHRLHLIRPGVPLP PGVLRDTYTEQELLFLCAAELQQQPRFAVDVYGRLPYGAKEVIRLQYKGLLELLKPHP QYFTVVFKDSLRMDSRQALVTLIQMPPSVGLTEDDYGAHVPDDAVLQQLEAEDRDALE ALPDEVRKTIRQGNT XP_846029.1 MSDITYENGSPTYTGNTVLKCFRENGNGLLFRIVNDEEKKWAFY NDTKGYNMVVKVAFGKDSTVQPLGNTKMEKDTATGEFKCELEIAPLATEMFIEGVPNG YKINFEANPIPQS XP_846030.1 MSDITYENGSPTYTGNTVLKCFRENGNGLLFRIVNDEEKKWAFY NDTKDYNMVVKVAFGKDSTVQPLGNTKMEKDTATGEFKCEVKIAPLATEMFIEGVPNG YKISYEADPIPQWKSVPT XP_846031.1 MADELSQLGVNWVEESLLRQDVEANVEARADAAAAADEAELQRR WAALEEVERTVANIQEQLSKVVAPVGTAAHKIVEEKESASVLQFSLLKAQRELESRAK ALRSWQIECEARQRRRVEEAKQRKQVTEQEAVMARMRNRLSRTLQPVADVQGLQEGVG SAERVPASTNHHDYHDRLTTGMGSTPQVWWNGRIGTPNGAASVTHSNISTPSRRQRLS SSTSADVPLTPAQYSCGPVLPSAYRVTLTPSDVRSKLQKYADDSDLSIYSARCRKRKR LEGALAGLAALEAGVATANGEIKEEDTDEDSGVVVKCEGIGMSSFPKVKTEGSAHEKS GTSLLDAIDVDALMEESDDVAVEVLQKVKKEKRASAGGRKHRERENTTQNFTDRHDSI EVLRGISLASSIYQKLFDHQRDGLRWLLNLHRQRVGGILGDDMGLGKTIQIAAMLNAL NHSNQLRGPSLIVTPVTVLRQWVAEMHRWAPYVRTCVMHASSASTISREKLIDSVRGT PAVLLTTYAAVREHCRLLHNACFQYVILDEGHKISNPEATVTIAAKSFPTPHRLILSG TPVQNTLKELWCLFDFVKPGLLGTLRRFEEEFEVPINASKNIRASPLALATAAETARV LHESISPFLLRRLKKQVMSDSLPEKYERVIRCPLSDSQLEAYVDLLSSSRVQRLMSNT LSYTQLMGGLDRDGRDASGCLHIAGKRFQLMRDKENKGVVRHELFCVMHELRQICNHV DIFHMRQAKDFNYTDDMENNFFLDVVNAPTATARKAGGKGTTHFSMRSNRPVNYEGSS KLQTLRQLLKLWQRGGQRALVFSQTRAMLDIIENMCEQESLTYIRMDGTTNSLRRQEL MDRFNEDDRIVVALLTTRVGGVGVNLIGADRVVIFDPDWNPVTDEQARERAWRIGQTR DVGVYRLISSGTVEEAVLRRQLAKTYVTEKVLHDPKLQRFFYEQGSLSESFYLGVEYD SRVPLGKKHIVAAQELFPLLKEEHNNEEVFALTAVGHERRIRGTEEESGGASVRAKSE TEKTAAASVYRVCAPRELFPSATTTSRTSSSNISETSLLQDLVDGNHVRISGVDSTAQ RLACTSASHAMLRVSNVIRTFEHQTQEAFARLPRVEGS XP_846032.1 MQLYKRINSKLCEEMRRRPTRSRCNDGYDDKHGHYFMYTGEEIW GRYTVLGALGRGSFGTVLRCFDEKHQEQVAVKVVRNGDYFRAQGLIEVDIVSRLNNIP ALDNLVVRLRKVFMWKNHLVLVFEMLSMNLFQLIQRTNYNGVSLDLTRKFAYQLVLVL KQLEEHDPPIIHSDVKPENVVLRDASRSSIRLIDFGSACFMRQGATLYKYVQSRFYRS VEVILELNYDTAIDRWSLGCMLVELHTGVPLFPGKTEVDQIARFTGVLGPIPDDMIER SGKKDIFFHDSRQSQQQHPLGTGCPPEDTPEEETLPAVGQRQEVVASPSPVIAADVLH SLSAASSVSAVTATSGPQGACSSGVPATSGPSPTCTTAASLSGRIVTRNATCVPCSST LGMSCQGGSGASNNNIASTKGTSASATSAARRPMTAVNMTSSGKKGGAAPSTAMTGAS RGLSSTPSAYKMRFPSSNSLKPQSKSPSTSNVGVANANTAVDSGGGNSNATENAGHST AVVSSRAPAWSRPSNSTPKLRPAAGVPGSGTGPLFGTSTLPQGRVTAPQQMEATTAKS PFTLRVPPTPEQCQSLADIIGVYTGGPRGCRRGQAGHDVSDYLVFLDFIQRLLCYDPK ERLSCADALRHPFLSALEVQRQWKYLGVGSS XP_846033.1 MATEVKPAGSTLEFLVGENNEQQLWAEVYTRQFPTDDEFECLTA EEQGELLAELREEVELLDVEAGMMQRHAESLRLTRSTAPTTNVSSARRSLMAFVSGGT GNLSEEATPGDSAAVNQSDDWSEQQVAAAATGSGVLNTTSTGSTRRGQPYSRKLADAK DAYVVLEDRVAMLTKERERMKQQKDKEERDNDHLRDLFRATVEEAVNRMKELRLEELQ FNREVICEATGTASADDLLRYMNNRHGAQGKYLDKLNAQCAAAERSILQHQRNLKQRR AAGEAFHAIDFEQLRIENQKFVERIERKNLELVELKGTSTRTVQTLNNLMDTLNGLTS EQSRLRKDYKNRCEYLARLKREMVSVAQEAKVAEQKNTAIKLRHEAVRVPKIENYMAQ KAEEYELRKAQRNWQRKVEIAEGQLGLMKQQIRVLVNATDAQRRRKRLLAHQRSTAST KHSVHGRRTSVSGETRTANFACPDGTIDVQVQQEPVVGGSKGLLDMKRRQ XP_846034.1 MSERETTSHSPELGGDNNGSVVVAVRVRPLEGLSIEAGGALSMS RFTAQQRMHRRGMSAQEGDKPAVRVGVDRKTVVVLDPENLQYIRESFVFNHVFSPVLL DESLMGTDGGSVGDIARPSGDVYGGISSSGGYNSGVLGCCTKGTKLSAEDRRAELEQQ EVYELLGAPLTEHFMKGHNSCLFAYGQEGSGKSYTLSGTARCVGVIPRICKDLLSRAS APCRSPPCEVQRKQEAVKNNLLPLQVSHMSFALRGAYTSAKSGGNFSSPIKNAPPTVL PPSLRNKQNASRVEVTISYMEVCNDWVRDLLKPKRDRDPKCGDADSCTYGSDAFERLK VRYYPRHGPSVEGLTTINVRTWEECWSYIQYGNMERQQLISLRKTHGHTHTIFRITSP KVDPFDECITSVECSPKIDVVELSSVGCLKNSRSPPNTAGITTYEDCRRQLREFNAVQ RSLGVLSRVLQAVASGSKNAPYRENLLTHLLSDGLVGGSLTIFCATVLSDVCAHAETL TTLRYAAQTCSTICCMKPNVASVASTGCLNQKQLGTTVESLSPRFEGSTPTVRNDVRG MTTSVSLPFWSDCSGSRAGAVGAASDDGSPSKNGAKGAFCVECLPYIPGAKVERVGTD SYVPPNNCSGVTNITALNQGGCSGPGTLLRRDQQTVGLPPLTGSPGVSSSPRVSWSER LKASASFNNLGCGNTSRGGVEGWQLRSPSSVSDKPSSPSTSAFSSPRNLLRPSSLVPN SGTAVTDSPLQPHTPNRGVGRVSALASSFLILTSPSAVAPSGRIAGLTALGGAHDVIS ASGVTTGAFPSEVLGGSPIHSWTQHGFLGSPEFVRKKESRRNLVKVFTPEELQPSLAS PESCSPKQSCPPTPILSTKNNPTLKPLATSNPVSPTVK XP_846035.1 MDLDSFLDKKSKKLAGGKKKAKTSELSEKEKAQLGIGVFLGLDV TPAAHAQSEEAGEDDKRESKNGVSDPDGVAAVEATVQSGIQTNKDPDVPAVRNAWVDE GAEAGGGAEVGAIPGNLAVPVIRSTGKKAKGWDSVKVTSGSIEDLLAKATESGKRQKF VARGNEGATGSLGCEVLASATSFPSLDELTGGAAAPAKETGSTPTLDTCGSVEDVSAE VDKVTSSTYKPPVDKPVSGVYRPPTEKPTSGAYRPPTDKPATGAYKPPTDKPFSGAYR PPADKPSSGAYRPTAAKLGEVMAATTARDETSSEKPAAGAYRPPIDKPSSGAYKPPVD KPSSGAYKPPTDKSSLGAYEPPSEKPASVPAVPAESSAVKPSTGAYRPPTDKPPTGVY KPPVDKPSTGAYRPPTDKPSSGGAYVPPSERLATAAPGEQLSVEKPSAGTYKPPTDRV SSGSYKPPTDRPSAGAYKPPTDKPSSGAYRPPTDRPSSGAYRPPAERSFGSTFRPPS XP_846036.1 MGNEPFLLFRGSELLPGFPSSRPEGNLAQPDEGDVERLPTHLIP IEPLTHSVVRVVCHSSTRAGMSTPLAQMCALTDVRRLLEEERDKCVETARSWEGLCAL HSHYPHDHATGCMPIANEELDCVASSLSLDESFVKEVLRSKLWRVKGNAESADGKVEP DLKRLKIVVSDLCQLLWHHRDGEGSISTDGVAVPDSSERCEVVRREKRKASKVEAKSV LSIIAGLTKDSLDELTASHGSVEPLLVGLVFSEVSEETCNHSGGETVGTLVGDDLLRL FDKKVEATVENKDGYGDTVDFSARVLLLNAVMERKNMKNMAHASPMWSALLSVVNFVY VTGDPATVRYVAQLINHVQCLTQQVTAEPAPRPHSKVDISLFYTPLMGVENEEGLYPS GARCVSNLKTALSEAVVGVANKKRWKPEAFSPGGSGGFQDLLQWSLMLEHVWHHCGSA PCHKVSRGIEQWIGMAVETAADIFASTAEALLLSMYVMEPLPPVCLPVADNTETAVKS VAGSPGFSVGDVLLSAHRVSLLVFGAVVGPCASDNPLLRREALEDLYGAHLKASEAVR GRLERFCARQAERTVDASPALKNLEKYQSQWSKTVELEQGKKGDSLARILYVCMEGMH HILSTPLIKHCDVPYDLGENAIAGVDGWRCSGATTSCVGRTTTNCGYGAKVNQRCQVT ESPITSSWASAAKSSAICNFTMQRLLPMLLHSLGQQPVVLNGNSIVNVGTRRAVQSWE DQRMQLEGRCRAAEAMIEHQRCVIGEIRNQRSALGNRLREAQRCMRKLVMMCVGYEEG HASYAQKLAFRLKYSLEEDITSIFVPIEVKPVAGSDAWDGGETSQQSSPSPLVCGEAS MVAPLAGRDDLRRLMFRDAQMQLDACHNVVRCVCQEARENLNTLSAAMMECSISYGNV SPNESLRAMFSTSLYGTRTNEPSGGYMPPTGDQECDCDGSNQGDMYQKDDSKHTYPST IIKVPLGLSTHNNNHKNFEGKNQPRGRALEISSNESRPLSHTGKTTWGSRDEQIYQHE KQQTLNTSTKRRYVSTPSASRCSSRDIVAVIQSPKPSKAAIDAIAHLQKKHAEGKSHH QHLKKQYEDTCLSLVKTAAEFQENIARQKIEQTCWSEQNKILLAFINTTVRETQISMM KQKIEKERTLTEEDSRKLATDRRVLDLSKVYFDSLNEVRESMAEHYGTLMCECKTVLS NANATSYEAHQQLAEEIRARELATDRRVLDLSKVYFDSLNEVRESMAEHYGTLMCECK TVLSNANATSYEAHQQLAEETRARELATDRRVLDLSKVYFESLNEVRESMAEHYGTLM CECKTVLSNANATSYEAHQQLAEETRARELATDRRVLDLSKVYFDSLNEVRESMAEHY DTLMCECKTVLSNANATSYEAHQQLAEEIRARELATDRRVLDLSKVYFDSLNEVRESM AEHYDTLMCECKTVLSNANATSYEAHQQLAEETRARELATDRRVLDLSKVYFDSLNEV RESMAEHYDTLMCECKTVLSNANATSYEAHQQLAEETRARELATDRQVLDLSKVYFDS LNEVRESMAEHYDTLMCECKTVLSNANATSYEAHQQLAEETRARELATDRRVLDLSKV YFDSLNEVRESMAEHYDTLMCECKTVLSNANATSYEAHQQLAEEIRARELATDRRVLD LSKVYFDSLNEVRESMAEHYDTLMCECKTVLSNANATSYEAHQQLAEEIRARELATDR RVLDLSKVYFDSLNEVRESMAEHYGTLMCECKTVLSNANATSYEAHQQLAEETRAREL ATDRRVLDLSKVYFDSLNEVRESMAEHYDTLMCECKTVLSNANATSYEAHQQLAEEIR ARELATDRRVLDLSKVYFESLNEVRESMAEHYDTLMCECKTVLSNANATSYEAHQQLA EEIRARELATDRRVLDLSKVYFDSLNEVRESMAEHYDTLMCECKTVLSNANATSYEAH QQLAEEIRARELATDRRVLDLSKVYFESLNEVRESMAEHYGTLMCECKTVLSNANATS YEAHQQLAEETRARELATDRRVLDLSKVYFESLNEVRESMAEHYDTLMCECKTVLSNA NATSYEAHQQLAEETRARELATDRQVLDLSKVYFESLNEVRESMAEHYGTLMCECKTV LSNANATSYEAHQQLAEETRARELATDRRVLDLSKVYFDCAGVLCDAVFKKCIFFGGV VFDICRDCLVPMSICSSVSLGGVYALGGIDGLVYEMELRKRRIAELEECVRQKHIVIT SLKESIANLYGLLEAQRDLGMVAPPPPPLVSREFGVLNSSAKEAVAYVNSDASFSSTF FASPSRVLSPGVNDGVKLKVCPRSFADEAVLSAETVGGAFTSSNDHHVAVGGVVGHEA NRTDQITDEGERLQGWSLPIDRKEMRPVSWYLQSIAAIESTLKK XP_846037.1 MPSLANGLRCPDALITRTLNPLLNGPKFAVIYCGNHQYKVAVGD VIAVQRLRVEIGSHIALKKVLMVGGPRFTAIGRPLLEGVRVTAQVEEQKRMRNVVSLF ATPGRRHVRWVDAPHAATVIRICEIFYSPNVVGELDKYKGELLETFTPGQHTNPVYPV DDGYDVFRKKDKEAMENATTFLDLLGGV XP_846038.1 MCGTFCDVLEDPYTKDTWRLHDKMLYLVWWSHRPTSCTNCGTQD AWLPSEDSELKCWNCGTGAGTTADHDIVEERFDEANMSEEEEGGPDRSMVEGETSGGF ATGDNNAVVATGGSAVSLYSFFYEWISVLQSTKQLSGIRLPKGECLSQVLRAAYDLAM LFVLPGTPGSGAMAMWGGALFLSLTLYRYAVPFPLFQLGGQLYDLRESPKASSDNRER IENLQWVDVELLSSLIGVVLPDFFESCSVGEQYMCNVMTVTAQFGVPSCIWHPRRISW YQDSILLMKKRRRLPTQTHGTPFPSEISPEHTAMIALLELARLFDSKPSSASVSARVG KWLDENGLYPQRTSYRSKECGDENLNDDNQQPNAAEAAGNASIPAAEEDTAWACGSLT TTSSPFAGAMHHVLQSPCANALLYMFAEVFSIPALELADMASSSGMYGGPVRTFEVLR DSACSGNYGLVPLSRRISPIVSPWTSVYFAIHSNDRLPFLAALQHVRSATSREKEIHF VRLIQAILRKHQSTRRYQFNYTINVKSEKSMSQIDGTYFLCYVAEQFAVFAAIGSAQR TLTFDSTNKSITFNSPQLRDVEVELIPEKRMSSSAKSEKPHEEASQASVGQSPHFRGH HHDKFQNNQYQSLPGAARPQSQRDAHRIHIKFSGPVIANVESSSAKCVIDCARQDLGS VQWQSVVRRLERCGRIRRRMRVPMLASRLDALASVRESTAMTSHPMNGSSTLGWRDTE SLFGSTHHMLYVSQKYTATTLRREVQQDVLSLLRPSLVFGMSESRVVTMYAALIALLE ITDRQLGSQARTSPRVPGGPPARTWLDELLSKEEWNFDDRPTQKTLNDTPSPPYPNRD TNGGGDAMVLPASTAAVQREQQDAKHRNSSDGQQRCPNGSRHSTQCNATTEAFPSYEE VLMGRVKMITNGAGGRNSGTRFLRFTKSQKQRRPCTEGSAGGVSVDNPSASAVDDPSN LNFTSFNLFKAEICRRRLYRLLCFIDEYKRKGHAELKRPPHEIRADTHMAVKAEDHKA FKREDSPPLYSAQTPNVCANRSPRHSFTSARKSNTPLLGPQPNKTTPTTPPRHATSPI VEPIKLPPQAEQSVWGCRSSGSSGHRNGDNTNHTHNRIYHTGVGDRPPQSRASSNDGG SIENRKPMGEWSSKKFRRTK XP_846039.1 MLMNFGGSYDAYINNFQGTFLAEWMLDHPSVPYIAGVMYLILVL YVPKSIMASQPPLNLRAANIVWNLFLTLFSMCGAYYTVPYLVKAFMNPEIVMAASGIK LDANTSPIITHSGFYTTTCALADSFYFNGDVGFWVALFALSKIPEMIDTAFLVFQKKP VIFLHWYHHLTVMLFCWFAYVQKISSGLWFASMNYSVHSIMYLYYFVCACGHRRLVRP FAPIITFVQIFQMVVGTIVVCYTYTVKHVLGRSCTVTDFSLHTGLVMYVSYLLLFSQL FYRSYLSPRDKASIPHVAAEIKKKE XP_846040.1 MFPYVTDYSGFAIRKWMIDNVDVAGFLCLLYLGLVWKGPGVVKS LREKNLINATLLQGVFIMWNLFLSTFSVIGMIVVVPAAIAHISNKGLVPALCERDVNM IYDSPVGFWVGVFALSKIPELFDTVLLVLQGKQPPFLHWYHHTTVLIFSWQSYCEGSS TIFVFVAMNLTVHAVMYFYFAMCASGFKAIMRTIAPVITIMQILQMIVGSAVTMYSAY VLYNPQPDGPQTCDVTKASARMGVVMYLSYLYLFAALFVESYLKPKKRTEKSK XP_846041.1 MFFTPPQLQKLEQDWNGLAVRDWMIANVDVVLYISFLYLGFVFI GPKLFAKLVGTNPAAAAAGARSADGTGSPIVRRSMVVWNLALSIFSIFGTSTVTPVLL RNLANKGFYGATCDFKETEFYTTNVGFWMGIFALSKIPELVDTIFLVLQGKQELPFLH WYHHVTVLLFSWHTYCVGSSAYIWVAAMNYSVHSVMYLYFALAALGYKRVVRPLAPYI TIIQILQMVVGCYVTIFALQELHGEGGRGCGVSPANMRIQLVMYASYLYLFSKMFVAS YIRPPKRPTVGGPSSTAGVSNGSVEKKVK XP_846042.1 MSQFGQLVCFKCRKILSYPLGAVSCRCRNCNTINPAQNLHITCG CCFRHILVPINTLTFLCPCCATITDIPQSLLPLVEGPVSVGTETDRVVKTIYVTYPGK AKPKDKNRKGMKHDDDDDDDDRESEEEGCSSGGGGNIVMGGKATATGREGGGCKTGPL DGDDGDDDEQLVGDGQRSVSNRHEGSNVIMVVGTRIL XP_846043.1 MWFTSLARSRCGGPTWRLFSATPIVSGCVGEFHSIALYAPVRNE FKTSPDCAASGFKAHSSSAGSSSSSSSSSRFTSAAEEEKMSTPSSGAKVRALQLHNLP RSWMYEEIVEFLHQVAEHAGIEQPSYHSTASCNSDGNEESDSAVPHVTSPFVARLHIP FGRRTGIVYGTPIILITSDSLADYLLRDLTFDPDDYRSRIYFTETKADRWYNGVGGLS AVSEEAAVVAEQQQALSTLELDRYLLAPDLLYDMAKMRQRRLVTRRSKLLLHTFADDE SDMKDTHDVEGCDASAGGNAVFGERTEEQNEEEEEDRTRKGGGKAPTLKCAGEYKDLG RGSMHSVPLPAPYVRGRRGA XP_846044.1 MLRSTAACLGGQRKWWKEGRPDFGRAAARRMQLERRRLEASTHP PPVDPTAQQACVLYRRLLKAGHQQLLVTDKSYYARKVRHEFEVTARQTSARVRGIMYE RGQWLVENKLGGIV XP_846045.1 MKVGFMVGKTYLRYVVGPQGGAVASNGSLSCYSSITRPSYAKST GVAKTTYSERNNRNQGRDKSSTAASAVIFTASLEAVRVYSLRSGVLQHTLIPREGKMP LEVTALRVVPLDMVGTSTASATPLNTLNQIEQEGWMLLVGYHNGHVAVFSCGPTSNYG EPVCRFYALGHKIDTSVLSVAIDSQRACLCSGGQDTDLTVWDVVTQEPSFRLRGHRGG IVGVEFVPQRRPTGRLVVVTGSSDGLIKVWELSIRQCLQTIVASDTQVSSILIDATGS RLYCGLRESQLKVFNTEELTASSEMDKELGAVTEHGGVPRKHQKPITSFSFSYDGNFL LACTSKTVEIFRILSRDDVRRKVARKRKRREAKNSGNAPLNDVAEDDGDEPNAPGDED RIEEKLPPTRITTDGKSEANDAGSKSTHSAHATAMEEMALLRTFFLDEKVRSACFVPP FPGMSGGRGSGSPGQLHIAVTFNNNTVRTFTTALTCGDPPAAAMWSLENLSMRHTMDY SGHQSDIRSLQFVDDDTTLLSLSSEKLMMWNISTKDPEEDHRNEHDFYDAVEANVKRG DKTGSLTCTSQVALDDAVAVAAIASNLCCVGRKDGSVLLVDLPAAETVFTDTAVHVGG VRHVTPLPDKSGFVSVGADRRMIVWTLAMVKAGSDAASSGSGSQAASHRHKNSSPDTP EPTDENGNGETDTKNMSLQLLQSAQLELTESPLFAVCSADGRFLAVGLQNTNIQLFFA DTMKPYLSLYGHKLPPTAATFSTDGTLIASCGMDKALRLWGTDFGDCHRSIHAHDDYV TDVAFFRDTHQLLTVSLDGTLKHWDGDNWIMIQMFRQHQRGVWAVAATANSTCVATAG VDKCIRCFLRTSDIVFPAEEEERLAQEAMDEEAAKRAAMQSLNGIQHQQEVGVAGHAT TATAAAAEKIMEALDLVSVELQRRKNDSDSAPPNPLLVNKTVWEYLWSIIESVRPSDL RHALSNLTSTHVDALLDYVEQMLKERAVLNYETAARILLALVGTPASVATTHIARVAV AGEVSEARGARRLAALRRMIAEGLDQSATRMDYNVSGLQFVRKILEDSEKARFFDVSK VQGYKQRYHSRVLHEEQRGEKH XP_846046.1 MVMKAFPLSLLAATTVLLLLLPVPGRCADCFAHSNDYSTPGEPF GKSKHTITLSGGNWGTVIGSDGNALKTALLLDIYAQILRRHAFNTSVTVDDMRQGEHG MEAEVTVTQVLLTDVPRADLQHTWNPNEITSLLMQGVYKHTKDKHDPHSDVHLVSVRL PAATTELHKCGKACWTVRLIGIFIGIAMILIAAYTIFITRKWEKQAEKEPDDDSSREP LPEQEQRRSRTRV XP_846047.1 MTRQSDVQKSMRTISDGAEKAVEVRKYDEKAVQQRMQKLYADVA KKKLAEKKRADELAQIPVSEEDVQLLVRECGWEAQVAQQKLRERNGSVVAVLRDVAGL PKAKASSGTS XP_846048.1 MTRQSDVQKSMRTISDGAEKAVEVRKYDEKAVQQRMQKLYADVA KKKLAEKKRADELAQIPVSEEDVQLLVRECGWEAQVAQQKLRERNGSVVAVLRDVAGL PKAKASSGTS XP_846049.1 MTVKVSFSLTTAAMLLLIPMPTLSKNCFATPRDNNSTDEEQLGT SKHVITFSGDKWGGVYTGGERKLKTALLLDIYAQILRRHAFDTTADINDVNTRGKHMS VTVTITQVLINGTPSEKMQHTWNPSEISSLLMQGTYKHTNNLYNEYGGNSETGSNGAV RLLSVKNPTISEKLHRCGEGCAALRTFSGVILFFMALVSAICVFLIFKWRRDAEKEED EEEEEEDIPTPNKHAVNVLNVDDARMETESNEGISDSNSADNNPK XP_846050.1 MVMKAFHLSLLAATTVLLLLLPVPGRCADDIQQSSTSKHTVKFD GDNWAATVQQKEEQLREILVSDIDRYVQRNGSFLTEVLITSFDPTHNFIEILIVVVQT VRAQVSNPERQHIWPPAEVNSLITQTDYSKTLSLYEGVKRPELISVKVHDGKLHFGEC DDTCRRLLKLAMVVIILMGVSLLILIIYLIVNCCRGEPKEKDESNANHPYNQDHYRTE ETNK XP_846051.1 MVMKAFPLSLLAATTVLLLLLPVPAHSDCLNGTMLYLQPTEFGK SKHIITFKGTKWDEIVISDEAKLRKSLLLDIYLQTQREYAVNTTVTINNMKVREGEME VEVTVTQVALADDPTPELQHVWNPSEANSMIMQGKFEHTKSTYKPHGDVELITVRLPL VPPGPPLCGTSCQILRGVVAVSLAAMTIIVSVTAYIWIYSHFRRPKLEERD XP_846052.1 MATDERFDTMLLAIAQQHDGIDSILNTFFSFLGRKTDFFSQPAM ARTAVQRALDRHLAQAEEKQRQKRKEAPVSRVEEVEDEEEVKAKAAAQAAAEAAKRKA DLERKKEELANAKEDEDGAKPKGLPPTVGNGFDYEHYMFSQTLKEVEVRVPLLVARAK GKDVDVVLQQRRLRVGMKGKPPIVDGELFASVKTEESMWTIEDGHTVVVTLTKQNQME WWKTVMVGDAEIDLQKVMPENSKLDDLDGDTRQTVEKMMYDQRQKAMGLPTSEEQKKR EMLAKFMAAHPEMDFSQAKIC XP_846053.1 MPQIFSDESVEFLERFQYITRRKEGAVPTDSFSLLDICLHTNSV FVQLLITRLLPVTRETEARSVVNEELNTSANATISGMGGVQGVEDGRGRNPTNGVVHG TTTLVADDLDVFNTRLSEDVLAPVLFSFLDFYVDRRLERRAIRQGLCAYFESTPYLRE AEPSDAGLSMYSTVAFLFLSLTRALLQCEFAKDTFAETMGYKRNCSLPTLPWLKSRSE YTIGEPTWYWHGTQRLQTIQKIVAQAEINEDVDETVQESGETRGRTSSDGYAINIVYD DFQTMETRKRKRDASNIPSGHSRVHYKSVGISTLQRSFSTLPYELQEYVLNYLRPADL PVARTVCKAWQALISHSARMQFYILAGRAVARIFFAYMKEKWGRAWVRVDKLTTAHRL FHQERQLKGYLSHLSHVLGRGAIASVMRSLLLKTDKYRIEWDMLKGIWSAIEMEVKQF PFVAHPAVSLMQCMQRDAGNLVPLFALIYEGMEREVIILQLARNCVLYDFIVLEGSEL ILGIIITQMMYFIKGERKIKHNRRDV XP_846054.1 MLWKLFTSSMLAGAVSAYRSTSSSSLAISPPTLDVVMDPSKDVT PVRCVVVGGGYTGSKLAYMLDSMFNVTFIDEKNYFELTNDIIPIIANPWSELNEEACR RLLVLHRYYLKQANVLTGTVHGVDENTVTLRDGRTVPYDLLFITVGERKPYPFATKQR TVSGRVQELKNFNEFIGTCKKVAVLGGGPVGVSLAVDLARNRKDLKVHLYHSKPELLP ALPTTSQRYALETVEKCDNITVNLCSRVTDVTGYDALGRRVNETSTSMLSSLLKPLTG WLTWGTSPDEPSTFTVRYEKMHFAPRPRQSIVNQAYFGTRQPQLTSNTVESTGEEEGY DYVFSTIGDVPRPIVSGKGCTNILSEHEMPDGHYRVSTLMQLYCRPNIWAVGRCNNIP RVRGYGLSDVEARTVFRALNSVVHNPTERFMHSRDGLDLRRLNIPRMLVRLGSDDAVG STPWSGAMVGLAAVHEFMQDRNFLVKEFQKPIFYKRQDAAKVKQRISNWAAHEITDIV DFSHC XP_846055.1 MLQRIPPYRDPLVQLLADALIRGLEIYRKNATSDVLVEFECRLG TYSASRHNFTHPFATNTQTPAVLDTAASAAPFHFFSGVSQATFHALHEKLKKTAPAAC VPSRSTATLFVHTAGTQRLEYAMNASCSEGQLLSGSEKERLFVHDVRCPAWAADFRVC VSRETRIPVKTWDLSTWQLFTPKVSRLRQRKSVRVGALLTVDLAVVRSFTDHSRIARP LGQQLQLPLISAPYLSHDVELEVNLEALHREVRRTRLVESTVWHTAQDVLKLIQFLTV K XP_846056.1 MTALDFQFVQGSERLDWGVLVSIDIQRLIKDTNVDTLQRVVENI AFARLTRDEAAMFTPDHFIHLYTLCQLVIQYLVCSQECLAKINVKLNERMQESQQGVD SLKQECDRLNQENSVLRKEVKAQRRTLLAYEYNRAGRNATAGSTYICPQCGEMYGKCE SLQSHIRKRHMMKPAVESPLVQVQPQQQPQTALEPPRQPEQWKTDYDLKMHQLQDKLS SIEQLLEKERDRNDRLQREGMMMMMQAALIGQKPASPVPPSAEGGKANVQAGQPISPQ QQKLEQTKSEPTLPGLPTVQAAGVAALPHRNSQQSLFAVPVVPDVAALQQYNNTRQQE ASNSALVKQVEELQKVVKSLKEGKQSESALPATSVSGNAAGPSASPSWLTVNEMQQGN AEKPAISALLSRAANVGSANTAKVGPDTNTSVPPLQTQPLRAVQELNPQCVAMTQQPL IQQQHPLDLQRETRTLPTATQQLPLQQPVALHPTASATIPLAPQQVPPATAQMVQQHP SDPHYQTHAFPTATQRTSLDQQQPITGLTTITAPPIESPKIQTAQQTSTHLATQQAPN PQHVPSTQQPLAPASIQQQHPLVEPNQIYAPSAVPQQLPFPGARPAVPQPLQPQPQPQ PQLLPSAPQAATAPPVQQQQEVTKGEPQTVPAPHTSVAPPSLSQQPQPHTVTEVVHNT SHLTAGVVAPVDVMPITSAARPSKCIVSNCASSMASMGSLPQLFSTLKPPGQVQNSGK SSLLDDSLSVRPKPSTAAPGVDCQVVQHLVSSSKPSTAASSCTPEWLRNDSITDSGRN GATGRGYAPSGGTNFAIPKESNVVPTAPMESPPGLASTTGVAVSPPGTHPNAGLETSN SVIRAIPVPVQQHQPGPKVMVPPFAASLPVPVPTTSPPLSLGSGVAPMHVETGVAQVS ADVKVANISVTDLSSGGSSV XP_846057.1 MGKPGGFFKRLKNKQKRLATHRRRGTKGASKEERRLKHNELVDY YAAKREAEELAAISSSSSSESEAASTDSELEARKEEKSLLALRRVLGIADGGRAVKRG RNNSHNGNDNNNGNNSKRKGVENQRDNDLSNVDTTAVNGTVPSDGGDDDWRTFLPQDE EEDEEEELEELEEENSYAIESDGQEVGTEDGSLEEDDAEDVMSMEESEEDEEGEDSGK EDAYEDDEEGDGDQQKFEEEKVQLLPQFHLGTAKLEALQRVVREDDPWFTKYHIDKHG SIDRSPMKLLKQKYHTEYGQIAVSASAHAMEHLIHEPFRVGWQGTASAPQKLDKRPPY MHETLWNKWLDYRAAKSRGPMTAEQRGLLDLLQGYPDVMDCCRSAENAEARREVFLLH ALNHWYKARAVMVLHEAFLHEKKRQKRKNSKKKVKGSSAVSSKNLDDSDGEDGEYELR DRGFGKTRLLIMLPMRNIAHEYVTTLIELLRAIPEDCPRLATFDEDFTELAEAMDPTF SRRPRDYQRQFAGNIDDSFCVGLRIEPSRVRVYTHPLNSDMIICSPLGLRRRLERNGD ASVSLSSIEVCLIDEAHVLLMQNWQHVMEVLGLLNKRPRDTTYGLSDLRRVYAWALAG QSGRHRQTIISSNVTNAVLLSTFRTFVNNSGRVSLHRREETGVLQQIMVPVRQHFLRF DPGSSVESCDDARFDFFTREVLPTKILPPVSRDVRTIIFVPSYFDYVRIRNYMIREHR DSFAAICEYTSLRQQRKSLGQFTDLERPLLLVTERFYFFRRYFVKHAEIIVFYSPPVF SSFYVSLVGRLVATSPNAFSLTLFCRYDSHELNRLVGTARARQLVEREAEAYSFVTN XP_846058.1 MNPSLSDSKFEKAMSKLQLLEDSRTILHRMLQHAHFSFSNAQRQ MDRTGLLLAWEAVPTAAGAVKPQYCIVREMKDGGNGGDAGVGAAAISDDNVDCAVWKL VNCGDSAGEDPAKWFAVAPSEALRECQQHFRGVVNACVKVIQAQEEAITAAATVPVT XP_846059.1 MGFFKKRYGVMRAADKSGRHFIWTIKDFCKYGPGTTLDSANVTC FTQVKFHLHIAITEKGNIGVYMHYKSVAIPKYSYYFVNSDGAYMRQHTAHTIPPTAER CGHWNTCHQNDMLDFVCSDGTIILHLHFDDDVITLEHQKLPSAGIGTLNVTWSIPRFF QQVLNPFTSGGFVLSRNLIFIRLEAKRETGTTDVVGVYNVDDVKEFVLFALGRNGIVP PHSLKLLDEKGGCIAELPMSAEGGTRTIVISKEEVLKAIGRTGTLRVFFQLQDVGNPL DALNFLSCAAQQRHAGSGEGDSSLEEPLEMVRVGNKKETYVVMDERHEQHRLAT XP_846060.1 MEGVASSIASVDRHIARIEYYLKRMRQNSVAHTGLVRLPSHGSA LKGYHSSLRSGTTAQSQPKSCVSPKKHHHTVKNIEMGLKPEFKSRTDDIKSETKWTPL CKNIEGLTQTHAKHLPKRAESAIVGKDTKQPNMGLRGKSDEYLSRQRRKQSTGFSETN VTSALFLGATTVLGDSPPLMNTTSALLHSGESSFDSLLSKASSASFSSFEYDPAGEFA TRTLQWRRAAYGLLASHYEKAFGLLYMAELEEGHSSVTAADLLSVTNLDHRFPHFSTE NTSGYARPSALRNDKLNSASASSTHDLIHAERSDRGGSWSHHSILRREPQEDRSSLPN YSKHIPNKQNVGARTPPLGNRCYELLTETQHRDAVVGRTIESQEDEGVTNLLRWAGES ADSAAERSAYRLQERRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESA DSAAERSAYRLQERRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESAD SAAERSAYRLQERRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESADS AAERSAYRLQEQRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESADSA AERSAYRLQEQRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESAASAA ERSAYRLQEQRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESADSAAE RSAYRLQEQRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESAASAAER SAYRLQEQRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRWAGESAASAAERS AYRLQEQRRYLQCQQLLSELQREECAIRGTIESQEDEGVTNLLRRVMRSNTLRSGSML SVDVAGICASSDDKIVLDSEQRANTVLTTVLHELSTTREPLEGAGKSLFGVSDRSGCD ISISTTTGAEAIRYSAALEHRVTTPLRVADVADQHELPAVEATYPTAEPTKGYVWTED QSNQVVSSYSDMVSCVEEQCYISLFKLVQREARNRWHNERAEYYARNEMRWDELNCYR SLVQWPPSLSLCFEEYAGDVAGSGGGHCEIEANNTALFKDEARATEPYTDASLLCKRP HRNEPALSMVKDVTEPLEDPVPAEYQANEQSTGSLVTFDEAEHPKQHEKAVVWSINIT DGRCSTPPHLRDRPWAPLLMRKSAVQGSIANDSDFTGYNVKRRKKVRGTECAGTATHG AASASGEARFVTLSRSNPCGSLQVCQKARQQGNTAAPIGYAEIYKLHLRKQRAQSLRG AQHLQPFYSKVTVSFLESAEWRYQKMYVDNYLFPSLSPMRGAPRVRKCADGVCSEVER AASIWDLAGY XP_846061.1 MSGGTSARRAPSCSPWQHLVSVDISNTFCTAFAQHLSTVQNPQE FSETLSTLMCKWRDRKWAEITVPSTSTGGRHFVIPTRRRKDRRSIANGGVENNGFLSE NFSNGVPIGDTRRDGAVTAYYESIRVQRLMEEVLLLSSEVEAEREAELIKLGEVEGMK AQRRAWRRRCCLTRAVDELWRFSCTGGSDFLLPVTELEAPNYYQRVRRPVSLSTLYCS VWDATVADYADLKALLTLMSCNCEHYNGSDSILAAQCRKLVKIGYRAVRDAETYEKQQ LEADQELFSTLCGQDTVGCGPRTGTGTGVLRRMMDANGDGISMEPLPADELAALFPSR YQAACDGAVQSADEKLVCDANGSRTDDTVSHQVNNGGRRLVLRRKPPVQSTENGKDAP VPAHADPSGKPPRPRLRLSLVSSTSTKTETRPSGGSEGEGGGILDEDDVWEKPDRVSS RQKEGAAISISSKSSQVLRAKHGRKSLKKEKESRRRVGQADLRRKKEKSDHERRQKPP KVKREKADRGSRISKVKSGRLGGRDNGKDSKRSKRRLEEYSSTEMLSPLPTSSSTSSS YYSSSSTSSSDDSDTFTRRAPPSISVERLGELEAMMNKLDKMPLQQDTIEEVILTLKR VENELN XP_846062.1 MLTLRVCGTASVEVKERVVDGLLSVTGPQLFTYPRDGEKGEPSD VFRLCIDQTAAGLYMKRQSPGEEEEIYDCRFVWGEDSTVEEVITEVTSLVSHRQFSST RGAVEPSVSFLSVIRDGLASDGGLFTLRKIPQVTASQLKYLCTAPNLSYVEAARSLLE RLTDMSLKPHILSKHILEAYDPSRWNGLKDVCPLTPIHPRATSVADVPENHSDWHNMY LLELYHGPTGAFKDFALQLFPRYFDTAVAAVANQKGGDNNGCGGGEVVSSSTAAGKPL QYMILTATSGDTGVAAISGFVNAKSPCRVMVFYPLRGVSPVQKMHMISYDNGTTVRVI GVESDFDFCQQSVKKLFADEKLERELVNDAGVRLSSANSINWGRLIPQVVYYFWAYRK CIQQNGDKVTGALAFGDKVDFVVPSGNFGNILAAYVAKLMGLPVGKLIVASNTNDVLY EFVSTGCYDISHRHLVPTASPSIDILKASNVERFLFILSDGDSKYVSEQMGRLEFEKR FEVCGKVLQRMQETFWSSKCNESNCAAAIKKIFDATGKLLDPHTAVALHAATEYRREH PETRRPLVIASTAHWAKFPAAVLHAILGEDAVGAEGVESVTDPAESCKYLYEKVLALC PGEAVHPSLRAVLATVEARSGSLKPRVATRDVSLLRKEVTGFVVCTSEGSC XP_846063.1 MGEEENLRRNSLRSSVRPSVVAILDLPESNECRSVSRKATENAR ASHLEKAKGSTDDADGYRSSAELTPAQKHSDGNHSFTTVPMWERRTSGVGNGSSDLHT DACDNSNALVGFPTAEGGGGPGSGRHIDKIRSIVESTSSSNVQRELSRTNDTIGKRRV SGSTQCSACSDNDENNAPTETPVWRWMDDVHWPSLDGERESCSVTQSPVTARRPAHAE QSADNHPLPRDGSMSRSSYNDAHSGFTPSDEAETEHCSCRISGNSTVASRVLGRVPGE GGRCINLLQRRRRVANGFSTARATGLFGTGGGSQTSVSPAEGFTATLSGGHSSIGGHH LAFQHNGVFMKESCSRREESFYEMLKPVQEYVERYAVFFAALYASEEAAYCRDKMGDV DDKVVVEGNATTEEDTKAPLTPGVCEDEFVAECDASGDDGDPDSSNTLVGPPRDWKAG GHGASGTYGVAGDCAEDIGEGNGEEAVGGEGGFPISHDMSSSTEGHLFSRDVKNWEFL VRLAPFVPRYHGLYHVRVNPTGDVDSVRAEAVESAQECALTSSAPRETTENRSGEGEK IAGVGLRQMIVLEDICSGFQHPCVLDLKMGKRQYGLNPPEAKLRSKEHKAAQTTTKLY GVRLAGMRRWCPDKQRYETRSKLAGRLLSLDGLRDTIYRFMQRSSRIQQVFKRQIMRL RRAFFQDHVYRFFTSSLLFVYDADDPLTSARVVMVDFAFTYEREELLRGGDADAEQDK DVGYIEALKTVLDMLT XP_846064.1 MIEFASPQRKGLLSPLLAEQVHELPVKGPFSGTYYTVKENKVAA TVQRPPSTRSRAAAAFPQPTGLAHRWRFIKQEVFDSNYSPPPALRNRYNTTAAPHQSP TPRKAEQQSQQKPQMNTGAFSRRPSTAVTWDEFHRSDAGTHTNHSPQPSVWNTPRGLL ERALEDDNDVELPLIQQVVKPEPATRGPVVSRPPSRSSVSPVSSTTTATETHHPETTS SSTRTIWPNFSVTHSVEEKATNGPSCDEDPRKTLELLTRTPVRIGSSTVEFTSCDDGS RQSPGIRGAHSGLLPGASFTDQAQRLRVTLLTSAYQKMVDDLVEMHEYTPSEREAIYR HVSIFRELPLQSRYHEMHPLVNMRLAKVEVRPRQLQRKSSFNAVETHRGRTSRSGSRT GRRGSAWRRLSSVGLGSLNNPDKGSGNFLRENM XP_846065.1 MSSRYDSRTTTFSPEGRLYQVEYAEEAISQAGTIIGILTTGGVV LGAERGQQHGLFDTENMEDRNISGEKVYKISNHLGCSVAGVTSDAYALINYARLSAAR HFYSFQEPIAAEDLCRMVCDEKQLYTQYGGVRPFGVSFLFAGWDRHYGYQLYHTDASG NYSAWRAYAVGQNDQVAQSLLKRDWKPELTLEEGIVLCLRVLGKTMDAVKLTPERLEV AVLQRVQAPLTQKLLDPYGVNPKMVPSFKILTDEELKPHVDEANRQREAEEAEEAEKE KKNEKRLASP XP_846066.1 MRSVIRSCVIFPAAGVAMRHDSSQQWVLNVMYGTGLAPRYGSWK SFGVGDALKENDTPVLYCKALVHASGGGRRARDWVAGCSAALFPSYELLKIAMRHNSS EEDVERYRRHLVETLEKAQGPQTGSANDAGNVTSGEDGSKSDTENDTDINMRLSQMPE VRCFVYDAMRAACFHRYKKVPEEQRVKLFTVAARLGLDEGTTMAIWRLVEKEGIVARD KQRALESPWND XP_846067.1 MKSGKLLIFGGTGFVGSVVVRKALQRGWRVICATRGGVPIHGSP LYNDFTSLQQKHGDTLSQGVAHDTCHVPQPLEFVSLDATSRLQVFQFMDDHPDASAII SCIGLLTRDHEMARRVCGDANVNIAAALYERRAVARRMVLISAEPVGTRAGFFLNSRW ALKGYFMGKRVAERAVLENLGDDGAVLRPGFIYGTRHVPLGEGCVPVPLWPIGKPLEA VLRPLHLHGLLVPPISVDVVAEAAVRLAEAPGPSTVLDYYGMQQVCGLPQDGHHVLGG DKTNKEECGDNNQSNK XP_846068.1 MLSQDARDNFLHRCCVQISSDLSNETVLASEIQHTLRFVNEHAQ AHPKEITRALVWRVVDTEKTELDDRVGAWLLLNAVLMYCTEEKRLHLCASIIEEINSF LPDLIAHHWCFGRNTSRLDTLGANGGSTNGEDVLDAYGPGVVAALFADKNASPSRSKE LRSKGLELLETWKKVWRGDVYQRLKQATRTAEQRGSKATKDGSERLRVPPDFRDSLHR LRRRKSRPSLSHHLLRCYGWTPPAPAAAEAPVQESGDVAAAGAVMGDIAPMSNSSYIK TCLPVPASTVEVDALDTVMHPHARMWLHELVEKKGGCPRCDVWTHTEAQCPCERPFVR FPMITANGQQNNYRRSHYYSLLQKDRGGVSRRNAAEILFKHRIRLPLVYEEALDCIVN LIKNAEPYDQLLDAFDTVRGATTGPRERHAMWIHASYGILPSSTVFGKPNDEALSPAM ERVHGFLKKSRRFREWDQLLQSVEVLDIHFRQAKLPAQMYQSIKEVQETNSFFHCLID GSLPEEYPPDLYACVPTEVQLLRPVKDILCYNCLEPFHAATHCTRRKEPWDLHVARNL LKEHNLLAMKYPEESHLLEEALKAIDMSDRKAKRFRKDELFLAVKLINDNRIPYCKTC DAMGHGTRWCEVEARRVLEQHHLKVIDIRLNPGLVQDRIQRLRADRNVRDSSRLSDAW EVLGKDKAYPESFLTAIKELTDARIPLAAARYSTATVRAFLLYVKSNDLLQHLAQLQV DNFPDVCLFCDSYYHASEDCEKAKDEERGFLREVRYNGLTLWEYLRKEEWYNRHFPTD FTKGQEAVVRLANQFKDDYSPGGVGRRMFEEYHNLSNTFVDRRYGENSKHAAVLAHES RHQHNKWNLGINHAPNPLDTPLSQLVLCSDADAGGEQVPSDEIGVLEMNSSFVEANIN SNGSSNQVDNFSGYNRGGLLETPNIGELMPIGSDVEGGMRRSLLPPPVQEIETFTTSA LMEPSKKRSREADGNDFGGGESLF XP_846069.1 MNCEHVAYTTCASNGCGVLTANTFTPLTLVACSVEVEVHGNSAR LNVRYEYNNYTGKDQRVIAAYPLPMWWDLMSCRADYAKDSVVGVHCVTIPLNVEVSDA AATSFPILPGPKPDGVVAIVAAQRLPWMIGLGSSVLIGATYAVPLNALCKAGEFRMVL PMELFPDAPPPPPSTMEYESLFAMKWPSKLPKGLTIDVKCKTFTPLAGTVELRPTGGN VCDPVPAQVEIVGDSGFRLHYEGPLAARVRGGFELFCPLFRTIEPLRFFVEVDNGREV CDDDRYALTLVLTPVVAEQLSATVNAELIFVVDSHSNYASACMSQALRVALYGAPDKA PVNIILITEENDICLCPGGSTQVNQLDIDGLAAFVAQTRPQRPSVGVSHLNRVMRSLV NSESTGPCGPVPRGFVRHIIVLSDEGTKSHAVEAISLAAHHQHNMRFSAVGLITAGGA NAAALQLLAQEGGGVYYDATDAEELQAVLAQVVSLVAVPTVTDVELRFREPEVRVESK QLRAIPQGLQQFVQCFVPASLENFHVVVIGRIGSASVEYTGQGSLTEVFLTACSEPQN AFSVGMLHLSAAASRIRYLVEGRSSFTLNKSEVQEVGRYSETYMLPSPFTEMKQIRPS VGGGARSQTIPGAADTPIVAAARYVPRHWLYAQFLQRLSCRRLAEGLIDCRPQQLRQK IRQLEGATTNGDAPIVQQHSSASTLGKPRTTKEFIRHILMDIVDSVLATSLCVRRIAA LQAPDGSFSLDSRLAVCVGLPCDRMKLDSLIVEDNAGEEHCEAQDVCKDKERLWATSL VVVSIEKQPSGIVTLAYRKAMSFIENNDPKGGFINRAREVFAGV XP_846070.1 MTRGQNDTLRDVEQDTTRMHTGVKLSKEDERMLDDRINANTLVY PKQALIIVAAIASFQPVYLSHAVNGLDWINPINAFLYLVIATFTAYMLSQAYTVMVES EFWRQQRHYSEVSKGDEKLLHKYRLQVAVGYTLFFVNSVFSLLCTLLHVYILRHSDPR VSFMLSPTLTAALLWLVAQKNEESRQRRMARHK XP_846071.1 MSNCIFCKIVEGAIPCHKVVETAKVLAFMDINPLSRGHLLVIPK AHAEFLHEVEPDTAAELGSTMAKVARAVAGDGEVKTQYNVLQNNGSLAHQEVPHVHFH IIPRRSTQEGLSMNWKTLPTDHTAFAEDAAKYREALEKL XP_846072.1 MGDEKGHDVYVDLTSCAFDPKTYISRMYANASHDDLQQELLVSV DSEAEAAATALQQLLRDSVSTFVVSKETLDTMYNNDADLFTGDAVGSLANSFRKAVED GEAIVAPTMELFQSLCACKVTRERLAKFITVWKVPGVIYEHCGARVPRRRSELQHDHQ RLQDLQEDQMASSRATRFSKGQSFCETEDDTRDEVYSATGSAFPPAATASTRDDNSSV RCIDDDEKQARQRISRGALEDIAFDGGESSEYVLLDNEELENWYGTPLARRRDGDTSD GRSNFEAAVLNLRRAMVYLEENCNLEDAVMLGLEEGDENNTSGSTGLSEQQQRGSITI TYRYALALLRAALYLNDRMALELQHVSATDTVLIEDMLSGMMDVSIAAVKLRHFCWVA RGKLPSSAKHNEAILGLRQELQVIENESRCSDDEGKEDGNSDDDIPAEPALRNVNVNQ GEEIWGTKCDGEEAVLLRVDGSGNTLPAISNNNSRGETKVEHPLEYYLRVIRNQHVRT MESTARRLCQEADEWMQKFMPTDSSENGAAGNCGDADFAASCARMCANPDMDFSSTLK RVASCDWLDSPLDMDGSICNLKDTATMFNSRNMLAALSEGTVSDRIKVGGFDVDMGES DAVQRAEAILEAFRTPERDFERFTTFSIGRVDMSNVLECSATQLRMYSAALLTQLEDR AGMEANVQAHTAAGAASTFADRLFTACVDQLEVILVSYWGGIAEEVHAGMFDFSPDPS SMLYRMLNGPALHEKVNVPMTRVRGGGGGGNSCEEELPSLRYVPVVHDKGPSLRELSV SAVRQVVRLTSDILQALLLTNVNRTVLQCFVRTMSSYRPRDLVGDDSNCEVDRDAYDS VEDEHAELHAALLVEVIVAQWEKAMLHVSGAVRRMKVVIGESCTSSLVQGGTQAHQVG ELMQELEELRSNLFRCYTHGVGMLVKAYVTLLPSLQPSIAKASKPAEVNEASINEYND IHSANGGKNAGAHSSVCARVSREFVSSVLTNKFLNLISFVVDRTAPFLQRFDEVHGGI DLFVEKAERLEGEGNYRRRRRKAQGEYNNPGDKSKVSGANKQRLDDTDQQLDATSTFM AESNSKLVLKHVAEHEDMLLALIADILLVFVDALHHKCQRVSLDVEAAPETCECAIME SLADALCLSMAVTPIITNELIVPCLLDVVVRLNSDPKTSRGSVLMIKQKTADFRKVHL ALVEEHCQLLVDALLGMLASAPQQRITRVVQDAGFIHPMMDWQRVSPLTAGAVRPYVG RSFALIARAHEQIHWLRQPMLAAAITQRLVAHLAMVFVAATTPNGNVFEISLDCSTSF LTYGLLLIEAEGRTILNVTKAIIQSVTENPCAGSVLPELTSAYEVLQSTVAALAERGF AAYKSLEEAEALRSSQGPLLNTMQGSMLMSSQRAERCEAMVESALQNSRFIVEAMLTH VKESSQGGRYHAMAFAPLGSSVAEIVAERLAKRKEGYHRTKGPQKQKEKDVRFVGEKE RSVEEAPHEAVAASAVKVSSRRKTSRILDDSKTSAADDPLEGTIRDQPITKRLSVLRY AVVQEERRAAETEATATQSKQTDSQSRQDDSLDRGHERSLSSVKARNSQKRRHVLSSS IADGDTFLPSAAATTPFGGGKTSTRAFKRSILH XP_846073.1 MMPSGDGSFPGTEIDDPFQESQQMHEQLVHQPQHPLSQPEAPQQ AKEQPQPVAVVDSTPPPAPKLNIPTLAKFTVESAVLGAGMIRPITFFPIVTTVRPGDS SAYSVPDVPVAPHMGGTDIGIGRNTWEPSSTAQLDRRYSELVEFRTLLAYQFPTMIIP PLPPKSKFDDFGTFITKENILLAQQHTIARFLREIAILPEVVYFSTYTPNFFQLPREA FEDWVEKMRVLLEDFRVRNAPIVAHSKRKGGILGSERVSTITGSSTKAVRSIVKMFGS WVKGGKGSQAEAPPPEPAPKKTAELPKAVPFANGSPSEYPKSSGDTANVVGYLAYWGD VSHYLTTYRDALSAAAPPYFTYMKNSMDNITALKDVADALKAYSDVLHASPAGGELSC AADQSSELCSNTALAIEKQQSRNKREVYERILFEVTYLDAAIEAVDYVQCLWIHSNEV GGGSDNAFTAYAKDVSDRLHAYYEERFLTNFRLRMVNVMGRMASYGQQYAQEMESCMV QSAFLRTTQDPKYLAYTADE XP_846074.1 MYEYDDQQVREEEQQMKAATVIQQRWKEFCAQREAEKRANFTTT VAVAAAASAAAAEGVEAEPRVTFKEEYRELVNRYNSVVERSVALQRLLTQHFTAQRQR KGEDLNQHQAMTVEAEQQYWISIWQMREEWQQQRSRCEAAEAAFTEMQSRHQGTIDSS QKHEEMFRQYIRELAERVQFVRTNKRLTKEEIDEFLNRDALQRRLIQRARIRYNLLRY EMEELQRAMAQRDQQQDGMSLIDFEQLKIENTNLNEKIEERNEDIVRLRRKVTTTIHV LTHVKEKLEFMKIENGQLRRQVASTEEELNGLRDKLAQTKRQRDHFTASNLRIREKMP MVGSKKLLLDYERRKAACNTMRDDVLGSAARHRTLLSDMDMKQGTLVDLQKALVLGME PETHVTRKAKESLAS XP_846075.1 MTDTIDVTTYCDDKSFGQVAPALSALEPIRGDKVELENGKVYVL YFFNTFYRGADVVNEEFTVLSEKYGPKGVVFVAISNDADKAKTEKYLGKEIVDENTKK PLRLAPPHILFDDKKATGKTYADVANLSVMSCPMAFIVAADGKIVWRQQFLQSFTITQ SNFEAQLQHVLAGEALESNGPRPVVQVEEEEAEVDAEMSLF XP_846076.1 MPRIHIFENCGCSSGDGFIKAPSSGMWQCTLPSNAEQSPRVIAK KGRNGGHEILVKHTGLTGASGICPFVVESYDCGLTNPRGPQPCAQLVPRFVSPQRLQL ISTSSRFLCSFLLTTNVRSADEVDVISFQCICPGARTPSGTGIAFAAAAEATWVDVVS LLRGEQIKGRTSFNAARVWRLQWGVVEAAEVEGVSDLRAGSTLAWRVVEELPLKGLVE DAVSRGGGTLQVAIAGARRKEECRKTAAASPRAESGSNKREPAAKAADADIPRFTPAA HVISADAEPPTLQPKLKRQREGESDPSSPRNLTVEFALAQEMDAKGAVGELRGGKGTD GTMSAGLVAPQRSGKRKPTYAEVLMRSEQPTDTARVAGPKVLVLREWRQRLGELRVEV GYVNNEDGILSLAKGWLRETGKESVGKSVKMVTTLVSASLRELLCGAHLNVAVQCRLS RCKKSDELASLRKCVDIAVVLGKEVDKLPQDTSVGLQFSKYILKLRLLRHRCFATVLM DKTVSRPLFVEALRLCRRLLRLLKEENIASTNSNVCDKDSDACSRQISLGVYTAALAA MELSLFTPENNKVQNGITLIAGELFLWLCNSKCEERDVPASLLACLESMVDEGSGLHQ GGARVLSELLSSLESPTAILACSERCSNTVELCCGVVRDVANSAAFDQQFARTGALLR RVRTLGGKTNEKNG XP_846077.1 MSIHQTKVCSVNEPLSNPDDSALEYCVEAQSPVNRTTSTWEFRS KLFPHAFAAVLDTDLSESETTQRELAEWTRKRCRNYERQGDILRSQLGVLVSSGAANG KRSDADDGDDEAFLVGLGEWSLQESSSQYLNSAKKKKCHRQLRCKDSYESALLLERQW SERHAVSLKHSCMKGAHPTPAAQNFELGCAKDLCRDASSVEIHSITPAASVVAAEKTR SVTACEPPTEQERKKNRGVASEHEGTQNFAEHLVVQYFIPDGSEAESGKSSNDTRGGA CGRGLYSMPLSVFRYHYPQLLLDFLLQHSVVMESS XP_846078.1 MRRVLCGVSVRCGALSSRFCSGGKADKPKLEELAATYSQLTLKE LSDLQRLVFKKLGHSDDFYEKALLRGLGGGGGGGAAVAFPVAAAAGAAPSGASATEAE PPKTEKKKVEKTSFDVKLEKYAPDIKVKLIKELRSVTNLSIADAKNAIEKCPGLVQTN MRKEDAEKLKGLFEKLGATVQLL XP_846079.1 MVMFEVRQKVYATLHETFHAAIIQEVAHDAHTGQLLYYVHYVEQ DSRMDRWLPGSALRERRQGRQADKQQVSKPTGCGITTRGQSRLVAEQEESGSNGATAG SAAKRGATPNCDKVTTTRTRKESSFFYRPKNVQRICMGPYEVETWYFSPYHLARPQVQ QGFKQNAEYFTGDMELQLRQDSRDNALRSSVAFTSFVLHICPFCLQPFSEHEDVLRHV RLVCSRFPPGNEIYRDPIRNLTVVEIDGVAEPTFCEHLALLSKLFLDHKALDHDMTPF LFYVLCSIQPHGLEVLGYFSKEKTTPEMYNLSCILVLPQFQSRGIGRFLIELSYELSR REGKIGSPEKPLSDLGEKLYLGYWGDTIVSTLARAIEESHCVTLDYLVQATFMSEADV MRTLQYLKLIDGTQIVVSEESIERCLSKRVQRERSGQNYIFYPHLLSWSPHMYNEVVE QDVAPPVYDLRRAEKV XP_846080.1 MVHRKLIIDTDCGGDDAIAIMLAMTQPDVEVIAITVVWGNVEVN QGMENIGKLLDLYDADIPFFRGAEGPLVGERETVQWGGFGSDGFGDAGFPPSKRVALQ PKRHAALEILKILEEAEPSDDVVYQLVALGPLTNVALALRLNPDLFSKLGTDTIPGIV IMNGTSESKGNSNMAAEFNSHCDPEAGVVVLQHKGWKCPVQLVNWEVTVNSPMTWGFY DKLVNRELTPNGRVAVNQNKWQEFIEKLFQRLEAFTRIHDDGTRADTGDAEATQDVTC VVPDAVAVLVAIRPESVLDSFLTYVTVELHGRETRGATCIDWYGTEQSMAKKGRWRNC NVITKVDNEMFLKALRDIVEYVA XP_846081.1 MPDPPLAAVPQPPMAAVPQPPKAAVPQPPMATVPQPPMAAVPQP PMAAVPQPPMAAVPQPPKAAVPQPPMAAVPQPPMAAVPQPPKAAVPQPPMAAVPQPPM AAVPQPPMAAVPQPPMAAVPQPPMAAVPQPPMAAVPQPPMATVPQPPMAAVPQPPMAA VPQPPMAAVPQPPMATVPQPPMAAVPQPPMATVPQPPMAAVPQPPMAAVPQPPMAAVP QPPMAAVPQPPMAAVPQPPMAAVPQPPMATVPQPPKAAVPQPPMAAVPQPPMAAVPQP PMAAVPQPPMAAVPQPPMAAVPQPPMAAGTVQATSPLFHQGVPLPKVCLLPSQYLPSG FHPAGGAARPPELSEEQKRELERAALRELASASGDHNREPEEVVKTLAGRLFFLSRCD VATMLTNVATSVQSLGRHDVGLLANEDGTTFTFIQSPHYGTDNFAEGLQHPSRHRRAV EDAKERINRSDCRSRERFLRAARAGTPSNVEERRSPNPPKSTKRSTSIAARDFPKSTY EQIQEVLQEQRRTSRHEKRGASASRKGQRRPSSADGGYLLWRYGGKYPQECLYENYRL RGMRGRQH XP_846082.1 MHADVCIVIHSAVSFQPINHRIGRAWTVLGERHFETRMFQLRSC VSYSLCYVQVNQRRTMMGLFEEQAPPGSGENKVSTFARSLDQLEAKNTRIVKKACGIP FHIGEAEALDAVCRHHGATVRVHSVERYMMPFWLAATAAGGSFRAEILQRDPAYLTQQ HCLVWVEGPNYQFSYPFGEHHPSNQVSASYVHPLSLVERCVVGTHVPSMLISRFELLK ELEEMEVHPKIIPFAMSTATALTILDSRLTRDTVLRRIDQELVKFHGSFVRSNVTLTG IYKESISIRPVFLPMLRFTVTTGNSSTQCPTFVCGATGKVAGPVLHLTKRGKSGVALL FSAVTLIASATVVEPGVATTASIFAAALSLRVQQFLMVMCFLREQTNQMAELKTAGML HFTSDQQGYRWSPEDEEREEYEYREELRRQARKKESFEQRVKEEAARDEARRGRHVNP KERRRTDLENVDPLGYYKLLGLSGREFSATSKDVAVAFREAARRHHPDVSNTGENDEI SRSRMQKIILAYKILRDPITKKAYDSGKLTSIQYDEGG XP_846083.1 MVSRAKHPCSSSLPPIVFPSGPSATYAAFSQKEDAALDARNKIE RHRYAKLLLEYAERYREFSSVSFFVETMLRELAGREGVTNQSRAMAAVWSMKLLSCHS PFKQFMVHITEALLPAIFCNYDAKRLDYAPAAIQALLCDRALHRDNPFFSHSTYMHEY DASLLKLKNISGELKRVSFTGSEWKRIAHMLVVYVQSERKRRAFIAWRDNVKKERVVN KLRRNVVSRHGADSRFLCVALGFLRWKSATAMSRVQFLTERLHDAAFQLESAKNQFHM ECFRADKLQLSNEEKDSELKAVMCERDELQLEVERLKEQLKIQERNHEANLRARLLEI LTLAQHQNILLKKIVGTQFDAKEVVAGWLHKEDGDYQEREPEHGENEALQGHSFLLRW CNHILRSGEVGVLRRVRNFADDFADGEVFHGIIRYVFPEKKEFATSVDSSVADRLRLV CDFTTNIPLAVSLTVEDFNMKREDRIIVAVAEIFAYYVEKKRADAVSESFRLVVEQDP LQVDSSIATDSEDVSLLTTEEDVKKQLSIWNKELSKQVENFRLGVWCEMDLQKSGMCI SREAARLTWERGRGHPRYVVTADASRHFVSLNARKMNDLREKFGSCTPQVWRSVVQSL KRVLWKHVNTITSIFYHYAGENAHEMDEVQFWRFVEDSRLMVEPLSRQTIARIFDTVI SPKLMAMLQAKSVEKQAALLEAAEEEMNIRRVKPAQFTEILLHMGAVRFRDSLLDAAG RFLTGLSVPTLCGVTPTTVDFYRPESQRVIRHLQEDLARVFFFYVKQQSENQRKSSKG LKQPSGRFVGRISFDAYLRMFSDCGFVADNKEKENNSRRGSTEPHRYCRKVKFTDVEE ITETFNALHERVLLLPEGELCFSLFLESFGIACQYWCPDPKVSFPRKLACFLTDMIER LRTLHSRSALILRELPPPTVEKGDAGCVP XP_846084.1 MEAAAERCFQEGDLKGAACLYANLMVLYPQYTKMARMCRVLSNP LDVPLPYRYADVVEALLDPCVTYQTLFGTDAPPSVVRKAFQGWTLLVHPDKNPYPKAA DAFNRLVAFKAAAMGTVDEGPPNAGGVNSKSSCRGNVRNRQRCTKRHGSANRANQSNQ HSQLGDEIDMSLYELKKVQVTLKSLKRKNVDDSELPPLSAFLRPGGGARSRGGVDHSN NTKGSVPTPETQEGGSASLDESIPTSPRSCTADTACEPGLSQTIEAQLRSSEGATDAP YVLSELTPQQNEVVRGGKGLEGENTEEPLGLPTAGGHMQQYATNRNVGEVDNGSRVSL EADDSVQGPAKGIQNPDALPRRRGKHVDRLEGTCTGEFTQQSNQNSTCDTSSSEGLHS TTFNEDVPLVELAKLGIRELFDGIQKKINRDPIRLNCDLSFATYTRDKQKEVNEASVQ SNDET XP_846085.1 MRYRLRLDAFAPAGSLPCCRILTQVRNLNMNRSVQTRWESDNQV ASQKRTDYDFDKEQWSKQMRFASMDCVMDPDITPIKYTSVTGLKKVLRRFMTMRKLID RRPDFKTDDLKKLFIQLKTISHSRSLEDLKVLQRLTTHGEASRISKEIKTRMNDEFAK KSWKSLKMQEVSNTYQIELDSFQLVNCYMGQMSKEDWLQITYKCEFRERKGDNEEWQS VCEYPVFEVRLGDGVQSPNTHHFIIVGIMRKDGTRYGKDAQDAASLRKQFDRSRKWF XP_846086.1 MSGRLIIYVLGPTSSGKTSLVQQLVSMSEAGALLTQCTHQVPTK GQELRTIIVESPKGKDTSRTVELRELGGDMAPMWEKFIEARLNSTGREMNNRFGLMLV VDSLAPHLLPLAQVTIAKLKGSEGLCRDWPVAVLLNKAAGRNAVTTKEAMFFLSAIPI EGIKYLAIDSWNGLGLMDVMTWLRSFAFPP XP_846087.1 MRLGIQQNESEVKTPIPMIEDRLRYKLRQARRRLFRRNVRATDV HLDIERLRLSNLFSRWPTAALVEICNKMVLEAYQKGEVLAYGEEPRAISSLFWIVSGR ISEVPPKHELRGAGTYGAPLVACGTARSSSKGYVREQFGNGAENSFVFFRTGKFVPAD YLFLDSNYRRTVRCESSVLAFRVPFREIMFIQREFGAPLEATVSAAKENEQERMLEGN EKPTIQRVLSANAVLASLESATLNVIWMALSPVVVCAGEYLCDDIFNSETVYILQSGC VKIARNILSSPKYVTNCGNSIGLHGFTPTELASSLQEKHPAVAVKVSLLWSIPLKILL QIVSVSEWKACMKTAYQLMRRTISSEVLKRISAFSEFDDSTLDQLAKYLGLRAVCRKE HILVGGKVPSEGIIIVSGACSFTSCESDDRPLAGDKGVKTVTQGHSVGFEECITGNKL RHGLCAAVNSVVLCLERNLILEALQDKDKFLKRCTSRVVQRTPCSSCDQSGTPLSRRA ARDPLLYRGEVSSSEVNWSVSQSKVAELDSCTSDIDVDQVVQQNTKILSTLFVRLSDL NPSTRGHEVSHSEYDLASHLVFRNPRYGEQKGNYRAKSCFTVDEKGCVKFSSDGAVQK ETKPSAQPTSGVKKVAAKGCTPAEVTLAIYEAVRNGPPRHVKQSPSTVALRCPPPSGL AAVVGQCPASHRVLALRREMNILGDKVDNVSVLPINCVLPMTKLRPTVHRAM XP_846088.1 MPMFMDGASQVLQQVLQTVLVTSEPAIVIPGSFLGELDVIVDEA KNHGMKLVSIPKGGITILPPIPMSESSLTRLCKDYYGLKTDAERLALFSNLEETFPTA PGVSLPCRLLYHPRDYICRIVHLCAELVTASDEEYQKAYDIVPLLHIRPVQNVCEELR RQFRAGALTQRLPLGQRVDVQFKRTVVHLDGSMDPFPRNAAEAAVNIAPVALDAVDDI YEGFDVTGTEVVDIPTGKVSEYLSEKDFELVTEDSVLLDPTGKRVQAIFIRGGIDKDI CRRAAADVEGVATKQNMRRLTNGGVRNPDTGILGYYDYLNNPTKRKCRMTEFTRRNWG KIIGPCGELLQLLDQLYKENAPDHYELQRRVIPPEYMLFNTVFSTVSVNKNFRTAVHR DKGDFRGGLTALCVLDGNYEGCYLALKSARKAFCLQVGDVLFFDSSLEHGNTEVHNRE GSWRRISIVCYLRCGLMSHTCETERSMRLRNQIMSDRLHADSADSVVNLNGVTGHLPP LCIPFKIAKTLSLTQHAALRFVSRRIKEGDGCVLALTMGLGKTLVSLTICYSYIYNNG PCDILIVAPKTLLQHWMQEAKKWKDYGLVFPGFIVLNNVDSSSFEDDLSNYEQQGTTT NPKKSYVFVINPGYIKSFLSRVKGFRPALIVVDEGHCISSKESKLREVLDSLYCSARV VLTGTPVQNNAEELYRLVGWVDDKVHSTLPQRDFNEFSNSINRYVNGDDSAFCDALFA QRYIHEWMSPYVFTVMKVDLPPLHDYIIICNFSAVQQKMFEERIKVDATDNLLCLKAS EHRPYHLSTHPLCFLGFLTGIWRTGQVDIEEEPGEFEELGTYRLSRDDDALAKDCSSL LENGKLADFVALSGKLTALISILHSIFEKMEKAVIFSQYIGSQDFIARTLTAYKISVV TIRGKDCQQRRRRVVEMFRDDKNVLCLVVSTQIGAYGLDLTAANHVILWDTWWNPQVE SQAIARCYRQNQSKAVIAYKLASGFEDATVLKAQARKRALFKCLINEETSQVVPGHDL VDYTSSEEDDDRRHLWETLKTCTLEGGKPAVTKIIRNIDTVKSERWI XP_846089.1 MRTRFRNKRRTWPLIAIVFIVLMFSLLRAKLLLQADTPGGAAER EANPKYLSTDTLIIVPGHGVLNAPNASEWKNESEWCLEPHQLRAGVVLPLCFASHIRR GLEILRDQINTSILIFSGGQTCGIAGPRSEALGYYIVAKESKLFGIFEPEYVAKDIMN GRIFTEEFARDSYENLLFSIARFYEVTGHFPGSVVVVGWKHKAERFTMYHREAIRFPA DKFTYVGLDFADAEPFVEDLQPYQVAKPYTDENALSSVSKDMYLCDAGRRTRSKRNPY FRVPPYLVSCPPLRQLLQHCGPELIDTRRVPWK XP_846090.1 MHHCRTPLFYYRFLYCLFTLFVVMSVPTCITGDTICGSAGPSKL DYVEDHVFLRGYNTYEGNNPNGAAAAQEGGGEIIASINGMVEVTDRVVSVKGMSSRYV AEVGDIVVGRVKEVCGNRWRVDVGAFQDAVMPLANVTEPGGILRRRGRSDELTMRNIF DEGELVVAEVQRVSQDGLISLHTRSGEKYGKLSDFGLLVLISPALVKRVKHHFMCLEF IHVNLVLGTNGAIWVSPATATTATESAKSAYDRFDADIRRAVTRVANCIRVMGNARFP VFDKSIEAAVKASIDFVLGPFEILYPGNQNLITTTVLDTINTRKRTIY XP_846091.1 MGKQKKRLPKQKRKVPMAERSRKLTKKGKLKRRRGDLKMVHNLD VSFPVKQKKGGKWVRTGERRCNVHTVCNCVNRTDPTKMARVTNR XP_846092.1 MILIYYVYLSFLLFGSVLGRTALTENDGDEAVCELIKQLNGVPW DAVDSMKVHVRRNATVASQSGAIAEMEVRKAYAAVLRAASSQSAEAIEVVLAAFNKVR TAIVLCNEEIQRVRGLWSQFKDAAVRVVQCAEVANGYLKHLVDDAEVSFRQHVTDKCN KRERKNVTADSLNDAMQRALPFVKDPTIRANLTETEKLLRELEGIVGAASEARQGAAQ QVPMAVAAGNEAAKVAISGLQPPPGPKPGPEPGPTPPPPPVPPERNEKPFYIILLSSI VLMVIVLFVLGSMALRQFCRTICYHKRIASGFSERELQAFADS XP_846093.1 MSKEKLRFVIGGKPVVYEGRPENRPKDLMDILVKLDADNNVVVQ AFRDNIEGGQNTPSADILQRYSDAKRAYMEKKQKKKQSESAAAEKPVEEKPVDEKPQT MTLTFQGKQLSYQGLPSGKQHAVIELLVKHDASLEVAVETFKKNLPNSSLSGDEIWSM LVAAKEAKAARKAAKMKDAGDAGRHESRQTAEQQQQPSRPRAAAMEAIEEYTSMTLEE RDSISNFIKQVLEQPDLDLSTRLRSEPGTGTGVSVPKPNTTGGVAGTDDDGLQAGSRT MRGKVAVYCQEENNTANKVLHIAPGTTFEEFTGMVEKKFGRKMAMSFYEGEDIIDMDD DDVFCMFVEMSQAQAQEGKRMKLICVPPEKRPVVADDAITEVKAETVTADTAGTTTGF KVKPFSNGRVEVRELKTYTGHTSAVYCCAFAPKGDRFCTASRDRSVRVWNTSSGTSSV MKGGHNGFVLSCDFSPRGNRVVSSSDDRTIKVWNVATCGKVYTLKGHEDKVYCVKYNS NGDYIVSCSCDHTVRVWNGNTGTKVGTYRGHTLAVFYCCFSNTDSGKYVVSGGDDRVI KVWEWERDEEQVSLDGHTDTVWSCQFSHDDTRIVSAAMNHEVRVWDWCNNSCVLSWRG HQVPIHQAMFSTSDKYIYTCARDWSVMIWDAQTGEHCETLVGHHSTVYHMDMCGNKLI TSSLDDHLKLWSVNED XP_846094.1 MRPVARLPLSRVLSGCRGFHSQHRTPVLPQVPAAEVQQAASESK VRVAYLLHRQPVVKPTPHPLEMEMAFLLQREHQRYSRHESSESATHFMAQRGQSIDAL NRTDPRQIQSNFFGLELYQDAMRVVLQRYKPERRVTPRDLWDPATYGSSNANSNASSP PTRHSLHRKLDDYLHLIVRDEASGKWTVPQTVLRERETLRMAAERAIATDNGEGLDCY VWSNAPQATVPNANDGCWLFIYVATYLSGRPKFSEFRPKTIDHAWVTRHEMMQYEENF QSPELVRVLLDISADSTFES XP_846095.1 MTVRGACQCSWGTPAPPLLVFDSVEAAQAGATAAAASASTGGTE GTCNEKNANSADTRGSGQVVALTDGKAPWVELREQRRLTHIAIVSNARVVELHTDGSE VQTHEGASAVASGEVAVTAVVGGLSRDARYFHFIDCCVVPGERVQLKFFARKPKDAIH IVAICVSGVEETPLPELTPTGGYSDNSNYGAGTSGQTAALETRLQELEMMVRMMVGTV MRRLNDVEARVAALEERANE XP_846096.1 MLRRGERLTMMAVPALNVPQSNVATQSACTHPFQVPQQCRSAWE LTTSDSSPSLSAQGAATPKPAVSNTTNAAASAGCYRSHRYTHNPYASTAPRSDNDGAD PVCVSGSGDGNCEAPRHVYNSAVLDSGNLSNGLVERFRAIVGELPRAACTSAGRNLLV SVLRLHHVEMTRTVVDEFRPVLPAVALDSNGCHVVRALVEFIPTGLMATLVPHFTPSL VRDLAVSSPYTRRVLQSVFERHKSDALTPIVEAIAQDSQLLAQRQQGCITIIRTIENT LPHQQRFIISRLLPALPALTMNCYGNYVVQCVLHHMDPEAVTVVVCHAFAGHWVALSC NKFASNVVEKVVRVLEGPARRALIAETVCDPVNLRRLMNDCFGNFVLQAIIDSSTSEV DFRTISQCIRPLLPTSSHGRRIESKLQCVMARAGAVGE XP_846097.1 MSDGVPPLAEADPRLTMQTIADQQGIIKTLGEIITALKTRIANL EGALNAKTARDCSNTGGSSIQLDNEACTKEASLAQEERIEKLTSEMEALKTERDDLKE KYTDLLAVVRKCTEELQQTHAQCVKLQWADHNYRAARSALCFVESRCREAEQRGHRLE QKVEGTLPERERWKALAISLANRLDETSRKNAMRRISAIDCNGSSQVDMCGQEYGSSS FRNTNGKGAPSSADLDVHELFDLIDGRQWTSVSSSPVTVTVTPQGIGGFALPSPLQGQ LQKPSMIRQKQLSVEVDHICNVPTLCANPSKKSRLQGNNSRRTQSSQGNRFLAPI XP_846098.1 MYHCKTSDTINNPYPIKALSLHLFDAYVFKGEDTPDLDFVLCHS NSTPNDFDGSSANPDRNRFADYSWLDAGTKPQFDMETPLTVRVQGLVEWIQRSYIKKC TGELSSTLGNINSKLSFDYDSYLSTISGADGRCVEGVVQDSIDHTCLALSIPLLQEKN EKICEDLGGILELYGKLTGLGGGSAPSVAKVEISLLMVLMVDHSSVNVKALREEVEIE AKGTEGWVEISIAELVRRVISLQGLPRHTGQFQKTLQRSSVCMMDFIPFLESALLNCL WEPLHFLQLVDHLTFLFGLPLDVNMGVDTTSAQGAQVSRTATFSYVCEEPQASALVVL RYNNSRTVPVVEVTVTQQTDPAKKEKTTSKHVKYRDDLDPKSKSSSISSGPIDVALLG EATIAACTETIVSLIKPCKRA XP_846099.1 MRLSYADQLIELDRQRRNIVYGRETKRFHLKIIAAISGVLYATL YVMAWLYPRGVTHVWIDDVLVEVLEDSMIEQVGGVSGCIFVASLVLLLCMSLLKESSD KDRHGKKHRSPFAGACGPYRKAPGLSVPFSPATVQSTTTAGATEVLTEPIGVKGHHDL EGEYAIRTRAELDKFLSAKELPKRMEGSLPTAHNSVNATGTVSGSMATAPAAATSISA PLAAGGGFGSVSSEGIRVQYGSGGERASAAASADRPAETMWNGLGIVDPERSQVKVRK WLSDLCQTLVEEVDSTNRWFVERQLRHFDCGHSLDETIVVPPPSSAPRVGFGVPAQPV SSPPSVRKMDALMDERNKIATQGQNVQNIDVTMHIDQRLQLEMKLDTTATFTPSSPAS VAEQQARRTYVVGRIRTFASQKSLASYHHNCGDISTWRDDFPTDAHLLTHILRTCVPG FTNYVRFPHQPLNAQQHLALVVGDTGEPYFYVRYRTGAVDKTYPTQPGPNSLFEALLL FSAVARTHHGGSYGGIWGVMDLSRSGLLDVL XP_846100.1 MAGGVKASPEVTDKVFFDITIGSEPAGRIVIGLFGKETPRTVEN FKQLATGVNGFGYKDSTFHRVIRNFMIQGGDMTNHDGTGGKSIYGARFDDENFNVKHF IGALSMANAGPNTNGSQFFITTVDTPWLDGRHVVFGKVLEGMDVVRKVEGVKTGTGDK PTSPVRISDCGVL XP_846101.1 MSKGVVRFHDSREKPYGVFSPLSPHPVTIHHTQFPSLHHYFLTE RFGGLPDAAPFQSAASVWELDRLVRVAEREGRQRGDWDRLKVDVMLLGNYMKFKQNEN AREILMNTGTRLIVDHTEEDDFWGDAGDGTGHNLLGVILMAVRDRLHREASARARARA XP_846102.1 MIEDFSEHHVGEANTLQHHGYSRKLGNSVLTLPLRQGAKGHPQH WSPYTDNGGTIAAIAGSNYVVLGADTRLNGDFCIHTRSDTSKLFKLTDRIFLASSGMQ ADRLQLQQMLKYRIQWYQYNNGGKVPSTKAIAKLTSTMLYQRRFFPYYTFNMIVGIDE KGAGVCYSYDPVGSTEPFRYGTCGSASSFVEPLLDCLLTRQHMVTQAPADLTMEEALG MLKNAFTGAAERDIFTGDTVCFHIVTADGVGTEMFELRKD XP_846103.1 MLQRTTSLFVRLPKARRPRERPWEVFNTRDFGYSEGSQNYSMWI LSASTCAALLLFEVYQQARRILARGDTCPACEAAREHYKKRLEMKEFEMQEGIRSGRP M XP_846104.1 MRNGIICRYLRDVPVMDRVHEHITLPPIVARAVDTTAFQRLRSL KQLGSSSYLYPAAVHTRFEHSIGVAHIAQLLLGRVQRCQPDLRINDKDREKVMLAGLL HDVGHGPFSHLFEEVMSRKFGLLFDHDKMSQTIGRNILKVLLPKDDVEDVLRVMRGEP ASHLVYTEIVTNKRNGIDVDKLDYFLRDSLCCFGKPTVDVRLSRLFNSARLVQYEGQW QLAFEEKVALSLRELFVLRTKLHKNVYQHRVVKAIDHMICDIMGAAAPYFLVHGHTLL ECVCHEELFLKLGDWVLDAIESSADTNLKPAQDIISRLRSRDIYRFILSRALKSEAAP PDNWLNDVAEDIVAAVSKKQSTKITKEDIIVDQVVINHGKGNSDPLQSVLFFNPKRPS QSYFKMSSSASRHSTLFTPFAFEERTLMIFECRDTGGAVLKAADAVVSHEKYSKYFVD SLPFYNTP XP_846105.1 MRLLTHNFLCCIQCQSFPLQLQATELEVLASEYNPEFIRTMLAR MDYTYLVEAFNSLRSQKQDQVDAGNVLPEKLEDVDLSDDSRDLKAVHYAIQDVAIREG ALRCPQCKREYPIREFIPDMIAAEH XP_846106.1 MEHIGAFLRVRPLLPREEAQGEEVCIVVQSGGTKVNATSRGTRG AQDQIHTFDMDCCLGPDVSQEEVFRALDLHAMCDAAFEGKAATIMCFGQTGSGKTYTM SGPTEGEDGRTAENGIQFEAVRYVSEFREKAQSAGEVEGRTIKLRASYLELFNERIND LLNGTEGLKCRWSKGAGCFFVEDLMIVECLDINDFMLVLREGQARRKRAAHLLNEDSS RSHLIFTIYAEVIDGEKPARRGKLTFVDLAGSERLRDTGGVGEDTKSINRSLFALGNV IERLSKGRSAGQRADHIPYRSSVLTQLLMNSLDGGCRTVLLACVTPSSRFVEESLRTI YFAQRAQKIQFKAVERVDTAQKEVYDLKTEIRRLREENLLLRRALHLPETGAIDPAAL YAGRPDPHTPAGEVEKSRPIAAPKHASRRSPGPSSSTELFREGRPLEILMSPGDGVRR CQSSDAEPKSSALDILMGLPNSTDLVGQRQIPPREKLPLLQRAPVPKTLGFHIIPKKN RLFGPQAS XP_846107.1 MPPRRKSECLSSYAVDTTPSFQDEKFIEQLLHFVGANDEVSLLT MTAVALGAECYQVDLGQQIVVDARLDFINFCAKQGYCAAKVVHLLKWLCTFQERVEDG CNTQEMQEEVIAFVTSEVEEEWKWKRALQAEEALWEVQSSSKTSARRGHRAAEKVVEE TEAVSTVPKENIYLQREDIGPFSTWLIQGIVQHASLYHYVATHARMVGEPEEFNYFLE VPVSAPPLRNALAPESVPQEPPLAKAQQDVEEQRASELETYKKEYEEAMALEQLRLQT IQNERDMKVFIENEGSMRAVEDTYESLQVDLSKRQRQILERITAAEKALGIFPFVQPQ XP_846108.1 MVARLRQYEQRHKIPHQPVVREASSTSRVACGVATNNVSLFPSS ASLSAKTSRSQSVKPSIHKIPSRSLSLVQSVSSKTSTRKEMASLTREAAEMLNPTHRL KGLASIDVPDELFHGVEFAPPPQCFRPAWSLIGTFKTDVHTLVSVDTYEGSVKWYQQS PKGGFHRMCASTSVITSVQMANAEGSPLGEYLVVINTSIRPSRITFGFKKSTDARKMV VLLTPPPHHA XP_846109.1 MTEHICASLVLTRGPEGLQRRLPVRLPLSGKPITIGRAIDNDVV LEMDLIFSSQWHCQMFAQKCEPETSERVEEREAASDPSRCEGRHVDCEGQTEIPVKEQ YSLWLVDVGSSNGTFVNGIRVASSAPTPLHHGDVIVLGGMRDVEVGKSLPMEDLPLEI VTWRVAMEGKSEEGFGFVATPPVFLSMEFVISQARQRALGALQDSPDPKVSTTSPLKL PLKRMRHEQETGSNKLYDDGDVTPKRRIREVSYPSGEDRSGGELARRSGTVAAYLDPN EANAWEVTTNSSSDVASTTGLPCPSSKATASRGYCNSDALAEPTKEDARETRVAPAVA RETVQ XP_846110.1 MDVLIAKAVERLGKKKLWVLVHVVDKLPESERTVGGVRNAFRSI NVFINDIDFAMLASQFGRRCGGVGILRGVTTVTDESAPFDVETFKRSFLPSLSPRRQY VVSLSLKRVPTDGSGFITFDELMKVYDTARHPKLLLGWEAQALERMFLNDFWEAHSDG GITVEELTAYLVGISHKTVRDEDFELHCIRSFSLDRPKVSLEERMASAASTDIRLKSI HGTKQHPLYQASSSDYGKGWETVKYDGKFACKYTFTKNLQSQCTMGPNTMNM XP_846111.1 MSLLCNISIYILMVLPIILLSRGYNMRPQRLLPLVAVIALSLIA QSTIITSLTGSGLFLNCLQQMFKVLAVPVMDAALIDFALNDPKARKSLQVMGGGDDAS AAVAVAWTAVDVALYRWFNWYHVMGQPGFDEENLRSAVEAFVNIVSLLIAARLIEGRV QGNEHNSNKVNSTHNVSSGMWIWVAVVRVMGNGVAYFYGNNLIGSALNMVALLCIRCF LGSKTGAKI XP_846112.1 MSTGPSTTLAGSGCLTVALAGAAVVVSAVTYISNKRRSALSTIA ANRGGFQSVSVQPQTKGNVAGQDASSANNRGVPHSTFPQSNTGPTNRSYYYAFAERHV KRHNATTMEWRDDVLGVRMLFSPILFAVETEERQAPLLLVGLRYLRQPEHRVAITFEY CETEETAENYRELSLERVCDCAKLLSGTGNIRIGSAQLPSAEYCYLDRGNKLRFALSV FLTSKRLAVTAQYIADTRVKSVLPTAFNELVRSIQFSEPRSSPSYLLCAEPRLGLGFR LPLDFVMDEHLRELLTIAEETTVTSATPRASGGEGPNGSASHSALRRIDKEGRNSSNG PRAAGNAAPSTPDVLWGFSVPHQLPDLCAVEHATGGGRYGFLGNGETNGNSDSVSVQF VTISSLGPRRIVVVACYEPLPAGNFSWQTFFEHHLRAVVRRFSVHHSTDGSNAYSVSV VRSNDELQCAKSGKQRNFVVQLQQIMVPTNGDSAGEDDPNPTLQLEGALCVQEVLIDP NNVCAAHLRHPQGRRSDGDALSPTDLMHMHTDKAGPSGEAFISAYLSVFCLRIRSECV SMCFLFPTACHTLEEVVTFCRRTIDTMSLGNHYGQSTSLIYCNKRHEVLPFSILLNPA CAAAAATVVVREPIMGEPLAAFCVGGMDGLTVHLRVFPIPFVAHTSQVARRRATSRLE KLVRDYLLRLPGRVCVHHWETTMLGASAALEVHYEQLCDSDDDDGDSDSFVMDNDMGR FNPFSFLGSPYCHEGHDMEGAECPQEQHTPVIGMSASAVGSSVLSRSAEHMLLRAPSL DSREESTTLQVAVVVCCEGCAFLFLASLEGYPLAAVRQVVRQFASNLSVSTGVTA XP_846113.1 MGVPKFFRWVAERYPSVITPFRDFPPPVDNLYLDMNGIIHNCTH PNDADATHKAPTEKAMVEAMFSYLEKLFNAIQPRKCFFLAVDGVAPRAKMNQQRQRRY RSGYEMMVAREEALSRGEELPEEADVFDSNCITPGTDFMVRISEHFQYFIMMKMSTDP AWQNCSVIYSGHDHPGEGEHKIVEFIRRRKMQPDYGPNETHCMYGLDADLVMLALATH EPHFVLLREVVTFGFGQESRRAREQREQDESDGIVADKSYQKPDEFVLFHVNVLRDYL DLEVRERLGDKLPTNYDLERVVDDFVLMCFFIGNDFLPSIPTLGIHDGSLVQMLDLYA QRVLLAHTYLTDMGRIDWRAVEGWLQGLADLEFTTIKAREAQEQEYQRRMAQQCGEHR AATISTSTVESLREYKDRYYRDKHQFAMGWDPQGEDMAKLRLHYIEGIMWVIGYYYQG PPSWKWYFPHHYAPMASDLVNLPAVAACVKFDPGKPFLPHQQLLAVLPPMSYRSMPRA YWPLLRSKNSPLARYFPEKLQIDREGTRAPWEGVVLIPFIEERTLLAAYETVQDRVTP EERKNNRLGLPTLYAYDPTIEAYEVPNSLFGPLRRTTVRRDAFDFPPLTKFIPRLCTG VCTGEQQFEGFTTLRSKWRYITPQRESGVVTIFGMPARGESLLLGLKDSFNAIAAHEV ATLVGQEVLVGFPHYRRARVVAVSDKRVHISANINLEGVSCGANVRELNRDASMNIAK ELETHRQCMREKLGMSVSNLTVLVYVNLFTGMRMTRKGRVVRNFSKDQTCYALPLVAR LQDVKLTEDSRYVERDRVEWDNRFGSRVILVGAEPKSMKGKDQQLYGSSGVVVRSSPD AEGTFTVAARVFQKPVSIPHVLLDYASSRNWVSMPDVSNEVRVGPLALKHLVGSVRTS PQYGCREIGLGLIYTRNNLVRIGYAKQTVRGGKAWGAPGGRLGAGLENSDDAFGRPVQ DAAPAGHYLESLQKGESRPRSSRPMQYMTWFSRKAVVLIKTYVERFKPLVDRLESIAS SPQALDPPQFMTGEWADNDVDDVLRSIEEFIESCGIQSVPLVAAQDDAFPREHVRMLE EELDRQGPRPLEDRMLSSVARRCLYFPVTRCAGGHISQLPLPQEQTVHLGSRVVNCRA CGAAPFGATGTVVRLLSTGHDAEVVYDDPFVGGSYFDGRLRQPRGSISKLSTLLVLNK PSGDESESSQGQNGSVVQNLKSICKKLQQKEDDHDQQQQHGRGVGIPSTEGTPSIPKP RSAMGETSASGIAAGRNVVSPSSLTVSGGSGGIQVAELMGKLASSKATSPDAGLNICG ATYAPTVASNKLPVAPRSVTTTAAPGSGTFSVPLPRTSGNANRTNSGDGEGDKGCRKP GFSFTVPSEVLNGEFQIRPGEGALLLKRMISQHLSGDRKR XP_846114.1 MFSTTTGSFCGPSLSQPVSYSLLVLPPKKELRKKGYNMTDINTT STRVHPLARWQTHVLKHGATYRDALDAVEEANTKHWGFLKARIQFSCGSFESFVRTNP NDPSTLKGVSTYDPNGVFHKETLDCTLKNRSTLLPRLRAIVDGRGHHLSGSTPPARSF HPQVLYKNCPPPVLSQAGYDFTPMSHNAFLLRTNDHPQGVRDVKSDFMKGSCDYRPRA YLRDEVSGGVNSRHCHCAEVYQVGDYTMDLARGAEIDHRNRTVNFEYTKKGTLKSGSN IVGKRHARVPRFPCDHSVKGACADAGMDTRDGGELPLVSGEAVAEGAEQGGATTSPAL RSPRRHCGAGNGKGSSDSPVGRSQEREKQVQVLVD XP_846115.1 MTLGARGTTLSLRWSPMRVFTVDYNDGCMYEWMSRCGAVTVSDI ALADYLVTPHLTITPAAVAVLCRFSSHIVTPEYFKVLQEGRESNPQKELQDPRAYFPS VDSSWSALLSSESSEIFVSDNRAPPAAGEISAGLKVVLSDSSLKERRQRLFAGTTFVV VQQFLYDEVRVFLPFTQGCAVLDLSLLDAVDSCGDEAAVRAFYAKHCNHIVLYSDREE LPVLGPLRTLQYAMGMCCVEHTAFIRSVVWLKPLPTLSLSSNVSPSGESEQPAACSCK SVERGSAYANPKQKDHRCEKKMGRGYDETERFPSEQTGRSATEEGQEMREEQECGCRM QGEMEREDAEEDHDCESNMILTQVETGRPCVGMKRGRVGAAAVGRLTDPNPLFQELWS DEEVDGYDDDDATGHHEQNTRSVGAGGWICRKRQAAEVGMDFTVRDGLAISSHPCLKR YAASLISSGGGGGGKWFKKQQLIECREKVELDRTETAPGVGSLMRQLAIDDVDIIPDA VGKAIRMDIRQLGTRHTTRNLQPRQQRRPKKKAPAQYSVLDCDTLSEFPWPYAEGWPS KTTSLGEKQMAKRVDSLNDLLRGPQSRRAPLSVFDLEAFL XP_846116.1 MGKKRFYAVAVGRQTGVFSTWEECQKQVSGFSGARFKSFLTLQE AQAYASGVQDVEPGSVGTSLVADSHTPVLVGVKRALSLDVTLDAVEVDAADDGNEVDD ESKQQVLSPEWVEARKREAVVVYVDGACRNNGSRSRERRPRAGFGGFYGDGDSRNFKF PLPAHEPQTNQRAELSALIHVLRVALDSHPCYNLCVYSDSKYTVMGVNSYLHRWERNG FKTAGGGDVANIDLWSQLTKLRNRHLSRCAERFTMEFRFKASLAAIAARAVALQLKHV PGHAGVYGNEMADRLAVEACESPFG XP_846117.1 MTALYPWVPTSNAMYAFGRRLVNRFFPQVRNVYPLTFLDGPLPE EVPQSFLLHGRNDQPDPFKYMEDLFDRRTQSYVRQEQRHFTLVDSKFDFKHNKARLWA ELDAKVVITSREGGFDKGEERIGDYIYFTRVVPGGDPNAIGFYRKRFGEVDLLAEELI NPSALQQHFGYAHCNVGVCRVSQDGKYLAYTLSVGGGDRYICHVRSIDNASLFHVIRG RNIVSIEFGSDNQFYFTESNELNRPNAVIMQEIRPGVLPPPVELYRDDDEQFFVDVRK TKDNAYIVITSDSKVKCSALVLPASFPKIPREMQAFFPDARPVEIAGKGSWNWLEHYM GHFLMVVGDRGPNHRVVYAREEVVLKYGMDAEWKELVPHRDDVQIVDIDLFHDHIVLY ESHFAFERINHIRIIKCGKGIDDTARRSRADDLVIHFPPLACVTPGLNKNFDQDSISF VYSSLCQPSKDCVFNFNSEMTSGKCRMCSPEAIFTQRQSEQFTPWDYMWPYTIYRDVC VSKDGTQVPITICHRRDAFVQEATDFEAQPNTPKHCFIYVYGSYGEVPSMHFQLAPYM WMLRRRWTVAFAHVRGGGELPNWAEQGRGEKKINAVHDFIACCEHMVNMGYTKPELMV AAGNSAGCVPIAAAMNMRGCGLFGNVLMRSPFLDVISTMVDPDLPLSLAEQDDWGDPL NSPKDYTRLLHYDPYHNINDRVTYPGMMVSVCLDDDRVPPWNSLKYVAKLRQQRRRKG VDPVEKPLILRVRSSGGHYIWNDTENLCEELSFLCSQLDLEGPGKVLNDMDIMTHMHN LTVTGAMDHDDQEKVFLKWDNWERERIDYHVKLHNFDWEPNFRKLKAEKQPFFWVPTD SELHQSKVDEMFRAKERDIRERGKSEAKTGSTGRAMGENKWASENGRK XP_846118.1 MGKLNPALSPLQKKTSTNVYPPVPKNCVVTGGTGFVGTRLVEML VERGAERVVSFDIVPMESAVSAWQHPVIEYVVGDITNYNDVLVALEGADCVWHLAAAV GPFHPRELYRKVNYGGTMNVIRACFQLGVKKLVMSSSPSTRFKGGLFHRPCVDGLTED EMPKLPLDSYMQMYAETKAEAELAVTAASCDDLLTVSVAPHQVYGPRDNLFLPNMLEA AGTGKLRVFGSGKNRICFTHVDNYAHGLIIAERGLYKGSPILGKFYIVTDGSTHPEPD AYCIFWNELDKAVVAMGFVSIHKKIRVSFWLIYVVALAGELVGWMFGFVFKLNVFNVF VLTMHRWFRITAAERDLGYRPIISFTEGWDDTITWFKMNWLPTFEGRSRSLLGLSHRS QQKIDIQARMTR XP_846119.1 MGENDNAQQLVDEINGNLIEWTTGGDSERLIFRLLDLYNRFMVA VHRDLVSCGDQGPALATAVCIPLWEMLHLYSGPLGPFRASSKAFAITLLTSLYPKTRD RRCLYQQLGCICSLGHPPVPLSWCLPMSTEVVEHVTGDGSAEDSYEAVVGYVRNTVSA EGNIIRASSSSVLNEKVGMLLEDVLPRCYLVVEESATACKEISYENAIIITIGANERS PSRSLCVLKPVIWKYLVLLHVYSPEDARSLGNYVTNSSWAQRTVRVSAQCEATYASLI GPIVHEAAVCTSLIGSNANAAPDNTPFLCPRLLGTLLPTGCRKGGLVDGYGNLTTPFK PNKIMRRGETVYQNVAASLHSALTESIPMELSFFNASSSCRDCDKLTLMCRSAMLTSV TQNSSIKGLPASVVGRMLFDRKRGRDDVYEGPMFLPSTSTLSDLLGEDEENKMYCTLE RIAATHHPV XP_846120.1 MLSGITFEIFLFNFEIYHDFSSPLLFSLAIRIVIACGIPSMTNS SVQQVGCRVTYIWLSGKDSHHDIRSKDRTIYISPDDLEKDPKELLRLDMFPVWNFDGS STGQAEGLITEIHIKPVNAYHCCIPRASSSVPWIVVLAECFLPTGEPTPDNSRAVARR IFDKGLGTHPWFGMEQEYFLVKDGHPYGWCPTCPPASQGPYYCATGSECIRGRKHVDL HYEVCLQMGLKICGTNAEVAFGQWEFQVGPCEGIEMGDQLVVARWVLLRILELEGLDA DFRAKPILGDWNGSGLHTNFSTEATRAPGGLEVIYQYIERLKETSSKDIIFYGVDNNE RLIGKHETSKLNETTFGIGTRGTSIRIPTAVAAAQSGYMEDRRPAAGADPYLVSSRLF ASCLSVDAVELDFSSPRHEREWMASTSKV XP_846121.1 MPGCLSALASHVESASVVSTKSKRKRKSKKKALYDQYLADSGSE DSSELSKNVNGTPSKYEYEEFEPGMDINILIQQTIQNVVQSVCLEDCDGLSQFGDNDL ICFSAAVKHNSSILSLQIRYLDVSDVSLVPLCRALECHPSIRALDLSGTRGGRPSVKA VFQLVCTNPNILFVRLDDTMVSPHDAEDIRVATLYNALACPDPTNNPFYLGLLRKISD IEEEKQKYKEQLSEQLWLFSSRPQNNLNSGKEKKVGFSEKVSESRIGADVCAQFMSGR CAYGSRCRYIHPDKTTALRNAIALSKYKMAQMIDDDAKSVKSSATSLGQTGRGRLQSR LRPTNFTMNKVHCAVATVHEGSVEQCSAGSNEEAADVALRLSIWTFALVTAVCSVVLI VVY XP_846122.1 MSGSFRKICEPPVMEGIEVSALDIRSRRQFRTTGSDVMEKYISS SFLPILREIIPHSDNPPGFGGCWFWGCYFHGLYGEDMLVDLNGTGVSLSEDPLDTQVA LRKKSFSLAGDESNKVILEHCCSKLEALCGLCLSVVLHVARQPMLEDGEGPQALVLCA TKDQCDEVHELIDRFGSGLHLVTHNLFGAYPPMPAERRADIVVGTLPLWESVVHLAPR SSQDELEEILYHLPYDTNRAASTTRWRPYSLAFVTQLLLIDLDLQHSLGYWPLLLHLF AKQGQLTPSIPRRPNGSEGLKGSQCSYVHGAVLPKECQVYCLVDGCGEGRKLFGTLLD SRKRDYALESIELACISLRAQSLNMNARAMDSANLYAQGRTRQDSNDESSDAKRVEKY IPRLEVYNALSHSRLLVDASALAEFAAEAVRCALSFWSRFEGTPHTEDVENASSVVDV SLAYTCINSGRYSGEGESAWFVTKMMAVITPTEHTAMHPSRTTLLIKHLADEFSGQIF DGGVLQCFCRCQAIEPVDPLDVVLGPMFSATAKSLSGEPLFFPACGTEVVDGDMSVVA RHRAELHKAVMKASSTTNVSTLFPLYKFLSTVPRLPWNTVLVFRRIDSSSSLFCGIQG EHKPTALLYLEECCQYGKVISFFVYQRLDVEDGAEGSVDFFVEFQTCEGAIEAVKQFS IRLATQNQRGEGTAQVRFFPNDLYYEGVSAELRKLGGGNTRCDEESEAMDSDEDFFGI SLLAER XP_846123.1 MVSLKLQARLAADILRCGRGRVWLDPNEAVEIRNANSRKSVRKL IKDGLVMRKPVKVHSRSRWRQMKLAKSMGRHEGTGRREGTREARMPSKDLWMRRLRIL RRLLRKYREEKKIDRHIYRELYMKAKGNVFRNKRNLMEHIHKVKNEKKKARQLAEQLA AKRLKDEQNRRKARKQELKKREKERERARRDDAAAAAAAKQRAAAKKAAAPAAKKGGK AVAPATPAKAAPAKAAAAKVAPAKAAPAKAASPAGKKAAGKK XP_846124.1 MSHVTADLECFKCDMCGVYLHKDIFCNHRRECKGPHSTELKKSE CRQIEAALNEKSRERLALQSASARPLVPAELMELHQQARIRREVANKYESEVERKIQE RLAPERMLALAKFLAE XP_846125.1 MVSLKLQARLAADILRCGRGRVWLDPNEAVEIRNANSRKSVRKL IKDGLVMRKPVKVHSRSRWRQMKLAKSMGRHEGTGRREGTREARMPSKDLWMRRLRIL RRLLRKYREEKKIDRHIYRELYMKAKGNVFRNKRNLMEHIHKVKNEKKKARQLAEQLA AKRLKDEQNRRKARKQELKKREKERERARRDDAAAAAAAKQRAAAKKAAAPAAKKGGK AVAPATPAKAAPAKAAAAKVAPAKAAPAKAASPAGKKAAGKK XP_846126.1 MILSLDMKNAPDSRCKSSGHGGKCRKEGNLSRSQHESATHTPVR ARGPRRSTSRLVNERSPNRCGWAIGASAMEETPEILGLRRRAEEVAPILGANVCQIRD LLLLQRVAIEVAERFSRLEEWYVAQLRERSEYLEHRVTQLAEAAASQTAYLTSDKSRT PTAVRHRQGLTGRAAGMSRPSLSFRSRSPSSNDDAGRSGGAAPSSPFKVQHSKNLNSS RIRFTGRSTASLFALHFQPPTVEHNYNNSADTSRGTGPNRRRTMDMLGPCGGASAMRM KLTMKDKRKSPSSGSHQRRPSKGGSESEVYDTLNGSNSNNKQISTRRACGSTILLSVS DRKHTPRRVLPASSRLIYAVDAIARE XP_846127.1 MEQTSKPANLPPCSPRQAVKNILRGVDSHVRVMSHLDNVSTSSS YSAVRLKKPKTDSWTEPFNVTRVHRGTSTNSFYQEVIAPCVANCLAGQSYLFLVSGPC ESGRSQTLYGSPHHKTKGVVELAAEDLLGYMTGGAENNDDNPSRGQPTVTHSAFVTRG SHINETKTGEPVPIVDFPPPLGRTALPRMQLLESAASVVQIPEHKYSDTSCIIQFQVY VPVDTLGRRSMATLTFVDAAAFHSQPCSEVRHLVSTVRRVAGLSNGDGPSFDQRKLTT LLEPALMGYVTLMSITTISGRDDLHEAACEALRFAETISRIHQVLMLVHINTPKWFLD TAEKVEVLRSQRNKMLSEQHARGVYDYYVTVTKWLSQHVAGAGCTFDKLLEEVEQIRE DLACEMKERVKSVQASIQEAEKQHALQLERTRNAHAITTSQLDKVKRLDETIAALTQK VTQRDLFNDHKISEMRIEISAIESETETRRQELLRFENEERLYGTKCAEVMGVLEKYS ADLANSQMHYVLTEEMSAIEEKKKRLEADLATASRIAHMESDNFRANREKNSRLSHLS VMQQKVDSLRGRILTNSVSHTMSRSASHLSPRSQPDASPSESPAQKRRRFASPRYSAV XP_846128.1 MHDGSQQDASHGATAMNSGVVPDGQWRVDENIIIREILAMNTLA MQRCDTGALDESSSILTDAYMKLHNDTSTGESQGLDAVRATTLNNLGVVECHRGQHRQ ALSHFEAARQLEEAWSAASPSVALNSCAAYNALGMYDKATAAAMETINMLRRLFAQQE FQGSPTGEVASTPGGSCGAQPQSDGMNVASSDNAALWGAAWHNLAVAQINTAKSSTDL SEYTNAAALFQNAMRATQELLGYDHPMTKSVTETYRAVRNALRTHGVYKQHHTLFTAP LRPADPRDEADDMEQYFKQCGIKSRRRALEKYYRDLTITFCGAVTNGVKLTERLDPTP YPLAKDVSFRRSGQKDSLRMLRAIPTGSTIEKACHLYGNPHPLLFSLPPEYRASEVGT AKSIPKAASANSFAKVPMKPNALASSRRPQPKREQIFNEGQRRMQIQSNMPKQQQRQI GPPSTVVNYSRALQETPGAAPTIPQITPLSYKASIDEHWQPPVNQTPAPVNYNWSVQQ QAPNTVPTAPLVYQQASVNQTPAPVNHNWSVQQQAPNTVPTAPLVYQQTPVNQGQAPL NHNWSAQQQAPNVVPTAPLVYQQASVNQTPAPVNHNWSVQQQAPNTVPTAPLVYQQAP VDQTPAPVNYNWSAQQQAPNTVPTAPLVYQQAPVSQGQAPAVASQPPLNQMPNVSQGE SFDHQQGMSGRQEPLRQQEQQMLASCRRQAPADLGQGAAADHRSHQESVSNNTLVVGG QEEKKKKDHVQPVPAAPAAVPAGPAVGEASKPAAAVRGPLPPLKSPPGGRVIAKAETS DGVEGIVSAPRKASSGGQTATYDARRAYEQIKYILLADPPAEASRRKSKQDRSKKDSV PANVPQQECGPWTDNAAPAAGESPTEHKPHRLFDALWVSGGGPADQAPLRVVGKPSYF VSSVLDMRQGQAEFPEEVMQMVAENSRSSCSDVEERHSQTMGPAQHSCTEAGIPTHDQ S XP_846129.1 MTGGELDVVNRIHREQRNRNAFCMWWIGMINNFHYCLVLSGSLS LAEGYGMRQYVALITWANVFFGIVARIINALVLSLLSFNIRVTVTYFMGLLAIFLVSF AYDIGGHNNVAAFVVLLIGVVFIGTASSYGESVFLGYMERLPSKQVGAWSSGTGLSGV AASLIYLGLAHAGFSNSSIFLMSTPFLAMYWAFYFFGLKLPVETREGGYKAMVNWKGT PWRSITPVPHNKMPECLKDMRQEQCLATEGYEDGMYSEQDGDDSHRSQTFNEYTWPIL KSMHKFTLWNNFNLASVYIAEYAVQFMAPFCFPCKQLKESKSFWIKNAFVLTQFCYQF GVLISRSSLVCVRIRQVWILTVIQVINAVAWFVEAKVHFLEDPDDEKRQLTFTFILFA WMVFVGLLGGASYVNVFYNILEETKEAQNAEIAEFVAWRGRKRAPGLSSERVFNDTEF GEPLGSEEEEATIIRDCVAHITAEWKTKRDMAMNIGALYVTVGITLGSLLDLFFTVVV LRGGGCS XP_846130.1 MAQGRVIEWFYDSLPSCVGLWDHTDRSDGECDVLQRSSCSLCDS RRHLLRAIPKAYKSYKDLKHGGLTEEPTDVAERHVTAIRIILQVSYNKYALDVPSGHV LTVSAFLDDLCCQLLLAMAGGRYDMDDDVAVWWEFLEDAVEALGLHAVLGIGGDGSTP LLRPLITTQCSPHSARGASEHEAGCLFPLRRWIAVVRHICRTVDGVVHLPSCDAAHSG DPNAGDTRDAGGKGTTGMLLNGANPLDSLLSAVKLLCSCLSNSFFCHVPPVCGLVRGS QAVASGGDAPKTESSAQHLIRLAIRTNIITSCRRHVGTALSDSDSPPVTDAALREGRC STQSMLGQLILLRCTHEEGCVGSEGEKRGGCFCWLVVASSLVGAAFCVLVDEEGNVPL HTESFLLCFVQVAIEEWKRKLQRYSLGGTEGLELLTHLFRRIVYQLQLGRLVDIFGRS AALVPRILSRQYVLASTLVLPNTVGNINDGSGSAAAVTSWLLDHAIRRWNFHPSLLLP CWCRRGDAVNVVRDAPHDDEGALGDELLIRTVLTGQHGDHYFLRTFVDHCAAARRGRL GSGGPSPLAEIHYYSVMLNIVRDMMAGDAIGFLTKNNSDANSPTTVGNDAFPRYECLR FFNDWMAMIRKELTC XP_846131.1 MLRHALKLFCCSVPLNSRVGIFPLHLDPPTVQHRELFRLLVGDI GKGCSPPRSGGNTAEIPWYFEGLAGSLQKSRCVPFEHLILVPNTRFPVELRLSAHIAA LTSLVTRGLPRVHVDFTALENPDDDMLCVYELTQRYKNSTLVHWLQDAQEMQKWAYFG DVKHRVPLLLLQTVSIPVTAVGKAGDARMLEKISANSEGISNMPGEAAEHSKKECGSV GGEPPRNSDNSANGSKVSSEYPSDGWINEGGGEEEVEISPAYQCYPRALWLANSDDTP LHLEAVDEGDHEINNAKDDCDEGAVTIRANPSSQAEGDPHAVSTRRFFSALSATHDRA LCAVQVGRKIHDLVKELCLTPSHSQKPHEGFSTAPMPQPRVEVYSVPRCCGADVRQAL WEREVDPSALLTEPVNHYINSHGLYRDYRKDVFPKMTYILHSIPSSDRTENKIRKGRL PNIGFTSVLSFPGIIPRLELHYDKNNSLACDLYEKLSIFSTPEGMEPDLIVPIGGDGY MMHCIRNNWSRFLPFFGVNAGHVGYLLNDPSTVEELLTAPLKLHTTTMLYCLAEREDA LSGEKVLLSELAFNDAWVERSSGQTALIRILINGEERIHRLRGDGVLVSTAAGSTAYC RALGASPVPVGAPLIQVVGSNVVSPAQWRPTHLHQEDQVELEVIDSAKRPCRCFVDSV DVGNVTRLQVRSSRAAGVVIAFACSCDLQQKLYEMQFPANM XP_846132.1 MQHTEAQSQQILPRSGRGGECFADTHTQVDDGASVVFPAAGGLC SQSQTPQAPRRRPRVITAQDLDEALLQAIEGKITRKNAWVSKDASNLLDGITQTVEST LDSNSTVDEYTSFAKVATVVEGCSKVWTSRVDSTYQRSNQMVRRLLRNEDEGHGSDEE NKEEGDGEGGAPSTSAAAERRRRAAQRKGQTVRTIAFDLSEINLDRKARLALVRTGVS AQFRAITEKFDQGNAQGLLVHNTPIGGAGNLILDVDYVREPDGDYTADCGRHSGQSDK VKSEPDEGENINTTARGFADGGIEDGVDEGLRITLDLPPFTASHMQSNAEKNSLFVCG GGHLVGDGAGSAVSTNDRSGEGARVSSSSFSTDPNASGRPSLTVPSLLAVPHTVQGNP DVLPDDATRVATNEQDGNEEHDYQDGGWFDGMDGADADYGLHDGGESIDQDRYTADNG RGEESNPELSPERVALEARRLVSGVTDLNIMDNRLRNKQLALEVDDPSGWVPMAEPVS NPMFGARARKNSTIMMTLRKQHHFLGPSVAQSSISTQSLKRLKREAAVFDLPGELEAV SATASRRSAGTKEKSTKGDDCIHLPPVFALLEDSGVDNSALKQSTSEGKNMSALGKEL LLGRDSSAIKPYLQTSVELTKAQEAGLLVRENPVPGVTVPSYLPYPITVPVFFQPFST PLSQWNLLRKSATGSTIHHYNNATSYGYADEDADGNGAGDMPAEFFHGGVDSDNDYGD VGTYDGFNDSTRDDTLDNPLKLAQAQIFASLDQADLIRSSTALTTLSGNSARPSSMNV SDVADIDPDTVVKVLHAPEAMLPTQVDVVLLRKIMWASLTGAAGCEVTEQQESQRVSR RKRGRVAGTEGPTQKEDNQGSDDGVKKVRFSEVVLQLLPQVSTVSATGALSPAFFFFS LLFLANEHGLLIESVPTLDDLVVHVPPQRGDASETMVNAD XP_846133.1 MAFSLPKKYYLIVHDAIRKVLGNDAEISAFVTSQGKFQCHMLVN STTTDPDLSNTDTSNPVGGSAGNADKKGEVCGFVKEFAARLQRCLGVCDIVACRRRLD IVFMDREVLRLALGAPRRAINNLRHPCEINEEPDWTRRNRLRISLGFDEDLFIEVCDL KIALAARLMERWVYDKYCKGNVGKLKGESAKRLLWERERHLYPPVTYWQAHGYLLLQE YPCDHHITLVGTIAQNFAKYYGEFPLDNPYCPGEDLNTIGCVQELCRAWKQLPVDEGG AEVLLKLGVEPPVPE XP_846134.1 MVVGGDRSSGEKGGAGDGHSDSDCSSPSSTSTSLSSKLPCAPAA QQSDEFTDVPTSRESTTGAISSSERSRRLALFGSRALAAGSKGHHIAGPSSSTTSPVT AVTSCSRADQRQGVSPYGARRGDISAFVRPSSEVSSQGKYLVVGDGMMAPFMALCLRV HGLECDLAHHISSNDADRGTVVLTPSLTQVMGDVLNVSVPSGSVVGRILTFDHVGNDM CDIDLNEFREKGESPTFFCCDRLKLERALLSLCEVGVHSCHVLPKPLIDRGGLEALDS GGVRVRFASGHHQDYLGLICTGRSQERVPELTITNEELQLRAENAEKFREAQAKAVRW LEMCVPPLPELGRFEKRFTPGSQEIVELLTPRDAKMTVRPTMMATKLFYNVTITIPDS TPDPRLKSSSTKQFWDDVVMHWTSGIPGYVSHTMFRPIMTHMQQHFTKSSALVYRTPL FLMPHWSEGGGRVIKVAHAAHGAAFDAVDVADAQGFTDCFCLARTIAEGNDVQQFLRD RRLQVMEELDHHATLLNYGVRERGQVAYMSSRFAMKILRRYKKNWRSILQNYVTLMPK IVK XP_846135.1 MQRWSQRLHAVNKATGRRWSPIPKPPPQDFDRLCGTHSVLNTLR AFYVAPRRLHPHRRQLCSLYVRDFRLEASCNTKKNGDDDAEKSNDGHDVWIPKQYPNM QRIVRLARALSVAVHPVERRELVRLCGERRNQNVVLEVSTFTPRDVVASPWESGRRVW DADGRGMTEGGAQTDDVVFLDHVIDPANVGAIMRSAFFMGLRRIVLSSDSAGCTAAVC RSSAGFMEYMSVYRAVVPTLTFLENTVAQQKARDGGHLLEIYATSPMPRRGVTTCSAR ELPSVSCGPVNKPRRRLLLLGNEGAGLPSEVMGLCTHTAHIPLAPERSHFMSTAVDGG LVAGGNGRNTCRTRGEEAEDIAHLRPEEVSLNVSAASALILAALRRGDGAVEVYRNH XP_846136.1 MSNHGGSSSLDALGGVETAAAETTRNIVLGLPKDGPVPGSSDAA SLQAAEAFIGSIQQEIMACDMANARAMELYARHWWTKVPYFGKRFGSTTATLEVTGAD GGGVSGLPACTGTSDGQHKHMRLERSSEVAAVPGTSRGQQQRLNSWFFKLRHPGWVPI IQRPWVPYFCIGCLMLVWTPDIWKLRTLHWCDYQYAEFRRAVHRAYWRATMSAEDYKE LMEELERGRPPPFGVSKCPL XP_846137.1 MGSTEDVPPVAHSDVINQACAVEKSVEKPPKGGEAWSIDFTLPK MKRKTTRKEREAILCSKIRGQLQTLSTSSFSNIGDSQQVFCSGNNTDSEGNRHLQIVD VDGMADPSTLIPKNLPTTREDLEKRVCLRIPRLLAVAKQYPKSCGITSLTSVWNYLYT RIGENPMGADRPPVSQEEVMSIIGFSPPFDAISWGPFTGNGTLIRWFHALNRHFAVKG RAYVLYKPQGVSRTACTAEEALRQLKDVLQNPQAAVIYHCHNHYMVPIGYQEIPHAQA DCYAPNVPESSCDTTVFIGDVSRGRHEAMYARKWSDIVKDLMTQSPEFYNIRRPELGI QTRVPKKKGCDTDRDHESEGNRGQPLGQKRAVISVEQPTETVPPRDAEAVLSPTSDPD AKATVGRAGPPPKRKAKKAGGGNLHCLICFRCDQVEERPERFETSSSDRDSEGSSSSS STGVDEPAADGGKNSTGGIV XP_846138.1 MPAKSRRRGCSLDLSSGNTLNAGAPKGAVGGVSRAAEDELSRSV ASPADAVELLSRMQDRTKEIQRAEKDADARENLVKTRYAGGASATGGTTVPFDKNALL TDMIAEARYNFLKLDQNLSEDYNIATRRLHAAVFGHTSDFLQLFRDVNRASSLVESLK SSVQGTKAVISTISKSATCGRKGGVVEEGTDACTSSALGFSMFSSGLGCSSGSNDDSG NRVIKPRTRPSLIATNLARRQPRRGLAGAFAAQPSKDTGTPGSGAGYSNSTGGNADGR GWSRSGGCLLVTTRSLNTTSVSLWQTMILSGGESAVGDSALGYHHRWAAQRGIGEESD DKVEKAKSQDIAVFVDVLRQEVMQSIAERRYMETAELLRSSEAEADAKGCLSLVLELE ELLVHSIRMHVQTLVMPLMYSESLHIPLIQLLLRFGRVQCGSQLFFHLHAVWLDAEME KLQLRLDSYKGTLIASDFLVCAILEVLERQKNLWRTDPSIGTAACPANDSNEDDSGLD SSPKAGNTKLERTGSPGKVTATDHNNGCTKSEPVVTPRMPPSSTAVLWVHDRLDKFAC EVLQPRALSHGTGAEGGDPSRFRRAVVMAADAIRTVRRLDRFGFAGCDTRLLVLLTPS LMYLQTDFSRCTNSRLATTGRAMIEHLIHDVRHIYLTKGKGYSVKMCGEVARRNNSVC RELQQRLKILPRSSYALLAELLLAPASSRLFMPQNTGSCATRPGESSAGPVLVPEQYS FLRYSNGCTRVHGLLLTSVLRFVAAMTGYDVLPLELAKQQQQEALEQLANQAECASFL LSNSLVIESLDVTLHRLFLSLMHTTIRQRQSLVGFMLSGEFSEMHPKFFDCGSRSSSS TYTLVGNPWALSAMQLMLADVLSTSVWIAYLSRGGALSQLLLLQSAQAFRVQYVEELR RALPRIVQGWMAATLSLGYRLDSARDLLPCDALVFPVTEAGTSTAPMTMAPDNRMVDA SDPRDAVVRLLEISAVNESRLAPFLLSLVQNSFTSGSSTAEEAVLLCAPLPMPTSPLW CLRSRIGNYPKFPIGRKFNHDDELFLFHLFVQIMLNVLAYFQEHLLVPSYVVNERWAS ARPNSPSDGDTSPQSPGYTSKRCPSRGCVSPQTESVVAILSAGGGNYVGAVALLQFII YRLLRDVLCRPQTWNVVYGLPPEASRWAENMVRQQVFFFALFVRFWSPLFVGGVNFPV AAGRPPGSGSDENADGISSMLILQWLTCTGGCQGKQQHGKGPYAFDIEALAFPPNTVE SRERVYIRETNLGGVSGAATPPDDQQSEGAGQKEGSRKSAEDSTAGSDFSPPCTPNEG KNDLSMLMLGLIGSFFDSQGESRAMPAINSDLTRNRVEKLMSSLNLLSVVNKEVLAEK GGAEGKDSDDDDEDREESPCGTREEPVGPISKKEDSAKEQTFKEPLHSRTGGDTSKGG VTLFHVYNMLLHYLAPLT XP_846139.1 MKNARRVSLSPLILRSLAELQDGLNTVVDKNWRQLRRPGDWSLA ITMEAAELLDSYPWKWWKNVKAQPDLQNVKIELTDILHFSLSGAMQVSDENSGAVHKA EAGSNGESGKHWCYFDQPRALPAAGGAEYVACVETPGSSLSAPVSADECDLADFMFFP LSDTNNALASFQNIIRLASLQRFQLVTSAVIAAADDIGFNLVAYYVAKHTLNGIRQMK GYKDGTYVKVQKGVEDNELLHGCISPFSLDDVTNEGNYKTKWDDIMHRVYDAFGTPKE ERLNIGHWLKS XP_846140.1 MPAKAASAAASKKNSAPKSAVSKKVAKKGAPAAAAKPTKVVKVT KRKAYTRPQFRRPHTYRRPATVKPSSNVSAIKNKWDAFRIIRYPLTTDKAMKKIEENN TLTFIVDSRANKTEIKKAIRKLYQVKTVKVNTLIRPDGLKKAYIRLSASYDALDTANK MGLV XP_846141.1 MMISFAQGSGVLVWGEWGSQLHRLQTTVIMISYPFFHYWISPPV FCRLLSLRLTRTMPAKAASAAASKKNSAPKSAVSKKVAKKGAPAAAAKPTKVVKVTKR KAYTRPQFRRPHTYRRPATVKPSSNVSAIKNKWDAFRIIRYPLTTDKAMKKIEENNTL TFIVDSRANKTEIKKAIRKLYQVKTVKVNTLIRPDGLKKAYIRLSASYDALDTANKMG LV XP_846142.1 MERLSIIHTFESDSTFLAEVPSVTPRSSAGLTMCKSATVTETRG GRFTGDHEGPASIDSTLDLIPACSGTSEGDRELSVVRIVPVSGSVAFHSRFLVQFEAY CRLSRRLRRAIEWLLLSHQTEQKRVGSSASSLDSSMFDVDCLTRATHPVDPTAFLASA FALPHVVSVSPTPLDEEDMLGPHGELCSAERTRVVPYCFFYSSPEQRLFRGGSVPSSP SERSGMTVLERFAAVAKATGICGIVPPPHNALSIKNRTKVGLTLLAYMEGCLPSCIFT DGNGGPKYGSGVAADFFSQWSDDILWRLLCSLVSALAVVHAGGFHYCGELTPADILCF AIPGENDPGVAAAEALMSGEQEGSSEIPVGQRFHMWAAASERHWAITGATPAHRAFFM LTTPPRSLFAHFPDDPHTVAESQRRDIVAAGSVVLKVVEEMKRRRGQSEAGGCVELLF VAQRMVSVEEGGANTSRTDATALAHRFAQLQVVRLRTHLCLLRTVVEERNAQLVAAIL YGRSLDGTADSRRNNRRLKDKSDEYSSSGREKATAKPSRGHESSHVRDSSEAPLLVGD VKLPTLAERERALAEREEKLRQFLVLYELTAERLDELPVGSEGFEFLRQMLMQAPSSK SEKTRPKSCSGTRPTSKEGSKERTLTSSSTRSICGTPRPLRDAVRRATPLGERRQQIF SSFTSSKAKDGVLSGITGNGSAGTATSSLIVSGLGGLSKEKRPLRTICRQSVTRTHSS SSHRCGSARPNVSSVVHRVGVKTPTSSRNKTDSPKRANSIERTDESQLKGCFLDIPFA RTANRIEASEKLKNTPRTASSPLTKGLGRPLASRSPTRVGATAVTLHRESADRELLRS TQAVRPERQSGDSDTSLPNMAANSLLRLSARARTPQQVRNAATKPSVTNTSSTSAAQG LVPGLALPSNSTSVHPNGKLLHTATEGVSANHEAAAQPKQEAVSCAKTQVKTLTSEET VKQRKQSERVDPPPTNADGSSPGFSPVNNCGGIMTVIDMNDDNQVVMGGGGSSLNSVG RCNVGSPSNTAESSGGRACGAGEFSAAITDRSLSTAASEGARAHNEKRTMFGPQQQLS VRPGYRSDREGPGTPRSLRPRVCDGWVDNHLAALERMRTDFHQSEATKSIRNTPNGKL LTAGLAATHLADQSDSALCANASSGNSADRSTLVNSEN XP_846143.1 MEDDIIQGRCSAIIQQIVCAGAPQQAPNQKRLLTAAAEALRHQA MLMYRLCRARKEKLSDDQTEDAAYCVLASVASLQPALWAKLTDWAHLLRPKQPVLRFL SPIPMIVKLKEVLHFIARTAHLQVGSSTTALNLALSKSPVERPAAASVPEGAAVVTGQ RSEPTRDSTTNHGSYRKRGREEKSKTHETTLGPQLALQVAFAYFRLTVPNAKKLQAVI VTRESEDDGTKLVAQLEDTLMMRGCPISHHLSSGNGTTSTSSGVHAHPNLLKLGDDAD DEENFDAPSTLLPLAYPASLGSLVSPRNTNGIETTPIFASPISLPALADAIDTTRSAL YYSRLQRCLRNPLLFSPLECASVEGGILPTGAATSLDSRFFPVSFSGSLDGNSTMLFS SPAHFLHPARACPQSLLPLPPQMVTAEYLWKRLLVAGLWLLSASGDPASAIDSRVLHY CCRVVKAASRGDVVCLVCDDLVRERPTLYISALARPTGGTVGGVQGEKDHRSWEEMKC MPVEKTPPGEAAHGGGPLACEVLRALSKELHEKSYELVARGTVGQCNTSGTLGLAAGA QNSGGGAETCIGRTNPTLPTLRELVDEVRSTYPVEACRAALIGGMDGEAAMRCLLLRC GDVNEDDALSYPCCPHNTQETVGAYGCATASLNVDGLLSVVPYVPRLRETDGVLECAG CFLLFHQSCVCPTQRDIAGGCFLCHNCRLRRTGQLRLDQPVA XP_846144.1 MSLVQNQVSGNPYGRQSFLVVFDFDHTVVDCNSDDAVPQCLGRE KFREELLRSEEGKIQWTNVCDAVVAPFTKQQLEDAVIEGIEMDKDMPDVFRFLAQGHA RVVGGEGGGNSFPDVEIAFASDANHLFIEATIDHHLSFARESISQIHSNPFHEVNNGD GEGDRKCRVTWYEPTGHDCRSCADRDHPNMCKSLIIARLLHSTRLIDPTVIFVGDGEN DYCPVLNALRPRDCILARRNFSIHKALADPSYTSGCCRVGLWENAKEMLSCLKQLMSP GLRLPTLVRFKDVGPHEFRAVTLSTRMPQVLSRTLADNAAEVSPEGRRLMDVLIEDTK QNGPVPSLPGQSVVSPWLQAYASTVEFDHAAHQIGEHSKAPAVPRWGQLPWLQGEIYF YNVFAQYMLLGKANPPSVSEDKSTLMPNLVTPYATCTPLKAQGSGASSVAEIQASGST PLQFFNPARLAWNSKQNGELLSVGDSVVLRDKSKSYYEIMGGDSLVKRHDHFQSYRDF FLREKREVIHKFLRPKICPMLACIPWETNEEFITVLLRWMLWGNAIDLSMFTLEGLQV TSHIGEAKGSEKWSKVEELRAAESTAARGQDERIVGNQLEEIAKVVRRIVRDEPTTSG GTTRSRTVNIVMDNVGVECVADLIFTLWLLNHHPSLRVVLHVKSIPYYVSDVTPPDFD FLLNELEECSKQDVDLTRILIPFVKALREGFSSGRICIDADPFWTQPCEYREMPPHVC NSFFFSQRVSASEETQGEYPSSSRELFPRRLYVGHSALVIFKGDLNFRRLIGDRHWDN RSFMSTIKPCERTSPSVASTLLADTPCSGDESTAVAPSFENIVSAFWPVLTVPVCAIR TIKSELCVGVPFQKQDKLDSSDPKWRTSGSFGVVLIATGESH XP_846145.1 MTQPSADEIYGRRAKVNSVIKHSLCSGVCAVPQWIVLSMAGLLT GALFFLPFSTATLHIVFVAMSIALGLLFALFSWILQRHLCKRPPRDSGLINRSVTEVE GTQPRRLSGGSEGREFNAARSEGELEAHCFFESGSRWCLEALAIVELLVLGALVLLAP LVVRMDVEGKSLVPSDAREDPSELAYPVEAAWKVFLLHLWFTFAVSMKCAASFSVGLI HFIVLTASFKKPGTIPVLLLAASFIIPVILFLVITARTALQLRKLPKHTHHVGCDDVA GKELSRGGDEFNRSSNATTGLSTGETETLPSQSSCGIFRPPPGHRSAEFGEPGSSGDS SPRHCEVVAKEELGRQNQPKWSPCGLQCSWNIPRGLLDDTRIPFVALDTTTGCIECAS AGFAENVGMPVARLTGREFGGLLSELHVENAATVLDVVRTVASSTVSKPRAIHTEGNG KEVSGVRRTVFGKFGIRPLFSKQRQVGPLSALVADADKSSMEIEMNFPHIAKESSGRR VLVRGCRFQDEMDTHSSKSLAAVVDEAKTRGTGTSSSGIERERPMVSEPNSVTGIKPS HFFSLSLDVYLYQHHDTCNSRYLVLRQPSLHYALDCVPLPCFLVHPQTGHVLHWNEAA ERETGLSAYDMVGWPVCLTSVVDPTGSLGVFKDAQKKHSLMPFNSAPSAVLHPPLASP PLLVSLHLVAGGGVEAEQGPLLDQIDCLCWPGRHLRDVGECRGYAETDGSVLKDTSAC FSSDRDSEEAGGMLPPLNPVSVNLEPLDDYISRKALFFPPAWMPESLTESKANTVTWD ALHVPLLFLIHGEAPGVRECSPLADCCQPKKQRKNNGEVEGLSQSFVDIIEEFKESLS CALVKECGISSLPLRDGKETLPNPDITQYLGELRELFTCLAQKVDSFRECRGVPMSGR GDICGDFSDAEDGDTSSAVRGGRRPVTAFGSSLDLGRGVTTARYVGDGSRGIKEGNDI FGEEGARKGIKEQQQRTRYDAPAAGVLALAHKNTASHPVSSFAVSQDALVTPPARGAA LVDLGNLTRPSGEERFVERGPGSGASKRCDTHRIPPMQPHSKPLGYSNPAAEIPSRSV TPTSPLRLDHCESPGDLPVWAMLKSNDEATVPSCFIRVPFGEVFRFGRSSKCHATTSD VFVSSVQFTVSRWVPAQLCAIRSSTPPHQDNGSSCGSCSPTPSGYGPCNSSALADWRV ELCDCSINGTYVNVKRIGKGRTCTLRNNDLITFQLRARRFFLGFRFVLTDERGVPLKE CSSPTCSSFRASGISGRGTPRLWQRTLSGSGSTFALNASQTESAKLNCSDSASQAVRS ASGVRHGARTPNARRIHTSQRGSGRHQRGTIEWKIGEEMLGKGGNAEVYLGMNLTNGK LIAVKRVPLPKEAGGGGNGKGLLKRYMSLQEEIKVLSKAVHQNIVQYYGSSQNKDYFN ILLEFVPGGSLRHLLENFGALSPGVICSYLAQTLEGLRYLHENDIVHSDVKAANILVT DKGRVKLSDFGTAKHLLWQQGQSIDLANISGAAERTADDAACSTHHVAGTLRWMAPEL IRASIGPTKASDIWSVGCALIEMLSGDAPWNEYEIESEEEIINLLKYTTEPPDVPECQ VLPELTLIAKKCLALSPRDRPTCEELLQLVEEAREKLGLQEDETQSRCDDSTSLRLSS VLGNAAVEGN XP_846146.1 MMLYQRRGRGEMHRPGIRTIVGSGNSAPSSLCAPFPFDSVIPPP PRGLLERFLRRLTSTISWVVSFLLVVCSIPFLLLIHALLVYCDGYNREVVARHRRKWL HQTVPHVRPVRVAPSPNFPLEGWHMRCEDGRQRWHYGRLLNVEEGNELGKAQAEGCPY TPYGEAGNANDDFEDTGATRTGPRGGPDMRAVKEERCRFVERYQLGLTGTAHVKPRGS VEEAMRAGAEFLLRLQHPYSGHWPNDYSGPLFLTPGVIFVRYIVARGNIRNMFPPHAD HQHEGDEPCLCGEAERLELIRYIRNYMNEDGGFGQHTEGHSTMLGTVLNYVALRLMGV SDDDSDAARARQWIRGEGGAVSIPTWGKVWLCVLGLYDWDGVNPIPPELSLLPNWIPF SPSRLWCHSRVVSIAFSYLYGLRWRQPDNLLLQCLRYEIYLEPYGNIKWAKHRSNICA KDCYTPLSSVYKVFAAVMSLYEKRPIKFLRRRALEVAWTHIAYDDESTHFICLGPVNK AFDMLITWIREGETSGRYLNHLNRLDDYFFMGPEGLRMSGYNGSQLWDTSFAVQALCA CNMELLYPEEMALAHHYVDVAQVQENPVAATQFYRHRTKGAWNFSTRPQAWQVSDCTA EGLRVLLLLRHKPFPHQRIYDAVDQILSLRNRGGGWASYEPTCAPHYVELLNCSDVFK DVMTDYVYTECTSSCVHTLSLFREHFPDYRREDVDRAIRDGVKCMLANQRTDGSYYGS WAVCFTYAAWLCASALRISGEIYGMEGDPTCVRLVNFLLSHQNTDGGWGEDVSACARG VWVDNPSGSQVVNTAWAVMAIMAASGEASSTELRRQLRILKAVSAGIHFIVSRQLSTG DWAQERISGVFNGNNPIHYPGYKNTMPVWALGVYRRWSKTYGQHFPRSMD XP_846147.1 MANFNKVSRANAVLQPTAPATKYGNNGKNIRYHDVENLGEPFNN CRQRGYERNHIAPAPPVLGSEVNYFDNSASPMVSSDPGARHWGARNSYDSQWDNTYKS SGARAAATAVFEQIAAEERTSDGTQDMHGEVATENSTEYQSDGCAVVGHSRKILSSTE EYVCRRYSVSSIPRLEAYDYYETRKISPERAPHFSATAAHSDVRMRSPKPSVSSGGKS SDSMLQRRGEASTFPRVVARKLDLHATEECQGSSAHKQLSPMNAFEVANKAERQSQVG PTCPPVLTPCPSHNMRRSVADASTMTEGVSREAVTRAVHGNNLTTLPNGHYDNEYFNV GRGGPRSSANESVVSLSTVSNSTLNQRPDSTAFGGYRNPVGQHNHYVSPKLFHNSATQ TMASSARPPLTPRFNETRSPPTAPPYAGWDNSPGGSMDRPALNGRPANDAMRVPRVGA APQEVWAAKLRQLDNAYLTNGNGWAILHEIRLLLEGRNSR XP_846148.1 MGHCCATQTKQARLLPSNNASLNAPAEVPGGSLAAQTRPSDGQI NAPTGGASHGVAAESASSKFTVSPVIGDQSDYTTTIGLERLVPVNRKSGSQLPVNAHL RLGSDNRADSVCVFDNGDDDGRFLTARSPLLLSSMTTPRVKFVSGTPGTFDTNGGAPP SGRGFQSCLSSQWDESVGSNKLNSCHSMVMNESVNNSVEAYFGSLTACVPPTEVPPSM LPLVSITASSSSSTVSSPFSSLAVHRREPSSLFVNDKSPVQSLGVSSFVTALSPSVSP RPLHNEAPPQSHADALGAPTATSVSHSRGDLSLGTAIAPSRATSPSCYMENASFATAL SLSESLQASHSVLSSVSFPGVGVQSAAALIPHSRGTSSFASAVSPSAAVTTARSARTT PSFVVTILPSEATTTPRMAFKSARSSNKIGSTTVTGGDLMSCRSLSEISTSLSDKIHN MSGEVARSASEAPQRLVVRKRVTSLTNISSSSYSRLTEKERASTQEGVTNSPLIMAES LPDQTNGVVISLSPPEDHSSIFGGNGGGGSREVSFVSSSPKAEGMWVQSGKHGKKKAG TVLSFFTPDPAVTQLSEHAANTSLTSHMLTRQKPKMLSYFGRHSDPPFSSVNTLRQRN VMARSVGAVGGLGTLDASYKKVLGDTQKLFSSLPALPTYTKRDHIPRRRVFDLGTPGS ASKSRKSPSPEGSQMISQMSSSINGHAASGVSSPDSKHKEDGKAHCQS XP_846149.1 MGTTASTDSADSPFSVRSVDSSGGGGWRQEDPERFRKYMHLKSQ ADQWRQRHGHTPGATRGELTKNLIEEVYEEDFESVDHVQSMRLPPMVLKEMKDAVANE AERRCAETEKVMARCLQDKMWTSWKCQKERDALYQCVADRKEDNELLMAYRWKYNLGT FHGELIGRNNMMRRIWKEHFPDRDLPHPWVKDA XP_846150.1 MIQIIAPRGPLQEMLLLELQGKVVIPPKLLEEERERQRIPNSSE EEVKACSQVTGGKRLREDGECGVNVDTNQVVEVPLGCVVWDSRNETRCSLQIGTVEVS GSYGKRREPLLVLKRCVVGDKTADAEGAVACEYSESSGSQCCLLQEWLQEHPEELTLD ATVRGATVPRKVYELVGVVERYIHLNSKPLRRFV XP_846151.1 MRPTSYLAHAAPSTTSSSAAAVATALGKTKTRLSPQQSSFTSLT PSHLRHGGPQAAEQLMRLGRVFVPVTYLPGSSGYQTAQTPGVSPTLRRSRRYEPYGSL LQMYMERGFTDLDALLFHPEAPSPMELLLSVLRHRTACLQLTQKALTATISRPRHTSS AGAASYTLQTVYHILKNHCPYLYDTASVRVLLCQALLCERLFSDAIELLQGMPDSWIT PGLWQVVMEAAADGKVPHSPLLWKLLTLSPAKTPGEGRVVRTGQRVPEQLRRGMSARA AEVVSRSFVNRGESMDEAAKAHGVHQNSDTVTFSSFEVRPLSIVQITRGGNQLEWCHL STSEVGYAEQHYRALRNLRGWGHGVLRLGRGDHLMEGVVYGLDAFLASRLMLRANVSF FGQASPLIALHILRRYIRTCHALRKTPARSSVVKGTGSDGTSELGTNSDWLKYHQPSS TSGSPGVSTSDTQASDIEDFIHPSPFLLFFKVVRETRDVLPGGGGTLASAGHSGTSGG VPTAGLPMIHWELVWRYFQTLNRECPNWHTIIPEKAGDLSQYVIDVLCRGADPWMTLN VARAVSSRHIVDGLDMSLWLLHRLDPSHHMEEARDVTRKVFRWLLTDVGVHLLPQLHH NLIPAARVLVRLGLQDELRQLYNGVLDNVYLFAEDFRAEFLHVMMDLVCPSCSSILSA QDVYAERVCSICLTIIPAKDAGSLPSFQLSSEHISRLREKRKVVRLSERKRLHESICC WSKRGENSRETAAGATNVGKHAASPVFPPLDASSVFKKEILSDTAPLLPGVPVAARNV LLLPGGGGADGAGSCVSEGGGPSASFDVYAAMEESSHRLQLQEAARRYALAQRGVVLP ARHSAAFPSPVLSTSSVSTSSAHFSPEKQRQGFMIPSMCVDGPWTCVWCHEQNTGWGS RTQCGACGAETGPSALWRHFAYATSTGDIMEEVRARVANSAERPVDAVVAGYLLMVYR RTFLLRATPHDAERIEQLIQRLCQLHERVLAGYTYMRFVPARARSKGSTLFALAHLFG CTDAAYAGLTTQQLRRDEDTFFQTIFTPATCKVCFGQHAWKACPIITRDFSTTKRQSI TMSPKEKQEAVLQQLRQAVEAAVQHGAESSRLVVGAYTAFVKSPYRELFSETHSRDVN RLSMLLSRYQQFRRAAFVLCHIPLHLRDDGAYMAMVPYFNVPVEDARELLRKRSPLGV ADGTHPNFVQVAVTCCMCLDERHASFECPRLLKWIGEIEELSASQKNGSATSGALVDV VAAQELSKRLRAQVDGWTSAGPERLHAFYRFLLQRIDDFQKDPYDTLQTDGDEHGHRQ VDSCVVGSPLNMLQLRRLDMDDPIVYAINKAISKLALAKQEKSAYRLYARSPVVFVSS GVTSTMLRMAGYSEKGIRTLSHNHVDGSGSSQTSLSSSLSASDESSTLGVSTEGARSA AVPMRNCCLLCFDSEHTYFDCPELIAQPTTAAKLEYVVVHVGGIRSVLDGVRAAAAYV YHTYNLGQLTSDLLRAHPSLVRSLLRLVRRCFACGLVGSGVRILRRLPVEMVPPISPY TDLWRAAGLGEEVVISRRAQLQALFAAEGLEPRIETPPARQTYSNRFVSALSHVLHDD LCRHCYQHGHSLATCSVFHAEVSFGRDYVAAYRMSMMSEQLDDDWRDAYLLKLVDFFL MHKVLMPYHIVGVTNALNAIAAMWSFRGEPGIAIRHLLNIPPAYRRRQAFAYILQAVG VPVDEAKRVLANVYFSPSELSSSNKPSGSSASASASASAGSGSGSGAESGSAVAQHLL MPKPAIRDVAMTAVFNQFPDALAALEGSEGRMEAIRRRNATQKITKGSKAEGGNMKTT SVGGAARMAESSLGEEPSVPSVSLSRRPVQSGDITTVRENFDPVLTELELAVGMKLGS RHVLFTSAVDILAAVVAPKETEGGSGKVQQPAVTTTVVVPPQAASPPPSENPASKLSP ESTVAIPRSDACGHPHTREEPEIVTVFSGETAGGGGSGRGSHQRWGVGSDGLKPSSSP SNSPGGDHGKYSSSQHFQTQQHYTQKGRYHERQRRRQRERDVGNNGNHARKR XP_846152.1 MSHSQNSTSQGSTLPGDSLCGEEGFAHYGHDDGATVPLGAGGGC SVSDSSSAHPPHRGIYLKPNVFMINGTAGHLMDLYYLSNSNATHGFGDSTCVRTASPP FWWGYKPPNSPTVLVSFKYSIWTAVEKQIYDIVASEEFTDAEVVANVEALGCSHGEKC WLQKAAGALATNLRENRLLAGQFAAEFLSPDVYLGRGDITCFLNGGGEWEGRNIPVLQ PGMETALRAMRPGDEFGFIIRGRRLGFQGGSYPSMYSTGGAGTYNYNRCTSFPQCYFI HISLNKAVPRETTSMLPLQRYVLDVPFCTDNVGRACCTAPQLEGCSGTVFSAGLESGT FSHFYSFFHGSLSFLGPRGIVSKEGGQGVSRKWDSAEYQAARRAFCMAMTTALEGCLE GRLVGGMCGGGVEERPTHEDALSFLHECFFTKPRGDATVSFSVARIGPLADRELSSER LDNVKLGTLVTPLWLDMSLQSMAAGERDLINSWFESDNDPLFHLYFVGCHRAAMVVQF WESERERRSGCIEALAEDQLQQSSVGANNAPPKPILDGNVTTKHDLSCRITLHSFTNR YTVDDFFFVNPLSSLQTVRGLLDEISGLCPLVKSCEGLESLAHAVDSEISSCSSDSSE RQVEGAVPLEFNQPTPTQSAPKVINIHPAPFGSVPPNRDAFRSVVKAIHKVCFTILLL TFYVDVDNMLAKGVAPSLLKERENVLPDCFKLLGCLYAKLKRKFNIHFCEDAFCAASL LVQNDD XP_846153.1 MNVDGTVQQARVGVVVHRAESLVGLSASGTSNPFVSVSVGATVL STPVVQNSTNPTFEAAFNFPECPIPTILTLRVFHKEAGGVESPLGSATLTLFSPSAMT RRQVQLGHGGSVVLSQKARDGCGSLDISYEVVAPTSYKATASEQRKSVDDDLPSFLRD DAGAETLTSAFTAFSQPPPPPAAAIPKPPEFGAVPPPPPAAAIPKPPEFGAVPPPPPA ASIPKPPEFGAVPPPPPAASIPKPPEFGAVPPPPPAAAIPKPPEFGAVPPPPPVAAIP KPPEFGAVPPPPPAASIPKPPEFGAVPPPPPAAAIPKPPEFGAVPPPPPPTAAIPKPP EFGTVAPPVPVVVPSTSGQSFIASAAFANEDSSETSPPPSSSTMPDTGGTSHSSHRGY SSASSPNSTNHTQLQRQQMASASSTGATSTSLHTSSNHSSTAPPPPLQAQKEPPLHGV DKTSRAPSGSASVEPTAKDSDKSQKKVRIATNAKDRPTSAGTAANTKPPLRQPSESGR GRSCSSVSPTREARRTSSAQGGRSSPYVHGPVVKKVNNFTAQGTTLLSAARRSANFQV PTIYQYPEELLAAASQGDVAVFQRLREEDPQLSRGFEKVRDYSGRTILHIAAWYGHVQ VLKILLQPTPVAPLLELRALRSVNGNTILHSAAQGGRVDAVQWLRFSTAAASLIGQRN ARGITATECAREAGFSNVALMLAETM XP_846154.1 MIHGNAAPISRAFTIHFKGELFRALIDCRTALTLRDLIAVAVPN PSGSNSSSTTTGDFSTDWVDNCICAFGGQRLSLDTLTESAPLVLDVSWSESARGGTRK RMRRDFISFKEEDRNLLPCKVSQEEIGYSGRKSGSKEIKNNIDDKYSVFDAKSGVVLS FDSSSRYGVEGKFSADDNNSNDNSCSSDDGEDDDCINSEGRTPCEDYDDRQYEAILPV VVGGVVRLVNMESRDKSHEWTVYVRGLFNESKYLADCIESVRFFLDASFTPSERLVTS PPFELTEVGWGEFIVKVSIQLRHYPRPIQIDLSSSSASPVTSGVVKSPSLLPLLPTSA ETLSDVKVASAILSPSTADGPLIGLTNGPALSPLISVLSRHHYHGCASDSVGHTIVKK EEEEEGDDQCTKCDAKRNVFGSTEKAEEAGRRAQNNNCVNVLFNPNASVSSDVETLGT CSGVVVLSHLLRFSHRVKRLHCIPPLGRPYEPEEHVGYTLVQHPVVTEHYDEIVIPDA PLEIKKALASLPELLQNRVSSVKGVRAPTTQQQGNHRGSTTLHRDAVASIYAEDGVSC WKYIKHEELDDIALAEAYLDNLSTCPKSFFHVDSHVVEANQPLALPRPGRGRRKLMGA EIDLAALRAAKKGLTDAIGRMRREAVLRQAAHIYQQYT XP_846155.1 METQVPQNVMEDAIAVWNRLQCWGNASNYLLALNNPCMQHQKHG VTKWDPYSIRLARRVVAVVERLDNHREKSLGLGATPREKLLALAEVVRLCLESFDPLG TPLVQGAAFPFKTPSSFLSPSPPVEWERAVDKRVVSGTMIMEGLHILTYPSVQLRIEA SVEQLLQHIMEEFNGGSKRTMRHSPEELRALRATTMLLEDFDALYKRIVCVFPCVRMM GSPHIPVALLPIVIKLYYKMAEWVRDAEVTGELNSFAQITVFKKKKLFVKMRRGDKNV PWGLQFNDEGRVVQVNPSVRSGSHAAEVLHGLTQFFKKGLSIVELNSQPVSFANLTAK QATDKLHFWTIDDSRLSLTMQDDHTTPPLLEQLAFFVVPGAKKQCGTLRDGDDTCATL VLHRELCGISWDIRLTSELCVVDVPTSILSKEAATFFKTHHKQLRIGAINGVKVTRAY QVEALSQFVNTIVIDFYKVPPPETVPAQVKPKRVPALKSDTKNTPSQHTSVVVTATAV AAPETVKRIANDGKEQQLREVQTSKKKIEDSSPLDKAGGKSNSTTAIVHAKEAPLVLV STVAEKLRSIARAGSIPGKNGANGFLEDAERRAVSKNDEYRSATKQNEVRGNLDGDVT TSLTDTVMVLDMDGAEPLTFPNNVTINMLTPEEMVVQRPSCEKKWGFSLETEGMYPKK TIHMSGLPRMLDEQWHHPFSKLFRSRKGEWRIASVNGTPASQLPEVIDVMKHALKMQI KFIRRW XP_846156.1 MPISPLALTWVTAHLKRRGLPCNIPGVTAAEVCSEEEPKPAAEP QHEAKPLSPDELLQALGHCFTSQPPKKEMTSNQNLVKNPNGLAEHETSLSPQHRSTPQ RVASGIVKLVEQHEERSAATKGTTQSLLLLNTVLTACMRHALRYSRLDASKGEDVTAS GGDGASTVSPSQQIAFPWSADRAANHLLHVFSDDAVQKQLRTRLWKIVSKEHKQIVGD ATKLFRSLPIDGSGAINFGEGTSVAEEELKRMFYIPESTVVATYDAIRKLYNAEEPTL ILSDAWSSGVNGGEVSLLSECHFVYEVSSQHLADLLMQEVRANAMKEEKTKAENCEDL GAALQQPYSKFTSQFCTLLMEMSRVRMAAAVLMQFEILARRIALLAKGPTVNQQRGSC DTFVDLGVAVAAQELCTLAVTSSSRVLETALHKKRFTTLFRVTVGESPSLTSRGDQRK SSNDEQNGAVVTGPLPTLGSAFALLSLGSPIKKVAAVERAKARASSEVALAANSTDVL GDSGSCEHNNSSVGPGDPSGVRSVDCAATYGAFMQTIMKAAGATNSCATLDGKRCKGK ARHTTSTEGAPSGTSGGIQQHRNEQTEPSATWKFRCCRMQRDNVLDALEAIPCAEKDA KVQLLRSSTDIPWPFTLDAIPADGGRSNLDCGGGNCGSSNSSTLLQATSGFTSTVTDM TVRMKFNESWFPPTERMHTSACTAHDGGGTTTTHGTGFTPMDFQHASQLQRVLAFNDG RFLFMNGCPASKVKPLNRLARESLKLVVRFR XP_846157.1 MGGGSSVEDKRYSRLFQEGLKALHEGRFTYAEIYFDQAIEKHEG YPFWQRLDEMVEWERKGNTGKRKGEEQNEKDQWAKETKPETGGGEGAGEDGAEMDDLG GESDDTGVLVIPLDQRVFHVLEYLQLRTDISVAYLLAERYEEAHEHLQYVTSHTHVLL KCLRIARQSNMSEESGGEGGDTNPLEHVGVVERRFRAGLMGMEVEVEGILDAFEQYLR LHWFSGIANTVYIAFERFKGGQGDKKRKRELDAVVVTCETAKLELHKLVSRRAKSLTN SVLIEFLEKLINDMDSSASDSEDDGNANGGRSGGPAAKGRRKSDNREDGDKEELENFP FSARLQMLYERRLLYRGMPAPMQGAALRYLPWMDEDIRRVVPGTNYNLEIQCGMIDDR RKKQLQKKSLKHTVQKRFDFNDRVLFNKSMDEENALLLFPLLLVEADVQLELGAATKG IKALDMVEKLSTQLYGRQSLEQQSLMRRVLETRKRGGALFMMFDDQLN XP_846158.1 MLRERSCSCSCTSEACGRLHSCSCTFSSDSCGERRPNRIRQRAL DVSAYMSSQPLSMSSRHNTDCSCSCSCDCSCSSSCSCSTGCDSSRSCSCSCSCSCCRS AYSSACRTCGRGNDDQSDAERAPHYPSCQYSEDFLSVAPLLIRFGAFPPPRPPEAPPV RMFPTPSGLLPPPEPLRASTTTTETTQSLVSTRSAEGSDIITTVSSGELRAAAEVTSM HRSIGMQTEIESRERGASPIRKAKTDVGTNTEMTLELLDQQQATLQQQTMILHQHMER CVILERQRYEVDCEHAAFCEDVRRWQELQRETAVAQFIVAVEKSYSDVKVQEEEGRAL LKTFMDFSSQSVISLQQNCIDQVQHQMTFAHQQRTILEGKHYDVIVDELWHRLAVVEM EEESRRNLHVLYDTISDMRRARERMTAAEAEVEHEKEITASVRRDLQDVLQREKELQL KYLYILRVPTTTIISRDYKNNDGPVGGHDEWDDDMPVHVRFSRAHRAAVEAVSAKRRC SLELKCFL XP_846159.1 MSSLLKVHQLDQRMQFNPNITTGYRPHMPVKEAFHTFFHLHNES FNMWSHAVAACFILYLMVFPPVEDLLEVNGKVVLRQRVVPAEEANFLLSASPTVVFRS TCLMAFVAFVCSVAYHILIPCGASRSVWVRLLSCDAIGTVLTTTGTAWSFLYRGNACA SLHTSHRVAVLLLLLSAAALTAVLRCGSCSSPSARGKVMGFFSLPYLALVLWMEVPKA YTQGHCTAVNYHTLSWVFIALGTFVNASRFPEVQVCRITRCTARKPEKRSDMKPKWWR WLIRCLLSSDEIDYAWNSHNIWHYCVILSVIAKLLGCRYDMVEFELARCVT XP_846160.1 MSARAASPDCSYVSSGKSNESRGSPVGSYVSSGEKASNLNSAQL SGANSKPPSNRKGSPVGSYVSSGEKASNLNSAQLSGANSKPPSNRKGSPVGSYVSSGE KASNLNSAQLSGANSKPPSNRKGSPVGSYVSSGEKASNLNSAQLSGANSKPPSNRKGS PVGSYVSSGEKASNLNSAQLSGANSKPPSNRKGSPVGSYVSSGEKASNLNSAQLSGAN SKPPSNRKGSPVGSYVSSGEKASNLNSAQLSGANSKPPSNRKGSPVGSYVSSGEKASN LNSAQLSGANSKPPSNRKGSPVGSYVSSGEKASNLNSAQLSDSSRKHPCNKNVESTPI GGNSSVVPGEPPIAGVSSIKRCPVEQSDINSLSAWIVPSSPPQGAADELHIHRELLNT PPAPVKLTLYEKDNSPNDGNDPVFYRPFLRNSANGGSTYASFTNTSPYPLSHRDVEVL YESHKRQVEVCREAARAEEEIARRKRQEINAETARIVSEASRLSFSMGTHRKVYDEAM DRLREVRPGSALPKACRIKTPRRILFSSLVDSSGSYGYGPARRMETIKDFGPSIRNNY RGRTGGAQVALALLKSRFMAGWVAPNVVDRFSPHSPVAQTLSSKYFVEQQTNVDHPHP HKREVVPEKTDFGNTNNVNGSEDATVHVVSTAPTAFCDMATPHSSINVAKASENVTMP YCSSILPEPVRELPQSNDVLQNAVGEEDKNVVAELPPPTIPLHQQSVSQHQQLEHQHQ PSLEDNQKQEESSLTPVRVPLPLPPPTRLSSVDVKMKSPQGVESHIYSQSSTSLQEVE LSFENPRPPVVEPEALTEEDKQVLELLNNVRDLRLETEKLVVELLHSTDEWGCWCSRK GSLFRDLFVLPDARTVAEVSKRAMRTRATHDTTAEEHPIDVRDNLIAARPLSQEKFAP RIPPPPPIDVLLKCVVDGEQQHVAETEEDAYGEWENDPEVECASSPSVLPDHLDEANT NLLRSAATRQPHLPDSQHSPSSSSDTSGGGTDSSDYSCAEEEADGDEKSEENSSWSYD SSLSSAKAPPPPPSHEDEEKRRTEEVLQKETMTQEIEGPRPPNESVLLSDTMDPSPTP IHPVFHAEATGKRTGPKQKKEDKHEKKKKGVKGFFSRLMCCGGGSTSVADGKGSTTQK K XP_846161.1 MSLFSFTPTGGSNMPRTTSTEENTTSDCQTSLNHPPPKMTFTDM LNTKIQNSNKHSSEDKNSNCSTVDEVLDCCDYGYGCVHGNALVEEGAEEMRRRYFLEM QRNLLDDVDTDNEEQIDSKIVSTCRSTTSVSARDSSEVLGPIPLPHDGGDVLSFNPFA SSVTYSPLSDENGCVENLSPNPSSRTPLSSSTSGAQLPNGSFSSISPSLSTHPTGRLA TRKNVYVSELPTHWNTEKLRSVCATFGNIVSAKVMHDSNTNESRGYGFVMFETDEQAA LCVRTLNSCKVDGRMLSCRFAHEKAMPSFAHSDRIPWQEFGRSPNSTTPSLSKDKLTD VKTQPDQQQGGNSLPNMELLKGAHVACFGDRSRPMVQRSHNIFIQGLPLQWNTDKLRS LCGTFGKVELAKVVRDATTSLSCGHGFVLFEREEAAALCVERLNGATVEGRTLTCRFA RDKRGPGVATGAAPSPPVRPSGNDFLKNTAPLLVRMVDAQESPSMLLQSAVGSNKWST VTVPQNCHTAVYSQSPLLVAGGVSPLLGLSPVVSPMVPSGVMTEMTEPPHGMQSFGCN IAGLQCLIAVPYHQTRIVSGFSNGDGGTFAALT XP_846162.1 MERTEDVTYLKLIPMCTAAERSEASLLLGTFVGCFSENDKLDLE VCDDSRYVLRGIRKSLRQLVYDGNGVEAKETLERLVHIVCERRMATAALRSPFVAPNT LTCYLPSSAQCERRGGVTMLRVLRELVGTASSLNNSIELNESGDYTGWPVSVLPCPLL YVVYYLTSAQCSEGSKDDFCSPWAKLFFGELLSLEGTENDELEAVVASYDDMPGTCTC GPTSRENLPHTQQPLLMKKIRHNITRLFSTSFPHHGAWRLLSFMDVYSAWIRRDLHRA LDGATQALHAATFGEDKCTMLWIARHVLRFIHAFAVAATTNLANHDSRREMEYKLEHV NSHYNSSDDDSFACNDSIASQLYFPHSPSLLLDVRENFRFVLFSDWPDCSEAGERRGE DKTTFCRGDGVASRQTKHVNHRRSSGNSDNLPSGSATSRQFGTCRVSLFVSLLEVWLR AKKHTDAGEMVESRILIVNTLDSLFDNSASDEVPKGPFSAWEHRTAEYMCPIQWLRQV LLVNCAALVDSPTVLHMLWNWPHPLTTGESVFLAVCPTLTAGGLHSPILSCVPFCPTT SPMCLDDFIQLVDCWPLPVQVPLLMQRIWYKQQELQRPSVDKGGNRNTKGYNSTEDDM CCEEGERRLSLQWEVLHLCEILVDRARGLSGTLELSFTECSPHRALLEAITTVCELQE DILRVTATCLAGDHQTSSSRSPSSGSVSSDGTEVEVPNRADITTALGSCEELVCLRCR EAFEDSASLFHRNGPTDKDDQREKLAGSGGHKTNATEDPLLFHLFPIISTTKPVVAHE VFWLAHKQLPRWAAVFLQNYGYLKVSAAVCRLRIAAAAFDPTTPQLAAEMLAAFPNNA VVAVHAAASLFASLHIVQAKQCINEAVQEYPHSAELHRVFHMIHSSGNRKQLRDQLKE TPQRSRHKNIAGITYSHQYRGMCTVRYKVAQCDSVAHWLQVFASVVCVFFWIASLGLY FFDNVLRTAVDVSDSPPPSFQTSNETAIDGTPTHGARRRWSFKLFFSPLIYLSAFLLV YAITAAVVVPILAGRQSKWKRRCALVNRFLRSLLEEQSLVNTALNRINFCLRGMALVN IITAVQLVTSNIISLRTPDYRLEATNWMSTKSHVPNTLPDGDFTNWCIALSLLLPVLL FGVAFHGTAWRVVRGCDTMVGSATTYTTVFFIDLTTFIIFFPLHFICSVLVEPLLFTL VAAFTIGTSLPVLLERLPLVPPDSLLHPLSCPSADEKKQCHDRNDFCARSASFFSNRL LANMPPTKWSPNLNCVRALLCAHEVVWPPDRAQKTKSQHRFNNFCSGINNTNGCQHPL QQLLCRCIRWYITMHSFLFWGSVARYEKSRSAVRLELVHEGYREFFQPQSAASWETAT QDSNELRVVTLPFKSLDHQKMPKSETLFG XP_846163.1 MYTTTDLIQTRVAPAHLFVIVYFLQWLFVASTASGESVHAIFKI SKSGDAPFFKQAERHCERAKMRLATPTTAKGATAVKKLLKRCGPSDVPVLGGGLSVRC SSNSFFFLWSPKLDEPKYPVEDTAPYCGERDPRGWGTKCVTRNRSAGRLFYQFHTSEG EKGNCTGPVTMDYDPAILYELEVPSAGEEGLLAWVVSRGGKNGSTAALRSLRSDLEDT SRAYIGDSSKMIEYVLCEGGRSSVVSSTSCYSVSYASVPNVGGSSRTGVHWLVIVFLS FIGLIVLCVVTLSIVGGVTKKVTAGNVDRGDNTGSGRRRTSLSHSSWHVMSQPGGSSG IQYSRRGSQPGNILSPLQDAASINMQRYESDGVAAVPRQGSFNGRNTQQRSSLPTNVN QAQQNYNTNRVTSQVSNYSASLHRLGSFRGPIIHRQGSFNNITSVPAGNTYTGGLSRP GSFRGSSPNHQGSFNRSDIFRDPSLYGESPPHGDPRLGLNPLRDIGYDRGNAPEQVSL SSVGMAQQGNFANVGARGGSFRGINRNQQGSFRNSNPPSGGLFNT XP_846164.1 MSASRSPSGSGSLYPAGTMSTGCSLPSLTCPPKLGVGKVEGSSD TVSMERSQAGSQWAGGTHAEEVWACKNAAYTRAMKYSKGLVADVVDSSVEFLPRRLMR SSVNHSIKDRKSSFSVMADVGRDTQQQSLAATSCKGTSSTPMSEATFPFLDGGKVQPT DPGHLKAGITYDPQSYGSLLPTTLKVRSVEEAVSGPLMGVAANEMFANRDAKQMLRGI LQPVQKTSQFSQYFDRQQFEGKMRQLEESARHFSKCLAQRVSSSTGGGGVMIVVNDSD DGSSDDGGLMGDGVRRRARKPAKMVDEARVAGDAESNARKQRLNALRCTPQNLCSSVA LRDALKKSRITVKKARCSAVNVARHSSDLLELLRRRGSRSTGPQEAMVVLPNEEVSWD TGSVSPNKCTQASFASIPDSACGNEGHRTKVVTDQRAVTMLESFLRSGKGLTTHGILP ATLYGNHCGPNGGQLIPAPESSRLPSMLDTAFSDVFSTGALSPSGQQLAASAECLQQQ RKSVHRTKPARRVIPVGYGMSARARQNYEAMVENWEVSEIEQELRNGEALCHARRRLL KLVSERKRLLNASLAHFHHNPQQAVSLQRREIFRMVVEVDAEDRKQACFSFVDLLEQC CRNTLDDAAWSVVSGALKSSRDLIQLSPLRCNPQNFISILNDCLSLQHIRDQRVQDAL QWLAKLFGVSQGQFKSALQSLHHNLNKPRDYEARFHEIDSRVCGIAASTERRVRIKLR RCNFQLPRPVGAGNQMNPLVTSNGDGAVGCVLGPNTCVDRPEVSLVFQLGNETAVSST LSAHRSGTGGSGDVVCEMVDDAPCYVVDFNDEIVQLKTVDTSVVRVSLYCNGNVLCSG FLHLSKCTFHSNRTLSVILRLDNKRIFVADLRINVELVYGRDRRGRGGRR XP_846165.1 MYTTTDLIQTRVAPAHLFVIVYFLQWLFVASTASGESVHAIFKI SKSGDAPFFKQAERHCERAKMRLATPTTAKGATAVKKLLKRCGPSDVPVLGGGLSVRC SSNSFFFLWSPKLDEPKYPVEDTAPYCGERDPRGWGTKCVTRNRSAGRLFYQFHTSEG EKGNCTGPVTMDYDPAILYELEVPSAGEEGLLAWVVSRGGKNGSTAALRSLRSDLEDT SRAYIGDSSKMIEYVLCEGGRSSVVSSTSCYSVPFILSVASSDDKSHFDHRHNVTIGI LVLIVLILIIVAAFLILWLLSRNRPAGDVEENRRNGSDVQRTVVESVGDLGGTVRSES YSGRGSKSGDNLCRPENVNGNCPQVNNGFCVNGSPQQENLQMNAPGQQPNFNYHSTTP EIQTYSGEMELRTNFGGPILYRQGSFRQNITSDVNPTPLSATQRPGSFRGSSPNHQGC FNRSDVFREPSLYGESPPHGDPRLGLNHPRDIGYDRGNAPEQVSLSSVGMAQQGNFAN VGARGGNFRGINRNQQGSFRNSNPPSGGLFNT XP_846166.1 MSASRSPSGSGSLYPAGTMSTGCSLPSLTCPPKLGVGKVEGSSD TVSMERSQAGSQWAGGTHAEEVWACKNAAYTRAMKYSKGLVADVVDSSVEFLPRRLMR SSVNHSIKDRKSSFSVMADVGRDTQQQSLAATSCKGTSSTPMSEATFPFLDGGKVQPT DPGHLKAGITYDPQSYGSLLPTTLKVRSVEEAVSGPLMGVAANEMFANRDAKQMLRGI LQPVQKTSQFSQYFDRQQFEGKMRQLEESARHFSKCLAQRVSSSTGGGGVMIVVNDSD DGSSDDGGLMGDGVRRRARKPAKMVDEARVAGDAESNARKQRLNALRCTPQNLCSSVA LRDALKKSRITVKKARCSAVNVARHSSDLLELLRRRGSRSTGPQEAMVVLPNEEVSWD TGSVSPNKCTQASFASIPDSACGNEGHRTKVVTDQRAVTMLESFLRSGKGLTTHGILP ATLYGNHCGPNGGQLISAPESSRLPSMLDTAFSDVFSTGALSPSGQQLAASAECLQQQ RKSVHRTKPARRVIPVGYGMSARARQNYEAMVENWEVSEIEQELRNGEALCHARRRLL KLVSERKRLLNASLAHFHHNPQQAVSLQRREIFRMVVEVDAEDRKQACFSFVDLLEQC CRNTLDDAAWSVVSGALKSSRDLIQLSPLRCNPQNFISILNDCLSLQHIRDQRVQDAL QWLAKLFGVSQGQFKSALQSLHHNLNKPRDYEARFHEIDSRVCGIAASTERRVRIKLR RCNFQLPRPVGAGSQMNPLVTSNGDGAVGCVLGPNTCVDRPEVSLVFQLGNETAVSST LSAHRSGTGGSGDVVCEMVDDAPCYVVDFNDEIVQLKPVDTSVVRVSLSCNGNVLCSG FLHLSKCTFHSNRTLSVILRLDNKRIFVADLRINVELVYGRDRRGRGGRR XP_846167.1 MEGRLLSSIFPYSVCFPNRLSYRRYLCGLRFIKFLTFFPGNTRA NGYCDNLFLGFNLYCQRNVSGRAYNGRNIDIPSPPAPLTLNSLVSYVTELRLLHRSCG VSVSASRVRFQAREFLTRGIAKDLFCSDTDKGSTALCNGGMNEECATALLLTCGYFEL SIFECGCGYECVELLSSLWSSRLVSSLSPPCSCAAAGDAGRLTLSVLGMLTSGGVRTS AENRDKLLQFLCKILLPVTLRLVQEESLFVTFTTDAERAMTNDGGAAFSTLISALTKA AVAGWICGDRSSGSGRVAFGVPSLPQAFSRKREVSGPLFHTLAQGDAIDCSRLASVMQ MYAGCLVNDHLKGSASQVLRFFTLTQCVPQLVALADHYQEGDRRVSLVASLVRSVTAV LLASLDVKGTNVSHLVASIACSYARILTFPSEEKAATTATSYGITKAKEPQKPSWTLF RDVTIGVRNNMLYGNEVLSPAVVLLHLCERVTPFAHKYLFSDLAALLNALGKIYRVAV VHFNRRATTSKKITEKAHCDEGNCGSRETWKVSSKTFTHSRFERRGSATCVQQAHLSP THGAMVSESTESPQVGLTSPYSLALPFAVNAEDIAEIEGELEVLLTSVVTVMERHMPS TRNGRPVFVSRANFTTDDSVCAEECVDMSRKGCATDGRKHYAEDTSGLQSVPTARAKE LGGRSRLLKKSVAGKELVLIAEGLHTLGWRSFVAFQDRLLMSLSSQLGQEVLSGRQYA SLVCLLLRAPPVLASVVFHAVEDRLKRLIHSDAVTITEEFNTEESKESQGVSQVVVAL PHARAIQDESISLCEACAVIFGFASKMGKNALSLLNDLFIRCGNRVLVEVPLSNSRLD ENVEGALTMFVASSTWVLANSLAGRSGSQHSGKEKSVISFIKRARDHAAHALCVSLFM GNTVGNPLSLISVEAVANACMMLECCGSRSDKNIEQIHGHLKRAYAFLVSQCDSRELA QLLEGFVGRKQLVGPRSPQDESDVGVALQLPRWMISSMNLSQRFAKEDRLLSVMFFPF LRVNDKCDSHEALCDTRRKCLYSVTDVERVLLITQRYCRNFGTLVVVLRLLHTTDPSI LRQGNVVDLVLRKVAQVMRRTCKPLDLFAFFAMYGDFESVVSVALRELGPEARCFASV KRNRDSTDREVATATTSKGMLHGSSELAEFLPMGQITAAVSAVNRLQLRSLRQNWLAT TGPLIVAAAKVSREPLEVVLDLAELVKGDDPKLLYDLLTCSSAMCAIDETCNFTTDIS TLLRLLLLLQGGNLSNPTVRRLHIASRKLLFRPAELYVPSLMQLAQVAILPSVKGTAL GRQIRRIFYFRVGRLLFGKQQSPWRSLADSGGEVNRGVNSDKSRETEVDHEKGGWTES LTELALEHWLVLLRYSSVGKPSSYRLAVELRQREEADETRRRKSKVSSQVASETVLVC TDDQVTCSDDGQTANGGVGCGAAAGRVDKRFEGIDMFHAFSDRLVMQLRQSLGASKFI AFVGEMVLHAANAAPYTSPLECDGEALCEERLIYEGTAPLLLDARVRRFVRLATLIVE EMSSDLGSFLGNEQHLDRQCVDKQDSELVKKSIGLDLGAVLKFLVLLDTFDPLQYEKR VLERWERRDVQTQSVELSDVFADANGLPLRHLYRIVQQLPDYWCNTMGCSSCSFGGIV GIHPTNISTVFEYCMPPEGVNVNGDCCIFPVTATTDREAPTCCHSAGIFGSDRPLVTD VWVPLSKARQQQQLVNCLVKEKLLDVTMRCFFREGESPAVTRARLGLLGPRDLTCVLR TILAKRDTTNGDAGEASERTSVTTILELLTDMLPSTAAEDLHNVVVALTPLLSRSEFS DHPKLSTDACASESCEAGVGLKRFLAHVAVVMGNDAERFSVPVLLDMIEHLHVPHRLI SPAAAAIILSYLVPLGNETEGTQRAGEGSLDDEIDVGAPSMHNGAGATVEIGNTFDAI VSLLQSIVNTAPESPIASVPRTGDVYHKCS XP_846168.1 MISGFADFFNINHLDMSSDANDVIVVHHPDGRLHSTDFNVRFGK VKVLRPGDKVVRIEVNGQMTSAIMKIGPNGEAFWLKTTCLLDGDCGRPESPVFASDDS QDTGASITSAGGGELPVKSLRHRLFGSWGKNKFNGTQDDPETRSHQPLIKQDCDERLH VNTQAQCSGADAVELHLAEETVVAAERAMQEIKRLSHQNPDYLRDVVMGDTEGEACLQ ELSGFQDYTRLMVDTTEGSSTAVNSTEITVGVPDDVTQMVQVETSTQGGDGSFSDVAA LGSSASDEGLEASADDYIFEDVHLPADTDEGVTAGCSGTPPCGSCTPARSVAPVTPVP DVCASNPPSPCGPTPEGQGAVSQQNDVPSKATASNYSGSGTNDLNSNGITSSDGTYFT RSLVPMEADLLKLNLVPGHNRIRYITHSSLRGEVAVEANVYLWDSTDRLVISDVDGTI TKSDVLGHIMPLIGRDWTHPGICSLYSQIQKNGYRLVYLTARSVSQISMTRKFLWNIQ QNGVSLPKGPVLTAPKRLFSALAQEVAMKSHFFKIACLQKVVNAFPQKTKPFYAGFGN RLSDMLSYLAVMVPEHKIYVVDSKSLVRVANVTSTYQRLADDVDSSFPPIVRRHNLSY VQFGLGCSAGAHNGGATFKGSEGEPFSDGRRFSSYSSGDKFSPTPTGITNTASFSSQG TSTHPLSALGNGLGLADIDARGLEMSGMSNPSASVAVTNRTNDPTSTYKPCDVVVDRE FDSFVYWRAQPTDMIEGESSAFNTKAATNLEQKDQQPRVINEKKASWLPLGLGNTSSE NYQQGDTV XP_846169.1 MQFDEDIVPLMEKMNAALDRVHQCLLPLLSSLDEDMLVSNYTVD EQARISLSAASALLFLTYAHDRLLNRAQGAGEDQQLMLKINRVTEYIGKLREITSLEC KPRSSKGQTQQEGHDGDVSEVRNRKRERGEPPEKATKYAKVDDGRASKGGALENVKAD GEDASVDPYGDAILFKEIERKGGKTSALVQNLLQHVTGTNNS XP_846170.1 MSRPCGVQLAIPARLAAIVLGAAVFTFLLKGTLWGRPTKLAAGR NCERNNTPLGSPKRRRNKEGSLGCVEMFRRFLKKLGRTSAAEKVVAIRTAKSPDAEIY RLLLLEHQKQLGITFSVAIEEVPELSGELQIVVGPLEDYRRQTSNCSPFSTTAVTERE RRVGGSEQLAKVEQLLVCRIKLPERRFCCNIPPFVMRVLAAQANNSLVFSTHAAEVLY CMPRIEEETREYQNKHLLNTQGEQKQKKYKRSGLTSSSPSLTLGKGAVVLGGSSRPTS NSTFGSLQDTLQSTPSCGSITIQSQLRVQGHETAVMQNLGENIDVNAVLFSTSLLVCG DSTSSGKVGPAFCCSVPNRVQEKDEPTHTAVREALLNTLFLVSPKGLNRGPLEKLRQN PLAVWRASRQSVLTTAMLYVIDVASANGDPMVCYSRVVDEVVAQAVLLHHDGMVLDFI GALSERFGKQYTPHCTVFQQAVESRREDHSRSGNVSFQVDSPNPCENLQNILEELPYI CEFILEHRNDFSNAVLTPGGSNLLEEVFGVPATVFPFLPCARESIAFITQSFNCIVET YGSLSSEGFCEFRSDVLNESKADVMASSQRCFRELNNSRSGIISFEELCHWMARKLSS DNTLSNPDARLLSIAMSLGLPMALLLGTRQEWARLGRAPIFNGEGDQGCRLAHAT XP_846171.1 MLSLTRILRKKIPVHELAGKISRPPLRPFSVVVASDEKGGIGDG GTIPWEIPEDMQYFRRVTTNLRGKNVKPSPSKRNAVVMGRKTWDSLPPKFRPLSNRLN VVLSRSATKEQLLAGIPDPIKRAEAANDVVAVNGGLEDALRMLVSKEHTSSIETVFCI GGGTIYKQALCAPCVNVLQAIHRTVVRPASNSCSVFFDIPAAGTKTLEGLELVRESIT DERVSTGAGGKKYQFEKLVPRNSEEEQYLNLVGRIIDEGCTKCDRTGVGTRSLFGAQM RFSLRNNRLPLLTTKRVFWRGVCEELLWFLRGETNAKLLSDKGIHIWDGNGSRAFLDS RGLTDYDEMDLGPVYGFQWRHFGADYISCKEDSEGKGVDQIANIVKSLIENPDDRRMI CTAWNPAALPRMALPPCHMMAQFYVSNGELSCMLYQRSCDMGLGVPFNIASYALLTFL MAKASGLRPGELVHTLGDAHVYSNHVEPCRKQLKRVPRPFPFIVFKQDKEFLEDFQES DIEVIDYSPYPVISMEMAV XP_846172.1 MPPKQHRHQKKDKNDNALQNTIGFVPPGATLASVSGYRPPDAFV NRIDRNIPVPARLRHTPVSLIEAVNDFHYAMMNDEERNNFYYEVLKKHVTPETGVLEI GAGSGLLSLMAAKLGAKWVVAVEGSEELAKLARENIRANNMEHQVKVLHMMSTELKSK HLPEPPDVLLSEIFGTMMLGESALDYVVDVRNRLLKPTTKIIPQFGTQYAVPIECDAL HRISSVSGWRDLDLKHMMTLQDTVSIVFAKHYGIRMNSVNFRRLSDPIELFRVDFSSS NRNDIPRRKHFDVVAKESGTAHAMLFYWKVTDDEFVMSTDPEDTVNNFPRDMQWGQAL QLLDASNGPLPTPVVFTEGKNYNFECNFSGDRVILHMQLCPESGNGEMTECEGKTT XP_846173.1 MQSSLSISPLELHERAADTDNESITSTDSTRSGRRCNGSPSPPI ASPSSPSLRAHRPNSRSFRRSVGSRSVGRWRRSGGKGCSDHVPLFPNQHNPMNIVLKP YPNRRVRSVCATRGSLVTAHRNGIHVFRQRFIIPTSISHLSSRRGCATGGAEDGGDMV DIRTGLWENVDFRSHFQLQDAYLCVAAESVFDDGEVIPLKWNRYIAAACGSDRTRYSV CVLSTSANFLLHHELHSNNRIVALNFALVAMEANRDSRRYQPFVVSVDEIGEVVIFDV ERDRATKLTMCYAITTSSDGTAAADFPPKELLCEGKFTLLPACRSDGVNEGRQEPLQE GSQADTPYRLEAGLASNVCLVGPCQVLCNHCSNWSGPSPGTILLSLFISSHAHSSAGQ AELHVYQLRVLYSYITHRALVVCETPIVRECAPDEVCDAGCALLPIPYSSGLPSSVLV GRPRLRFWRVSGCSGKLLHVNRVYQDKDGSGKGARTQREYFVRVVPLGRHVLHHDKSM SWLCFAALTDNDVVLLLGERPKPPASISSTGEEVPPLDPIIKPEALTSAAEQAMLNAW LDEVEQPEAEKDKADPLVPRDDRRQGQQVLLTGESSTEAVSVTRTPLLSPADPGNCFT VLMVLAEPNVNTTQTGKKEKDNHSRVRQLLWAPQSNELLLYMGNDEDIYSIKLPFAWQ VKTEEIVNKKLVDEPRRDQTEDREAGGWFSSVVVPGAMFSLGIARPLMSAMWSITGES ELEQSSVSQAFQHHQEQPTHPPLENDDEKLTTRSLSAVTIDIDTNGGAITRRRKRRGM NRSSSSVFSVDASVTEDAFSCENFVDSCDDSARRAYLAGEREKFLTSSNQWCPHGTQS CVLSVETLVRVEQLASTAEPLQRNAITYEWTDTHNQLYMQFVSELNDIVEEERSRTKT SGFHGGKPPHEKQRHVPFEAFIGRPLSHDIGDCTHSAGFMRSYRSLQHKLKHVRGERY VFDFASCFASKAPPQWLLDIQEEDRCNFELADLRVAFEADMAKLVAEEIVCIYENEEL VQSNGGGGRRWMSHKTFPFDDEGGEVVDIVSLNATAALGSLATVGAEPQWRWATEETV PSRCVGSKLAHKRLHQWTIGEWMYAPRWPTREEEKSAMFLWSSKNSNGTARVRRRRLT RKRIDIAVEAAREERRRKYEKEVEELREELGF XP_846174.1 MILRGRVGAVFIDISIRHDGPIDMLDFFNRSWNVELTRNAASEA TGCLLRFPLLKVGSSVCAGVVASLNTEGLLRLVCSSTVDNALAVADYVFEYVKQRLPT PVCGSKSIVFFQFMFRLKQQGVTGKIRIDTSNESVQWFENHIATAVANGVEGRQGRWW AAITKHTVIRSQHQGALRIACHFKQPAPWCEARALEETSKGDERDADNFFASEMMSVI PMGSVFVAMPHNREVNPEVRLSSLKRLQSEEGEGVSMASSLWQPQTEVETKATPQRGS DGGEAVEVLTGNAATKCSTVVKPQLKVEVTLRHTGYAVATADTQEGLSFFVEEVLIPF LHREK XP_846175.1 MTPLGFDFTSFVLSFGTGVFVALFLMRWGCPFQFSVRSKMRQAN AFACCGEPLKMTLVVRRDLKMGTGKIAAQCAHAAVAVMEEVQSRRSDNGANCNDSCST YGYSGNSDHYSPAASQMCGGSGADKWVSWYDAWRFAGSKKVALQCENEEQLMEAYRAA RREGIPHAVIRDAGRTQIAAGSKTVLAVGPAPDSLVDRVTGHFKLL XP_846176.1 MNQKILLAEALNILQRTRASELEVDCRQQQVVGNNKLRSELSNL CSLYERVGNDYLLGAEIINHVRRTVMGNDDVNSRRLTAFCEGKMEECMDRCEVVRAKK DNILLPLTQMGQHAPKEGFDLGDPVLLANGNSFTIKRSKPFERTVVLRDGSYVFWIKN LDSYSIAAPKVAVLLEFSTTLGTTRRVQKVVPCQCGWKHVIGVIQPVTTRVVVSSDAV VRDSHVEVALYSLKEAPVQPDEKKELKLSEVSSVAGLAKVQGVADNGVAALTEQKVPN RTLPTGPEDRINTKSEKDITEELQSLKVPHVSSKESSALVPPRPAQEIPQNMNCVQRL QQLQECLNAWPKDGVMAVTLGREQRHIIRSLYALPSPVEIDEGDET XP_846177.1 MPLGIVAAPAARKATSLFSPIAIGANNRVVLPNRFVMLPMYLNI EQELDMWSDAHMDAMGTFFAERARNGAKLIVCGGLGVSRLGKWRVDSMSLDTRDAAKA LSRVTEAVHKEGGLILAQALHPGRAARRRWFVSSTSTPSPVQPFPQAHPWRVPGMLLE YIVSEHERFARLAEAAGFDGIEIPVSEGALLHNFLSPAVNDRNDRFGRSLDGRIEPTL RTLDAVRRSLSDPEKFLLSVRLCVHDLKVGGTSMAETVAVAEALARFGGVDMLSTSVG MHDSPVQTMASYVPQATFARCVQHVREQLHLRGVILPVVATHRVHSIAVAERLLKDGV CDLVGVGRPLLADPQMIRKAEEGHTEDIIPCIACNHCVNRLYKHQRMTCALNPFSGYE RERRCTPALFKKSIAVVGAGAAGVTCALTLWRRGHDVTLFEKSNYVGGQLNLAKVVPG KESYQEILEYWTRQLRESAINVRLGAEFTREEMARNHQFFHAVVLCCGSVPRPATSHQ LPGTSESRLVVPFEKILNGSVRAGRRVVIIGNGAISHDVASYLLHDPRVSRSIHEYCD EWGVNLDDGSLDPQAAFRVPRNNRDVVLFNKADKDADLSRGRGWTQKLWIRNHGGTII KHGLVENIDGNGVHISMVPPDSRKFFVECDTIVWAYGMLPNISVGTWIYEWMKDGAKK RGEMIRDFSIYNAGSCRDSYSGEGHGEQDLLQAVHEGYEIGYKI XP_846178.1 MVGKCPRQPFFYRTPPPGVAVRVKQPTTSFTDCSCCLDLDALFP NRRPSPPLPLTRCEYHELLMALPPHLHHSPWDTCFDTEQDGFSLQNFYRTMKEINDDG DGGIGIFVVTKRAPECGEPTQRHSDVECESGIGNEARSCEANQVVLGCFTPQVPCLGR SQHAFFGTGETFVFTYADMNDVDARRSRNIRDELSSAVNFPGCEEDSEAGSDAVHKHM RARKPTVNSMLSTYTWVGKESNKRFVVCARDFFGIGGGRDGAAIYIDENILFGTSSMH CATFDSPPLCGWTQSSLPHSEFTVMRMLWFRVGRGMSILTDVKLLKQLPCDCGRRLER NSESCTARVLHAVDDASAVNKASGWHFCAQ XP_846179.1 MCGIFGYILHRIPCTTRDVLNVLLDSLQRMEYRGYDSAGLCVDD VKSKKHIVVRSVGNISKLRERVFSGCADLDFNAQLENHEGIAHTRWATHGPPSEANCH PQASNNMEFVVVHNGIITNFMTIKQMLLEEGYHFSSDTDTEVIVVLAEHIFSMDHSIS FADLAGKVMAELDGAYALLIKSVHFPGELIACKEASPLVIGLQNGKSNGTASGIANGS VMNKLFFSSDVASFLPYTQEVIFLEDGDVAHYSKGALTLYNDATPVSRTSQTVDVSPE GLCKGAYPSFMLKEIYEQPESVSRSMRDRIDFNTREVTIKEMSDGVRTTLLSARRLML VACGTSFHSCVAARPIFEELLPNISITLENAPDLLDREPRIGSDDVCIFVSQSGETAD TLMALQYCKKYEAMIVGLTNVPGSSVLRLSDFALLLNAGVEVGVASTKAYTSQVVVLT LLALFLSKENCSGNSSHSHGGSQSPIQKRRLEIIDGLAALPGALSHCLKCTNDVATKL AEELCDAKAILILGRGYDYATALEAALKVKELTYIHTEGIHCGELKHGPLALVDEHST IVAFCPHDKFLGRSKSAIQQVKARGGRVVAITTEPDAELVSATSRCVEVPAIVDCLQG IVNVVPLQLLAHHLAVRRGLNVDCPRNLAKSVTVQ XP_846180.1 MEKHKEGTERYNLHKFAKSLVRSGDLSAAVKLPQGTDLNHWLSV HTVDFYNITNVLYGSLTEFCTNSSCPVMSSGPRYEYLWRDPPEYPKATKVSAPEYVRL LMEWIERQINDERVFPSEDRNPYPPDFADRVKACFKRLFRVYAHVYYSHFAKIRELQE ESHINTALKHFMYFVWEFDLIPREEVSPLRELLVNLMGQRAKEKLEVP XP_846181.1 MKRFKAKLFDSDRTYKPKKKHKEGTERYNLHKFAKSLVRSGDLS AAVKLPQGADLNHWLSVHTVDFYNITNVLYGSLTEFCTNSSCPVMSSGPRYEYLWRDP PEYPKATKVSAPEYVRLLMEWIERQINDERVFPSEDRNPYPPDFADRVKACFKRLFRV YAHVYYSHFAKIRELQEESHINTALKHFMYFVWEFDLIPREEVSPLRELLVNLMGQRA KEKLEVP XP_846182.1 MADHEDVIRPIRIGPNVLSTEDIDSYVKELLYSAGRKRNAGQNS FKGNVQQKSLTVEYILEKVYGRFFNQSGQSPFPDGLPFALKQIPSIARIAELQLRVTA LVQSAVATRPVVTLHELELEVCSAEGVSIFAELGLGDSLTALPFVQHAFNLRSSTGVV APITSDDFLQFLLFHADAEIMLTSGGDAGDAVRAFAQFYKNGYYTPLQLGIHIQHFSW LLRLLRQETGRTSAFISQIVAENIRAADGRALLYTRVVEALHGSMILREKETSVSHKL FVPFSVRAPMPEAESMGVPLALWNKSGEGPAGGVCLYGVLQTDPISAQPAVPVIVTNA GTEPERSAPHESSAPPSNAVPSAFHGDSSRITNNNDVGTGLACSSAFAYARKRQRRVV ADDSTTIVETPSFPKMRVPLEPPPFDVTASKASTVSLPTLSLQDAMSVLRCLVVMQSA HHFSYVPTVHDFTVFKSASNEVVPLFLNGSTSGISSVNSEASNSGTFHVSLEKLLTLL GRTGAPNKLYDLYRSFKNTLYFYNTNDRESSRGVGNDCGENGSPASLMLQCVELGMSD LLFVPVVGTDSLLPLAAARSALSGRVLLSGYRLGADLLDFHPLHIILSPVFDNGGTTY TFAGDTKNRGNRRGNKTAIVIVDSLCLFGCKSFPHSGHLPEPCEKGEHEVQQLARKTL EDCGVITRVPEEWFENDFLAEMLKRQVEDHHNQVCTSTMEDLHMALRMLTLYWWLMGL CFSKTERHLEKVTGLLNSLNDTRWIPYITPGKESNRNMLREDAVRWCTPRELFPSIQC FIASAASELLNFAPRTCVDLIELWKQYAMNYYGAETTARAVREGQFALEFLNRWVPIV RNLVGTSPSLCNELRAALFGMPRSWNAEVALRVLRSLRIGMHVSVGGIRHLVHCIASD VGSRREVALRSLKKEPLLPLPPPHSAIASNEPFIIGELATCDTVHWFSLTECAKAPSS SDCKMGAGGEHFDLEIFDRSICFLGDDLCGFFRELLGVSPVPTVASWVATAQSYRHRV SAGSIINTSLTELFLHALTICCTLQYREIVSVLEKRMGCGSQDRKHRSSPQGVAQNAL QELLDRVPANSKGAYIFPLNGKWRSGAEGLFFCGSYYCGFDEVALQAVENGETEGGNS VAALPALKFTHELSHYAVATVLQKMGLTALEDCTEKIVTFSSLNTESSAELHRMIGEC VPRVQGFMRAQYPLYYELVDEEVRHRLENFGTTLAKDPVLKEVLRYRGKVYSMVRTVR CTYIPQHHCIYGVDEQFTSSVGAEAVADIFVPRPDAEVRRGLLSALQEWFNCGSLQFY GEEFSCETLSSQVTTEAHETRAPSDDPQWHLSAASVSRFRDHFPLGHEGFSLIGKPLL TAGKSSNGARSANLRVSLWGDGDGYSVRSLPKWSCEFFCSAHEVIRAAVKRIRRGDDE SNTGENPDVSEEFDADNDGSAIRNAELGVSIHMGGKHHRRRAKRNPGPMVIYRPEGPL KRYDTHDYSVAAERFVYEKLCNETPTDVEVVWVNEHSELGVPYDILLLRRIVSSPTKK RNIGELTGKEDVLAFIEVKSTCTNTRRDFEMSLREVLFAARFGRAYKVYRVFRASTSA MREMHVEVLEDIITMWHQGKLTLTGEVKVMPTGSG XP_846183.1 MGCNLSSSTKNVNPFGVKGVHVGRDGDVDLTSRSISYFGLDFVG LINTGELHTPRKLHLTDNKLYTLGKEMTLLPDIEEIYIDCNIFERLPVVLGDMPRLCV VDASSNNLGHHVHALDAVSRIANLTRLVLRNCSLTTIPACVLRCPVLKELDVSNNGSL NFGGVQFSQLPMLVRLFIADCGIIGELPNGVRNLQSLETLDVSGNVFEFENPDFFGEF LCASLTELHLRDLYLAAVPRVVIKLRKLATLDLSRNPLETLDVIAGRLVREFTSRGSM KTSISSNLINEHNDDATSVGSQSSAAKSFGQNNRKGCIVAVPQPIPLKTLSLRACSLR TLPKYFHRLTSLVKLDLSDNDQLDDPNLTFISFKHLQELNIVGCPFAVNIRGAKNEWL DIGSLGSLHTLHWESWKGGRNISPYRTRVPLEICGLNLQRINCITLRKGLFVGDTVQT MVNLLMDGYFKVDIAIDDELVPSHLGAVCTLHPLGWFFFSSGEAEEGPTGNNDKSGRC VGNGGGKDEECSAKKPQVADPGENVAPFFSVGNHPSDGKLRRDVLQIVISRYIFFLAM QAANFKAVIVPPVDVMAIHYAQMTQDPVAYRRDCEAVCRQVLNCNYRMLFLQKHEDPQ GVKETLAASKHVWDRMIRTVQKDLHWLHYDFWELRAKQNPSSRNALQDGDTVSSIEDE VRDILATFGVSGFINGKPLASSVVGNLDGLHNVNSVADLSAVLDPFITAHFQNQSMDR FAVSLQRFFSMNNHFIEHEEKLKVQFMDWTRYVKYLALYAQINRLKDPKIDTDDGDQA ATELKNLSIFSSDSYLPRPQKSIAPLQAALRRSRQADSSKPSSVHGHQNGTDTGNTYH GHNGPPNKKEMFKSQPPSKRPSVLSRRSDTEFHGHRRQRQLSTTDPVPTIGITLLLHC HRTDHVKYKEVLHLLGIDNVDVMWEETTDAVDETMRSWNTLYGEHYVEDVNIAFVSYA AKYKAGDNTHVDPTSRDHIQTSAAVSHRRTKSKRSDKPYREVSFCCADSQKGIY XP_846184.1 MKSGISSRSYYRDVRGPVPVSERGSRVSLTPKAATEEKRSRVVR RPSAAAAIAPARAAGTSGSLRTTTPVSATTSRNRTVVTPYRGTRASQKASTLQSPKTL SPAESTHSVPCIQRRASVPVPVSGRRSSFRNPSSVSARRSTIGPMPSRASIAMTSISG AGGASTAASSAQTPTRKRHPTAVMPIAETKEQVKSDVGRNSIPFTLSGHYSCAVVVKS SAAVWAANEETGAVDIFSSVSGDFLGRVPPRPPMKSAAGPTQGCFSLIGTLKAFEHHV WAGYDDGTVVVYDHLCVNAVTTGCFHSAPVVSFCGMSDGITVSASTDMTLVRWDKEEK NFEAITRIVGVPEAHQMLTCTTSFGDKYVLCGMTTGNIIVIDCSTGMQAATLRKHTSC VNALVVMEGLLFTSAEEGCVNVWSLRADTPSAGTTFQSCCRLLRSISVQMAVRDMVPH DASRSLWVAYVDGLVERWSANPDDDFGVEQVLREGVVDAEGVPRRVEAVALQCMGTVE TMRVLALGSNGISKVWCGHRNEVEESLQQSIQSLNAIISQDAAEAALWEKKALLLKQK EMKRKQKYSLLLLSIYERQLLYSYYARWRKFSTKNTNQGRQHKEICFDLEDRHRFRIL RRYFTMWCSLYEKEQRRLRQKLLSTTFQKVSRRMWLSRLFSTWRRSATERRMARHRWQ IAVALEKVSKGTLLEKFFTKWRYLRCKSRKTVGEDKINILAARARKSLQRRAYDEWRL HHSCHGNKNSPIACAPSRGNAAKIAESYEKVVIERHRRSVFNMWRNMTKRRRTLSRLT KLARSKESQRNRHILFKGFIQWQLFVQQQHLNAMSREVQLVERRLRHAEETNADIFDK LQLQKRLDQLRRQHDNETRQLQEELARAQELINERDALQSVDHVVNKNGADDEEGTPV STFGTPARSYAPQALFSPIVLQKMPTSEAMEFIMSRLKGIVLNLYTDMGLFRQVRERL RCGTTAAVIFLEGFHEVKRLIVSLSKKRVGASTRRGAERWAVAPESFDGVGALPCSGV VQGIKAMVLAYDMVSNSDMEGLAATREEIIENVDLLFALWKACYAARKPVLPVNNRVS TR XP_846185.1 MVRLRSAWVAQQSSRELNTYLRQRAWHFASCGNHAIAADYVIRL LNRHPRDATMLLLGEVTARRTRQKQLEAKCRQSHERLCTCMNVNNALSLVEDERKRET LKEWLQEPPPADDVELEEHIIVSEQEPLPETQTAVEVMAQRVSAKPLVGLQFPKQSIY GRGIYALTRVPSGTAVLADQPFVVQRMNSTTCAHCLSSITSASSTSSAAGVVCPHCGQ ESYCSISCRDAAWREYHSCCCHATNKMYASWESSMQELFSTDVPEESRAALCCLAVAK ICAMATVQQQHPLSLPRLRSLRGRATYDAATALSEVGALAVTLAQSLHQQHLYMEEIL SLFALVQTNEFLLSGGMALYHGYSFLNHSCEPNCGFVGTNAMNRRLVVLRDIREGEQL LINYNADLTTCVSYEDRRALCKQRYFECFCPKCIRRE XP_846186.1 MRASAAVRCILSSTTLTSQITQLVQRAEQHLKVKPANPCSLDTS STPVADNLWEQVMAVAPSARALKETDTLLALVFRLLRSGLQPPSGEAATETWSALIRD LAPYSDVEANSVLSYPGTPECAEELGGAMANALCAAEGRNNSNCDLDDRPLLRLTRET LLLTGGVVPLSARVPCSDGGAIGQLLDAFCVCCEASGNAMMSSASLEEMSKAVLSALH VIAETVDVRETSPLVLSLLRFMRLLGVREKGQYKQNDESMGSVASFAEELTSVLANNG LGARIVLFFVHEHCEATPEEMVPLPLVCLAARGIWRHYRTADTTFPCLEHLKYVNGAV DVTLQRNANSNNILGLQENEEGDLRLQVSLALLRGMRLHADSPDVFLRSAIDVVDSCP ASLSLEYEVLVAKTNLLDMFADDEASCSAIYDDLLQSLRALVELRPRDAENDVSSMNT HEGGEGDAASDGKVTRKKKLQIKHMEEDRQLLREQFQEAHRIVVEAFVRSRIQERLNQ AYTILVTHKYHGLVITQEVAYPLLDVLSRRGDCRVFNIIDLCVLYSGCTIDYDALTCL FRACRVAGDFYRARTLYQLLKEMIPGFLLRAPTTIREMLRELKVVDPEPTHLFADTLL GNGTMGGAECPANILPVELIDEDDPSNRRRGPLRELPSAKAE XP_846187.1 MSEDPNGTRWLKSENNVGRSLLLRGGWTEGSGLGKEKDGVTTHV KVRQKDGTMGIGYAGTVHETWSTQSVAFADVLEKIKAAVSVNDDSDAEGGGSSSQAKN EERSDAGGCGKAAKPSGGRHVGMYAKRHALKTEFLRAKDGKYSEEILGSASFSRKQGR VKDNTVTADDGDDVDNAESKSADSESSRAKRKRRPAQDEKTEEIASTLRSAVLQRLMV RTPKHEPRQVSKGKAEDGDGSVDDDSDSGDGNIVRIVKPYPRPPKCTDTPFIE XP_846188.1 MAEKVQVVSRLRPPLRPNSPLSVVPVDEQRLCVANRRVYDVDRV YGTNDSTEYIFYDRVAALVDRFLTGYNATVLAYGQTGSGKTFTMSGLMPLILRYTVER MGGHTKNLSFQCVEVYGEVLRDLLTSDPAGSAKHLHIHEVDSSANNRNGTANNLNANN CGASVVVVGAARVKARNFEEIQEIIDYSSKMRATGATQMNEHSSRSHSIFTIFNHEQQ SKLNLVDLAGSERNKKTHNVGQRFRESCAINGGLLALGNVIRALSRNSFSHPEAPQHV PYRSSKLTRLLQDSLGGNSTTLLIACVSADADNTGETVRTMQYSALAARILNEPFLRF EGPAAASLVEVEDDEKRQDEHECQRNDNSAAAGGSTEVIRLRKRVAGLEEHLQRCREE LKNDEVAFAQQIKYTKMLLQENEQLKQRISSLEGFVPSPSMTPPATAAAAAAAREMEI NSARLRERTALWQQLHKHRRGSPEAVQQKLSGQEPLASHRLRSPLMDACGFAPINEYS GQEYSWEGEKTVISTQSQQQQHLFGTNPALHSTAWNEPAKVVELPENISNINHINDSG SLYYESEKHVTGREEQLNLLAKEALYYQNSNSELRRRLRAVMALYEAQQQEAAMLRHE VEQIRELIDGRCQV XP_846189.1 MQAKGDGSGSFTQRSPRIINGEGNENVADTLRRRYVQAELQLRE CEDRFRRDCARQEQELELIQFENNKLKVRIEEMRMAENAVDKESSSRSPGGACVAAEH DSKLLKASSQKYRSAREAVERARNELVDLEAQLSAARVRFNELRCRHGSTSSAANASS LQTYRNRREEEEQIEASRARLRGLESHVETESDRLSTLIEEGKAMRLEIDLQITMQNQ VDALRQDREGEMVEIMKETSFLIEVCNLLVEERSECEHQLAELRKAAEADAEAYEKAF YELVAVEDRNKIQAQNVREGIDKLTDELAEVVKERTAAEHDLVNKHNETGAAAQDDMD EDGDGLESQLKEFEVYLNRLGKIVGTCDLAEVESYVCDENGERFQLYNVIQSKQSAAR ELEEERNELMKKLNTLVDGTEKQRQEREEVKRLQSHLKDLQEETEAIEKRSEKTRAVL AESVLHLQKTYTSIGCVAPKLVLTKEGSTPSLHSVHELFAAIERRTEDYLAVWSHDRN GNQAKLMGGRTGPFTAHLLDPMPKFIKEETDTSKPAGLTKPRHEQIGIELDEGYGSGL SSPATARGE XP_846190.1 MATNVASVSDKQQLWHSTLLLERLSTRRVFQNEGLQCAASVMRS EAARADGRLCDDFEAVLRGNALYIRRFACDEDDMALYNRLKGELIAATGAEMSKDGGL IEWSRHQIFENPTDISETFNAVVEMLAEYFDVDVYATRLNYYRDGQQWKPQHHDSHAY GTVKTSNNGEEPQREDFTVGITLGATRSLLFVHEASGYQFEFPQRNGDCFAFTSEVNN TFTHGVPRVNTPIGDRFSIIAWGRRRSLNERNGGSVVGGAKLQGKEPQTVEEAIEMAH QLVSRGPAPTQTTEKRTEKAATKNKKKNRLQ XP_846191.1 MTDLHMRERQGFTGKLNDALLERVHFVSRRLNLPQIESKFPHLS VSDGSWRKSPPGDDVNLATFIDHTLLKPEATQALIMKLCKEARDHRFHAVCVNGSRVR CCVKALKGSGVRVAACCGFPLGAGSTEAKVAEAVAAVRDGAADIDMVINVGAVKERNY CLVYSDIKAVVDACGHNVTTKVIFETCLLTPEEIIDCCILSVAAGASFVKTSTGFNIG GATPEAVDMMLATVGNEAKVKVSGGVRDRETALQYVKVGVSRIGTSSGIAIITHTDRS RSKY XP_846192.1 MPTTADIAQSTTEPLRERLRRTTVPSSVTTTSSTAEDTGSGTEE SCTTLLRGDPQLAAVLGTLPSSSRELLRRIEQQKDEITVLKEENTRLRAREVEVSALT LRLQQQFSATQLQVDRLKSQIRMELINPVTEDEYRAIEALEESKRDLLDTVKCGIFQQ LGSMRASRDTAVRRASELATEVARLTDENKELQQRIKETETVLDVERQSFRRQLQEAE QRGSLVTELEGKLRDVETRLKNAYIDQEQFLTAKLNASVKTEDATRLTLRVREAEMDV ERYKTAAECSEQKLDILKGEYYELKLKNTQRIMELEACLRAAEEKLKTLSDLELESEL FISNLAQQADGQLTFSSMSAPSAGGGVVGDKAAPTSTNALSSYESWLALPRSRKLAHT LTVTKRCLNLENRLTALQHDIEFKDKQLARLQTSLEMAREALNNTNSPFAMVERTVEK LTADNEELHNKVMNLSEENALLRQRLEQRTTDVQVLCNHRKELLRIQRILRRLGLENQ VADSASLPCGTNRKVQRPHDQGEVDATGELGGSGYRLTTAHFESPTDPSKQYSRYPTD DSLRTTAETKMSATECNMQQTIGTEGNSFNAVVTPGVIQFSS XP_846193.1 MCISLVFFLFVCLFVFRTFMQPHPIGCFFKLSFPFYFSFWGPPS SHENYLGTYTILSSPHCGSLLSSELLLYLHGDRKGKEKRGKMSSTLLATANQFYLLQQ KKGRSFPHHGFTPRAIAAKRFAENTTTLSLSVGFFLPTMTQVHQQLEQLTVERDDIPM EKVVTTVVEAIKDNLVLFGDKLARLAVQTVIACGLAKKNKPYMNSVVYLWNVYPGLHD HYVGETLRYLRLPRFLSSLFGPHALKSDVDVFMQDISAAAAAEDSMKRAVLDMVADSV VDMVRVLLPPWLLRGPALSFKRRLKLFGHDILMRFTVAVTKVTGAGVGRAIAGHRGEY WGEIIGVATASFLFERISAMFLDYKRAGRIGSKSEVERGRSRSHSHGKDDVKGLTSKP QKTGERGRREGRR XP_846194.1 MFTSTAAYCGSAGSRLFVVALLLAFCVVTGTTVSDERETVNSSC ENPSLHDTHHWGFLGGGYHMQLEVEFPLIFNSVDISLDLPRTFFFDAAELEQLYSIKL QGSKEDITWAYQPLRISSDYFFDIEAPVFHVGYEVNRVNITFERLPSASRRYSNGSAA AIDIFASADDARGRLLVPIHARYEEVDSTTPFSLQAFFSRNTSVRRCIPSITVRGVVV DRGGRCVKFVSALMKRYDDALTSSLTSPPVSVLDGNIPETSPGCRNVPVGLLSSLRVV YTTVVALQCIGAAIVILSLLLL XP_846195.1 MVRALRSVTTHRHPTVRAPASLLYNNLSFVEEHSLLVYTSRRDC VIVNTETNQAHLLPIGSMENEMILQCSAIHAPGAEDGMEPQVLILITMHKTTQLWTAN KPISLVSHGGVHGEGSCGGLAASAGGGAHVIMGTSKGVVMFAEYCGGAAPMKDEADQD AATSSSIFRVASAVKGHKERAVTAAQISRFPDSELLAVSGDAAGQLLLWSRDQQPLVS ISTLECVTAVQIVSGGDFVVAASGAGRLLVLDSHTGSTCIDVCAHSRWIHNLSFNAAC NMILSAAEDGYVTVWALPSDKKQSIVDFRPAVMHHTPHSLPTGAAISADGSTVFVTIY DVDGVNQYTVTADRE XP_846196.1 MMEGATQSSLSQIPVHDRVLLSGNMDSVDDDLETVIFSDGEDNL ETCTVVTETDSDGDAGQHLRGATNGGAANRLHSQHTLGDYSDHTVQRTNNIPPTPAPA MAPVSASSLVTSIVPEPQLPVSFSSQAFPVILPVMQMTWELLAPVAAEEGVEREGEVG KQQSGGKFCVRYCLASKYGEPLRPTDINESALPERLTCACRMGRDDIPQPMKALRTNG RNQQTLLYKHTISREHCVVRFHFKLQCAPQGSAAAAPSGQKPFYKWTSFDVTDLSSSN GTYCRTVRLFPSHRYSFPLTRTNAAQCVELQLGTHYQCTIRVLGGNSIANCSRLVSSS DASSTAVAAVDASVQFSPSPEVVLTNFPPPKKVPRIYNGCSPFYVEDEYDNDNSNNNN NIDGVSSSLATKPGYTQQEPKEKLGCATVEESPMPRPVRQSCEEVSNTAPSTLPKQTT VEAEGTSGNAHRTSTNQTDSRRGTVTPSVEVLSSKVKEPKCSLTVVTTGIHCKQGVMQ SLLHMNIYMNPDISNYKKVTHLVIKGPLVRSIKLLTVLPYVHHLVNVEWFEGILSCIP KDPMKRPPNLAAVLEKFNPSDFTYSEEPSADSIEVLNDFSLKALMDTPPEKRQALFEG LVFYLHPAAKPQSDADNNLRRVIVASGGTVTRRAALASVAILPEANMCGTGVAWEGVA KCRKEPLCIFVTTEDLFRSVLQQRRLTATTVQRPPGCTALPPSPQSRQKRKRS XP_846197.1 MYVKLQTRCIPSLFLPCHINFDCVVCGGEGEQAQGKKDTDAHIL VVCVLQQYSVVTNSRRGNRSSCSRIMLRSFRSNAFGLLIPGACTIRGRHLPVSSLEGT VGFTRLTSARALFSATHLLWRRQHGPTNVLFQLDALPPNLQREFHKDENAVNLTYLVD LPSSKIASSHEDSSDGTTKSDTKSPVIKVLPTWAHIVTWCCATCGCQWSARPVDRTDP QVAAFYSCPRCAARTAKKHCSDTPLAVSPSTPSFPPGRRFAEAFPLLAAQWDACRNSV IHNKVLFESVADVPLPCATIVWWSCPHCGEPWRESVNSRVQRLVQQQQKHSSNGNVKS NNTCIPLCPSCEDRGLSLTVPKDGNEGSKEKSSSERRSKKKGNQNSELKRFLKDSPLL LAEAQLQPHEDPGTIALRSNKLVCWKCRRCTYEFVASVADRFLRYKRCPQCTGEKRTP LNLLSIQRPDVLREIARTVVRGKLLKMTVHDDTVVPFVCRSCLSIYRMPIRLRCLLPE GATACSKCMWSRSKFTREVVSVGKGQKGAPFRSPPKKKRGRDSYAVVDNELHLRDTDL MN XP_846198.1 MVSRAPSFSVPNAVGVPQVDDIALFLCGRAIAAEWPKVSAANAS AAEALAAWYLTKVAQMHERPTTDTKGASNLGMEERSPVREAEYYQTNEANFSDAFEAL SRPAGEIDALVTQKLDREDHQRGWGLSKNLLDGFAKTSGLLLTEKDIMAIDDEMKRSF RCFEELLDICLHFGETTHCNNFIYHIICFNIDNTALSSHTTQMLWNEMGIDDRAAVIL PVLSRLFSVCDELGSQHAAGSITHSFIVWLGVSWNCASFSWQTHVSRVTGCLLAELEK KLILVRKDPAALTAGLRDDSTPHARRGSRAKPSQKAFGVSGSNRQLNIVSMHKAMRRI CEGRTLDSKGLLEALVESGSGAALPLRDYNNVLEYIFSEQAHGGAVGTQESQQQQWHH SILSNIMNGVLLYSQFYLSVRSLEASLRCAQMGVLVGHQWRSSELLTIAHYNSFLVHV ACQDTPAAAGDIAIMLQLADAATRRDGNAETDVNAPRFRAGCLGYMGAALLLLISPGT VDTSLRSIIITGIVAGVRPTSETAGGAGNMRCGGSGSVSSNANALLCTAAEAATGGGS VVVQTTAQTVRHALWLSEMEAFLDPSSTVSTEVITSVARATLMLIAGHYGVCTCTKPI DSDSLAQQLSLIEESTLDSTNNVPEPSELLLRETMRLTAHHALAVQVGTAEAPSGAGP FRILHTYLTAVEKHYGASGLAAVEQNFFFVSTYRFLCAMWLRTCGYLKSAYQELTRLV ESMLFYSRCGSDSHNENVSTPAPTTRKASTQPNLHTNADLLGVDEHLLGESPVKMAGS TVKEEETLQFWPPDHLLLWQHVQFERAQLAHYLGYSCVILEISETLRRVSAASHFVMG VLYADLIAAMTWTQRKNYFGALQALEKIESNAESIGLTLVQTHARVRRVSILLACSRW QEALDTLRVMQPIPSLLEHWYLLTHLHAQSEVLMACPDATEGDLATVVDRHIQEMEMC GSFVDVTGKEDSVGITLLDKMCLYACIDRHSAALPGRGRQSWDECLNVLARELQQRQL QEGKWQVLWNMPMRNVCNEVLSNALLKRVLKTS XP_846199.1 MSEYADCTEVAKKALEFRQQFYQLLDNPERRGDIVALYAPNTPL LCEWNGHALPDTASIAAYLGGLPRTNHKVDCVDAQPLPGNERADSFLMTVHGVVTYDD EHKREFFQRFVIRKVEERYYIMNDYYRWLSEKE XP_846200.1 MSTRKEPPKVLKGAAYTECSPISDVSHHKASASKAFLENHYRGM LRDTRSGNTARPVGPRPREPCVDDFVLVKRIGKGAFGEVYLCYKKYDNDKQLYALKRM RKTDMIRKKQISHVRSEKDVMAEAASTNPWVVKLYLSFQDEQYLYMVMEYMPGGDMIS WLCIKQRFDVESTRFYIAELCAAVASVHDMFFVHRDIKPDNILLDAKGHIKLTDFGLS KRFAKVGEELLDLEEGNDALCSSNSSANLSNTCGSTCQGPNSVPVVSPHNPHSPTANY NSLTPAGSHASAHPQARRIFESIVGSPGYIAPEILLRQRYGVNCDWWSVGVIMYEMLY GIPPFFSSDTSSTCHKITHWREYLTYPSDRGVPPEATDLLRRLMCDQRDRLDFQGVKE HPFFNDIDWERLRETPPAFVPELSDPLDTHYFPEVEDNTPQQHHQRPEERNAVREVDP RGVIFADYKFNLKR XP_846201.1 MISLHLSSHSLMHVLLSFLLLCSGVVMGYYADVDYEVPSRVVQL TDATFDTIVMDPTKDVFVLYCVTWSRHCRSAVKLWADLSISQFKRPTADTFVAALIDA EAFPETAKRMGVKSYPTMLFYTRLEKATPLEYNGQGLLPLLDSFVFQNS XP_846202.1 MRNSFALLLLSVAIAFVTVGSFADEAKDSVELTPDNFDKVALDT EKHVFVMFYAPWCGHCKRLKPKWEELAKEMKDETSVVIARLDADKHRNVAERFDVRGY PTLLLFARSKKEGLRYEGARDVAALKEFVKSNM XP_846203.1 MGTHIIAYDSDEEFTPINVLRKRRAAAAKAAALVARQNRKATAK ARQKIRERLAQLGGVYEELCNGLLPTLLHPPTGAGPVIGCSKPLPRRHTDDENETALV INSVKEPCENEIPSITSGPTTPFAGAAAATLQLSPAEQMAATMLAMEAEGVMPGGGGV AAVLFSPSEMYGDVAYGDDVEMDVGESECGRPLAAGAALRQYSERTRNRIVQSGSDMF PW XP_846204.1 MLALRAALEANSGQLCGDSIVRALCQLTVRDLGQYISAVPPFPQ EGGSSTAPSSVVTAFSLHDLLHFPGPTIWNSSSLGKRRVGCATLYETDSVHVSWFLMS PGSMLPLHDHCLMVVWQRMLFGSIRVTSMDWREKPLSAEDAIRKQREGGEAAIVSSSV VTAQREPCDPSSVTSFGPTEGGVLHEIVNESDGPALFLDVIAPPYHSPPDFFDCGYYK ASFSHSEVAGGVAHLTPCAYDCPPMVEFFPLESLRHGA XP_846205.1 MNGRTRVLFTAGVPTPPNCAHNVVISGGGIVGAAAMAALQKLRA RFLAESVGAEGSVSHNVHSRCLSRLLLADPMARPHYDAANIMHNLRTVSLTPVSSKLL DNLGSWKRLQTKHAYYRIALRHERTNGPVAPDQSSQEKSRNFFVNGPLGNGTSTAEPL LEFTNLDSPLGFICYNSDINTTLLDVVEEQMKQYQQPLGSDGCGVQEQPTDCIEFGSS LGSYSLPHRNIVDGPAGRAILRKSVGDQPVEFSLLLGCEGRGGHLRESLGSALVQHDY AQTAFVCTVQLKRPADGNVCCFQNFFTNGDIIALLPTSEDTSNIVFSTTAEHARELAA AKQSELVEELNRCLHAFAPRDIPLIISVPEVELGGKTVRAQGMFPVRLSVALQPFGPR CLLLGDAAHGIHPFAGQGLNLGLYDVCALVEVLERAVRSGQDIGSVIAVGQPFAAAMM SHTGIMITAMESVFGLLATMPGLSCTGMSVLQKLPLVSSLGKQSIIHVASGGFFASHH RESFLLA XP_846206.1 MKPATIPHGKNDAEGAGARMYEGMNTLQKEELNDYLISQMGPGT KWHDEMSDVVNTIIRQRSINGEPLDVHDVLSEALPHCQLAISHEVRDGLFRRIAGMCT TTDV XP_846207.1 MSSSNDIASKIREANRRRAEEGSRIRQLQQERREEMLEREAEKA ASKPSGFIPYIIISLIFLSFPLSEPIVDWVLVACFALLNGAWVLFFRSASWVNWGTLA LCDFSLIRISHVIPHIPRLIKETPPHVLAAFIGGNLVAMVILYYAYVVRRLPWKTEVG SKKPKRVKRSDGEEVETLEEFARRRERANRIDNMFSGLIVANVVVLVLVGAIPFRTVF DAVRQIIGFFH XP_846208.1 MLRTSRGGKNGRRSAKLEEIAAERIDAITAEPLHPSKYVEILSP DGFLRLFYNTSTLIRIAMEKGMFMQPPHFREPMCSELQRKVEEVEGRTFRFEARNSTI FGRGSGGGTTIQHRHVYFEQIMDQFYLLNPAELYVCPTCYLHWLSTRYIPNAIDNGLE VEYMEGNPNPLIDPLDVFEQMQRAVVPVSSDATNESGSHGAQGDGERCKGQKGAEPVS RIHQSRDIEWDTPLSYIVFRRAIHWKQHVRNIHNAAVVTAEDYRLKEFISQYISHYNH LHEERYKKSVQEFGDAAVAVPSLTVTRYWYINARFNRLRYNRVVEATERAELHICECV QRTLFENEEVINTFPSNDVDTYDDFICSSDTESDGSGYGGFPRYEGPKYSSDDEEEEE ETNNNSSKKRGKKSKPRKKSAPKRQRSEKSSRGSDGAETELEKRRQLYKRGLLAPLKD AYKTLSYEERRLLDVESRRVHKPVTIYVPTRERYSDSDDDDDGDEGGEGGGDGDGDDE DDNDGGDWEGDDNNQGIWVVTDGEVIDWDGIGGCIENADEYVRDIQKRSNTNGASKST AVGEAQAENVQPNGFPTFSGAEANRNDSNATSPAAVKESTKEKGREERGHKLLLDDSE XP_846209.1 MERPADEPQSFLFFFFLCAHLILLTNHRVRETSKKKNSSNPNRR FINGPHVLLFSSPYYNFLCCRCCCFFLYALGKRVISETVCVFFCLFAVSFFFSHINTN LLFILCACFVGLSHIHARKGDIIFLNLKYGCFIVVFFFNHMLTPHRRHVLPLYLLSIF FFFKKPCKIFTYDVCLKIEVKKKTGVVFVGGV XP_846210.1 MKRWDEKLTLALRRGSGSDDVTPNPVSEALRNLEETMESIKRRL SQLEKCVERQRVAINEVRTNTSPFDDLLTKTNGDIQSIQQQLEYVVISLERERGIVTD HTEQLRLFVRESTRRDGGRSGLSDSDPSFLFLLATWLYRPVVDFANGCYVLLSPLIGT LQSLSLFNPDVQVRQTESGVYLNNAQPSEDLLSRLQKGQLDPVLTSKT XP_846211.1 MQPVLVANSEDALSWILIDSRGHGLAKSHLPIRGNVTAITEGFE VITLLFWTIFFFSCLLCLSVPLFYGTLSGVIHHCNVGFQLLSALTTSNEQHSNQKGGV KKDRFNITTAGNGNNRNGHVHQRSSRAATTPHDHNVKHLAVIMDGNRRYGQRHSVETV CVKELEDVCEFIFNEDMPLFGVEPIFKRLAFLLKRTKLDGHRVGGEKLLEFVKDCIDF NISMLTVYAFSTENWSRPALEVKVLMTLFYCYFERMRQTARKQGIFIRFISPAFEMIP SRIRRIMVDIEEETRRHVPRRIVVNVCVSYSGRDEIINACNGLLQKRSEYSPISTGDL MSQMLRSVTQADHEEEDASVLFDGGGAEPQILLRTSGEQRLSNFLLFECAYTEFLFVD KTWPEINREDLVKLLQDHDKRDKRYGK XP_846212.1 MNEDKKAPLTVETGADSSEKKSIFSVPNETLVEFDAKSDTIRGE LVQVIAAWLIEQGLTSSAQLLCDEAAALFHQEHSERKTLRDVSRSVQEGNWEAASSKL KKLEPLIAPEADVSRDSSSQTASLIRSLPFLLAQQQFLEYVDEDDGQRAHHFFMRRIK PLEGTINREHFQQLVYLLTCRNVSEAGTTFPVWRGWTPMKGRLQLQSLIANIIGHYNT SPYCHQYKYHVDDEPNATVINLEQILANSLSYELLKSQHPNLLAGLPVHPVYSIWRPL GEQLLPTNLVMTLDVNTLCHSAKLHTGENVRLTACQPFLQVPALAVGTDAGEILWVPL KNRKEDTTLESHGACTSLYRYKAPVRGMTYHEQQLLLSWSGCQAVVLNVVQLKKSRGT VPEQQDYLADCVANTFTHAAEVRSACLFPCGFILATGLSDGTVTEWNLITGAQMSQHA FSASSIESLAVNRSGSCYFAASREGLVRVVDASTGVLLFTFALLITSEISSIAISPSS SLLLVCYKTGTLRLWDVLTGNSLAQRLEGMESTKCRCTCVTFGANDSHIITGHDDGCL YFWDTGRSRLRRCQTASSPLSSAFGSREGAHGESPVLYSPNNPSMHNFPPGEAVSPQT SLRLHRAQITDVKFQHNYVVTCGGDGRICICASVMKDMERRV XP_846213.1 MKYNFKDSHSLVKRLNESAKVRKSHPNHFPVICEKVYNSDIGEL DRCKFLVPSDLTVGQFVSVLRKRVQLEAESALFVYTNDTVLPSSAQMADIYSKYKDED GFLYMKYSGEAAFG XP_846214.1 MSKKDSKYKMSHTFESRQSDAAKVRERHPDRLPIICEKVYNSDI GELDRCKFLVPSDLTVGQFVSVLRKRVQLEAESALFVYTNDTVLPSSAQMADIYSKYK DEDGFLYMKYSGEATFGC XP_846215.1 MHGDGLGRLHPGKVFLTIDEIKNGISSYQIFDVRYDLRNKEYGI NQYNKGHVARATFVDLDRHLSGPVVECSKARHPMPDPHEFVEWCKSKGIGHFKPVLCY DDECGAMGACRMWWMLNALGVEAYVMTCGFKAYEAAGLPVESTPYDKNQSTSYWPYAT EFKRLLKIKDIPPCAHMVDTRPALRFNTTVRPYGPDDIPGHIEGAVNLPYDVNILLVN RHEKRLRPNDECRSNTLKLLQGMWGGGEPNISHCVFYCGSGVTAAFSIAVAYHVGLGE PYLYAGSWSEYADTFNFTLARRIIKEHGLLISMVSSSLPYNMKATLNNVTLVVDGVVV NNPDEELKQALVHLHIGEKAHVTFKSQRQAVIEAHPRIDT XP_846216.1 MSAPVDNVVVERLSTANQKPINEPRRFALLVLGTFCCICTSFMY AFNLISGAMQARYNLTQRDLSTITTVGIAVGYFLLPYSFIFDYLGPKPIFVIAMTVFC LGALLFALTFQEVIEGSVVRLSVYNGFLTLGCMLFDLGSVVTVLSVFPSNRGAVMAIV KTFTGLGSAIVGSIQLAFFSKSVANYFFFLMSFSLVVGTLAVVFMNLPPFHLTGYQKT HLDEEEKAQRLARKGVYLKQKAPMWRFVHGFAILVTLIVFLPLQGALVAYLKLGSNFK VGFAVTVIVLTVIFPFMAYPLTTFDGKRPHDDSEGETCCRREVAEEVSASDDTGVETD VDYIAPQFQETFIEGLKTARLWCLLWSIFCCVGVHYVIIYNARFIYTALAGEAPDDAL NALLTVLNGVGSAVGRLCMSYFEVWSQKRRAEDRVPITFSMFVPSVCIITMLTLFLTL PKAALPLPYFIAALSNGFTAAIIALVTRTIFAKDPAKHYNFCFLGSVLSAIFLNRLLY GEWYTQQADKLGQDVCTERVCVVMPLAFMLGLAFPAFATSTYLHLQYRRLCMLALDER RRIREGERGPKEPSSCPKEPTRDAREAAPQ XP_846217.1 MSAPVDNVVVERLSTANQKPINEPRRFALLVLGTFCCICTSFMY AFNLISGAMQARYNLTQRDLSTITTVGIAVGYFLLPYSFIFDYLGPKPIFVIAMTVFC LGALLFALTFQEVIEGSVVRLSVYNGFLTLGCMLFDLGSVVTVLSVFPSNRGAVMAIV KTFTGLGAAIVGSIQLAFFSKSVANYFFFLMSFSLVVGTLAVVFMNLPPFHLTGYQKT HLDEEEKAQRLARKGVYLKQKAPMWRFIYGFVVLLILVVFLPLEGALVAYLKLGSNFK VGFAVTVIVLTVIFPFMAFPLTTFDGKRPHDDSEGDAKEHVEADDEVSAAEDKVVETD VDYIAPQFQETFIEGLKTARLWCLLWSIFCCVGVHYVIIYNARFIYTALAGEAPDDAL NALLTVLNGVGSAVGRLCMGYFEVWSQKRRAEDRVPITLSMFVPSVCIITMLTLFLTL PKAALPLPYFIAAFSNGFMAATMALVTRTIFAKDPAKHYNFCFLGSVLSAIFLNRLLY GEWYTQQADKLDQDVCTERVCVVMPLAFMLGLAFPAFATSTYLHLQYRNLCLKALEER RRIKEAEDNQTNAENVCAEPTCDDNADACLEEKAADSSK XP_846218.1 MSAPVDNVVVERLSTANQKPINEPRRFALLVLGTCCSICTSFMY AFNLISGTMQARYRFSVKDIMNVNAVGLAVGYFMLPYGFIYDYLGPRPIFMLSLTVLC LGALLFALTLRGDIEGTVVRLSVYNGLMTLGCMLFDLGGVVTVLSVFPSNRGAIVAIM KSFVGLGAAILGSIQLAFFSDRPDIYFFSIMSFALTVGILGIVFMRLPPFHLTGYQEK HLDEEEKAQLRMTKTVYLKQKAPMWRFIYGFVLLLILVVFLPLQGALVAYLKLGSNFK VGFAVTVIVLTAIFPFMAFPLTTFDGKRPHDDSDGEVDDKEEMSEEPFPVEDKVVETD VDYIAPQFQETFIEGLKTARLWCLLSSVFCCVGGSFVVVFNSRFLYTALAGEPPSTNV GILLSVLGALGSAVGRLLTSGVEIWSQKRRAEDRVPITIALFIPSVCIITMLTLFLTL PKAALPLPHFLAAVADGFMATTTPLVARTIFAKDPAKHYNFCFLGSVLSAIFLNRLLY GEWYTQQADKLGQDVCTERVCVVMPLAFLLGLSFLAFITSTYVHLQYRNLCLKALEER QRVKEQHRASKDDSRVSTEPIGVI XP_846219.1 MSAPVDNVVVERLSTANQKPVSEPRRFLMLVIGVSCSMCTSFMY AFNLISGAMQERYDLTQRDLSTITTVGICVGYFMLPYGFIYDYLGPRPVFVISMTVFC LGTLLLALTFQEVIEGSVVRLSVYNALMMLGCTLFDLGALVTVLSVFPSNRGIVVATM KTTTGLGSAILGSIRLAFFSGNTSAYFYFLMSWALAAGILALTFVRLPPFHLTGYQEK HLDEEEKAQLRMTKTVYLKQKAPMWRFVHGFAILVTLIVFLPLQGSLVAYLKLGSNFK VGFALVVIALIVIFPFMAFPLTTFDGKRPHDDSDSKAKEHVGAGDEISAAEDKVVETD VDYIAPQFQETFIAGLKTARLWCLLWSAFCCLGANYVIIYNARFFYTALAGEAPEDAL NTLLTVLNGAGSAVGRLCMGYFEIWSQKRPAADRIPITAALYVPSVCIITMLTLFLTL PKAALPLPYFIVAFSNGFTAATMALVTRTIFAKDPAKHYNFCFIGSIMSAIFLNRLLY GEWYTQQADKLGQDVCTERVCVVMPLAFMLGLAFLGFLTTTYLHLQYRRLCKLALEER QRIREEERVLNELPSNPTEPTGNAGEPVHQLK XP_846220.1 MSAPVDNVVVERLSTANQKPINEPRRFALLVLGAFCSMCTSFLY AFNLVSGAMQARYNLTQRDLSTITTVGIAVGYFLLPYSFIYDYLGPRPIFMLSVTVFC LGTLLLALTFQEVIEGSVVRLSVYNGLMTLGCMLFDLGGVVTVLSVFPSNRGAIVAIM KSFAGLGSAILGSIQLAFFSDRPDIYFFSIMSFALTVGILGIVFMRLPPFHLTGYQEK HLDEEEKAQRLARKGVYLKQKAPMWRFIYGFVLLIILIFFLPLQGALVAYLKLGSNFK VGFAVTVIVLTAIFPFMAFPLTTFDGKRPHDDSDGEVDDKEEMSEEPFPVEDKVVETD VDYIAPQFQETFFESLKTARLWCLLWSIFCCVGAEFVIIFNARFVYTALAGEVPDDAL NTLLTVLNGVGSAVGRLCMSYFEIWSQKRRAEDRVPITIALFIPSVCIITMLTLFLTL PKAALPLPYFIAATANGFMATTIALVARTIFAKDPAKHYDFCFLGSMLSAIFLNRLLY GEWYTQQADKLGQDVCTERVCVVMPLAFLLGLSFLAFITSTYVHLQYRNLCLKALEER RRIREGEEALNDESLSHTE XP_846221.1 MSAPVDNVVVERLSTANQKPVSEPRRFLMLVIGVSCSMCTSFMY AFNLISGAMQERYDLTQRDLSTITTVGICVGYFMLPYGFIYDYLGPRPVFVISMTVFC LGTLLLALTFQEVIEGSVVRLSVYNALMMLGCTLFDLGALVTVLSVFPSNRGIVVATM KTTTGLGSAILGSIRLAFFSGNTSAYFYFLMSWALAAGILALTFVRLPPFHLTGYQEK HLDEEEKAQLRMTKTVYLKQKAPMWRFVHGFAILVTLIVFLPLQGSLVAYLKLGSNFR VGFALVVIALIVIFPFMAFPLTTFDGKRPHDDSDSKAKEHVGAGDEVSAAEDKVVETD VDYIAPQFQETFIAGLKTARLWCLLWSAFCCLGANYVIIYNARFFYTALAGEAPEDAL NTLLTVLNGAGSAVGRLCMGYFEIWSQKRPAADRIPITAALYVPSVCIITMLTLFLTL PKAALPLPYFIVAFSNGFTAATMALVTRTIFAKDPAKHYNFCFIGSIMSAIFLNRLLY GEWYTQQADKLGQDVCKKRVCVVMPLAFMLGLAFLGFLTTTYLHLQYRRLCKLALEER QRIREEERVLNELPSNPTEPTGNAGEPAHQLK XP_846222.1 MSAPVDNVVVERLSTANQKPINEPRRFALLVLGAFCSMCTSFLY AFNLVSGAMQARYNLTQRDLSTITTVGIAVGYFLLPYSFIYDYLGPRPIFMLSVTVFC LGTLLLALTFQEVIEGSVVRLSVYNGLMTLGCMLFDLGGVVTVLSVFPSNRGAIVAIM KSFAGLGSAILGSIQLAFFSDRPDIYFFSIMSFALTVGILGIVFMRLPPFHLTGYQEK HLDEEEKAQRLARKGVYLKQKAPMWRFIYGFVLLIILIFFLPLQGALVAYLKLGSNFK VGFAVTVIVLTAIFPFMAFPLTTFDGKRPHDDSDGEVDDKEEMSEEPFPVEDKVVETD VDYIAPQFQETFFESLKTARLWCLLWSIFCCVGAEFVIIFNARFVYTALAGEVPDDAL NTLLTVLNGVGSAVGRLCMSYFEIWSQKRRAEDRVPITIALFIPSVCIITMLTLFLTL PKAALPLPYFIAATANGFMATTIALVARTIFAKDPAKHYDFCFLGSMLSAIFLNRLLY GEWYTQQADKLGQDVCTERVCVVMPLAFLLGLSFLAFITSTYVHLQYRNLCLKALEER RRIREGEEALNDESLSHTE XP_846223.1 MSAPVDNVVVERLSTANQKPVSEPRRFATLVLGVFCCMCTSFMY AFNLISGAMQERYDLTQRDLSTITTVGIVVGYFLLPYGFIYDYLGPRPVFVISMTVFC LGTLLLALTFQEVIEGSVVRLSVYNGLMVLGCMLFDLGAVVTVLSVFPSNRGAVMAIM KTFTGLGSAIVGCIRLGFLSQSTSAYFYFLMSFALAAGILAIAFLRLPPFHLTGYQEK HLDEEEKAQLRVTKGVYLKQKAPMWRFVYGFAILLILIVFLPLQGSLSAYLKLGSNFR VGFALVVIALIVIFPFMAFPLTTFDGKRPHDDSDSKAKEHVGAGDEVSAAEDKVVETD VDYIAPQFQETFIAGLKTARLWCLLWSVFCCVGVHYVVIYNARFIYTALTGEAPEDAL NTLLTVLNGVGSAVGRLCMSYFEIWSQKRRAEDRVPITIALFIPSVCIITMLTLFLTL PKAALPLPYFIAAFSNGFTAAIIALVTRTIFAKDPAKHYNFCYLASVLSAIFLNRLLY GEWYTQQADKLGQDVCKKRVCVVMPLAFMLGLAFPAFATSTYLHLQYRRLCTLALEER QRIREEERVLNELPSNPIEPTGNAGEPAHQLK XP_846224.1 MGPPPNRPYESRSRLRHLLPAQRWATETAHVSLPAAWKAMTSSI SRCFRAPRRDALSFKSFWSRGQGTQMSSSVLDPRASGDRLVVFFSVCAANSQSFRSRC PLALRLCYLFNFSFPTKIMGEPGHALMLLRVPLYFDSVFGLHPYLFGEFYHAATPEGR GSYSERITGLLTLLVPNSGAGVL XP_846225.1 MNNDKSEFYITGMTAGKLPMNNQQMVGYQRRMKIQVNKLMTEKQ MTRMDAAAQGNTNTFEPFARERRRATAHVEQYPNIGHSPSGDDATTPKDVIPMLLIKK QLREFGRGRLRMPFTDTLSANVEKFTTPVGQTQVTGQPEILVTEKKLSAEGI XP_846226.1 MGPPPNRPYESRSRLRHLLPAQRWATETAHVSLPAAWKAMTSSI SRCFRAPRRDALSFKSFWSRGQGTQMSSSVLDPRASGDRLVVFFSVCAANSQSFRSRC PLALRLCYLFNFSFPTKIMGEPGHALMLLRVPLYFDSVFGLHPYLFGEFYHAATPEGR GSYSERITGLLTLLVPNSGAGVL XP_846227.1 MPHRAVWGPRGVPCGTVAQLFLLAVILQVMQAPMVLCDNAEEEI NVEVFSLLHKSVVSNGTVKALNTGVLASFHARENITEPGVTVSFSAPATSEQNVNEAL EQKLKEFSDLKKILVVLGPLGDKTVLESLDLLTKNEVIGFAPYTGSGAVRGWRPNLYF ISVDPLAETLALLRYALAHLRVRCLGFMYLKGVFFGDTEYQLVVQAMARMGYGLCGTF ALKSSTEASASSEGFQREWDQFAATNPQAVILYVYPVDDGKKALLKFLEDKRTSGACI LSPFALQYFVVETLNATYGRGGGYSHGEVITTGTNPLAKDNEYQAIQRFQKEMKAYLA SHSGASLYGRSDRHLTDDDDGELMVYGWIIGEMLSRALSSREWLKNRSTFKKSLYNQR RYVIDDLVYGDYGGDCPDNVAALGASCQCNQGGKAVYMKRLVEGFRLESVKSGFMALG TSRCDTDGSRLHAPLAAVATFMDNSQLSLNAAEEWHGGASLLVGTGDLGDYDRFFLHR INTSSGTIWKRLKREEEEKLVTVVMGVTDEALLSTSTFVVVDPVLLVPRLRTPNKRVI YLSATLEQQLFVIAKYLGEKGSIGVHSVISSSESNRIEKVVNMSLSRFYQEPQSLVML NGTVSVTGYLPREGDVFVIGLKQDDVEVIAKHLSANPKLFVYVPFTEVALYYQTFVRV FRDKGPGSSSSNRLLFATNLPHWADGNPSSDTVKKFHNAMKDKSKWTPLSLMGFAAGN LLRTVLPQLKKVDADTLSNLFFSETYLRADDMRYGPYGTEGCGKVEVGLWNDCLTNYG ATGIAVWSMARALDPSVPPIAEPISQLLQYEDRDAGRLTGARLIGVCVGAVLFFLLLV VVIVVVLCRYFADARDNANAPKEPTDPVTLIFTDIESSTAQWAAHPEMMPDAVATHHR LIRALIMHYRCYEVKTVGDSFMIACRSPSAAVRLACDLQHNFLHHNWGTAALDESYRE FELQRAEEEEGYTPPTAHLDREVYGRLWRGLRVRVGIHTGLCDIRYDEVTKGYDYYGR TANMAARTESVANGGQVLMTRATYMSLSVVEREQFDVTALGPVTLRGVPNPVEMYQLN PIPGRTFAALRLDRDGPGLDDEVADPSLSEVSSLHAGLTDSARQVAISLNSLLGVFAP AQRQNLLLPLCERWRVSLLRKNSGWSEAYCREVVCRIAVRVGRVADFRVGHNSLNSST ILMGGVQPSLLGIEVLRSPLLSRSNYPGDNGDLASVGIVASSDASEALSRRSTVEATV LKGDL XP_846228.1 MPHRAVWGPRGVPCGTVVQLFLLAVILQVMQAPMVLCDNAEEEI NVEVFSLLYNRYSSIKTIIAGNAGLNASFAARRNEGSIAEFVRLTHLSSNNESAIMAL QQKLKEFSDLKKILVVLGPVGDTSVLMSLDLLTKNEVIGFAPFTGSGAVRGWRPNLYF ISVDPLAETLALLRYALAHLRVRRLGFMYLKGVSFGDTEYQLVVQAMARMGYGLCGTF ALKSSIAKAANEKDFQREWDQFAATNPQAVILFALPIVDGKKALLKFLEDERTSGAYV LSPFPIQYFVVETFKKKYYEGFRNFDGQVITTGTNPLAKDNEYQAIQRFQKEMKAYLA SHSGASLYGRSDRHLTDDDDGELMVYGWIIGEMLSRALSSREWLKNRSTFKKSLYNQR RYVIDDLVYGDYGGDCPDNVAALGASCQCNQGGKAVYMKRLVEGFRLESVKSGFMALG TSRCDTDGSRLHAPLAAVATFMDNSQLSLNAAEEWHGGASLLVGTGDLGDYDRFFLHR INTSSGTIWKRLKREEEEKLVTAVMGVTDEALLSTSTFVVVDPVLLVPRLRTPNKRVI YLSATLEQQLFVIAKYLGEKGSIGVHSVISSSESYYINRFLHLVLQRFEGGLNSSVRL TTSVSVTGYLPREGDVFVIGLKQDDVEVIAKHLSANPKLFVYVPFTEVALYYQTFVRV FRDKGPGSSSSNRLLFATNLPHWADANPSSDTVKKFHNAMKDKSKWTPLSLMGFAAGN LLRTVLPQLKKVDADTLSNLFFSETYLRADDMRYGPYGTEGCGKVEVGLWNDCVTNYG ATGIAVWSMARALDPSVPPIAEPISQLLQYEDRDAGRLTGARLIGVCVGAVLFFLLLV VVIVVVLCRYFADARDNANAPKEPTDPVTLIFTDIESSTAQWAAHPEMMPDAVATHHR LIRALIMHYRCYEVKTVGDSFMIACRSPSAAVRLACDLQHNFLHHNWGTAALDESYRE FELQRAEEEEGYTPPTAHLDREVYGRLWRGLRVRVGIHTGLCDIRYDEVTKGYDYYGR TANMAARTESVANGGQVLMTRATYMSLSVVEREQFDVTALGPVTLRGVPNPVEMYQLN PIPGRTFAALRLDRDGPGLDDEGSSPSLSEVSSLCAGLTDSARQVATSLNSLLGVFAP AQRQNLLLPLCERWRVSLLRKNSGWSEAYCRDTVHRIAAKVGHITDHKGKRTSMDLST TSDSVCVLQPSLAGIANLRSPLLSRSNYPGDNGDLASVGIVASSDASEALSRRSTVEV TSLKGDL XP_846229.1 MPHRAVWGPRGVPCGTVVQLFLLAVILQVMQAPMVLSWNWRGSV TVEVFSPPPESVVSNGTVKALNTGVLASFHARGNITGTGVTVSFSAPATSEQNVNEAL EQKLKGFSDAEKILVVLGPLGDKTVLESLDLLTKNEVIGFAPYTGSGAVRGWRPNLYF ISVDPLAETLALLRYALAHLRVRRLGFMYLKGVSFGDTEYQLVVQAMARMGYGLCGTF ALKSSTEASASSEDFQREWDQFAATNPQAVILYVYPVDDGKKALLKFLEDKRTSGACI LSPFALQYFVVETLNATYGRGGGYSHGEVITTGTNPLAKDNEYQAIQRFQKEMKAYLA SHSGASLYGRSDRHLTDDDDDGELMVYGWIIGEMLSRALSSREWLKNRSTFKKSLYNQ RRYVIDDLVYGDYGGDCPDNVAALGASCQCNQGGKAVYMKRLVEGFRLESVKSGFMAL GTSRCDTDGSRLHAPLAAVATFMDNSQLSLNAAEEWHGGASLLVGTGDLGDYDRFFLH RINTSSGTIWKRLKREEEEKLVTAVMGVTDEALLSTSTFVVVDPVLLVPRLRTPNKRV IYLSATLEQQLFVIAKYLGEKGSIGVHSVISSSESNRIEKVVNMSLVRFYQEPQSLVI LNATVSVTGYLPREGDVFVIGLKQDDVEVIAKHLSANPKLFVYVPFTEVALYYQTFVR VFRDKGPGSSSSNRLLFATNLPHWADGNPSSDTVKKFHNAMKDKSKWTPLSLMGFAAG NLLRTVLPQLKKVDADTLSNLFFSETYLRADDMRYGPYGTEGCGKVEVGLWNDCVTNY GATGIAVWSMARALDPSVPPIAEPISQLLQYEDRDAGRLTGARLIGVCVGAVLFFLLL VVVIVVVLCRYFADARDNANAPKEPTDPVTLIFTDIESSTAQWAAHPEMMPDAVATHH RLIRALIMHYRCYEVKTVGDSFMIACRSPSAAVRLACDLQHNFLHHNWGTAALDESYR EFELQRAEEEEGYTPPTAHLDREVYGRLWRGLRVRVGIHTGLCDIRYDEVTKGYDYYG RTANMAARTESVANGGQVLMTRATYMSLSVVEREQFDVTALGPVTLRGVPNPVEMYQL NPIPGRTFAALRLDRDGPGLDDECSSPSLSEVSSLCAGLTDSARQVAISLDSLLGVFA PAQRQNLLLPLCERWRVSLLRKNSGWSEAYCRDTVHRIAAKVGHITDHKGKRTSMDLS TTSDSVCVLQPSLAGIANLRSPLLSRSNYPGDNGDLASVGIVASSDASEALSRRSTVE VTSMKGDL XP_846230.1 MPHRAVWGPRGYPCGTVVQLFLLAVILQVMQAPMVLSWNWRGSV TVEVFSLLHKSVVSNGTVKALNTGVLASFHARGNITGTGVTVSFSAPATSEQNVNEAL EQKLKGFSDLKKILVVLGPLGDKTVLESLDLLTKNEVIGFAPYTGSGAVRGWRPNLYF ISVDPLAETLALLRYALAHLRVRRLGFMYLKGVFFGDTEYQLVVQAMARMGYGLCGTF ALKSSTEASASSEGFQREWDQFAATNPQAVILYVYPVDDGKKALLKFLEDKRTSGACI LSPFALQYFVVETLNATYGRGGGYSHGEVITTGTNPLAKDNEYQAIQRFQKEMKAYLA SHSGASLYGRSDRHLTDDDDGELMVYGWIIGEMLSRALSSREWLKNRSTFKKSLYNQR RYVIDDLVYGDYGGDCPDNVAALGASCQCNQGGKAVYMKRLVEGFRLESVKSGFMALG TSRCDTDGSRLHAPLAAVATFMDNSQLSLNAAEEWHGGASLLVGTGDLGDYDRFFLHR INTSSGTIWKRLKREEEEKLVTAVMGVTDEALLSTSTFVVVDPVLLVPRLRTPNKRVI YLSATLEQQLFVIAKYLGEKGSIGVHSVISSSESYYINRFLHLVLQRFEGGLNSSVRL TTSVSVTGYLPREGDVFVIGLKQDDVEVIAKHLSANPKLFVYVPFTEVALYYQTFVRV FRDKGPGSSSSNRLLFATNLPHWADANPSSDTVKKFHNAMKDKSKWTPLSLMGFAAGN LLRTVLPQLKKVDADTLSNLFFSETYLRADDMRYGPYGTEGCGKVEVGLWNDCVTNYG ATGIAVWSMARALDPSVPPIAEPISQLLQYEDRDAGRLTGARLIGVCVGAVLFFLLLV VVIVVVLCRYFADARDNANAPKEPTDPVTLIFTDIESSTAQWAAHPEMMPDAVATHHR LIRALIMHYRCYEVKTVGDSFMIACRSPSAAVRLACDLQHNFLHHNWGTAALDESYRE FELQRAEEEEGYTPPTAHLDREVYGRLWRGLRVRVGIHTGLCDIRYDEVTKGYDYYGR TANMAARTESVANGGQVLMTRATYMSLSVVEREQFDVTALGPVTLRGVPNPVEMYQLN PIPGRTFAALRLDRDGPGLDDEGSSPSLSEVSSLCAGLTDSARQVAISLNSLLGVFAP AQRQNLLLPLCERWRVSLLRKNSGWSEAYCREVVYRIAVRVGRVADFRVGHNSLNSST ILMGGVQPSLLGIEVLRSPLLSRSSHPGDNGDLTSVGIVASSDASEALSRRSTVEATV LKGDL XP_846231.1 MPHRAVWGPRGVPCGTVAQLFLLAVILQVMQAPMVLCDNAEEEI NVEVFSLLHKSVVSNGTVKALNTGVLASFHARENITEPGVTVSFSAPATSEQNVNEAL EQKLKEFSDLKKILVVLGPLGDKTVLESLDLLTKNEVIGFAPYTGSGAVRGWRPNLYF ISVDPLAETLALLRYALAHLRVRCLGFMYLKGVFFGDTEYQLVVQAMARMGYGLCGTF ALKSSTEASASSEGFQREWDQFAATNPQAVILYVYPVDDGKKALLKFLEDKRTSGACI LSPFALQYFVVETLNATYGRGGGYSHGEVITTGTNPLAKDNEYQAIQRFQKEMKAYLA SHSGASLYGRSDRHLTDDDDGELMVYGWIIGEMLSRALSSREWLKNRSTFKKSLYNQR RYVIDDLVYGDYGGDCPDNVAALGASCQCNQGGKAVYMKRLVEGFRLESVKSGFMALG TSRCDTDGSRLHAPLAAVATFMDNSQLSLNAAEEWHGGASLLVGTGDLGDYDRFFLHR INTSSGTIWKRLKREEEEKLVTVVMGVTDEALLSTSTFVVVDPVLLVPRLRTPNKRVI YLSATLEQQLFVIAKYLGEKGSIGVHSVISSSESNRIEKVVNMSLSRFYQEPQSLVML NGTVSVTGYLPREGDVFVIGLKQDDVEVIAKHLSANPKLFVYVPFTEVALYYQTFVRV FRDKGPGSSSSNRLLFATNLPHWADGNPSSDTVKKFHNAMKDKSKWTPLSLMGFAAGN LLRTVLPQLKKVDADTLSNLFFSETYLRADDMRYGPYGTEGCGKVEVGLWNDCLTNYG ATGIAVWSMARALDPSVPPIAEPISQLLQYEDRDAGRLTGARLIGVCVGAVLFFLLLV VVIVVVLCRYFADARDNANAPKEPTDPVTLIFTDIESSTAQWAAHPEMMPDAVATHHR LIRALIMHYRCYEVKKVGDSFMIACRSPSAAVRLACDLQHNFLHHNWGTAALDESYRE FELQRAEEEEGYTPPTAHLDREVYGRLWRGLRVRVGIHTGLCDIRYDEVTKGYDYYGR TANMAARTESVANGGQVLMTRATYMSLSVVEREQFDVTALGPVALRGVPNPVEMYQLN PIPGRTFAALRLDRDGPGLDDEGSSPSLSEVSSLCAGLTDSARQVAISLDSLLGVFAP AQRQNLLLPLCERWRVSLLRKNSG XP_846232.1 MLQAEVNSDDKARGCLNKALELKKEKKFLDAIDALHSLSDNKVR YGPMYKEAVSLLIELCLSQAHGVKVDLLFPAFRWNNRKVSGNQHLEDGTRHIVNTTLD HLDKRCKWAYDKVDETKAKRSECDLILSSLSGISIDQRVKDLYLVPAEKIVGEVAREM LTFNVIGHSGKLLPIYLETTEKLIELCRTYKFRAAIGHVADSFVRFFLRFLLYPIRPK TNKAYSTRAADALKIDRESFHRDVTAAQKTVSVFCQLLEALIAVSNWQGAWRTLECFT KVLAKTKQHEDFRKSQSDAYLVMATLFWECSCYSFHAHCLLSAAFLADDERKESLLSR AVLAALCVPNIKGRESFARGSDSFFQKNEQIAKLLDLKEAPSRNFLVQRMQQMQLLQA APKGVVAVVELLRNEVFDGEASSRAIAQVSQVVQKDQSLEKYQQPLRKVVMKRFLEYM ATKVTRVEASSLRIWESEQSEGAYVNEIEPYILHESGITVEIDHKTNSITFSNATKIK VLEAFDTLAQHVQLQPAASRRKLDIKPDHLRLVHERTRNLYNQQQSCEEAAEQRRKDA KLREREKRSKERAERIENEKKKKEAADLAKESQGIAKYNEYVNQERRKLLLRRLREKY KGFLIKDIIAQKNSNDFVQEVTKLLADHLKITTQEKAADVTRMNHFERACRELEIPRR RTIEEEEADKHKAERAAARENFLAQHRNEFEKRQQDNQLLRKFLKEAASFQQQMPTKG KVSKRDEQQMLLEMEKERLQGK XP_846233.1 MRSVHQSKGAFTLMLFMALLLSLLGGVLASTFHEYSKEQIFLLR RSGVLKDGWHNISRPPYSFYSGGETGKELEDIYSMSVGQLKNMLRDRQVSCVGCVERG HLVERVVEMRHQPTMEQLVVEELILMENVPLEYELAFAVGAVGGRQDGAAELEVEEE XP_846234.1 MEVELEPFASWLVCILSVVSHATLVPTVYHFLRKRYAYESVLGI FGLTASLMYHICEAFDAKFFMSSLAWHRVDNILVISLLGAWSVYMCALHDPFVERFTK YSLMMLCLIFQTRGPWEAVNSVFPAAVSFSIPIGVYVYRRRIPALEIGRLVGFILIMA VAVIFFIKGLDDKRDHFRMYHSTWHFFCGIASYLMWTLLKVPGVTGVMGKSIHV XP_846235.1 MLFCAVIKPYRNVPFHSLNITACDAFHILSLVLLPSDPFCPCLI LSGGFYSEHYGIYGIRTLMRCCVNGVKRWWINTVRREEVAVCGIRFSKLCVCFLFPNP DFGGVLILVAVAFCVSFPCDPGYHCVASLSVYVIRLFMMGTTSFLCVKHNIPLPTHFL LHCFPCVLFFCFIAVKR XP_846236.1 MSNSPASHDNKMFTSCFVCVLSVVSHATLVPTVYHFLRKRYVYE AAMGIFGLTASLMYHICEAFDVEVLMDNGGWHRVDNILVISLLGAWSVYMCALHDPFV ERFTKYSLMMLCLIFQTRGPWEAVNSVFPAAVSFSIPIGVYVYRRRIPALEIGRLVGF ILIMAVAVIFFIKGLDDKRDHFRMYHSTWHFFCGIASYLMWTLLKVPGVTGVMGKSIH V XP_846237.1 MLFCAVIKPYRNVPFHSLNITACNAFHILSLVLLPSDPFCPCLI LSGGFYSEHYGIYGIRTLMRCCVNGVKRWWINTVRREEVAVCGIRFSKLCLCFLFPNP DFGGVLILVAVAFCVSFPCDPGYHCVASLSVYVIRLFMMGTTSFLCVKHNIPVPTHSL LHCFPCVLFFCFIAVKR XP_846238.1 MYSVHWEEDGIASCFASLFSTASYAAYMPTLQYFLKKRYVYESV FAIFGITASLMFHLCNLLGVEIFMDDAAWFRVGNICLITLIGAWSTYICAFRDSFVER FTKYCFLLICIICHATGPWTPVKLLTKGAYVSFVVPICVYTYRRQLPAVFTRRLLVLV FAVVIALSFLFISLDDERDPFMFFLSACRSLFGIASYLMWTLLKVPGVTGVMGKSIHV XP_846239.1 MLFCAVIKPYRNVPFHSLNITACNAFHILSLVLLPSDPFCPCLI LSGGFYSEHYGIYGIRTLMRCCVNGVKRWWINTVRREEVAVCGIRFSKLCLCFLFPNP DFGGVLILVAVAFCVSFPCDPGYHCVASLSVYVIRLFMMGTTSFLCVKHNIPLPTHFL LHCFPCVLFFCFIAVKR XP_846240.1 MEVELEPFASWLVCLFSVISHVTFLPTVHHFFKQRYVYEAAMGI FGMTASLMYHICQVLNAEIILDEAGWHRVDNILVVSFLGAWSVYMCAFRDLFTERCSK YCLLMMCVLFQARGAWKAVNTIVPVFICIGFPICVYAYRWRLPSVFPNRLFGFALVMA VAVIFFIKGLDDENDPYKMYHSLWHFFCGIASYLMWTLLKVPGVTGVMGKSIHV XP_846241.1 MNAASYSGSGLASAATVEDVVKPDTIAAILAQLKEQDVRIGRLE AAVERSAINRTHFDILTMAGVTADFVCDEISFHNRSTVEFNALPCKVTLDYPCGELSI LLDDADSDLSFLGVTLRVDCITDCHLLVDPDNCSVVVDTNTSKITDVTQLVLVGPTQE ATRELYEALRDVVAQRRPPCSPAPDTTTTTTRNSSRALINRSEGEYESTLGGDGKLFS IEATPNNAGTTRNNVDASSSPRLQLSNTLPCDRTAHTPVDPRRPNTLPSFSANRKGTQ QE XP_846242.1 MSKDGNCGGCSAPWGKMPQSPMTPLSDARDSPTAPLCSHSAFSK VNKESSEEESFVVGKYQTSMCPICLESFTHENPAVVVGCGHSFHLQCVEDWRQRSPIC PVCMIVLRGDGLPMHTGRQSQRPSEVSPSQRKGRATTSTMTSASSGTTFMVTAGGVHG RVLDTKPPSVVNASAGVEGSGSVVDVGNVSFLSRVRRCMKFCCTCEDDL XP_846243.1 MKPIPVAMNRSVRRAALMTLQPLVCSGTSARCITTGENHSFRPG RVTLFLRSLFVALTPQRLRSRMATGMQRGMERVQILEQEQLWLQRHGSPLRILGLPDH AELPEVRARYRELVLETHPDSQQRVEAVSGNGTERYDMIQTAYAMATNPTSLWHQNGA APTLRRGLASGNSASLHVTLFAVMSYVMMGLVAVIFSLVVVRHLLEGALRLLDPKFYA FMTQQEEEERRRQLAGEVVDTDPKRLAPTVVKRLLFPGRFVHGGGSGDDFGYNNISES TGGNSPAD XP_846244.1 MDTSGTTSSALVIWGSTGRRLQFTRQDLRMPESVKERSNHNFFE KQWDAVAGFWMNRVVKETALQHATVDDIVRCIIKDIERRWEQRKREKALYKRRKRLLD PQGTPAFGVPSPHVLLTNFVSLQMYRHLSLSEGPLDELLRAVLGKVEEVAKEKIINYQ VLVDDGGDACGSGGGGGDRDGEVDLEPVGKRLKMEERRAPTNGEEESNGGEAKEELEP PAFDDHVALVCTLSSKSSAANVVAELHGSVFDSRAIMCRFYDL XP_846245.1 MDFHSLQSTLTEARALASSSKTVSFDHHYELLEELGKGAYGTVW KCCRRHDSLKRPYGVKIINKREAGAKGLRSVMGEVETMSLLSHPNIVRLEETFHDDQT LWIVMEYMAGGELQRALKRDGSFSEVQTRRITMQLLFALEFIHQKGIVHRDLKPENCL LSEGDLVCKISDFGFAVLVGVDQCLMSFCGTTVFMAPEIFSDTNYGKPVDMWALGVMV YLMFTGEYPFLGRTQKETTEAILKANYNMKDGKISEGSSALHDFISKLLVVDPNRRYS AREALKHPWIKLGMNMEIPDDEPKAPKRSGLRPRGVFRALSIAIMAAHRLLYLRYCRM LDSSDCGNCAMLRNFTYVVSGRYEPPCPSLECSGVFLRHPRGLPLLLPMVEVSRTLES LDLSNNNIFNLTFLQQLVKVVGNHPSLVSLNLSNNPIPALAGRGLLRLARSPQSRLTY LGLEGTQLSAEALAQISSALKERLAAAPTLCLSMQSCTGPRPLEQVSPTQSRSARTTR SRSQHGSAHTSLMNPSRSPQSKGPRLPPINSKRRNER XP_846246.1 MGALVSQIKSLLGILPADRKIRVLVLGLDNAGKTSILYRLQLGN VTSTVPTVGFNLETLTHKNITFEVWDLGGQANIRPFWRCYFTDTDAVIYVVDSTDKDR MGVAKHELCNLLDEDELRGSLLLIFANKQDVVGAVSEAGVAEQLGVGALSCRTWTIVK SSAKTGEGILEGMDWLCDKLRERGVVTADS XP_846247.1 MHPTSFPTSSFKPVAFRRGVLPDVYHAATGDLFDHGNSNPHSIT ETLAAMEEGQQQHVAAQQQRVWHSYPTIPYYSPMQGMQLVISVDGVGEASAGDPSDAV LLKSLPKFQEVLHETVAKHGNVYYLSSLPANRNALLHPFITAAPQAATADLDYWRYLQ GLQDAFMKLVELRDECVRESSRDACTHHILLVRVASHPRLKDVALLLSVEKCRLCLCL SASSKVAQQYFTQRAQDVGVELVWVLGSTLITEPECLSMADINPVFALWDVFVNMHLY TTVTDGGEAGSVGGPNGNASMKSGVNNVISRDGTEGTLRHVDITVMSSIRFSGCDSYA PALRLSDVGQLPGGGRRLLWALVGSLRVVMPHFVAALVRSLVEHSVVERFELRFDHGL PGSAASAGAASEGGAATAGRGVVYVTGGRRGIGGRRGLPHGLTFRSFSRLSAEFWLSD EDRRECGLIVEGDPTPLECEFVVDSIRYNRKLWEEQEECEVGRYMTNENGELLQPLRW GRCFQAVIGVERIIDEQEE XP_846248.1 MSGTSSSLGRQSRQSQDSPGRSTPQPPLCPVPVPTVSQASNQLP SPIETQEPTPSVSAPTGRRVEEGFSNAAASIANNVRQTVEYRAAWDLELWRAIQASKL RQQLETQKKKALTELSRLVKTREQQAVAGLEMREQEVSRREQRLAEEEKQMERRKWRL VEMEKDVRLLRQQLVDARRRAGTDAKVEVRRAKEDADHAIELQEQRVQAAEAQAKRAD ERLQQAQRDYLALSEEFHRFRTRELTSPPEKLSTVEARMRSEFVMEQQNLQDRLERRH QERLQQVEDRCSRLQEENSRLTELSIRRKEQLRRSADEIDRLKQLNRELEERLRKVGQ KSLSIDSAADVKERKRCQRGVASPSLEAQTDPDIAALVREVERLRSERRIIVETSAGA LDEDSDVVRSLDKRINDILDQLRAHGTVSCSIAV XP_846249.1 MKGPTDNVAESNISLQSLTPLGVKQLSRKEKRELLQLHREKETR NSNVVLLLGTDLLAGGTSGIGSQELFCIYEQILIAALDCGRVDTSRQYLSLLQKQFGR QSVRVRRLEGLCLEAEGRAEEAEGHYRALLKDFPSDDFPVKRLSTMLKSEGKYHKAIE VLEKQLVYTDVNDEKHTFLELHGGNCLSVYRELSNLHYLCENYTKALHHANEAMLLSS SCYLSHTRLAELYYMAGDHRHSLVEYAQSLRLNDHPNNSRAAYGLWVVANEIIRQSKS STASRVGDEQKEEAVSLRAWAEKKLVDMYKGSPMLSALEAMLHRDD XP_846250.1 MRYSAISSQSNASFAGKSLPTSPGQQELAELIAGELRDVGLEDV LCDNHATVTAIKRGTVKDCPTIGFIVHLDTVDVGLSPVVRAQKLHYDGGDVCLNKEKD IWLRVTEHPEIEAYVGQDILFSDGTSVLGADDKAAITAVMEMITGLQPNDEHGDIVVC CVPDEEIGLLGAKNLDLKARFDVDFAYTIDCCELGEVVYENFNAAAARITFTGVTAHP MSAKGVLVNPLLMAMDYIAQFDRNETPECTAGRDGYWWFSDIYANQNEAVLDVKIREF DAVRFEARKQQLLSVAESIRLRYPTGVVELSISEQYRNIANFLGDDRSAVDLLFDALR SVGVQPKVTPMRGGTDGAVLSSRGVVTPNYFTGAHNFHSKFEFLPIPSFVKSYEVTRQ LALLATKLPKLRKR XP_846251.1 MDVTPVVAREIVRRFGKLTAGGSSMSLTTELASFVLRLQLRNSP FRDAKGDVEMTPEAIETMVEDVANFLVTCSEDLMATLSLQCRTLSLPTKLKAKRHKER VKFETVTLKLLTSLCDNSERLPEELLGEMTFFILHCYGQAEESQSNLPARKETALVLT AVLPKSQVPAFASQPPEEKKRQLQELRRIVWGIRLHNVACGKSVGTGITPPRDKAELL MSSLREHIEKELEEAISACARYVAVLRSPSTPVEGSMREAICAEYHRQLQLLLNIRMA KQQLDTLNNQIFGELLPSYEAALEAVKDVLGTRSMRSDGVSLRKNVSKATVYPKFIEL AEVYEEAQRSFQSFEDIKALMTLSLSLGKVSNSSLPPTLLQEAINLEKEDGPADRCST EARFESIVTASLPTKLDRVFYARDAETLRARSAVCALNGMCPVTLLEDGLCVEGRVGS RDPAFPGFVMRSEVDNERVEWYAFQTASKLLRFAASSQRFVDHAKTLVKSNMVMVGLF GLVDLLPRELYIEGTRRYEHQQEEALAARQTVSTQTGQIDSYIDRNYRWNEWDLRRQA LKLVNLLDMRTHSTQTIASHFRRDNATQCRPPRDDSTQTMQDIAVQPPRTVQYLKGLR GTKTSAIETVQKTFLY XP_846252.1 MRSQVRVIIRTKPTDTVASAFRILPNNVVSVSRPMGVGARGPTA TKAGINRAETAVKEETLTFSAAAVLHNASQESVYNTAVSDLIDSVLQGYSCTLLCYGQ CGAGKTYTMFGTDTFSTRGCVQRAIEVLFEAIRTSTDRRYTVKVTFVEVYNEQVFDLL GKGPSASETAVATKRPGRDKNVDGGFNRQRTGSPNYDVANVPSNSKGDGKATVTISGE GTVVLRGVDERQCPTEADAVAAVSEGVGRRLTGANALNRESSRSHAVLTVYVTSTSLL DSDAVTLVSRMSFVDFAGSERTHATSTEAEKQEAHMINRSLIMLEQVILAVSSARSRH VPFRQSKLTMLLKDSLGGGTMTTIIANVWPQPEHMEATLATLNFAKRLMRVESNPTPN VALDPEAQIRVLQKQVNSLKSELRMQDQFAGRVAIPTAPLESDEINGARDIVMAYVEG SIPQIRVSCVREMYACFSVFKTLLLDMESQLRTAERSASPPPTSSQRAQQRTKNVARP TGSQEPKETPRHVNSTMDANVGVSVGTAERASTALGDMFQQQQLLQQQQQLPQPLQLP YQQQQHHQSITSPRDTFMPSTMRPGDRRISGAAAAAGRTLTPVTSASAAASDFPGGSN TTHRFKLNASSESASMLERDLGKDRSRPHGGRGSAIETRLEPGVAPTAGFVGPSSPAP GGKSLVRLESITRDKRAAFEIYKQTPSGICHVQAINSAQEKLARKNDEMHRLRQKVKD VQGVMERYGNFDPKRENAEPGGGHLPFIVPNGSPTQHVFNETTAGETGAEAMASATDS PGRPTDALDTSELEQLINMKPEERKLHLRHVTTALTRAKTEQSLLTSQLDRLREEFMN NFNYWHQMSLQSLVAQQVDKATIPMLKVDTIPSTR XP_846253.1 MGCGSSVPDVVNPGFAMRVQRQPSTVSQHQSSENFLNARRLRRK SSGIRRSSSGARIDEEDMLSDISSAGSSGRSLLDVHSMLARTSFALEDLEHQRRQLPD LIDENLFVYDDVVVAKDEVNSRQWKAEVNRQPQEMRRSRSGSRRLSMGPRKGKNNVFC FADFKVWVDEMETGANEGMVKVGKQRLSILSRSSEEDEALKGARVSFTCSTRPNAFAV LRARMIAQQEFSKLKLAELGVPRNIRDFRIRTLIGHSARVRCLSLSPNEKALVSCSNE ETYATLRNLMADEERGSFSGHRDVVMCMAFSGDGKYLATGSKDKTLTLWDAAITKVLT VFKHEKVVICCCFSPDSKRIVAGCQDRVCRVWDVMRGAQVVTYTRHCGIIAAVCYSPD GGSICSGSSDKTLRVWGAERGGTRTVLSGHVGVVLSCSYSTDGEHIFSNDEAFLCMWN PKDGVCTMRLSVAEFVRKCGGIPKVRRLGWTLCCSAPGAFTHYVVAACANRFVYLIDV RTGEEYASTFCKAPVYALTRGRCSTVAFGDTFGNIYIQELM XP_846254.1 MHATAETCETPSSSRRPPNDRPDFREGSTLKEFDKSGRLVGYFR CGRMLGRGGFAKCYEVEQGGDTYALKVVDRSLLQKTKTLQKLHSEISIHRRMKHKHIV NFIRTFHDDWNVYILLEKCSNQTLMEILKRRQRFSVPETQYIALQSLSAIQYMHEQCV IHRDLKLGNIMMDANMNVKIGDFGLAAELQYDGERKRTICGTPNYIAPEIIEGSREGH SYEVDVWSLGVILYTLLVGEPPFQTSDVKATYRRIRQCRYEFPSHVDVPESGKELIHS ILQSRPDQRPTLLEIRSHPFFRLPPPPTTAPTTLFYSSRRRQHSDDPRGHAQGPLPLR RQKSGDIQAALQKQTPQRRQPQSQPKSVEAVRCISSPRVSREVLQPISTNLPKTDRYH LKPSCPAVASARFHGALGGDCNNNNNNNNNNINNNNNNNINSAAVSIPSPRADAIRPL TQVAAPGGADESAETTATTPRVQLRPHPAIEEEEKNELTAVHDQLHQTLREIGDISPR EVATRTQTKRSPQVAPLPVPNSPTTSTVPALTPRCEDEPAPSLPLPTVWVTSFADFSE KYGLCYRLSTGHTGVHFNDSTKMVWEPITNRVEYYMRVKEVVARGNANVLQARDQQHA FHMDMFPESLTKKVTLIKYFKSYLSRTRNSHTNVEVVRCSPYVSEVTPSLSGPHMIED IVYVKRWLITPQAIIFRLSNKTIQVCFHDKAEVILSSESRVVTYTEPTGNRVTMSLSS VATRSREIAARLRYTKDILSELIQNRDI XP_846255.1 MMRRTGEMHADPNNFTTPLHVGRCGDGDSCDGVSLTRSTQTDSI LARRWDFVTLNVGGTPFTTTVSTLCSEPGSLLAVMVQSELRDSFHVEKDGNSAILLDL DPTYFAPVLNYLRHKVLVIPPGVPEAGVLAVAEYLNLRSLIRRMEPERPMRRQLLFSW GSGSCGELGTQRFEDCNTPTFVQITPFGVHVVDVALGASYSCVLASDGNVYTFGNGDW GQLGLGHTPEVEQHPEDTTTVVTVPQRISMFEREPAVHVAAGYAFAVALTADHHVYFW GNNNHGQSGLGPRSFHCSLRKVEEPTLVTTLEGKHIEQLSCGSFFTLALGTDGTLYSW GLLDCLGLGSEAEVRASVTDISIIGKSLSAEERTVVLEPQVVRVPTEHKLVRIHAGQW HSGAINAAGELFTWGVGYQGRLGHGDNGPVMRPKKVVGALTGRHVVDVACGSFHTVAL TDRGAVYCWGDNTSGQCGARPTTDTITSPYRVVNLEFVAGGVARAIACGRQHTVVVME GPQSWCRRPCCQLGPDGKPKGTHGQVFTFGGVTCPEGSVSSGASSGNMNNNNNNNNNS NSSNQHYYNVGSNNNNNNNASNNLGGCKTQGGMVNRQFRLVPGLEEMNVTNVKSGLRH KFVFAEDRGDDFPTT XP_846256.1 MVPLFKTPKRRKEEDQEEETNKTKQTFFVRELLLAVVATFLLKL FQNPRNDFEFFPFSCVFPPFIHIYIYINIYIYVFHRNVYIFIYFFVCLFFFQDVAGIS AFCFIAGREIDTIKLREGVLITAPLFVPSRSYFSSLLSLYHLLLSLLSLPLPLPLLLL LLLLLFPLCLR XP_846257.1 MFHSKTALSCGVREFLESQGVRNARVSIELFPDIRGVNGSRNTT ASSIAVSVSGDEHLPVLDQQQLSPSFTCATTTDASRDGKAVQKTAAAASLCLSSTTAH SSPGSRHTSVVLVEEQKTTEQPVRYTPAAATPVFSILSTDVGDVTDRHGASSQGVSNV HVVDVVEVEEPRYQPIFGDAGMEANPPSILISSSTGLPCRNSTGQNINNSKADSLKLP SLAEKGDTVTNSNNNSSDKLTHEENTSGECFSRGKDLNSNGNTKRKELRPPKVYSGRV APCEEDSKKRLQDLLREQEAMERESLEGLSQHDKIVKEAEKYLEELRQVWHGSKSSNG EQPPRQHLSAPNARPQSSSRGPKNPSTAVVNLNEIKVVKFTLPTSAKAKEEVLVQLRA SAKGAQHLTNKDKFSLLLREQQAMLHDNASTEEEYQKLLQQAVSYHERTN XP_846258.1 MWRRAGANLPPIIPALTGCVVPFPVCSPRRVIHGEVRHHNTDTN NTRIPWDFTMASYEEINNVILPKFPRSRRRSAIIPLLHLAQRQQGGYIPVTAMYKIAR ICEVPPMQVFETVTFYSMFNRQPVGKYHIQFCVTTPCMLCGCDELVHRTEAYLNVKMH GTTRDGLITLGEMQCLGACVNAPMLVVSDYSRPPHFSYDFVEDLTWDSVKELIENLRE GRPFKVGTCREDRRWSEPAGGRTSIFMKEPPKPYCRDLDAKPVQPGASTGGAAKK XP_846259.1 MSLTGDDAVPQAPLVGGVAMSPEQASALTGGKLGGKAVGPAHGK GKGKGKGKRGGKTGGKAGRRDKMTRAARADLNFPVGRIHSRLKDGLNRKQRCGASAAI YCAALLEYLTSEVIELAGAAAKAQKTERIKPRHLLLAIRGDEELNQIVNATIARGGVV PFVHKSLEKKIIKKSKRGS XP_846260.1 MAARALFELAQGTLSCPVCFDLMDRPMCFRCGHVYCANCADRCI SGRPMCPLCNSSVQNIRQARPLPALAKLVSFVRSLGGAADVPHSQGDTTFAVAAKGGG KATNNALLAPPTTDNAPVSSFPRVNGADPQATAPNSSLDPGETEPSTPSTPLTHLHEN GMSLGSQMAGDPTVQPSIAGEGRSQPVSTTMPPLQQQAQRQTWPSAEEVLPPPQHQPQ SYRVEVERCIIRVEGAGGYALVYQGTCALCGLDISDRQQVSKLLRHVLTMDPSCNEGQ VRLVSQRTLGLRLGPLWKLRFGAFGQASPSLSNGSDVNTREGAACGGQSDGGPSSELP AVLLHQTCLEWCMLRRRIIEGANALTLNVAATVLQSLHAGDSETICSFCRIKIGTCLV PCARCGRRSYHYPCALLTGAGVCQLSDVFGILVCVECQGQH XP_846261.1 MSVEASRPTRSQDPLPAAVIREFLEMHQQCNDQSLGQGESPTGT EKDGTRAAEARVVSAPLRVEVETTHGYVYEGRLVAMDEFYNVTLTEATKRRERLCDVE RSLLTMHGFPAPAASPSTRREYVGSVLVRSGNLLMIRFPQGEGNATRVLHGAFKSMVM KVRRQINLERQKNRMERRRRKQLPVKPQSLVGKAGDPPKRTKAKKE XP_846262.1 MKAHTVASGTAADTVVPSYVLYSPQGSKPLAALDIVGGSATCSY DKTTRSQLLRISPSSHVSFLATTASRSRGGHGSAGIKSGGHGSALASQRVIILQLFCE EPTRVGFTLTIELLLQDRMGRRRIIFSNSFQKLQRCAQHVKVPLKCATPRVWSNIVFD VPRIHAALYGDSGGRVSPPELFQSRKLLQFTLTCSSVCRVRRLLGMPQTPPIDQDGVV EDVLAAEWPLSLRLPEEVPVNWRIIRTCEEDFLVEPQPEPQQLPSQGIGCASTGGTEE LPYCPFEAFEQLASPAPIKNGSSGSPPLCPPRTQPSVAASVAQTSSPLAVLSAKDSVT RVAKQRHPGTSLRVDGHRFSGGGPSTHADDPRHFPFAEVNFDGDRRTREQLAHSNFSS VPRAGGNVHVVAEQGLVVGSRSLPLERRAQVQTGGLKATKVSQPLHLSSAIENVESTP QNLFSASEGQNSLNLMRERVRRIQELIGESIVYPDRSYGSNSALSRGETASVPRIDDD GLGNEVQNEVPHSYSSTEQMTSTVASFNHGVQQRLPCSQRSQSKRATSGSGVAADPVY STDAREVRGGGKNCNNCASPTCDETDEDERFTVNIIRMSSSRDHPAIVVPAENTPELD AKPPMAPRSSVHNVDRLCFDSFDAGGSLSPLPEVGEQGPTLEWNDLGATPQAEKDTPM VSSSLLTTPVEERSGRNEGRVVIRAGRLGGHAAFAPTGVPQSTFATNDAIEGPLTQTP TQSLDHSVVTFHSSQRYNSALGTATTTVTPLHSQRCGCSVDEESSLSAVDYRRTPASG GTGFDPNRRFMVLDSGRGGLGDCENTARRNSCSNFIPRGREDLVSRCASLPPLSPTPS AHSRHQQQTPFPTSSGRSTMRRPVRNGVPNAVAAERATRETEVRDSGGPFASSGYVSA VHPTAVTSCGNGSKHRQGAPAEKVDEYLVFDPILKCYLDIRSNTYMTGPTQMPI XP_846263.1 MPVANEASSFNVLYALNKCNPKRRRWMNGWLYVNFDRATLVDGI SRKAVGVVSGTGLLPNKVFKMMVEADNGAAWEMGTEVVIGQYITQIEGTTRLHLIPYT LFSGVDCIDDNAAEEVSSVELAGSSMTSRVVNVTAGFSATRADAPLSRRKTTEQLISE LRGAYPQIFI XP_846264.1 MSSGAVLAAKIRKNRQLRLLAAQRRHIRSRFKEVFGSAKVRTTR LNSNYFESNVYLRVNALCSLHIASAAAESAAEAEERAHGAALSLVNTKAGLRHMLQCV DSDVFAELDATLKSMDAKVVVHLLRVPDSEGGDTLRSEGMPTQFMTTVTAELPLSDAE PGGGWEELSQNEPTGGIAICRGEGGSPRDAIKVGFALALEELDRYAVEELESREVEAW RFLNCYEEGLRVYDWDGDFLSLYLCGSLCASQETTWWSSLAHRRGAQRVKLLSREGWE SLSLVAVDSVENETELRLPLTSPPEAFRRIVLSRNGSAVQLQYGSALAWPSCWVVGSV LMRLCGVLQSALPTCDTSRSPRGAKPTPMLPLLQGGVSFRGARALLGLLQHSPSVMQL SIERGLFALNGLAASRFVSITRRPCLSGCTLPVIALDLVPASGSGGPLLVSAHLRIVG LPHDESGAYHYRKFLEEMVATSGSGTQAEQVKLSKSQDGRSFAQVGLLGNIWRLICTA AAAVAPDSTTTHRDFTLWSPSVYWTSDGELQKHRDDQNNWLLDHIGELFSRDAQVSEV SIPGDVLNKALLITSESLGMQSLQLCHVVRDLMYTFGHFLYRCSLTKWRTVLSTTDST VFQLSVQDTVQQQQYEQSAFYCPDLLLMLQDIRLALRLPQCSFPVLEFFQKAVHDVPL RVRQIAAGNFGDVDVYTRIVAHWGTNLRVEMESGPQHGVCEKVPVQPDNEKNKGPLRL LFLVVSALYNRVFPRRVSVPLIGLNSPTARRSIDFLLHSWFMAPPQVRCFEIDTRAAR WLLGKDEEVQLVADYIVRAMLFYDFLGQRVLFAETHAPTVEEAVRRVNELAVLLNMPD CDNFPRRPGGCVTRGKTSGAAIGYCATTDMHVDSEVAALLEALETTTRTQPRVCFVST GAPSSPCVEGVVEVLVSQYDIRTTATVKESRGVIPSLSLACREALRIAARVVPGVGAL NVESLLQVAADAAPLLPRDGFPHFDARFYTPANLLGELLQGVAGRYDCTYTSDTTAGE IVCSLHIHKISIYENSAHASPFFLLGVGRGRTKRDAWAAAAVQALRGNFPEFQQQLDR HRALCELLLRPNDLFLLGLTSGFTVTVSRRAEELGGGFECRVKSVQSVTASGDGDRTS ELVITRNATHAAHAYIEAVDSLLLSLRRDGRGGVVDYSSTGGGGFARWDPTSNYAKSV WHACYGALGVAVGGKVALRFERVCEDDGDYRNNVPVVVRLVVRTLTPEKNDETGGKDG DGTRWRTAETGIVSVHQHCIAGYNHLAGASRGRPASGGHVLFVSTRLLSEAVEKYVDN HTREEMGWLLSLLQWRKGENNNCPRLNIKRRIELLVELTFGCQCRVVVNPSNGASLVA QAGIWLPGPSVRSPRVPMVIAACSARTTAQALLELQQEVNLMLEPLWAMTTQVCSCSG GAEELGSSTVRFPPQAASTA XP_846265.1 MRYMVFAALLLLVPFLQVTEGLSTIKTRPQGPYCGDYFSIVEGR VDFPVDLDTFDISLTISGDVEECKNEPYVYDEVSGNVELTNAQDPGDCLGSILRRNQL TLMVTYHPDDDKISLDFGIAVVNLSPCP XP_846266.1 MSGGLPRQFVLKVERHVPVNKGMGLAYPTVPGGSDVADADATPH AGAGERHQSNEAGGDMASGTLKDEAGSIHLSHEKETQTEEVPLPTCEANACDVDGTHC LSVDALDMAVRSNGAALRQMRSSSHLTAAFHTSASDDYGDSISPNPSDRQSFIGSLRV SYVELMSGESIPVYFPPSLGTSGGVEGKTMTLSEDLGCENQ XP_846267.1 MSNAEAYDKKLKMLEVKAQGLLRDLKTTADPIRRLRCHTEAQNT LNEIQQNYQLLKTEIQLLEGDEGKLYKNAEQEHAHELSNLKRMLQLEKAPVPVVPGDQ SSSMFGALPATGDRREEARRIAQSVVTIQGTTLGSLAQAERALHDTEEVSVNATTRLI AQTEQIRNIRDRVEDLDSEVTRARKELNEFIHRMATDKIIICFYILIMIGIIIFATLK FLQK XP_846268.1 MSDKDDGGTSSPIPVVTSRGTCAVKSEFLRPAPVRVTLDTEGRT RGMNKGAERLRCDVVEGTQRPSLEGESNFFHGEVLQRIKAVVRGKRKDTKRDRREDCQ APSGEAESTAGKVKHDDEERGTPALQQTNTDESHITVKSAVARASNTEESMRERSAQH KALFSNKLVLAPLTTVGNLPFRRVCKEYGADITVGEMAIVHNLNRLQKSEWSLLRRHS SEDIFGLQIAVSRPHDAITWAQAVEESGFSYDFVDINCGCPVDKVVLSGCGCGLWERK GNRLRDVVQSLVAHQSKPVTIKCRMGPDEENPELHKHIADYETWGAAAVTIHGRSRRQ RYTKLANWSYIDNCASLTSLPVIGNGDIFSCEDIVEHRAQSKNVSSFMIGRGALVKPW IFEEIKTGRVWDISSHERFEMLRRFCNFGLSHWGADERGVLNTRRFLCEWLSFLCRYV PVGLLERPPQRINERPPYYEGRDELETLMASDSAVDWIRISEMLLGPAGDKFRFTPKH KSNAYATGGSLAEADMDVEG XP_846269.1 MTLTGNITTIFCVLVILCTTVVNAIPLEWSEPLAHKSPHQRVLQ EDLLPHARFAPTNASTTAHTCRSGVYLEWSTRVGSSVLATPRIVDLNYDGNKEILVPT YSQYIEAVDGASGADIAGFPFVHPNIKTYASPIPVDILDDGATEWLVAMYTGELLLIG GEGETRGGVKVPSLPIKKRWFHRNISNSVPANGTLAETPAEVVWMQETLRMKRVNDLV FRERQLNSTDVIGSSKLKGHDSAGEDGNGESTDDKGGEVLPRKTVHGAVDQRPLNQED AQRRRKMEKIDEMFEFLDPEDGAVEDPADDPNHLGSDVSKDPRGIGTDGWLSAEARES MDLLYHPELYKSSINFEEEYDPFNSNNLLNPTNVATAEDEVAVDPHIMSTPVVASVDG RGETDVVLHVSYYFDPRDYERRGVELSQDGAQVDNYVADVLMVVNLARGEVKWLKVLH LTTKNDTAPAFAFSSPTVTSINDRKQRDIFVTTTAGAIFGFQGDGMQLKGWPVWMNSS ITASVSVEDVDGDGVADVCTGDALGYVACFTKEGKKIWSKRFSGAVGDHIAFGDINGD GDMDMAFGTATGLIYAVKGSDGSLLPQFPIATEGPIVASPLLVRLDNASDPKQVDEKG LHIIVPSHDGVLYIVKGTTGCVDAIDTDEKSSVMVLADDVTGNGMLDLVVSTLSGSIM VFGTATKFHPLKAWPSRVKSLNGFTAAEGHIGVFIHPSSRVPRDIHGDKFSLTVTIYD DRKGKDTGQLYNLAFTLGPHVSLGRYLFDTPGTYAIQMHSPLVRMYGTVSVVLTLPDG QMYEDTLALSFNMHFLESVKYTFLIPFLAVWLAHSFVMEKHQVPLTG XP_846270.1 MSANTQRMLALGIEGSANKIAVGIVDRNGNVLSNERETYITPPG TGFMPRETAQHHTAHILRLVQAAMKAAKVHASDISVICYTKGPGMGAPLAVGCTVAKT LSLLWSVPLVGVNHCIGHIEMGRVVTGSENPIVLYVSGGNTQVIAYAEHRYRIFGETI DIAVGNCLDRVARLLNLSNDPAPGYNIEQCAKRGRVFIELPYVVKGMDMSFSGLLSFV EALLHHPLFLDKEKCAPSSASSPSTGQRRALPSGVQSAVAEQFGIDDICYSLQEIMFA VLAEVTERAMAQCSSNEVLIVGGVGCNVRLQEMMRQMAESRGGRCFDMDARYCIDNGC MIAYAGMLEFTAGGFTPLSSATITQRFRTDEINVVWRT XP_846271.1 MNQSPFDHPRSCHGKEVGSASDTAARSACTRCRGAATHGFAVGE TVYAPKRSEGKPLRYALAEVTGIQATARTVTVSFLGTEPGVDDEAVPFYTVVPSPGEV IPTVDNTNGGAYFSPFRRVGKSGAGAGSTLNPPRGKGGKTRVDPAKNSNDNELSGVEV CRRNAEAPERGIPTLCQLAEAFPSDVQQRNGWRVLCVPHHHDESFNAFSARAFGAACL TMNGCLKSAPRTTGGWVVLSVFTTYDSLHHFDVYMTLRGYRVCLADGTVHPTGFRGGP AVSSIQYDERETLWLCILADDIANEGSASALVGEWLPCGVQRQHPNAGKCGDNLIKVG VVQFVEEWKKEKVESEPWMESDDVERAIRKLLAAVGADAHTLIKCHLPDHVGEDELVI SSLMSDGSTVIGSKWWKQSRSSSTVRQRTRPTFELCLPATSWQIDLLRALEPRGGLIK QEDTDFPPPSASNAADNEYARGRVSSPTPLQAIANGDVFNTALSRAVACGEGAGLLRD LQRRVVCNAGFLGEEVSPLFCAIHAVLQDVLPQPESGTAVQWKRVVICLPGVSNRASA SVAYRHAIQRFLYPWRLHFLATDEWQRTSDSATMESLSWLQMGGVMLLSMEEPLCQLS SLKEEADIVIECGKCSATPRTPRDGVTHIVLFSEVEIPGGPTPLLSSWRPANKAIDRA LEQAVVRRTMSHTQPSVGTHIFMKAAALIEELDGKENHQTERRMLSRKRQTVEDEAWV HLRKFAVHLLVCSCHFQETR XP_846272.1 MSSTRAALLCIGIAIMYSTGGDCFRLYRITRQHKCNELSSTFNE YSHAFTNSWRSFQFSLNTARSAKKRCESVMNISQDKEVSESINEDRKKKVDKYYDAIL YIEPQIGNYEKELHETLAEVHEKCSFWFLYLPMSTEFLESTNSRLAEAKKILEKMIGL THEANGYATTAKNMECSFELVGQKVTEKERESDSSDANAAPTTPDSTSQTHSPKQPVP PEDGDKHKTHGDDKKHDHKKHGDEDGEDEDHEDEEEGEEEEAGDDE XP_846273.1 MLIRAFITLAFLFAQKVAETSADANKPCSTACGCKKRLHARLGL YTTKLKEAKQTNHQNLKEYNSLLLAAAVGSPLDTTIILPILAGSGELIQQCNEQISAA DKAVAAATIKVGEASGVYNVIHKLKDGMGKLMINFGGGDLQLPASKFTSRTLGGINAN ACCSSNNGEEGVKTDLEHEPKEPEPTKLITHAYLEAKCDSNPGAPATCHNAAVDQDTQ ISIGLGFGNGAKDEKSAWGAGSHTKMHKIASTAVDFFSSNETAAHQALKALATDKTGL PACHSLQDFGAMAETRAFQLMLLKLAAGKPEAEKVGPDDRQDIDSAITSSYGKSGANY KDKIWDQIQKTEVPQGKQTGEKTAALEKGADIKLQTEALARLFAKEIKEKKQAKTTQK VSKTTDCNKQTEKTAEECKNLGCDHDAENKKCKPKAGTENTAPGAGATAGCTKHGTDK PKCEGDKSCKGNGKECKDSSFIVNKKLASMNAAFVSFIAF XP_846274.1 MLGDRKTQTTRLLIAILVLLTALPQWVVPAAHMGLLKATWQPLC ALTKELDEVPGEALQEVMAILSKASELEKEAMMLKIYSALTESESEAKKATTVAAHMR RLAHSQRQYFMTIDAQKFLAASKASAYAKGRLDEFLQVAGSMKDSSNRGCLLAGSNQN GLKLTDGALDGVQCKNSASQVAATTRATKTITAAGISGPIHGQESSNRIQHSANECRL FGKTHANGLGHTAALENPKLVWAGGYITIDTSSGDDGKVTLTQLTPAGTQTRPEAKPW QDCIEAVGAMPTAGSPAYTNDTAVLATSESAADLFGRVQKNIEDGTLSNTKTEITAMF GDQPEVQVNKLLSNAANYQLKEKIGGKNKGTKLNEIGTAAELEAVLAAVDIKLIETRS KLIKQLNEEKQKKGGDDTTKTSETDETCQAKGTGDKCTSPCKEVEEGGKKKCTLDKEA KQTAEGAAKQEGKDGKTVDCSKLYTQEKCEKANEGQATKVCGWKGENTDGSDKSGYKC RNGSFLVKKQFALSVVSAAFVGFIEFKSSKDNTNFRLIF XP_846275.1 MNLAKKIIVCLFIAILCNVGGSVALSTTRRLRYCLGLKVSYGRL NMRLVNVWHRFDRATERAEVVKKRCDAIVKSNEQKELVDAVNAQRKKLADQYYRAIKY IEPQLSNSERRVRQLFTEVVKFCGESPERPVLTTKAVFGLKDNFLDAHEYTVRAETLS RNAEREAEKAEKEKCTSEHFWEILDEDDEADAVGGGNATSPRP XP_846276.1 MAMRLARGATVCLVVSMLCSVQGGIAFSKRVVRERYCDSLRRSI NSISGRCTALLQALHGSRDRAKVVRTSCEKAARLSETREGTENANSDKVKLVVEQYNA IRYIETQVIEYKKDVRELLNGIRKHCGFWSSFMPVSSELKREARVKLWKAKSLVSKME VLSREAETSEVKARTTQCSSAESKNALGGEGDKKPEKEGGEPNDGSAASETPEGTAPP VGGENVTSQ XP_846277.1 MNLAKKIIVCLFIAILCNVGGVRGFSLVEKKGGYCTKLKATVEE LGKRFTDTWNVFEDALHKVENVKENCERFVKLSEEKEVSDAANSDKMKLVNEYYNAIM YNERQISDYEKKIHDLLIGLGKHCGFWLSFTPVSSFLRDITKRHLEEASELISKVESL SENAKSYASHASGEWCSAPATDTQEEVTRSEAEPQSGGDSLQDTTPTVGTRELDRATS TTSSETREEHAGTESAN XP_846278.1 MHVLWCIVLFFVVHTSYVVDASSFFNRWESRARYCARMKRLLFD INNRLEQAKRDVKRSLVNVREEVVNCRILDTSGRSTFAWERIKILDGYNDAILLIKRK VDDHEKKVHELVGNIKKECGFWVSFTLLSDEVHRYTTTNLLQVKFLTARIEALSFDAR YYGENLKEGICTFLDPGTETDEMSTEKEVNRTNKTKNSSPTSLESPKTQGTPVTPGGL VDPKEGAGSEESAGPKESAGPKESAGPKESTGPKESAGSEGSAGSEGSAGPKESAGPK ESAGPKEGAGPEGSAGSEGSAGPKESTGPKESAGSKESTGPKESAGPKESAGPKESTG PKESAGSEGSAGSEGSAGPKESAGPKESAGPKEGAGPEGSAGSEGNAGSEGSAGSEGN AGPKESAGSEGSAGPKEAAGPKEGAGSEGSAGPKEAAGPKETAGPKETAGPKETAGPK ESAGSEESAGPKETAGPKESAGSEGSAGPKEAAGPKETAGPKESAGSEGSAGPKEAAG PKEGAGSEGSAGPKEAAGPKESAGPKETAGPKESAGSEESAGPKETAGPKESAGSEGS AGPKEAAGPKETAGPKESAGPKESAGSEGSAGPKEAAGPKESAGSEESAGPKETAGPK ESAGSEGSAGPKESAGPKKTAGPKESAGPKESAGSEGSAGPKESAGPKKTAGPKESPG PKETAGPKESAGSEESAGPKESAGPKETAEPKEGAGSEGSAGPKESAGPKESAGPKES AGSEGSAGPKETAEPKEGAGSEGSAGPKETAGPKESAGSEGSAGPKEAAGPKETAGPK EGAGSEESVGPKETAEPKEGAGSEGSAGPKESAGPKESAGSEESAGPKETAGPKESAG SEGSAGPKEAAGPKETAGPKESAGSEGSAGPKEAAGPKEGAGSEGSAGPKEAAGPKES AGPKETAGPKESAGSEESAGPKETAGPKESAGSEGSAGPKETAGPEESAGSEGSAGPK EAAGPKETAGPKEGAGSEESVGPKETAEPKEGAGSEGSAGPKESAGPKESAGSEGSAG SEGNAGPKEAAGPKETAGPKESAGSEGSAGPKETAGSVGAEGVSVQ XP_846279.1 MNLAKKIIVCLFIAILCNVGGVRGFERRESRAAHCKKVKSVINK VNNRFSESWNVFDRAFNNTLAVKAECEGILKHKKAKDVSGATNSEKLKLVDESYSAIR QIELLIGDCERKINTLTGEIWNGCGFWLSFMPLTSSFKRTVKEKLLEARSLTIMIDKL SLGAKNHSDTAARNRCVLVGTENVLAGEEEEKAKKKQEEPKSSGATSQSPKETVTTKE AAGPKESAGSEESAGPKEAAGPKESAGSEESAGPKETAGPKESAGPKETAGPKETAGS EGNAGPKESAGSGGNAGSEGNAGPKEAAGSEGSAGPKEAAGPKESAGPKEAAGSEGSA GPKESAGSEESAGSGGNAGSEGNAGPKEAAGPKESAGPKESAEPKEGAGSEESAGPKE AAGSEGNAGPKEAAGPKESAGPKESAGPKESAGPKESAGSGGNAGSEGNAGPKESAGS GGNAGSEGNAGPKEGAGSEGSAGPKEAAGPKEAAGPKESAGPKESAGPKEAAGSEESA GPKESAGSGGNAGSEGNAGPKESAGSGGNAGSEGNAGPKEGAGSEGSAGPKEAAGPKE AAGPKESAGPKEGAGPKESAGPKESTGPKEGAGPKESAGPKEAAGPKESAGPKESAEP KETAGSEESAGPKEGAGPKESAGPKESAGPKEGAGPKESAGPKESAEPKETAGSEESA GPKETAGSEESAGPKEAAGSEESAGPKESAGPKESAGSEESAGPKESAEPKETAGSEE SAGPKEAAGSEESAGPKESAGSEESAGPKEAAGPKESAGPKESAGPKESAGSEESAGP KETAGPKESAGPKEAAGPKESAGPKEGAGSEGSAGPKESAGPKESAGSEGSAGSEGNA GPKESAGPKESAGPKESAGSEGSAGPKESAGSEGSAGSEGNAGPKEGAGSEGSAGPKE TAGSEGSAGPKETAGPKETAGPKESAGPKESAGSEESAGPKETAGPKESAGSEGSAGP KETAGPKESAGSEGSAGPKESAGPKETAGPKESAGSVGAEGVSVQ XP_846280.1 MVFARGVTLYILVAGLCSIGGKSSSHWGRISRSEYCTTVAKSVR AINTRYANAWHTFEVALKRTSVVKGKCDEDVKKVSDDEITDVADSGKKRVVDEYYNAI MYVGTEIRNYERDLHKLTVYLKDRCGFWLSFMPVTISFKRSIEETTAEATGKVSKIET LSGDAASYAADAANDECSTVEDKRREKEAGAGEDTSGKQNEETSDGPVPESPESPTSM DEQREEKRSTDTAKGDTDSSQEGLGEKTADEEPAVVAEPAVGKEAQAEVD XP_846281.1 MFSVEFVSGRPPMSAPYYAIVVREPYVVGRASLCDIVLNQPDIA AQHVSLSVMRRSNAKKLIEKQSKDDANVSICERSFPDLINGDDCGASGDSAIMKACNM GSLDSVVDGSFLNDTNNCCEGRETQSSCCGHNALTHDHGEKKSTDTKVVKERNPLVVL VRRLPDGGRVAVGATEVTDTQPHLVMDGGQLSLGDRVRLRFRFRPLVVTVSRSSLSGR QACDLECACYRRGATVVPGLTPTRELDVPLPVGLLHCTSALTHDDPACIAALACGYTV VEPGYIPKWFATLARNAASPLAATPLPACSGVPILHGDDCSSEAEYLRPECDESPFPL YPISSPARLSRSRSALFVGRIFFFLTSAVENRYRAAVVTCGGKVQQMEEVVFAVAALC ADMDGRWITNNQQDDAHLELASLKGACYYAVLDRDTELAWQNGDSPAQSALRNLYSEA QRVGSKVTLLAERSLFQSLLTNRFTVIEIDLPSFKEGHRDGRESSRGGATLNGRKNPL GEAPLAAAEDIFSYQSAPDRWNVSALLEVLKKKQPEDTLYTPRRRRRNFSSAGRASIS PRGENDISSVVSDCDLLCQRVRELVRKEQPKLLEIQAASRRNFFVDPGVSAQVQECRT KCLRYIGKAEDLLLHDLRNPSIKSDLEGCISNCREVLGVVKAIVDITSSGVQGKRESR NRSRSSTSGENHSQVTVSSASSRMHTLGQVPPSTAAPARTGTGSSEVARGTSQRCSPF REVQTSPKLTAPSLGVGGTKGAARGRPGKRRHRRTTAQSPNAKPTETEVAAVEPPGLC VGALRSPGGSPAPRGSTLSRSTGEIHEPGVMRRELQSSKGMPSTSRSHTGLGPITKAT SVDSHSHAVGRTSSSSLWPSAPRIVSSPRVSRVAHRPQKVK XP_846282.1 MTTCLCNMTFMYPFHGHLKVEEGKHSKGRGRRRNYCYREGGDLC EEQMTEAAPCFVTESGVRFDHNVERAEFNQYWYSIHTIDALIGEVRHHATACAFLSTP SLYFAMIAADKNGGDGNTEEASKGDSNAKSALVRDSRLFEYDKQWKDDTGFVFYDFHR PEEVPVQYFGAFDYVVADPPFITEDVWTAYIQTAKLLLRNGGKLLFTTVMENHTMLEG LLDGPLFIATFRPAIAHLTYQYVCFTNYRPTRLSQVNEELPPDDPKIVAAIQMANDLR ESEKAFVAQAQQRDRRGEQPLPATLRTNTVESALKGDTATGREADIAGIPISAMKWGY IPDGLAVYANGDHFVPSAAAVEGAGCSQEEEVDYGETYSLVAALREVIDNFKRHVDVL QKSLDQMLKLQLKRAKMEAQGDNAAEAAASATAAAAVNEVDAALVSCKEQFDGMLEEM RGMIENIETMETRLADVGGEVAVTYVGAMKECVEAYANVPLQKQKLNELAADATRKYK SPLFNRMKELLQWIKDIKKNYQLQQRNGEGGK XP_846283.1 MDSDTIYCDESSVASLSQPQGRPALRLDSLPPTPMVLTPCDSNV TASARKNQLKQQRHQHRSSFACTALTSPSLSQSDFIPETQSLTPPPRPGAVGPASGAC DNEVEGGMDVSEANDNACADSSALSSGRPPVFSISRELNSTQSTEDRSSSPSSLLREP RATITPRNFPHLQLSDCEVSQGDNDEHVPDSQGSALEQEFQACLEGHGECFDAEAESA EVFGRQPLITSQEVYEEGCGSNAMRRSMLLPPGAALGAMSGGLTPPGEPTIHEEQLRP GCGKRVRSLQSSLLLCNGGISPLVGGASEVGTQVTNAPDEEDERKRFRPDAEAAQRRN EALMRTAATDVQQTQLSNSCLSGSWDVRLSPATEPRGRESLLLVSGSTPTPSLQVLTG TPVRDGAGCASEILDTRDSANANTSAPASTAPNNETSLVGSDYDSVWPRNVAAGDGTG EGECALRISDTTEECCDCKETLGNKAVGGFSGVGGNAERGPRSTDHRTVVPDGEGCAE LVSSPRLSEEFRSFVEGARSEVRRASERLLAKLEEVSRTYSGSNVDGGAAADANGPSE AIQSASQDAVVAAEGRRVVRSLQLSLNRSSVVLGELELAQGRSTLSHSGSRGDSINSI LSDTSSLRRQLATHAAAISRRLHGYDLDEAGTLPMIVVVRVVQQVLMIGSPSSVAPNS EEAADLSMCIASPSPVTKLQHQKSQSPMSVASPGTLHTISSGGVSSPSATHRSLWERM LNRRKASAMEDDAMKMYIGLWRCFHECFGERGVMERLGVQPSGEADDGSDGTDSEARL MTPTCLPLLRQQLNRLGCQQGRHRYHPPPLDVMVNYRVFAHSLAELW XP_846284.1 MNDAAVAGHSGGFHRPNPLGEDRSPSSSIGSVTGAAANDGAAAG SERPISVEAKAAIEYGEGYRVILESMGEPGYGAMKALFYGEEGPPPSTIPAISVSFEL FESVQTRFVNTELVLERTRAELHTRCQELNETNARYEQLQASYDVLEKRSLELEKNNT QLHQSIARQNISADQLRQQISRLKAELGMFEDRMAKRDEELQISNNRVAEGLVALSLK ETIITNLRRQIGMASYGRRLPHQQLMHEGGEYSAEALKIIAEGVEGNSVEAEHRLYTL GLESEIRKLEDEKKYIMMRHTLYRKHVQEVTEAYETLCMAKEDAVLSHVCVGPPAEGT QEETESVPTTGDGRSTKFDSTQDRLSLGRASPKSADGATLQGAPTSVVPAQLVARRDV FTDDEGSVERTPLIASHSTLSDSEEDVPSAEEAVPKTKSKKKSNVLPPSVTSGRDSRA SVSWDKLATSVDDAALPPSSKGRQSGAAQPPKTKGDLFSPLGLPRPDTGSTSSPRQSL TTRTTEKSKKKSTSKRPAKEATASKSGAAGHSGERAASDLSFLPSDNTDARVPSAGSD STRRGAHGESRTEKQSRRDDASQRPFSGGSTRSSDTGRRRVTSASKRSLTTAEDADIR ERRKTPSERRTASHSQTSRGGTATTRDLSSHALPPLGVGRGSSAGAGGEATTDDGERE NSQETRSLSQTMELMARMSPLSVSVGNHVAELARHYGLLHQSVIALNELLESLSLRGS TDSTKTVKFRAPGPNTNTVVLTEHMSEVVLSDTLVLENFLRHVRGRLPSGGSASDTTG ERSSLSSSNNLAPGSPRGGAAPKFPSKGTGASQGLRAPGRADDTGSPQAKGAQQARKV RAVAAASPVTSVGGGSTRSAASPSNQKASPVRGSGGQQQPATGGDGDVRTTAKPASRH GPGQVRGRESSGDISSDERYQQGGKTDLPAQVQPQSPRGRKVSSQLSRSGGGRSSPKS FQSHDSGSLADSKRRWSKGGTAHPIQPSHGTVGGGSSVFSSSAVEKVDTPPDTAPRPT AVPAKRSAPGSLRGPITDAPQFGPGTSDLPCSDDRDTKVSESTGGATFVPFLSEASGY VRERSPPPVTLTRRGVQRIPWRASVIRVDLLTDIPEVKQRDRAPPARFTGLQQNFSFI QQRGTPWYDPGTPLLEGDEDQYEPREQRLLHAYTFTPRTAEQIFAGIGRGRERGSVGA GGTGYSERTGYSERAGPGSVGYGSATGRDARTVPGSFGYGSRAGRDARTGPGSVGAGG TGYSERTGPGSVGYGPGTGHSDARAGHGSFGYGYGAGGSGGGGPGTGFAHRGAYKPLP LQRKLARTHELPQRKLKPGVTLDIGTEGFPTARTYLRPAEEAYYRSLSRMLLAHRPKS ATGPVLPESPVLEPNMFKCFNPISTMSSVQSNPVVRPRPYVNMGAEGGHSNDISRRRG TSALRPVGRNLKMCSPLPCINITLGDVGVDENLWQESGLPQSWRPLSSTAKCVQRSLL RNVNRLCQSTLGRRPSNLAFCRNVVKRYVQKVQSCGSGDFSPPRLSGALIRKQILRYS ANRARTLRHSAKRLPRRAFFVKQCILARRGAELCSNWVLVPLELRHLGGRHPPSVSPN SSFRMSP XP_846285.1 MLCGDAVSFRIVPKSSDDQSVIHHAKRCPIPVCSNATGPAHLIA VSLNTDAVFFFLCHLQMQGENKWENSLIATYQCKPRNLRFRKGFYPVCAFYWVENVWG DRGDNGSQAPYRGLLLTPFTASDPHRQQRCATKSSESATPSTRRILCITSISIDLLGI PYGAKSAENKDGWVLLCRYTTHTDYWHYCPAAMAILSINMLKPWHVKVFELQGEQGLR RLPSLRLEENFLAAEGERETTASSLLPAAACMNAPPVRYPVVLLALYQQLFVCSLSRR SSGVTLFRYVPSADDGKSGGVSSFTMHAVLRTDFQLHMRREPIALQVIDNLVVLHAPR LGVSTAFDILHCEEDWEEDANHDCSWHTNASGARGSVGESEMQRRSGVISSDTISSGE GNDQAGRRMFTQSSWFSWLANRVRNSCVRKGRICMCDDASGAEVAIPVMQPLLSAALS LHPGERVDKFNPISCEDNEIYNLDFVSGALPLLLNRRSGAVCLVGVNPFALAAVMSQP CQRVQFYLNRMYCAGKAVHSLVYDMLLRRKHLSAVGRTFELITAHHAAHHHLRAPCGI KGPRTSTSTLHEATVRGTPGRDTYSLIPQQEFSRTALLTGNLTALCHWLPEEDTDGPL PYCPPWRCRSSLTIQDILAACTSSHASTHRRDVSLLEESIGQVGLERDVFTPLVDSAM RHMREGTQTYAGDAMDAGSLASTSGSASAHLCFCRYLFYALLEYARCVVGTGVTLIGG LQQDLMRLFPYVADGCGPLRHFLRSTVITDQLPMALLFLDMGEEWWQMGMDTLVRLRA DDKIVEVLLQEKRLVEAAKYLYSVVSHSQQGLGPTERLIHARLMGDIFRSSLKIVEEE EEESTLRINGTNPHAKDGQCGGGNGNVVAGRGRRGRKAIEFMAVYEIIIGCLLRQPHF DEAVPQQLVPATAKYRQLLAEGGVNAV XP_846286.1 MWRVALRRPRVAMPCGCIGHIYRRGSGVPYGSVPADIESSRHSR KPREEDVLKNISGRSGSDQANEETPAYEAEGYDPWRVLGLKPGASTHMVRLRYHELMR EVHPDLEPNRVGDISRLNQINKAYEIITKSPTIDRRYRNLVSDTQYFYYKFLPEWMAR NVDEMPRYWSWVRWRTPGAFQVFLLCCGCYMVGRFYAAFPVLTTAFLLSLSFDILFHT MTAPATCSMLFLYAIMSSQSYDMAWLTSPKSFLKRELSY XP_846287.1 MTRVVGDGVLSRPPFAFLTVSVIIAALATHAFVEASVEATPHNL SELNEQLFKSSEFLVPNVSSSPCSVHHGCPHASMVWATGTFTAPDVLTPASFLVSANL TLQLNLCCGYKFNGRLLREQSRYDTFLYDLLRSTPFHTLTLGEKRRMFPRDRVVIFDT QRQPPHRYESSHVPSAEEMQRGNDGDGDVIVLVTGAVGPGIRRVPLQQPPTTTALNLP LSGLRGGFDMPLGSRTGNSSVGSGSAVDGAVGTSADIQLRVSNVAIKCKCPHTLLVMP VLHARPDTLPPISIVGLGSHCGVGQKLRSVTPEMDVKGKVLRQPVIQLANGGWAASLT LQPALLPATLVHWIITDTTAVTGAANAVLNVSLYTALREEVEGSGMVQPQITTSGYFS VIPHHTYNVMVTVSRGAATQTLSGLFVLRLPLTLEGKAAQFSNVTQPHFGVGRRLRLS RITREREELKQAFAMLPDEGRPSHTGYWIRTQTSDEVRGSDGSPPHVPHNPFSEAFVW YPLTVGRNVLSYYVRDMDEVCEPVLLEMQELWAVQALLLEPVTSPVTVCDDRISLEAF ELRGLLETMRSRQRMLIRLAGDLPWEDLARSGGTQEVVEGHFKCTESGVGPCRIATGM GGSHFGVLQMLKRGVAELMWVVRVEVDTSYLQHIEQLRQRQGSDVVDDDNDNEGPFGG FLDPSLSRVISSDGRLTVIEVRSPSVLIVRRMSALPRRVDVKLPMHERRLTVRPPHPV IGQHEESLDERDKDTDIQITGRWVVNPPISLISVDETYAAELNTSAVPNIRQVLPEGT LQFIAEDPGEAVQDKLLAAPYTSRCPPRVVEYIVSLVAVPAPPVAPEFVPVYTEDGCV ALSPPREPQKDEEVRWDIRPCQEASAPPYFSKEKQKVDLTKGYNGSADALVVVCGVEV DRWCEAMWSARNLVGSVTQTFSLRRCQRPPHLLFHKFSGGDRRGSGSPIDTLALPSVE WLDGGITTGDESQHTVTRSGDNSNTTDETMVHHEAQPRYFARRVIPIAGYAAAVHYTF NYSGSQGDYEVDFAYEHDAAHQLHVTASHFARTGLAVSDDRSGKSDEPNTFTDPDDSA AATTAGPLLLRRFVRPPTVGVSGSHEHGSNGKDNNEGIFSDEAAYWEMERHHRDYNID RECDARFSHNNSQTGQYMQLHGLSNSSNYPFQYNVRLSLAAVAAAAELPSRKGAAAEF ARRGMCLSRPGNISFLALNPRGLVDVIGVRDIAFCESTFNFSRHPWLHTKYHYDFTWT CENTATGRSSTDSNTADGVMMVEKRSPTFSILYQGSAGATPCKLTVYNALTETLESET FMLKRVHPAPVTLNFSVLSDNWAPGNCPMRRSGRQSNSFGSQSRTTAGKEGGEPLGKH QSSAAGMRTHWIVGKRAVLHVDAPADGRTSQWRAVTVVPAETTSVGTQRLLDSIQIIP LRQPHPRAILRGAGGATVLLNNIRVPGMYTLSHQHLDPVHPNVCPNVTVTETLHVTHA RVLENELTVCGDTAVLTAAPLPQAINCSFTTRWEVVSVQTADNITLTDETNFSGIKFE DVSSPHTVVKGLPFGIVTVRWSVYASARTTDDDERRQKGQPGILVDYDTVRLLVLTEN LRTTRLVTLSSSVEMLITGSTLGWVLVEPADRRASALLSARDPTLRVGRVYKNQTTSG IGGGGNNSGRSEDAPEETALFVGNLPVGTTHLQYELHASPRLFGAMLRKRCPFVQQRL LTIERVSAFLSVNTTLDHECDAYSGRGRFSICLHAEGIKPASSSNAVAAQEKPSSSNL GVHLVAPGDFENSIKSSAERLTASGCSLPWWVARTTRWSDIKVDNSRQCISFTVIATE HHLQSKEVSHHLFLAQEHLSMSQPCHHTGGDFVPSEVIEGASTWSVGGSAEDSSWFRF RSRPAAEASDGLSKFLPFMNPSTLVREDRAMRPLLGQLGVADIVASELDISERTYMHW ERSNATDRGAGMKNGSRSVDVVFHLDRSQPGRMASFLHATSSHPYAGQKGEGSHDHHQ EREVMESFLAKCVRGTLRGSEVLTYWTEVNHPDGAAGGTAPEWEEYVRHVGGAEVTCE PLFASLEQLLRLPDDTESTLRSRRASFVPSKEQKFVSQEVVEQQLKALREEFRLTREG IDVTGLRPEVQQALARRRITKSQLDEIEKARREKAEKDRQHAQQQQQLVLTAATAAMT AEERFVAAARLYEGVTGEGVYAIRVKLPYYASFSVPHNLFLRTALHKDLICGTNISVT STAPASLVLFSRVEVMDEPPAVGASPPAIKQCEVEGRVPTIFINVSGTRFVSGGAFPP QNVLLEELQNPDVIEEGGGPEGSTAGVGLASCLAQMRAGMDVSVDSATRKHLRLQLSP CPSFQMLSPIEYNEQQESGQLLKRLQLPRLLRITMRDALVQDSHTQGRTTISFVVEVR PTLALLHLRSLPSQGDSLPVVWAATGRKRPLLLCEADMRRKGVELGVELIGDTWNEHV GEDEEGAANPGDYGYSSDDYDADDDSNAPIVEGSGGWAVVNAGSSLNTRRLRPGQSEG RSRAGVGKRKRSPHSVALLNSFSIVEHHSFDSVHHLFLQQGGVYRSHFVSYFFSEVLK DPGNGQQQRLIYRYNDTFIGVRITSERNMQKDTLSSGTHDKYYMGASLVVDDGTNLLP PIRPFSTIEEILFAVPGIATECQGCLLADSTFFVAGDLTGLWSSPSMLQTRYLQSSQP ARSTFSAPLRIPFYDAAVVPREWRFTTMCPTAALVALRSLWHSWNNQGVQPFLVMELV VYSLRDMLGGEVPLARRPAAILTSVSVPLGHLLKQTHVKTAQGEEMIPEIMFNVNTSA AARAYAMMAADFVRYGRAHVHSVHDYVRDEPQSGGALAVNGSVFLKVCVPAIPHSSTR GDGTPSQVNLDPQCSVVSFTHSLLLMEEPYAGAPYASWYRILWGLIYYPLLLLHHVVT AVVGYAALQQLPVRRLLLLRSPQDLHTASIGLTTMLFVALLFLCPLVEWLWVSLVLSL WAFVLYHERRLATAIVMIIHGLLWTFIL XP_846288.1 MPVVKGAKAATGRRVTATTSTKKTPIAGSGNKEVTREAPASKGG KTVGDLPSGVENSRQVAGAGVNLAKAPSPGGGEVPGTGLKKGAAASRKKGTETTLRPG LRPTNHKTSTKACEEAKTGRGTDDPLAPPEQKREMRNIITNTPSEGRGSGAGSEQTVV GKDGSTQPISRCSTMVEELDGTYTAIEQLPPIPHGRAGEAGPEPTSHLVSVGSGKNER TKGCSGVVASSSVVSAGLVGTGPRGKGSKNDASKSKKVPTLNCAASDSDDDDDNDDDD DEDDDEEDDEEDDDDEEDDEEDDDEEDDDDEDDDNEDEEDDDDEEDDCDDDGDDDSDV FDGEHGQTHGKARNAKAAELKWMRRQKVTVKVSREGDRNVKIFFIGLSTRFSQFRSNI KRRFGYERMGDFDIYCINAAGDHVDIDVSKDFRNLVTQFLKRLMTEGGKEVCASQTVP KPTCASGRGSPSAAASPLSRRFVASEARAGSAALTRTPVIAHEFQTSVEDGSCSNTTV LRLYVRDSHKIRQREEQRRLLGSQIGLPDTRKQLMPEQSATEMPMQVEKRDEQDVMSP NPSSSHVNTDCLTSTFGTVSTQLNRTSSTLNHTLVITEDSELQWSKVGVLGKGSFGCV YEGISNDGKIFAVKVQDIPFSEDTAELEGVLREINLMRSLKHPNIVAYYGCQTKVQES GARCLEIFLEHCHGGSLTQLRRKFERAKESFSISLVRTYTRQILQGLSYLHSMKVVHR DIKSDNVLISSQGEAKLADFGCSKRIGTSAMQDCGNTGPGGQTFVGTPFFMAPEVLSG NGNYGAPADVWSAGCLVVELLGRQPWSISANANAFQVMYQISKSTSMPTGVPKKCPKM LYDFFSRCFERDVPKRATAAELLEHEWIKCPESQLEEVPPDDE XP_846289.1 MVSDRETESVEFGCRTVVEREPGTWGGTRSEANSVAHRSAAPSM VYVSQCSAVCDTSLLGQTCLCRRSSTNSMMRCGESLVELQLESGAFSSRSQEGNVPPN SPLNLPVDFFSQREGSCSRVQFTESSTDGQLPMDLSCVSWGHSLTTPVGTTSPVDSAG AAGRACGNTNQAMQPSGGVNRASKSPCAKIPAVTTRGNYQLTSRTAGRVSLASHRINK SRSGGGPHHSEHSVSWSPAPRNPTCTPQEFAARSAVDSGNADIQSGRCDSEGISGDDA VRRSLAPGRYDDSGIASSATGESRGSSVPLPEYEMRGAPHRVGGFFLELPPSIPLSPG SNVHSSRRPAVLNETLSSEGSKPKAGLCLRPQSMLNETVRVNGPKTKGNENINIASGR VPQRGTLRSA XP_846290.1 MEDIPQDPERTVAAGVFSIANFPPRAPSPPTRARRQLMLATLKK EKKERTEGRANSVGFNSSDNNYASDCCYGAERNHNHNHNRDDDVDDDDGFYYYFSNGD DDGAGGCCCCCCDDVLMAEESCRRSGSRSRWFSRTGSFGFTGGRMTPLAWCACEDAST LNGDELTGGPAVATASASIGVADICAVSSCSSPRPSYGQAFVPLARRWLKDPSPSILP YPNPGYYKRNHNGLHNRRSNSRHGYCSCSCSRSGRGDGEAIATSPLASVRDCEIT XP_846291.1 MRVCLRLFNQPTVWNNAAVQHPLLYFFSIFLNYSRTFAYRRDSG HACIEMNVFFFPNVRVLFLLNIKINQYNFCFFSCQLFRPLSHIFLPPTFLLLLLLFPF LCSRLSSCFWVSHFPFSFLDINFFLFSFFALLFKPFIAPALCNAFVFFFFSFLFSLFS XP_846292.1 MGCGDSRVRASAQPQHQSHPQSVDVQDCGPNKLLVERDKEQEHE ESSPVGLEPPCVQQPIAADSANSNPGPHREEEAKEANAVSPPEAPVHFNRDSEVPTEL VTTVPSGVAPGGGGREVPERVNGPEIVDRKGGHDTEVRSKEPKINGTAVALGPRDKEK DVDAGDCEAEGGMSTNAETPALTEDTPATSNCGERNGSSTVPLERLRLPVRKKQPSRC LVHVLEGDTVSVSVSSTSTGYVSFTSLISAYGSSGHIRKKSTLRPGDLSLVTLSPLSS AANTPRSSGEGLKAAAGAEELSGQAMRGLNSSRIWRFRRLKIMPCGDTSLLLKGRRRR RKGSRATCGTQSTHNRSGVLTPTQASFSALGAVANCGRRGINAVDPRNHVVHISR XP_846293.1 MEFEAGPPSRRPESVTTSELTHTPSSTGTTAGTGASFDYGWQTQ RESTSRAPSVAHNMTSTVSTDDNNRCQRVKRALYVDEGMPIHEPHYYKDWASPAEVLQ LRTICTDLATKHKNETAALRRELEEVRNSRNALLLEAEETRRLHQVCVRAEGEHEKAR REYSRWEGERELLRLQLQRLSLDNQQLRQVAGHRGNSRGPPHQQMQSQIHGRHHHQQF VGQVPSSGSSELRAFTSGTTPMTGSTIPTPSFTSSSLPQNMAERGAPHQEGAQQPQRR QTQSMESVNGIASSGSAAADELTSNTNGFNSNVNNYLGSGIHSKWGSEQSTACSSQLC LREQLRLMEELNRTTMAYTELEARLNFAQTLWDLSAAREAQHSLMLSAEADRLRLQLN HWRSLAEDTAGRLEATDAQLRDCRDELDETQRKLQAAAATTAAAVAAERKESQDCVAL LKLNHAAELSQAQMQLQRALDDNAHGRETLQRTLETLQKQTEAQRAQLVSDVAAAEQR AAEAVRAKCESDAALAETVAQHASECQRLERELLIERSAGRRCVEEKVKQAGELESLR GSCMTLEMRVRETEAELGLVAGRLNDALQKLSLGAKLEEELESVRLRAEGAEQELKEQ RKHYEEKQQVHLASLAKLQRERDADVLRLERDVEKLRRRCKATKIRLAAAVKDATGWS VEHNQPQGSAAPQGEESDEGSCAAACEDVRPDAVSLLKQSAALAGTLARTIQRSTYAP XP_846294.1 MMDIDCAEHNDVPKRDTNSHNNDYDIEDEFESIDESLLHGVPYA HDAAPELPDPPKPESAILMSKGVCLLPSCTRVNFMMRGDNGELRWLTASGLQEISGFS NPDDEASKEQRRREQEEEAIEAEGMRANALGLQKRVAGSGLGFVNVATLHRQIFNEDV EAAAVKDCGAVQPRQQEGEQRRGPLSEEDSLWVIKYTPKRFRDLLSDDTTNLKLLQWM KSWDEYTFRNGASPGADGLSSSPSTRPDDRIAVLVGPPGVGKTTLAHVLALHCGYEPI EINASVDRTASGIESAIQLAVSPGRSRRRVQRPAVPTSNGGNGGSATSLVDMLMLPKC LIIDEMDGIAANFASFLLKQDIHCPVLCLCNDYYVPSLRLLRQQCRLVFHVPPIRPQR LLQRLGEIAEHENIKVADAVLAELVRASNGDVRCCLNTLQFAYRCVVSDTGETPQQQH KRQQDLLREMQGKDMKLNLWDMWRVVLERQDRSKYVQLLRKEFAMNYDAVVMAGCANP LPLSSYQQTQQLQVDMDVAEEGYVATGFRVDPGYTYVSRVLQWCDDTNGLLDGLHEFY LRRSYTDYSFQNTCAVSDAFSFQDCLTTTGYRHTPLLPFAEQYGKSATATSCFSCCSA MGRGSSITASGFPRAAATAARRRDESFHITRMVRDNCRSLEVASHLYSTTAITEVVPS LLRCLCDVSLHIPSHTVASGVGLTPKDQQLLRAAVARHALYGFTYVRTTRGRPGNHRR GSDEPDHVAEEEEHWELDPPIHRICCASLSEAQQERMPGQRPAVRDTSSSSVMVLHMK EEVKQLLVGEIHRHIIQTSSLRAVRSHGGARKDNTGGTDEIVVKRDSTLPSRKRERDD EIDGREIKVKKEEEKFSGVPKPADGMHGTRTGVTVKREEDAAEMCGCSPQAEGPKAAV SAALSSSKPAQTVLKDFFGRPIAAKPKGMPLAFSKAKGENVVDLSNAGAHGRAKKLTV QYIYKEGCTNGVKIPASLNDF XP_846295.1 MRSQSTVLSEDLKGMLEQLREAVRREKCGASAFVSDDGNRTGNS KCGENFPDDGCPRVAFLKCESAPLQSTSVALAVAPSTDVRLARKGDDNSSSSSYENPP SSGRDRSRLTLFSQSNFCSAAVATPAKFTNVVDSTPCSVKPAGDGKAGVGDNPSVSSS ASDNDNSGSILKHIEVLRSGFADLCGAVREDLHALHARVDDLQRQQKRQQSMLDDLAR QQPTWARDGGSSGEASSPVVYNEVVAELQQYIVDTVHRVVRQQPRTTWVGGAKCGEAS GKRHRSTLCSPLANASPVDEKCGDNTVASVGTATLGGCTPDRHEVALKQHVHSVVRAV LNEFGFPVCVSELVERQKSQQREMRAMKREYHQRCTAVEEKLRLTLAAAESTHLKSHE FRTSVFVEEFETPAGTEAAASAPQPQDNQPQQHQSSSSFPLHPPPAQTSSAEAAGDTG TASQKHLLDVLMRRIQRVTDAYEERCLQRVNLEAVQPLAEHVRRLMSAHQSMIDTIVE SRCDQLDGRISSAIQQQQMQLKELRSKLSGLRVDVHSALKDLSEKLNVACPGL XP_846296.1 MLCDGIAVLLLLLCTDWKHLSLSNRTTGNQTREGLLWVAACVSL CSVFSTGSAVRLYVRCLFPLFFLHSLLCASFPVCLVFHLGPRLSLSSLHLAAPVGGWT MYQSGGRSNHRVTVALSLSQGSNQTQEKSEAGGVHVLHRDGERGIPGGLGMPLQDAWA SVCCSDIPQQRGNQKCKPPTVLGVRTSFVTAGDGEGCTLSYTAYVEALANCVASTLLD CNGVELLTLAHGPSGSGKTTQLFGSSLSSRPNVSTSAVGLFPLVLQQLFAVPHDPAEY HSPVAAVSVVEWRLVAPTSGTATAEPLGRPNHGNMKRGPVPNSTGCCGSSRGDDVLPQ SEAVDLLARAPDASSPTRCFMEDYADIPAARYVLCSSVHEVQVTLHEAFSSSLAWRPV DRSPEQPSETTLRSQGCVIGDFDLGSPDAVLSPVVGSNSHVLVTLLIRSRGASGCDAV SIWRLWDLCGPPPFSYDRNSTHFCLARSVHMSLLRTAQLMIWSGGLHTGLMSIIAESM ADEAETVRTLPRHISDVVPLTSTAQQSLFQCRGQNNQNLLFSGLDSVSAMIEACVQHS CSSVLWMGALRRGTSFDVINKEVLRAAAAVSECGDAENADLVEELRRQRRQENLRAAE RVINIFAVPFKQRGVLQFSVVRGLSNYNVDCCSPKVTTPNDISRCGDPASSRLRSRLI SPVLASEAPAGSSCADRIATAPRQHLPSLPRANCGAFLQANVVDVQSTPNSRSQRPQG QAEDLAHPVGSPHGLKGHSPVTVSHPDAVCASTPSSKGTEHPNPMLVSSTYATDCPDI SRVPVSGDPSFVSQHELEGVAHVLQRLLEHPLAQLQEVSQHYREQLRQQRRAISNLSA LFHSGGLMLSEVRQHEHQQEKLLAAAERNSFLEQRLQRLERENNELRTQLNLLRGQND TTATPPAGSAPASGSALAGTPRHIVRASGTNADPVVATRSVEKQSVGVQTLEHRGEIT LSDLAAETKEPQHRAAGRGDPTAGSLLAGTCTSAGDTVTVNPKCYNEIITTNGAGMVR WASQEGHSTAASDDSSASAHLLDVLARATKVAPSSADVVREKKVTPTQLRESCASSKW PEGVNIGTRTHLCRWGELSITSNESGDICADLRAFREAVTAARDGALRALYVAKEAVR DSCVQSLSSCAVSVCNGDTCQSVNRDSEILCNSSIEGRALRNVWEELGQQETKVSKAL DALQREALALEQNTLGALSREKQLLSRAIFAE XP_846297.1 MSSAQFEAATEFVRSLPKDGPVQLTDAEKLQFYALFKQATEGPV TDPQPWAVQLEKRSKWDARKAISDMSKEDAMKKYVELLVEKTANTANPWKPPQ XP_846298.1 MKIEDNDDDLPFSLLRTGEVFLLYSTLFSLWVGSQFRRCMWEGK KTGKKENKQRGAKTKQNTAACPQRSAWLKGKSNFVLCHICVIGSLKDFFYIFSVWFIN VLTSTHLCPPLFTCFTFSLFLLSLSLLYHAHLPRLWLLLLSLPLPSSLPSQLPLLLLF FKKPVPLSLSSLSACGIEFDTFFF XP_846299.1 MLVECSEIKYHAGRNGASIQPSCDETTPDKVQNALTESSVVSRN SRDHPPSHQDYFSATGWRRTKLDGKPPQQLNHLTDSSLSPPQRLTLTRCSKGVSVRRA VPSAAATNSVEAATVSKTHMKVEAQVGQVPADLTTHGGSGNHAYNGMRASEALRSMHE RSGLLLEMSRNKFPRANQVRRAAHSRSSKSKVRHTSAPPSGDYSRSGLPEKRFGGPAW GSGNDEDSGKSTVAGRIATLNADGDSKLVVLQSDTIRRDGERVDRGAPAGGSKVMLRR GRALPPSDYFWQHERQMRPRAQLHAADAFQRANADVATVPQLLTEHPALPQVLEAALA DHYLASLMTCPASETERILSVFTAGDAKEVLNFLRRTYGCGSAGTLSNGAASLIAKGP QQQQETARPTEAPSRRRRKPSGTVRTIRRHNVSCAGESPGFVSPPPKHFRAFLKHFTA EVCMSFMDEVCILCRARSDRVWAESRALLLPEEAVVSHYEQEVSSLLTRILAWTEKEF ARVVPVVARLAKQYLFLTRREGGNVLQPQ XP_846300.1 MRLARTLHHVASATTGGGQMLEGLVNDGPEVAHKQHASFTPFSI QPWQARCVGASRRKLLPQMLMYHGARLGPRPLIILDHSTKGEAGVAEAARKYESILSQ LSWDYGAVYIPLHAQCTDSSKDLLEQSCQRICAVMDALDVRWTHFLTYSYGALVAVRM ASSQEFPHRVGTLMSLDTPLVTRELLRNMEQREDIAKAERDINVPEDGLAFAKQALLS SLEGPLPCPAAEDESLYRDYLFDPNRIFGAGGLVRDESRYVPLKSLLGVRHPVQLIVP SANPLSDAAAHSEVFGHRRPAVVKCCQRHEDLFKESAAKEVAGVLGAWMRRFEPDCFI SKRYEQAANEMGQLMLSTAQVSSESAGKGGGEPRKKKEKKKSKA XP_846301.1 MEPLQVMKNERVVSAWGTSPVDLRRGVGGESVATHDRVEHTVNG QGRSSSIRSALPGEFVSGEVSPLSYSSRWDLQRVQTPPSRGSSPEWRRGASYRTGADG NLFRGYSNVGSGVTSDFVSGEHGSDHCAYGSHMAEWEETGHPQDIGTKLGTYFRSGSA PVAGASSLHYTFYAPNSRAIGSNSGVAGQTSADVGGPADLPLLISASLTPQQINGVQS RMICANGQSQGGVGIKVLELLEYWMGEKSTAEVAEGLIAEFLQKAGIRYDASATPSKA GDEAFYLRETSPDDLEKVEGGSGTTPHGACGGRSGPPTSLSPSSGYFTLVNTDITRAA GEEEVEELQRDAGWDEGYEDRVKDAFEQSRVPNGPPQQPPVHSPPAQRSESVPLDATR LPTPPEAKDDGCASPNLFLRQRSGQPKDSTLRGASYRDIPPFYFPKGVPKTSEETLVG VTYTKHENPHLKVIEGMMIPAAACKNAAEQGAGANSRSATRGNKNVVIVSLRALEDKN VSLFVKRELSRIPMPPKTIQSSRPSVGPQVRGFCVSNGTLAKQGANYNDQLTQAMQRI CTQCFGLPKYFAFMIMKILRATGDSDAMGMFAAPDVCSPLSRYSSAVTATCSSQAPIT VQQVLDFFESSLRGRSIVRRVFELFLLSSSTGKHNCRSAVGAGSNCASTPHSASPRSY LLPEDFRGYLRILLDHHPGLALLKQTPDVQNRYMETVIYRIFYDLDRFNRGRITYAEM EDSALIDSLRQVDVADDINSVFHYFSYEHFYVLYCRFWELDTDRDMLLSRQDFVKYTP DGVMNPIIVDRIFNGLGRRSKSAVKDRINYEEFVWFCLSEEDKTTPTAVRYWFSILDL DCDGVLSLYELQRFYDETRRIILEHVPEGGIPFEDAVCQIFDMLGVTVSCGITLHDLL SNSEAAGAALNMLTNVVRLLQFEQKDPLVAHQDRLLCGLERTHWDRFARAEYDRMAQV AANE XP_846302.1 MGGDGNEAVNEGNHGEPSTPHTFSVNATPFEPGSSGSSYPADKW QEQPGVQREQSAVMGSSTPWTPSPLQYYDMFMLTPHVRAMAQMNVMLPGGPRRYSGTP IVRTSSPGRKSSSTSEEVKKAEKGSLSIHAKPWSPTPDSGLSQKDGDTYIQLKKGWTK VDYHGAPAVAPVPFMEMSSLFVHSLTVRPLDKPRIHLEIFNYLCARCATKSFFGRLTC RGPDVILKFVADDVPPLRVAHLIEQVTGATVSALFTETIGEYELWLERSDTASHVIDV VSGALWTCPMFHGYAVYAKCDDEKTFLRDYINSLVEGFPEQTPYPMRFVTATASGAVD IHS XP_846303.1 MEELHQQMRMPISRLLLIFTAVCHCCALTSKAAGKGTTREAFLS GGAWALRKKLSEKDGEVWWWQDGPNWKDKYDKEWERWFKEEKGPWGGSEKRSEWFARM TGGYITLGKTKILSSAIEGSDKVERTVHSFRIPSFVEVDGVLMGIGDARYLTSTDYFF TDTVAKYSADGGKTWKTEVIIENGRVDPTYSRVVDPTVVAKADSVFVLVARYNVTKGY WHNENNAAGIADWEPFMYKGVVTKGADGKTSDVRISWTKTPLKPLYDFTVAGSKGTQF IGGAGNGVVTLNGTILFPVQARNEDNAVVSMVMYSVDDGVSWHFARGETALLTSEASL TEWNGKLLMSARTDTSGVNVEGGFRKVFESSNLGATWEESLGTISRVIGNSPDRTLYL VLLLCKCSAKRRRKIVPAVPGLACRKSCWQLVMVY XP_846304.1 MEKLTLSALQHRLHIVGFDGWDGVSEVDVYRGDPHCYALFMRSI LCGFPGVAALLMRRYPWFVIEGNDCSLASSVFRMLSQEYGYKPPITALQFRVAKYAAA KMRICIELFDLLKRSDVRENGGRVSSRSKVSRDIALPRHPHGTSEENVETLLVARLRS LDARRKSLNNLPRG XP_846305.1 MEELHQQMRMPISRLLLIFTAVCHCCALTSKAAGKGTTREAFLS GGAWALRKKLSEKDGEVWWWQDGPNWKDKYDKEWERWFKEEKGPWGGSEKRSEWFARM TGGYITLGKTKILSSAIEGSDKVERTVHSFRIPSFVEVDGVLMGIGDARYLTSTDYFF TDTVAKYSADGGKTWKTEVIIENGRVDPTYSRVVDPTVVAKADSVFVLVARYNVTKGY WHNENNAAGIADWEPFMYKGVVTKGADGKTSDVRISWTKTPLKPLYDFTVAGSKGTQF IGGAGNGVVTLNGTILFPVQARNEDNAVVSMVMYSVDDGVSWHFARGETALLTSEASL TEWNGKLLMSARTDTSGVNVEGGFRKVFESNNLGATWEESLGTISRVIGNSPDRTKPS PTANYPGSSGALITVTLGDVPVMLITHPKNTKGAWSRDRLQLWMTDGNRMWLVGQISE GDDNSAYSSLLLARDGLLYCLHEQNIDEVYGLHLVHLVDELEKVNATVRKWKAQDALL AGLCSSSRKKNDPTCSGVPTDGLVGLLAGPVGASVWADVYDCVNASISDGVKVSEGVQ LGGKRNSRVLWPVSEQGQDQRYYFANTHFTLLATVRFAGEPKAEAPLMGFSNAEGKTS ETLSLTVGGKKWVLTYGSVRKEGPTTSMDWNQTHQIALTLRDGKVDAHVNGELIIKEV SVGASESSAHLHLSHFFIGAPVNDSGEGGNNVIVRNVLLYNRKLDEDELQLLYSNREK IQPVVSAVGIPEGMSAPRLCCLLILMYVLAI XP_846306.1 MSSVSSVPVLVLFNSVLTLLCCIISSGQRSRSPFTRPALKPGGV KVAIQEAAVTPALPTLVEESEKVMENLTIPEQKVNGLSLGEAHIRDVTVGSATVKFEE PNKMILKFWNVSATVPFTRFSYHSFWCHVYPCSGTTQMEIRNASMTLLLEVSAASGGP LNVGVVSVVTDIGDPTITLIGEGKSKVPKWLGGSVKDLFKKDILGKLEQQIITAVNPI LTNKTREISHMFPIVFIGNPKIKNGQMRLALAVLPGTTKQSTLTRKLFTPPQPFPNWP VAVVSSYTALNNVFRLLIKKGHSRIRVPFPLKYVLSSEAAHHQLDSLCSGCASEASLE LKTAPWLKYLNKKLFTVNFRGVDVAVGLLPRGGDPIPLFSMLMNVSVRAAHIAVVDSI AHAKLDSIDATVSVTSSRIDGLDSSTMNTKIRDLINGMVLPLLNFKKDGFPVPFDLSG IHLNITGEGGKAGVDPARAFRSLSTFLHLR XP_846307.1 MLIGMKYSAPKAIVISLHFPKSRSPYIGTHFHVECYEGDGPWKH LLQPAECWCSKLLTGKKKCATTARHHGMPRTFEVPMSIMGIHNHKRILNRGIFIFVPN RIYFINNREFLLTRRTCVYFDTTANTFPKKKKKHMYDGRNQVFAFMHHQNLLFSRNKK FEGNEKKEPNPF XP_846308.1 MKYCEVQPQTGSRGIKRQRELEENASIPTKGSRATETRGHPVND PAGTSEPLQCTQCGFVSQSKGGTTPSTKIQYRRGHPLDSNAGTKRSRSITEQEVSLIW NTVTIGLNDTCCTQCRHTHVSKDSLMEHFGGMHRQHPFSVAKESPPPLRSFNVRGFHL HFTFVNRNKTSHSTSGSSIHRHQKHEICVERKHTHSNECEGNPTHILVRSSLKCSAVT SGLRAKICRR XP_846309.1 MSKYANPDYWEERYRSNDTTFDWYLTFDNLEPVLRPMLQPAEQI HVLVVGCGNSRLSPCMYEHLNVRKITNVDVSPTVISQMTRRYKGMDEMRWICCDLIHT APDKLLTLLCPEDALFDFVIDKGLVDATLGGSNSFHNLYTLTKNLARVMKNGGRFLSV SYGAPETRIDHFRRRKLNFDVEHRTIEKSVFASGAAPTGSYHVYIMTKLGERQAVTAG AADDGALSGDTDDDDDFYDRFMTKANTTSADAARFD XP_846310.1 MFYSTYVLTKDGPLAKIWLAAHWERRITRSDVRLMDLRKCVVDI VQPVVPIALRTSGELLVGVVRIYAVKVHGLKKDAENAILLIRVASPQANAGHFKKAAP GTGTAALLNGDAEAATFNWGGRRVGRTQGVVGIRAAGINGSHEEALEARFDEIADLLQ GPVCALGGVNDHVRNGIDSTTMTGSVWYTWNSGSQGTEDLNGTQQDYDGFEMVRADIQ AFGDNVSETSSRKSSLPSIERGRSSALTAAGGALGDFMPLPQPAYDLDIGAPLPDDGD AAILPDFMPPALGVDEAADPFLSAYGADNELNAALTQQQNSSRRHRAAGATTTKMLDK ENTTVSGEAVRRWMENRNDIVEAAPRRGPLDQQEIQDRRVLAQDFNKGELWAAVDGSP LARVAGPALTASYIDAVKPLVEKQMEEEAAEREARQQREKNAHVVDENPDEFIIAGDS GDMFMDENFMQSGRKRDREEMEGNDNGESNVGGGASRRQSEAVALATLKHIRNLLSKS QGKGKGKAASGKKSKALPREHCTLHELCEGMARREAARTFVSVLTLASKQLVWAKQAP NDVELGLTNAASEVQLTV XP_846311.1 MSGSDAEGEMDMELPIIWQFVHPLYARNKKEDANALEEEEDEEE DDEVRRKRAAPKISRLLRYLNDMDDEEELERILQERDPVTQQTLLQWATGKQHYLLVE YLVKRLKRAAFGFPLESTEMQVYLRWEEMRPELPTAAELQMRQQLRDKARQERLAAHQ REEQERRENQEEDDEEAQEEEEEEEEEDNEEFEEEENEPLPEELVYEALSEYHDEWGE RGQGLVKQIGELGVYFGSRKRDGTKHGLGMALFPNGDAYAGEYDHNRRHGVGVYWWAE QGVIYAGRWHNGVRHGRGRIVYPDGSRYVGSWSRDLKHGVGHYQYADGSSYDGAWVEN RKQGYGVYRFKDGSSFHGSFVDNVFTAGEWRLASGVTRYYGNFEKDAPIGAGVFVHRL GSTAHRAFQQEGFYHKGEWHPGVLYGTTRVPPRLEVVAPHQEEPRRVPMIFAPECNGG SMAELVKAANFPPLQWWLKSLVPVNLAAAYEGSGGGAAAAAAPVDNNPGNNPNTGNTH EKMCQNAGKGLGVILTSVEVCSIRYGTDDPSLVVELRIRPVLQNAAGKRLRLSPTGDE TIILKERTTRLLMILEPVDRGHGSSQSTTPPMVILERGPQLTCAGPAHMQNRLPTVEL TAGGTIEGAFARAIQPPLRVTLNASTITQLVRPLRSSPLHGNAEEDVIMYVQQWEPDA LAKLEEKLQVASNSLLPTPLETEEATGEGGEGGEDVPAKEQAQKPPTEGITYICRPLS AVPQESQDAVTIIATTLVLRRRAKTLLPMETATKQRPPTPIPPQPEPRPELQPLFEAR EAMKRAEEAGSDDDDDPAAAVATKE XP_846312.1 MRMPDTRHSSSPTALRLAMLCVGVSVSVSTLLLSAAAHTPKQHN NGKVNNLKGRDAYYFYGYDNVSGKPTTGSDGITQLPDGLVRSPPLHEHSFGSPIVADW WDEGVPHFVLSGGALASEEFIRLAPAGALGARGFAFNTVPCDHKSWEVRLRFSIRTPL PIARARAMEKRRKGNEAGNGEGGEEEKEEESYQGGDGMALWYIERPIGDNNQHVPKHA KAKEDQKEEEDPSDPLDPRRVADLLHNTTESDDDDDDDDDDEGVVNETKREERHAERL KRQKEREELYSRFLKRGTSQNESDLEPRVFGLKFSDFKGFGVLIDSIGRTEGYEDGNG GEDKTGRDKKKHVKHEPKITLLLNLPGSEAEGGRPPTVNNFDPTQPDFRVSPVRLQCN YDFRQAPTPHAIMMGLNHQNIKEQKGTQRSQHKQRVEEEEEILRRTPEEPVELLVRYY DGKLRVALRRENISLRRVVFTAPPQPKARHLPQSSNYYIEHSYDETLCGELSGVKLPL MYHFGVSVSTGRRPHHSNSRKRSHSPNAPRGPLAALMANDGTAVDELAHVDVHEAMSF ELRELAHDAEAMSHGKHAAMEHFDYETDQKEREEYSRGLHGGGGSVENEGNTEVKEKQ XP_846313.1 MFWRFPAALLCLRKPSVAYERLVMTGTINRDLQQVTALPVFDRL HNDLETFVKSSDRFQPRRVELRPPNRLGVTPLFFRRREQEEKVQRALAEMDEDGSSST GGGTGCVYHPLSRVKGLYVYGGVGCGKTMLMDLLYENAPSTIKKHRVHFHHFMLDVQR TLHTVTHESKSDGTQVARRTPDAAINSFDEVAQRMMSNVELLCFDEVAVTDVAHAMIL RRLFHAFYKLGVVVIFTSNRAPDDLYLGGLNREGFLPFIELIKRQCEVYDMCSNTDHR LSDAGDAKTYLAPINEANTATFNEQFLQFCKGMPAERRVLRVFGRDVEVPAACGGVCR FHFMEICGGELSAADYSVIAKTFNTVFIEGVPRFTYNSTDVKHRFLLLIDELYEHRCK VVIYAQVEIMLLQESKEEFEAAHISSGTTAATEAEVKPITQEFARLSEFEREIGRSLL DHTDSAFQMERCLSRLCEMRTQQYLKSPHRYEEVSLSTGA XP_846314.1 MKSNWRDKKNGRRWIRSAFGNGSLLAACAGMMIVVLCYKMTKPV ERRTEGSEKQGIKAEGRQEKNAREEDSFAPPIADDIQRRAVPRPPSARPKTYTPITVA ADAKRQEADLLAGLLFFLPPEQQRQSLMQRLHSIMSAGTRVAGSLSSGQMKVMKLLTE NGTWRPPREALFTPKGVSNLKRVPVPRWNLEWDNFTHSTPLGERKFFNLVFTFAGGAA FKRKSHERETKKENRGNFSETRGRDQKRGENEKKRKGGGEEGKRRRGRRKRRSFAFSS NHTFAEERLKHVMLSAHWDSKYYTDINFLGASDSAVPLVYLLETMRLISVLSDTVAAL SEAGNKPRWRNSRATDHDDEALCRWVPRVLSPSYLTVLRYYYAQDRESSACLSGVTGS LWQRRSMRNSKTSAKRQVGGGFLQLLRRVNHLPAITVALFDGEEAFVRWEGDDNTYGS SHLAKMWKMMNISGNMNAGGNVRMNKVKVVNSMKVELGSVSEDSEAAASSRFNSIDLF ILYDLMGSAGTQFQNFFPDQSGIAFARLADVERMHRREAAELWRLQEEAGKVEENKRK WWRSETTSKSSSAAAPTSDASRISSFKKACSKYLPRLWCLHGPPHEMFTLYGVPRLDG TSLPSSELANLWLPPASGDNAGSTNTNNDGKNSEVGRNVNVFFPSWQARAQGPILEGI SGVMDDHTHWLETERVLHLIPIPFPPAWHTAQDNESEIDGGTVVDLFRVLSDFSLRLG EGWMDAS XP_846315.1 MQPTGRRNKRVSVQDPGVKDAESTIGGAVSGTVVSGGGGDVASV NAVPAMSEQTKLLYLSVSAGRAFPYVAQWMHSKADEMEGTVAQLSQTAQKTTMAAIDA KHEAVKTARKGHEKGRVKLTTELQMVQSVRDHQRNAGAAWLEAFRESAEACVAELLEM KKELHKRWAAIAEWIEGGGREEVLQKLTALRARHTVLQNLSERVVAREGLRREQTLQR MRETMDTLVGEVSEAVTWDMHQRLHGSRPVFSSSNQRGGAVTASEGDDDYAWLAETED ERIVRLEEAKLSRQLLIMRREKFERKRLQQEKRQQRLRIKIRADENEEVEDTLERGDG CVSAATPNVSEQKVPRIDMLPAVRPQSASSSTALPREKKLLTSAARHGGGSPSPLLPQ LPTQSNNTETRNTASLGRRMDGPPTTEGGDGETSRHLHPSVRNQPFMFGESSAKETNN SSPSPVPAGVTARGETASPKWLDKAKKRPGPEDSGNYNENTGNKYTPTLTSKKSSRSP VQYTSGAHCILKQNVSNIKRPPIVLPPSISGRGVSERYNADHIATLLSALSEDKAHFA ASKRSTQKEVRELQQKLRAQQEETARLFDNNVSLERQRAQLLAQREAQLIKLSVCKEK QVTEDETRNFDTELLNEGLTCTLDVVKHCMKQRLAEIAQVREAIDVFNAGIAERERKL QIVREYWRRNSKAFAQSEQRHSIQFGGATGSTLSGLSNTDASSPDAPRSVAASYRVGH VPGVQLSPKWTPAHRRSTVSYAASVSPSLVSGGDWGSTAQNDIPALGLCGFDVVSRFI QDIFDEIPLSDVPERLFIGHTR XP_846316.1 MNVQKAIQRLGREKEQLDKSRVREFYAAPLEDNIFEWHFTLLGP ADSPYAEGLYHGVLRFSNDYPFSPPDITFLTTSGRFEVGKSICSSVSSYHPELWQPRY DIALVLVALRAFMAQDDEEGIGALARQYVSADEKRRLAREARKFSCAVCGMKSAESLW HEEMEGHPPVGAEVEASVPQLPKRKKPDANESGDNDKKAKACADAGNNTSISTSDNCN NATTGSSGGGEEEAAATPETTEDTAEKAEEKLLDIAERSVQTEERSEPVAPGVRLRLG QRLLINLSYSMLDNVIWVCSAICFAILVKKALWG XP_846317.1 MTKKSGHEGGTSLNGNGSSSTTPSNTVPTSLTFAGSVAATRKTF LQREAVLDACAVTTNNVALAQARRTALRDSGARIVKLFEELAQTYAANMKTATASQEG SGTGTPSAKDGPITVEQLNMINCMAEAYAESFRKECGLELALGDMVMSDAPANTSKKE NDNSGSRNHNSASKGGDSTGGEAGGTAAGPAQEAIRRRIAPLQRALASAKELRNIPTY NKVLSVAQRGNVGANSLTTLVKNLTETLEESLQELEGGYGSCSNSANDVAEWACWVQP LVRLTNECLQTKPLEKIMEGPRERLRRVAFDVEEKQREQEDAVTDGDMVRSEQLYFEK TALLESMRPIYDELEAAIEESKRASVDEPSKELRSLVKELSTKQAPKVLDREKQVRRR GKTDLERLLARREEIMAARTKQTSTFKVYLAEWDKMFRHNEQQQENCLRAIEELEQRL KYLSEERAILVEDRLEVAAQEQQRSEDAASFMLFAAQHEQKLRKTIENLDQSLSCGER VLEVVRSSYHHLGKYLQDMVQREADEQLLEVRKERLSHFRGLYLTLGELKYKKERHLE ELDKRIEYYHVQQELAMDTFNPKAKEFSKAKKDLLEVKETMQQQIDLIGQKAVKQLED FKPTEQLLLASGVKFVHPVKELEEMNQRRTQKLLEYHNLMSTIGDGRSREEAEANGKV APKTSS XP_846318.1 MAARPAGSAAMGSGSRQASTNMNLIYHQTIIPTQKITHCVVGTF TLPGASDFVVVRHDTLELWTLHVNQNTAECVHSTRLFTNINQVATVPTVSEAVGQSSA NRGGGILDSHPSSRRDQASGGSSALQRLAITSETGTMVLLRYDLDELPIPTRLMHDPT DSSKNDIPGTASTEHSQVTSLRGRFVRVSEVDLGRSGARLTVPGARLAVDPLGRAVFV AALMRQKIVVPLRKHEEYYTERINNRMMGGGLLNDEGDPNVLLMGDVTAVGNIGANDE NTVDNSAGELSQRKKATVRDIISFGSPVEAHRQTMIYSICALDGATENATFAALEQEL PEVNAGGGTGAAASPPPVVGGVAGQAYLRVNRSALQGGGANSSAKVKQLVVYAFASGL QQVQRTHLVPVPATAHRLVAVPATPFGPGGVLVCTDAEIIWYDVLPQVHGSGLRDGKG GDLTSSSSAQQHGGLGPLALFKTAAPFPRRADFREQLYDPMIVSHASTCVRNDFFMLL QDDQGDMFRVSLTLLDVQRSYDALRAAQQKQQQLRRNRGVLDAAEAAVPAITVPSPLV VTYFDTIPPTTAMALFRRGFVFAGSESAPTHGLYKIIKDGYGSDAEYILSRMRMVEQS RVDETRQRDKPLEDAKEGNGDTGGNNNGGDPSGETANGDGSTNGADKSNTGDRTASDS SVVNGGMGRRNDATGAMPPPALPAKGLAVPPAPSVLLRKQQPNSRVVPLFHPHQTLRH MVLLKSYPNTPPVASLIVGIPQGQQKQPQVQIDAVVGRGSDSVLFHARYGYAARLESS FTLQAVFTHLMPLASATGMQAQWLELQHETARSAAFLGVDNSSASGKRHSSKKRSYHS THTSVCDDKLLLSTRQGTTVLSLGLGKQVEPDTLSGFITSEHTIAAGTLRYGVGYVQI TPTCILVLPHHGDSVGSHYQQPAMATPMAEGVTWMHPHGKRILAADISGNTMVVSFTQ AGGIASFDMGISGSRLQQLEMLPTFPHAPAVSLLRDPDGEANMFSARTLTSLSQPLTL AAIATVSQEVYIVSPKKLREPLETIRCTSVDDRDNCGTSATAGDAAPAITSVLLTYLG RKGGARRLFCFIGHIDGTVTRCELDHITYKVINRAVLTCGTAPCQMIAGDGERVCYIL CGEFNWRCDVRDGMPKTVPWRFPTPQAMYAAFRRPAPPVATAGSGSGSNIESGVVTAA REELVLGVAGNTLSLFAAGVASTAAETGLEYSFSYTSLPVAGRRLLQHPTRPECLLVI GTEHRGYGVAALRRAASAARYKDGSSSGSGAAADGRPELSAGAGGIPLDKPQRSLNHP NYYVSTLQLYNRRTDRLDPPIYFQEGEAVLSAAVGSFVKDFGREPVVVLGCAEHFTHG SGLGVGASWTQGRLRAFRFVVSGASGPSGSSGPSLRLEQLHDTPIAVIAASSTGFEED GNDDNTATQYGDQPTAPQSKGVGGAITDYPSALHICADVGLLFVGMGAASGLHVYAWG QRRFLRKRRLPNVPSRITSIETVFATPPNVVSSSSGTTAATTPGSTTYAADLYRCGPN STNIVRERRLLIVCGTVDQSVFIATVQPGTSSGSVGSMSFLMLIARDAVPRSITCVAC LDERTIAAGDRFGNVVFLRLPQDARLGFAEPVQNMTDIELVEAERYAASEQLLEEIAF HRAGQLVTSLRVHDYDPSDGTDPTLALRILFYATTLGAVGAYTPFVREEDAALAAHLQ PLIASHVRCPLQGGSGQPVYNAAARLSTSPAAFTSVVHHVIEGDYAQLLLHSSTSLFS TEARSEMEAALAQKERAEAAQRNVLSLPKRVWPTLGELVAKQRALVALPP XP_846319.1 MYRYASSAAAAGSHRLLFPRHSATTFIHMSATKTTNSNGGIKCT SSECRECPRCNSMRSSRVATIVPLLSCAPSILRHSNCCYGSFSHHHHYGIIANKSCGD VIYGSNAVAFHMQRRGFRRELCEGPLDLKAGERSARKGPPVAHSLPVYKTAHKLNHSV RWKNANLITNVAGGKDYRLRETQGEGTFDESGMYRDWLYGDERRYANYIGVVLGVLSI SLFLYTMRVMGAETWDIPTPVLAAQRKKRAAALPEGGEGKEENLGAGENNASGTAELQ IGEDALAMLKKPAVVSKAALVTAK XP_846320.1 MDQKTQESGALSLRDVMERPSDEEALQLLLNEHQKYYIGALDDD DYMSSDGSEAIYSDGAIKTTTTTTTMTATRTTTITTAVTLASGDSVSNGISSRSCERA NTCGGLTVSDKVINNTVYYGLPGVVEAFNVRTLSRVDCPRTWVVPRGTIPASCYANTV TEKLDVNSCALVDYSQRRCLVYARSELLQPQGKAGDRMQKVLAVWPEGSTRYRHNQFH NGSGSRGDTGSQAQVWPQERSPSPTGTQSPLYWPAYVTRVVGVFEDPLLEVASDPLFL NTIPVAEAAAHHAGSASNGVEKEGETSQWAKCCAWLKSHNDFNEVIEYALTVPMRICV DVTYCIDEISEGRRLTILPSVRYATPKDDVSSNEEDDQEVLKHAEHVCSTLKAGHSCS CIVRHESQCPILTPEQIPEVVSIRNYSHTAILGRRKGHASAAALSLGWKKPLGCVTEQ KSPERSSSATGTVSMNHSLMKSPGSPRRARLNGDTLSQNPITALEMLAGPICQAQQFV FLRPNPQTVAEAQRAAIEDLRALWMRLRRPSRAVLKAEEEAERSRFNPTCTPPDEKSE NKTASFEYVPPYVQSDPPKNTGLDTSDLPAPHGCYAPEDVTDDHLHRKLKLLQGQTAE GNRRGKPSYPPPTFNPSYEGPATPDFERGCCPHRVAPSRREVRDNSPSVRCRHDSDVD MTTTSDRGAFAGPVGGPSRRGSLCRNGNSCNNSFNLRYSGWNGSRLNATTNSSMETPP AKPSRTYARGTSTAAVLFAEQDEFNSTEHLNSSEQQKTENTSYRDQRGEVHEAHVGKS TCISPLRSRGHADSFRVEESLSTKSKTRMFGSASADVVDRTKETSGNGRTLTTPDENV TVKSTVLEQSAVPRGALTAFQEFERRVARDVRVQQARAIELPRECTLRDVVAARALDV HEASLRKCSPSQTPVGKTEEKQAMTAPPSAEKRGNSATKADREEGEGKLNPLSLRMSR SQLEVQAPARQQEQQMCVGPFRKVEDSDVGVPRHDKALNARRATEQSQHQEQQHGSLT STVKISELSNPTVAPPDANKNDMLYLLKQEFQRLQSQSTSTERATIESGRDPALERER WTPQAPGSLRSVQERQQQQQRYSENATRSSRNPSFGFPRDDSSVAAKQQAVQSPHQDR LIESMVPVGRNSQPLFSQRVSPSLSVEQQQQQQQRQHSCSRVSYSENVVTGPAFSSPS NAKQSVTQHEAFTATSRRNSDLRFPSQAASLTSQVSATPAQRPERSNENLLSSTKSLD SKPARYTPPSLAVRHRSDTETANEKEDRRREQRSGSDMISTVHSDAEVTVRVPSPKST RHQRQRQYLVTSDTSSTRNSNPAITHQSESHAYSYSAMQSIYKERTTGSLLSNAKNSD AKGVRPTLSPTALQQSDALVSYQSWARVGKEKSTTRNSDVEVVVRIPSPSKNRSVTHY SPTHDSNRKSSDVEVVVRIPSPSSRRSETVQQQSHDTSQTQRSSLNYPRTPYTKPSSV MLKASSYPQCDDFPLLRTSSHISEHGLDRHRHGVQSNRSNKNALSTSRGYAALSTSHV DCPSPLPPAAAAGKFAVEVIADDPRLLPQAIPHEGAGKSGVSSR XP_846321.1 MLRHCTAHRRYRTAWRELLHPLPVRARKMEWLKRDAVEENEEIL RRPYYTIKSYALPPAVGRQESIHNSNNIRGGMHSSHSLDLIMRQPRRVKTPEQLQALR DRLRFIGVTGPMPQATSVSTKSYADTYGSRLRPRYPESWDTVPPHQPSRELL XP_846322.1 MEGRRSVRCNYEKKEKEEEKVKNNEIGCLKFSHKVYKHMDINIC KYLFTSIRMCVCTCLHILYRTTGKKVGVVPQIFLKWAIDTRMFVCLLMQTRNLFFFVY IHLKRVETAYVRWDMKGREEMPHIILDHSRWIYTLTYAYSDPLCGTHFTRSNFLTCTT KETMRCSCLPVFVVFFFRFLAAVV XP_846323.1 MPHEPSSATDFVQDYAAKRAAQIERAKKIREERQQRITREQRRF SPSASRQHTEEDSNGVEGLTQKQPPVAPSVQWESAEVPPSAPGTLTGGRTSLHPPARA RRIANVVEEDFKRATRAGIITPDQARQLWAMLSDQLVQVDNPHANSFSSASISTPAVS TLVEGAVPEFGGSISMDSLRASIAQFKEQQRRKQQQMMGGEPTQSVRGAGAGPHAGRG NNNNNGAFNGVNEAYDVATRMFSPAVTHDTAFGANRQVVPRGNNKESGGGVIDEPQGG EEEWVLRPSPTPAATGRRGKSQKPAWNYDVSVPHENEDNGGGGAIRQAAPPAPQGKTA APSRTALAKGAGSGQQGGGVALQFDDIPVGGGNRNNFSDREHLTNETTGGSRPPKQGV KAGDGAPTTSKKHGRPHATGTNSGNSNNNNTAVSGYGQRQAGASAGGAASLDDVVVGG SGGGISYNDVGKSGVAPPPPANEPLLPCNLCGRTFRSSILARHEAACAKVQKKRRVFD MKGQRLEGIEGIHDVAPSSHISHGRGDGGTFGAGKQNTTAQMGGQAKLPKWKIQHEQF QAAMRAMRQVTPEDAPGGKSGAQSTGSKSTQQRQLSQPVPLPAEYDDRVPCPHCGRKF AQMTAERHIPKCATTIAKPKGLRPTRR XP_846324.1 MMYTSPHDAPVLIGVIGGSGTYNLNAMQNVKKYTIPTPYGMPSG SISVATVSGVLCAFIPRHGYSHEFTPDEVNYRANIYALKLLGVKYLIGVNAVGSLDAE YQPGDMVLVDQLIDRTTGRRTTFFGEGIVAHVDYAYPLSANFRKLAHDTLTKAMGKLT TAVSGGKPWKLHNGATLVTMSGPQFSTRAESLINKSLNGHLIGMTTSTESKLAREAEM AYLVVAAVTDMDAWSDAPHVDAESVRKVMAANVEKVQLIVVELIAAVSANQFDDPAHH CLEYAVPTKPEAITKEVRQRIAPLMAKYPIFAP XP_846325.1 MGAHSKRGFRPKRHTAPNARNKHNASNYTDKASEGAGISAAPSC VAKLYAARWEEMEEGCMNIISFALQPQAHATLLSHGVPQRLAELLLAAEPANPHVQLS SRQEGEGQAEEEGEAELNADARQVITRRGLLHIKSAAAGALRNMIVSGSDDVVVDTLA GATISTCALSQKQGAGNEKGSNSNNNGRGEPNLTTPLETKDERVNFCEGLVKLLIDTW ESATESRRRGITSYSAIVNRRLGGAGTDTIAEYDDGNDNNAADANSCKSIIASADLDN MPPFSLLRALEEILQLIAVCVEGNEQIANAFSTAHAAKSLLCVIEAATGAAWEALQPQ QQSVEPPLSHSFGSNEEEALVWIQHYRRREAEIFAAVAVAGSEVLHVLSGENEALSTL LLSAEAMAPHQSFLTAALDAEQAKAWLEAEVCSLKTALASARGTGANANVMEEIESCG TEDALILSVTRHNVLYQLCEVSLHVQGTLMNTSPTSVNAARVLPLVVTVLNAHPPHDE WSRTVPLLMQTCTLSEGVRTYYLARAQHRLRCVQAAVHVLHVVVDVICSLNEPNVDDE VAFRQNEAAKLLYSNNAMFVVGKLMRDALRMPTTTSDETGAGDVNNASCSAGIGGNCA TTTFAAVQQQHEEDVVVEQALRAAAGTSGSGSLITKLQRLVLSNEVGVWGLANTLLLM VGWKDLGDAPSSIWRAIINALERRAQLLSREVQEDTSEAGVITPAHSPSSYSSSGKAK GVYLLLLQLESLVQMLWTLQRKQTAEAGGPLQVVNHLSARPADVDIVTRLAWEPAAST QQRQACVGVACSVCASLHTTEATEAAARFALGALQAEKLNPQAGRAAPVEINCPPPPG PREERRRWLMNFTKADGEWRVRCEAANQIMDLFLDEQHHETVYLPLRIQQALVLFIQQ FQAYSKRRSQQQRDMMRNYKVVLPDFDEEGNLSEVVENLSAFVDYKKQFMR XP_846326.1 MSAFVDVVKNAVPTAAAVGSNGSIIEEDETQPICPSLSWKQRLI GCGVCMGIGSLLSLLSFGAILRSDVATFAVVYTLGNVASIGGTLFLAGPKTQVQRMFS EGRWVATTVFVIAMGLTLLAALLLSSALLVLLLCLVQFGAMAWYMMSYIPFARTAVKG CLRGVV XP_846327.1 MPSNNSSNTSSLPLPPRPDPVTLDQIFDRLTAPYVAMEDRAYHL VALYTVAFHPSVKLFLFEHEPLRNTDATGVMGYSEENTRRREALLKGIAFFLAQRSNA VAQECRWETAALLGELCRMESVQPLRSAGANDRNSTSSINAISGARSYGIFSPKEEVV QKINHYARANLEYLARLPWFVIAVRELIGNEGDVNSAPKTTTSSLELRRALRQRLRRS VDSDGCGLNDDNGQTNLSSGVECDDDTRVALKDILAALPDIVTAEEAPDEGTATLSQT TVVRWSAEQLERACDLMFVDASTTLLHLIPLVSRSSHLLCANCQKHGVKCGGEGLLRC SSCKAVYYCSEECQREHWSAAHRVPCKNYKQRAETILAEYIAANKNVVGGKRGKRMSL QQQEELVAVLEVPLEPSLFYETRRYLYDHRDASFSGVSFYDYFMKYTVRGS XP_846328.1 MHSSLIILRHAYFSALHPARRVVPGSLLPVRTQFYTRRFTSTAG PTCGDGGETYKSEPTKVGASVEGTNSGNGVTDSPSLFSSSAPTVRRRALPPSDFPENA LLKCIEKEIEDEALRLDKEECPPPPPTGWEMYHAPGTSVFYGRRWWLPATASAETRAT PERHTIRVQLTKRDPSLDPECDVRGEHFPFSFFVQRAPSKGEAVRRDGTFRMGDSAAA GDVKGRTEGKEEEEEEEELGLYDQSIEVRADFVDGELLVDNVVFHGTFKTGSSCSKRS GNTSPEAAAATAAGQHDNTTGGRGKVEEVRYNNIFNGYPGPNLDEAEEEVLDGLQAWL AERCVDDQFGEFVGQYSVWVEQQEYEMWLKRLRDFVAA XP_846329.1 MLARTLLRGTQEGSATVASSSSSHAAATVAAVTRAAPYTRFSTL RLHGHKEPNFRARPAGWKGWNDPKLQDPWAPFAQQSPCRHVERQGAGCWFAMGHFALK DFFGVLHWRFWARIAIWGGSGMSIALWTYALRMHRNGWEWKNRGAVFAME XP_846330.1 MSLAYLKRMVAECEWQGGLNDPDVSGVSELTADASEYSKRSPKR LPTHPIPLTIVDVPAASLVDGGRFDHLFDLGSDRADASEVASLREGIHYDPSNTKIFV QTQRNAKQSQQSSVSSHAGTSDKVMKKGKKSVVETGASGAVEDGSTPSEQKGHTRRNI CEKGNMSRTNSVNRFNKENNKGSSVITKESRTCSPPNRRKIDVAELQRFVRSVTTGSH VSRGALSHSEASRMSPMKVKEQQCGPAPKSIFKRSLTQGIRRVSSSGTNPGACRMEAP TRRFQKYHSTPNLAHTSPFSRCNSRRVPTSLHVAATKKHTSTAAPLSRQESKKGLAGA ALQQRSRDTLGNGQGDVAVKARTASGKLKLWMEEKPKRGHTPMPEGVPSLQPLCSKVN LGRRPTHTKKGKSGAVKTVSDTTATTPTATPKTTVAPVITTMASAMSRVVTETEGTPI AQVEPQTTVASRFERSDLICVSFSDDVVEIAPRAEGDSVPKVRPLPLSMLEVSRRRLE KAVTSHSPTKLSWAVGSRRRKVVARHNVSHTTKCKKRNAARVTRKPRGGPQLGKASSK KQQPQGEKQTEGKGDFTHTTPSKVAAASMQKKRRLTHKKALRKLPKTPPCRLGRSSTA KQNDVHENEPIYPVKKEYGEGCRRKKNNRRRRTMSPDEEGREREMWAALCNPTRGAKN GLGWWQTTTDKEPIAMATDAASVAGRCEGEEIRRVNTPYGDEESAKTAHYPMGKRGSS FRRKNKSWVPATFSGGHLVEMNSGTSVNEDWGNSTDSMHVRDLQVGVSSAMKGPREVT SKRSGKSRTDIGETGYGTYAEKRQRSRTSIRNASSFRFTVSLSTLTTVSTQSANSAQG KSAINCDVLPSGQMAGGKSELDVDEDALSSRSPSARSPQVSTEFFTAVAGAVPPLEQQ GKHQIRGLLRSFSTRCSYLTCGEGSPSPEPSNEVSVTWQTHLKEVELGKQGRSEKKAA APVLNFCRTQGSERAIRCDTMVVCADSMGPKILPRPVNAPAVDPPERSCPKESENNTA VRAASGTNVTCQIRQTASATNGKPSGGRSLSTTSLLAKSRGSSERKRKSGPGISLFGQ GSKENMCRDARISRAFYLKAPNALRSVPLVNARPFSYTQTNPTLPCTETNIKTRRRAW SLERIASSKPGSLSYFSNSNASTKSSGGNNNSGSNNPNSGYCSIASSSKRSSVGARSC IKNNNNNNSNNISDSSRCSKGKKNEYAGHDGCSFQRLGNGSLGKLMYGRGVNIA XP_846331.1 MNYISMGQRMAQRIRIDQSHRHHAITSNHLVDLRNETHNHALSA QCYTRLYCPKSLGVQLHFFPKPSFLTSLKSPFPPFYTIHTLSLTKNTKGKGGGAMVKR LGSEGGEQMVTNEDVEKLREEVAELRNHVHMVAAEHEKLREEYDEYRRRPVTWKAVIN CDKNAPLECDDPADVIVVDDPGFNLKELSSLKGDVGELRLRRCCGRLDLELLSNQGLY LLEIGPGAVANMSCIGKFPCLQTLILHGTRLDNEWEKGVESLEKLREIVMKNISMLQD AKFLGNALFLDSITIENCPNMNAFTDTLTWPILRRLVITGTSLIVGNGLVEYFHDCVS LKVLRLGDCSAVHSKISLKNCKKLVELCLDNVGVRSTFEWGTELPLLRVLRLTNSSVT DADVKALSAFTTLEEVILSGNKEIVDISPLTNLRSLKVLDLSLCSRARIVGPVDCAPP LEKLLLRATAVTDECVGKLYSTSLSEVDLRFCSTLSKKTSKAIASLRNLRRLFLDDSP EVVFPGRRSPLETLGLCSGSASTTTLETICESATNLTCLRLWYCGGELNVSKLASPKK LRELYICGLRSVSEFAAIASLPELSKLILEGPFVGNKEIEDLSRCEALRSLCVRNAKH LTHVDPVFAIKTLEELSLSDCELLEKISADKSAIRSCVLRLCAIPIAAEGLKKLASQK AIGRLLLERCGSIRLDDIIGVPCTLTDCKEIVCDHMPIPPKIHTVRTPVVVLESAKNR HGW XP_846332.1 MNGIHLNSTIPMPEPSLGTIERCPSVGADLLEKSHFLHRPHSVP GSSSFLEETPAPDNYTPRLSKPAFCSLPSNLSSLGGRLSLHVAPEVEQLPDKTGVTDF TKSDQTMYGGAAVNGLNTQVTPKTEAASLLVGAKNTPAVFTMKRGTINVSVRVRPALR GSVKPTCCRLHKEDGLIEYVPPPKEFSVQPKAQGFASGSPFDSNSQQREIEERGSFFA FDAVLGGDTTQNEIMDRVGRPILQNVLEGFNGTILCYGQSGSGKTHTMLGPAGGLPER LADPDEAGILPRMLQELFARLENKGLHQTSSATTATEQERSQMRKTEAMSGGKASQPA REWGYAVEVSAMEIYKEDMYDLLQFILKPKTSKKGCDTTNETGAGGQSIDAKGVVCQN RVAVGTASRRAKSTASSATLKIRDVANVGVVVEGLSWHPVRDAEEALHVLKWAAVQRH VGSTAVNQRSGRSHLFFYIALRQREAPVVNKGQGALGLAPQPPLVITEIRSLATLVDL AGSERVADTKANGKRLEEARHINLSLTLLGNVIRKLTTNPKGGDAVHIPYRDSKLTRL LQESIGGNAVTALLCTISPDLKDATETLSTLQFAKCAKRVQNRPVVGRTETKAELLAK VRRLTVRNKWLEKQLSTMSSRLGSFGATSYSPELSDTPARDPRIVGLCSSRLSTLHHE RESDDTFLGGFQDSLCSSPPVSLSRIVPHLCLRRKDGVNEGQVGRTPASEPRMRARAR RIYRVTVFTSLSFAFWAFAARLLCMFVPSVRCLPAPVEEVFIPVADCPACMTVPLRRS RATQSESCETSL XP_846333.1 MELLSVTPGDLLVGCWVLTFVGIIFFRWFMHRRRSVEDQPPEAA QTTAGAQIHNGKSIIPNRRDAGEGSSCRCCSSNDDVITSENVETCGKQQKRKKILVAY ATQSNTSYKLSNKLISMLNLALHENSSAYGTCGGSLVLDAASGVSCCCSRDPKAGVAP EIKLLQLKEEATECSTEHLLESGAYGLVVFFVSTYTGGTAPEPSHSFASMLKDAYLDF RVPRDVFAGVNFAIFGLGDIAYGPEKFNRFAKDLYTWCKGLGGKFVIPPVYASESNTT TLFHVFSTALVKWIGRAAFTADGVTVMKKKSMNGAQSLNRRLNNKNNEEEEQSVGGEC VKDDEAVTSGSGNHNSQNGDPSEGCGSDDGDSDSENSDNNDDKNDNNNNSDDGDAEDV EDIVGEGDDYFSSTTNGEPPELLYPRLRENLQRQGYHLVGSHSGVKLCRWTKAMLRGR GGCYKHTFYNIASYQCMEMTPSLACANKCVFCWRHHTNPVGRSFRWKVDPPRELIEGG LAGHRRMVKQMRGVPGVTPQRLEEALNVRHCALSLVGEPIMYPEINTFVDLLHEQNIS SFMVTNAQFPEQLRDLKPVTQLYLSIDAPTPEELQRVDRPLFEDYWERCLACVRELRR KPQRTVFRLTLVNKYNTENVSAYADLVRLGWPDFIEVKGVTYCGTSSTSTLTMKDNVP RHTEVVEFCEALCQQLATSNEPKEKRMWLGRDIVEEQQGAVAAAESGAETHVEGGMTT SSLCGPYRIACEHEHSCCVLISLRRFFIDGVWHTWIDYDKFSELARSGRRDFTAAEYA APTPPWAVFQSKERGFDPTQVRVMRKSGKSEVITSGC XP_846334.1 MSLADEVPREWASSIRPFLQRADEFQERVPVVAYFLRTHAVYLA MKMWRKEDGPGKAFIVKLLDTLESEKKRLEQELADVDGRVLLTQYALRIFSRADDEER SDGVVANMNLVRLFFTASLLFEATAQFTDDGTLDPIATEKRDYARYIAVEMKKAMDKG VPYVSPNRTGGMVESNKEHEGESTGGGGFSTNFVQSQQPGYGQWSAQYVTPQPPPPSH EPPETPMWSGPESTNTSSTQQQQQSTPLFQTTAQPNNSNDNSNIAAAVSGVAAPKGPT MDAIIEAQNYAKQAVSALQFYDHEVACKMLRSALDLLAQR XP_846335.1 MELLGNASLEPQDLQSQQLQWEEEASGKETKVLQKPLPSSAQRE LEAKLTEALAIVAADAATRFCEDMRRLWVMYFAHLQGKVREIFQKLHKAFDRFESSPD EETLADFLFLHRTMQPLLSELLGIHDAVVENGEEGKEKVECEEHVHNIGSNDGSACCS NNRDVALPPGTPLEKEHVLVQHLSLCIAEATTPVLAFTLCEMNKFVGVKMNGDTDRNE KELTREKGSSACGRTEQCAGLSNEEKEGILGDFSAERDLQPSRQQSESRDVMSEHSHV CADTARPSVDNSITPNIIHDLPIEDTIDVEIVDDGTSDCGGDVSSENGGQSDYYYHHY NPLMEAMSIEGDWGSSARPTSSPHADEATIRKKMSSVRTSRSAPTFIGTPCEAQIGHH YVDHTETYNGDGTHCGPWDGGSSDYKKGNAVRYSRSNSGVHLKPPAWRDDRTDSAGLM DEWEERDILTNRTLDPERRLQLLKGQEQLLLQSYPVNDERLWRREDGGEARCYALKKR LARLRSAILRGEREMEQLQRIKVERELIRQMRAEKERRLLEGRPSRGRIVHSI XP_846336.1 MEREATKRERGKKGKKNERGETPPGSSSDHWDGRNTASLHPTTE PTGRASRSSGGTRFEPRARVHSLPPDSHLVSSVPLHVPPITTTTTGGRAKVALQRQKQ QEEEEEFSKTMPLPLTTTFNWDEELTDEHEDLWPPLAVQKDSLATGAQKITMSAAAGD ERQSNANSRAPDHRSNPTQRERAAGLLTTLPATCKTTSYVRPFVGSVRIEGEEESRDS QLVAGLTSTRTTSAFTPRSPLARAPLGTNERTFRSNISCTGGALRCEALGGLEGRVCN TRGGVPRSTAKFDDIVTPPLSVGKDEARSFSIGRCSDILRGGARICPGVDTSEDTEGN RTPEAVSQSPTVDVSQPLVREYSYFEEQSVSINRGLGEGRDFSPSLPCQGMVEEGPGV DTMAARAEGGEEVGSNSRWQRIRDRLSAANANPLLITLPQSPSFSPVTAASPEASANN ETDRHSAPRGPSTTLSAVSCRLDLSRHPSSTAMSALTGPMDKSWRAESDTIGGRGSRT PQQISPCKRKKGMDSGEKRKVLRKDNDHPPQNGSVISRPAVLTGFNAAEVVSGLFIGS YADSKRPEVLAERGISLVINVATECAVTPEMLNNPCNVHYMKFHMKDNSDEDVARYLV SFAQLIHTQLHRRQFELTRTETTPPFCKHPTNGNTNCCHSSQCAAGSTGSNATKVATN GAVGTRKFGKGAEQGMVGDGNIGAATCHGVTNAGDGCGGSNKPVASSTHNSNSVLPPM FQSWGAGGILVHCREGVSRSATIVLGYLILYGEFLSSNGCWGRISDEVATLIKEAENH SAPAVRDGSCAPVCCGSALPPSTHPSSPNGAMIRAGNCSFSPRRGVNTTTPAAVTSGS GSPSTAVGASSFAVCGNDASNNIPMSATPGTSSNNNGGAEVPNVGKNDCDVIADCACC CCVARRSVEEGSKTAGSYQWAFEMLRKKRNISPNIGFVLALQALAGECASPH XP_846337.1 MNPHACGVSNSWKPLSPQRDNIHTDMLDNINDNDGEDDACDYHR NHEMHKKVKRARTGTAHDVTTVAHINSNCSSCTSNGNDDKGRHVALIGSSDDNRNNTE VVCNKGVKNNNNSDTSAASGAVDERGAVNGLSPSCGQEEEARDELGQKCGHENNEVAG GRDTTKGSGFIAPDGDGRPADGHDSNGVVNGDDNADGEKRAVTLPIELDTVCRGLVLF LESLCEENSSEPLLTTDFHSSSIPGTSIAAYTQRFRLRGSFSGETLLVSLIMLLKYSF TISHPVTYYNVHRLMITSAMLSAKMREDRFFDNRYYSFLGGIKLSEMNKLELRFCSVL GWDLWIDDEDYETLARLMRRLVKELAEVEVSSNNDCVGEDVSAQNEVALREFGARFWA EHLRPWKCGLESNLARRAQRMEQSDRIFLNRIRERSRQFYLSESNGINGYGCSAVLVP TIYGRQMYQMTTPVMINRSGEACMDKKVDFRQQQQQQQQQFGIANRNSRELGTDHSVA AAAASSSVTAASACATMVGASGTVANGFSSFGNSVRMDGGSLVTTKGQYVVDGSSSSA PRPHNFDHTNGQSCVDPFKKDLKTSVTGSVGGAGVSCDTVGNGVNNGHRYASGTSVGN GTTGSAVKQQRRGNVSENHNNNNTNDIVVVNGSSGTDASNTGSNKWFSRTVRSKIQHA SAIAVSDEQQQQPPAEKGLPWQRGERGVGAKRRKPDHYRDYR XP_846338.1 MAKRSRSEGEEQMVTNEDVEKLREEVAELRNHVHMVAAEHEKLR EEYDEYRRRPVTWKAVINCDKNAPLECDDPADVIVVDDPGFNLKELSSLKGDVGELRL RRCCGRLDLELLNNQGLYLLEIGPGAVANMSCIGKFPCLQTLILHGTRLDNEWEKGVE SLEKLREIVMKNISMLQDAKFLGNALFLDSITIENCPNMNAFTDTLTWPILRRLVITG TSLIVGNGLVEYFHDCVSLKVLRLGDCSAVHSKISLKNCKKLVELCLDNVGVRSTFEW GTELPLLRVLRLTNSGVTDADVKALSAFTTLEEVILSGNKEIVDISPLTNLRSLKVLD LSLCSRARIVGPVDCAPPLEKLLLRATAVTDECVGKLYSTSLSEVDLRFCSTLSKKTS KDIASLRNLRRLFLDDSPEVVFPGRRSPLETLGLCSGSASTTTLETICESATNLTCLR LWYCGGELNVSKLASPKKLRELYICGLRSVSEFAAIASLPELSKLILEGPFVGNKEIE DLSRCEALRSLCVRNAKHLTHVDPVFAIKTLEELSLSDCELLEKISADKSAIRSCVLR LCAIPIAAEGLKKLASQKAIGKLLLERCGSIRLDDIIGVPCTLTDCKEIVCDHMPIPP KIHTVRTPVVVLESAKNRHGW XP_846339.1 MGKKKAERDKELSLTDMLGSAPPGSVPVQMQHGYGTANAQPRGG LAAGQAPPGQPPPGNYMLVGPGGMAPNVRHLSRGDPRLVSQQSPLRVAEGMDPRFCQP QMRPMTFRQDPSQVQPYNPGAPAPLSRSGILMHPGGMIPASQPPLHQNTHLYPYINNQ RQTMPLQPQQGSAAHGPVAPPPSTTNTTEDKVEQESAPTESSASKKSSSKGVWGSVKG IFNVFKKGNKKGNAEQQQQQEEASAPAARNHNTMLQQPVADPEQRFRSNMLANATPGT SYVDPTKHSQSANATPFRQRVMQSGSGGTGGASPLRHTADMTQSRTLPAADTPCSSGK RRSNPVSSREIQSASHEKNQTNKRRQKDEGATASLSTTRALDDDSSSSDGLSAVGIGN QRNRNAQLKPIMSMPVPRPRNSDSDSDSEEVDAKAKKRATVEIPTTTEKVKKSDDRRG MTGRNQESSATQAPRRADNVEPVVKEAPAEAKSLETPAPFANHAAPVKGTAPMKTKHE ETQPTKERIPSPAVTPKETPTPPQPVVKSGVGTGASTTGSGVTSFQKKETVSNDVSPT VNTSRAGAGRVIREIQKAKSPEPNRKHATDEREHGGTRGEDKRSTHPPRSHHGEIASE RGEEVLREVKSTATNCREPNRSPSSSGKRATPVGANDRHSRGRTKEREKDTSSPVKKP SPRRKPSASKLVDCRVGQNKKRRPPQPDSSEDEREEEEDSDTDSDASVESYSGDKCDK GGTSPDKHGGHQPGHTHGYTDRGQRRTKAHGRSRGASHNDRSSSPSSIFRATDVYKLY FLLKQEMEESRRHKSSRLTCMRTPTRRALHGGRCTGYMHEGEERRSPWRHGRGCLDFL LPGAPPPSSMGYVSGGRRRSSRSMSTRDNYTSDRCRSSDSGRADRPRRASHTFDRSPS CCCCRSTCTHRCSHMARRRSHARGDGAADLHKNLSQRKASQMQERRLSAEPKDETRDS TRKGRGTRAAESGHSSAERRRTPAGMAAAPSDADGLKRDRNLKAPARVIDADGCISST VPPDKKLSTNVSPCKPSVFETQRETCRSPSKEESQRSRRQCAEKLHVSDERISGRVPG SLSTSASWMDDAPTGTSRKTGPEGSDPSAATTERNVGGVAIPVVDLRWAFRPRSSSSA GSNTPVRNVRAPHASNFSAAHDRRRDRTGPTPSKRTDPARSMSVSSCGGTRRTADVTR LLLRELSSTSARRKSTWQPTRAFSCSGRQDTGDSPLLRRRSIRRTISQEGKRNEDTSS TSVVVLEEVKLDEQGRPYVLIREIPHGPKAVEAQRCLSERLSQPKFRRTRPGSVGV XP_846340.1 MDTYSKLSLVKENDVDVNDVQAQVDVMYASILASVDMIMEKEAA AARKGAPTRGAAHHFKQRGTQAASSCASAAPPKPETRCALPATETKEPDEAAETCASQ CGCSCAHECTSMGPKASSGCQHVRRHNSQLAATKCRRPHEASAEKVKIPYSTPTGRVV NAATVTRSSQHRFPPSTFGSGRKGMSEPIRKDTSPGPGSYFIADDSNNDSGDCYRGRG AEAGHSKKSHTSGPHHTGVEVVEQKAEKNELLKRQMEILCQCYWKQMPDIDAPKNPAA HASDCPCQSLRTVCTEGDNDDHTSSRSNSGSEAAQPCGSSTKKNSNKKSGATKAHDSF QKSPSVVSPRLVGRLSNRGAVFGISPRCTIFAVDTSDTNTHPKHRSSQRRRGRSEEEV RSGQRAVGDSDVHGSVPSYLKPTKRVDGASAGVSPGPGAYDVSGELGMSALSPGKTAT GGVLIGRAERKLHAVNNFPGPGAYDVKEKSMTETSSKKSARTLSSSRRQLSILPTKEA VLIPGPGEYHPEAATLSDARRRSPPAFSFGRAKLLRYGRVPLTSSPPSEPANVPGPGT YDTASVPSDRCTAVSGRSAVITNVRRGGSPWSKERTSPHEVPGPGAYEPVRRESGPAY LMGRRPAERVDTTPGPGHYELPQMSTGPRWLVSESPSAPLEPHPTPGPGEYSVSTYEL KHSHSSAPLFPTAPRVLHEGTKVAETLPGPGTYETERQTSPVRSAIMGTAQRVSTLGF DCCADVPGPGTYTALTTVQEPRVVSPLLNAAAPRFAESSEPGAEGAAGVVATPGPGHY DADCGDVGTRGRAAVFGSAPRELPFNEDEAAKKPGPGAYEIAMPKNSPSFSIGQKLDG PEPSVSVPGPGEYDPQDPMPNTRAVVIGEAARFQTSGGEKDRQDVPGPGAYTIERPPE YRGAAVFGTEPRLLTIDHSVGNHDGNDGIGPGSYDPQVPKGPASGAVWSAGPRFAADT LNEVPGPGAYDTRQSPTPTNVVNFGISCGKPSQRADPAEAVPGPGAYAPKDPHGRTIP GVVFGSSPQHPTSPEEREALMRPGVGPGSYEVILPVPHTFHTTFGSAADGRIQEERHG ELAVGPGHYNPHDSKASCARSAIIGMAPRLQSHEDSWSIPGPGAYSLDPTTGGASPVG PVSFTTAPRFDNVAGAAAGGDNDVTPGPGYYSIEDRGTLTAAAGVPVFSRGPRVLDLK EREHALLPGPGQYHPEDLSTKVGGAAYSFGVEPRMPQDATENDGTTPGPGAYDVTIPT LTATGTRFGVEPRALGSLNHEEGPGPGTYNVGASRTTSPTAPVYRFPTATREAPSLEG SDVPGPGQYDYTGEDLSHRRAVVSAFPISPRFATERGEDEAPGPGQYMPHHPQWDSSQ AYSFPMGGRNASLTLGTDGPGPGTYSPQEPSLTATAALFGIGPRQTSGGLNNLSGQNH PGPGHYNPALPTDTPSVIFGTAQRDVANTGGIEDAPGPGSYDLRVENREGIAYSIGRA AAQDRMAVDMQKAELPGPGTYSVQPAFPAVDGGSGATSFVKAARFPDGQAAQTEGPGP GAYSIQSAQRTSGPFIGRAPRVATGVGANNNDLREQPGPGHYEVSSGGLGSIVDGGVA MRFTSERFPGLSGGAVGESPGPASYSPAEARGTKDGVSFPQAPRHSPAELNDASSRPG PGDYDIPSTWNTTAGNTYSFGAEERMKAPTVDADVPGPGSYDTNRYLNAYQAGPAFTL KSKPGQNENGGDLPGPGSYDASRYIDESRAGRAFTLRGKSTFNGSSGNGDVPGPGSYD PNRYLEQCQAGPSVSLRGKAAPVGGHDADVPGPGSYDASSYLERCQMGPAFSLKGKPT CVDENSAGIPGPGSYDANRYLEQFQSGPAFTLRGKGACNGNDSEADVPGPGSYDPNRY LEQHHAGPSFTLKGKATYNGENGIDAPGPGSYDINRCMEQSQAGPSFTLRGKPSDTGQ KGPDIPGPGSYDASQYLERCQAGPAFTLRGRDAFNSNSADGDVPGPGSYDVNRYLKQC QSGVSFTLKGKSAQNDNEADAPGPGSYDPNRYLEQHHAGPSFTLKGKATYNGENGIDA PGPGSYDINRYMELCQAGPAFTLKGKASQVEGSADVPGPGSYDVSRYTEQFQSGPAFT LKGKQVTSDGVDADVPGPGSYNVSSYAEQFKPGPAFTVKGKPSGAAENSDGLPGPGSY DTDRYMQQCHSGPAFTLKGKPTYGDGRQTEDVPGPGAYCPRPTETTRAVSFGPLPTVD STAVFEVRVNATPGPAAYVIPSTLNQKAVPFGAAEREVQCRAEDVPGPGAYLTGGPVV LKPGPAFTFGRGPRSMTLESAQEDAPGPGEYHHPVDARPTVLAFTFASADRFAEFEAG NGVGPGEYYHPYPTETHGGPAFRFPKSMRAA XP_846341.1 MSSLPSGNGNWSADIIRTASKVDAVTMYKGQAHVRRYAKIKLPF DDTINTSPRTPANTPVASTDVVDQMSVRDVTANTRDSSNSSVKVETDAGSYPSGVVEP QRRLVNEKNKEDLEEEEVEGREVHIYFAMPPSFDQDSVQVRFDAYTSERVLVCGVFFE NIEEDPATDGSHEGVAEDALNVKKDLRTMEAKLERVVRKRAVNKLQQEELNHGVDIAT NLFDRACGPLTDELADALVTDFWKMQIDGVEERTRQYLKEKRRLQSEDTQLEKEEMDI ERQIELMNRRIFEVEGERNTALRWLDIPDVEATSTRESSASAGRHRFCCIVVRTMERI LESTVFVLYISHDASWRCEYEVALDSQKREVTLQYNAVVSGGVEDFDDVALTLSSAAP RRHAGRGAKLKPWRLEVSGVNSCGTSSTGNAKEGKPAGPFGDASGESSDSSDDEQELP DEPWNVAGNEDGPGGVMNFTLPGRYSIRALTRSAVDAQTELRVPIATRRWEAEVNYVT VPGVSEAAYATATCTNEGTNDSEGALLILAGKASVQLDGDFVANSMLERTPPGEKLRI YFGVDPSIEVRRDFLTKKHDDADKFLGFSLPGSNGRSRVTYSYTTTLTNKKPMETVTL TLREHIPKSDDEELVVQLIEPANYAVDDEEKRRGFETEGEVNIEVVLKPGESRRVDFS FVIDAPRKSRIYGL XP_846342.1 MSTSSSIFFEVDTEGNEAAEEEECHSLREVPNPAANTNAVACNT SDDVMAAGGALYRPCVSALSVPRSSSSGDATSEEMQQDVRLMLQRNVGLEIPASDQNE EQSMSWVTAETVEQMTDVDDPEGTGERLRGQEVKVVKDLKRESSGELKRGDGREYETE SDTDLAGISRPHNHSMIADKVNALNPARSPRFLPIPSGNAKHRDIERGNNSLSVANSN RSGNNNAEQQVHSNGNNAMTASTSPPPKASVEGPASAPAEKGADAQLKREKKCDASFP QPEEAGAVNPEQVCDQPAIPVHPSAARAAPEAKQVKQTAKEKKKGGFFKRIKGLFSCC GTRNVVGKNNSKELRTAGGGAPIAASGKAERAVALNAAVAEGERGKNGKAGCSLKHEH DETTPQGRKCGGEHSDNEGTTANSNGKDRKGEPKVSRSKHDGMKPDGITKEAEKNIGK VTVNKIAERGATTRAQERGSLRSERDIGLKGNDDGGVDDTETDCFSTIPNEEQDSFAT GVLPQPSAQAAYDEGKNSGDARRDVSDTLVGDDVTDETLKERQKPASESEMHDERDQT WRAAGAGQTEDANTDPSGAFSPQSISLCPYCNCTGNKQCNCRRDGEPGDSKGGNGSGQ APPVGRRKPRPKWSIGPKKDTDGASQVNKESNVVRSGGGSYVQLAEKRREYLKNTKRG QQNIGRVRNNSSSKGTSGGARQQAAPMQQLQQYQLDQTSQQRSLKHTPVQQQQHAEGD GSETGNRTPVKKMADEERATCGSRSATPPRLPPPKNIPEFMNSLKTLDNAFNHLNNPP KLPCSSEENFSWEHFKKHFYLAGQQFPSEVMNDEELREVLADLSTKMRPSCSACRSTP SELWLLELLTGVKSSQLLVRLEKKSLYETPAETALRGTASRGGEVVTSAVPASHEQ XP_846343.1 MNVSRCRWQGVIKSLRSYWKWNAGAALSATNSQNSTSPYETWGS GISRFFTFLRSSIAATAQEVPREPDRIPPTYYSKASRKSLDAFIRVVERRHSSKRFDS SRPVDHTLIARLLEATTRAPTAFNLQPWVAIVVHETSQRGALSHAALDQPQPREAPVT VVFAGDMEPEWRAPAALELGLNSGYYHPLYGAAYLRLVYYHLHGGPFGSMAKAKSCIS SWYSNATGTPLLSVPTTMQGYAWKQAMIPATTFIYAATAAGLDTAILEGFDEAKVREV VGLPERYTVPVIISVGYKKADEQGKPPVRSPRFSTGSLVRWNRF XP_846344.1 MGIGQSRELLGKVGEEHVFVCTNYEELLATLRKLQSCSELRSSQ GAVKFEGSLPTVANSGTPTQWEETKCRPVSKGSCTLLGSGVYRGSLRFLPPSFLTDTT AKPLEEVHIPNHYMGDAGLISGHEAVLQTLANSPGLRSLNISYNALTHVSIEALLGKA PHNGAHDDNGFPGGFLPPNLLSLNFAGNRAGRVGCERLAEFLETDPPLRALSLYNNEL YDDDVEPLLRGLRVNTRLRQLNLDCNYLTGGFLQQLIDVLRVNRQLAVVMFDGPYDMN TFARLKELKPVEAVPDKAEDPAGHARALLRNEMLCHDLAGRPPFPTDLVQEVEAILKP RCARYVDELREERERAYQEAKNTDEMLEVARRYRDASCDTCGGEATDLSHQEECDSAD KSKSDNGTQGPQEEEDRDEDGEREQGTEGEEKQEEIETGAGNRDGGFGFFRPPLRGKD ASRNGSVAPVAAPLSCLRPMPPYAERKRQSATFFPGLLLSPVEGGSSASHSMLSNTEA RRDKSPASGEHRMEETGRTTANSKSSVLPRKELTNGFDRLVLAPLSEAPRSKTIWKTV TGPPCRLRACWCDARADIVPYANVLHYHCKHEQLTNEAGYEMEPCRLTTGKSSVSRGR PGRLPLKEKKGSGPEWSHTSRATIRPHSGRDCATPSAGGYAGSGPSGRTVYEGCKATS HRCESIGFYGRPKPDRRSVVFFSGQHPMLARGLKE XP_846345.1 MPPRPRPRMVEDPNNTWNSQLMRACLQNDISEADKVVCNGADPS APEPVPFHQTPVPPLIALLLRGLCNAECVATMKWLVERGADVSQRVPMRDRDETSDTV EEGTILHLILQMGQLPLLLQVLALVGEPRPLPVSFIRRVCRMDPALLGMAAQCSGGSL GKSNTASSSAYPPNNWGRSLSPVTSKRGARKASRLPVELPFIQQLGILSDVNPHLDGV LIDFNARSSVNGWTAMDIALRRKDAAAVELLLYYGAPCTFHRLVNANQTLLARACSQG DKELVEILLDAGDDLWHVSMDGRYTLIHYAVAHPVVLDLLLARGLSIDAENALGESAL VSLICYGQGCNGEHGIRDAVRPLDAVRLASLPGPAVKNYILAPQTTSTAGSASRHPKP STAAGNAADTDCPGVPRDADAWWHFLPGNCSTWELIQTLCDREANVHGNLAPIDSNRL IRRSAENKRTTMVTHQYEVGATSSPWLLPDFKKGSLVPSLPTSPGTSRDDVGLSAASP AAAASTTQTNQSTPSRQLGNSRSDQLLPTVQQVGRMTPLMHAIVAHHPELIRRFIVDY RVDPMVQDAQGACALHYGAIALHPSVMELLLSHINVNPEKRYDINVQDCCGRTPLHYA AMYGNTSVVRLLLNSTSGNSNAGAGSYSLNAAQPSKTDHAGRTALHLAVLARQLDVVE ILLHHSESAAVVSNADTSVLSPHSQAPATKRRQHRTGSGFRRRYRQPAASSEPVAGDT VAHSLDVEARDTALGFTALEMAVRETHDVEAARLLLNLGVACVRHASGMPSGGTLLHR TVAQGMLPMLQVLLEYYADPNEVDDIEQTPLFLATQSTLPVAVDMVRELLSFKATVEV QSGHTLETPLIIAARRGRADMVDLLLHQRQDSRSGRRLGAYTARRSRGRFGNHDNSNN TTVSSHTPPNHNHPVSVLNLSMQSRSRHSISSQQRLGDRPKKSVNTPRNRRGGRQGKA GARTQSSARANQQKQQKSAERDASSVSATSDVDDEVFNAGQNAMSEGVNINLAGTTGT TAGAANSCCEYSVSSLDSEGNQPPVLTVGIHHLLCTDKQVRTALHHLCSHSDPVVQQE LLPHIRDVLSCTLAQTLVLQVDAMGRLPIHDACASGFADAVTELLNHDTGNCAFFLDA RGSLPLHYAVTADSEACLRALLRNVNVYAPCTLRGASTTVEDPRVVPITQRILTHHRG THYSKVTCVLRDEGCRENHVETVWDYLNVYDGMGRTPLVLAAELGRCRVAKFLTSLLK QRKTHKQLNPLEPQGVTVLRCSSSSSIPCDSTVTACMLTAEPSAINTPSRGDCRA XP_846346.1 MGKSEGIRVFLRIRPAAKGLPRPLNGVGSVRGADDTQNYSVEHT FEHSTVRFHVDRRTDADVVNNTREDFTFTFRRAFEPNATQADVFNTVAKDCVLAALDG YNSTVFAYGQTGSGKTHSITGGAESYEDRGIIPRALALLYEEIARRQQQEGTYSVAIS YLQIYNDKGQDLLNRGHDARKLEDLPVVTIHDGGSDSDEVALRGLAQHSAATPKDALN LLFLGDTNRLYCETPMNKTSSRSHCVFTIYLEARPHGASVVRRSKLHFVDLAGSERVA KTGVSGTVLTEAKYINLSLHYLEQVIMALSEQANGRREHVPFRNSFMTMVLRDSLGPN CKTSMLATAHPAVDQLPETISTCRFAQRVALIKQDAHVNEEVDPHVLVRKLKAELQQM RDRLAFYTKDGGGAPDRDLSDDEKLRCEEMVKRFIAATDGNAKLEGFDGDLARIYYCF DVLKRMLAEGGAGVRVGGCGAGSSGGLSEQQQQQLDMYRSRVDALELCVKQKENEMNM LFDALQKVHRAKYNAETQTGADDGESSNYASRTKTAPGTGGSVEGGHAAPFQKPLGHP SNGAHMYASAAAAQQPHGMNVVEAGHSSNAGSIRYDGQDSPQALRRMACERLAPAEVT AVDEFFEKQQQLNEVYDLSSLTDAELLQDRATAFEAFSRSYRQCAQMESNKQELKARY ETCKTTARQLNEVVDQIRKLKGCIQRLRAERVLQGVEEVDEAERNALEELAAHKTSYN DLAASLRQQKESIDAMHLFMKRAQEQLTKDFEDWLQIRQKQLIMAVKAGGYKNADSSG SSNGVSIASNTTTMSNNIATPQVNPTTTAAVTTATIPPPTEKAPPTAAAASPGRPTCF KPRLNFLQEQMQSKQQLGEQKPASASMPDLPPLREGLSSRRSWGCDGGMQLRGGLLHT GGLGSAPTHTRASSDTECGRLHHSVPATLSQQSTPNNRLGQPSTMVPLGGSALRNGWT GGSSSGAINDNNGNGYGVTSGISAGGAGLDAPNPYAPEYRSTGDPAADKQLAELYKAR DALRLQLDPPQ XP_846347.1 MHVGAEPPLIPLTSPSLFVSSIPHSSEHSPTLGSDGSENRCSDV DLKHLSLRVRSVLQAGKPPWRCPPVNGLLSGAEVNRSPPPSAPPLVRRVVHRRDDSRE CDTSVTGCHMNTDGENNIGDGNVDSTNMVVCSDETPSVIENNKGLVKNHDSGTNRETN SDGSGNLHVTAGNDNNDVAICLDNDVSYISGSPYGTMDGWPASCGSPSDVGNRERNAL KRRFALGEVLAPSPLRSSTTAEVESGYYNSHRQQRRLMEQQQQRHHSQKRRHRERVHS ADKQSGRERGKEKQALNDALKVLYNAAAEKMASARRATSAKHRSTSGNHRKKLTASES CAAVPRHHEVKDTTKSYETRDPVRRSRKPRADLDVATIEAATRNRERTRTSSSRRGTL NDEICNFIKTYRVHVPSPALTWVEVAAPMVDVSEDEGITSPSKVPSVSTDSLDVAVET TTFTITGKSNKPKVVAGEGTTSGENGVDRADAGKKEVVNESKTSSDQINSVSLQVAVP SANLGHYTVRYIKMQVEKQLGVSAAQQVLYLGSVMLRDDVPLSFLHPSMPIYMDHDES NTQKVTEEEAEENSGNPSGGSASLEGHGKEQTQSQEIEPPQQELPLPEALQQLEQLQV LQQQQVLENLKGLQLFQQKPEVQQQQQQQQQRSVSQSPTPLSRLGTLTGSPMRPRRPA PSPFTAAPAAESQRSVSESGALRVVGSISAQTPLNATQTSLRSTGKTPPATPLIAVAA SDKHEGSDPQTQPLEELCDSGEMLEDVISSTIEQMKMLESIQRSFLKS XP_846348.1 MPNSDHSYSYGYGYSGSRGGSNSAGAKRERSTSPKGSHNRHYHH GSYHRYRDSDSGRSYHSGRSGDEPQYVIAASAPCLQVPSDLPVDVAAFIDLVAFYIVQ GGPTAEEEIMRREANNHHFAFLRGTWKDPQQLYYRWRLYSLLQGDTLLKWRTEPFQIE RGKDAYAWIPPPPISSGPECLLGACTGGPRETKGKPTDGSGRSSNASAVGAARPQPSA LWLSRMCVSEGTYFVALGKEEVEKWEKLLSMDHIVEELGGVATTSTTTTTAPPSSSST AGSSATCCGMTLEERIGKLAASLLNGERIAERMVFAVEHQKAALHLMSFILDEVVRLA YASADAARGNGNTNTTVAARTGSCSSGGNSCRNPAFLAAARCCMCLSYLFTLNDIGRN GGAHPLLDEDVANMVASHGGHQGGGANKPAGSGNNSRGTSADPSLVPPASVLANTSAR GPLPLMPLPNTAVITPTAASHSVRALNRAVEKIMPTLIEATLLVALNTVRQYGSLQVV KALDADKNEEKPPGVEGTEGILPMGTDHTAHLHVQARISTTAVSADVMDLRKEDRDAV CMIGLLLLSWLKQLCSSWSDGDVIGSRCWSTLASKYNFLVSQTIETT XP_846349.1 MEQYQSQSHPQQQSQQVWNSLDDRVEFLLRRVAKLTEQRQHIAQ DIARSVIADGGSLSSSIEEIKAVSYGLLPQFADRGAGLARHIAISASLAESSSRRVKQ LDFLLSRVRAARMVADSLQEMRRSVIAVQTMIDRDELESAVQLIRKYEEASGKLGGRS VSARILLREEEGEDEHGEEVVSGVEEEEEEEEEVQPAVKLSSDEQMEERKETVSAVTV GETLQTQGIGDEGGVLASTSSTAGGHETAAEPRVKAAAKMRRKGTWKKGSSGCDEYGG RAAHNDNDVTANRGEVDDEVDRIIVNARQTVREKLMKRFYSAKVVNDKQTIMDTVRLL TLLGFRDECCCMYCSWMCEHTIVALNKMVERELRKIDDPNEAGMSHLALVSAGLDLVV ATFENEEEFVLETFGDAGLLLLLTELHSRSTSQCVPVLKDFLKKRQEVLQAVDCTNNS PSPRGESNDNSGRSAVTSPRQPRALQSRGTTLDPRRTDQILEEMSHLVSCYHLYWTFA QSKQQECTQRVREKEGGAREQNDGMNQSADSLWSSRDNPLMTAVQEILAIFVPLQTHY FEAAFHQVLQIQLDAINASSHEHPMPSTTAAVTAGNNSTKQQVGYGSTTSNKAAATLM TGLAAAIYNSTTSGSSANTGGNDASGMVGALVNSSVSGAGNNSGIAVIDEDFLSSTSS QQLAPITLPDDVFYFLRISLHRAVNTKSTQIISAVFIACGELVQSQLIPTLNRYTALP HTTQLQKLQTVHQQRAQQQQGHNYLYTVPTRILRWTAATQCTITYIRRFADELRQLSR SQFSGKDVLRFSEQADDMDGTARELSTGIQQKLEDYAERCYQAHLARHIEPFATLSYE MTEDVFYQYELSDPWVQAFIAAADAALAHFDTYLDPRSFDLLLLALVKRVVSVARSLL LRKSISAYGALQVDREVRTFRTFFTGRAHEEQLREPFIQLMDMTALLLLDHPREAEQK QDQKAFQGGGFIYGGNELTPDEKRRVLRCRVEFKQEDIDRLRL XP_823790.1 NSLDDRVEFLLRRVAKLTEQRQHIAQDIARSVIADGGSLSSSIE EIKAVSYGLLPQFADRGAGLARHIAISASLAESSSRRVKQLDFLLSRVRAARMVADSL QEMRRSVIAVQTMIDRDELESAVQLIRKYEEASGKLGGRSVSARILLREEEGEDEHGE EVVSGVEEEEEEEEEVQPAVKLSSDEQMEERKETVSAVTVGETLQTQGIGDEGGVLAS TSSTAGGHETAAEPRVKAAAKMRRKGTWKKGSSGCDEYGGRAAHNDNDVTANRGEVDD EVDRIIVNARQTVREKLMKRFYSAKVVNDKQTIMDTVRLLTLLGFRDECCCMYCSWMC EHTIVALNKMVERELRKIDDPNEAGMSHLALVSAGLDLVVATFENEEEFVLETFGDAG LLLLLTELHSRSTSQCVPVLKDFLKKRQEVLQAVDCTNNSPSPRGESNDNSGRSAVTS PRQPRALQSRGTTLDPRRTDQILEEMSHLVSCYHLYWTFAQSKQQECTQRVREKEGGA REQNDGMNQSADSLWSSRDNPLMTAVQEILAIFVPLQTHYFEAAFHQVLQIQLDAINA SSHEHPMPSTTAAVTAGNNSTKQQVGYGSTTSNKAAATLMTGLAAAIYNSTTSGSSAN TGGNDASGMVGALVNSSVSGAGNNSGIAVIDEDFLSSTSSQQLAPITLPDDVFYFLRI SLHRAVNTKSTQIISAVFIACGELVQSQLIPTLNRYTALPHTTQLQKLQTVHQQRAQQ QQGHNYLYTVPTRILRWTAATQCTITYIRRFADELRQLSRSQFSGKDVLRFSEQADDM DGTARELSTGIQQKLEDYAERCYQAHLARHIEPFATLSYEMTEDVFYQYELSDPWVQA FIAAADAALAHFDTYLDPRSFDLLLLALVKRVVSVARSLLLRKSISAYGALQVDREVR TFRTFFTGRAHEEQLREPFIQLMDMTALLLLDHPREAEQKQDQKAFQGGGFIYGGNEL TPDEKRRVLRCRVEFKQEDIDRLRL XP_846350.1 MNACNNNTGGASPAVRSYDGPTGDVNIAFLHLVEKQLLPVALGC GEGKHSQNSLMPPSVIENSAIYRAVVNYAREEAARKSNDGDGPHPHNIGREGRNNEGK TSAVAGTNATPAVKVSTTLLETAGVPAAAARRGVIPRDPLLLRKLRRQQQSQLQSGTG MSGKTITSSVPTAIHGGYGEERHCSSSSGSNTVSKGSTEENMFRQEVQVGLHWSKRPL KAMTSTGWDVFRQQIGIRIEVVARRRHGSSVTGNINNSGGAGARNSLATAFSGEALQP IRCWEEARLPLALGTVVARRFLLPTPIQSQCVPVALAPSGVDVDASPPLGSGRIDVLA VAETGSGKTAAYLIPLLATIVSPPSRLKGDRSGKTGDDGGNSSAFRKCDEDRFASQGP LALVVVPTRELADQITREAQQLVSGIPDNEMKSLLQHERPVVVPSTEGKRSNDPPYNA LDEIRVVKIVGGERADAQYEELLAGAHVVIGTVGQLEALLLQRLLALGSVGIVVMDEA DRMLIEQQQQQSLIAVLERCPRPRQTLMFTATLGSVCEGIANKYFSLDGYVVVRVPHS CSTIVQAFEVVPSDPDTSSTVEFHDKEGNKEVKGDDGRKAPATEGKKNGKGGPQVSER RRNPLVHPVKFSRLVNYLVYATPPIVVFANEKRTCDALGNELSAEASRLMNLADTFPL ETLVGETPKALQAHKTQRLPRHGQSSSPFDNLRSVAIVHSEQSQVQRQRLVDAFRSGG RRVLITTDLLARGLDVPNVMLVINYDMPSVVQALSSDNASRSDGEEGAVQRYIHRVGR TGRAGASGVAVSLVALPSALVQRAQQHLSRKGDKSGFSIGGDGTNKQRSGGGNDFVLG NASPVTDTWSSEKSRAQKRDRCELTGSRADADTEDLFPELQQDDGEYNDSDEDGNVDG GGDQGRPYGRNGRAKGEESLTRQRTEGKQATNHARSLFQSDEAVLQPLWAFLVSCAEA NCTTPAIGSDIIQQQKCRQIQIPSVLAALMQACAQRSPHGTITT XP_846351.1 MQKGFLLLLLVRFISLLLSSCCGKRSERGKKIRNIQRFLFYIII IFDIIYYKGMKRVHDIHIIKWVSRRSAVTCACLAALHSHFGQRVVQLQPSHEKRAVCL VGNDIFCVCVCLRVVVSFFDFCLLPNGSSVSGLEGTHFFFSFLLSVQLFVNCPCLLTF TSSSSTYTPPALPECLDHFPHCHCSGTELWPAKRVSHIFSFGMFVLLVRCDMVALSFF FC XP_846352.1 MYPQQQMSPPGNMQQWNAANSGYSMPYARPYSGYSNGTPPQQPQ QPQQQVHMQMLPDAQMQQIPQQQMQQQPQQQMQMQQAPPQQFQQQQMQGDSNGQQPMW GYPPPNYQGYRGNPNMYDRRYSEAAAVPGCCNYERPGAASSGCCCTATEGTNPYCCGP PTEPGGPLNCCASMCDRTFVAMVFFFLLSTSAFATVMSLGDKDNILVKFKVPNTRALQ AKINSNIVSSSGGCTDGFFTDSRLCMGDGIDAFALTVQLKTWSTQRNAMYFLAMIYSL FTLLYAYLINFHRRSNRGANDENDPLASSQHQHGGHQGSAMGYPSVKGQLDATINDSQ QGSQNTQMQPGLQMSPRTGAADPIQVYGTPFYETMRCAWFVMGFAVVTWTYTLMASYM AQSQQYRDRASGELQQFLTAFHQRFIATVVCVTIYLAWPISNILIEIALWFVLIIPWL IYRTMCNPGLGNLRPDVPLDEMPGCIRLDMFFMDFADTRRLGFSDSQWRLLTGTGKPF FASCVDESTIDRDPSMPSLRYASNWAAGAQPGSYPQVPMNPGQMQPHGQQLQQQQQQQ HVQQQLQQQQRMMQSSMTGDEAEGGEVHERSRRRRRDHSRRRGDRSHSRGGAETGETM EPSGFGTSPGRSVTGEDEGDIQADRGRRSRSRRRGHRDGSRNARSGDFNDWDDQSGGE GGRRHSRRGRSETNNSTMNSTGFLNPQDIDRMLNEV XP_846353.1 MSSGGNVGVGVAKTIKTKVKSNLGDILPARFYNMMWTYRLGQLT TSNVRRLKDASIPFDYGGSNNDSDNKSGGGGENNNNDGANSPVKSDLQPHAVHKFDRD RDDKWSLLIAIPKNSDHFRNIVDCPRGSFMFGHTDPQLFHWFKQLGTLPPRAIVSGRF EVLSGDLLQEAWERTFVRHPVIHSIAQEMWNRKESKTEEEIVTIERREREEDEKRMRR MSSSDWRAKFRERERNPTPEEDEERPVYVIKPDAFAVLRLKPDVQLWMDCTSQTHRVW EPLIAEPDPLCRCSPRFVRMLNLARQKLVPSLNMNYSLKLANAFVFEIDQKGLWAMGT QESLDAGNADGGGENNTRREEWTELRLEFGNKQVINTEQELEWWVRGLTKLGAPEMSQ SNSSIEDAGMNPEDYDYRHI XP_846354.1 MALVASCSGLYFPDGESVDNIVTLTWRAPATASAQQPRSVVLFK VKASNPHKFYIVPRYGTILVSDDSGRKPPQPPAVSITFGLRPQSDDPLEPPPPSLRRD NSDRFAIEYLLVVPDAATHEHLTQELSRPHRRGSNTVETAARELWEQVSEGKLKATQG PTVFLRAYTRGVVRALHETQGRRGEGFSDHSHNDGGDEASGTATSNASTTATAMTISN DNKHCNSGAAVADAYGSAVRNNSDKNRSHRAHNRAISSDEVQRTASSVNSGSHRSSER HEEEQVVVPPNARLVTSMIHRKLSDRLRRDPACATNNDGAAAGPTSSAGGGELQALKF GIKALRSGPRIHPEEAASISQMKTPEADPGMGGGSNNIASPAGTGTEAMNGIPGESSV GCRRTAVADVIMRIPPATSAVAAVKNQKGIPLTALLVLMFLSYGAAAFLVERMALI XP_846355.1 MMSLMLGPEHAQACVPVKESGGYWLVHLLIFLRSHKSDLGAGKS GRKDGEGFEKEDAVSDGDCCGAVR XP_846356.1 MQVQVQEGQTACDGSLRPLPSAGPASFVPRSLRPAPLRGTSTPD RYSRIEKVGEGSYGIVYKCHDNFTGRTVAMKRIPLIVNDGGVPSTAVREVSLLRELNH PYVVRLLDVVLHEAKLLLIFEYMEQDLQGMLKQRNTAFVGGKLRRIMFQLLLGLHECH SRRFVHRDIKPSNILIDRKESVVKLADFGLGRAFRVPLQTYTTEVMTLWYRAPEVLLG DKQYLPAVDVWSMGCVFAELARRRSLFAGDTAINQLFSIFQLLGTPTEATWRGVTSLP HHNVNFPRWTAKPLRTAVPALDDDGVDLLRRMLCYNPRERITAYEALQHSYFDEVREE EVEKLMRFNGA XP_846357.1 MQTCDGGWLMLCNEVRASRRYLQVNVFVALPFRSPQLPPSYSLL SGHQVEETTSSKGEESRKVTPAIIFLLLPSFTRELPLFPKCSFCHTICEGKKNKEGLR VSL XP_846358.1 MQTSRALFEAMDPFVFLLQSVDCPVRVHTVNRETTSGTLLAVDD HCNIMLKDWSTTGHLPSIEGAAPASLRFIRGEQIRTITLAPQSYQVN XP_846359.1 MNMQILHEAEKGDALGLSHCCFGVRCVAFFRDVIPVEPSCNSLL KRESRKNGRKKVRKGVVAGPAGALRSQVLTGWNDAQRKGALCSFYCLMKPQMKGTR XP_846360.1 MPPPHRDKAVVRINALAVLEDIQRTGWLIEEFRRLKKEGGSRVE LNGLKEEIHRLREAQKAFLGNHDRTCAAAAEEPISSSKRKRDTKTTTAQQPHRSSSHD VQTETTQPTLRAYTGCQLGPGFVSEQHPIAGTRSSKEYQKRGDSVTRPPTSLLSDDYE TRKHSSAMFAVVLAEAHTRREIVHKYEKKRLIIAASFREEKILVLLSAQHVSAHLHHQ PTSAGKVSGPHGLQQADKARESVQLSQQSNKLFTDPWCPPRSTGIGNNLETSHCFSSS IIQDAQKKVIHEQRHNKYDEQAHKHYKKSNNHNNINTEAPSAFNTISLITRQPAAERT TITRHTQSIQNTNPAPTENKATQTLAIQAKETHEPTKHFEEKSYSSKTQSQNFSDRTE IERKQTHTTSRIETNYPTAQHAYTKQKEITIHASQHAPSLEEEARLRAEEEARIRAEE EARIRAEEEARIKAEEEARIRAEEEARIRAEEEARIKAEEEARLRAEEEARLRAEEEA RLRAEEEARVRAEEEARLRAEEEARIKAEEEARIKAEEEARLRTEEEARLRAEEEARV RAEEEARLRAEEEARIRAEEEARIRAEEEARIKAEEEARIRAEEEARLKAEEEARVRA EEEARLRAEEEARIRAEEEARIRAEEEARIKAEEEARIKAEEEARLRAEEEARLRAEE EARIKAEEEARIRAEEEARIKAEEEARIKAEEEARIKAEEEARIKAEEEARIKAEEEA RVKAEEEAVTLLEGKEHIIDRVRALSDDIIRQSLNDAFSRHIASARAMEDARLEAEEE ARLKAEEEARLKAEEEARLKAEEESRLKAEEEARLKAEEEARLKAEEEARLKAEEESR LKAEEEARFKAEEEARFKAEEEARLKAEEEARLKAEEEAVTLLEGKQHIIDRVRALSD DIIRQSLNDAFSRHIASARAMEDARLEAEEEARLKAEEEARLKAEEEARFKAEEEARL KAEEESRLKAEEEARLKAEEEARFKAEEEARVKAEEEAVTLLEGKEHIIDRVRALSDD IIRQSLNDAFSRHIASARAMEDARLEAEEEARFKAEEEARLKAEEEARLKAEEEARFK AEEEARVKAEEEARFKAEEEARVKAEEGAVTLLEGKEHIIDRVRALSDDIIRQSLNDA FSRHIASARAMEDARLEAEEEARFKAEEEARLKTEEEARVKAEEEARFKAEEEARLKA EEEARLKAEEEARLKAEEEARFKAEEEARFKAEEEARFKAEEEARVKAEEEAVTLLEG KEHIIDRVRALSDDIIRQSLNDAFSRHIASARAMEDARLEAEEEARVKAEEEARLKAE EEARLKAEEEARLKAEEEARFKAEEEARVKAEEEARFKAEEEARVKAEEGAVTLLEGK EHIIDRVRALSDDIIRQSLNDAFSRHIASARAMEDARLEAEEEARFKAEEEARFKAEE EARVKAEEEARFKAEEEARLKAEEEARFKAEEEARLKAEEEARFKAEEEARLKAEEEA RVKAEEEAVTLLEGKEHIIDLVRALSDDIIRQSLNDAFSRHIASARAMEDARLEAEEE ARLKAEEEARFKAEEEARLKTEEEARVKAEEEARLKAEEEARFKAEEEARLKAEEEAV TLLEGKEHIIDRVRALSDDIIRQSLNDAFSRHIASARAMEDARLEAEEEARLKAEEEA RFKAEEEARLKAEEEARFKAEEEARLKAEEEARFKAEEEARLKAEEEARLKAEEEARF KAEEESRLKAEEDEQLGVDGEGAAVFGDKLFIIDCLRSLCVNLISECFEDATSVCCGG VCSFGCVVDDVAYSGELESVMSMSLLDTFRGGEACVGAAEAVTEYVLSSGISTVAMLI NNDVCSSGTSEFYYGGSSTLYGSCALPSPDCGTQGTLSCVGELNGAGVASVDCVISGS SESPSIYSVVELSIAEGGANRLVEKQGEHSGTVTPSDPSLLYSAHAGNCEKRFTAFMV DSVINYLVEGAGTALEALAHPGLLSYSTIESTLSQSIISVAAAAMGEGGLSGEWRAGL SALAERLASDYITVASREKLQRLDEFVWYEEAFLSSEEALSRSAGLVSPQCLFGRLQP SDIRFLAYHYTELVRKGTNNAEDFYCEARLRENLFERNDGNGALSSSASASLLAVGGE DGLGNSGTQRSLGSPCYAGSRAGTLGMDSRRAGITQLVLGHALENLLEEMVGDAAKWV ASLSEVR XP_846361.1 MSRLSSKAWLYTRCGPIAHVLKYETMEIVPKGNEVVVDVLQAPL HRVDAAVVNGSVLGRRRLQLPSFPRVGGSEGVGVVVANNGSSVIKEGDTVWVAPLNGL WATRVAVPCNSVHKIDSKYIPLAVNASNYITAHRLVNGFTSLRKGQVIVQNGGSSATS LAVAALGKLLGFRVLTASTPGERFDKAKQRHAEYGSEVFEYNGKGSRAMRQALGGSAA ALYLNAIGGRHFDTFLGLLGKGGHAVSYGAQSGVGLMISGSNIIFNEVTMEGFLLPSY LASLSYEERQTQLEVVLQQLSSVGFKYPTVVAPSLEKMPDVWDECFVHGGTKGIVVVK K XP_846362.1 MRRFGSKFASGLASRCALACPLASAATAPAGASTTSSTSSAQKS FFKTTEMIGYVHSIDGTIATLIPAPGNPGVAYNTIIQIQVSPTTFAAGLVFNLEKDGR IGIILMDNITEVQSGQKVMATGQLLHIPVGAGVLGKVVNPLGHEVPVGLVTRSRRLLD STLGKVDTGAPNIVSRSPVNYNLLTGFKAVDTMIPIGRGQRELIVGDRQTGKTSIAVS TIINQVRINQQILSKNAVISIYVSIGQRCSNVARIHRLLQSYGALRYTTVMAATAAEP AGLQYLAPYAGVTMGEYFMNRGRHCLCVYDDLSKQAVAYRQISLLLRRPPGREAYPGD VFYLHSRLLERAAMLSPGKGGGSVTALPIVETLSNDVTAYIVTNVISITDGQIYLDTK LFTGGQRPAVNIGLSVSRVGSSAQNAAMKGVAGKLKGILAEYRKLAADSVGGQQVQTI PMIRGARFVALFNQKQPSYFMNAIVSLYACLNGYLDDVKVQYVKFYEYLLVHRDLGIM YGTAKNKFFYMYVQELNYLIRFFTLNSPILHGELEEMLKQHTHLFLQHYQSKMNAIKS EKDVKALKNLLYSCKRAV XP_846363.1 MRRFGSKFASGLASRCALACPLASAATAPAGASTTSSTSSAQKS FFKTTEMIGYVHSIDGTIATLIPAPGNPGVAYNTIIQIQVSPTTFAAGLVFNLEKDGR IGIILMDNITEVQSGQKVMATGQLLHIPVGAGVLGKVVNPLGHEVPVGLVTRSRRLLD STLGKVDTGAPNIVSRSPVNYNLLTGFKAVDTMIPIGRGQRELIVGDRQTGKTSIAVS TIINQVRINQQILSKNAVISIYVSIGQRCSNVARIHRLLQSYGALRYTTVMAATAAEP AGLQYLAPYAGVTMGEYFMNRGRHCLCVYDDLSKQAVAYRQISLLLRRPPGREAYPGD VFYLHSRLLERAAMLSPGKGGGSVTALPIVETLSNDVTAYIVTNVISITDGQIYLDTK LFTGGQRPAVNIGLSVSRVGSSAQNAAMKGVAGKLKGILAEYRKLAADSVGGQQVQTI PMIRGARFVALFNQKQPSYFMNAIVSLYACLNGYLDDVKVQYVKFYEYLLVHRDLGIM YGTAKNKFFYMYVQELNYLIRFFTLNSPILHGELEEMLKQHTHLFLQHYQSKMNAIKS EKDVKALKNLLYSCKRAV XP_846364.1 MSRKALTRPLTALATSMSKRIDRMNEPLKRHVEEHYRSGEDLCA SVWREYWSYQRALLPYRWRRLSGELSYIRGGRLSLAATETKDVVLYLRAITKCLFLFL LCTVVGRRSVFPPLEPGSPFAQEIEANWQPNHRRGVL XP_846365.1 MGKPGKKAGKGLLAPTNVNRRVDPTKTSLRDQRTIKRLKMYTSK IVRDEKGHIVKGSVLKASDRVEQQMVRVAPDRRWFGNTRVIGQEALQKFRTEMGAKYR DPYSVVIKQSKLPLSLLTMTEKEEGSVRQLMEWEKTFGDKSNRKRIRLDTVDMEDFAR KAESKNTEYLTHKKGTDRDLVKKSETERLDRSKNQGLLRKGQSNRIWNELYKVIDSSD VVLYVLDARDPLGTRSAYLEDYMRKEKKYKHFVFILNKCDLIPLWATARWLQVLSKDY PTVAFHASVNHPFGKGSVISLLRQFSKLQNVTHRGSSRTKTPISVGIIGYPNVGKSSL INTLRRKSVCKVAPIPGETKVWQYVALTRNIFLIDCPGVVYDRETNNDVQAVLKGVVR VERLGNADKTDVVNTVLDIVKPKDIAATYGISSWRDVNDFLEKLAKLRGKLVTGGEPD TEAAARMVLYDWQRGRIPWFSAPPFESNKHYRDTMGLSEAKHMKVIEHYSSFNIVDGV MDHKDEPYCSEDEEERPAEGVQGDATKRVEPKFSGKPPDPNTTVATFALRQEPTKKKG LRKKDLHEPSSISTEPGDGPNDDELWRRFLLAAQD XP_846366.1 MVFSTHGIRVVYNTANVDRSLYAVVHCSDGKYAEVSKDHLNRLK FIEKSSEEEDIEFDYPEAVLESLAQWTLKYGMDGVAVSSTVRPCIYRNVQHVLKDDWE REFFTTRLMNDLNIMHYLQTINAAEKYEMKGFHDFLCVCLSCKLRNEEDTELVHKIMG LEDFVEIGPSDLDEAACRYTWLDQAIKPTVRR XP_846367.1 MKAPALLLFLLSACAASPPTREAGGVQVTVTVLSLLYSKNWPVE YINAVNAGFNASLAARGWVMAPGVDVSVVRPPSYNTPAHEYLKEYLRGLEDDNNLLVV LGPMGEESTSSSYDTLEEHTLVGFAPMTGAAGFEAYRPNLYFLRPELTGELIALIRYA VNYLRVLRLGFMYLEGSMGGSATYEKAVFFLSRLGYEPCCAFTVQSHEGDQGISAAEF EAAWKEFAANRPQAVILLTTMKEETEKFIKKLVADPRTADAFLLAPSLMQKSIASVWK EALEEANAPFVPRRVIQTGTNPLAVDGFFAAIKRFQTEMRNYLTEYKEWSGFNDADHF LKNDADGELMVNGWIAGEVLARALRSHGWMNNGTAFLESLYEQRRYVIDDIVIGDFGG ECSERAVGSGAICHCNHGGRKVYMKEVAEDYRLRPVLGGYTVQSPLECNSDPAILQPP LSGVLVSVEDHPELERATDQFNKGASAVASTGRVGDMNRFFLQKIATDMQNAPGDVDA QRQNRAVSAIFGVVTEATLGLRDLTFIDPITPTPHLNSFSRNVIHLSPTLEQQLYVLV NYLSNIHVGAVSCIIRCEQAPMVKNVLKKTLFTFGLNLSSTVVLTPGDSVGEHLPKSG STFIIGLAVDDIVVIEEHLRIHTKARVLVQFSDIALLYNEFVQAFNNSDGAKHLLFAT SLPHWADVNTTSETVRRFHEAVREVEKWTPLSLLGFATGRLMQRNLQRMDLATSDLLS GLFFNETFITVDDMQYGAYKDAEGVATAEESLSNFGATDISVWSMARALRSDEPVLQD PMSPSMVYTVPNNNALTPAQLAGVVGAGLLVLILAVGLTVFLCCIMRNKRDNDNAPKE LADPVTLIFTDIESSTAQWATQPELMPDAVATHHSMVRSLIENYDCYEVKTVGDSFMI ACKSPFAAVHLAQELQRRFLHHDWGTTVFDEFYREFEERHAEEGDGKYKPPTARLDPE VYRQLWNGLRVRVGIHTGLCDIRYDEVTKGYDYYGQTANTAARTESVGNGGQVLMTCE TYHSLSTEERSQFDVTPLGGVPLRGVSEPVEVYQLNAVPGRSFAELRLDRVLDVLDIF GEGTAASTSDYSSTLAELSETAQAIAVSLQSLMGVFTQAQRQGTLMPFCERWRVPLPK KSASAWDDSYCEEVVRRIAMKVGHVVDYHAVVESEHSSSTLSSGSVLIISNHVGELGD F XP_846368.1 MERLQVRMGTLSLTSPFSMLAYPFLPCTVVILSVLSFCCGIVSS KIYEKTTREVFLEGGRWVRKSEWEKGSWKTSPEWNAGYEWWAWCMDSVAKEAKGEVCR KEWLSQRKKGYTLVPRTKVPFREKNGTQWMRNVHSFRVPSFVEVSGVLVGIADVRYIS SADFTFTETVAKYSADGGDTWKTKVIIENSRVNTNFSRVVDPTVAVKGNNIFVLVGRY NTSSKYWTWQHYGEDWDILMYKGTVIKEEKDGNVTASITFEAPQNLKFLLATVPSPGG HPPSQFLGGVGNAAVTPDGAIVFSVQVKNTWNHVVGKLLYSTDDGKTWHFGAGETPVG STESSVVWWKDRLLVNARTAEYVGYRRVFETSDLGNTLKESISTLSRVIGNSPLRNQP GSSGSAISITVEGMDVMLISQPKNEKGSFSRDHLQLWLTDGTRVFLVGQISQGDDNSP YSSLLYTSDGKLYCLYEQKIEEVFTIYLARLVDEMKMIKRVVLLWKAQDALLVGDCLS SVGGTRPCKGIPVGDLAGLLTGPAVGHVWPDVYKCVNASVSGAVDNKRGVVLGGTSGS SVVWPVSEQGQDQRYYFANTHFTIVATVQFGAVPQRDTPLIGFVNGEKNANKLFILSI ENTRWTLMYGEKCSEGSPVPSNLEETHQIALALQDGLVVAYVDGKLAVAAINVSESDR VDLLNIRHFFVGTPASSDLSDHTSITVHSVLLYNRRLSEGELQLVFTNREVIRAANPT TPLPVSSRVAAGAGRQSHDGVFFTFGDHVSSVRSRYSDGGILEYVYLLSVISLCALTL FILTLVFQRRRDVVPTNI XP_846369.1 MSSIPANSVTCSICLEHWVEPTECLPCRHIFCKKCISRVERCPL CRSHIREMMSANRFLLEAVEGVVGHDGVEQRVRNAEQRAEEAEKILAEIAGPFLETDN ETCWEAKARENAEKVIGGLRSQLECLRAKKRGMEAAISKLEASKRNCARGPDVNHFLH CLSGATLLPQLISTFAPTSTSRAAMGVAARAALGMVTGGPLGAVAAAAFAGESSGRQG NDEIDEEIRVKTADLRILEREIENIEANLRLEEEKENRRQYFVGLIRSMGQNSERGMG QS XP_846370.1 MNHTSIPVIDVSPLFREGEHRVMDVAQQIDWACRTWGFFHVVGH PISPERIGKLMEMAKTFFSLPLEEKLKINIQKSKHHRGYGCLNAENVDPTKPFDCKET FDMGCHLPEDHPDVAAGKPLRGPNNHPTQVGGWMELMETHYRDMRDFALVILRALALA IGLRKDFFDNKFDEPLSVFRMVHYPAQKEGSRHPLVCGEHTDYGIITLLYQDSVGGLQ LRNLSDEWVDVEPIEGSFVVNIGDMMNMWSNGRYRSTAHRVRLTTTDRYSMPYFCEPD PYTLIECLDHCHSPSNPPKYPPVRAVDWLLKRFEETYAHRKANM XP_846371.1 MFEETSNSKWQSCMEMEQAPAVVIFRLLTALICASNIAVEAFNV EGGVKDLKEANTMVRHGIQLERISEDIAKCLKHDKEDPEGWEKACNLAEEALDMLKRI AGELNGPRGNVAEKPEAKQGHSEKITGGWRDWRRESIKPRIGVNGSSPTAMGTTEMYV SVVKVCVEGGGVTSICLVGSASSVKPNSKDLQFDFDACVQHWIDIIGECGDPTIMGMS TLPGGDATKGDRQKQKEENHTLQTPSS XP_846372.1 MVIFMRELYVRDGVVTCVVFSLLLQVLTASSLASGEIQVKVYNM LYSGKISAKVYDPITAGFNASLTNGKSPSGGKVTVIYVPPKNDSDYVEHLEKNMSFGN NNEISVVLGPVGDKNTLNLTEYFKEKKVIGFSPFTGSSKVRSWNPNLYFLTASPAAEM LALLRYAITQLRLHRLGFMYLKNVSYGDDEYELAVELTTRMDRKLCGVFALNSQLREE SDVSTFTAEWDRFADTRPQGVIVFGSPIPDTKRFLVKSLEDERTKNGYLLIPSTLQYV IDNKWSEELNRSKFPADKTIITGTNPLAKDDGYDAIKRFNREMAKFLEDNKNNFSHDK WNVTLDVNENNFTEQDTDAELMVSGWIAGEVLKQAVSCREWLTNRDVFMKSLYNQRRY VIDDLVVGDFGGECQGMAGERGASCLCNQGGNVVYMKKIGKDHRLHPMKEGALTLTSS RCYRDLSQLYAPLSGIVFNITDNPKVLRTAEAIYDGASYVVGKGQLGHSDRFFLHWLS SESHDTSTTLYDEVKERVVTAVFGVVDDSLLRTKGMTFIDPIILTPQLSDPRRNVIHL SPTLEQQLFVIAEHIIQNGTGKMHAIVRGGDAKGIGDMLNKTLDYFFGSLSSLSAIGE GSILRDKLPTAGEVLVAGLTSDDIPIILSHLGKHHKVRIFVPFFDVALLYDELVNAFR DKPFADRLLFATNLPHWRDKNTGSDMVREFHNVVQNESKWKPLSLLGYATARAMINVL QRMGHVTPEELVDAIFGQSVITVDDMRYGPFEDKCTNGVSSEEKHCAVNYGATHISVW SMSRVLNPSVSPVVNSITPSMKYNEPKWIRLINKHIGAVAAGCIVLLALFVTVLALIV KASRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLIT RYECYEVKTVGDSFMIACKSPFAAVQLACDLQRDFLNHDWNTTELDESYREFERQRAE DDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAAR TESVGNGGQVLMTRSTYLSLSGEEREQIDVTPLGDVPLRGVPKPVEMYQLNAVPGRNF AALRLDREAEEEEDNGTGSITSESGSLAHGLTATAQQVAGCIDALLGTFPAAQRQKML ATFCERWRVKKPPGVDSWNEASCRSVTRRIAAKVGCVMDFGTRNTSGSVASFERGGSL FSPGGVAAVMLASSSSSSCVAGRCGTVQLIDPESASAAS XP_846373.1 MVIFMRELYVRDGVVTYVVFSLLLQVLTASSLASGEIQVKVYNM LYSGKISAKVFDPITAGFNASLTNGKSPSGGKVTVIYVPPKNDSDYVEHLNKEPSFVN LNEISVVLGPVGDKNTLDLTEYFKEKKVIGFSPFTGSSKVRSWNPNLYFLTASPAAEM LALLRYAITQLRLHRLGFMYLKNVSYGDDEYELAVELTTRMDRKLCGVFALNSQLREE SDVSTFTAEWDRFADTRPQGVIVFGSPIPDTKRFLMKSLEDERTKNGYLLIPSTLQYV IDNKWSEELNRSKFPADKTIITGTNPLAKDDGYDAIKRFNREMAKFLEDNKPNFSHDK WNVTLNFDKDNFTEQDTDAELMVSGWIAGEVLKQAVSCREWLTNRDVFMKSLYNQRRY VIDDLVVGDFGGECQGMAGERGASCLCNQGGNVVYMKKIGKDHRLHPMKEGALTLTSS RCYRDLSQLYAPLSGIVFNITDNPKVLRTAEAIYDGASYVVGKGQLGHSDRFFLHWLS SESHDTSTTLYDEVKERVVTAVFGVVDDSLLRTKGMTFIDPIILTPQLSDPRRNVIHL SPTLEQQLFVIAEHIIQNGTGKMHAIVRGGDAKGIGDMLNKTLDYFFGSLSSLSAIGE GSILRDKLPTAGEVLVAGLTSDDIPIILSHLGKHHKVRIFVPFFDVALLYDELVNAFR DKPFADRLLFATNLPHWRDKNTGSDMVREFHNVVQNESKWKPLSLLGYATARAMINVL QRMGHVTPEELVDAIFGQSVITVDDMRYGPFEDKCTNGVSSEEKHCAVNYGATHISVW SMSRVLNPSVSPVVNSVTPSMKYNEPKWIRLINKHIGAVAAGCIVLLALFVTVLALIV KASRRNARDNNNAPKEPTDPVTLIFTDIESSTAQWAAHPEQMPDLVATHHRLIRSLIT RYECYEVKTVGDSFMIACKSPFAAVQLACDLQRDFLNHDWNTTELDESYREFERQRAE DDSDYTPPTASLDPEVYRQLWNGLRVRVGIHTGLCDIRYDEVTKGYDYYGRTSNMAAR TESVGNGGQVLMTRSTYLSLSGEEREQIDVTPLGDVPLRGVPKPVEMYQLNAVPGRNF AALRLDREAEEEEDNGTGSITSESGSLAHGLTATAQQVAGCIDALLGTFPAAQRQKML ATFCERWRVKKPPGVDSWNEASCRSVTRRIAAKVGCVMDFGTRNTSGSVASFERGGSL FSPGGVAAVMLASSSSSSCVAGRCGTVQLIDPESASAAS XP_846374.1 MTLIEILGHYHIWVARGESEDWDYSNSFVVVCAVLLENIASNER EGKCHLTFHAATSMHKNYMLVALRGKAVKAKVSFRFKEVQRLPDTTVRNYCCCVLQAL GQ XP_846375.1 MFPFVFPFPFSFRWGYTILAGTRFTSSFSNYEGNCVLFATLPLV ISPTGFTLRSRAYTTCGGNITLSKTNNEKNGNTFQTIPSSLQNS XP_846883.1 MSTKKHLVSLIHVYAAASIKESNAAAGEGKNIREFHILCSLVEL CKKTPINLPGSSLLDDDVRAIELVNMSGSTDEWQSQFPTTDKVSGEKSIDCEKQPKQI SCHEKYDKWRATRKALEAEPNKEIKQTPAKGIQNTAYGRRIHIQINDIAKEAQVIYNN YKAETAPVLNGINDKLRIHFGDALYGKQDRPLAGAPADKWQASGTRNNDCKGAKAGIS PRGDLFCLCAQDTTIAEHMCGDTVTAAGSNWLLATVAPLIDGMASKCAGKAKPTITAS YIRHALETFDSALKSHTQGTKDAVVLGTPHSSGVCGGQASVACVDYTAAVMPKEQGKH NDIKWYNELTDASKALDNYAAAMAEEKAAQTKIQKLKQEANRLFQTLKVQEPAAIPPI SQLPRIDPLELKKKCEQFHNKSKECTENGCKWKGTDETTGTCDVDETKVTSQTNAAGT GEASNEETATSGCAKHKDKTECDADKKDDKQNCAFRKGKDVEDDKDTEKCRSSGFLVN NKLVQSIAAVFVSLVPS XP_846884.1 MRESQKKQQQTLILLAATTLLNVTAVALAQPNKVCTTPCGCKSR VIKRLEVYTTQFERALGQHQANLLDFSKLILAAVAADNQLKRKAAAALAPAAAILARC TDQLNAAAPPLTAAAYQAGYTAATYAAQHALTTMAGTLKIEPTGGKTLKAETITTKTL GSIPPAMCPTEDQTETGVKITTESEKDEPKLATIKLHSNAQAKCTATGANSCHDNALA DSGNLEIQLTYAMGQKKTPWTWNSNTNADFQTTHSAEINLLRGNESDLDSKLTTLRTA HALDSCRKAIAEYSALSSDPNWALFNAKALLDNFESEKPSDISSDRLEQATNKAYGPD GTNYKNNVWHKIEQTQVPTVQHEQLKLQPIKSLSSTDEIGAALARVFINQQKKETQTH QKQGQKDDDTKENECSNKNGDKCKGDCVLDGDICKPKKKGEDENKEKDGKTASTCAGK DEKTCGTTQGCSWENNACKDFSILLTKQFALSMVSAAFVAFLF XP_846885.1 MHTTTVLQVIALLLSQRKAAAVAAGDNEHHFLDLCALIALTQRP IPELPETQQATTDYQEIQKLNISLSGTDWRKQFDKTGTPPKRAAYKPSGATEDSLRKE RRPYWINAEDEIETDKGPEKILRSAGADGATQADRQRLLALLQPIAEAAASTFTEIEN LKQASKALTAAAIHKKIQTATYGEQATAAADLTAAVFKAANGNPTNRKELCGDTTSAS AKARTLTAFLYCICAGEQSQNAGGFKACSNAQPANADAQAALTNVHTAIQGLINVCPE PGNDKVTPDDITKLIAAVAGKTTSKAQQAFYGTFAATDCGGLSGSGLCVYYKTTTKAD SKAYHNIQWKKQLSDAANDLRDQQLATQRLQGLLLQLKSLKKQAYNLRPQLEIYKKLQ VTAGKSGTTQEAQAKKGDDCKQHTKNATCTENNCKWKATTEEAGTCEAKAEEQKSQGT GEGASGTSATTGCTRHGTDKTACENDKTGDKQNCAWRKGKDDEPDKETEKCRNGCFLV NNKFALMTASFASLVAF XP_846886.1 MADDGKTGFAKFVYYIKVFHALDYILLVTFALAVVYGMRTVRPP CRTFSWNDTDISHKKGKSTFPSGTLFSMEFIPIGLYLLFEALRARLARKGEWYLDVKE TDQLIDGATTRVEMLEPEGKRSEGANAAKAGRYPDESPEGNKENDPKRLMTCLEATNY WVLAHGFSIILAVCLVEILKVYAGRLRPDFLDRLKKANVTEGTDPKDLCDIAKARDGR LSFPSGHSSCAFSVCTPMALYFLSVLHAFSGASVWRTLVGLSPIYLACACAISRTRDN RHHFADIVAGSLIGMGTGLLAVAVFFRFGKEIAVLVPRRMEFVRSRGGRVLADVAE XP_846887.1 MKTIVLALILGFLGSSAEASFWELLKMYALYRNSLSLDEVCAAF EKGGHMRFYCERGGQRIRWGKMHCGGGEEGGIALAYSKKGWNHTSFESVGRTMKGWNF LGINPVCQLNGTFPLTRSKAAKCTKDMLKFEKKWAKNVGDYDHWGTVHGKCIVTYLTP EKIQRVRGVEIVGPYGGLWTEDDDEDDEDDEDDEDEEEDEEEDEGEDEDEDEEDSSKG GKGKYRGRMQRRKSIGEGNESNSGEDDEENYDDEVVRSPLKRNNGKLHENKGNIFFFL LSILCYF XP_846888.1 MCKQIGAILIILLFSSLCNGEEYNRVRNCHAFWFIDGESVIVAC NFDSKIRKSEQGWNITLCSRGEDGRMYLLPTQWTLEDWKRHLSDPGAKFVNDTFRGRG YNPCCRVSRRRTIIVEPINDCAPAHFAATPRTEATGPMRVWRLRNQNHCIIALNHVYS PPQGVSVGTQIVSTIFAALAGSAMESTCKRIGGNCSKESTQIKSVIMESQMPNTSENV PFARYDATEEDEDLNQCEEKNRVKEFGYQKEKRKKEEVIVETKVSKVKGEKSKSKICR RKGENSDEADDEDYECREGVTNKRALYNLLIIVIFLFF XP_846889.1 MKTIVLALILGFLGSSADKEEWDKIKHLFYDDLGTPKRLCSAFA DDRGMQMFCHRGNELPIWKVMSCGVDEKGNVFASYSNEWKSYEKHILLEQDMDDWIYL GVNPECTIDDEVELPKVPVTQCTNESLKKMERRVKNGNRYKSWDPAKGKCIITTRPLD ILSTEGEAVEVNEDDEPEESRNEEENMTRELEVEKKRRHKKRKRTAKKRKTILSSENA HEEGIDDKHGADADDEYANDNEDFQNLVKQGTASPQKNKGNIFFFLLSILCYF XP_846890.1 MRVWRLRNQNHCIIALNHVYSPPQGVSVGTQIVSTIFAALAGSA MESTCKRIGGNCSKESTQIKSVIMESQMPNTSENVPFARYDATEEDEDLNQCEEKNRV KEFGYQKEKRKKEEVIVETKVSKVKGEKSKSKICRRKGENSDEADDEYYECREGVTNK RALYNLLIIVIFLFF XP_846891.1 MQHIISFLLARVAKVADVNPFDVESSLTKRSLRIRNVKLKKDAL RDITQLPVESGHVTEIHFDIPWPSSKDALVVHAKEARLHLNTCSHLATSDTETELSSD IIRSGITEQSILGNRNCATSPCNSSRSHSRVSSSTASPKPIDHAKEHADAHDDEDECM SCCSEVSSSSTYVDPGGNEAVEGEEGIISYLYGVVKSTMSGLVDRKILVNVDVLTLML SVGPNSNTRLEIVFRDLAVHVEPCACNGTEEIKVVKATVSDVTAKAFAGELCATFVTT DVAAVCVTSVFLRGVLCRQNVTISLEQQVSLLVNHDVLLALHAYLAASRSFLELPVYC HPHIHLRGSASLWNYAHCCVMELLRDRRRRYNFTLSHIRSFAEARRAYIQLLNYCHQV GDISAKLDAMVEIEQKVRYRDVILFLRRTVMETYARTSVTSDIASDGGKGRQLVDAIM LSIHVDIGEVYIYFPTGTVMLLGEITLVSRVDETNVHIGDVSLKDDSMWETLRLPGAS DVPFFSFQKRKTKGACSLKVNVEPTRIALSTPRLLETIKPVASILPLLFVEMVATSRT APAPSSRGTMEKMSFVLPFLRLIIDDINVECEKLSLIIIKGDAPRGSQIGVSLHALSA HFGEGAHELVAPINVRMGDEGNIIVSELKFNICPAFWEYLKHICSEWSEVAWVGYESY KGATKRTQRSSNANVSISSWEEIFTAADIHQVSRRKKKSQCICIKCIQCWFVPTESVI TIGETRIFSSKNVSDEDVLCIHLRSFMLTTEDASKPFFIIDFPRGAKCRVASLSKGRL FCALASSSVVEVTLGVFSTQKLLSASNLQLGLSHPMSHFSVETVQVLDAVEVAPLRVS FCSRLHSALTHNFLPPVACVLISVGSVCVRVDRLTAGEFKQITSTMESCGALFVSPHA WREWCCAFPHNSCEIAIALCNTTCVLSFGESGVLQCRCRIPNAGSESALSFFNRFSEF FHELRDSPPALLINSCGAYTKELYIPAVDADVLHPLLPSSCLKVEVRDLSASFLFRKE AMCVLGVHAEGGLTPLKLNVQSITMYGGDVISLKLEALKFVLASTASTQDARKYGAEA TSVLSTFEKQALVELECIELKTNLCDTPYPVRQLFDALLDIRRMATSLSRKHVITADY LHDVSYNLDMIGSCFFNCPGKVVNVVECEFLAVRGSARLVVCRGTHVLFQRCRISTLI RSSIAVEDESGSFLLLDCDDTIKKLETHEATQLDAPNVRDILRCIVPHGLHLMIHHSR GELLFPTGCQLTFAFTLVGDCLCSTSYGLGLQLCLQNSWVDYIDGSVCSRVFSSTTSA VNVATRCKEHIGTVNATLQVDRVGVPMGLVETMQQLIGGTSCRKGFNGRSRTSPLLCY KRLKYLFGEGKWSIAVSAFPIDILLRGGASVAHMAVNKSLLRSKVEADGGEAHVDFRF GVQELTLWDFCSQSYKRALQRPLSVAAVARLLDSKHVACETLLSSLDLSVSIEQMKFI ASSFLPGNFGRGLTVPPTVEVINQLGVSLVLDVDDGERVVVKERQTFYGNHLVFPRCI HSVDNCRISHFESYRDPNPEKELTDVLRRACVVTLTSGEKVRIVRQVLDHTLSQRVSF RTSFQISNRLPCGVVLGAIDSSVGKLEVPPGETLPVPIHLISRDDISLCLMSSNSACT SEQQLLSEGSLRILFKLAEERGDDSIGRLRKFVLGDVPVYIDVTYLLSGGVLTMFLSP ARLGIHNGTRFNMKVLLLISDLVVEECIIEPSGTFFTLQHDPFGPRVDYVFESIVYSD IYFSTDRVEACFNEGSLRQRVLMRHREFPYKRFFELDIGQQDVGSCTAPSVCTVRPYG VFGLKNNSGTDVRFTTEVGKPTGLIRDGALSHATGRNFAYLPLHTRLVLHAGELSSVS FEVTEDFEERPLFCAPMSVKGTHLGQPHLFLMRSRVDRFGYFMELIPPLLFTNMDDLR DLQVRHVTRRGGLFTDELPHCFTVPAGGTVSYTALANDEVVNFAFAWSAKGSDTTVNI EFSAPVAVSLLPQTCSSAFIECGDTHHEIRVFKEDRFEPTVVSVSEPRPPYVTVVNLT SHNYEKVPRMSVSSYTPHSLTSGEVVLVLREGVNSSRRFRVLLSHGTEVEIGNDVAAF IHQRKDTVGWVITLVTTYAISSLRSGLGTLVGSAVIVETPVEEMMLRAGVKACGIGLL VEDGDNIPINCVVGRCESWLVYEHKRVTVQCTVSNMRSNGTYNGKMSHEIKPFSIDLT VRDARIVGNAILASSVYSHVTTLELELSDTFVYQMRRLGRRYKSSETNKDLENVVRVQ RGMLSCWKLLKFMSVQLHFIKISDIFVLFTYDRSDRPPEDIIFKGSHVGNIFPSIHRA EITLPGTHRKDFHGASLANVWSACCEAVMMELLRQVPQLVSGAVVFPSFSSIRSVLNR IGAVIFSSNSKNIDASDTLLL XP_846892.1 MNPNAVATFAAGCFWGTEHFFVRQFGAALISHKVGFMGGKELPE MNYQLVKKGDTGHAEVLQVTYNTNELTYDALLNFFFRMHNPTTLNRQEGDVGTQYRST IFYHNEEQRNEAESFIEKLNGGDEKLRENIVKAFGSSAKVVTTLERASRFYPAEDYHQ NYLEENPNGYCAHRLYW XP_846893.1 MVLASIRVLVCGTDFSSVEAALGGMVENKHHAWNRRYEVTCTSV SGVERADSRLLSTCHLVVLTHGAITPSSKASYDNKPTISIPGTSSDALTLLQGCDFYY GQYNLNDLRVAVVDIGLSPLHIIWNSSTRCITETGELSLRRAFWLFDKDGDGVLNEEE ILAWQRSAASVSFSKGDIADMLAEVSIPNASIPMNFDLFKAVQVSYLLKNDARKVWAT LHITGLHPTGLPYSWRDINAVRVSKECNTYLSHHAIQFFRNLYKLKRFHDIDDMWSVT PGCPWAHISGFIKSRIPLDKFIEYWKYMALVKREVVIQYALYWGYKGDTSILFQLRRA RPYREPGETVPNIITVLVLGAPGCGRRSLIFTLTANDDELYDDQTIQQVTYVRTTTFF VRKGMEEAPQTVAYVTLPIDSAGELLENVVQEKQVDVVLLCYDGSRVAKTTPPIMDAY VRATGSQQKCHNLPFIVVATKAEVSHEIEENVAEAQLAMESFCRENQLLWPPVATSVE NPEETEIATLNEYVYAVAKQPEIAVASPPITTIRILRRVAIVSFAAFVVGGITRFLIR KVLGTSRKKGR XP_846894.1 MPEACFLCLDSSEFMRNGDQHPNRFFVVQEAATLLANAKTSANA ENTVGFLTLGGNACTVFETLTSDVDRVMSTMSKISISGKQCHFSKGLQIACLALSHRT NPRAEKRIVAFIGTPLGETDGELEKLAKKLRKESVAVDVVSVGVPSNVPRLTAFVERL SNNGNSRFLSVPAKVPLIDSLMSSAIFMGEDSSVSGGGFNGSSAGFSVDPTMDPEMVL AIQMSLEEEERRQAAAAALNSNAAESETVERTDINMDEEALSLENMTEEEMLRRALLL SLQEANKGQAAAGSNATNTADDEAFANELEKELERQSKEETLQGKHDDQNEEKED XP_846895.1 MSSHSSLGVAVVTGGVSLAGALVWGARWGKLRSRRADLDRQYAD SLAETSALDEARLAGAELLRQKRKESVLLHEAMDSIWKDRFERYKQTNKETHSYLRAL PEALGVLKGVTNHYQYMAREMRKFIGFDVACSKVHNFALLLNHGEKVGIQRVSETIRQ LLVAEPLVRVVCDSLLDEATNFSCPTSISESSDSFVFCMEGLDRAVDAAAARYIELQK PSMGESPPNVFCRVLRKITTALEANMLSRCDIIMKEERQALRNHLLRDRRQLHTMHDL VGAMRYVEELSRHFQDSAKNPASVNMSVLSDPEVLLAREQLLLWRRAAAIFLVRQQAK EALNSYHLLLAETLTKTRHPS XP_846896.1 MTRVSTSKRRVAKESPLPLPSLREILECYVRFLEPLGNVENKEE SLSFIRGVLLSLQASKGCAPDQLRDAVAYFRLLESIAKAYKQFCNESLHTSHMTPLWN AMYLSTRDPLPFGWNYTLQLDSPPLDNLAKGVGVSMQALRASIIGTASLHFRSLLGNP KFFTPEFQGRVPKMCRLDLSLCGDQFTRLFRTVRIPHEGVDGLRWSLTNKVLVLHRGN AFVVDWIRFGGINGNGSLERICVEEIIANAHLIEALKSKALSLPRNRSTPLVLANGCR KKWARAFGKLWLEQSSREALDEITSCSFGIALDENTDLSRCLHGGACPENRYPDMNVT YAIGPNGESSCNMEHSWGDGSTLAAVCAFVNYYNVSFSRCFTGAIDPPKTCLTVMEAH MHMVHWGNAICTHVLQDIQKFHRDHNLRVKQMTLALRRVNDFGTNEIKQRCGSSPDAF MHAAFHIAQKRLFGDQRSTYCALLMKKYHHGRTETLRTVTHSTQTLAKLVESAKGERS FSPNIRTALHNVSEEHRERIILCRSGKGFHRAVTLLSRFHKEVQERLHHNPSTDVPWD VNKGVTHVEKMFFASQALRELCSDYLSTSNMSAPGILSFSFAATHPDGVGIGYSLSPK QLSFTVSAFTECKRPLAGDQACEETNVAIAFANAIEDAVKDLYDRTALPLQSKL XP_846897.1 MSSSSSSTSTDVANSSGSDNGDDSVSTQSNRSSSSDSVSLISST CSITDRIRETGGGCGDAVATERLEREQRLRRLLSQRKVGNTVPLHDGKIAEPFSMRKG TLDPDDGVILSTFSNALLFQRLLSVLSSSDALARSQSFDEVLAVANPIFFRKLKAPLG EGSTEASQPQHFRPVVHSECAQLDRSGIVVHRRKRERHGHWVAAGEKKSAMGDSSGVR TMSTSSGLIQKSHAQWERYLTKELQEEGVSLQSFRQQRQDDSYLEKQRFLERSQWAEY QHELQVDARRRELKASRAIRRGESEMN XP_846898.1 MGPKKAGGGDSTATFPLAPVGTFECNARCCCVVGKVVWVAELKG GVAVHNAQDGQLLERIAVAEGNNRVHFKCMVLVNHELWAGSSEGVVHIFEATRRRWKR QIAIMGTGTAAPITALIFDGHSVIGASETGRIVQWNPSSKAQMMAYTSSSPAADVTVF AGLIISGHGDGSLRVWDPYSGEMVVEHLKDNSDVTRLLVEPTTSTLWVGRRSGCISVY SLDAESEERRSLEPVLFTLKSRVLIGKEAVTSLQAITGKVLVTTHCRVVAVVNAHTYE VLIRVNGAHDAFIYGAARVYVAETVRAWTIGNDSVAHIWDVAGYYVPSQSTPAMGPHE QEKIVEDAKCLLSFENSKLATRVAAAGVTVTKLQEELQAARNEAQELRLRLVSVDDLE KEKEVEIVSSSTRIKELESEVKRLNKELADANARADGAERQFNMTRNDLNNAATEASK ARADVSEKIKEKADVEQQLAARNTEKLHLERQLRDKSLELEHVRGEYDRLHRATAQGL QTKGVTDAYKFCSSRDGGVALSNELEELRKKNELLGTLLSSMEYTLRRKEEEERDMAV LLNGFRRKLADKVVDPHLIALLNATMLNNPDKFYISDDEGTKSALLERNGPLSRYLQS LRDCDPDVYEQLLAYLQHPLAGSTNDPIYDKLMLLARDEGETAENFSFLKKTLPILLS SVNFMNAASGVETGDFHPTPSGTITAKQMDETPGNALNTVVGSIVDATTRNSSENKPS VEDPLFGVLKNLQLFGDINILTGQTNPSANDEAYLKQVRNTFEFILQTRSSLVKQLAL LSKRVAKGLQVVTALASKNTRDGITQGGRPVSFNASGGTSSRGNSLGAVAVGILEELN SVVTVIVSSFLTLNEKQRVGVGTQF XP_846899.1 MKRVTSHISRFLPLVLSQRGLSTYASPHVSSMRYYGATKCLLAS TPDTPSFQCGECGKAFRLINALNHHIMTKHAGKAKAMMNKGGKLEEVNPEEIKNKPQG AMSQPTSPPPSSSTSGTEAASTSPTHSSFPGIPFSPVGGIGLVGTPVGAASHVVNTTT TAANSASGNALSDENADKKTFVCTICQKTFRLEAALQHHYQAKHNMEMPTSSSSSGGA SAQPVLQGGATTAGVGSVGFSHTEEETGRSAMGTQYVHSQETILPQAPQYHLDVAPNA PEEGEVAAHWRCVNHCVMLGVVQNIQEGFVFEDKVLQFTLITDFEGPSPGDPDKDFHT VRVFDSDYSSRVKEQLRDGEWFLVTGRLRMVPQYDGSMRKYYHYPVIQVHPGCGSVLK V XP_846900.1 MRAARLSLRPTISLLDFVNRHGASIRSDRLLSDKQRSVALISNS DVIYDNLATEEALLRGVVLRRQEALLLMYVNKPCVVVGRNQNIFSEVALRAAHHDGVS IARRNSGGGAVYHDLGNVSFSVFTHRDTYEPKRSIQLLRWHLCREFGIGPERITTTKR HDLFLDEMKITGSAMRVQRDIACHHFTLLVSSSGSRLGKYLKREGDYISFTTAAVGSV CSRTTTLKEAGVLTDSASADPVNFILRSMAGFFVEHSWDILAHKAPWETNPLNFGDNV DTVESKRTRECRETAAVFTLDTTKAIADGTVMIDGENRRPCAGASKTVREECVRLQSL GWLFNMPKFETRVAITLADILASEETFSKHAALPPSLIAWLLQSCTRVDIITLIENRR VTSITAYWIKQGEPAPEQPWFSCLLRYLVEGRYVDNVFADMGGKCSVLAAAIDLECSQ IISGLPAIISQLSAGGSRNGEAVGSVISLAEERERSHDVIQRFLQAVLDVWRRKNVFY PISY XP_846901.1 MEGKSEATVHASFGEPGSPATDVQNQTRGPTPNREGMCSGPSDD LPKPLSVPEYLETHVMAVLTEGLEDLCRLRPANPIDHLALFLLRHSQSNTATEVDPRN VTPVEAPKSDM XP_846902.1 MITKNSIIKEIKLLRHRHWSAWPIIWPFVPLYAVIFTLHLNPEI AWDRTTYLVHGTYINFFHAVCIPIVIFFHGLLSLFTIWSVRFRSLVQFHCVPPKEVDT ATHVYVCTKEFKGESEIVPLVHASSDHPCCFVFQQRKWKLDAVTEQFVKPRFPTKDNL SMYFAWEGLATTADRSKQLDMFGRNETEVVIPDFQTLLVDHALAPFFVFQMFCVLLWC LDQYWYYSLFTAVMLVAMECTIVMQRIRNMKTLRSMAEVPVRQVTVLRAGREVSVKTT ELLPMDLMVVDNNAPCPADVILVRGTCIVNEAMLTGESTPQLKEAIDAANLPLEMKKH ARHLLYSGTQLLLSNGPHGQSDTERGRALAVVLKTGFETKQGKLLRTILHSQERASEN NGEAFGFIGLLLVFALMASGYLLKRGLEDPNRDRWKLFLACIQIITAVVPPELPMELT LAVNTALLGLVKQNVFCTEPFRIPYAGKVDTCCFDKTGTLTTDEMLFSGVDMADGKGL LNTLKTVPPKAELVLVTCHSLLQLEGTDTVAGDAMEKASLGALGYRVNIDDTVVYDPP AQKEDVKGKSTTGSSKNETSGSSKSQNRKNNSGFEKQYKILVRFPFLANLRRMPCIVS APDGKYVVAKGSPEAIAQLCESIPPDFHSVANAHAIKGYRVIALAYRPLKEEERSKEA IHNMDREDCEKNLIFAGLAVFQCPLKKDAKDTIEMLQSGSHRCVIITGDSVQTAISVG RDVTILKCRQQLVASSMKKKGNGEDEVDDCIVWTDAATGKEVNLDRRSILAKTFVQTR RHKVPSDDEWDLCVNAESIPTTTLATLIAQYSEHIAVWARCAPTHKEDIVTDLKQKEH MVLMAGDGTNDVGALKQAHAGIAVLNATSMDASQNVGGSGEHNSNEPHNEPDVPKDHK IPPGFKLTVVPPAPSADAPFMEQVRHKMAQARRRAEIVQIARWNKQLEESKKSRKTAE AGKVASQPEMNAPASDFLMESIFNADDADMGGAPQVKLGDASIAAPFTCRSRALTSVC DIVRLGRSTLVTTLQMYKILALNCLTSAYSMSVLQMDGVKHGESQMILSGIILTVCFL CMSKSQPMPTLCPQRPITKVFHPYMMCTIFMQFGLHLYSMVETVRLVEEADAEGVATM RQAGAEGEFKPTLLNSAMFLLTTLIGGVTFAVNYRGEPFMQSIRKNRPMFYSLVVLAL AVFYFASEMDPTLNQSFEIVAFPSKEFRERFLQILLMDALGCFVIEGLSLKLLTEYD XP_846903.1 MSGHRASMQTDIRSISTLQTRVLKPSPPTEGLRAPRPKDLYSST TTFSVPTQQHGSLEEQLRYLLHAKDQTDRSVIENDVQQVLAELQRRRRMRKAAAPPSR ASSALSAAAAPRPHVLALVQACEASTEAAAASILKSFCMNNCTPTGKDSGLSLVTVPL AEFLLKQKNAPGDIDDALKLLDSAIASRHAGAMLQVGLCLRDGVGVPIDLTAALTWVE RAADSGYAPAMYELGVMYEDGVEIGGKCLPSDWGEALRLYRGAAELGHTMAQLNVGKL LWRAAEAAASRAVGVCDDNTVAELRGKSREWLEAAAASGSEEATRLLRCK XP_846904.1 MSLLVAGATGAIGRRVVFEALRREEFIRVAALTRSCPSEDVAAL FGFSLEGINGNKTEKEASSLLTREQIARLHTVTFDWEDFCRFWEEQRENADKTHRKTQ SSAEVRYRDIFSGHTYVAMCLGTTRRDAGSATKFKRCDYDYVVAFAEAVKRFSGSTLL NYAQVSAQLANKNSCFLYPQTKGRADASVEELQLSRLSIYRPGLLDRAEKTRAVEKIA KWFVRGLPVETCGKAIVIDFLHCSDVVATEAETSTSSVHCAGKKQELSQRSGVVCYFG NNEIIKEASALSTP XP_846905.1 MVGAMHDIQVGFLDRCSVFQFTLTCTVLDFQKVAEPQPKSPGSL PSSTRTAPNPNGEEVEKHINKEQYTVRCLGSEAYTEALKNYLDDGCIVRVIGRLKTTE VVDAGKKQPFPCIIVEQGRWSTVSLVHSLRKQRRDWQLQNILTSVATLE XP_846906.1 MSEKLRAAHLLVKFSGSRNPVSRRTGDSTADVTYEDAIKELQKW SQRIASGEVSFEEAASQRSDCGSYASGGDLGFFSSGEMMKPFEDAVRALKIGDISPIV QTDSGLHIIKRLA XP_846907.1 MWDGPLRSRQNLRTVAKLRSSGCPLTQSAIHPTTTSPSEGETAS EILQGQASAGYIEEQQLPATSSQLEMSGDSNAASDNNVVIMTKRQRDDLSQASQAEVL SRLRTEDAQGPAENNGAQSDLALHSCDMEERVDSSKLNLNHVTVNKHSPPASKSAGIS QQNDDGGCGASENVDNTTTAASKQRGKLLLDSSSSNCTPKQQAQQAVTQVPEWELSHE QERIFDIVVNHRRSVFLTGGAGTGKSHLLRAIIAALPLSTTFVTATTGLAALNLGGTT LHSFSGCGFVDQHTSTHQMVYRNVLGRKKARANWRKCRVLVVDEVSMLDAWFFDMLEY VARHIRGCRKPFGGIQLVLSGDFLQLPPVNKHSPKQETRLCFEAKSWPRVNPLVCTLS HQFRQKDKEFFSLLNEVRVGALTAPSLGLLSSLSVITTVSFVDEEKLKLKREVGAEAV DIITDSKGRTRRQRQDGFTILRARRSEVDAINTEKFGELDTEIYSYKGAHRGEGHFPS DLPSTVSVRAGCRVMLLANLDLSAGLANGSIGTVESFVSSKLHQTANPSTKDDLQHLA DHMMLPVVRFDHKGKQGPGDGGGAAAGRLVVIEPHRWTMRQGDSDVSCSIQIPLQLAY AITIHKSQGMSLSHVNVDFAGIFEEGQAYVALSRCTDVANLVIENFDAQRVNPNIKAL AYYRALEFVGTEHREAEKKLIDNGNKMNPWGPYDVEDFEASDDDNGGAVKKEVVENLT YDAENISCMVEQFRQRYMPQYIMFSTLRRRVLSNTEDAARVKGALLVMDTTSLLALTN MTGPTSLYQTIFTERGNMMRVPRVVKEELLFLASTDVKEVSSVTTPTLHSFCSTCSST PCSTGFSYDFVEVVSCALSIMENAKCDFLLDEQREGEANSLPPVIQEWRSLSPLLMLN SSPDTGEKDAPSVIGFGERSREQHHSTLMFASFLVSRYSGNGAVYVCTETVELAARAL AIGLRVCSIAYLCNRARRVN XP_846908.1 MITPETAGTTSTVSQPQPTKRDSGSSIASVQLTSPASSEPPTQK GFTVEAASLTTANDIQMEKVTGTSSQSSETTAAHCWPLVNDGAGAAASTKSTLNPEAK EFHVPMGAVGFAVPAVPNPVDLHAMLQNPLLYCQPAPMTPMAMPWPPSRGGIANDGMI APPEKNVAARWPASTPSVRVMASHDSSSGNSGSTAANTQNSVSQSPQSLTSQIMLAFA SECSNYHIVAVFNLESRTTKEELLNIFFPMNAKKAEILPQHFSLRPNRRAGAVFFPSK AFAAVAVEKFNDFVPNGQHQPLRVIYCDPEAPPAQSLPVSPDATRSVTSMSGKQKQQQ TQRTQQQPTWGLSSSAKPWRLPPGTELYKTHMEMCRFIQSNSHMNRASGKHVVAIHGL DPEVAHSVLSQNFIQKGAECFDMWPPKSCSFATTPMACSSVSALVYYNEEATAMEAVS LFQLKPPEGQAQPIDVVHLNKLFDFHPGGVALAGNQSVADVAGGNDTSATYSALHDTS NMAVLDEIDDFFKRTYSGRDLFLVGVHNLLATMDKKSLFALFSRYGALSAEIFPDIVQ LSGGPRRSGLIFFDKEGTARDAAEKLNGSYAKGQLFPMVARYLSKPSGRDPVSGTAAS EAAVESGTMLKPFRRNVASDVNDLCARVGNNALLREVVEELHANVVDVNVLTDKMQQL LTNPEATEKTAEQLATALTDTLIAFGHHAQALSTPLVNALLSLHEKMRIPRRGGRDNV GVENGEDPDLIMGRNIIFISKIARSLVHLFISNDKPDESRKVAAVLSAYLFQYCYLKK SPYELAVKIIKEHEVALRSAKEFERSRPLSTKPAVLFGAGKGEEDVHKPCLILLACLE EFTSLWRKNNKSRAKKDPYRKEYDHCLEGLLPLDSAQGGGNSSLCASARPTPRRVIEK VTPVNANGTSNSSPGLTYTSPSSHRVTTRGEPMDISSSHDVSVECASPHSATPVSLPH GDASTPSMFFRHCGSSAAMSLIQKQVQQQQQHLQQQQQQQHRASQVRPQLSEAATGLD SAKTFSGPRGGGYAPSVYTQAELMDRTVYITKLPSTLRRAQFRRLLTYFGELNKVRLC RDENQVPPKGDITGVGRNGSELGAPAPTLWFSFVEFAEPSSSKAIVEYFRNATFTSKP FSFLLDTPKGMPEASYFTVQDIQSLLNVRTSPARNPIHDQLSLDAVLTYSFPPVSVVC TEPCRFGIEHGEKTIDSAIDASPFSAFGSSCNMGLTPAARMRVTTKPLTDVQRHQPPV GTCGEQTKDADASDDDSLIEDIMDRGVKLAADRTYAKGSREMPHEASRHTTSFDSFVK EMCGKLGEADVREFAVFGDVSQQSMAPANALREKEPNNYSMIWGSHAFGEVLMDDGR XP_846909.1 MEELVNPNPTVFRGNLGKRKRTVEQEKEELCEDVRDPIDGLEIY ELIRTIRDPEHPNTLEELQVVDPKLISVDEERQTVRVQFTPTVPHCSLTTLIGLCIGL KLKRCLPRNMKVDICVTPGSHEQEEQVNKQLGDKERVAAALENTNLLNVVESCVNAFE XP_846910.1 MAPMFHGFEVVPGKEHKLVLEKHHSFHLSVVSVKHGVKGRSTLY VTVDGESFSLLTLDVSQQLMQTPVDIVFGYEQEVVFRVKGNAVLHCCGYQQESEGMLS AALSGSGEYDNAEEEEEEEEGEDTGLDDGDDMAGVPLTDGKKNGNAATKRQQVVDRTD DSDDDDDEDEEDDEDDEDDEDEDDEDEDEDDDDQEKEEDEDEEPPAKKQKVGKSEGHV AQQSKQQQRGVQEPPRNKSKPNFNGNNNDRKRGRF XP_846911.1 MLSPLSPIHFVFSISKGVLHLTFVKGFYGVEVSAGQKVKPKIPE DHVLRLTQIAVPANASGAITLVISFQGKEFTIATLDPKRSLFQMGIDLVLTAEQGTTL SATGSGSVHLTXXXXXXXXXXXXXXXXXXXXLALFVI XP_846912.1 MEGFYGVEVSVGEKVIPEIPEGNVLRLTQIAVPANTSDAVSLVV SLQGKEFTIASLDPVRNVSQMGIDLVIKAEQNIILSATGNGSVHVTGYIQPIENVTDG ESSCGGEICSGVPFEKMAPPPFRGVAPACKTSVQSSNNTSESDYDVEGIVSVDDGVEK IGKRGDKGEERPSKIRHEGGVEFHNEQHVDEHRYRRGGYFRYNGEGGYGGVHQGFFRQ RGRQRINSTSSQGGFGAIYNEPIHEADQLGMNRGYGRGGYSSRGRGYMGGGYRGQANG EMRRGYTNEERYLYSGGEWQGMSSNLLGRGFAAINRGFHPYSSRNNYPFPPNHHLNDA SRTLPPYSGRGGTNAVWNRGGFRGGNSGRGRY XP_846913.1 MEGFYGVEVSAGQKVKPKIPEDHVLRLTQIAVPANASGAITLVI SFQGKEFTIATLDPKRSLFQMGIDLVLTAEQGTTLSATGSGSVHLTGYVQPVDDGSDF DEEDDLDEVDAEDMESYGVPPKRGGKSAKFPVSEENSDEANGDGVDGDDESDDEEGIE KDNEGIDAGDEDDNDDDDEAYDEDDSDDDDDDDDDEEDDNDNDNDDDDDDDDDDDDDD DDDDEPASKVQRTEQQGFRGGNRGGFRGGDRNGNGGNRGGFRGGDRGGNRGNFRGGDR SGNGGNRGNFRGGDRGGNRGNFRGGDRGGNRGNFRGGNRGNFRGGNSGRGRY XP_846914.1 MNEGKGTSHATSEMTKDTGGESVVELARGNSRRKLSPRPRQEVA DALREGVGGTVETPDEEEEVFLFDKMVRQTMKPTLTPLFAVSWCWPKVSEESVPQRDA ETDHCQWDIGPTTITELRGDPRLRRGNRLIALIITEGRRFLLPLIPERREDDDSPESG VYWQVPTRPYEVQLSQSPRAQLVIGTLQHVEEVNDMVRRFAESGSQHDDNVSLTTGEP IAESEGTLHSPLGTFSFSSIPCRCLWLYRIPNCVVVSLPCEERLVFINTGPGVECKSI APRVLANVLSCVSSFSFPRHVVPLDVNEIFDRPFVVVGTLLHGVLVVHVEDCGICTGI VHHIPLTGLVSSIFPVTRLASVFPARRNRGEEISETSGAYWLKSGLFSQCLDGVIICS SPYEDRAVVVKCASATNGGCGYELAPPKRFFCGISSATYTYSSVGTVVGTLDGKVMSV LASTELTEDGSGSKSYKEFRRCRHSAAYDATCPAFVCTVLARNMTSGALTFICEGGPV LQKTSHTKYQRSFVRHWLCHSTTLNEVVLLDRNLQSYAVHSTVPLGPPESDHFDEEAP DDLRRETDQNTDNNREREEGSDTANVHLENGNRPHQPLENIISGLSWLLVEDGFLQVI VAHHKNWLSTITWKT XP_846915.1 MGDCVASHPSGRFLQTYTSVMPPMLQSDVTASSILSSILNKPMP PIGMQGENLPYRMASGSRLGFSKCVLFTLGTGTCAGYSNVGTPEALLLQREFQIRDEY NQLCRAEEARRRMEEERTRRYEREEEQQSLIETARQLEESRAKREEEQRQRLLAQERE LEEWKHERARELERERRRHIEEEERMRERQHERAMQRLREESEVRIQSQKEISECHNV VEQTVKSATNVMVERICNEMREREREYRAEANQLHQSYKSEIAALRHDIESKRQMIAR SEQQAAEAREIFSQVVNQLNEVRQKQESCSKSRSSEEALADVHRKTVEVLQRTFEDDK LSMKRWFEEELRRINNTHERMRTEDEERCNSQLRMLERTLEEQQQRQREAEADIQQWR TKAAAATAAASEEARLREELRTVRKQLQDALASQQRLERRATDSERTAGEARNALHTL QGELESLSAKQSAELRVLREEKQELVDEIARAKAAHEGEIQRMRGDMHNADSTAAHEL SRDFESVYKRMNEHHEEARRILEGEKGQVVKQLRESERQLEMTKRELAQQREKIEEVR RESERMWSQRLSEKDRTAKELQGVVEELRREQCGAAQITAENLGLRQQLEKQRQDFEA SLQSRDRDIQRLRHEQEEALQRAAGINEGLSNSQRMRDEEERRLKRLLEEAAIREKEL QGEVDDAKKNSRSWKEHCEQLQAQAAAALSVGNSSMEALLREKDCEVDRMKTELARQT KERESLTHQLLEACATTKSLEKELMEKQTLIMSLNSDISTLRQAVQMKSTQVEGNIVH LSSAPSISGVAPQTSPMSVRSRILASLSPPRAQEITVSKNTLDVVKPAAGVSLATPLS IQPVAVNPPPNKQTPLLTPLAPTLLTRELENSSAPSILPLQSPVPVAPQLNPESVGGL PTHSTTSPPQVQVVPATPSRLPLPPQVSPQLSTGNNIVVASNPVNLRLEQLPTPVPLP PEIATAPLLVSAVGGAVAAVPAPVPLPVPPLLNSNVAPPVNTDFAGVAVAPVV XP_846916.1 MTGTTENHDEQHPLTCFETISQIPTQMGGSTRHTASTDSEDGGS PLIYFAQPNVKDGVKRLMDIAVEFNGLLTDSSEKLLRLRRSLNSTLEILNTSMKGLKE MRRPSGCLPSMVVAGATESGKSTVADFLLRCATCSFSDDSPMTKNDDSPAVNTCGTVS SPYTSMSLQECSFRKGSCACSTTNISDANAAQNSRARAASTKPCRVSMDPRTERSCMA SPRILYSPGRCTIPSAASSFRVVPRDPEIGAPQCQTPSVLPSLWTQERALRRFEYPED DSKSGTLSRVVNFSHLSSSRGRRQREGDTLSTYQAPTPVRVSTIRPGAYDVTIQERIC ALQKSPRKSLIRDKPSPQRRCTLPMCPSKSWCSSEKLYASSIHSSQSGVSRSSPWLLK ELASEVVWSERGAMSSYQLPDLLLGDGLLYTVPCEVIGTQHMVESVGNLFSPFTQRID DDNSSEMLSSSFSSSRAVSKHETHPARENQWQSMEGSSKESESQKIRQLIADMTFFVI TFTDAVLMREAGASINDVQLIQRPPPMASSIPELIELFQENMMKLFGVAVSSWQVIPY SGTVSHATHSVLSALYNDKLRRLSLAAAEEKQETPARNSQNRERVPSLSPSALTESDA GVGGGGVSRSNDRSCEEESARTAVEAYCRVVFGANYGNRKEQLPPQQLEQLVLYDAVN SMWNKSGAQQLLRAVRVFEKNTELSVFTRVAVSLVIWCHQLRTVLVRARKSATRRSRS IRMNLTKVQNLDNVVREALGGFKEIPAPRVVVRDFETVVQRKFKSVTVAFWGKVLDLL DEAYEDMNDDHLTKRRPVVRRCPLPSGPSDVSLAVRKRLLTEFRDLHRHFISLDYRKQ IQQLRAIIAEQAMRIRNVAAAKTKETVGARLEGADAVVLELVAETRRETIGGFAATKV SATAEDMRHHIPYVEDNARELKVIMERELTLRLSRFNTEIINYLMQELSEAIPDIAQV CGAQRDVMDLKLVQLFALLKMHECSDRINWNALDSKREQLANCSLLNMQPLGSLEPFV TGLRTSVVEDQIMLMLVGEGFFGTDEVKCGGRTLSYLGKCENRICALQRRDLRLAMNL SCGRPLQSHFQNDDLLYTPAKPEDLISSLGCWTDNITNVPHDEATSVSTAISEVTSFS DGSCDAPGNESAKQNRLESDCRWSSTHPSLNPLWFILAVWQQTLSSMTFRPWFQLDGV NHATLLSSVGTLFTSSCEGLRAQLRGEVRHLEDALRVEHREKSRVESRILKALRRLST NAVTVANDFTRLRKGVFVSDEACKVRESSFSDPAKYV XP_846917.1 MQSDERSSAEVSLAVGDATDVSKKRNLQEQWLLPYLDRCTHPRL REAIEREDRMAIEKGMLLLLAFGTPEEVAGRINSNNVDEQRGEHGEKGSSCSRFGVAD GTGTPVPLVPICSSPRNEYSDWSDAEDELVCRCTYFRRTFTNKNFSYNLPRDVQRNVV IFLYTWIVNWDFMIRNVSAWSSVVSCFCSVVRGRRKYALKHPGFSLPWKPLVDIVHSL VFESSRLSRIRFYTHVKAEVAEILANLCWCASLHFDGESLNGLWEICAPYFSQDSEQA VQALWFLYILLPIHATYDRVTGRALPMTERIVRFLLVDARYWQQRSGSWLMVSLDIVF KICRERAGIMDLDEYAETLFSMILSVMRPPISSNDKPERLVSSAWSFGGRKVLEVSQG RFMKIVGRISEALPCCTTSPLWNQLRRFVSSTSVFLTPCAVDSRALGHLLSFYNTFLE TAYLRVKHKHSWLLTGEKDPGNGFVINGYRWKRETVDSLVEIVAPAIIPALYHGHHSI INAVGLLTLLSPKIMQPPAFKYVEAGIRGCLESPLQCSVALKLFTKTLLPFSECSETR ESCRTFLSEILPLVPQWINCSSIQLSNSVREFLFAVFTVLNLDELLGSEVAECEFAVS VVEQLFHSAKRGDTEDSDADVLASIIDSLSHNVSDEAYSDCLKKALKEAETQVKNSQV PSVGCLLEPLARRSPERVMKWAIARLLPPLRSDVNTCNDEEVLWCSSLLSSCVCGAGL ACFPYRYELLDCIQAQLFSITNRKRRCCAALLYTAVFFAFSETRCVGVRAVDSSLVRG DHMMEEESCAAEGDSEQKQDKSSMGLYRYFDVEPVWQEPSEEHVSFLHEVYQLFLTDL TDIIQNIEHIRVPSRKEAARGLRAFLPPHLSPSSHHDSVNEGLRENGGCVDDSVRQLV SHQAPSAPDAEGSHDVVTPHNVLCGAVCWLDTVWAVNCELRVQCATDGETNMTPWHYK DPKTPWPLHEVSPSLLEKSTRLTESIHSLLLDYVLRRVTGGVADEAVIATVLRRDQSL LVRGKLPLDVAHTKGVDVDTLCYVLTLFFREMGLDTRTNIPNDYTIPFDVEFKHFSAI IVESERHRFYFPALFWRLRSEYLLHCRRQQNPMVVYPQYLGDTLSIAYSLLFSPYPDV RKHCSCILRTYLPYLGFDGCRQFLLRHFDVLEGIIDFVRQEGDVCSGEKNSICDKEGH TGPGEEILQIENCSSEGNDSCVEGDRGSDAAKICDVFFVEGCTGAETSQSKSDDHGKG SALANASDDIATSGVGEKGSLVQLRKALSGAIMQTVIGFSLDVYQRDASFIRRMFEVG LKIPDQLRRTGSACSLYGDRAAEVCTPFLIEPMAIACLTDELLPLAMKCSHTAPTFAV QMLRTLSCNHIQLHYELLSPASIAALFKLTVDICAETRRSAFSVLRVVLYSLKEKTPK VNILMRKGSMEGEDTTSFFSNRYRFLQENHTSFSLHGKMGLVFPPKSIRVDRTCAPPD ISGDGEVFTVPDNEVPGLRLRMQSFTTCHEEKDLAERRMVRQGLKNLISKLAGILDDN ENTSKGAQEQASDTDDSVGKVLVNEAAIEKRIRGTWVWNVLLANRDQTSFSVGLASMW RSLGEAAGVEETVKRYIQVAYLWFSDYMKLVQSESNRSKEYPQELPCLVDVIVAAICL SKRNPKLRREALDCYVSMLLQACSNILLSHTVLMSFISGLGALYGKVKTHDVWSMYEP FFDMLTPLDDGSGSPVNVTSGVRDSDSVEANIKVAFTGVKRGILRALHVITKLLDVFA ADVNIVLLPKLCRCILDRKKIFLFSDSNEIRHYAATSLRYLLRLSLYQSKYIPSNNSA QSVVLDFLRQLNCIVRLQVCYPPTVLNSGFPFQVATTCITAVNANEDMLVVNKHPVAS DNKNHGNTSDVSTTAEQAGLKTLTSMWHSPPPELFSVMWMEAITTATTALDGASLDND DFETVALSTLSSFALSRQRKDVIQSVVKFLCDVLEGNYPFGKTRRAKVVLTRAFYQML LMNLHRIGKYETMRSVFSACVGCMSHGDGDLRANTRSLLAVLSRVASIEQINSLVQQC AKELQEYSISSDRDTGGVAEEPLPAVIERENNRKRRRAALVRCLCAFLSSDVGPITPH VKFLMRKLAPLEHDAMAEVQREVKVAFEQWWKAHANGWELYHRESFTPKEIELIMPLM KMPKYLV XP_846918.1 MSSVSDCIFGLPYVGKALSTAERAALQSSLPLLALKYNLPVQFW GKVTGVRGDYLVAQVMPNGLFGARHSFFSVDGGTSWRVLETLSEDQVAFCDQLRGVYI GDPSFLYKVRRDIPPEPEPEVKVPDAEDLLKDAKEKYGGEGEENEEDMEEEEEEEEEE QDEGELEEEEEEVEEEPPRKKRPKFMIVAVPETIRLAHFIGLHDRACSLIVRGQYVFT PAGDVEKNTLFAGQPTRHAMKPSCYLRVFHAGNPERNRILYGPTYSSVTDRLSPITDD EPRGVWVVKYEPTASIVTVENLLYPGSLFWYRPGSKDCGQVYCGSGERDFEVCFLLP XP_846919.1 MSFHKLFSSNNRSTRRELFSGSGLLYVKSDDCFVPIIEGKRMGS FSLILYENRSEQLPYSFVLVDEEEGEVLKQSLGSGLSLAHCYRECSVHWLSLVDGTFQ NLAFKFVDLESGGSGEIVTRKFVEMYNRCTYSMLTQTPLDVSTAQDPIYEYIGQTSTY VAPEDDYSEPTYHLDLDRERVKATGSGNVCYSESMKHNRIVVVRETQNEVQLQAHSYD ANGFEGRKPETIDLKSVQTCDGALIDDLERKLLLFSVEDSHLREVDLERGEVVQQFRT PVNLQRVTYSEHVARPEPIYTCLAKDVAFNVDLRIDPRKNIIVEDGMSLADYKLGSLR KPFTCHATSANGYLVIGDGTGSVRLYTGPPGSRRKDGSHNPKTAKTLLETKVPIVDID VTANGQYVVAVCEKFLLLMRTACGNNGEKNGFTSRMGQDKPSPLRLQPTPAQLSALGG IEALKFTSGGFDRYEGNGEVCITACSGAYLFTWSLEAAKRAEETGRTCLSQAAAVQQE VINAGAKIANRIVYQTDKDIRMAPLVREEFRETGLRHFGWRSTSE XP_846920.1 MATHCQTEGVPLRRRRRQVEKQTTDGQSNILTTIVFRSHAESTS TVPFLYYPKNYGLPMPAPGEPFTEAIIELFIPSHDSGDGCGEGYRSNSFCGSSCSQGQ SIAPSVGGVGGTSNAGTLFSTGSQLPLRFDGGAARLGVFPSSDPIAIATGRGTTHTDA QSLGWDSATATPCVSHESWVCRAYCFGEKDAPHSNGAISVLYSLANKSKFINNIANGK AVARVIPSYDEVCERFGLSHVELSFERQQISRADMFLIAEALRDNIIYEGEEINLLGF CLKVNEMLRKRENNPAPHGNSGGGVPQAPPAPRTGLLSNGFEHSGAAQHHRQEGKVEE QSKGYRSVRCGLVTKGTVVNFLSLSAVHYIILEISAEMWNLTSDGCIQLDWAVKNFLG EYLTQQLSKHKASPHLRIVMAGRLHPKYAIRGCVDVVHVMHVPDDSRSPMITEDINLQ CEEFLRRVLGEVKEELRAGGVTSVVYCNSAVAGSCTSSSSSKTIENLTARDLFVPSKN SCTVETIGLLLDETKELRSDKNTGLMISVVSAGKGIFQVTNDLVQAICIRLLKVGMEK VNIICMGRPPLHSAPLLECVNDDENPSFSHFGAEQPCHRLYIDAEWARCYFYQLPSDF TGGNVPSSELFTREEWFQKHWKQIDMHRCAGEVAPLSPGLAIPPMQPRGVLLLPVIQP RTSGPSVAKSEAPSESEDSQKVKSSAPLSGTPTGLGEDVPRTTQAQRNSREASADPCQ TKDVSADALSEGRELREAAFRSLMELSPALPGGDVWGSLGYPQIGSRKQLAHQPFVCA RWYRLVNVCLEGKGQGNWDTVCEFILKGIGNCCQVSRIGRVGTSIDAPSIVPCGRNIV DFDVQSGNMVLRLDLNLVTHSGRAWNPCIINDANTWTWFMDSFSDKMDHFTCEERKNI DPKFCAANIFNRDLSVIVADGQTLLLPTRKNNVAFIADERVVLKYRDDFFLPSTTSFP HVDTMPLPSSAGSISSCEARGHNAHDQRSGAVTAPVAHVQLKNGVMFAMKPINPYCTS VRTDEASIAAHGASTETILRRRWKFAHPELSSSYSNDAGAAAAQRSHWSALCYCRILP LFGAKPAYPRDSFFDKPIHQYSIPMRDTMQSLEYVLQRLQHRHQIVLSLPSVAEIQWP REDVIPHARVVTSIGYQIHELKIDETGQSIHITRLLHRSVYTSLPKMQKVEHKFLLLN YLNTSFSARRVNLEMQISEEAAFQWDALDSYIHDRGRSDTFIPRSLTLTFPENEMCLA IIPESLDNACVSYQDFLGFMEKSLNSNLRVRTPVTWAENVEELSTGIPVGDSSNEEPT VNCVVLVDEEQLSLGHCRAMDRRTGRTRCLEEPPPNRQISINVSLPREYNRRCHYLLR VSWMVCTQPVLLNWVSAVSANAPPYHLRCVLLPTYECSAKQDEMIPCYTVLAQNEEEG PHFRARLLDTLTSSTYRYLPDNPMMGGKCRLLHMSGLCYVISSPKSNVVACWYENPMM GTGQMNHKMLLEEFLVAVQTARNRP XP_846921.1 MQCERFKQTKRDQLPLYCHDVRLAWRMQPLGFSTGSLRSLVHTQ RAEAYQQELPHNLRGCIESVTHLDGTRESHCDPFANPEEMEHSANPIASEGAQTPSES FVRSFGLRRELEGHNGCVNSLSMNASGELLLSGSDDLAFCLYDTFEWQIKQRYRTRHS SNIFHAVFVPGNDHHVVSCARDGRTLVTDLEVGESFYKCRHSQVASSIAVSPWWPDTA YVGYLNGFISRIDTRTRRLESERTTDGNPCLPSVGEVRTLAVHDRWPFLLASGTNTEA VYLHDVRMCSLGAFAAITIPCVRRSNGVSGLSFSANGTSLAVNYREEHVYVVPWLETL YSRAVSTSASRNATCTGFSSVLDMGAVDKLTVATADGAVKMSGRRNVQTMFKDVTFME DDSIVCSGCDSGNVFFWRTSDGKLIHTTPGDASIVNVVLYSHRTGRLLTSGIDDTIKV LGPLNGRVSQSIEHREEVEDTFSRSVVGVVDTVRRILLGEIPSTRGDRLSEILSLGDN LVTRTPDTEDRTDVRVELNTTVSDDSDDDGGGSVDDFDSHYEDESNEEVGQYQSQDRI WHVNFSRSHVEASLEQFAAAERALHMSLTDLILLTRQLVATWVFGSRRVVGGIGTVPP LFLANGAMSSTTAESDAFSGIRGMVVEGAEDDGDVDVDDMITDDATALSSARMSLPED TSNLGDSIDRHVGSDSGVSSASGNGEDDPFLVIGTSILTPATERHISHIRAADEGTLV EELPVVGGGPGQREERGDSTSQRTGDDRNEAAIPGTDVMGTVSSWFQDMHRVFNKLRD GLKTTFRRWTLPGGGRVQIPRWDRCTFGVASGAPVVDGGWSPSQAPDVDDDTSFLFYY ASGVAQAESSGNVPAAPPTFDAFLSNKQRIRCFLTLLDVVLRRKELICSSTEERRGYW MFRCVTELCYAYYFMALGEAEAAIHHVEQLERHAEYRCITALGSVDSACLRLGGKRRR QAPVPQGTGSRGTYERRGTELLRTLDCGELPPLLVPMALKIRILVLSQRGVVSGEDVL SSGSCSDHGELLRDQAGDSYLQSLVNEMRGRLSGHPNEKVKQRVVRMLRGINITLS XP_846922.1 MCRPLLYTRRDSKGAEERMNGRDGVRREHSASIGSATTDLLFMG RPLPHRFIVSWLMLVGILVLSLAYHVPSYTTSYVKRKAVLGHFLADDYLGKESGDIVR LPTVFVHNRKDLFSRIEDFVAAFYELAAAAEAELKYHYYMSAATGKGTEFLRYILNGE TPQRVEEMDRILTKEMNNLADTSEAETVTINAVTPVKMDVELFAFGSREHIGPSDTKI FSVTLTEEDPLGPFASEYRDWSNGSSKTNDGEEEYSSRYSRAETSSGNVLDSPPNIRI NTACAPRLSTLSGKYYSPCRRQIKGDAATNADVFFFSLTDNVRSIRLRGTLPQVIAKL TDGSRSTSGFSMIIYQWTIEVKFFFHRGGYVETTYNIISTAKHIQPRIHPRFLLTSVM FLLALLDMAIRFRALKSAISFRREAAAEKSREGGYSLPRAREGVGSGRKCHSEGTDVE SSPSEIDGADSDRGVTMLIVKSLKRWVRHHADQWIMHRTSRPGARDSGPVGFMNMYEQ WHHRLQEKGGDGWHFVGLVADLLILLYVSLFSVRLFGVQLSCFFDAVENMTLGVAAFF VCVNLLSQSRYFPEMYFNVQAMLRLISKLFLGMLSILPMFIGFVLFYCMVFGPHSDGR FTDVNYSFMTLYFMMFGDALVPAIASAGGSSFSLATFLANTVTVVYILLVIIALNLAM SITQYQWVRQRRKIGGKDTLLTFRTREDVRVEVLEDITDSIEVLLQLEATEVSAREKK TRSDVAASDSSAVSV XP_846923.1 MYFFFFQVIDREKLAYASNISIILELLCAVLIFVLIGVFLRVAP LKWAHFVAPRRVNVCRAELNIINPKVEDALESTAVERPKENITNVKRHKEEDSRGNKI SPIVEKTTNRAEKTSRVVRDISLEDTLDEFFREEQLLHDTLLDVETDMNLHRVEIEPT MRLLSPPKVVNQRIKVPASPEKRRENGRGKVVPVSKVHEKSLDEALECFFNDEELLEG TLQEVF XP_846924.1 MRGTKRIGRYELGKTLGSGNFSKVKIGRDVETGKEWAIKIIDKE QLVRERMEEQLKREIAVMKVLRQPNVIELREVMQTTNHIYIVLELVTGGELFDKIAAA KRFDENTARHYFHQLIAGVHYCHSQGIAHRDLKPENLLLDSDDTLKISDFGLSHLHNG NAGGQGTMLQTVCGTPNYVAPEVLKERGYDGVMADVWSCGVVLFVMLAGYLPFDDENV NALFTKIERGEYRMSRHFSPNARSLISRMLTVDPRRRITVAEITQHPWFVEGGNQTVP NTHQVVHVSDEEVQNAVQTV XP_846925.1 MTINIFAELEQFKRCKIITHLDPAQDYLLYRTPRTVPTLLGKKV TGDPTPRPCDTSSHTLDGDSVMKWFESSATTFTSRAPSPRQCALPAQKTPLNLIPSCD DDFEATKSVDAGDGLAKTVIYLPMASSDQPTPQLLSPTPAAPELSCSEWFLPGESAEC ILMSPYSQHLPLTKIASRAAAATRETASPTIVVEHVEVPSTSREEQPPSPDLAVRVER TPRVTETAAKKTPRNCGAGNGITGTTDTLRPPVVATRMTHRRPEACVTPTTVKGNNIP ASPVGDDDVVVELVVDEDVPHIHDAKVVQTSAVVTPRVPKPSAISTLKSCGMEASLSV TNGLVPLKVPAVTSRRSLSRKPGAMGGFATASTTPRIPIVTIMGSTRSANAASGRVTS RGTPRTPASSLRRTSRTTEEASGRVVAERVVRWRRLSESGSCLLDSPSSPTASEFFSR RTGITPIIKMTGASSRASPQPSVVPTARSTAVAADGSQNSLEEAPTAQSPSSPAAASD RLSITPTADASLVTPEKWTCPALPTTVERSLVTNKISLRSSVAPTVHSHPVTEKASRC SSVADSTELCPTTARGPHQSIALHSSRSLPMFSEVPANSPNISTACASSPMSNRVPFF LDTEPAAEVPVAVTQTARRRSPIATSEPFPATSEEHSPFSSAPFGSRRRGVIVVSRPP SVAHTAAESHTAAESEVTDRTARRSLTSVRSFESCASPQQTVGEAVPSSVLIGLPRQR EKTKCCHVM XP_846926.1 MSTEYDHLFKLLLIGDSGVGKSCLLLRFADDSYTESYISTIGVD FKIRTLDIDGKVIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDTTDMESFNNVKTW LSEIDKFASENVNKLLVGNKCDLVTKKAVDTQMAQEFADSLGIPFLETSAKESSNVET AFIEMAKNIKKRVAAQGANSGATAGGRPLLTGNNRPATNSGGQKSGCC XP_846927.1 MDSRDGSGSDNPEVVSLYIGLGPAASTISKEQLWERLEQVAPVS DVRIRGRCAFVDVAGPEAADRLITEMDGKYIGEARMVVQHSRGSNREGERDRDRERGR ERERGRHRERGRGVPFDGRGADYGGYNNSAGGRTALFVGLGPSGRSISDRELRSKLEE AAPIIGFRRRGECAFVDVPSPAHARRMIEMMHNQYMQDCRLSVQQSREGKRGRLDDDR VDRPRRRSGSRGRRRRSRNRNRNRSRSRSRSRSRSRSRSHRSNSRGRRRTRNRSRSGS PVSSRSSYSRRSSEASAGSRGYKKRDRRRRERSRSASSGSRSGSENYRKRRD XP_846928.1 MTEFNYDTMFLCTSDDRDNLDTITESLTRQMNDDDDDDDNIMVM RKARSGAAAPASTAVVPEGAANHLHTALDTEAMLRSKDSKSLLVSQESGHSTFETSRT LDQVDSKSLLSAYSRFSQPLSSSEPPTPGVMSVTEPSATSPPALVSANPVAGYDQPPA GTGQRTLPSYNPQEDCPPPYEWVGERSKHAQMPVAQQMPLANPQVARASAGVQSIVQM PGGVYSMGTQIVPSSLLSVSMQKRPAVVPQQQQTALSGAFTPAVGLNQMVQSFAAPPS PMATSAPGATQRLQATPSLASGTSAPPPGYVQFLTPVQNVNGSTGCQMVMVPTQQISQ VGSVQSPIGQDLRDFSQQQQQQQVMQYVWKAPPQQLQPQQLAQPQQFVTQQYSNISRP PQGYAIVQPGGQQSCMMAISTNQLQQVQPQAYIQQQLQPNSTRQVFITNQHPQQQLFT TPVMPTTLPMGCRFN XP_846929.1 MCRVCRSLITVVMPCPISRLSKELKEVQRDPDNDVVLKLAESNN LFSWEAVLDGPPDTPYEGGSFCLRLQIPPDYPMVPPVAWFVTKVFHPNVNFDTGAVCL DILKSRWSPAWTISSVCRAIISLLTEPDARSPFNCDAGNLLRAGDVMGYNSLARMYAI TEAGAPPFNDGE XP_846930.1 MTRFTCFTAVVLLCMPFAVGKNNNLTLEQTVTLCNALKQFRGLK DAVRDLKYWITTESLKISNAKTRSDEYVTLAEDVLWENRNATDPVERAKRVASNVTEA AKKAETASIETEFRAENIEEIRSNRIAALERMLKEVAGHNSNWRVRSAAEKCKGAAES VSSDSLNGTLLELFSTLPEGASEGLEDDTRESSGELWKLEFVLYNVVTWRSVALKGES TVKELVEGTKASITRKYNLTLNQTQAVCLMVEQYRGLKGAVDAFTEQAVSRSAMVSKV EERSQADAKRPGGHMDQVKRAVAEVAKAVSKAQNVFKKVSRYARNLKSIRAHYLPLME NTLRKIAGNAADEKAKKAAEVCGETAWVVTPKSLDDMRKKLMDNFPNLSERLESDTRV VSHMLEDLNTSTQLITIASIAVRRKEVKLNKTMEVAGVASLIEFGEGRLSSMGSAASN KNHNLRLLLFSLTFTLVGLL XP_846931.1 MQMFLRRDSTHCGTGTSHASSLSPRYRHCCKVPFTFWASVLCIV SSTFLCSSGAASLVLRPNKPLVNVPFSVETTDQSIKADIFVSRMSTCDNTQIIGSKCK ISKNAPCNFTVTSNDVGIDPWYDTKVREVYICAGDAFPSLSLSVQLSLVEAIPRYLKR DVDNTIRFGDAVPVGTFITFHRNENCDDVSMIQGLPPAELGSNREVRVTRSVQSVIYL CAKVPTSDGKTFVVPASVLLAVPRYDTNNTDALRHTNETFRLEAVGNLVWATFSQSPL CDPILQDPVGGETLQVVNMEVSVPKGDYFLCNGWPYHKGGRLYSPSENKVTVREYGVQ PRTLYSGYGTRIKYTMDAATAAANDVKLVLDFYMYSNCTGAVRVDGPSGIQYRGPPVK KRRSRSDGGAVALPVSGTYYACLEGSTTAYPKARAAVVTVLPPPTVSFDEANVISGLD LTVLLSGHNAGRSGVITVGLSVTPECEELNSKGDVRVGASSVTFRVPEDALPNMTLCV ATPSSVDLQADEEPDEGYTYPVKNIATRRYKLKHRTLFVGVAETIHLDANVTLKAGTT GYFSLDNCLTPVGETYSMNATALYGVAFSTAGRHVLCVKTPGTEHPVNRPYSNVGNVM VYGPAELSPASIVKGVSTPVKVSAVPPEAPIVFSESESCTPSISEVNATAEGEASVTV MRNTVGKVYVCVGYHDSDGGAWKVRVSGMLAVSDVEVFPRTVFVGVSNRVNFIVPDKT SLKGLKVLFKETSDVNCGEIVDDGTAVAIRITEVGPAYVVYTAVGMKKWKVCLWKGNG YEDVGLIQSQQQLELVPDSPIGVVGLPLSMRFRGEALTALQPTRFLVSESIESCQSAP GGGIRVYGEGWVDPISGSAEPFVVQKAGELHVCVGWGDTNESYYLYGGKVSAEDFAVD SLYAVRRSTNNFVARPLLKKASLFLVRCVNAASCKVPLNARICEEATERYYTGPTTPL EDVLLGEYALCQQDERRLGVAVGQKPLKVINPFTASFNVSLVRKHTPLRLTLDGGSLH IGASNLTVYIVPPELNCTEANASYDSFPFASGEHSKDVTIVKTPAVAKGARVCVGISA YDKLPASTFNIFHYMTPATIIAGRSVTVESSGIQSGRVRISTLEACTDSILSEYDTVI ANSMSTLHVDKCKYRNRDLTDVYYCERGESGGYVMRGTMQLIHLDECPGGKQPAVRPV TALPAKQVTDYGIDKAILTSPFLSTRSDCNGVLSQSRATMTPRYNRNLTFYVCTHTIR DPGYVFTTDGPTLSVENFRVVNPSIHGRVDSDSEVLGPANLVMNYATRTPDTYLSDCA VCGEKIVAAPGLAETPVEEAVTLIGISGVKCVCVLGEQPTSPPIPVAEVLIITPPIVK KIDPAAVPTARFHAKLQTPVVSGAKPLYSLVPGEDAENPLAYLHNSDFRGVYLSENAC ATTLTGNSVGYVRPSGSVVLGPTFIPGRLPSVSLCVGTPAGNLSVVSEVEVSTDIIFP SSFVLGTEAEVYIPLSPNSAFRLRRDASCGGEDVVPFFTTDEEARGNISFKNVNAAGL PSAGVWTLCQEVFDGSATRPKIVKISANGIAARVASAKQLLPIAQIETFDPTYYNIRG RDVLLGVPGVLYLMDDLYAESLLPGFSTDRSCLRRNESHGSWALVGDDDAASARRVSV TAQNGTDSIYFCATTPVNRSVVSVPLSSSLRFIPPVSVFPSIVEPCKVTPLESCRAPD SSHRQTVVRVIKGDCCNPTDKGVTVGEASEGSDGKCKLRMNHNKIRDYPAGTEFSVCA WDLTDNTYCVTLGNVKASGDICGGSAWGPLGMGAVIAIIVAAVLLFLLLLLLAVCFLR RCCSKEKEERQLVVADKMQLDNLDMSCISGTSPDPEYLESGNNPLLLGFYSGDGSPNT NTTARTMIDGMTSGVDDFAWGGDFPGRADWTSVEEQECDDRDQIALQEARDRYNMALV FTDGIERIRVDAKELELQFDYSGEFSMYEGPTGRGVNVNPIPVTVPADHPDLLNAIYM RRASQRRRLQSQTREEIEVSVHDTMSEMSTDVHDTSRLTSYDMMSYTTTQHFYEESTF MLESEAGRRMRLVNWEEEEWQAIVDAEFSDYVRLQQAMRSIPLPLPQAVVLPFNSTAT RGRDTINYSGHTHVDGEGVTVLPVYDTPPFHNPHAASVDEAQAYPNHDEESNVSLSCD SSHN XP_846932.1 MTRFTCFTAVVLLCMPFAVGKNNNLTLEQTVTLCNALKQFRGLK DAVRDLKYWITTESLKISNAKTRSDEYVTLAEDVLWENRNATDPVERAKRVASNVTEA AKKAETASIETEFRAENIEEIRSNRVAALERMLKEVAGHNSNWRVRSAAEKCKGAAES VSSDSLNGTLLELFSTLPEGASEGLEDDTRESSGELWKLEFVLYNVVTWRSVALKGES TVKELVEGTKASITRKYNLTLNQTQAVCLMVEQYRGLKGAVDAFTEQAVSRSAMVSKV EERSQADAKRPGGHMDQVKRAVAEVAKAVSKAQNVFKKVSRYARNLKSIRAHYLPLME NTLRKIAGNAADEKAKKAAEVCGGTAWVVTPKSLDDMRKKLMDNFPNLSERLESDTRV VSHMLEDLNTSTQLITIASIAVRRKEVKLNKTMEVAGVASLIEFGEGRLSSMGSAASN KNHNLRLLLFSLTFTLVGLL XP_846933.1 MQMFLRRDSTHCGTGTSHASSLSPRYRHCCKVPFTFWASVLCIV SSTFLCSSGAASLVLRPNKPLVNVPFSVETTDQSIKADIFVSRMSTCDNTQIIGSKCK ISKNAPCNFTVTSNDVGIDPWYDTKVREVYICAGDAFPSLSLSVQLSLVEAIPRYLKR DVDNTIRFGDAVPVGTFITFHRNENCDDVSMIQGLPPAELGSNREVRVTRSVQSVIYL CAKVPTSDGKTFVVPASVLLAVPRYDTNNTDALRHTNETFRLEAVGNLVWATFSQSPI CDPILQDPVGGETLQVVNMEVSVPKGDYFLCNGWPYHKGGRLYSPSENKVTVREYGVQ PRTLYSGYGTRIKYTMDAATAAANDVKLVLDFYMYSNCTGAVRVDGPSGIQYRGPPVK KRRSRSDGGAVALPVSGTYYACLEGSTTAYPKARAAVVTVLPPPTVSFDEANVISGLD LTVLLSGHNAGRSGVITVGLSVTPECEELNSKGDVRVGASSVTFRVPEDALPNMTLCV ATPSSVDLQADEEPDEGYTYPVKNIATRRYKLKHRTLFVGVAETIHLDANVTLKAGTT GYFSLDNCLTPVGETYSMNATALYGVAFSTAGRHVLCVKTPGTEHPVNRPYSNVGNVM VYGPAELSPASIVKGVSTPVKVSAVPPEAPIVFSESESCTPSISEVNATAEGEASVTV MRNTVGKVYVCVGYHDSDGGAWKVRVSGMLAVSDVEVFPRTVFVGVSNRVNFIVPDKT SLKGLKVLFKETSDVNCGEIVDDGTAVAIRITEVGPAYVVYTAVGMKKWKVCLWKGNG YEDVGLIQSQQQLELVPDSPIGVVGLPLSMRFRGEALTALQPTRFLVSESIESCQSAP GGGIRVYGEGWVDPISGSAEPFVVQKAGELHVCVGWGDTNESYYLYGGKVSAEDFAVD SLYAVRRSTNNFVARPLLKKASLFLVRCVNAASCKVPLNARICEEATERYYTGPTTPL EDVLLGEYALCQQDERRLGVAVGQKPLKVINPFTASFNVSLVRKHTPLRLTLDGGSLH IGASNLTVYIVPPELNCTEANASYDSFPFASGEHSKDVTIVKTPAVAKGARVCVGISA YDKLPASTFNIFHYMTPATIIAGRSVTVESSGIQSGRVRISTLEACTDSILSEYDTVI ANSMSTLHVDKCKYRNRDLTDVYYCERGESGGYVMRGTMQLIHLDECPGGKQPAVRPV TALPAKQVTDYGIDKAILTSPFLSTRSDCNGVLSQSRATMTPRYNRNLTFYVCTHTIR DPGYVFTTDGPTLSVENFRVVNPSIHGRVDSDSEVLGPANLVMNYATRTPDTYLSDCA VCGEKIVAAPGLAETPVEEAVTLIGISGVKCVCVLGEQPTSPPIPVAEVLIITPPIVK KIDPAAVPTARFHAKLQTPVVSGAKPLYSLVPGEDAENPLAYLHNSDFRGVYLSENAC ATTLTGNSVGYVRPSGSVVLGPTFIPGRLPSVSLCVGTPAGNLSVVSEVEVSTDIIFP SSFVLGTEAEVYIPLSPNSAFRLRRDASCGGEDVVPFFTTDEEARGNISFKNVNAAGL PSAGVWTLCQEVFDGSATRPKIVKISANGIAARVASAKQLLPIAQIETFDPTYYNIRG RDVLLGVPGVLYLMDDLYAESLLPGFSTDRSCLRRNESHGSWALVGDDDAASARRVSV TAQNGTDSIYFCATTPVNRSVVSVPLSSSLRFIPPVSVFPSIVEPCKVTPLESCRAPD SSHRQTVVRVIKGDCCNPTDKGVTVGEASEGSDGKCKLRMNHNKIRDYPAGTEFSVCA WDLTDNTYCVTLGNVKASGDICGGSAWGPLGMGAVIAIIVAAVLLFLLLLLLAVCFLR RCCSKEKEERQLVVADKMQLDNLDMSCISGTSPDPEYLESGNNPLLLGFYSGDGSPNT NTTARTMIDGMTSGVDDFAWGGDFPGRADWTSVEEQECDDRDQIALQEARDRYNMALV FTDGIERIRVDAKELELQFDYSGEFSMYEGPTGRGVNVNPIPVTVPADHPDLLNAIYM RRASQRRRLQSQTREEIEVSVHDTMSEMSTDVHDTSRLTSYDMMSYTTTQHFYEESTF MLESEAGRRMRLVNWEEEEWQAIVDAEFSDYVRLQQAMRSIPLPLPQAVVLPFNSTAT RGRDTINYSGHTHVDGEGVTVLPVYDTPPFHNPHAASVDEAQAYPNHDEESNVSLSCD SSHN XP_846934.1 MTRFTCFTAVVLLCMPFAVGKNNNLTLEQTVTLCNALKQFRGLK DAVRDLKYWITTESLKISNAKTRSDEQLRRVKEPAQATTKAKALEKRARVLAANVTEA VKKAEIVILESDVDAGVLGTISSAYVPSLEGALKKLGNEGGTVEVKGVAKSCNKTTKN VTVESLIHALDDMLQYFPAKSIKRLKEATRDASNKLHKLEGAAQSLVEIKINAEYTEF RVREAVTKAVSAYGKRYNLTLQQTQALCIAAEQYRALPIFVSNIEEISKTMAQKAADA KIRSDNVVQLAEEANEDGKGKLLSQRVRETVNEIAEAAEAAEKAYRALTGHSVYMKSV AAAYAGSLEKTLLSISQYAASQATRKAAEACRETAEVVTPKSLEDTKNGLFHSIPAQS SKRLKKDTAKLIESLTILHREYKQLNAAMQYAQAAELKLNRETDYSPTTTPIAFASFL VCLLGTGLL XP_846935.1 MVLQAAVADAICAAVAKYPLLHDARVDPMPYGTSGFRTIGTLLP PVASRVVYVAVLRVWWAIKKGNFGGLNGCSVGCMVTASHNPCGDNGLKLIDVDGGMLE TSWETTCTQAANASTGEELLKVLNDCINLHGLEQPKPEDVKIRCPFGAVHLSRDTRPT GVDILSAIFSSLQAIGVTYADHGVLTTPQLHYLVGRANREQLTGPGAMKPADFTSALY AKEVFGSLGELLRFVTEGNGGTNPRQRQKIVIDAASGVGAVALKSLLKCAQEVTSGVF EEFFDVTVLHDNVDDINALNYMCGADYTQKAQYPSNDTKEWAAAYEKRRSDKNEEVHY YSLDGDADRVVAFFHDRETGEDVWRLLDGDRISILYALSVREWIGGEALKLLDVGVVQ TAYANGASTDYIQRGLGLRTYSSQTGVKNLHPIAHKCDIGAYFESNGHGTILLNGDAI DAKVPSLPSKTRHVLSLLPRLISQVCGDAIANVFACELILLAHKMSFDSWLRLYTDIP STQLKVKVKNPKVITNTQDERRALTPPGLQEAIDAAVAAANEATPSSATVARAFARPS GTEPIVRVYAEAATHAVSSKLANDVEEIVRRFCGGA XP_846936.1 MSTGDPTVPLEEEAISAEKCTRFFIGGLHRQITPQDVEEYFSNF GDVMTFILKRDPSGNSRGFGWVVFNSPPTGVQRPEPHYLKGVKLTVEPALANVRTDRV RRVPGGGSSIPGRRRERSLSDSSSVSSRNSSLSTGDPRDGVRRPRLYDRHVEGSRLPA FGAVASRLPPLPSEAFVQPPQIQATTFELAAPAPSRDAPAASASETYLCIPLSLCPPE FSNDPRTFCAKLDQSRVGGLSIIPSPSIAQVGPAPHSVPIPQGLPGGTVMVPQHSRSQ PVRSGGGGGGRHPKSSGSHHSIPPPLPQPAPPNYDSVMRHNS XP_846937.1 MRQRQLQGESAMKRPSWSMSCRSPAFDTIKDRISWVGQQIASPT RVVTAASKNFTAGSPMISNDADFSGAADETPAECCGRLKIDAMEEDFFLDVGWGPCRS LTVSPVVSNPEDSNDETDEMWARRYVCERRPLKKRASERAREHHLNSSAMGVLFCHPI AMKRFHTGVRDIQPNGSSYAGGASNGKCDHSFEEEADAEGSDCGSFSEAKVQFGSGTA FSFTSGDVSAGRAIALEMMEEDEKIRSCVNSQQPNRGRR XP_846938.1 MSYGKWAGVYSPHFLMSHVTMCRRVAHILWRRPSCSPQRSRSDT ESPFGYVETLVSRPRAYARSTPLMTSAALLRSGLTARTGIRFATLPPAWPQVTARRFA SAGQRAPTYDEVRDACSVLGVDVDSDPKHLKKIYRGLVQKHHPDAGGDAAAMSRITVA YNRLKDLSKLEREQFKAQQATYRGGSYHYSSRAGSSGNGTPSYDTSGRYAAQGSHDAG TSYDYRGASNADYFKQTANPRRGFYTYKQSDRSFTDNPFSTSNPFSMHAQVRRAWNMP MSSILLRAIVAYLGICVLFLLAYRRYRDWMHDDGWKMAESFARHERLAELQRLRQEMN DRIQSSREAAAAERLDAQRYSLARGFGGQSGTDYNVVTTSKERELRALERARRQRIEN NTIARGWPFIPEEKGRLVVRAHHPPGVVFFEPSRREEQLRQLTNMQRGRAWSDANQSL AEGAAVNPQSVVSDELNAVRAIRTILNGIHDGGQAENRVL XP_846939.1 MTEAPKPQTTSEAEVLMELSERNAMNKGNRYSRGGCCSEVDASC PLDASQRMLVVKKLLHGPIRPFHKWKWRKINLQRRAEIAKTSAHTVVGVSGEQNGEEP GVDRGDADTVSKSIASLNSPAVDFQNDVDLCVGEGSHVAGASQVSSTPLYSPAVSASP PLDNYTGPLSYQGPVHFDDKTHSYTTTLCVIMVGLPARGKTFLAHKVCRLLGWHGHRA KVLNVQVAWRRLLMNYYNGQGDESRSERSRLKEKDPVYVRAEHFHALVSDSNSVERRL YRCVLQQYAHDAQNFYAEGGEVLVLNDDFPTEELRDEAEGLFSPLCTQTFFVEVVRSN KFNKTFDEFKVRDVMEYHSSVKLNDAKRDFERRVDYLKSVYTSVGAASCCANQSNSKT GDNSACTMLEEKVAKQRGTKRYVRVNNFHEVEVCGVMGYIASRIVSFVMNVTQLKVQH PIYFVRNGMSVYHCEDRIGGDSPLSPEGEQDTIELLEFVASLTQYVEQERNDTDSCVC ETAHTAGDGDGRVREGSGTCASTDTHSAYGFPDSPQRVVIWTSQLYRAIQTVEKCEQL LGIKTTRCHSLNELYAGVCENLTHAELREQCPLMQELRNCNKFSFRYPKGESYKDLVQ RLEPVIMELENTDRVVVIVAHRAVLRALLTYFGSISAESCMWLDVPYRTVWKCTYDSK GMTSLEELRFDEQDEAAPVE XP_846940.1 MRTEGLRRALISDVAARWYVFQRRFLSTSTAHLVEEIRQALRVL SLSDSASDAEVRDRFQSLAKSNHPDVLQGGTEESSVAEDKMRRGVEAYKLLRRFTEAE RHALLKQRVQQEEETERGRRVYEGVAGRKGEGSRATFEFNKFEQRRREMRNEAPPWKV DNHPPRNQRRSFLFYVLGGAATDARVSAAEILREYRRTGHLAGGRFRDCCAGSFGSRA PSGLFGANADEWARTRQRAERAQIVSAAFGRHIVAGVFAVTVFLLICMIFVAVKKHYA ARGLYTNSESFSKRELR XP_846941.1 MSSSQQLGTHQAEGIQQTQVAFIPRREVTLHLRPAPSSASRDRH ISWEANVKDNQNQRVSKSCCIFHKKKLFGESSSDDDSTSSSCSDQDDSCHGCANNNEA KQNGEACGDGEGGAGAQGSCGSRRKKRPPCTREHCYCGTRFH XP_846942.1 MSTLTGSVGPNSAHGSTVGVSLDFEVNAAASHGDDEAETKSCFA EFSSPAADTKLAEEGESISRAPASPSGSHAVRNRSVSVPFVSFTDADEQPKDGLWSSK SLVPPLKRRPKQSRITRKSFRSLGAYRNPSTLSVLDLATTDLDKLKLSFVAHAAILNH GDLNDMQKLVNHVEREMRYGEQHLDRSHRGRQGSKAPSYQASVRSEDDGANADGGTDR AWQSLDDQSSSDVSVEESVKSMMDVPSQTKAMLCTWIYDRYVQQRIFELRAKRKARPN DTFTAVVSRQFPDAGTKELMVNLRNAPKPRNMRDLDLHDGIGSCLNEAGEKVGHTHLV TDADNLVLPLPVFVYVLSRALFRLHYLDRFPAEAFSVKSQQTNQGASCAGGSTPRTEV SLSVVMGDSGSNSLHNVSPLVSPRYEALHSLLQEAVSLLEECRRVAMQGFDIFDTEQK GVISWSVFTDALTECMEEKLRGLCDQDCGEDSERSGVAVFDDCVVEPFPSMLRRLGYV RAVTKVRHNPAAVLVEGTNDFAVCDSSYHGLIQRKLLVRSSEMLLMHCHGATDAWDPE RWDIHAGTGENNKEQEKFTKGYVLYEDGRLQDGGGKQSLLFTLQRKQKKEQGLSEEEE DERKKEEKRTDQNNYRNKPTIECCMEVKDVGPNLPKTIITLTNDLIIRFYNMDQHIWV VPESLTMNVKETICAMDWCAAAADENLPLKGFLFVGTRSGKVIKLDLQAILRRMISAQ TSTSDIMTTGIVAASTVRDRFERRVVQEQKIHSEVVTSVCLTASDMLLTSSLDGAIVL SRASTMTLVRSFRLETGGGVRLAYITPTGNAIVTLSSSNRVSLWGTTHQSSRVDFYDP ISPHYFQIASFIVDESLEQLTTVDTSGHIKVWSLRTALVKFSFYAVSEECMNSLGFLS EENMGPNNGFRLFDVDDAGNKRLRVAGDTGSRALAAILQTSFAKLEDDQKRRSLHPIR TVAYDAYTRRLFVSGAKNNLVCVFISGSVAMKTHSSPPLYVGMCERNRWLVSVSPTDC RVWDCQSSKLVVGFKVNNPEFLMARLALTFASRSNLPTDAQLANAAADLERYKLDECK QPLPTINDVIRVATERADLARRENSRAMVAQKHEASTARDAGGGSRPSPESNHQTARG LPTPRFAAAAAAGADNSGEDDVSSKQMATHRVICAHVDAQERFVFYALGSGDVRMHRI KSGQLVKTLLTMSPSTELIYAAVLQCRHMYTYTRNPGENRHNQDCDDVKSPDGYVGAH VGVHDVAFVLQRLLREGHKLPLERSKTSSVHREVVGMMTPRNSHELCVVYVDGVIRFF PLLGSSVHAHRIIIPEFLVSKALSYLRMENTLMRKEAANRSGRTTRCTESEAAEAYRN VMVEADAVSFITVSHALNLVCLVQVNGRVSLMGMGSAVGMALQVFYVASEVSTISFLG GYPCLVVGEVQGTVGFYLLKGASFLDLFDNFYKALLLYRRQQQASLHEPSVELFNVDK LTESSVESTNQHGLNSTPCVWWFRVPGAPTTLHFDPHCCSLYVGTQQGFVTSYLVRNL IVAANLHPASLSGRSPSLMSRGRMSVQGQSGSAEVELCNVLLVFFNLTPDRVMRTINR QRNDLAFLNRRRRSLVSGSAATVQPTATDDSTDEGNAPSVPKPVSSSRSTSLGTLRLP KGSSNPEVDGQSERPQTAVPLQSWRPSLLWIYDSCRSLFHPHPTSTSTFRGPSEPTFS LLDLATVTLSDLLLGAAILHYVLQLMQTQRSGQPSSTDYGAMDGDQTTKCAAMINSQD LRHIRQCILEELHHRKSMFEEINSSHPTSTNRVSNCHIEEFVETIAASGELEEGPAPI TGDWVELLFRRHAVNTVSSSPLTLLSMEAIIERARRERRERVRILMKYVDERRLLARE GTDHREGGASSRWQHKSISVNWNAFLEGAPPDCITEALRRCHEGASRDEEVFFSEDNN AVQCITTRRNGVLYVGSADGSVSVWTPYACARLQEFSPCNPLDAGIRRIGEFVKTQFT KQWKSAENLRQRKAYASGTNKQKLHEAMMRNITLKYRVALLKQGAKRGGGSGVYNSPG GGNSSGADGRKQASPRRAMSHVGFQSLGSMPTTLHNEMQNLIKKPKELLMAALPIDES EMDSKGIIMEDLYFLPMQMSVLSELEDLDGKAYAAAVDLSVARLLRDVCCSDGQGLDP FPSARYSGVLDRVKLNADESQTFAGAGPPLSATDVKRGGEAESAVDTSISFFISSHEK HMTSFYVEAKRKLDDGEYTSQHGNSLSRTGHSVTFISLHSKLQRPPFSFSRTQDAKES LPSTPDGETAVTASLPQFAQAGVVGRPGVIVVALSERREGQLNPQFEFDLPQLAANSQ WIALEEALKLYINSSDGALTMDSFQCVSISPSPYLGRGQRVGDVSRGSVQVPPIAGIL PSILTKETPLRCGDMGKGVTTLADPLLVMSPSNVEEDLGLLQSEGEPQHLDRTRLRRG SSQYLNANANENTATGKRCSFFFTETHDLRSPTKTPSAISTTAIGGKWSETHLKPFQE VESRRPRPHLDDVAESGRGSMHPTMNIRWTLESKQQNQRAKLSRTGRTVLTPPRSTRD SRPRNYQQPLRANGTTTLGNEWGVSPQGFQKEGNSNAVDGRAGVTSPNPRIPQPCRP XP_846943.1 MLTLVRRPYCSRRMRFPFHLDNISRVSHRYNATDVTLQLSEDRL ANWCRRLWALAQGGGDGDDDGSAVPASNGTTKSGSVFSGRGPHTEFTLVEEMKSVLVG EKGQLPPSVVSAVWDVYESIIPPSTLFDLSETISPNQEDAFFSFVALLMELDVDIVEV QAAVAQLNNTIQNIEDLNAQSGQFIAGGCSATVSAESCFREENRSGVGAAVLSSKLVK AKNNLLRQCVAALHAGSPVYYMWFKQSASVERGVRRLMDLRRCVMYFQKRCHCQVAQL QAELKRQHERQSSRQVIDSPPNSGPLTTNRELELWLLRQHHVSSIDVSLEYLFGDFFS KQWLVMEELTWRTTPPLLLEKVMAAESVHPFVGGLVDMKQRLQPAKNRHLFAFFHPAV VEEPLIAVQVALTRGIARSVDIILGRPHPPSVQERQNDQLGAANGEVADACSGARKHD PVEDVDTSMFYSINSVQSALRGVNLGNMLIKRVVREIEARLNGERHCAGLSPITTFST ISPVPGYLRWLVGEVAKLQQQVEMRSGSISLCSSSKKFAQPRIFGECSKPKAAELFSI LRGAVLGLSHHRGRGCGHFISRLHYHLREGNEDAANLVTMQYLLALFGSPEEDGTGES GAPGKADEDVISPWWNDSEFTRTVEKPLLRSVAHYLYKEKHRNRILDPVGNFHISNGA TMFRLNFLANCTADGSRQSATVMVNYLYEPACVDERVKAYGMQRSVPIGDDVLRLLNI S XP_846944.1 MHSVTVLSQPSSTVTTCARSTFTNVATVSASPPRSTALSGMCSL SSSSSLWNTDPPADDWKDIGDTIRGTHNLAGSPEQQIQQQPAVEGKCGGESVLCGVRK VLPFSVSCTAGGDVNGRQTNSRAKHSSALKPPLQASVSQATGRCDSSNHGVAPTKQSC PPEERAVGSSKDELNHGGIGDSGDNDSGFNKCHPPQLPPAVIFMSPLKTASGGNDVNL TVVSSAPPPGAAEAAVRDGSNTVSSDDSLDEVGTHSGDSNRRLWSCANTPERPNSMEH VERVVSCVSEREDATCVEADGQRKPAVARSCATERRRRCLLRQIKLVDAEVARMEGVQ RQNVFRIGSASGEALSREKAKLLELRNRYEAALHATTKKALEHRQPSSLSVSSAGGPS HFPSSFRSSLEHDGRQEGCPCKTVGRRLALKVSGCSAVPRRRGASVRKARAAKPPTLT TVTPVAVVDDNNTTGSAAAVGTDVNRCAERESLRSCCSNHIGKKPSRATAAIHVAEPA RTYVDPYAPTEGGGSGSRAPRGQTRGASVDAERMAAFYLRNGRSRHLAEVSTLKTAGG EGHGGKAMMERNPGTCASSSRCSRTREASKRPGNPKAAAVGPKRDGCSHRTASLCTRA SSAVDYTPVCASNNGGCGDLNGNGRHRRGPVYHLCAPSVPNAAHVETWEPTCGDMTRP LVPSKTGTSLAFPRKESGQGPWQMPMEQRVSCNAPWGFATSSVPVSSSSSAARCCYST GLGSSRAAPYDYRFARTPSYHHPPSIYEPTQPEAAPQVVHDEVKDAWRAPLGPSLHCG SLLPSGRDYFVSPALPKSDLMWRQLASRSYNNEQCDCSGMEHAAAVGGTIRDCSYGGC GDEALESGRRADLLVRIGKLLGGVERKC XP_846945.1 MSTTNRGGNSPVAQSVNRSIAPGMSLSGSALAVLTEEQRQEIKE AFDLFDTDGSGTIDVKELKVAMRALGFEPRKDEVRRLIASTMEERGDPAPVKPGTAPG ADNHVIGFAEFVDLMARKMNERDSREEMLKAFHLFDDDKTGKITFKNLKRVAQELGEN MTDSEIQEMIDEADRDGDGEVSEEEFLRIMKKTSLY XP_846946.1 MKDRSAAVHVGSEDVPTARARGAARNKATSSKNRPGRTPICGKD AEENALADEPAKPTSPVAVDSSDAAVDRCGSVSTRNRAGDAITNKRSKVVDIPPPAPS VGLFSKFQERRGDFSSDWMLLQEENAANQPSEPPIAAELVMKLRERQAQMQISRDEVT LKTTGSSAVKNRDEGRLEALNEELRKQGADDGKSDSDVEYVPQEDTRGYRSLKQQGGE ETTVVGSAVAAPSTNSDEAEKGFLDDFLGFSKGDSEKNADSRYAVQATVTLKNGKCVL ASDEVSSGQGGYDPGHSYLGEETRSVPLWCVGRLTGQKEYAKDAAIALHQEICDFVKF HQPTEAEIAIRCLVEAEVVTIAKQLWPKCEPVVFGSMATGLLLPLSDVDITILNVDVP TEEALRLLAREISLSGICNTAYPQLVLKAKVPLLKFQHCGSLLDVDISINARDGPRNT LIVIDLLKHYREAEPLIVVVKYFLHQRGMDEPYHGGLGSFALTLMAISFLQQHPIYTG SPDQRLYCGLGRLLVDFFRYYGMYFRYDRCGLSVVGTGRCFKRTDVCASSVRPMTNGA NSSLGRGGPQSGPPQVLLEDPGCPENNAASSLRNFNVIATSFTHAFRALTAVFEPNRR PGSSSPDALHIDQRPTLLSRILHVDGESVKRRQAIIEAHGSLLQDPNGRLVLQQVVDE HKQRRERMLENVSLLSCPPTSDRLVSHKRPREDSNEDKFSKSRRGDSCSSNDSSVRTA RSLTRR XP_846947.1 MSEDSAAGGCTLRQTGPFLLKFVYATGTRLAAQNSLLPVAVGSA VVCTAFFTYICSSSPRWKGAPGLFGHANRNAPRRVKLGATQINVATGESTTTSTLWDI DSTDEGTRCAGGSGVVTATSSAVLLPRGTTPLCVEVSPSSSLFSSAHIPILYMHAPIC RGGRRNGMVRHNKRKNASQGLMAHAIAQGMNLLSDVLWPRAVAIMSLVVDAVPRFVRC EIPNLNFLRNRWTFSFPSSQLLLLRSRLISSEADGMHTRCGTQQRPVAQADVKVMDET SDTHCELVVRVGDNSAAGITGALHADAVAQHLLRSSYSTNQYTTVPPLNPPSFSCGHA SVAKQTDDVEAKAGTAHPPSGPWIQGATVRHGEMRIGRFLGRGRWGKVFQCCNPETGE VLAVKQLIFDDNDPKVRQRVGELRLELDVLRLANRCRVPWIVGFRGVERRGSSVLLFM EYCARGSLLDYLTQHVAAARRLTSRCGAEIVSERGGSPLPHRRDDLYCQSSCVEKGAG GTSNHRPVEGSLREETDGGILPPLPQVSPYSSDCRQRANAERPWSNPLALPIREIQRF MRQTVEALHFLHSNGYAHLDVKTANILVTADGDVRLADFGCCGRLRQGPTSLHTCVGH SHAVWPSGLLDGTPHAAAFSGSIAPSDPTRHNLSTPPVASMSESGDATAGAAGIHGSG SCNCVSGGDSVMYPTLVDDELTAEPRGTALYVAPEVIRLDKSRIGAPSDVWAAGCVAM ELATGEVPWQHMAEEQLCVMFRVASTKEDLPLPPRIVEAVRDARWWLACYSNDAEANG RDVHDHHSAAKLLAHEGEEERRYDAATVLRCDRSENAANHFKCDIESLLNMQLLVSLE DFLHSCLHIRPEERPNCEEMLRHPFLVTR XP_846948.1 MRNYNNFNRVWKAPRRPFEKERLDREMKLCGQYGLRCKREIWRV NMTLSKMRRTARLLLTLPENHPRRLLEGSAIMRRCHGYGFLDEDKDKLDYVLSLTVPD ILERRLQTVVFKHGLAKSVHHSRVLIQQRHIAVAKQIVTIPSFIVRVSSEHHIAFADA SPFGNGRPGRVKRVKRNAAKKGSGGGDDDE XP_846949.1 MSGDGVGVYGSKRDGATGGVAKTKSTEQMMREANENLLRALRTN TSTNEAGYATMQELSRQKETITNSVEHVGETRGELREARRIIRDIRLRVYKEWVIKGL VLTLLFVLDVYLFYRKFLGKV XP_846950.1 MSHEFSGSGEEYLARFRFNWLMEEWTEEVARVRPTDPFSYLLGL IQAYRGGEDNFVTCKNDWCRAFVPQSQRGAHLLKCATDVQLVQCPNCRLRIKESDYRQ HKQKCLLHQCVYCGERVPARLIECCPSKVRMNNEISSRARSSPNIGKGNKHASTEGAA TSHHEKLWDEPPLAPFSKFSRIKLDFPERNRNPLTRLQRMWRSASIYERMLDTAFKQV WNGIEFRRVSRGPPELGGCISTRSRSHSPRQRPSSPNTAEPADYSITMEEYVDISESI LSGGVVCFKIAVRILTNAIAIMSKRSAVLRVNIPDGGDAVVVGDLHGQLKDLALVLNR LCGLPSPRRYYVFNGDYVDRGSNGVGVLLHVLLLQCLFPDYVFINRGNHEDSRVNAEY GFQAEVFSKYTYWEAKQLLDLFSASYDVMAWATLVDEDVIVVHGGVPRNGATVAEIEA VGRVTKFSALEPRTDGERLASELLWNDPVDTYLSRRIGVRHQGANWRSSVRGVGVEYL EPITENFLRLNKLKLVVRSHQMVQAGFQFVHGRSNCTVFSASNYTGVSNNRGAIAVLE KGSVQPTFHTWFLRDAETVVRDVVMSDLLEGLTDFSRGVDAEESPVGQDGNGSGDTKA MGGVSSVKDGESPSHHESPRAKTVDSSRKAGPVHSPIELVRCVDDTRERMLARARMLD VLVGIIYSSRYSLLSSFVRADSGKNGTIHKVEWCDIMRKVLNMDIPWYFLAPYLVGSS YEEGSPYIRYVTFLRRFNPCFTSLFSARFQTALLRHVFRDVDMPGDLLSCITTDHFAE EQPITDDNGKFIGSGVTLSPSGLMLNLAERTGDGCRGIPNTMGGSSVLPSGGCCGGGN QRDDSWRKIRLSFNSLVSALRSISRAGGMMEDNTIFTLFQIFDKDACGHAAVGDIVDV VDSVGVEDSDESVVLDLTGSFDIDFFPQRGSDEKPAAGTTVLCDEPSGVSADAVIPMP SPVSKAGEYCDDWTPANSASSTALASYTPAHVEMAVDSDEESSTHSSSVLWSRQSVSA TMSDLDCMRVYPVLLHLHERFACDTIRSTLQHFKALNNTHDGKLTFDQFAVTFNHIGR CMLDPPTRQEQQIIFCLIRDVGQHLLGSAECCSLSESLGVLSRALSRASSLRCQVALL KSVVNNIGVKNAQADYLTRDQFVTFFSTVSISHGEHSCHYDDHVAESGCKEWTTNFGE SGSCNSGDTSKVGALKLCPLWCLDQCVPSEDTAAVATAVGSADAETRAERPSAVPPVQ ADGREDAFARREGRRRRRLPRTMLPRCAKQERLPISCVARSPHAAPSRFNSEQQSPLL RYRSEQQSPLPRIGSEQQSPLHRRALRSCRGHLNRDRARQRTGFSSPLSSDHTSGSVR EGSPSPIPPQAPYGEMVPTSESLAANATGRSTCRDNFSLSSTLLGGDGDHSG XP_846951.1 MFLRVRGNGASPENSQALLVHLRGFRLTNTRPPAVDFTLSGKTQ PMIFSHFHVGVQVPDVDNNAEVNAQLCSAVWREIWNMYDIVYDDLCIFPPKANVTTTF FSSSFSAGRQSDQNLGSTGYTQLTSRTSSQTPVVVTHYFPPELIQFRLSKDVNFGEVV VLDVSYILLEEAATKIAVEVAWLAAYASLRGVFNRIKHVVVRLPYDCIVGCEKKNPIV QEGLDFLAEHLTLCARDFVKSGSSCAVDVHFVGSTAAELVSTLRRLSDTSSVGSGSGP QLTAPPVVFVGDHHQKGKPMEDVQIISSSLGIGLGLELVPLKGFISGEDVKWDEATSR ENQVLNFCPCCGHCGGH XP_846952.1 MSSVLTRSFSSCAGKLEETRELIKRDLETARKHRIELRKTIDDD LKRVEKERAELLGIVEEQEAKINRSVREFEEVRSRREWLKKEHDEAVKRYVKMSDTVT FIKEGDKRLQDRTDFEAVLEEENTTWADRERQLIASVSTHNTTLKQARKERRKEVETL ETELAEMTKKLEQERIARREDLQQESQGLLRSRRGTPQPPSQRPTVAAVHTQAFEPLE ANRKEQQFIAINKSSCLPTRQIRSCFKNNNSTGGNRFSNELETGRNTVANRRRSTNGS GSTGQGVSQHFHSAPVSRATSQSLVSGDSENIVKIRGAASQRAFSYADTKRVAGRKHE LLRDSTNI XP_846953.1 MISPKDTSNYGTLAGRGRERQAFLSTGLTRSDGSVAVEVELEDR IGIGIKGELHTLFSCVGDAKPLYEELGGVEGIAERLGTSITDGIDSFSVENRRAVYGR NELPEEAPLTFWKIFKAAWSDRMIILLTLAACVSLILGLTVPEPGHEKVDYKTGWIEG TAILMAVIAVTSASSIQDYRKELKFRALVEENSAQPISVIRDGHKVTVDVTEIVVGDL VSLSPGLVIPVDGLYVRGLSVVVDESSVTGENDLKKKGAEHPILLSGTVVSTAEDAYI LACAVGESSFGGKLLMESRLDGEPRATPLQERLDELAGFIGRIGIGAAVILMSLLSLF YILLVLRGKEELRAKKFLDIFLLCVTIVVVAVPEGLPLAVTIALAYSQSQMQKDNNQV RRLCACETMGNATQICSDKTGTLTQNRMTVVQGYIGMRRFRVSNPGDPSSTVNLEGVS SDAQSLLMLGLALNSSSEKELLPGNVGAESDLLSRWTWRTDKGNKTDQAILDFVDRVL ISVPGSCNDKELPHQKLRMTNRSRGFAIFPFTSERKFMTAVVAGADGVVMQYVKGGSD RVLGMCNRYLSSEGREEPLTEEVTEMITAQIRSIAGDANRTIGVAYGRIGTDGAVPEE EPEGPFVWLALLGIQDPLRPEVVDAVRMCQRAGVTVRMCTGDNLDTAVAISRQCGIYN RLRGDLALTGKDFRNLVYDTYGDEANMEKFWPVLDRMMVMGRSQPLDKQLLVLMLMLR GEVVAVTGDGTNDAPALRLANVGFVMRSGTDIAVKSGDIVLLDDNFRSVQRAVVWGRT VNDNIRKFLQLQLSINIASIVVVFVGSFLSAHDMSPLTTVQLLWVNLLMDTLAALALA TEQPTEDCLNRGPSSPRAPLVSRRMWLTILTATVVQVVSVLLLTQYGGKWLKAKGKEL PTVVFNVFIFFTIFNMFNARKVYDEVNVFEGLFIRSKSFLVIVVCCVGFQVLAVEVLK EFMSCVPLRAEQWIASILIASLTLVFVSVSRLIPVSEPSFEKGAELEDMEPGARRIAV KLAEDVEHHSSASNNVGSYMRFGQRLVARAQWQRVREHVTMRGVSQFWWSRHSHPRER GWRRMSAWCEERIR XP_846954.1 MFHGFPQVQVVSDDAPTGESIFAKDSDSHVELPPAKKVRISFPA LTHLGSFSQDATCHSAVGGRLCGVQQGDTILITSVLPSLGASGDAEDERDDTAESKQQ QKMYEKVKEMLRKECLDSYSVGYFIVSSACVNDPYSVVTADRLANLAIDGHPSVLLVY DPFRTGLMGKLYLRAFVPTDAFLDFSRKNKKTKKTDKKSGMQEEARLLRACSVPKQGV LREVKVEVEVDAYQLFCLNGIDIAPLPSNSTVHHSDSMADYKVALLESVQRNLSDLEG KLSRETSRNQRDENNVPPARGVDTMLALVQLREQAQHLEALCDGTLLISSLLRDL XP_846955.1 MISPKDTSNYGTLAGRGREKQAFLSTGLTRSDGSVAVEVELEDR IGIGIKGELHTLFSCVGDAKPLYEELGGVEGIAERLGTSITDGIDSFSVENRRAVYGR NELPEEAPLTFWKIFKTAWSDRMIILLTLAACVSLILGLTVPEPGHEKVDYKTGWIEG TAILMAVIAVTSASSIQDYRKELKFRALVEENSAQPISVIRDGHKVTVDVTEIVVGDL VSLSPGLVIPVDGLYVRGLSVVVDESSVTGENDLKKKGAEHPILLSGTVVSTAEDAYI LACAVGESSFGGKLLMESRLDGEPRATPLQERSQNLVSFIARVAIISAVLFFIVLCII EIERIATNKQQFYPKKFLNFLLLCVTIVVIAVPEGLPLVVTIALAYSQSQMQKDNNQV RRLCACETMGNATQICSDKTGTLTQNRMTVVQGYIGMRRFRVSNPGDPSSTVNLEGVS SDAQSLLMLGLALNSSSEKELLPGNVGAESDLLSRWTWRTDKGNKTDQAILDFVDRVL ISVPGSCNDKELPHQKLRMTNCSRGFAIFPFTSERKFMTAVVAGADGVVMQYVKGGSD RVLGMCNRYLSSEGREEPLTEEVTEMITEQIRSIAGDANRTIGVAYGRIGTDGAVPEE EPEGPFVWLALLGIQDPLRPEVVDAVRMCQRAGVTVRMCTGDNLDTAVAISRQCGIYN RLRGDLALTGKDFRNLVYDTYGDEANMEKFWPVLDRMMVMGRSQPLDKQLLVLMLMLR GEVVAVTGDGTNDAPALRLANVGFVMRSGTDIAVKSGDIVLLDDNFRSVQRAVVWGRT VNDNIRKFLQLQFTVNLVCFSLTVVGTLVREGKSSPLTTVQLLWVNLLMDTLAAPALA TEQPTEDCLNRGPSSPRAPLVSRRMWFTIFSVATVQLTAFFSVLTFGGKYFGEDENGK HLHRTFLFNVFVFGTIFHMLNCRKLYRELNVFEGMGRSVFFIVVVGSCIAFQVLAICT FNDFMGVRPLSIKQWGVSIGIAAISLVVGILSRVVSIREPVFALIPDSRNVDGSASRL IKDVSVAAEQRQRETDGTSDASSLLAGRLRAQSRWRRLQAEHVKKPRVVNAFRRAWTD RDMKRGSTRQLYDTLRMV XP_846956.1 MFHGFPQVQVVSDDAPTGESIFAKDSDSHVELPPAKKVRISFPA LTHLGSFSQDATCHSAVGGRLCGVQQGDTILITSVLPSLGASGDAEDERDDTAESKQQ QKMYEKVKEMLRKECLDSYSVGYFIVSSACVNDPYSVVTADRLANLAIDGHPSVLLVY DPFRTGLMGKLYLRAFVPTDAFLDFSRKNKKTKKTDKKSGMQEEARLLRACSVPKQGV LREVKVEVEVDAYQLFCLNGIDIAPLPSNSTVHHSDSMADYKVALLESVQRNLSDLEG KLSRETSRNQRDENNVPPARGVDTMLALVQLREQAQHLEALCDGTLLISSLLRDL XP_846957.1 MHPLESPQEHKTQVSPENNGNETKSVLQKLFTCNEDPKPLYEEL GGVEGIAERLGTSITDGIDSFSVENRRAVYGRNELPEEAPLTFWKILKAAWSDRMIIL LTLAACVSLILGLTVPEPGHEKVDYKTGWIEGTAILMAVIAVTSASSIQDYRKELKFR ALVEENSAQPISVIRDGHKVTVDVTEIVVGDLVSLSPGLVIPVDGLYVRGLSVVVDES SVTGENDLKKKGAEHPILLSGTVVSTAEDAYILACAVGESSFGGKLLMESRLDGEPRA TPLQERLDELAAFIGRVAIISAVLLFIVLCIIEIERIATNKQQFYPKKFLNFLLLCVT IVVVAVPEGLPLAVTIALAYSQNQMQKDNNQVRRLCACETMGNATQICSDKTGTLTQN RMTVVQGYIGMRRFRVSNPGDPSSTVNLEGVSSDAQSLLMLGLALNSSSEKELLPGNV GAESDLLSRWTWRTDKGNKTDQAILDFVDRVLISVPGSCNDKELPHQKLRMTNRSRGF AIFPFTSERKFMTAVVAGADGVVMQYVKGGSDRVLGMCNRYLSSEGREEPLTEEVTEM ITEQIRSIAGDANRTIGVAYGRIGTDGAVPEEEPEGPFVWLALLGIQDPLRPEVVDAV RMCQRAGVTVRMCTGDNLDTAVAISRQCGIYNRLRGDLALTGKDFRNLVYDTYGDEAN MEKFWPVLDRMMVMGRSQPLDKQLLVLMLMLRGEVVAVTGDGTNDAPALRLANVGFVM RSGTDIAVKSGDIVLLDDNFRSVQRAVVWGRTVNDNIRKFLQLQLTVNVVSFLVTVVG TLVREGKSSPLTTVQLLWVNLLMDTLAALALATEQPTEDCLNRGPSSPRAPLVSRRMW FTIFSVATVQLTAFFSVLTFGGKYFGEDENGKHLHRTFLFNVFVFGTIFHMLNCRKLY RELNVFEGMGRSVFFIVVVGSCIAFQVLAICTFNDFMGVRPLSIKQWGVSIGIAAISL VVGILSRVVSIREPVFALIPDSRNVDGSASRLIKDVSVAAEQRQRETDGTSDASSLLA GRLRAQSRWRRLQAEHVKKPRVVNAFRRAWTDRDMKRGSTRQLYDTLRMV XP_846958.1 MSAPLAPRRTRTVDLELMTREELIELAKKQSITNREKTQYIAHL EELVKDITNQSSNEKVCPAAAVDSGEVTTTEVSQLQHSLLQLQQEIEQERVTFAQDMS RSEELITALREQLEEKTREFQTLRETGSTVLTQSDGIVAAQAKVLEASVQERVRELRE YDRLERENHKNRINQLENELQRLRESQQITAQQYTASSGGPSAEAIRESIDREVKEKV EQWQDRVRTVVEQDRKTIQDLRNELSRYQDSDLETQSREEAMHALQQEVEQLKAQLAE AQRSHDEVAVASEVKCREVMESREEAMHALQQEVEQLKAQLAEAQRSHDEVAVASEVK CREVMESREEAMHALQQEVEQLKAQLAEAQRSHDEVAVASEVKCREVMESREEAMHAL QQEVEQLKAQLAEAQRSHDEVAVASEVKCREVMESREEAMHALQQEVEQLKAQLAEAQ RSHDEVAVASEVKCREVMESREEAMHALQQEVEQLKAQLAEAQRSHDEVAVASEVKCR EVMESREEAMHALQQEVEQLKAQLAEAQRSHDEVAVASEVKCREVMESREEAMHALQQ EVEQLKAQLAEAQRSHDEVAEGVACGNSASLPLTSKVVEWMDAVGAALSQSDKQETVL VSSLDGWHAEGNRRDEIFCKVIQVFRASMANLQSELLNVAGECVKLKRRVVELQALGK CGVA XP_846959.1 MSLHCFFGLELRPDQVAISPPMPPDSSLVLTHCSLTSIVPGAVT LYAQSHDLPTRLALCTLSADRELYYVPLHHIFSRKVSFTLVPSSTVGCSGEGPTVHLT GYFESDEDRYNADKGDSSDEDRDEEEEDSSDGMEMASRRSRGGEKPKGDGGKPKSSKG KANGNDGGNKRSRIDAEKKGKGN XP_846960.1 MLRRTSCCFCAVAERVIEEFDVVVVGGGPAGLATAIRLKQLGGV AGDDFRVALVEKGSEIGAHTISGACVNMRSLDELIPDWEVATDLPTLTTVTSDNFYYL RDQKRSFRSPIIPPTLQNHNARIMSLGSLCRWLSERATELGVEVYSGFAAARPVLNST MTAVEGVQLNDVGINKKGEKTERYDPGMIFRAKQTVFAEGCRGSCTKQLEKIFNLRGK QNFQTYGLGVKEVWEVPEGNHRPGSVSHTIGWPLTDKGHDNTYGGSFLYHYGDGLVSL GFVVGLDYKNPHIRPYMEFQKWKTHELVTSQLRGGRPLHYGARTLVEGGLVSLPQLHF PGGVLVGDCAGFLNLPKIKGTHTAMKSGMLAAEAVYADAFVSGREKLVHVDCKSYQER FRESWLYEELYQVRNVRQTFARHFLLGVLYTGVTTLLTRGAEPWTLRHHQPDHKSLKP AASCVQIEYPKPDGEITFDLLTNLNLSGTDHNADQPAHLQLHDASVPIDVNLSLYDGP EGKYCPAKVYEFVDGKLVINAQNCLHCKACDIKDPTQNIDWTVPEGGGGPNYNSQM XP_846961.1 MRRPLRDLSSRVVRRWIRCDPLVALLVLLFCTCASDVSSLTEFS EPPLTAEGDTDPKQTVEGIEPVNGTYLTFDLAMYASRPASRVELSKIYHRALRALYDE SNLTEALTWIKKGAAMGHGRLHWLLGVMYASGVGVPQSDAHAIMHYKFAALESIPEAH MALGSRYRDGVGAPRNCQLAVSHFREAADAVAMTYGELPGSIGETRLRMLFGGKWHVS TDEETVHALMYGADGGATDKIIALGYMYLKGRNGQRRDRLRARSCFLRALEKGDDAAY GALGQLYATGDRAVEQGVARDLAVAASYFSKGAVKGEPTSLNGMGYMHAIGYYQNDKP VTDAGAKRQPDFKTAAKYFRKGANRGNTEAMYNLGVLKLHGRGVPQDPAVAIRLFKVA ALRGSVLSLWQLARHAQMQGDCQQAVLLYSRVVAHGSMFNEHSDGPYFPRGEETPFTP TTDSTLVQLLERLAFAETGHSDSRRRAVRMLSDEIIEEEEEGQLLNGIFAKDGRPPER AVQQQVKGKVYLQWYRDPSGRRQRGTARGSAPSMREIGRGGGHTQRKMLLSQREAYNM LKLRLLQLSALSGNFDSELQLGNFYYNGESRFIGVDMAKALLHYEAAAGSGHSEALFS AGFMYQLGLGVGGNIRKPVGVWDAFVSIITNTALDPDDSVDPQELKGLATDPLIMLER RLYLAKRFYDHVRDVDGGLGFYAVWFARLSLNFQWWWLYFCRQPYGLSSLLRLPMPNA KYNDDVMRVADVEGASTEGLALRGELHAEITLKSAGGWKWDDCVLVFCATLLFMCLLL RHHGA XP_846962.1 MRRRRQPLTAGITEVDVGEGEEKKARDGTDFPAVNICSWSVSAD AIAADLGNQHEGKGDRRDVGDEADVGPPLKRFKIPDKALARIEAQAALARDNVAEQQN PAVGDDATDVIRRRKFSALKYCTEVADERDFSGVKKPLISVGRLPRHTAEQRQQLRRA QRNVKNMTSTSGPLGLFADGGDVIRFGSVGSDSSDSNPSETSSFDDEGCRTLTGNAVG RSASAAREIRNIDRHFSGYDSEDLPPVSGLLDEETEESEEYEESEESEEERKIADSGV NFGDCCAEANGGTVKVMSVKRGFGFQQQRRLQEGARSPGNQHIADEGTEIGVGNETAA GAAVSTGRCQRYGGVLFEMHINRAAAAPCHHTLLHVRNSITVQGPCCIIGFGIGEITV GGFFLGRKHITLLSDSQKVVVMPVRPLKSKSAASESLPVPKRQLHLPAADDCVVVAPP ASASFCRFMGIGEPTAAGDTASERDCEADDLEMFGTVDWDWVEASVARVQEGRHAADN SCVVLVVQPYKSHEKAPTRRRYLVPRDTSSSKRENGGGRHRGKLHSDSFVEVPLFLAR QPVPGVDAALLPDVVPAVVRHGSGAVVVLGSKGIGKSTLCRFLANALLSQHGVCYWLE LDIGQPEFGPPGVLSLYCVSKPLLAPHESDGVDFVRGYYVGGTRLRCPVAGATALTRI CGVAEQLRKRYPIIVNTHGWVLSTGRRMTVEAVRRLQPTHIIHLAKRQEEQWARFATE LVDPIKGLNASVVNKRFLVHKQDGIACGAAGADSLPSSRILGLLPHAHDFRPKRSSTS AEATKSSSLPKWSGTVHTVQVVRDEGLLRKSMQPKASAIRRGMWGRYLAPIFEYYEAT RLRHVKQQVSELYKGEEPIIVGGRRTTTLFTGELSHFESLVFTDVADASDLTVDFVCA ALAHSVVAFSIRVISSSPAQGVAVSHKTESLTNCDAQAFHYPNCRSLVDLPDGFPITC FGIVESNEADMRSSGKIRIRLPVGRDDVHKMLSVSGEGKRVCISLACTLADRSETAAV EALF XP_846963.1 MVLECDSELSFFFPFGPTVALVFHLSVLPRCSNISLTDCKGLHG KLLFVLLYLMLEISSPFHSEVELKGRRSGVPEMAVTSKAKKEEAELRKIAKQARTARK EVAAKERRLKLKGKKRQQTDGTDRENISSKPVTGGHSVKRQGAIILPEEAAEVRQRLL SDTRIESGAAAPENGGAKRDTAITSSQLSNAAAVSRQKPLLSSSSRVEEPAHSVEVHH KRKQFLVGVALHHVPPQHIDVSGTTATALVVDTCKHTKKYRLVLPMPEGICVDPAQAE YEFDCGVLRCVLPIVGEIPSSLRQERDAMLESIQKQKTLRFRMGEDGELKVRSRRALL GNGELKDADGDDKRRKIDENNALRVSGGKKREVRQQAEDVEDDGADETAGEKGKAEGA RNREGRCTKRAREVVETSPLQKAGKLQQQRPSQREEQISNNNIVKKKRKGPDSALEEE QKKALELAKAAGRAAKATLRERVAQAKATQQRMLQRMVNRQNRRETQSKKTRDSFARV LEEQKRQLIARAERTAVATAVAAGGKSDGRCGAKGRQSGGKRVTFAESKGSAEE XP_846964.1 MSYEKILCMEHSAEREMRITERSKKKKGCGKNFLCYGEPTPVSG ALEDVGTIAAMLAEVMAEETERLEQKRIQEMERSRSHSPRERRNIPSSPAPNDTNTTT AVVGGRRPPSGALVRYIRPTLRCRRERLFAYHMAKKMLQQKQEEDARRAAPLCDSSRG TSVLRTSFTTSTTGSNNLPCIEGGTSTTLTDGDPWGKASLAVGDNLEKCVYDALHTFV TDYEQRYGRQPRVSTEKVESPSFSTGSSTVHDFNMKDEGEKNREQLTTTMGSLIGNAN ALSGVFKFPFLQETKTKANEGVNASAPFFSAAFTNPEASLTRGTMGDSTATGFSSPDR ASPKEDGASEKRLAAEREQRTESVKRLVKLTLKRLSNPGHCRKSSPGPHSHDGPAGLQ SSFSPSCHSVFGGLWDITLDKRIDSAPHGDLIKLAGILSCHPGLQKCSEAAARFVTYV LMRSLPEELFTGDPEDYCVLRRRVHNAVKNRSSPGPKIDSRMSSSEKVPLPPRKASPR LTRLRFSSSSKKSGKSCSLLSTANSVGSSDDGEQRDDRYFLHRDTSTCQQVLQSVALR HGYWLSSTERMLQRPPFHACGLPLRTARDIAMWQRPPCREPLGGGGDGGATFNATDTT KDPFADTALTTATSSKLTKTTCHLEAEARLFEGSLHAESSAILRDEVVGKNGGRPKTI APLLILAHGGYGVAPFSVPDSSGVGMTVEKEVDCEEGQGYRRHLANMNNMTEADQDAY LATFLSPDDFLHPEPSLLPLYSVMQTIYSSVRQNRNIYIDDATHNSLPIVLDLLMDVV ESYEDFFQSIIDTSFEPAGMRSFFLHTYREMILSWAAIVVCETAIEYATERVAVGPTG KSWVTLMGAGSFTEVQLEKIALSGLVQRTFPSMTQVTYDENLLGKTIEKVRDVVLGTI VRVRGELYYLVTGVDNRRLFMEQYQKMLREEKEMQAAMQAEVEEQMNARLPGCSKTGG EAPPQQEKPGLVTFPLNESSLVMAPKRCGSAAPASSSNASQSDNTTAEKVKRSLLSTQ SETSDRTPCESTVLLTGRCAKEQGTSRVSTPLIRLPSRERRRQERRRTHLETPSTGRP SSRMCSDLHTAHPSEGYVSESRLRSSVGGNAPYFSNTARTESRSNHWDHFWRKGPQCG FSSASVSRRRARRQGGFADLPQDLTVRNVRRELVSLHMRRNEQRKSSGLKAGASKPYD TPWGTNGNGASTLGLSSVSVDLHSPVADIGHSLSPERSGAGGHWASRYGVDKQFMISP LAAVVGARQAQVVEQMRRAFQNTVQFQVHFQETSHGGESGVPRALHESQWYVTGNSEY YERRTVALIRRSRQVAAFDLRHLSPITTLGMCVQRSSRGFHRYDPQPRSPHVDHNSIQ PKDLHLGRNIVPWTL XP_846965.1 MSPSSLTLRYVLPCHSCTSCDEATVADRVSLLDTPSVPVITWTT PSEFLTAVKATVPVDSAKHNGSLAVGEDGLGLHLLSADSAGAAAGDGEAGPRVIQLTL DWVLALPCVSDDAEGNSGVELHVLRTNRLDFLVQTWSAVTSHIVRLTTWPRMEVSFFP SGFPCEIVSGMINGSEPSYYEVRACIPIRLVLSPTPEGTKERKNDDRGSVSEMLEEFA STKRMYAHQLRALIHDAAFSCISSNPTSFCGFDEAPSSGRGTDTASPGESVKEEEAVS SASTNQQSGGGVSAGKEPKGPQQVLPRVFHSIPPPPVPVALNICLTHHGEDGEVPTEG DDQRERDVVLFVHTVVRAVRPRSGASPRLRPRRIALDALVNLFPERFAVHGVSDVAVA EVNVEDLSCMWVPFNDTTIGGDVLALSAEEYFSFHGRQDSEASGQASPRGNGSKMQHN PYDRMRVSPADVDPHGRKTAPQPCFGWGRGMCFNGGGPGRPFTFNPALCGTFQCFPWT PSFALRKGRTASAGAAETSEVRDVVRSSWTGVSSWKNVTPGLSCSWRGESSGSRRGGK SGSLRSGASEAPWAPWAAETPDDVSVKVPPQAEETSAVSASPQDDNEVMDLSDSRQMI LEWADSIGLSRRRTANLAQQLERLGEQFASISLQHCVTTDGADKRKKGRGGRNGQKAV DAGAPEEDSDAVHLVEGLIGASMRPHGSISEEVLRFAARLLGGAKQCEEDYGSVDECA KEAFDMIQKLDQDLRATALEVQRTQKDIRKTLSGILTPKGERKAKVETPNLFYPAIKA LNPEQSHRTELFGIGAPSGLSRSGDILTLLRFKKGDYEVAEQSVMTVSDKLRKAEVWC AAQLEQMQLVVKLYKDILVPCLDARVATKRDEERKRSKLIVDWAVSIAIVLESQRSAE SQESNGVERHEDEHLSHKGPRGAASTEKPLRLSNGKPLLNGGSNGRAHEGQAEPLKTH DATTRSEGKGLEASSERPAKVERPVKAERPAKTERPMKADRVAPPVTETTRDLHRSTS PKRKRKAPAVVAQPWSLMTVTPAPVVTTQRRGSRYRRFLWRLARFSQVDRLRRNAYLL ALLASVAVAYLVVVFLYG XP_846966.1 MSRRNSASRCFPLEQEIYPQQQHHYQDMTGSVTPAYDHSIDHQV APMRASSRPHQSVGERRSIGERPGGCSRRGSAVSGMHVGPDTFSHNQGPNYASGATTR VPSLATNGEFVEAEPLRYDKKHMINQPSQPGNTSLDGGVRKTAASRRGSKADPKQASM SQPFLRTSSKQCYQDVTSLDPSGRRQDADETLMRRDDSLTALYGTKGRGEVGRLRERR GGEEGLIVAVHNHSSIDECFKNSGPDGGNGCDRALSKPVSRRGSADMSRQGSRPERVA VSSNSTGGRRDGLATGVSGNGFSSFPVNSSLSPTARLSDRMAADNAANVSSSSLGRRE GGKNRSNPTTFPSDVHAENLHTIIYYLKNHYALDAQESRKGLETMTPEDVLGLVQCFY VDMYRTVRDYRDSVGIGRAGFKDEDPVVACVVEPEDFPILTKGTPRHSVDRRDVHGGD ACDVSPHHRSGERGLEGARAWRAVPVNSSQFGGPLPQSQQPCALSRQRLRAHSRALGQ RDLSAAGLRAGRSASRSAASRQRALSCRSAAACANTSMETTPEAVNRQRGGVSGRAVG CPLHGLVPMLRRGNNICKHVTGGRPHLRYFRVEMLPAKRGGASPTPHLIWGPSPTSLT SASLKGCKNALSLHTLFCASPLCEGTLEQFSRFFKRDKRGVVQDHNGSAVPNEMCAVL RFNERDLALTFLTEEDRDLWMRAMSFIVERNKLQPTGPSS XP_846967.1 MVGGDGEEPGLQRQAKRALGDPLPLDNEMESEAPNDFSNDPSDN ISVQSLQVACVQKCCLCTIVAPLDRIKFVMQCQKELQRTGVLDRTFRSSWHCFRCIHS IEGIRSFWRGNLVQVGSLLPVTAAHMLLGGPMQMWVYNNFPRGFPFGHSAATYASILC GALAVSAVSYPLEFARFRLAVDLRRSPCDLYDYRHSLAFFAQSVFSEAPHLLYAGFGL YVTGSIIYGVMYTGLTQQVLSRLPSEPGGYTATVVQVGAGVGVSAVSTLGLHPLDTMR RRMMIAVTMDGLRYASARHCFHHILSTEGIAGFYRGAAFTMVRMVYISSLYMWFLPAA XP_846968.1 MTSKVEELVGEVEEALEKLVNASDSIFNASTTLDKKQAELSAKP VMREARSKVEMLNDELRRTEDAAVRSHYEKVCRAAEEKIRVLDAEIKKHVYAKHTKVA LEKTHAERREEELLGPGGADGSGFQDSQQVLEAAVNVQKDMLVSLQRTEKVMIMTEET GQETLQALQRQTEQMYQVDEGLEELQGQLDRAGRDVRWFFRQLAGDKCFLSLFGILVV AMAVLMGVMIYKKRQAKKNAEK XP_846969.1 MAGKEVKKAVKPTKKAGVPYKKPEVTQKKAKASAAAPSPFVARP KDFGIGRDVPYARDLSRFMRWPTFVTMQRKKRVLQRRLKVPPALNQFTKVLDRSSRNE LLKLVKKYAPETRKARRDRLTKVAEEKKKNPKGTVSTKAPLCVVSGLQEVTRTIEKKT ARLVLIANNVDPIELVLWMPTLCRANKIPYAIVKDKARLGDAIGRKTATCVAFTDVNA EDQAALKNLTRSVNARFLARSDVIRRQWGGLQLSLRSRAELRKKRARTAGNDAAAKAA XP_846970.1 MAGKEVKKAVKPTKKAGVPYKKPEVTQKKAKASAAAPSPFVARP KDFGIGRDVPYARDLSRFMRWPTFVTMQRKKRVLQRRLKVPPALNQFTKVLDRSSRNE LLKLVKKYAPETRKARRDRLTKVAEEKKKNPKGTVSTKAPLCVVSGLQEVTRTIEKKT ARLVLIANNVDPIELVLWMPTLCRANKIPYAIVKDKARLGDAIGRKTATCVAFTDVNA EDQAALKNLTRSVNARFLARSDVIRRQWGGLQLSLRSRAELRKKRARTAGNDAAAKAA XP_846971.1 MQKLWHDLYEAETLSDARRAVLSTVPQWVPWLGGGVCLIAYAAV LRTRLFLARWRRAARKRAERKFSGFRRWKGCDVPPPCVVITRIEDEVDGDEDGDGGSD AEGGRCGDGGAATMLSVEDIAEFRCSVGLRSPRDDDLLIMIEEMLLVDDVPDGWVLCR TTSGVVRFMNLSTQELCLFPPGRQGRGHYIRKELRRRNKLEIESGFSLSYNNDEVFNE SWGGGGGHTGKFPINDSLGKTSETDSSLQRNHSRGTDRSTRDDAHFVSDEDTLSFSSD EADLYGEGEQSAFRRVFRYFLEREKRRIERDVEMQFWSCDTNGDGTGKDDNVASAKVE NCGLRLLVSNGSPGQNMRDIVSRPNGRD XP_846972.1 MNYRRDCGGQIRFEKVLAYFLDGIPRPFITVATDAGFGETFGFG SFGIAPDYTGRTEVLHFPEFKESDLFDAICSWKKASSSPSSRIGCHYSPYVGTFCYDG KRQYIQVSQLQSQRRSVRVPVGISEEALCTSGKSGRDFRTSLLIDLYENVAKGCITHE TGCLRRIVILATQGTTADHRDVVERTMKEIEQVYIRVCVEAIYDYMNLNSLFRRIMAP LRLVCRNEDIKRFEEDNGRDDGSDHGGFLAFNESLYCLKHSLIWSEGKNDFFVRLHHL WSDRFERLAVFNTTTFNWRTDADDFLSQLGCSMRAAFTRIRIEFYTEVEQLLFTTIPE AGTLLSMDLNDLKESELWRVFLMVRIFLTNRIRILISDAIDDLVSFFATGGDRIVTPL KARKMSLIEVYFVVGGCGLPSSPSFAQLHFGIADLLDELLDSANNLPTPETVIMRTIQ FERHSLNLFDDDSFPGKATLIRYLGAACTVVEDLTEKYSMFARMPTLNGVCFTKCSEE EVVSNVNLLRDTILEVGKISPDVVFCGCIAINCTDVKKWYVKQWSSYLKSFLNMVQKD ILSFIRSSLEKCRSYNDQLSVIPNSVEELEKLQSDITYAEHLSKQIEAGDCRRAIEFF SYLEKLLIPADSQLYEVAAELMRCPNELLVLTNNAKKACAERKPALVVQLVEYRNDLH GKITVVRNGVIELLGLLSVDVCDICAQTCEELRVIVDDVVKGIDHVFYCEKSLGLETV ETFDDVHPVLRVFDVLEQFWCSVFDSTRVKDYYIIPLSALNSEKTIDEVQRCRRLLHS SVRNLRGYPGLVCLGRQQEQVLADFESLQTLLAYLSTPGLRKNHWKEIGRILQLGRKQ STQIDSNVTLKQLLENGIIDHLDEIKLIVDPAFLDFEAEATLERMKSDAKKTRFVFEV AEGSNDALILSPRCRTSISSQLEAFSHELSALRQVEHLSQYTISSVMEFDSVVQRMKE TLLTWEDVEKEWKEVLPFGITLETTTDEDFIGLTPRDLKLLREKVSSTSDTFKSLAAT LRKAQYTLFTAMMQENIQDQVTLARTILGDVRKILASSLDAKREVFPRFYLMDDESLL SFLSVHNATKLKRHLTTLYSRVCDVNLEGNVVTSFITTDGSVLRTDTPIELSPLPVEQ WMKTFDRTLRSSLLNEIKMSVENHYRMDTLVWLGDSCVQVIDVALRTICHHDLREAVS VAGTAGMNAYTRRSKDVLEEYVRLADDTLGARVQRILSTAITSLLRYRDELRLVSKSG VETLEDLKATAMVQTLLEGGKIVVQMMGLQLPYGMEFFGDYNIPILTPEYVEKGLCSM LMSIRASSFPILIGKNNENSELEYYAQYLGRFCWFLQCHPTLPFEGIVRALRGCLGAG VILCLKDIELLGRELADSVSALLKGVESACRNEKRHNDGGMSTVEFQAGDNSICAIRD ACFHVVLTTRELDEIPTALSLAFRPIYVPSVDLGLLAQGTLQALGVPHFASIGQRLAT MFSQFVAMLPAVFTCRSFLSVIRDAADCKTGTMAESLCSAFLRQFWHVIESDERLLKL FEWNVVHTLGIPRHVLEEVLEKFKSKLGFDSCLERFTYFMKFNKNAMLVGSAYSGKTP LWKSWVGDTHYIVLSHRLLCSAEVYGDESRPGLLVSIARKWDPISTHTIVMEHTNVVD VPTFLESAAHVRVRSYSGPDFIQGSSTRIITVVTTLESANPRIVSEVAIYALPEPSGW KDLLKNELYSDPNCSPGAAFPVISALIEAVLDVSAVPRISSLDEDVFGVVCRCSRFYK RWYAFARSCGKAEGEHSSDDVFASQCAVFATCWSVMLCMQVSEISTGIVAFKKSQSGL TAVAQHIGVDENVIFPPDDDLLNYIATPFGWKKLGKEASDVGFPTPWASRDPGVNFKQ HAWTYFFPLRSRQRTLMHLEYMAAVGQPVLLVGERGQGKSTLLNHMRCSSRWAYELVH NTVACTAGDVQDALLQGLSRSQHNTYVPSSGQRLVLCVDDVHLVGEMGYPQAMCVFAF IDHYSALCTPSKGYVPIKDVICVGTSLPTPPHEPEVENSVLRIMIPDFIEDEILHEVE KLIGKTRGVRRGEGFLKGVGDFLIASQSMAFHFINSLLGDNHSGLDRLKSTLASIEGI CAFEDTSLLSFSDDRADNQSQLPYPYVLLRVVDKVLRILSTQLDEASIVKGIYHSVYT FFMPLIAGEGRREELMAELLKMAKETMRYSPGARQREGADETYVTEVLNSLEYPSVGH DVIRKWLEKHDVMMPPALVDEIRSESPYISTGKSRMESPSTKEAQQQAAVGGAPHVNC TPHWTVSLISDVHHCLRQEGSHVALVGAYGIGIRRALHVWAAGRGVNLRSLLSDFAAP DAKNSFTRELIEILQGTCRSGSRMVVFVPNEVLTLVWPLSLLYYTLRGGNVRQLFSVE EQLYLLHGRRGIQRQSGKLTPAEEVQLRERISSRLSIVAHFVSFEEMRRLGTVIPFVL QMLPVVLHAPDMLREHVDVVIRSEKATFVVPETGRAESADVPPGGEGCGKDAPVESIP CFLGVPMDTETLLGRPYSQLLCDIFFCLRTNTEISVEQLQEFATLANRLRGTLFRICH CVSQSLRVDDLFNAIPTKMQEYANKSKEAEETKVTVVQHRGELVARLERSKECATRHQ SEWEFLQRKSCDLLPLVTAAENALRLKRESISDGLSSTKARLCSMKTAQIRQYASSLP SPKGVLLVKAVCRVLGEDLRATSTRDVWDRGRSIMTASKFIARLRDLDHESLSYESLA SVHSSLRGVRFTDVSPFADALSDYLLSVMEAVRVKKELQIEQMELDSLRLEYTTIEDR KNEAGCGVSESRRKIECVEQEVNNVDEKSQGLETTVVDMTKRQKSLEFITDALDRFSL FHTPGKTAAQCNEMAKGEGAVIMVAAHFAFFASLPLEVQRSCHKALYSLLCDWGIQAP ADLEDPAASLLFPSVDETVESLLLSACSTYERQCIAGLLQKIFSNCPIFCGVTNVFEE VLGRCLAFICGDCVVVSVMQKDFCDEVVRAASSGIGLLICDVHAPSVLERLRPLLLLL KALPQAALQKRNLRCTLFGQEVPVKPSFLMVCVSPAVMLHEEAKAATRCYTTVVNIPS PRRIEEGVHTILLGCPTAYARPLVENVFSVRDKQDNDALCAFRVTLPEVREMLSGDLD ELAGNNNGELERLDFLLRELHTLHMTVLRIEAHNKSVQKPLASLWEGLKRGIQAAERA VRVIEKEILGRLWEWRMLEPFIVGATNLSSAYVRRISPGTFDKLPPPQRDFYATVNFV ESLVDVMASGWPMELRGIFAWYILSTVVHSCGFMLAHSGVLYSSHITFLTSQQYDVLN RLLTRQNIAADKQTVRNLYESSMDPLLNSIARDNSTLDDTESGLSLESTLFVKDTNTS WVLKAGEELIRFLFQQWMLLNHRACDAAASDLYAAFFTSVKKKVTEEGGLSIYDSLDS QCTNLRYSEEWWQFSGNTSIPLLLKGANTHELVGYVRQRANSASFFFRYLTVTNVRDL DQLVGYVSHSFQQPPTHVVRGHCVMAVFVPPAGVSEEASFLQAISSRFSALWRYGIWG LLRGGGHSTRTPVVLCCTVRGAPNMVRNNVVRAMQEWCFPVVVDSVSPRHQLLSVIGG KVFAPPWKREGVTLLLERECTKSGVSCSHLDSCKRGDRGNTMVTPLGYVLELHAGISA GGAVQRALVEDRGLDNVFTDLYRTDINTDDLLLILRLVSLKQLDDPQEEEPSSKRHPS TTLHAATHTVSSTELKSATLQRRSISERLYRLFNKVAYLVYTSRSERHQGCLLIENML YERGPLLMLRDDGRLCYSTALDELLREKPTDEVFMATLRRSDKSFLHLCGDDHTIVTY RSMASSALRRSLCGVPFSTRADPLFSTSDGPLCSQGGVDGASQTEPHEDFESNSAVSL LLVWEGVYGEKTVRALERAQHKELSSRLRVATAQFRGWQPGSVLSVWLPALQYPKLLL NILLADALERKGDLLSHIEAVLVVTRRYMLLHDDVLLTGAAPSRQLEREVSIQAGWKP CEMRWNKEVGASKHDMDIVIAVRYQSDFTDCPSRQPSGVLWDLRSGKKGSEPRQEGSA MCNSVLFLTEPEPPQVCDVVKRSVATVPVVGLDLQWAVSHPLNPDDKPDEATSRVWQP LFELPLYVTVPACERSELNATPPRSVGQRPLGGRGGGRKALASSGPVVDTLGDSLGFF IAVS XP_846973.1 MHFLLSFFLPFFLSVMSSKVGQEFSQYVTEISQSQRHHVADRVE QLARHQSRAWYYLVGCVTFTTSSVMLVFRLWGPRHIFKNSVYYTRPLPPAISMGVVLY GILYTCRGMLMRSRICTMMEDYEYELKRISAHHCEEGVNQLAWLQFVTEQLKQGAEHR FDFNKLRS XP_846974.1 MEMAAPPPPARRRRQPFITSFLENVLPFLPARELLVCATVSRTW REESRALSIWRALLISNPRTVQYGYQGLTLGEMQKIALRAANLSDNRGDAGGVTDQET SGMERSDLRDSERRAEPSLENARGKQRRQFSSCGGVSTLERGAIMIQRTGGAICVETK SCTNDIQKTFETVQTYIVHVRENVKSIACVIDALRQKVPSSESIKFHQQCVGLWKRLN VFEEIVIDSLDEGETASLRGLRNFKQVEVVMSGQVESQAYIQWCFFKQALPLDETYYD YVDLLYSLGPARCGPAIATLSEIELAVERIRMNNQLQTVIDGVIMMKSVDIGRLCWES LMAYVNTERRAADLGGRRPE XP_846975.1 MARNKAHKQRFASKRAVSGLAKGRTIPTKKESVKALHPLEAKER SRQSLAALRDKKRANALQQKRIGKISGLPRAVGVLPANESANTNAVIRGLCFSLGSEV RDTCLPFTASSKEKKASFTFMSERNGNLQSCVDIGKVADFLVLALDVSRSVQEMIRNV QESMEVEDCDDVGSVVATTWYGDIGLCITAFTRELIAALNAQGVPSVAVVLQNLDTFT EKQRHKVIKVHQRYFLSVLPETTKVFTVIDQGDYDPVLRHLHVAKLRSLAWRDQHPYL IVEEGYFDDDAQKLVVGGYLRGMALSAEQLIHLTNHGTFQIENICRGDPRGDEAQVID SCEKAHRESLDYVQVNATLDDADGPTEEDIAYHKSIGFKKVKVPEGVSDYQAAWYEFE GSDINSETDIGESVPGDDEYAASDHFSQGTLRTTVDFLKVEDVIRLERMNDDERAAEI QRLKEESEEDAWNPDIVDTPFNLPARQRFMKYRGLKSFQTGKWDAAENLPPHYAYIYK LQGITRIREAALAKCASGSVATEQFVYITLVDVPSKVWRSAVEGGCIIASGQLEHEQK WSVLHFRIQRSSDCDEPIKSKIPMLAHIGFRKFYVSPIFSDITTSDRTKFARFFHPNE KFCMASFFGPISYQPCPILLFEVPSLEEQNEDNSLHLACFGGALPPNPDLLVLKRAVL TGRVATIHKKQIVVKYMFFNDEDVQWFQSVDLRTRLGRRGKIIKAVGTRGLFKASLND QVMQHDLVCMDLYKRVFPKWTTLPFCISDVPKVVAEE XP_846976.1 MRRFISVSKHMPGRHSSYAAGLFNFKIVPDEMFPYPCRKVDGDE AENLQLLLEDVRKNGSTLTNLYGARISTEYGGMDLGHTAHALIYEEVGAKCNADMLSL IGHSGMCTYLLSAVGDKSLKGEYLTAMSDGSVVMGWAVEESNGSDFSMTSTKASLRDD KYVLTGEKHCFNAAKATHFLVLGKTFTQIVAEEGATTVERLSFFICAKDAPGVKVVGN SVAMENTPARCAVGVVGEGFKNAMITLFTEQYLYTASLLGIMKRILQILGESNAGEGA GGLIGSFACFVYAMESTIYALAAIADTPVEDSLLECTLTAAFTQSTVTKLLKDLEISI PPSVQLEECISCARSILNQTEQDHFLYAAAVCCGIEDYGLFFQNASTLQVMQARLLRS LGVRDRIPIKNVRNASLIDEVVVTFGNAVETTFVRSGSHVQYQQLLLDRLGEAASLIY AASAVASRASLCVAKGLPSLELEESLAACFVSSAVSRSRVLCEEVCNVGKTADDILRR IALDICEDALQ XP_846977.1 MFCFSRGLWMRHIGQDVPKRHTHFVLESRLMYEKSFRDCWLHSV CRAISQLDEPLSKTVVGTHQKMLQRKVTCFQYNQYGLFKTPYYRLANVDRYHAVQGVA GTREWVPYVNVSYWTMNKMVRGGNLLVHRVHYTGWGTDSHLKKGGWEHRWNKVLQRNV LQYSRI XP_846978.1 MLKVGQRHMGRVIRIGDFASTRRVITLDDVKAFGPLVGDSNPIH VDEAAAKAAGFQSPVVHGMLAGSLFSGLLGSELPGPQSIYMSQTLRFVVPLFVGDEVE ARIEVTQFRRTKFMIAFRTTVFRIDKQTGEKTLCIEGTAVGMNKTVTFEGESEWNVPR VE XP_846979.1 MIRWTVNLAGVDAEADLQRDDRMKAPVNPSSGRRESGKPADAAR AGGGTPQSLGTVATLAMSELLELQRARRETSLADSSLGQFWIGPPLSKEEGGHAKGSE IRIFSTFTNAQGRRTVCTVQARQALFRGVDVVNAFLQQTLVPDERLFSRFSTNPRHYK LYIADEFTGEEDLVFQLDSAIQKLTCFAVQPLPTAKLFLFPQRTRLLPTAAEDINLSV EVRPLDSQAKVVKRQVLVPADMLAESLERVIFSRLPGIWLVAGSLRIKYGPVELNINE YYNFGTGCGHMDIPVAERTILSLHRFGVTEVLVQGRVTDGAADVPAPVEDIVINIDAE KAQTLEQFEVIRINKHGARQQRILCVDGERLYMKQPYNAGREASQGCEEKLVRDIEEV RSSPTRPKCLEICYNHASKHEDDHIECTTAYCRALLDEKLRVVQRELRKRDDSERQKV DNVALSRLFGWLKSGFGKYAQHSDGSE XP_846980.1 MASQNAATNGDGVTAYRTESASLMNDNKRRNDKQTEDQRPPFTV GSYALGIVMILCVAVIWTYASVLIQYIYEAEEYEKPYFMTYFNTNTFAVNNIGFLLLS SWRRLPWKNGERTSSLVIYDDALKRTFVSGASAPDNEPADGADVSRGGPQSEAERIRP YSKFRVFKCAAFFCPIWFLANSLFNASLAATSVSSVTVLSNTSAIWTFLLSLIFFNQK ATWPCLLAMTMTIIGACLVGFSDAENTENETVGGDIYALLAAIFYAVYTSIIRWHASD DDRYSILMLFGFVGALNTILFWPLLLIFHFTDFETFQTPGGIQFALLLVNALVGTNLS EVLWARAVLLTSPTAATLGLTLTTPLAMTSDLLIKQKSFNAMYIIGAVLLTLGFICFN LEQQLMKLLKGSRSS XP_846981.1 MERGMCLVERDVDGLSCKTMLAPQGWVQRVHHDVRDKCSVNVTH FTSLDSLSTSLYVTEYFLPRPTSVESGSSAGVTPEALAASLAQLLDPSKFSLCTAWEV PQSAPTESCGPLGKLLCKYVLLVSVALSTRERDATYARCSFDAVVYDLKERYLQLSRG SDVVGLSSTEWVAGNSAVCTRRGCCVIHVTAPPGRNICWHPQLGGEATCKLGEAAKEL GLVSENLNFLLYEDAAGSVEVMFALLPLTPGVCIMKRGDLQRAWLRRTRGSRWRSLRL PTPRLTTGVVDPCNSLYDVRPPGSFYLLVRGEGGTARSVIAWLMEPRVGSDSSIVLLT TFHTARGGAVSATASVVATDAAITVDTAAVDPATAAQCLKHCKWLRSTFCASVGMTFS WSALCVGKSPGLLFRQGNLVIQLPSESTRYASASAVAAASAVSRGNESAALGSITVEA VDRADGTERRATITLLREFRCAIDFMDYVVTEFTYEAHVDRRSAVMRRCKAPLALLPG GGQGTGKCPEAYSFWIRLGERDAIHYVVRECGIGRLLLAQACVTDCSPFSDVVAERWE SWVGGIGVASIL XP_846982.1 MSLSGILFPQRCHHAAHAFSSRGELWTALVTDHNFLVLRGGTLC ASGPLSAPCDGDGAGFEAEAHSDLPEPPPTPLACRICCSERDDDIRVIVISMSGVYVV DVTGPRMQMTYHSLCVCGGIPGCSRATVHSSPNEAQMPVCLSEWSHTQKEECARKALD AEFVTSTVLVIAYSDAVELIELSWRGRQWHERRDRNEEERSRGVMRWRVRGSYSKLAV CRVSMYLSVSQRSSLVEVFPLQPRLSDQEQRTEQSTVSFPPVLHAPREACVDSFRSLS YRTPTPSLLETAEGSAYVWRGASTRLCNYKITSLEWHRVSGYSLLCVTCHESDRGQPF IVFFIAQLCPVHEGAHVRAGLETQHGSGMVGVNSSTVHEVMLTLVPAGKVPHSSISGT PLSVHIVPNYICSHSEVQGSDKLELLCVEKDGSVFRSSYCYDPHQGNCLVRCSSTECL VPQLLGPIQKHYGDITTVDVLPAWRPRAVAFGPLTPAVAALLEAQRYRLVLRFRNGMV ARVVVDLAAATVRVEAFLTLGVDAHPPLLATAVVDGVSGPQQIVLGLTQDRLFVVRRT LFSGGLTEASVSVVGPLALEHLQKLVCGSSVCGDDDAGNEMKGNTCVEESEALRSFMQ AKCPEKLHMLPVLLKACGGDARKLLRQLTAKYGSPTPAAFSDKTILDEGRSCDGSGDR SKNGRFNSVTPSLLPSALKVGALRLTTGGIRCCVEMSVKDTDKPHHHQWWYIPATPLA SVVGEWDSLIAHGVLPPSTALVQCLDAPLPAELLRERRPSRDGLACVSWSTSAQSLVV QSRLLPHETPLKFRPFESMAQPYNPVRVDCVKLVNNVIVVCVMGATRDEEIKTVLMVY VLDALRDVATQPVFEQELVIHNVATFFMCDTGGLFVLRRDSKSIVRWMRVTTNGVGSG HCWREYCVRGGSESQEAITTMAPLYTKSSGMNCHCYEGASCTHFVYVSSEEGLIKVCE TSPKKDLRSALKGEIIVEEGGSCPYTEVGRTSTRCAKVELYHPTVITLLLAMHRPRVA RAALEGILESAAEASVASQSVDDSGPRRVAEVLCQNSTKGPLDAWPVPAATEEIAGVA VRTHQLEGGEEENLCDYAAAFGLDIPLELLDQMTEVVMHAKLRGLSSQEQLTLLCTVE SLRAVRVSGVANDAGASRCLFSHKFLQLQQRRRAAVASAESLPANHTSFLWAALSDCQ PQLLDVLSATDGNVLSWANVEVSGVPFWLDSVHRLRAIAERVAREQYQRSRDVRECAL MYCLARKPGVISALCRTCGNTKLEMFFSRDFNEAKNRSAASANAFAAVSKNLIEYGAA FFVLAAEPRNAAQVLLQREGNVSLALFLIRIATDDNPDDLSWFIEQRRLEETSCGAMS KSEEACLLWRGGRKHEALSLLTVQAPLHVLEGADRLALLKFARRRTGAERGPAQREML RLLMHTVRLSHAAGMRLPTSLFCQEALSWLLGLSKEDAGDDPTAQGNGSAANRVATSG IVADFNSGTLAFNAFDMDGDDGERGEMAASSQSPEVAVASPMSEAVSVPTVRAGAGEI YRIDPAIVYSLLRELDFIREELQNSVSTQPQQQVQKHQNTAVCDILSCAARLLVAVGG RDPAAGAFSCLQQMLECLPQGQWGKSCVDRLSESPRYAEGEGNGMGLCTVIIALRVSL MSVATYNKDCALATALLGLPMIDDILLVEGAQTVTVNTIAVYVRTVCHVLENLRSQTA EWAQEEEKRETSMGSDMHGVDGSESLNFALYNPFEGSGSAGSGVRPNDNSGRLRNDKL RAMLLVWCTARLHLSALKELLLEASGLRSASQDSQSTQVALQQWLVSYMLCRVTLDFN AAAVQFVGDVVSVLQLRDPLPADPNGVFIEVEQQLLQIAGVLGHSLPTATSLLGDNGV CESCGQQQRYVDLLKLCVSHSEVFCQTTTCNTSLSGMTESALTLLSNTVAPRTVENLL HGRLVQGTKEALRFVEATWLQRHLTRHNYREQFLSPLREGPSVLRRDESLVLQQCGRA VTSVDYDRSSHDVIVWATAADVSVRGGYCGMLSRPNDTSPVVRPRADNGGPSFHPTRE GGVTGLSPGGSSREGVLFGSPIRSLTKPAASPNSTPGSDRKLLSRRPSLPLLDGSRVA AHPRLPFFSAPHLDGCIDFYSFTAAECVKTFDCGDSRAVTGVAYSTDGCTFVAGLEDG SVRGWRFDLQPVDRAVQPLFVYHVLPTGGIRTVMFCGASQSHIAVIGFSYDSLATGQR NPEEEEGVCGLRGEPKPASAKPKSKKGLLGFPRFGNYERNTTELLLLVDLVLRPGTFA WQELSVVPEHAVYIKGLDSIMCISTNGAVALYDMWSSRLYTFVRTPNVTVTCVAASYH DDIVAVGLVDGAVLLLHSNSIRGAVQACNGSTSHVASECVAEKALASKESLLLKASRL QLIPSTSPRCDVHSVVFTPSVMLAGLGDGRVLAVGFPFSTIKFGKA XP_846983.1 MRRSFSRLIGADGGIFMSAVHDKQYLQHRLATLGKAEQQQPLSE ETIQRLTTSTGSAESLFNKAAGAQPTNIVDEATGVPVGSVQLDPVRYGDWESNGRCHD F XP_846984.1 MAMQLFTFGHPCEHQLRRQCIGGKSCPLCGYPNEWCCSYIKGKI NFKRDKPCEGSRCRWNYQHPPQSLFDAVTQVLNESRPIALKIDEGDDKEILALSIDNH HIVDTVQCALQMLRNPPSTYSARVGQLLAYAALRARDTKVFMQLLKTMKKPVDGYILG AYDYLMRGTIPAPLNSSSNTVGGNANNNSVNSGNNNSAGSNQGRAAAKKAGTGSNGNN SSNNNNNDKKEDIADELKSDMVDLMNAALSSGGQLVDREDQHTLQAVFIQSLRSYPKS NKKRQEMLESAVAMFGSKPGPRSTTAAVTAVEQTPPNNISGNSNSPPTTAAVVTNATN NNNNNNNNSAASRAGSVAAATGGAVPGARTVARVVEAAQDENVSVPQRSGSFSNTNNS SGNSGNASYVNVCSAGAGATTAAAVVAAAVAAAGAPSRRPQGTTGSTTPVTPVSTRSK EPCVPTTTIAPTTTVQPTRQQQQQQQQQRPPPPIVGISQLVQQPRQPAACRYEPIRTD LPLPNITLFGGLFGLTTQSAAWAPLGAATSRKTSPPSLPGVVVGSGAATPGLAADMDE KAFRFLSPTTSGVNFFGGIDSLPPEHQLLKGICDD XP_846985.1 MYGMNRGGGGGYRNDRMGALGANLQDVKWDQVNVVAPQWNYYKP QERCSDEEVAQYMRDNHITIYGDSVPQPMLKFSDLVAPDTIHQAFIDLGYKSPTPIQS IAWPILLNSRDLVGVAKTGSGKTMGFMVPAALHIMAQPPIRVGEGPIALVLAPTRELA VQIEEETRKVLRRVPTITTACLYGGTPKGPQIRALRAGVHVCIATPGRLIDLLEIRAA NLLRVTFLVLDEADRMLDMGFEVQIRKICQQIRKDRQTLMFSATWPQEIRNLAASFQR DFIRVHVGSEDLIANADVTQHVSVVEDYDKQRRLEEILQKVGKQRVLIFVKTKRTADS LHHSLQRLIGGSVMAIHGDKEQSQRDYVLDRFRRDERSVLVATDVAARGLDIKNLDVV INFDMPTNIEDYVHRIGRTGRAGQRGDAYTFVSGADPSKTVRDLIDILRRANQEIPPG LHSLAGRGGGGSGGGGFRGGRRGGYGGGGGGYGYGRSGAQYGSGPTYGRDYRGAPSHH QSGISVGGNTSDAYWRGGAPPPGQQPYYPVCGGNKRTREVSGGFHSDPQNSYRQQPTG GSY XP_846986.1 MSLPAVDLKFLSNVSRRLQERALHVYVPGDSRSSVAIIFRFGDD RQQHAVRRLLAGAKEVQQVFQRHLSAGIGMDLPSTLQVLLVRRLDLVKDRWSGSVTYP GGRRDRGDADDYSALCARVNEMLGIPLTSSEFLLLGRLRDYPIRSRRLQLGGMVQSRF VFLHIGELAPTLRFASHMVEAARWIPFSALEVNQRCARSTVSHPLRSFVHPSDAETRL LLTELFPHACLSFPAVQVPDQQWKVWGLALRSASELMALDDRSTADWPLVSSNNALLQ HFVIDPLHGYYELLYTYHRVRGQWGRKGNELRNLSRCDVIPPTCHPILWAVSDEANPR HVFFLLLCAAFVTMMAYAIATVVHGACTVVRLAFGCNEGDGFERTLGYCTASNNDGEV XP_846987.1 MLLKLGAVLLLLVLYHVGSLFSRLVFVYTIQQGTTKFCFRFVFA LSTYAFILLLLDASQVSERIKSNFSIQTLRCVLAVDLVLIALFCPFLVVRSLLPNVRL YYFFLVLLACGLVKMLFGASVAAWSWTLSSTQGIPTLFSWDVVSVAVSTTGVVVVGLL SGYAAVTTPLAFVEPLFEHGSGDQARLAVGVLAKRQKHLLELWMLKRQQIARAYGAVS RADGGRDGSNRGSIAAGRRMWNWVANSIYSSVRASGADIAAMETESDGIRAVSMAVFL QMSEMDTLVRSAGSGETWRRRFNALFGLILFTHALVKFLSTVISLLRWGVLAAENAAP HREDTATKVMNFLEAYGLATPHGDGAEQRVVWVSIALNAWMIASAIRGFFLLVFRLTT HIAFISLDTTVTILTAGMGAFFVGQLVLLRLTPSLERESVLYTALREQLPQHGAYCHL NDLVFVVAAALVAMMRRCMFSSSATALCAAAD XP_846988.1 MSTPSVVGSEQQQDRNRVAYGMRREWKFSLTFAHQAARIILHLS PYWESTFIDPRELEQLNRLDRDVKHCPMRPALRYNDLGTTPTRMEEVWTENLKKVTIL YSHIPPDTTLVGYCVRSVQHVLSDPQVVDVDGCTDFSRLQVGNKLRCRHKLGGRRSFH FRYTTSSKTKTKSTVYVIGTIINQRGYLCTVESTGGEDLDSYVKKHFLPHYTDKDKFM IDQDLVYSDVSSTILKEQQELFGELRYSDTDAAVAFTIPMHPMSIRPDYSPTQTVGVG SIACMTLELNVYQRPVESDEIDIAGVINFKVNQALLFLDVEDMVRMGYPRIMSTEQYS NMKINRLLDIFSDAKVVGTPGTSYLGVRTGRSRTLTFTYEPFNAVVKVMMTSAIVCGL GVTAVFITKLGGGIFDAHLYLYQQLLRGIKFMESDIPRGCLSRFNSTCVRLVEQNITR CYAAAPADGRHTMEQHLKLLRESCDTRVEGGISVNVPVKEGGLGKLPGEGQRCSSASD GNLRTATPTEELASEQEKVSCHEGDATEDTPTSPVGSSTGGSHSSFHSTLSISVTGIR TKQEVVGGDGTPTYGRSHPQRASILASATPDVTDLNVEPPASPTVTVLLPSPQPPTSA AAIPSPAQAVAAASGSPRTSSEQVSGGADMSRQLSVAVPPSSSVESNVRVPSPARSPA DGVEANVAGSPSSVVFPQMPKDELEEDQDSKVQAVLKADDADAYFGLSMYDAYVHSCD MLKCKPNSYLLKKFPTNPRFSNLIHEVDISSNYLGHGGFVAVLNILPNFPNVHTLHFN DMSLDNEDVEHLCEVLKDNKSVREVHLRDNDKITLPSTRFFSRLLKVNPNIVTLSLEG TRLGPSVIGRLQEDVKKNAEKKGDAEAASVSDSK XP_846989.1 MSATYPERRPYATTVPHSGYLSKPTLPPVEALRILSSTVGIDLP RSVRHSANTLLRRSPTPRSVSPTRGVQLFKPPTLETATPFEFQEKDYHKSQQSVLNVQ LSNAVEELFKVWRVKVRDLMHYLDSFPCEAGHSFSRTNGALSSFFEGYRTTDITPMTA HQLVNDFKLCTSVSMLDEIQNSPEVLGDQVRAALDALLNAKHFLAPLENFVDRLEPIA AFALPDKRPECLDGTRGPVWPSKVAVALHEKFHYDPSEYVLAELRKSDQPIERLENRV LQVKERKEDAIDREIPGDALNYLTTQVDLSNDLLLMNKARMELVYLHGKDIQEMKRML EDLIVDARHSVELLKGRVERDMPLVKKDIDGVYQDVENTQKHIKDLDQKNAEANKAAH EAYSKLNDTEVELWVLLTDTIRKLVDIAGEKEAFVRNEMRLRELRGRDMAIAEELLAA QQSYFSRLRKSEDVLKRWENAAETYEAYVQAYVPTLLKKIHDAEEADKDLYNREAQNY VRRYEMFEYAVEEGRATRSVHVDRLQTLQRSKLLDVERALSTLDPFADKYRKELDEAQ AQLDEGLAFIQLVENLAKERRGEVEPILQHVISYNRTLQTKTLEIEAEAEAQALLLRD GPATEGAPSTMNTVDAKVDTSLLQSARGSSGKRPATAAVSEVTHFCDAPPTSAALRLR SVVDANNMMCTVSHPHVMARTVGINHEEAFLEKYRRFTDEEQVAVEGVAGRVRQSNTS LEMLGEKYNNKDYIRMLLLSPGAKQSNSATEAAVEECA XP_846990.1 MEFEQLEIGKKSITNAIARQEVTDYYDLLHPNVLLVSHFRYPPL SPVIADTIPALDRVLRKENKNLSGVTKGHNPWKVPEFLQTGAVTKSAPVAAPAVTATS PTTTSTTVTTRANSPHLAGTPTRASIVPKAPGGRPVARGFAARKRRGTSTNGRSTATG DRSTSAATRERREDPNKKKQRQSTITGPYEADIVEGPLLVTARFYAILQWMNQGAHIA DTVFDMEARKVVLQESDVVLQLPAPKTEAEWYAQLGRQHTDRNQRLSRLTVTYGRDLL LFRDECYFEDGFIVTIHRFMLTQQEMLDLSSLVEYHQQAEINRRIEAMKVERDNFYAA EQRRVNAFRRSVEPLLDFTFCDVSDPILLLSVEPVAGKRHICKDVRPKNVTALPPMGY GAQQNDAQRGKDQRIVVKARNKAGDEEVYEFVDNSKPRYDFLYDPKTNAESTLQNLAD KMKSMFCTSSVRISSCGMRSTDQLVPVLRRLVANAIMTIRALDLSDNEISTLPDLSLL PLQRLLLHKNKISDWMEVENRVCVLPLLEVVTLHGNPISESNEQYRQELLARLLRHPR RAARVRQVDFVTLTAQDLNIAGTFEMFTTGNTSVLEKARKFNVSDVRKKI XP_846991.1 MPGQVSSASLPPVISSVQAYVKALLHCHKYPTQAVSGFLIGKRI SATSNTNASSPASNSSAAATPTTVLGANAIPNFTANAISATNADGNNVNSSGSSSDEA ECIFVADAVPLFHTIIMTDPHPMMTVAYAQVSSYARTKGLVLLGYYIANERTGDTAVC SLTENVLRMLHSRKSGVHEPLLWRIVSTPSGDSVDVRGAYYNSGSSSFTDAPSLTFGR WNSDTLSCETTEPKAAAVEAFENSMDALKQFQLVDFEDHLESVQLNYLDQTVSA XP_846992.1 MLRCATSFRCYMRVICLTMAPDGAMTSALRKLDYTPYTLRSAFS QGRANRHPTEWASALRGERDIDLCKLMADFDAAVGPPAAMLYAKLLRECPDYTKVILV KETDKQQWAREHERCVISLLKGHRHPPQNRIAKAFYTILSEMAPHPIEQPLGAISGNG DDDTRISGRVDALERYEAEVCREVPSSRLLVYHHGDGWEPLCSFLGREVPGEPFPPYD GGMHVIRNLHERVERTEKIAYVAVLLLGGTALYAFFPVLLDMKEYFEKLYRDYQIAYG Q XP_846993.1 MLAVRGRAGSFRNQGGMTFQGNEYHVTQQLQLLPPVLQRYVGRL HECLVDCRLTPQVFHEILNDEEFFRDVRGSYNKRFGDIIKTVAGEWWSTCMKDILQRV SSAADGVDNAPAASCSAVRAANEETTEGTSSLDQVLPGKCDDEASSIEVCRDLRAVLL LAVVVCSPYCVIRGPDTPVITCTARVMLCRDPSIIYLGLLINLAQSNQAERSLDSAMV LRTLAHGWGSSPTFEDVVKCCLRARRRRQRRLAAPNEEEQVNAISTEEDDAEEAADIA LLQLPGLVRDPLQIVPDRSAAQSSGGGGKCMSDSLHIGRCVLQSMASAAGNPERLLWW CRCRLLCMQLLSPADCEELLAGPSCDFFRSLWKVERMFGDILDLAEHDDDGEESDACY GGISSTSGVGSAPTVAALVGIVADIVRGWHRAENSGGAMGSIVLNYTSRNPFETVNRV VPGHRKFQQRSHRCLARDWQYGLLVQYHSTHLAVFPHPNSSYATMLPVMVAQLTDVLR HYPHYSPIQLMYSLALTRENTNTSVALNSGLVPAIVTVMRTYMDEKQEYGENASADNG TEGIRYGWSYKAAGVLHWCFTVLEQLATLSTHESVNPIVFLLSPAEEDGYELCHHICK KIFYPGGHPVLLVAAFRFFSACIHHNVRDVVPALQQRGVLDTLLKIGESTDILERYNC PRGEANSEEGVAVQQAGEGQPPLATSVKGNKVAPITLAEYSAYYEQAVPIVCICSMLQ AFAVHNSTHASLVNRMIMRRFVWSLTVPYFPEVSSPLSVPSSPSTLAVLCVERVEPQR VFTRLAAAAGVDLLVLIRTLPPLQDYFFESVEETLKSLIEEAKQKGPPLRDVGLSMWN ILACFSDLEYKQLAQRGSGRRGRSQRADQASEAADALLDKFKNCGKVVAGSLGTLLKL SSTVPFASPVSLLSNGNVLTSNLFDVQCMMFESLTREVIQFASDIVKDALQGGNNGVL TEEQAGALWSLFFLFFRKTSHGSSSANGTNHFRRDLANVIFDAFIALEMATGTQRTQE ESAGSAVPALTPVAASPTVGDQVIGLMDAHSMWSSDFELSALANNLLSSALSMALPPS DRSPANTPLNFFAAVVDGANAGNDAFGVRHVDTTSADAERGNTETGPNAVSGSSSAVE RFRNDVSRLLTSSQTRHRHSLPTFHTHYHGSGVPLNPHTSGSGHIMLVAPSYILGGVR ELLQKVAKSVTAAARVRKEEEDIKEEGDTSEAGCPPQKMQWEQMHHFAALEAVDLAPL REAEMRLQAAEAALSVGWKYISGNSGLVAFLHNIIGNLWRITECLLPVQNSQLLTGPL SSTSSALVNNPCNFCGVGGVAERGSAVATSDTTANSGATAGPPLDAEKLKTVVGYLTG ILEALLKLPPDRGLQRFPWQPRLLYFALITWRSRGLELSPAMILGALAHLVDLGSEVF KRGATVISPSISACESEVDVEAVGDDRSPLTLGSPPRPDSHHPNRSEEDSMVRIRVAG DFADLFGEVLPSVSLRSERLVQLSLRNRTSRSDTPVAPRTPEVSATGFPRDTEEWRGF GPVSLAEYAPCWVLEVAATMHANDKSVGKMWVLIRRLCSKLCWIYHTYSSPVSANSGA GDDDQPKKGILLIERIIRLVLEDPVRNYTLLEFLAVVLSQDETTAQREALVTRTQLPY AILDCIVKEVRRIENEQNEDASKRKEKWSLRNSMLGQLFCFLSSCSVGALTEKGTGAC PHYRGNSTSFCWMGHLCPHPHVGDKSGSHMRRGSARSSKVTRQIAKVLEEFGYKGDVS PQAPPSELETVTSDAVTGATSRRATGRNMRGTEAPGQNPAENARNDGDETATHQDDAV SGVSSVTKKCVDVKLKLSSVVGGTSSLPKSMLSECAVLVLDYLADDDETNEAEKWESL NTEDTRSDTLCTPRHVARRAAKVSGETNVLETPIICIAVALLAQLLDAGDTTLLSHIY KLNETSKKGFVMRAVSRLLREDMIPTSVCVHVLKALVDQSDHTEWVVYSAMVSAVQNC IRAGVTVLLKETDVRDMYASSVLGAGRKAIAPRVGGDVYRHSVPMDEFVTCLRTVVDK HPKAFCTVFNSACIVHIVSAEGNPKGDTRSAVLLAPRKPPRIHAMGSAPSPQRTTVEY VLDTLLRRLAQKQLPPSFVAAVLNNITTLPAVASIVTTYCVNVPVPESMRGAFLDQKA EEDEPTLNQKQEFGDSFLLHEATPRPLTPFLAVLLFMDERQLECLEPFLSNVAQKAPS RMAHILLQALDVTLTALSSENSGGNCLVTRNEEMELVHRASSRPSPRTMACSLLHALR LLLVVTSSSLVWRLCEELWQRGALDLLYRMVEQKCYSTEKDAATLILVIRRLLLAHSK CAGRSNGKVSPAAGHGVTAGSSVSRGTMTGLQGAELSDAHLGLMRHRQRRQQQQSGAE EDEEDSQTPPPDTGREEGRTLGEAGMSPLPTGMQQPHRQQFLQSGSVDDSFYLNALVN GNDSRREASDSNNRRRRSLEEGTFSGFLLGLGELLRPTQDIVSFESRNISDSTHDEDE SDVTGDGDIGGVSPGQQAIHLVVGRIGGGFTPRGSGDGDDNSGHNEGGEGNIARGARS ESGFDMSGVDDDDMGGNDDGGPHSDGGDDDDVEGADFDLNDTDDDDDDDDDDDDDDDD DDDDDDDDDDDDEELLNFDSEYYDDEMERGSVSFSLHNRYPPGGLLGGTGPQIPFDSF TVGNEPRMDGRPASIEAWDFSSVRRDQRIRLEDLHPHGEGWSLPSAVPDPADFWSVFA HGRLEGADHMFHTLYGSSRHSSTSRLVNICSIVNMEVREQWESAQALHPVRQVLRETS EAPPDPEVTPPAPEAAGEPRNGDETAAWNAGGDDITLIGGNGDTQPLLYEPGHGEGQL QQQVNDRTGTDIATMAEANVAHVPGETATQGFSNSESSGATRILASPGSATDDVGAGL ALLDFGGQSVAPQADPAETHIASDAGVTSSVVASAGSALTAPPTAIAEPPMEEQPWFN VIRPHFLLELPETLRRELLFDHMNVLLGSETRELEGHQTRIFAAFFTQLPADVQREAA EVEQRWRQVTGDVPSSAGNETPSRSVLLDLLATVDTELRQEILATCDLGDLEEYPELL REANRARQSRAVEAVSEGDASVPRQLRRREGALTVIRGRRPADAEGANAAVSVFGTDA LSTLTLPRIPPVLRRIRGLGPVAYHQHRDNSLSWFTSNMSGNHHPRNLGRRQNSRIRD ESSDDDNVNRRGYSSRGFAFITEAHLVSCIRAQQSRVVRDERVTPPPNRQNGAAGVEN AVPAIPSAILKQLVELIWLSKASYSPLDRPTSSSTDLYVGSQLVQLLVTACRKPETAA EAMLFLVDTVLERIPSTSYSLSHESVGSESSQTGPHGVTDSGRNALPTASLVTSTSGV GRAVGASVDVIRDCGRVALRTLLVIGQLIERCRAAGLSVTTLPDSVYMKVTQSSAESP ITAQEETTGSRLFKKLVELVLRVPLPRVEAAFTRVLCRLNQQLQRLEEIAPVSRRAPR DVDLESQGDNVIFHLRHPHPLRWQNLHRYPYVDSGYICNRCNTTFHTETVAFHCEMCR FDICPACSFVSLTVEEERVRGRHWGYSLVSSKGTMEAALQLLRHPNCTDDMAQEVMEF FRRGIKESAHRDKGVAAANTSAAIAMVERVIIDLANSITVEIHECVNALRAAFPHKVF QSFFLSATSNEDGNSGGTESQQWTAQEASVALSYMCLNSTSNKLLALFHPYAESHRPE PREVSLMWHAVQMYLAEVAFILQHIQNRSSVLLPQAVLLLLSTFCQFHMAEPRRDSSA STASESVSSLSLEKTDTERFMLDSTHASSTVSDAADAAAKLANSEQRQHRDPPPHEGP DVGSTDSDGSGDERPMMPRVVRQFVERNKLTINALIQYDPKVLEGGFKFLRSEPGFVD FNIRLNDFHRQISYASQGPRISLSINRGQCLRDSFAQLSKLNNFHGSISVRFSGEEGA DAGGLTREWFQLIAEEMVNDNYALFIHSREGMTYQPNPLSGVNPLHLQYFRFAGIVVG MAVAHRVAIDVHFTRAVYRHMIGIQPTFGDLKSVDPELYENLKWLLVNDVSDLGLFFT VSCEKFGVTEEVELIPNGSQVAVTNANKSQYVRLRCEFCMTRQIEEQLQEFLKGFYAV IPRKEIRNFSAQELELVICGMPDIDVEDLRLNTTYDGYTSTSLQIRWFWEVVAAMSKE DRANLLQFATGASRVPHGGFSNLESSNGSPQRFTVSRWADSAELLPQAHTCFNKIALP EYSSCEELRKKLMVAITLGSRGFTMT XP_846994.1 MSAVEELRAQIDALASQISAVKKEQGASSEACKSLVAKMTELRK QLPQEKKEKKAEKAPEISYYDTRLAMVKEMGPLGAAYPHKFHRDYTLPAFRECFKPML QEKGQRLDKVVTIAGRIVVKRSSSSKLHFLALQGDGEVLQVISMASDYVNDGFADIHS KIKRGDIIGVRGVPSFSNTGEFSMSAYEITLLSTCFHMLPDEHYGLSSVEQRFRQRYL DLIVNRENAKTFILRSKIISYIRSFFDQKDFLEVETPMLNQIAGGAAARPFITHHNEL NQTMYLRIAPELYLKKLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTSVESYWAYADYN DWMETTEELLYGMVMHLYGSPIVKYSPRDSEGRQLPEVTFNFNRPFKRLHIVPKLEEI LGLKFPADFYSSEANSFFLDICKKNQVECNPPFTTTRLLDALVSHYLEPQCHDPTFLC DHPRIMSPLAKWHRKDLRLSERFELFINKKEICNSYTELNSPLVQREEFERQLRDREK GDDEAMDIDEGYVQALEYALPPTGGWGLGIDRLVMYLTSQNNIKEVLLFPAMKPEGTS SATFPPGTMLNGQGVPLL XP_846995.1 MFNTITDVTLLFSLFPLFLPCVKRKRLMMLPACVIPMHGALKLA ILLMLVWCCSLCLAKSGGRCMFDEIAAKAGRPRVLALRRTKAGMENVKYDRTGSVDPE WQHIRIVVFAEDMKDRSRYCTSAGQERPTFFGETATCSQEDILTAAKRDIAVTKLLPS AVQMHMDRLLVDPITEPLVFPPFDGSVCSEFKVPSSHFSEGVPDADMVMYAAAGPTPE GVAAWATGCITLDDGRAVAGVTNLGPGSISLSETSIRTAAHEIAHALGFDFEAMNDAG MVQRIPGVRGKVDVTLISSPRTLQKAREHYNCPDAPGMELEDEGGSGTALSHWERRNA KDEIMSGISSPGRYTALTMAAFEDLGYYRGAWGSEEPMGWGNNSGCELLNESCLVNGV TAHPDMFCNETVSKLVCNSERDGLGRCNVIKHENPLPPQYHYFSDPSRGAPSHLLMDY CPSIDAFSNTPCADGETKFMRGSLIGPSSMCLKAEGLRDSQGVIGDVCADVRCDGGEV SIRYLGDDAWHPCPEGSHIKPTTTFTDGVIVCPTYSEVCIKATVVVRPSSASYRSSVP QSLLLTLFAIVYAAC XP_846996.1 MLTTHFRCCISPRVSGAYSLFPLFLPCIKRKRLMMLPACVIPMH GALKLAILLMLVWCCSLCLAKSGGRCMFDEIAAKAGRPRVLALRRTKAGMENVKYDRT GSVDPEWQHIRIVVFAEDMKDRSRYCTSAGQERPTFFGETATCSQEDILTAAKRDIAV TKLLPSAVQMHMDRLLVDPITEPLVFPPFDGSVCSEFKVPSSHFSEGVPDADMVMYAA AGPTPEGVAAWATGCITLDDGRAVAGVTNLGPGSISLSETSIRTAAHEIAHALGFDFE AMNDAGMVQRIPGVRGKVDVTLISSPRTLQKAREHYNCPDAPGMELEDEGGSGTALSH WERRNAKDEIMSGISSPGRYTALTMAAFEDLGYYRGAWGSEEPMGWGNNSGCELLNES CLVNGVTAHPDMFCNETVSKLVCNSERDGLGRCNVIKHENPLPPQYHYFSDPSRGAPS HLLMDYCPSIDAFSNTPCADGETKFMRGSLIGPSSMCLKAEGLRDSQGVIGDVCADVR CDGGEVSIRYLGDDAWHPCPEGSHIKPTTTFTDGVIVCPTYSEVCIKATVVVRPSSAS YRSSVPQSLLLTLFAIVYAAC XP_846997.1 MLTTHFRCCISPRVSGAYSLFPLFLPCIKRKRLMMLPACVIPMH GALKLAILLMLVWCCSLCLAKSGGRCMFDEIAAKAGRPRVLALRRTKAGMENVKYDRT GSVDPEWQHIRIVVFAEDMKDRSRYCTSAGQERPTFFGETATCSQEDILTAAKRDIAV TKLLPSAVQMHMDRLLVDPITEPLVFPPFDGSVCSEFKVPSSHFSEGVPDADMVMYAA AGPTPEGVAAWATGCITLDDGRAVAGVTNLGPGSISLSETSIRTAAHEIAHALGFDFE AMNDAGMVQRIPGVRGKVDVTLISSPRTLQKAREHYNCPDAPGMELEDEGGSGTALSH WERRNAKDEIMSGISSPGRYTALTMAAFEDLGYYRGAWGSEEPMGWGNNSGCELLNES CLVNGVTAHPDMFCNETVSKLVCNSERDGLGRCNVIKHENPLPPQYHYFSDPSRGAPS HLLMDYCPSIDAFSNTPCADGETKFMRGSLIGPSSMCLKAEGLRDSQGVIGDVCADVR CDGGEVSIRYLGDDAWHPCPEGSHIKPTTTFTDGVIVCPTYSEVCIKATVVVRPSSAS YRSSVPQSLLLTLFAIVYAAC XP_846998.1 MLTTHFRCCISPRVSGAYSLFPLFLPCIKRKRLMMLPACVIPMH GALKLAILLMLVWCCSLCLAKSGDRCMFDEIAAKAGRPRVLALRRTKAGMENVKYDRT GSVDPEWQHIRIVVFAEDMKDRSRYCTSAGQERPTFFGETATCSQEDILTAAKRDIAV TKLLPSAVQMHMDRLLVDPITEPLVFPPFDGSVCSEFKVPSSHFSEGVPDADMVMYAA AGPTPEGVAAWATGCITLDDGRAVAGVTNLGPGSISLSETSIRTAAHEIAHILGFNFR AMNDAGMVQRIPGVRGKVDVTLISSPRTLQKAREHYNCPDAPGMELEDEGGSGTALSH WERRNAKDEIMSGISSPGRYTALTMAAFEDLGYYRGAWGSEEPMGWGNNSGCELLNES CLVNGVTAHPDMFCNETVSKLVCNSERDGLGRCNVIKHENPLPPQYHYFSDPSRGAPS HLLMDYCPSIDAFSNTPCADGETKFMRGSLIGPSSMCLKAEGLRDSQGVIGDVCADVR CDGGEVSIRYLGDDAWHPCPEGSHIKPTTTFTDGVIVCPTYSEVCIKATVVVRPSSAS YRSSVPQSLLLTLFAIVYAAC XP_846999.1 MNCAADHVVERLPTARQQPAGEPHRFGLLAVAAFSCICVSLTYG FNLISGAMQERYGLTQRDLSTISTVGIAVGYFGLPYSFIYDHFGPKPIYFLGLLCYLL GTVMFALTFQGVIEGTVLRLSIYNASVTLGCSMFDMGALVTLLSVFPSNRGAVVAMLK TLNGLGAAIVGSVRLAFFSENTSAYFYFLMTLVIVIGTLATAYVRLPSYHLTGYEENH LSEEEKVKRLMRKAVYLRQKAPTWRFVHGFVILIALIVFLPTQGALLAYLKLGSDYKV GFAVVTIILTLLLPLMAIPTTKFDGNNQRIGIDDKSPTEGAVGSNDGDSSGSNVVETN VDYIAPQFQESFLAGLRTLRLWCLMFTIFFCAGSLFVVMFNARYIYTAMVGEAPDEAL NTLLTVLGGAGSATGRLGMSFFEVWSQKRKPEQRVPITVVLFIPTTFVIIMLTMFLTV PKSVLPLSYFIGALANGCNSATIILVSRTIFAKDPAKHYYFCYIGSLLSAIFLNRLLY GEWYTHEAEKRGEVVCTDKVCVMMPMMLLLVLSLLAFVSSSYVHVQYRRLCTKALEER RRIREEEAAARRQLSGATATAELPN XP_847000.1 MGMDHYTVIRQLGGTSGAYLAVDKQNETKRVVIKRLADGTQGMQ EVNVSMRARHPNIIPYLESFVHDGGLYVVLQYAEGGDLESHLERLARRKKALPHLALL RGFQQLISALKCCHGLSIMHRDVKPGNVFVNADASELYLGDFGSSKAMSGSASLTTTF VGSPIWLSPELLLGMPYSYPSDVWSLGCVFYEMACLRRPFSSDSFASLVRQITAGDIA PLPAAVPEDIQAIIMGMLQTDPNKRYGLEKVMEMTERAIQVRQTTAAVTPDEKASSPR HRKVVLKKQQQQQQRALGPKRALHASVMVHKKPQHLHPQRQPELEAQQEGTPQKLPQK QRKQQPRQAKRGPIGHAAGSSDSSGESQLSQWIHARNNDVKFIESYLQKFRPADDILI AEGPVESQGGSQDGLQPCAVASKVDTSVRKGATPQKGLRAGRKVAVAPREEKQQNRQN TRSDVEAVSPADLSVVSVGIAKGKRPKQAACAARPSRRHSPFAREPSLVNHLAAQGFI RIKSTGSACRQLSPLRHSPQREEERQAQRAKREQERAKMLEMIREQKAKAMRQKKARG KSEGGADVSVEIVLPDHVRYFAPAVQ XP_847001.1 MMASAPMAGDYQTRSAAISEKMNTVQLRRRIVEDLRRRAESLSG QLRQRQQDLQRLIDEFTQKKASQEAKISEKRRCELGQKELLDSLLKEEERLTNVQKDY AESIGKLQQEVAQRQATVGKVSQAREELTRVKMMLEEKDREILALERNVEKVRLNAKR RHEQFEMQLPDVTLPCLGEVERGGLEETASESILLIGDPS XP_847002.1 MNEYHIIEKMAVGSFGVVFKVRRAIDDGVFVMKRINLAEFQDQQ RLDAVREIEVMSLLNHPFIVAQRDAFLFNKENLCIVMDYYDGGDLDRLVAQQREKDEY LPLESVMKWFASVCFAMQYLHAQGIVHRDLKTSNIFLDLQSQEVAVGDFGVAEVMRTP ATDKLAWKVGEHRGGKVSGQNAWEEEQKSSEDGGCTVRSSPTTRASPGLEGNVSLGED GLGVFNGAMRGTPLYMSPENLERGVCSPSSDVWSLGCILYELLSLRHPFESRDITTLM MRVITGARQPLPSHYPPEVAELVDRMLALDPQQRPSCDDILRCPIMSGAVHAVVSQHV SHDTPDSVGEHIFLAQQRELGVVDNTGKLSFVSLPREGHPALPPSQERVQAEARATAS LGRLTPPRFFPATPTATCESTAAMPPRAFSCNGGSNVSFGMDFERADEGRRGARSVSN VEDMRHKPIAQIEEEVAWYRQLVQNEMRALKQRRDAAVQRRRLGTNGSTLNGGSVNEN KHHTEGDGRTSSWLPALPTQHTVERRSPRQLSQMHSKYPSPRHSEANNCNLNRTPSVG GGEDTSSARPPGGLEASLLARRQLRWDVAVKALGLEVTHNVYNYYRCVDVAERDAVLV MQMVPDRAQWHVLPDVEEVVVIDRLLEGTAVAGPA XP_847003.1 MLPFLFPSFPAWRGVAASHYASIVPVGKNVGDIRTSVILPKKKM YTTLHPYGQPLSFSNTGFHLQEEQLPTLHRERNGSNNAMPLKFVHQTDRHGSDVSLAL LCKLRHEGDAVLRSYTASGISSSEQRHRPLRSLPHAREMLHNYEVAAMEPSRLPLLPA CSTVVNATTHREGEHSCPGAGSFSEEVEPPPLLRPLQVTTVIQWLFIKHGEFLLMLTP RCCAPGACGSRSKLLQRALAGSTIRTTWHDALSVFHCASRAVTLPPAAELLVDEPTLP SAIQLVKPQWSAPHSADEAAAILDGPIIPHTSLLSSGNGRSRLQSSMRRCLLLESVIE ATRLGSTVTSLQCHIKEVALHGGSNCQRTGVADPQFPQQPPLRVGNEVEVLFGVKDVG TGGKELQRIVVPYTTHDRDCDPAFSHDRRNYRRRRHAKRGGALRRASEQWVVPAISQN AELKLPDGKQLRRTLTLRNIAAENLRGRTTSVMNELRELKDNQSFCDGTFPNGGKKRP LRHRYFPGLWLFVGTSAAQLCSELAASSVSRGISHPLKGLPPVGRITDCDEQVGNKFR CWHSLGERPLSPWSEAGASTNTVPDFSELWIPLAVAVGSDGNCEAGSDSKRGRVPLNI CSSGKGASYDVNADKSNIYCYLDCCSAEEVRMFPPRVFSRWEELQCFKVLSDGCLGQQ PPRLVIHEMNNEGRQDVIEKWYNAAYEHDVCTMPLPYRGAATVADDAKLHYCYRLALH RERRRLGFDAV XP_847004.1 MSQVAGNPHVPRKYILEKPQLVETFHSSPSFAKIVSYVQCCAEA VEEMSRSAWLQQRREEKHPTIVFFTDVFFPHLRSIVASIPLEDMKQQRFGNRSFRVFH SRLEENIFDLMRGLVATLNRGLGDTTERQNRDISAGEVLKEARANENGDDPLVLELAE YMKDAFGNSVRIDYGTGHELHFFIVMLICMQECGDNGAPLQSDVPVVVPHKVQRPPPP PVEQRDALLQLRRAMVFDVFSAYLSFMRHLQHHYKLEPAGSHGVWGLDDYHHLPFVFG ASQLINKEVPTATPETTTNANNNAENGSENSNGNANHELILPKHVCEADIVRRHAEEY LYFAQVLWVIENKSGPFFEHSSMLYNISGVDSWKKTYTGMVKMYAAEVLLKFNVVQHL LFGEHLPWPEQQ XP_847005.1 MLFLLAYALVFGTSLLLAFRLFSLSGSVQTSTCHSNGTLFHALD NKVTVGGRTRGASSVVTDGPQAEMLRFLGETCCTLPARGKDLRVLAGPKQFFSELLRQ ISAAQHRIVLSALYIGDGPLARQLVTALRNRVSKARSDKKALDVCILLDYNRMHVRQN LKTVAELLNLQSEAASPGADSAVNVRLFLFQSPCRWNWLVAPFGRAREALGVQHTKIF CFDNCQTILTGANLSDDYFDTRVDRYLAVHDNPHVAAWFSGLVDALCALSYPVGIHKE CNTNAGSATVAGCGDTKKWGQNANSSSGEFVVHAGSNLTIFPNMAGVDPSTQWYEFCE VAERRLRDFAHSSGAVAAEAWQVEQHPEMEDEATGHYDTLLFPTMQCARANIFHDSFI VQMLLRMAPRSTHIYLASPYLNMYGHFVDELLASSSPYDFITASVSTNGWYGSKGFAR YIPYFYLQLQRAFRYLMKEYKCAHRIRLHEFSAEGKTYHAKGLWFMKDQKQGGAPVGR VGISSACAGGANNNDVVNCEETWDHVGEPYLVSYGSTNYGSRSVHRDVEVEVFLYTTN EGLRGALRQDLISLLQESTSVSDERFVGNGEGRFQPLVSLMAQMGQDLL XP_847006.1 MCVCASDCVTPMKRDTKGKLSYDMEPAEHRLSSSRSSSCNVVMT AADELAMQINDCRSLFPCIDAGSAVASIRPTSPSGRTVVARVPWTKSTGTTQTPAPEP TRCAVEVRFGYAEGFVCDEKVYEALLPLLLENGLQGAACVVDSDVSDS XP_847007.1 MRRGFFLRARPDFVAALLTQGRLVDVLLFQHFGAEPAITDTNDN NGIGPAATKKDGNTDGVKVNASDVEKSSGKAAELTTAKSPVTAPSQRSLLRERCDAVR TATKRSAHPVMWPVDVGTAATEWRAPPNSQDHVRVALGSDGSNEPGRKVVLHGSFIAI AAPGSIPKTMQLLASQECGKEDQVKGTASNREPLLLSLPMQQAGGHISVSPATGGLCT VTLSGAEAAIVSNSAAAVDAFTAEHNLLAAAASSGMIDRLLEICALHATHAVRHDALL IRNPFVQKALAAMACSRYGIDALTSFVVGCSADDPSQLAKDTNPVDSKENEVGDARHP PLAECVAVSTYAGQALATALQLTREVINGVLQLDPQGGVPHASKPDRLIDYAYAVRMV RDESQILAALSFRGSLQHQRYIAPLLLHTESGLQGAAGSVSKGIGSSLFMRAISAPSD VPRLVSPHVYLRLSANELEGDVATFLKLLREGERRRDAAFIRTTAQYVAEVFASVAVL YRATAALTRTGNNAPRDWLLAQAFCGESAVCRAALLRNFEMSKAARTALLSAGNNSGT IHPVELMNAQPVRKMAKTPTH XP_847008.1 MSSPAPSTSHNAANSTQAFSLKTRRPIDEDDLLTAEDREAKSTV EKLDCATRRRACKNCTCGRAELERQLEAGGSQVMGAMPPGGCGNCAKGDAFRCAGCPY LGMPAFDNAVDGKVKLDLTDDI XP_847009.1 MEERLIYTFFIVSLPFFFFSCGFLCVCVFICLITPIAQSELLFT SRYVNSDKSACVGMPLEKHFPFCYCVYSVALPKSEFLKFCSHAPLAFVVPPHNIPLFF LKKKDVSCFSDDVFEHNSASFKCHCSLTEYTDFFFVHLFHPLLSLLFFNVDPSTQIVA HCVQTHTPQKKKNTVLVLKLGYFSYK XP_847010.1 MGLFIQQQRRWGIRGLFFHAPRVDSRRFIHLGCSQNALLRPSIA PFATNSFPLTHQKQHQHHSQTQSQYQPHHYHHKQQHHHSQTQHQTHQHQHQYSKQQQQ QQQQQQLGRFSVLRPFRSGWNRFCSQCRGRKCLIVAAACILGCCSYVVLCLALWWRQQ EAVGRLFSPLEEHPLEEWSLVEPTLREGDIILMMGTGEISSKITAAQYVYSGMRAAAL RYSHVAVVVEPAHFDRLRSRRTSFAYDIRNNSDNGSSRSNNNSVDGNIADSVNAEYGG MLLTRPLSAGIMGEGQSLFERRPRRGAVIMEAIDNIDVNAPDVNGHVRHNCVQLVEAS RRLFGRHDEKWCYRRFAVRRLKGFEWTPQRKRLLREFINENVGRPLDTNSALMLSYIH PRLYEWVGGRPHGNEISCGELIVDLYKHCGVIRRRERPITNSGVMTSGLLSPTPVTSA VALTTSLEDGVEGNKEREKECVEEYYARPSIQTAPYQFAEGEEVGVLDFAEGISLGPE VRMCHPYATQQS XP_847011.1 MDHKTATVDGSIPGNIYSCASAQLHNVTSNPEESPSSCNGVLSA TAIGRQVKQRPPPLFSLTPPSLLPPTEPLHIPSSLQLTAHASSTVTPKRDRFQQLRPP LLNRSACSTAAGASVASLNMLAPEDFFGHHPRAQWISLSDDGQHLHVGPFIVSGDGCL TMESVLQLNANLGIHGGEVSPAPLGSHGRRSVPGALLKPKLSLTTEPLSNNSFTLLST SLDTPYSPITYSLNAHNAKTSGFTTPRPTFAAAGGDSATHTYVMPSFNLPSPSVLPPN NADSSSSNEPGLTAVKYSDVKLKSIVGEGASANVYMAEHYPTGKLLAVKRIDLSSMIY GWEHMNICRTHSVRASPYIRQLHRFVLRELQTLHMAYRNPFMVKVYNAFFNKEEMALD FVMEYMHYGGLDRLQRLLGGYVTDNDVSNGVSTGNDVNCQVVSAGGSRTKKRQNQNGG THGARRRNRSNRHNGDGAVCMTEEKEMRESEVDAKDERKVVSVPERLVAVVGEQLLRG VEHMHSRGFVHRDIKPGNVLINNRGIVKLGDFGLSSRCTGEEESVVSLPHFPADFIAS GDTGADVKIKSRDDSCEERRCNPRVNNKRGSVDNGFESDAASNMSGNGYGHSINGIHT GSGYESPPLVGVASASESGAATGDDPQCSGTSKYMSPERQRGRPHGKPSDIWAVGMTL AEFAVGQYPVDLTDCADPFVTIHRMEEPLDLRRFPRDVPLSDAFLDFIHSCMDPEPRR RPTARELLSHPFFRQWTTTFSIEAYLELHTAGCSNLQKR XP_847012.1 MSLCAEINRTGFLGIIGFDQCGWNGTAGFVWEFWRLAPCCGAPD FANALLCIFNCLFCSPCILCKTYASSLGDVCSVWPHCLMVLLCPCARWFTRYNLRKRT GTSGNIIGDFFCVFCCCAPCACCQEFRSINIGSWRIVPDASRMQFFTPGCRLLR XP_847013.1 MNAQGKVCVIVHGGRCYVSLLLESIPFDCITPILADIQVCILKA TCMTVLSLFYSFSLFLRPTLFSQSIRGSRQPVLVTCQMQARLLRSLFGPSDRERCPDA SRHEGSTMCGQQDIGFVKQLRHFVRMPDMFVDIEYFFALTILGMVENLLSLLILPLKL IVSVHRFERRDAVALVLIVVGVLTYTLLSFKTVELYAYLYHAVRRTSFIKLMMVFNIL EVADKLLSALSHEATEVLTACVGDWRGAAQTCGEQCERFAATWLPVLSAVVAVVSVAA HAVVLLLSVVTLNVAVNSEGNLLLTLIVSSSLSELKGAVYKKHNRESLYSVAAADAIE RVKFLLFVLVMVMQHMHERFCGLDFADTLFVLLSEVAVDFTKHLFVAKFNGISLSVYR SFTQLTLIDMAAETVLWRLTHIRACCVDSALYDSQDLRKLLRPSDGFFPKYVRRTGFV PVPYAALLLWSFSPIAHALFRNAPLLLLLVLVAVMLLKVFMSELIHSVSMRFVVRSML SAESCAGECQYSKWQNTVGSQLHGVSPLATPPRVRKQRGEEPMHGTAKVLQLTSFLCS LMTLDPFDLQVGKLRR XP_847014.1 MAGEGAVSGAIAAVLCGTALLSTFSTVVPRVLGFIPAHTFSARS YPWNLITYVVVETNIVLAVCSAVYMLTFGVAVESIIGTRALVRLIAASTVSASLTLLI LSALLYNVGFTWFLQCYCGVWPAASGILVPWVGVSPRSPAFPSQLPRQVQRQHVPTAL LAITLVIDWLFRGQHRITENDVGGTKVFLGSVFTPALLGLLTTWYLQSALNTPSVVPL SVLLEPLLKLCGMVSKAPRQQGSGDGSRAGSGSGGITDTVAVPVLQGAAAGALLPGST EEEAQRRRNIALAALSSRVQQTTADTAATQHDAAVV XP_847015.1 MASQVVRIVGVGRTGIGKLHKSVDELAASALKCALVDANMKQCD LQALIAVPSLASPQFMQAHHIATVAGLFPTKGKFIVRTVDTGGAGPITALGMAVDLVR TGCAQTVAVIAADAVLSMGSGAFAERSNASLRRSGLPEPCIPHGYDRYAQWYMSRYGL KREQLAMVPVLMSKMAERHPEAMCRKAYTLDEVLHSRCVAPVTNLLECARRADGAVAL IVSGEAHYAEHFAQGKQEQRHLGGSKPIIASVAEASGPLFPPGSSDDIVPDIFSCRHA ARDAFLSANLNVGDIHFFGLYDCFPICLIQAVEAVGLCPEGKGGEFMETAYNEMLNNG GVLDPSKFPINTHGGLQCFGAPWEVPAMYNITEAIAQLSEEAGDRQLTPVPKRALVYG NGGIFSASSVAILISDL XP_847016.1 MTSQAVRVAVALSGGVDSAVAALFLCRCVSTWRDVEALWRSPRP LPLDTVMDAIVKRRAWADITQSSATTPMQQNPTTAVHYFPLFMKNWDDVSEDSDGSAS DTCENVGGNHRRRWCEAAEQDYHDAAEVARFAGLLSESEALPLFNFSSHYVTSCFDRM LNSYARGNTLNVDVLCNSEIKFGALLHALHTSGRAQYVATGHYARTISLADTVFCSAA ASESGNGRYTRVIARPFTAGQDLNDQTLFLSRLSQLQLSNVIFPLGHVFQRKADVRVV ASHLGLTRVSAKKTSTGLCFVGERYKRKSGKGGGFGAFLTEYMASAPEESGGEGVHAD EETKYLDAETEQIIAADQLNIPGIVRRQDRTLLPAHYLTVGQRIRGAAGNSGSPRCYY VQRKEVSPIHGYEKCGENCCIRYLRNVWLVNRWNHPLLYNTLVKLSDVVLPLRMTQLL MLSLAFPPSRSPRHGEEGEEVCLRCSCCTRHQDPLHPAVLRFRACEVQEFAGGTSVNF AVVEFDTPVRAATAGQTLVGYCPINPVTLSVSSAVHSASWYVVASGWII XP_847017.1 MQLAPNKTVVDLENRATFMVQLHAFLLQARHVVSGTPKGKLQEV LRNVDEWIKEVSLGDATTSSGSASGNASVTERTGGEASGPLRQGASEADTGAAEDPKP PPNSSPSASPCEDPSPATAVAAEGDERGQLVHPDPNAGFSSDLSKRNLEDLARFLATL FVGTSSENGKLRNIARNAARIVITRCFSIIDNTLRQVLREVYDDPTANNGGEAGGNGA ARRKESNPLFVSLGHDINVCRNTIGICVDTLSGSTDFPSVRFAVQVCIDILKQLRQCV VHFERQFASREIGHIQDMVEATGNGHNGEVRAADDEEDRCMAEQRVEELKRLLGPTLL ESITHLVGLVRPVVGYLFSIVSVKSATASEDERTTKSDVVSNLFGFAKWYGRTSHLSR CGDASVDDSVTLSRSTEFDDNVPIHSRSHRILCCVLESVLATSFSPEYTACVAQHCGD RAEGQFLAVRLLKDTVSELCEGSAAELEYVLRHDNWRKRILDGIFNCVMSLRPSVLDA GLETLRRVVTSCPDCLSTEVGSIYCNGIFGLLGSDSTPPFMQRSFLHHIIDTFFQGSA VSGEAPLLLRCYRRFDLNVHWHQLNLIQKVVTVLSRAVCTARPEEFVDIEGPKPRASG TASGSAVGNAEASNESIVYAETGGGDSANVTQTVNCASFADDGTVMMQRSVPFLALHG LALVVEILTKQIPQNTGLSNWKPLPRLLNRKKKIEQLRFVEAINSSPIKGLRKLFNIR DEEWQTEADRAIAENNWSHRHIPEPATPQAEEKVQHIAQFLMETPSLNSDAVAEILSY PAVISLQVCRAFMDLLPLAGKTLINGLRELFRVVKLPKEGQRIERLIEFFCSAYYKAG SRSCVDTDVFPFASEDACFIAGIGIIMLNTNLHNPNVSTTKMTAASFYAQMRGCNDNK DFPRRFTDSVFEEVSTRSLSNVHESMLTEAKRRGSVVSHMRMDAFFFTSEDRKEAEFN VVRRRLTDETRELLFRSSRLCEDDGEIREGGDTEQEYWTSVTQDLFLSTWPSLNAVFG AAVDGNQIPEDALLLYATGLRSSLLLSAAFGLHTECDAAQMALVRLSAFDSLRDVCHG CLLEVASSRHSVHFSSRCWLPVVELLVTIRKEQSQTQSQQSYQQQSQSQQQQRRAILV QMESLFGHLEEITREYCNMSVHEAPPVIVVAVRELLQGVAALLRDQGMDFPTLTAALY VVRRVLSYSVISHDQELGPVVTNLINVRDLSGIVMPALVDVVEARREKGDECLHAVTS FVVDVLSVVWNSCVRDANCSHQAVKLTELANCFCFFQMCHERCVNSSVVQMHMLQGVK ELVSCTVLAESSVKQRTTRGVQTRVSFTMALVWERLLHSVAHALSGKSTVGTETCSLA VHVLQKLVLICCGAGSVPPSVQMRQEPLNVLLSLLCNVAYVGGMCSDVESAQSCLAQL SCVCTAALNREVTTSLEATAVTSDEDDYEVDGLNEVQVQLTRRLLQGIQGQDDFTVLH ALERICMLLGCHTQETRTEAIGVLRAVSKQLGVRDQKQHLAVQLADTVLQVLLGHTAP GSKSSANTPEAAEDVGRVSFAILNLRTPPTMRKCPAVAFHATLPQLLNFMSHELIVDA SLEDVASLGAVVMERCLLPLAVSQKSSSHVRPLAVRSMMQCATLCVAALGKLSESSAA REKAAGDRCLNAIINSVSLVLLDTHLFKQSIVPHNAQYVGSRWDAGLSQMLGAYSKAG RSAIRALSASDPCNITGSRGCVTLSMRMLHEEQVSEHSKVAPNDLPGKKQGTVAGCEE GLSSGTDSTVLSDEQLVEYCNLMAPLLSPLPKVLAAITPAMYTRSERPFSGDEGASTT EGCEQPVWWSPPPCNYKLMAELLLDAEGAFFAVLWRVNHASEMDQFVMQSVTKGREAT PLSKSSALLPHTAVRGGLNAYLSLALQMDFSHLRHVLVEILEEVAFVQSLSSGSRKPR RTGDSMSDSPVGQQLSPREQQHVRSCNVGMYQELTSVVVHWVKNLMYQLDPETSALTG QRQSELADVVRDPEVFKGLVQLLTAAGGSIVSTVRDYLTWYIEAQQR XP_847018.1 MLSIWRAVKVSFPQAGHCHSYSVTAMLWSRLWLREMCQRIVGDS RMGCTALTLCVACIGASSWGAATVLCHPLATPEYLIPYYLRSIKSRFRHYASVRSADD GAMLMTMEDFVRSVLVLRKDEPLGASSLEDMSDLFASLDADGNVCLNLTEYTFLMVLL TAKLKDIRMLFTIVDKDRVGTLGLSEFAGVLRGLGCTANEANSLTTGCKNGIVRRLFG DDGELRCSYDEMEGSINAINEAIWRAEFHLFDPNNVGCIGAEEFGKLLAKQMIGSNVP FYVVENIRRMRGINTTVTVDQWIGFHQVMREADTIGEAVRLFMESGLSLGKREFNRVV KAAGVRPFKEEELDLIMALFDRNGDGALDFDEFISLMKRKLSYQYSGSSANEPREVKH FPTRLVECVGETLRGSVG XP_847019.1 MIRRCAVPLCAASAMPRGGHPLLKLKNAHGFTHKSTRRFDELNI TAYEWQHDSTGALYYHLDTNDRNCTFCIGFRTPAKDNKGISHVLEHTTLCGSKKYPVR DPFFMMLKRSLNNFMNAMTGADYTLYPFATTNARDFCNLLDVYLDAVFHPLLRLEDFK QEGHRVEVEEDDSAKRRLVYNGVVFNEMRGVVSEPSQHYAHSLMKTMLPNTHYEHISG GYPPEVLKLTYEELVAFHKRHYHPTNSITITYGEQNPGSWMATLNEYFSSFERGEVVA VFGLAEKNRFAEPKRVTMEGPLNPMGNPQCQKRVSVSFGVQKEDKNMKDIVELSVLDI LLSSGPSSPIYQALIESQIGSRYAPMNGYSSYLASPLVSYGVEGVDEARANSDEEVLS AVITALERVSKEGFEQRRVQSVIFQEELQQRHRAADYGVNLCTGLCAMGLCRAENNPL DFIDWLPHLRQLEAEQAKSLLPRISRNLLNNPHRALVSVSAKKDFLDSLRDTITHMEE KLNDGATDAQKDEIKKETEKWLERVRAPQNGDILPTLKVGDIPCQSFQEPLPQPKADG QNTSLLRAPPPLKPPVGVYTIGYPTNGLVYVHGLAPFSAATVLLLEKAENDALAGIPL SHSLLGSLGAGKYTFKELSIATDLVCGGFSFSPQLNQSYCNKSEYITGTAYGFYTTKE KLHDALELLKMTLLEPHTSVEDDGVRGRTLSVAKARCSGVIQRLQHEGNRVATSLAVS HLTRCGAVRESWHGLAQSSYASEMLEKLQSSNEGISHSAVATILEHHSCFVQSFAANL CRGVLWATCEEQHRCEVENMLASFLSGFPKGEDTSSCACLPSLGRIAREDVVELCRSL PIDTSYAAIAIANDLDWTHKQQAPLRVACQLLANEYLHRRVREEGGAYGSGVKATLGA EVGGVTMSSYRDPTPEATVRVFKEAGDWLSEASNVTQLRVDESKLRLFAGIDAPYAAD SFGESYFLHGIRPEQKQEMRDALLSVEPKDVVEVARYFDVGKNHGAVVGILRPEERKE XP_847020.1 MRRSFFVALLLQLSHASVRALSLFPTCSENEYTGGGLPGKEWVV QRFDKPGRNLTIHCLAYEVKPVDTTNAKDMGPGPCQIDHQTEFSFRLFVRATYKDSKS GEQVTEEYDVKDMAGGSLSDVAIRLAHKSDLSLVFANTAANHECGLEARVSFRFTHDA KVAAVSDVPVLVGVQPRIVHAKNSVPTRFIFRSSDSTKGGVSEREEFFLISAKEECST STGSNSYLMSHPSSPPLFDEDFTGANTSTFAARDVFIDTARAYRICSRKANTEDVTEV GVVRSFEVNPSYYQVVGGQNADGKVYVMKRTTIKFYGSDLDTRPRRNQAKFVSDTEDC DASAAGGVPETLALEPEDRFGPKATSVLWSWVLKRGGAYKVCFKRTGMPWVEVPSIDI VNSAADITNADGNITYRQPVDPVTKELCPMAPPNTPENRWSMYNSIMLTLKSKTLPSN YLQTLSKALCVPRTAMALSRVTHGKDGRVRLFISIFCEELGEDRTCDTVERQNYIISA SKQGSEALAAAGIESAEGSRDMFALGDDPNPRMGWGRVLSIFAICGTVVAVACLVVYG VLKYRENRQYFVNFGVEDEDVDDMYISNIPTAGAAVNSAGTKGSVIEVED XP_847021.1 MLQINAFKLVRATPFLLKRTGKPADTPDYKQVYLPYDAAPTERE LERERRRFKQAHHGRMEHRKLVEVKEVPLNVYTYGKEGMSLPIAIFKDQKDPVIGPEW TYPGIYENKIAAQHWYTEELFDKESKEAFESPWQQQILDNQVKRRMAKVMFRMRQVNM KAVDLFQKERGSSRRSGGAGEKGKDGGGKK XP_847022.1 MAGKKAHPIKRDWYWNHNDRFEIWHSLDWPSVRRGRQIYTEVFA PCHPLGRMTFTHFQGFMTREEIKQLASQYEVVDSAPDAQGMLNPRPGKPTDTLPIPFP NQRAAQFANNGSEPPDLQHSVFGKEGGPDYIFSLITGYNWGNGELMEIPPFAPELKPG QFWNPYFKDCVLSMPPPLSDGMVDYEDGTPATISQMAKDVVNFLRWSAESEYDDRRVM FWKCFTTLGLVNCILLHYCQKNTNWRIYGRTTFRYWKKTW XP_847023.1 MHEEDLMKCVSALSRCTSRDEQTLESILGDIHRSVFGANEGGGE SLKPSDLLSLCNNAVEWIGGNLGKRKRSAAMRLSIGVVVVELLARLTRVLLWGMGDPE EMSLCCDRLREIKEDAEQLEVFLLPRFFLKLPISPITLRDVLLKTPWGLFSQKYGVQT TSHGTVPAQVATVLSDLRTNFGCDFLHNCRVDVELPLPAYPASALTDTGVSRSTKSNA TSDIAALTCESSAAPGAVVSSTVDTVNVGASVDGSSVCVARSAPKSLRSGRSTLRGAA KHEMFGAKAATIPANIKNPLPMHPTRSLPAYMLRKWKPGAAPRATVGCGGREIAGTEE NNLPVTPDTQSSAGDDSDTEVLATESPVRKRVCSDGTVVPPSPSRLTRDEMEPCAPGI KK XP_847024.1 MNCREWLAKLVSYDTTSRNSNLELIYCIRSYLTALGVPSTLVYN DEKTKANLWATLAGEGGITDGGIILSGHTDVVPVDGQKWESDPFTLTERDGKFYGRGT CDMKGFIAVCMSLVPELLQMKRRKPIHLAWTYDEEVGCIGGQVLTQFLREQGVKAYGC IVGEPTSNQVVVAHKGIAVYRARVQGKAAHSSYALTRRSCNAIDYAAKLIVKIREIAE DFRCNGTCDSYFDVPNTTISTNLVTGGNAENTVPAVCEFVFEMRYLTNTDLGLIEKQI KTYAEGELLPLMKTEFDCASIEIVKMVGAPPLKQADEEDPFLILLRRIARDNAVRKVA YATEAGHYQGIGIPVGVCGPGSILQAHGANEFVTLEQLEGCAKIIREVAQDGDIGRKP HL XP_847025.1 MPGLLISRKRTRSEASGKPIGEIKCVAENRVQALKPPLGELVPK GELGAGTPHEPYNLPLRGNPNKSGCHHCLADQCHCVFFERLLDATFRRLDIKRITEVS GSRHLCAKSLLPTFVSRMVRLMEITSEDTFYDLGCGNGSILFQVAFLTGARCVGIEIS EHNAKVAKKAWEVIRPELEGSSGRSMPEVNIITSDMTKILADERLFESERGKTVILLS NLLFPKSLTHYLSERFRRVPSGTRILCFDDLYPHSRSVAAIRDPEAFRLFAMTDYRWQ ECSVEWCTRDGPFFIHRRR XP_847026.1 MHIYPQLAHFQYFYSMQDYLREIEGRLARKRERQNTVLYRLAKR KADEKRLSSLGIRSLPSSPLETADVNVVKFVIFKTKQEIGDKVARLRNPELVSIETHG EVVVRAKNDEVNQLISKKNLWERRLATLNGETHRRAAPRKIYFGCAAGLPEAKRDDAK EVVAHVENEEDGWESGSHASSNSDDDDDDAPKPSTLYREELASRGSEDLLRCIETEAE RNLRSVYSHGSESSPGRSQMAEETFIVDVVLPTEEEFRIKHLERRKQAIQKRLDALKN XP_847027.1 MAMLLNNLYGHLVSLTVWLIAVCGSVDCLLPSINPHAFARNEVV PIEVNVLTSLRTHLPYDYYKALPTCRPKEPISKKSNNIGGSLMGDRIKTSPYENIRLL SNVSCSVVCEKGVDKDKQTRFLKKAIEKNYRINLLMDGLPLAEASGNNNFVMGVPLGF MRDGRSYVNNHIHFTISYTPDNVKQNGGEEKYRILTFVAEASSVAHKSEAPCAQPLDG HLASNIAPLPADTDRIIWSYGVSWIESKEKWSTRWDIYLSVHKEKNHWYSIMNSTLLV IFLTIVIAVLLVRIVRRDLGKLADVDIDETEYLDDIGWKLLCRDVFRPPPNGWLLACF TGAGVQLLGMSFTVVVFATMGFFSPQNRGSLFTALLVCFALLGVTGGYVSARLLKLWN RTKWMYVFLTGTIVPASSFAIFFVVNLLVWSQSSSAAVPFSSVVLVVCIWFFVSLPLV YFGAVLGFKQGTISVPSNYNQIPRHIPAQPWYSSTLAVLSAGVPPFAAVFFETYFILG AIWLNRFYYIFGFLFLVGVLFVIITAETAIVFIYYSLCAEDHRWWWKSFFIGSSSGLY LFLYTLYYATEGSVKIEGMVPTVLYVGYMGLLSFLFSVAAGSVGFLACFLFVRWIYRY GKAD XP_847028.1 MLSWNPESYVSEAVSRILAEEAKQGRGGAIPSYYDAVTSHLEAH ELSKSIKVALEEQRRQNIGISCDIGGNVLEILFNPVDIEDAGVAEERVKKCVADIGCL FGNVSDELNSLNGKSGLVAVSEDVLDILHHLQSMGLLTNFRFNPVSEKLGCILSATDR SSILKSMVLYNSQVEWPKGMTLNFTDYMKAWLVDRVAARLEVLNEHRGCLIRLEDFAR VFGTSRGSSVWKYGVSVSDLDGEQKFIGCLELDALRPTAAAHAVEDPFFDHLGMQSCV ACCRTTTTSYCLANALSVCSHGEKALFFVREWREVNGPESKDSLLSFTLYFGYGKPIS DEGDVRIGENDDKRIYLHSEKDQIVRTYKQMGDVKRYESHVETVIANSKNADLFHNIS GYIGETTCENLERAMSLFPRMCVVASCIGNENAVCVSVLKAADVLRGDATFVFCISQR SPLFNHVFAPRSQVTLNFLFDNAQAVCQAINDTYPLWINETGNEPVVFESESRTYVTT KALMDISKQVAFETAESFLVGEEVLVVGRCLDSIPSTVVINVKNTLVLQDHVPPQEFY PFLFTIVHKIYGTVGFVGYGCQLCSLSPLTMYIYSPIESSQFALDEVYTGPKCNLTFL SSFGAPIFDGKDFEELGCGMPMAASSVSSLPQQNIYFQVEGMLIRIVRDISDKYVICV VAANECRFNQTDPCKLLVRPDDERLIPA XP_847029.1 MVVEYLGPADVQRLLALLSEGNCKFSFVEKEFKETFSKDTFAKV SRAIQRMIHDMIASPDGVGLVSSFFVLDVMCKMNCEQAKSAFYDALLELERIMRQDVC VMEQIKYASKEAKEGRRAHESVNSERYRLRSAVKSFAFRLLANRVAPDETPLMAISDK QEQIDTALNAWESNSICLKEPIAGVAEAWTLEAEKNACGTLSRISATLDNGGRAALVL KPVTPQLPSLSLVSGELQFLLPASPLNDLLLINSEVPTEEWKTAKRMVAAGCKGLLNK EEQQKLLQTLLAGDVFARVGISSVVLSRMAEHNPDVAASLILKLPAQEANQCVQSILK SSVPSEHVETVLLHASKVLQQASFRAYITNKLHHFKEKTTLSNEDKDEVKNFVRTLHQ LLTKASKENKELYVADTLKTDITRLCERCDIPEVVTRWDELK XP_847030.1 MIGESEAFVSFVYLTPAEGLPCETVDRYPQAQLKEGSSCSETSA LSRSDASAEVTPGKENWESKVYVKFFDALRKIAKVQWFSVEAGTKMMYLYEMIRAWLP EVTNVVCYTAHSDNWGRHFPLIKDVLSPGTDVPERVFCQEVFLPPCRDMWNWGIVNVV VMQPHGDFTTGYVPLVVHGLFMRSRETLEEQISQMCCTSSAEISYMRMLPLLNCSIRD GLVELTSFFQENEEVEALSQVAVVYPALTTGDLVCADVESRVGQRYTMQGMIKRCHHK NGIVCYDVEDTVLGYVAEGLTCVQVLPL XP_847031.1 MQNKSWALPIKQVPVVEKLDRSIVWNNVRLCYEQKLFGQISCIR YNNAGTVVGCASTNQLSLLRVPQTEGVITNEQRERKCFSLRFRDDDKMTIMSVDQRVV IRSTETLFERQFPGHVREVRDALFLDRRSFVSGSDDTTIKLWDVMGECELAVGKAHTD YVRCLENRSPGCFFSGSYDHVINLWDTRAGFSCPTQTSGQAVPNPVESLLCLDTNLLV CGASDQIILFDIRKGLSLPLTQDSYHTKTVVALGYSKKYNVLLSGSLDQRVKIFSLER GCPDPVASKKFDAPVTAVAVHPRSSEFAVGTASGDLRIMKLGSASDGDEMGGGKDEES RGRQKDEELIAMKVNDVRRQLVSYQYNRALKTALYSRHADVVVSTLEELLRRGALHVA LSGQNDRTIARILRFALGFIDTPQFCESMMVVLDTIFDIYGTCVGKSIFLHRELMKAH RRLGSVLANLQRMAGTLSIMELIVNDV XP_847032.1 MLRRFSMLPLSGGIARRSFFRTAPLFNLDYQAYRTATVREAAPE FAGKAVVDGKIKDISMNDYKGKYIVLFFYPLDFTFVCPTEIVSFSDSHAEFEKLNTQV IAVSCDSHFSHLAWVETPRKKGGLGEMKIPLLSDFTKEISRDYGVLVEEQGLSLRALF VIDDKGILRHVTINDLPVGRNVDEVLRVVQAFQYADKTGDVIPCNWKPGKETMKVEAA KEYFEKNL XP_847033.1 MLKSPQNFRKCGLLFVSTFDFLYACNLFPPVLFSSGKESMPKVS VPPKTERHGKIEAPETNNPKVFFDVSINEKAAGRIVMELYADTVPRTAENFRALCTGE KGRGKSGKPLHYKGCIFHRVIPGFMLQGGDITRGNGTGGESIYGTTFRDESFAGKAGK HTGLGCLSMANAGPNTNGSQFFICTANTPWLNGKHVVFGRVTEGIDVVKSIERLGSDS GKTRGRIIIANCGELQTQKEGAAKKEKVKAKEGNNAGKLSTIVEGTGGAKRPREDVED LEERKKRIREKRERIAKLRAQAEEK XP_847034.1 MLRGRWGIFQCTLIFRASFHDVRSKKMWERRQRELQKAGEQMEL DEDVTPPPSIPRSSRVATRDESCEGDKEAMLALLQEQWQRRVARREAFIQWQAGQREK GAAQRLVRQAKTQEKFKSHRYHTQSGRIISVGLAREDGTSSNSDASVDLYSVGMCGSQ LRSADFLVQPGSRNTHGTVNLVLQKR XP_847035.1 MFSKFLMNVKGVTPRGSDWANRLGPVALFGYGAGMPRRAPLLDF FLQSPRDCDHYAELTIHDKGPIECPPETVMFMPVLNCGQMLDEAAGTETPTSDEWYLG SLEASTELLEKGYVPVSVGGDGSATLSMVEAYKRLFPSDDIVIVHFSARPSVSDPRSP LRVLLDKGLLKGVVSVGNRQVSSEDRKVRKLHKMFYMDMHAIYSKGLFCIRDIRNDYP VFISIDASVLDPAFAPAVDSPVAGGLSTRDLLHIMNGIRGPKVVGIDVYGYNPDLDVY RKDNVGLTAIALSKIIKEGILKAYSISTHTEEEGMERVKMLQRQGTVSENPYPDH XP_847036.1 MADSNAVVEHREESDVNIQLEQRRSQVRGLEIQLTRKEAELRRA KEEQALLKELHAVNEVTLRKKQQKESDGAGSRLKIPRESLDEILRLEGEIKCANRRTV ELQNEASTTSVQLNDVEKGIESICNRTALVKQVTGWISSGSQSSSVVGVSSEWIMRKK VLTELQDEQNAIKALTALLDKQAEFYTKRLEEQRTKDEEFAEAQRVLREKTVQYENLL GELKSFERLTKKKERLLDTASGKVTDDYKTVKMIEGDKKVLYANLSKLQEANVRNSKS ILSLEMRLRQLETKLEAVNLFLQQVFADVEEEDEHIENIPEGATEVPLEQFEELCREL ELSRATLLHREEQLNAGDAKVEQLERKTTILENAIASHAVSAQLQVKGKEREFETLMS HVDYMKAEFDEEYKRLTKENAALVSKLGK XP_847037.1 MAALHEEVRTRYHIAVCTRFVERVCVDTPSPTAEFIYKMALNEN LKDITDVCTLPRVSLLQLNSLPCSLVLQVSSSRDVTQPLRPCLDASEEEPILTAAFQK NLKTRLLRLVLSDGHSEVPAVELSTLSVFRSIPVPGEKLLVKEGAEIKNGAIIMTDDC VVPLGGGVQQLKKEVLIQQSRSDAPRFQPLRFGVSTPAGARAAAGPLSDSFDRDRGGN VGRARGRGLGYRGGRGSGYRGQRPHNHHYNRPQ XP_847038.1 MRAVILVGGYGTRLRPLTLTTPKPLVPFCNKSITLRQLEALRDV GVTQVVLAVAYRSEVMAEVTQKWARELGISVVCSLEVEPLGTAGPLALAREYLLQDDK PFFVFNADVICTFPLQKLLDFHLSHGREGTIAVTKVKDWRKYGVVVHDEVTGAIKQFV EKPPEFVGDRINAGIYIFNKSILNRIKLEKTSIERQVFPMMASDSQLSAFHLEGFWMD IGVPKDYIEGMGKYLDSLAGTSTEVGFSCDERRVSDRSYVLKGCVMIHPTAKIGEGSV IGPHVSIGPGCVIGPCCRIQRTAILDNSTVGRGTLIESSIVGWNGRIGSWCRIVNDTV LGEDVRVDDGKYLNGVKVLPNKEITQNHPEPEVVM XP_847039.1 MLAESPGFAKALSTLTLLGTESFYSTTLEWRKRVTDIIHMTSDE QLPALLMRVYDVLLQVLLDDGRKQPNYLELASTAVAVMCFVHRLFLHYREERLLLDEY RRWVFLRAVVRTFEFTLSNHFEGSAALSDASRGVIALMFLPDADLSRLSVGEAEFSAE DASFQRALHLAHSFMPSAKDLGAFLECLVCCIESLLSVRSVLGHPEVETTDFGVVFSV LFPLQSAMAQRYEHAERLFRSICSIASAIRGPPSATTSSGTAKGVANFFSAVFTPSQV NTIQRFVSSVGASGSPKRSPITCREAVECVTSFFVCATEEMAIEACARPLFDLVCLDD VEEELVRFVLYSCTENLLLLKPCQLQRVTQLLLTAVKRGIAISETVSSVAAVLRKESK AVVEVKIFVLEFLSFYSTAVSHASEVETLHSVFKWTLEEVTSISDWVQPQAVSYLAAV TQFVRSALASAPLVALLARLEWPHEVLLLLLRAHGDGVREVAVTFRNLFCSMVFNEGQ FVDVLISELRAMGGQSPWPSQISILLCVFVYLLQDKRGAWAAIRSNDIYVCLYLLHYL DQSTCIEEDAHLIGTSLALLLSHLRWSEAGGIVAHYVDAVRTPWMVQLLVDLATGFYT TTKNLIAADAMRVETVKTMTPGSRLCCEVVGGFSIPLSCRFEHTFPFGVLLRWIVEPG NQSGDWQKNLMELLSGMLHRRCLPLVDDAFTSTVVKQKLYELLPYVADVSRRDVLALL SSSKEYLTLEDVSNAQAIWERLAYGRIGEGLQHEFHDYITWYGNGALHGVLRGVQKGC SLNDRFTTAVWVYWESCIGTDTGSMIQLWELLWPGAEGSTKVSLSVDTMQRKVIFAVS PADEAQQVVTLPFIPPGQWMHVVVSFLCNRLFSSTVTAFYDGVRTLQTDITVPGSHTF LPYPGGPSFMARILSESTYDFTCTVGFSHEGSSEGCMQRFVSFQLFNAALSQLEVMSL FCVDPYSLTGLRAAKRCPLELPLLRPEALRYLVASAAHGELQELTSSRALNFAPFPAE FLILSLHVQNAELVECSQLQSLHNKRWVVPDSAGRNGVTNFLLHGSHSEPVATGGNIT KVLISHNVPCEWLRWLCVISCAYDEVTMPNTKNVLDSADAKMAVLQRIAATTLRIIAT YYWKAEEVSDGNVAIFINYVTLQVKQRPQVYLATTDGVDAVFGLGAAKESTGEHCVVY NTVPVEDVFFNWKVTSFLPEQCQQRILFHLTALLQKSNPFRAVNALRLQHSSFFDGFI CGLVREYVWPPVLESAVAVIALYIECIAGDAVALREVLNLCASIVPVERDVLTVAKRL VSKIPLVAFECIVVVVLNHLLKVLCGACVSLHNEGLMFAEALSHAMPQCWFHILTSEW AHPVSVTMAMHLFTLCYKRSETFRRRFGDVIPLLYENLRHHAHQSDLLIVLISGFWGS DWDQQKPPYALLNQLYTTSEGTVPSFLQLAMHLLGRSASLLLRPDAAVNYPHITCASF YAAMGAAATAEHCRARSQLRCYISVVVFCARLRRGQKIPDDGANRISFTKRESADHGE MRRTVVAILQWVARRYPSDRRVSKAMYVSQKSYNPMDMALLCLFPALPMDKDVGSVGN MPLPLLDVVEGLGTTVGHRDIFDGGYSGTGGFDFDDCDDEREELVCDARGPNTSPATE ARDCDFDALLHQPESELYNACRALFVAHIKRYARSAKCQPFVTGRKSVRSPPKLVLAL QRALALCPSGIGLRARYMFCVAVCCFCLKALTDMLREEQPGETGQCVLDNMVATGKYL ADRLVSGAATPTMAVTKFLQHLLKLHLNTEQVKDLCESLSECLLLLMDSVSCGRDARS AASVIDSLHDEHIGVFGYRIPSEELLRLTTHRLLDISEVLLASDVDRHSVNMEKLVGL WRTFLVANREVPFFRLVWNTEEKAARVFYMLTESTDGGVGDLVAWVMADHSNFKQSLC KDEWTQMTLRKTFLQKRDRRWAACAVEQRDRECADLMSSCKVKCNAMNEEWSKLTDTS TFVDTTLLARRIECCDVLHRHLQVQLLPNYGMEEKPGTLHLHPSGAVGYPCGVPFDLL HNLTNAHIRYPSLRCRLAASTASDDGSVSSHSRASVPAALLRIVGSHFTNPVLFVGNV YYLHGDECSISVMSVTPREVVIVGDSQFSASGDFSIGVLNPRDAWGTLSLDNGSPSCD NRNFLPWLSERGNTEKLEIARHSCCFRTICVESRVPNSPSFTWRFSTGSILKVHQRFF QHRPVALEFQLENGDRCFIAALDERLCFSRAKQEEIMSAVSRVAPQADMETYSQKESR MSELGERWKQRRISNRMYLLQLNDIAGRTVADMGQYPVMPWVLSDYESSTIDLRDPGV YRDLAKPIGALNEAKERQLRDRYEQWFDKSQPPFHHGTHYSSSAVVMYYLIRLQPFTQ RSVRYQGGRLDIAGRIFHSVSEAWNSCGGVGDVKELVPEFFCLPEMFMNKSRIDLGVR LDGVQLGDVVLPEWCGGDVERFVHLHAEALESDVVSGKLHEWVDLVFGNKQRGRGAVD AINVFLHLSYGQAVGRAIAEASSEEDCKAIVATAANFGQTPRQLFNRAHARRFDNRYG PTPQQAFINEAGKLFVRWRSVCYPWGNKRCCTPITSLRIVDSSAIASTRFSLILPTTP MQVCHYSNVTRELSCHEYGKPTLLCVLPNVWHQGYGELTTMCTSSLGGIICIGTTNGK VVICSRVTSTAPFTIMSILNACRNDDSAPVKLLKMWNSGHLSVVCEDGPRGSLWHVAH SGVMFCFKFDISDVVDESSTIRDITRDEQSNCYFIATHNHIVQLSSTGRVLGVASVSK ASTATTARKGTTAPPANNDAAADGPKQHFCAAEYINFESYSKTNILLAGHEDGTISFW TVRPLLGFDQNTFATEIKMFHSFTVDGDTRITTITHDGAGFSAGTASGEVHTYSVPDP FADEE XP_847040.1 MGCGGSAPANKDKHVGADPPKPSEHSEHSKPSDPSDPADATRFK QFCTLPLHDKDKPVAAAAIRVTDPKCISDILPPEFLEGKKVHNEFCAITLSFDDGKPE DEGLFEKLKELEGTSIELKPTHIVMNEKVAAIGVCNNDEFPCQDAHPHILVATAPDAT QEDIDDVTKKGKIKIFCARKLPDLPPDITIPGEFRFMHW XP_847041.1 MMGCGGSKLSAKSKQADADPPKPSDPADAHRFERICTLPLHDGE KPMIFGAIMINDLAAVRSLVPADYLEGKNMQDEFHITTIYLGGKPPQDDELFKKLQTL EGTSIQVAPVRIASDAKATAIEIRNNNEFPCQNVHPHITIATAPGVPAKYSNELLSKV STETSDIKVMELSPDVSISGVFQFVR XP_847042.1 MKVVVNEMSQVVEIITNEGVISVELYDTFAPRAAESFRRFAESG QLDGAVFDRMVPTFLLECRLSEFSVYGELVGREENNHLHHTGAGILTCFGTVLESGAF FITLGPQPELDNVCTIFGRVRSGMRVVEKISRSRVAEKTFRLYTPVVVERCLTRVLPK ERRPECVGGEQLDVLPQSVSRHCGTQSSILNDLE XP_847043.1 MLSDDTCQPEAVLFGMLGAAASLALSNIGAAYGTAKSGVAVAHL GIVEPSRVMRGIVPVVMAGILGIYGLIVSVIISNNLKLSGYAMFSGFMHLGAGLAAGF ASLASGYAIGIVGDICCFAYAKTEKIFVPMILMLIFAEALGLYGLIMALLMNNRATSY TGGCS XP_847044.1 MQGATVSAPNFRPPTELLDEVPQPEGSSWWLQTSAARLQSFELR MLHAIKYRQGFVAGLNTITTLGLQQSAANSSKEIMVLIHGFAGGLACWAQNWEFLSEH YILCALDLPGFGRSMRPNVTARTPREVLDFFCQCLDDWFGEMRFKIPVILVGHSFGAY IAAHYSMRRGPSCVRLLVFVDPWGVNRRDQSGSKRVPFTWRLALSIANRMNPLTLVRA AGPLGPLFFRLIRPDFANRWRGYLPDPVTFYEYTYHCNAQLPPLGEELFKICYHHDIT AKEPLEDELPSSLSKDIPLVLLYGSETWMDAERGTTMADKMEGMGFKVEVDTVMSAGH QVFTDNPSEFNNKLLLALAELLA XP_847045.1 MWEETYVRACANLGVQPRNEVLAAVGGTAQLCGNTFANFENRLT DEELAALCETCRQISLVAVVRLPYNNITCRGATALAKAMKEGFSTLQYLDLSYNSINE EGANAIAAAATNYEMLSTLLLNGNPIGGGSGPCLKTLLESENTQLVTLDLEQTDQGLK SLVHIARGLVHNTTLTTLNLGRPLMTNPMDVSYVVEHLSLALKENRTLRFLGLSHFNM ADCDLALLLSTLRDSAVTTLSLKGNKLSQASGEPLAQLLAHRPDFLSLDVTANRLRDV GALAIAAVIANHPGLRELQIGFNTIGGVGISALAQSLAANASITTLKLWGNDLTDESV RDLYAIRGRFESMEVTDFSFYVVDGCPMVARE XP_847046.1 MWTVFAPDQNQLYALYMHRCGAHGVGKPSREMLALLTEDDKAWQ SRVTEEAHQRGVKVEDLQEIEGDTVERQRERESVTPLAFHRVFRLTPFIANNERDGSA ARIHVGARGLLAILDVVRELPFLEVLDLSNLTSLFLADTYQYDGVKGNDVISALCSIA ATHPSLRVIDVRGQPLGTLAAHHFLELLKENTRIQEVHYDTDAVACHLVAAINKQQEK NAATPRQPPKLPQEPSDMIRRMPFLDRKTVKEQQLLRRLVESETGIGDVMSEEEVSTF VLYARTMSTTEVITRSRGLLGDGIHLFLLKSGVIRAAAEARGFEMRRGDFFGDTHGDI LFSQNLLQEVERGVVYAIPIEHCGALRAVWARRVAAHYSTLKYNTLLQAVDVWTRLRT CCCSVSRVFEEGEEVIAKGDPFKGLFLVVSGVFSVIGCAGARVGGKEFTVGDAFGEEP LLTRRECSSVTIVAGKNESSGENCCLVVEGCAARILFNYLRPVLLTLVSAYSQHEELQ PVQK XP_847047.1 MACGAIVLLQDSCSAIAVLEEVRKHVRMRGNEAEAICSNINDYV YHSLAAQATFVLGETEVPRMLARAGVGELQAYTINCSGGKAELCLFCVGTSSTVLPCF VALPSSRGRVFLDMCLTWAFRAHYEQARQCASRFKLALLQTIGGGWASLRRVDKALRC ALLLRETAAELNDAVTERKSRVFVGWALLWSGDVRQAVTIFEQECKEARCEGDTAQER RCVAALHYVRHNAF XP_847048.1 MTCNVTPEQELQVLQMILKLCAAGAEESGEKFRLKVRKALQESA NDEAAIEAVASLLRHYGKVLKKLDGSYEKERELKRLRSERGALRASRFVDDQAESGDE DEDEDVSGDEEVEDVEVET XP_847049.1 MTPNKTPVGDIKLDDNTETNYPINTSGGEPTENHADTDLDTQYK IHEYDTRHAELKQRLMQIWGDDVEYVARGEENANWLQKITYTWVKKYIRTAVKEELTL EGLPTAQSDHRAHKCGRQLSAVTAESYYRRHLWDPIVGAGVSRRGDSQSRGTLRWVGV LQSGRYKEVMAAVTWQTPPLQRLSEHENGVSPFFSGAVHGEVLFPPEASNNSTMEKPE DLQLLGCGSVSANVVDQLAFPGRISAARNLFNAKRGIILWQFPLRVLGDLLTLTVPLI LKEYVRYLDAPSHTWGRGMLLAFGLFVAHCVQSVVLHWFYHMGIKGGLCWRSALSAVI LEKCFVISPKALALPEMNTGRILNMLTTDVERANEFVQLCLYLWSSPVIFIASTFLLY SLVGWSALVTVVVLPLTLTLNGYIVRQAMKIQRKIMKVADSRVKATNEFISGIRIVKF MAWEPSFIAAIEKQRDTELRYLRRLQRCHTLTSFLNNAMPPLTIAIVFVTYHLLGNKL TPEVVFPTLMLLSVIRMPFLLLPMTLRTVTQFVISMKRVSVFLECENTVKGVGDVTEL LATQKNAPPCWCEAAAVFDNAEVTALFPVKLPRIPTVVTSLCGRIMARLCCCGACQPK RHSLSPLEVIDSEDEGEGSAQAGNEKPPGRPGGNQKQPSPEETPRGVNKKVGEARYQL RPKKLLRDISIEVPKGKLTVVLGATGSGKSTLLETLLGNFEVTHGSVLAAKSFAYVPQ QPWIMNATLRDNILFFTPENEERLHKAVRVCQLEADLQQLPAGMETEIGEKGINLSGG QKARVSLARAVYADRDFYILDDPLSALDAHVGELIVRDLILGHLACKTRVLATHQLHV LPHADFVVAMEDGTVKFAGESKDFMRSPLCKKLAAEGCERKHEGSSDREVDESDILDG KPVHSNGNEPTQDGGNEGGEKKNTEAVDGRLTLMEEKAVGSVPWKTYKRYVGACGGGC KALLVIFTYFFTELLTVAANLWLSMWSTNKFKLDETTNLYVYLGIVIAGTISVPLRYT TTFGSMRHGSRTLHRFLLRSISTGKMSFFDTTPLGRIVNRFSRDIDRLDNSLPMTFIF LMQVTFSIISAIAVYIGSQPYVLVALIPVCYVYYRLTLFYSASNREIRRVGSTAKAPL MSLVGEALVGSSTISAYGCQTAIMKKALAYIDLVYASSLLENAANRWIGLRVEFLNTV VILVIALTGVIGAMLGVDSHDIALVSLSLTMALMTTAALNWLVRMCGSMEADMNSVER ILYYTDNIENEDMPELDELVRAAKKAEETTPRPKASSATTTAVHVPVNGPVERNVVPG WVEFRDVDLRYRDGLPLVLNKVSFRVNPGQKVGIVGRTGSGKSTLLLTFMRMIDTCGG EIIVSGRPIASYGLRELRQLFSMIPQDPVLFDGTVASNLDPFSQATPEEVWRALELVG MRGHVAAESGGIEARVQEGGLNYSVGQRQLLCLARALLKRDSAFILMDEATANIDHAL DKQIQNTVRTAFANHTVITIAHRLHTVAQYDKIIVMDNGVVAECGAPRDLALSTSSKF RELLNSLGTNEVKNFMAVVEGAAASRSSG XP_847050.1 MRRCACKMTASWLLIYRHGARRPFGNAPNRVRPVETPCDFSSWQ SSREVSGFLVIVAVFAVPVWFIVLSGTQEERKREVVLEWARKQAERHGHESNNSGAEE KV XP_847051.1 MRRRGSTLFCEGAVLTWRRSFARGRPYTPLGTVQDFTSSPHHAQ LAEKQRELDRMCGRPPSHLYEGPTITTPHGARPLFERDMRDDPRNDELPEHYVAAQQR MAVLQSDSYGESIRGVVAPPPPLGEFDAVRAYQTPRVELGTVWWTAMATIVLIFLLMV RYGH XP_847052.1 MRQCSRTINGLGVSCFSPCMWKECTSRRGKHTIAKGKQVITEER EVRREPTASKANLPLREASYITRSVKRFLTTSSRDRWNRRDVICPRQYAREYRNRFQK VNDAFHSAGKKGRMYSFEAITLQFSGVEWSYLILPATLALFVIVFIGIVERRRRGGEL LVLQQQVEAWAAERSRSDPYLETVGSTRG XP_847053.1 MSQQVGEVPSPYAHSTLDEPVLETIKRDFFAIGRKLLAVLIPPL GSKSDLRDWELWGPLLFSLTLAIILALSAGEHQGGLIFSAVFVLVWVGAALVTLNAKF LGSPISFFQTVCVMGYCMAPLCVGAIIGVLIPSFWVSLVVSSFVWVWCCWAALCSFRG CVSPDREMLVVYPVGLFYLFMTWMVMVGS XP_847054.1 MYCTEYKFPCFRHTHTIKLVLLHLRECNGVHPPQFYRRMYEWVG SVVIFSPTIIFAPIHDPYLEGGEKWRINNIVQGASISVAVKKKRKSPTYPLFRVKGVM EAPAAVVTGAAKRIGRAIAVKLHQTGYRVVIHYHNSAEAAVSLADELNKERSNTAVVC QADLTNSNVLPASCEEIINSCFRAFGRCDVLVNNASAFYPTPLVQGDHEDNSNGKTVE TQVAELIGTNAIAPFLLTMSFAQRQKGTNPNCTSSNLSIVNLCDAMVDQPCMAFSLYN MGKHALVGLTQSAALELAPYGIRVNGVAPGVSLLPVAMGEEEKDKWRRKVPLGRREAS AEQIADAVIFLVSGSAQYITGSIIKVDGGLSLVHA XP_847055.1 MDTTNGGGNCNVRGLGGSLIRLLELYPVKQVAADEGTTETEQGM SKEEVNSPSPVKSQREGNMKRNRVVNSHPPKQDVLDCAEVGQYLIATGSKDKVSAMQA NVLEVRSALSAPSPVAIPNANQCPSNVLLTPLVPTGEYVPSYDTKEQIKYSLVGEPGT RCQSPLPLFRGSRVDDQVREATMFFSNLLAARQHECADQEMGPLHGGSGAPYQLPAFY DILSRTIGTSRSREAGQESREAGGYLPLISPVHAGATSSGRLFRGVRHSSARLRSNTP LGNDFCVGGVCGLMGRRLIGVPVHDRRLNAHLMSSWEKGVKARLPARKAFKAIKSSCW WRLAGSREGLLLAARLVNLDADVYESLLTRWVDGIRHSYTRFVTVNVDAFVSEDIGQN LITGPRGRKLGPVLPFSGSEAVMNSLFPGDVELSDMLGVARQLGYLQMGGALCEDDVA RVLQCDTRTIQRELRRLMLQRSGGTVQPLGVLPIFEGKGCRLYEPNTAIRQISRAILR ARRRAAAEEAKKKGRKIRFSLLPDVTTCSRVLQRRLQKIAASRPGDVQALLEQLGVLA DAVNSGGLESIKSCPEVLSRLQEIIADMPPDVQASLQQLGFLTDDSGRISVSSDGRPR VSRGRSRKAANVVPSNVHKFLKKLDNISRTITGGGPPESDGSAELLQRGLQEIAASRP GDVQALLEQLGVLADAVNSGGLESIKSCPEALSRLQEIAASMPPDVRASLQQLGFLPD GDGVIGVENLCEVAKRQQELSSGAPHPSEGMRGATTEGLKSPTEGASFSVSARRKIRR RTPKVVKMAAADEKGPIVHNVPPSSVKDQGEGPAARDKSALSASSPLGDEATAWPGNH AAFAVDESEADAKDQSWEDTAFGTKKGLLKKRRRLRSKTSSELETLTDDDAERALFAD KHASFGKRSSPGRFRGRTSPMGVLGIESFPTSGDADDPLSGFVASQLKESYSGPQEGE ETDDYEYTPRERSRMNRSRAQPVFSEWDTEQLPPQLPSGAKDPRLLSQTASILVFPNS GRRGSRAASVASRTACSDTESVRGVEDPWELSSMFSNDDNELALFLATFPDEERHVQL RREAHRVLDDINAGSKVLEEYAIKLKVRPSSMGPSAGAGGGMQPCEQEWTERDEQMEK RMLECRVDIQSMVERFKAEAQEEYADLWRTLQELRKRVEVQEALLEETKLDINRLSYP MEGEDTDAFALRLTREVNAFAEQNKLDPQQLKDLTTAKQDREAAVRSFLESFARTRGR RGGVSVGCQCTPKDLGYIDTEVLELNKQMEDLQYHARGLLNSIKLVVISMGNVLSFHS ALELESTCKRCFCIFEEPRTLWPCGHSFCLHCLTQMISKGGELICDECGTLCLVGYTP NFAIEMVAGYQLMWINSAEDDVSEDSLCSSPSPKSSLTSSNSKGSKPSSHAGQKRRTV EKVLAGLLKDLLSTQGSGKATRKTERKSKKPSKSTSGELPLPPLKGGLPPSAATKVQC A XP_847056.1 MHFFLFDQNITHYFAWLVVLSLCSPFSPTFYQLFEGSTMKFKAT VRDRRMLVALCEACRRSENGGVVLKLHASRIRVFTRTRAGSDIQVVAVCRTDVVFGEF KAQLAGRNSRSKAAAEDDADDGDAIYCEIADTRRLTHVLRQSERCQLISIKLSTNQKR RPVLRVSLGDTQTNLDVSHDVPVEVLGSNEARSLSAPSLSCAARPLVLDGLLELATFV DRARCASCEQVTFSAWKNKSSSKGSKDCNNAGSPVYTLLIEAECFLGSFALEYNSLTV AKEGEEEEEEEEEEEEEEKEGAEGAPGTPGDTESFVEAQGSKQGPLLASVTVEARALA RFLPIRDLTVDFVWLYLDHQKAIVMYICASGCTKLLGCIPAVTN XP_847057.1 MRRASHVCTSCELLGFQKQFLMLSNRGNSSGDNDVIDTSGGKFR NGNGDGKDDDVVTPWNVSATGVRGVNYDRVLVRFKSQPIGEPLLKRMQDVCVERVKCN NSERGHNAERSAVGEEVQPLHHFFRRGIAFSHRDFDIALTNVQEALRSGTQGAYLYTG RGPSTRTMHLGHVIPFMLTRYLQDALGLPLVIQITDDEKFFFRDVPLHDNRCSDGIDI VTENIKDIIAFGFDPKRTFIFRNTSYMGSMYPTVVRLQRTMTLSAVKNTLGLIDNDNI GKASFAATQAAPCFSSSFPCILGEQDFEKPLQCIVPCAIDQDPYFVLARASASRMKYR APALLHTKFLPALKGMRLKMSSSAEESGVITLHDSPEQVQKKMKKAFSGGSGTLDDMK TKGVDLEADVAYQFIRFFSPDDEMVGEVSAKYVVGEMNSCYVKSLAADVVVRHVLRNW QAKRKLVTDEEVRRFTEVRNIMA XP_847058.1 MDAQVGVVQQPVTTSSTIWVGECQCPKWKKSTHRAVEQNLTTSL ECGGERDALLDVACGSGIDIDSFLREKWIRVAFTSSFGSNSRVRVRARRVRIGSHEFV VVERNVFGCDDSVYRKDNKLWEGLPRGQACVFVESGDRAGFIPIGRLQGLRKFNSFDG PYGIRGRQPVSAVAMEAIDGDCGHISAFVHTGERYWLVGNKDTHLLVSFSVPEKDIFS NTAEGGAITNEGDKPLQGANYSPPSLAVRIARLWGQTLRALPDEKSFGLHEFLSSNRY TACFDAVLQDCGHLVDYGEDELLQFYALTQSGESLAEGLCVDPVSAIVNLQSFGLRVV PFHSEQCSSVPEILDLGTDEYSQYGGQVARRLNCRGAVMYGLDAQGIVVRLWKLPSHA YVVERAAQEAIVTHRLSGTVLRDKLRRKLDRLPKEIRRCVTEWSSARLEPLLNLAAWL HIMGKVTPEVGLDGLQEVRRSWITLQQQLVKHTKDMREHLQLYEPPVAEAAINNPDVI MCVGPQGCGKSTFCRAFCVLLQKVGQKPRWINQDEVGGRNEFIEAIRRAKESDCTHIL IDKMNLDDAARNADYASFNLKTITVAWVHPCDVDVMVSTCLERVCHRGNAHRTFRGTV SPGKKRKMMTIIRGCVQRCEPPTTGIVIEANVLDPPAITIESVWKGLCRHTMSELPDI KDLSVADALEIARRYELLLSHSSRPFNCGIIKVVNSELLVALVPADYLEGKKMRKELD ISTIYLGDRSHFDLTLLSELQTLEGTSIQVAPVRIASDAKATAIEIRNNNEFPCQNAH PHITIATAPGVPAKYSNELLSKVSTETSDIKVMEVSPDVSISGVFQFVR XP_847059.1 MGCGGSAPANKDRHVGADPPKPSEHSEHSKPSDPSDPADATRIH KICTLPLHDKDKPVAAAAIRVTDPKCISDILPPEFLEGKKVHNEFCAITLSFDDGKPE DEGLFEKLKELEGTSIELKPTHIVMNEKVAAIGVCNNDEFPCQDAHPHILVATAPDAT QEDIDDVTKKGKIEIFCARKLPDLPPDITIPGEFRFMHW XP_847060.1 MGCGGSAPANKNRHVGADPPKPSEHSEHSKPSDPSDPADATRIH KICTLPLHDKDKPVAAAAIRVTDPKCISDILPPEFLEGKKVHNEFCAITLSFDDGKPE DEGLFEKLKELEGTSIELKPTHIVMNEKVAAIGVCNNDEFPCQDAHPHILVATAPDAT QEDIDDVTKKGKIKIFCARKLPDLPPDITIPGEFRFMHW XP_847061.1 MGCGGSAPANKDRHVGADPPKPSEHSEHSKPSDPSDPADATRIH KICTLPLHDKDKPVAAAAIRVTDPKCISDILPPEFLEGKKVHNEFCAITLSFDDGKPE DEGLFEKLKELEGTSIELKPTHIVMNEKVAAIGVCNNDEFPCQDAHPHILVATAPDAT QEDIDDVTKKGKIEIFCARKLPDLPPDITIPGEFRFMHW XP_847062.1 MLMFHLNANRHLLQPPTKNRRGEVVSQTQRNRVCPAAIRAYRFL SDDISSQLNICCAVLQVPFSGIPGAPPSTVVTKESVHPSSMLVVWNDTTAAEIAMSLL REVRGDVDSAFAAAESATKAEGADEVVGAANPEDNLGASRDDSATAVYVIKLSVGAVS ADGNATLSPIASVELRQVIRGEPLVFPSRRAGMPAVDVPISTLPYRLGDPIFFTCKRL R XP_847063.1 MDDVKRKSAMLMTKGIIELRQSPPALVCTIRRFKHPMSGKEVTL YPVPNIAAPHYFRRVLDAHHLTNNFDKVLCEDGRLPFQAGTALARRHEVFKRLLPFLS LRPVVVNGDKFDGIVERDPLESRMAYQMLLDGADPPVDPRARRAIERIEGYADATKTV CPWGVYHLVYMTYRLRTLGYTVESEEELEVVGMKEVMVLGCFMGITTFWMMYALYRML FGF XP_847064.1 MPPKYDNVQRLLDAERRRNEVIANAKAQKQAKVKQAKVDAEREV AAFHAEKEREYEAYRQQQEALTEKEKEQLRSDTDVWLQQLNAMAANRMQAVETMMTGL ILRCQDN XP_847065.1 MSLFIISCTITFAYFGFYQMRLPRTSFFLFVFFRYFVGAVDLIF VCLCFSPSPLSFPLLNDAMCFVSLLQPITLLSFNILVPIYGHGTFVPLLFHSLSKKRQ NNKNVQLPVPVLVELWDSSCYSFKILRFFKLRGATLPSFASVPYPPPLFHVVRLSWSN EAQIFLLRMCLRYVSYVFRFSPSFSFLFSPFSFSPCGCDMALSR XP_847066.1 MSSAERHAVMLQDWLFAWEIIASFSSPRTVCDLETLSRDVHKVI RDSSTGTRLMQRYWNVQYHRLVWGDEDLDIGKRTLHFSLPRASGRKNWKKLYREEYPL WLARTFQGMGSRNNDINEAKVRFQVEPANELLSGEAMESLELTQEETVARRVAKGFVR VEEGSGSGPNSVDRRGRRKSGGSQNRKEKLVAKDPAEGLQREDYKNDRRLQKHRTKHN KGKGGTWDGYADGEFD XP_847067.1 MNRFCRPFAAATSLRVGSSERCSSRAALMVSTRGKYVRQPSPQW DPVHEDINAHVKKRIPGETRVDRVRRFAREWRNVELELGVYKDSRGREIKYRKTFVYR YTYPNAFDEFWWPCK XP_847068.1 MEAGTSPIRAGSSDGGGSVRGDIFRRHSVNSGENNMNDNKDNDS NTEWSEQFRLIARRMSRALLRNPHPDVQTDKRVMYGVLYELFEQWSERQQRAHSVSLT VEQVGSILRYGEQTLRQASLTAPALNKAQVVVDLIAASPLITIGALTQELRGLQPPVV LTPMAEVLELARLLDVSQGVRTPVGAVNLVHFIALSSTLCPAPDMGALLLLVASNVKR CHLLCAAIYYEWAMIDAQNREGLLLLSRELEEKHQQMCEGFTVDILTPLLTGSFEPFG ARPTDPVAVDYLGMGSLPCTGTAEEQQRCHQLAPPSGNELEPTCEDSDTGGHGEGDCE SSVEDEYDSDCRDTVDTEFWLRLSS XP_847069.1 MTDPFYRLRRRCLFLFDRGAIGEALSKPDMLKRVDAILQQARQS NGVETESTSTAACGAVGTFDELCVCISRWQRRRQFADWEECLEWLLFLQERVQTPEAE RDAYRWALREMITLFSEEEREYKDALSEEGVKYWRKTIISMQPYFHFTNSAQAMDVEG REGHHQVSWSIGGELSPLVRQVFLPF XP_847070.1 MDEVGALTVQDVLTVWLCDLPLKTTLKDALSGVTQLTGEENINQ ILRFHPPHASALTQSGECKGMLSLVGEKIIKAVASQYALLSFPVGDTYKLTNATRVLI SNETFGTVLKQYTGPTFGEREMFTRFLALSLYGHGVRVACMLLLPVFRATLLANQYAI DLPAVLNSLVPCASFSRRNLGEKLEMLRGELEKRGVVVKRVVESGPCGWGGCERYTVE VLYGGELSRCEKGKVLSEFYHIVMEEAKKTIANTEVKKPRTVTFEPEHLKMWMHNLRF LLRLSDRYRDEFDDNTLQSIKQSAGWIPISEVQQLLEAQVTRSNRQQPPGRDWICELV KRHDLLGRFQVGTCDVEGSEFEGLQCARALYGHEGRNLLMKSVFDSFSTIRDPGGRPS TGWMRIDGKRMKLVSKDKGYSLHDSIPHVTIHSTESLHAFQWYRLKKPLEEQYDEILS SRKPLYFAEFRLHDALNDDRMLVRELKGIGAVPPQWVVFTEHNRDDDHQRSTLVIPEN YFTGKVAVLCTNAEDVATEGMIDTKDRQTPEDEELLIVYKTWLLSADTQNSGDSKDGE ENLSPRHRLPAHHTSTGATSSDDKGTTSVGAHDCVVMDQAEQPSVPTADYMEMLLDEE KRKIELFENTNTYATFVAFPKYNLHGAQIQNRSKGIAAFKTWIQERGLKYSRWRTGEN DQEETYEVRKPRNWSAEFVECIAGELGLTHMPDKTLLKWATTRECEDKEQNYEILEFI GDAIMDFIVVSDSFLLGEPWHKDVNTKLCCNEVLATLIPPGLSEELSRVYDEVHYKVK ADMVESILGAVYQSGMGLDEVRKQLRRFFRWIPDIIMDVKNLCNSTVEALRAAERVCP YLHPNEEALDQLFKYDCESLIDEEFFSMFGCVDRKRFDARGASHYALTPFHRIQDKMF ATHFTTGSCYSYRYVPSIDTPSIFNRILSAFSAGSIAFVNELVTEDTHVTIDFDGASV HAHGALRLIWSWFIGKFPSKSSMFLLDCSGMSVVTNRLKYSYHIHFPQAVTTLSKSKS LVEELQSYVVKCVGAGSLLGDIVGFHWKEGEGNQEREAAVAGRVILCTKLLHQRLREA GGRVDAKFSAYMDLQSILALGRTCREIRHATLSYLCEKLHPKEPWGFVVMGWSRHPVG QTSEREPQFVLVRTLWASQQEKDYIGTLILFPTESLSDTTDETSSVWKAVVRKVKEKE CKPKEFFLEVVKSSREQCIFSSAYWERVIDSSLHSSRKLRMYLNDKFDVKYGQEFRPL FLERLVNSSQEVRTVTPKESNVSRFVRSQEMKASAKDNGEEEYPVEVAHGSTLRLVSL RCPGYRDVYGKLWNAWSVGNNSDDTFVSIELGTPVDDLLPVFGAEYKEDEILSTEWTR FVEGAFGLQPSVETLGGGSSEQKTAALQPAYWIYDPKLGQANFCIAGEVAFFIRPCTC LLEGLTTQGGMKQMVQYIVPTVKLEDLPTREWMRSYPSNCFPLFLAPRKGSCFQPAGE PHPVVASNDGQTITVQAPVTAAAVTRVRSKVIEVTLNSSTQRPVDFEQLYKAMDDVFS GSYGPSLFVTSDMAIFSVLLDRFPCVVTPDYFKSSVSEDYTIHNGRTFHYIFIMDNAC DHYQHTKVSTGLLELLDKEVGMILVTSATIQKFFRTNR XP_847071.1 MHSELKAYAVLVAFTLLGELGPFRGAYSSVEDEVAASHSLQEVL DFPTVSYYDSLVGEPLTGGHHRGIVDMFSGSSTECFNGGVPPTNGDSNCTCPARSKFS GLKCEMCQTDGSCDSIAGPQSVCERRLAIWGSNKQFECSFNTPFFIKQMGGGRDVEAL VTLNCSTPDGTAFHESNSGTCEMTLFRVEPKHEYVDPFFSCSVEQCTMHLEEIKTNKA NKTPTNSNIISKAGLIAWRVLIVALCLLLAMLQCVSPKVGRGRTAKLLYVLACLLVAV TLLFILVMVLNMHQGTPELNAVYECNKTHCDCSEDPPKEYGPFCSGTVMKKFILPQIK NSIKIVCNLQDKTCRLTLKDVKIIIDLNCTASECTNKRKFPNGPSLPVPADDKAQRAL LTFIALGFLVLLVLFGLHVLWVLRRTQKRKAEFVDRFGLPELWTQEGEEGVPETRCNG QGWGVATQRQRRHEGGAGGLTTARSAMSIGEAGGSAQRSCSGDMPSLSESERHFTSNT NRHDKKDFIRGVTKSPLKLQLTDLDYKLESSCFSSNNNDASQHILQQVNFSVRSGDVL AIIGPSGAGKTSLLDLLSARRKQGRVFGEMTINNTPLVGASNEVTEQYRNIIGYVSQE DTLIPALTVYETIKYAARLKLPQAFSSESINGIVDRMIEALRLTRCKDTVIGDGTKLR GVSGGEKRRVSIAVELLANPRILFLDEPTSGLDAVSAKHVIDAVVQLAKESPMRAYAP HYFAFRPIVIFSIHQPSQEIYELCDKILLLSRGMSIYCGPASCAAETLEARVQRVMGG VQTIPRLADNPNHAEYLMKLEEIVDDVARVELQRENTAQMLSRDETSSGMRHEEQAII RGDIILKTTFGFRRYYANVYQQVGLLTSRAARSLLTSSHLIVCHAAVTASVSTLMMIL YHEEGLDLPGALNRAGSVTFLLLVVSFASLSCLEHLVTERKLFAVERENGYYTTLPYL LSKIIVDIIPLRVLPTLVMAALIYVPMGLRGDSGIHLFWFIIIVALFSVCITLIVMCV GVVTGSFGSAALLSSVVILWNFVFGGLLVQSTTVPAALRPFQAASPFFLAFESLLVNE LDGQDCTFAPTDATGKPSSTNVPILCVQYLTNMGLTPARFTRDVGQLAVMVLVLLCLA WLLLFQFTTVAR XP_847072.1 MFSILRFLLARCSFFFSSPLPLLPRSFIGYCYHCHRHHPQRRKQ FQYVPLLTNPHPGLTVVEKKGEMATLRFNLPALNEYQWRNLYLKKYGSCTYGPPMPKH EYRIDPCTVEWRRKREQEVWKSTTGQGDENSRKLGGGDITSRMLQTSDYMDASDDGRM AEFSGTHNGDSDGESLYKEASTYDNQLFTTDMFAFLSSGDSDSQSSTMSEMFTFLPQN GSRSFPTRLPTVSEFTAADSLIPARESRNTKPEDSILKSNDLVRLQRETWQLLMDDRI MMWFEREVKEHGRIAANVQKKLLEIVTKKPDAHSDVTTRSSASEKKKAQEPPAELPFS YVHETKPESSEDEKLMQTLEKFSPFFAVISPPEVLQRVASQVSTSKGGEVLSGTSNTI GLPIFSQYHTWRETFEFRQTWRLAPFSFFLIHDSSYNHAQICALYILLDVICRTSHTH TAECAMNEQSRQLMAERRVRRRRSAKTDDVVRAQSCPLVLIQQLTEDILLAYEQSSAR TALSAALQVLRFLTNIVLDACTASRFVSPEALRRQCGFDGDPNPAGTTTAESVASVSV SIVRDDETSSLRRRRPQPSPLNEVARGLGIAECSVDGDDGVRDRQAPTEDEENMYRMD INSCIASIPLQHLNESSFEQLFELVYWFVDAPTANSTVTEKVTQGRITWDAKAPSSPT ATAGGPTSGERGRGAPTSPAADTGSPTRRDGAGAQQRAQDFEATASVVEAIVRFETNM TFNLNAPVDDPYFSPLAAQSTRKNSKKKEGERRAAIQATPAAAPNNEAGVAIFRVSLT FVTTYIRLHGATWLKELLNRLFNILRRESVLLYLNDSDFNINQGLSARKKNSSFLSWG AKRFAAYSSSVQDSGLSTSSGDWDSTLQGKLEKLEQLICQDIGYVMGEFFSSLHGKRA ITRLPQAISVMLTDYCSTIHLHLLNQRTPMEYSHEPPAVRVKKYLAEMRQRRVTGGLA LGPQGKGQGVAEDTLIHNVGCHRLAKFILFDCWILPALNNAVAFGYLPEDAPHHLRSN VNAFTRYLKIIVNAPFASRCRPGPAARDVSQFPSCGTRKPVAEKKLQAFDDVAHAWRC NTLRLPSRITGIYNACTGSLNRLTRDTTAEDEKPNKRRAAASTSFSKMTLTKVITGDM SSSIDRVRETWSEKFTALDTLNAFLDLNSNSLDWGEVHDDDVDMSPSQILNIFCDRAS YDISTKIVVSEFTVSPSTSAACISNIYSLMSGQHYLVRNACLSGTLVGRFKSSPYLAC LIGVLTHPYMAVQVAENVLRNSRALYNALMTPLNDETLLSMMSSLVSGKNNTVLMDTN QLVTAVAALPFKARPGSADRSNSTSETPDMARAKLWQNTAQILLERRSLATTGARHPS HVLRRLIAKVRAPADPTDVLKNTSLAMENEVGHAPLCFDAWWRAMVVALTVKAMNVIE ESSGARAMQWEQWCEVKVNDMQKEFRGLCAYLSKHKSAKTCIPEDKIMSYCRDGTTTR PKPAKQEISQPQRVKSAQEKRSVSRSKHRAKKKKATK XP_847073.1 MNIVTSYFLVKRIPGSIFLGEASLRNAEARQREYLECIRQNVTH REVDSLHLLIEGSDAYNHFRTHIMENNNSFPDGRLRQKIVPILWQKGQPTYADLFEHA NKLLRGRLAMVCNADVYISQHGASVRDLARLFDQGVPRVALALTRYESENFMDAPLQD NYRGSHDAFVVRPPLEDSLLRSVKHPQNCYKAENVVLHELQRHGYMVKNPCRDFMLVH RHEADLRQWLPSVDEERYARAPPCSIEEAVIALKEENDRLERH XP_847074.1 MVVREVVKRVPKQVVPLHGVCGYAPGCIPAYSNGTDTTFTYQGH YADNFFMGYKWQCVEFARRWLLLRKGLALPQYDFAAHLIHMREVCDAETGEVVPCKFV SQGSPEPPVADALIVYPGTRENIFGHVGVITHVTDALVGVADQNRFFHDWDGRPYAAE FPLECVDGQYFIRDPLVECRGWVTFPERPNRVEGVPLVVSPHVRGPPKVHICKRINYV AGQLWSWCFNRECITFART XP_847075.1 MYGPHHRVAPLRHTGAGVTKLPRNHCNQGLQRQCSYATNTLQSL PYDTQGATSAAGGGIGSHTMPARMQQPRLSVPSHSHPPPFSQLATQSTPTQLGDGLVS GRSVPNVNYQQPSQQQPQGSMEAATALLAFTQAIQGQERHMATGCQSLLHLRAIAEAQ SAQVAAVNALATEVRAMVEATRDVCLLLTRTREQLPETKTSGVHRRESHGPFRPFSRL VLGEECSPHASPVEVASEHSGNENKTNVKTCGWRDGVNDTGAAYISATAGLKSVVLRG AGDGAAAAAASPVENTSQPQYIEDDIFSM XP_847076.1 MSDFDNKCQPSLRNNLRSATETLNRLCRATPEQDIGRMLRGNKT TPQYKAAVTPSARENNTYPTRSSPAVATSASRAPGTAGEWANAFRLLQSQVYNCVVEL DTERVVRSNNIREVEQTLSGHVGELRAMILQMKKENEYLTGVVRSLQKRVSPHRAGRS IGNGEHTITSAAPPQTMTTVAGMAALTERLEALEDTVARQQRVVGDRNTRLEGNIREM VGNAVNIEVERTRRIAREAARDCTDELVRTHLASIQAVLQSERQHQQKVDSASSDAVR EVVQHFRRLEVDVQRRLQEMNSTITVIRQQQQQRESEIQELSVRVAQDVSAVERSVEA ARRELQSGIAKNAQANEARAEATQLALEAAVAERAIAASKEVVMHRATIIDAAEAGAK QKEQQEEQRLLRRLEDAQRTNETVQRQQEKLEEQLKGLQSVVQELQQQLVEAVKASNV ASADITKWKARIREALTTSEEARTLAQESTACAQKTEDSVREALARSGVQLKRLVADH ENGTLLTQQLTEKLEATEARLASTRTVVDDLSERHKNSLLPMTARVETLHRSLQDIFG PKMEEISGSLVELKATVEQLQLNASSRSKSDARQLSDMRREYTTDIQQLKDCLHQQVR EWKQECCTSSSTLKAAVDGLSDTVKLQEQSTTRREALHASAAEVAVLSDRVQALEAAR EAVEWRVARGGKTSNLATATEGAQQSAGETTVNSSVGEPGAATDSELGNNGEVIYELR HRITAVEQCVRSREDNLQKLVRNDIQKEVGRLEQLVNRMDEENTSRMQLLRVQLSDDV ATRCKGMLCEVETPAQRQLQLQVDRLRESLNPAKLIAAIEADVELQNHLSLALQDAFA PRVGTSESFVQMKRRLQKAEDELAEVKVHTREQNERMKIIESNSEKYMWESSNQTQGE GSAGGRSEVGVLLQLLQTSMKQLQEEQAAARRERELLRTTAKVVETAAPAVEVTSGEG PSAGHHCTSADEMVRSDLKTYLEGCINAVEAKVEAAAQSTADTLDVLKQSIEMCVSFF VDEVNASALLNPLFLPIEAGQNKLNVNTVKGLDGVLLFLWQHQCGLQAAVQALQDGSL GTLDLLQHHEEQLALLQPVGPLATHTAAQLERLAVSLGLPLDTDAMDEMEEEVEVEGE LIEDDDNDDELMEESEELVEEEEDEAEQPDEEV XP_847077.1 MSLLKADQLRRDLFIKYSDKIAELLGETEECSPTEEQREKEEIE TTEQRGLSSLPKRFRISYPRQHLLRQRAMQMPPAADVGDAENSPASASNSTCPPLGET ATDEDENVETIWVELDEEEKRPVYNEPYPSVKRMMRRMQDESLRLLMREARIPVTPHQ GDAYLLQRMEVLQQLEKNIGDDRIKILARLQKMDGKLHTCE XP_847078.1 MGQHSAKEFRGSRRGESNSGVFPLGPGHAHVGMGSTKKPLGIHT SAVQNNVLRQRNQHRRVMHEEGGSHPRGQEADGSHGEDIAEEKFPVVIRYAAHDVRSL LSSNEKVYVAVESLNWSKLPMTASEDSFYAIVELPQGPQRFRFVVGETEVVDTAQPIE DIAEGTPLLEPRGDVGHKGETRANVIHVNKALLLTKEDEEVPDDGSGWGQEEIIHKED RKHPPIMPVHLRYTPVNTPLTAARCALDGFMRTTDEDTVSPENLPIPLSVTVNHVYFQ RREDHCVTAMTTRFCNKYSTMVYYSKAEPTASLQ XP_847079.1 MKKRVAVSCCVAGVLLFAAAVAIHRTAPTTIEQKKLAAWVYTGF RSATERTKATVTALVPEPMKPHIKQLLAGATSVTLVGQGFRRIPGIATAISASAQTTK QQNVVEIIMAKPVDKLLYAVGAIFAQAFLLRLLVKPPGYWGPVKDQKVWRNFFTTQAG WFLLVVCVALPNCVVDAGFIITLLGRLPSETYLPALVVGKLLQPYVATALFCSPTFVR WLPQVWKVMDAKGSEDDQSGAKVVFWALLTTAVLLAGTNGVTMLWRRDGSNDEEEELV LEDAEAEN XP_847080.1 MPMLQPYFTKNPQRITRLQENYDEGVQREKVPAAVREQLDIQYL NVTPEKLHFLHQNVMGSYSPGEKNEKVRRYNELHPPEKEHSPKFWGKIFA XP_847081.1 MMISQSHSPFTEAGGFAAAVTLLFTAMGMVILSSHLMPLTGTRV LELSSKIHLTMAAGTFLLLRFALIAPFGKHSGLSTVRIRVPALLSWSLQECPTLLSII YYIVVEYPCYVHQCNPLQWLHMILDRPSMEVTTTVASAAPTLRLGLLFFAVHYFNRSV LYPLRVANHGTSVPLHITLSAMLYCALNGRLQLLANIDSVDASQRLVVDSTWQTILTI LGAVIFFAGMLVNVTSDCYLIRLKKRPPLGAYKIPYGGLFVFVSCANFFGEIVEWFGY VAVVYGTNGTVAGLAALSFAAYVVANLLPRAYAHHQWYIQHFGAEYTALQRRAVIPFV Y XP_847082.1 MPVFENKHLLRVLQPPQQLAQNFVAYCKAHQVLTRGLCRVGKTK RGELRGLIANKDIKKDDNIVMLSERACLHPGRALKCERFLAIFPQNVKEMLLQNPLFV QNSRVAERSIIRHNQFLLACYMTYLILRNDENAEGQALKYINFMPRNEGNFEQLISNM NGVLDGAAACQSAQAALSLQFGITQAEVRPLLQWSMAMIFSRMAPIDHRWTLQCAFKN TPFAPAFDIRGPDDDANMVKEPIAFLCPVIDMCNHSDHENVALMVPQRTDSSHESVIC LRSLRDIDRGEELTMTYGGSPTELRVIWGMQRVL XP_847083.1 MHFPSIVFRRHGGRQWMQQQSRDPFVLEARRRGYVARSAFKLLE MDDKFTLFSRGNTRVVVDIGCSPGSWLQVIRERCGDKCAVFGVDVLRVASTVTGAVCI QGDFTNPAVREELLARMVTVRAAGVVDVVTSDMCLNRGTGSTSDRQKQEELNRSALAF ALEQLVPGGNFVCKVLGSPTAYEELRRDTCRWFVRTDICKPSSSRLTSDEAFMVCRGK REIPRDRSGGCDGTYGGRFGLDDWPGQLRGSPRRRKP XP_847084.1 MSISCSLAVFLVVYALGCWADGTQTRDRPFMYADSVPALAPGEV RRNEAMRFPSTLETEVRQRYVLTNMQRDVYYIAHLSYLGSPSIMYNLHLTRLPRATVG ELQQKNQAGPGQRHLSDVNRMYVKARKDVLLFDFLGGEGEVDNGDHDPANDDSFVPVL EVRGYRNGFPHEPEKWKDFRYNIRLDVVGRADGLTMAIMWNVVTVIVMGVFVIGFAAP WVISTAAGDTTNQRSRRDFLIFFW XP_847085.1 MRDTGRCSGHNDDVVDPVTSNGAMSHVGPDLAHRQALYEESVRD PVAFWEQAAADFHWERTWPKDKSPLEYNFHKSNGSIFVKWFDGAESNMCYNALDRHLP KHKDRVCYHWEGNDEGESRDVTYGEMFEEVVRIAAVLKHRYNVKKGDVVTIYLPMIPF AAQVMLAVARLGAVTSVVFAGFSSQAVASRLTDARSKLIITADSFWRGTKTLLLKELS DAALAICEAEGHNVQCLVYDRSKRENVPMTEGRDAWYSDVVETLTASELADCPIVWIG AEDPLFLLYTSGSTGKPKAILHTLGGYMVYAGVTFKYSFDYHESDVSFCTADIGWITG HSYALYGPMLNAATSVLFEGMPTHPTPSRWWDLVDKYRVTIFYTAPTAIRALMQCGDE HLQSTTRGTLRILGSVGEPINAEAWQWFYEVVGKRRADVIDTWWQTETGGHLITPLPG CTPMKAGSATLPFFGIVPALLHPTTNAVVEGEGEGLLAMQTPWPGIARTIFGDHNRYE QAYFAVDGFYLTGDGARRDKDGYYWITGRVDDVLNVSGHRIGTSEVEEAVNSHPDVAE SAAVGIPHDVKGECIYVFVTFNNNVTVDATLLKRVRETVRRVIGPFAAPDYVQAAQCG LPKTRSGKIMRRILRKIASGLHEELGDTSTLLDPSVVQNLIEGRKQTVG XP_847086.1 MGIETWKVFPSILTEYPKHGVPLPCSDQNPRLKCPETRWARDFC LAQGGNCEYKIEAHFDCLEQYYPPEKVMQLRKAFKPNTLDYPTSDTYIRYRHFYIGAG WMGGKRGWEGTA XP_847087.1 MLRRTSSTFSAKRVFVVGGHITTFVGKGSPLFIDKKHPDFGKKE NKTLEELLAESINGALQNTGLHDGRAALVDKLVVGNFLGELFSSQGHLGPAAVGSLSG SNSSAFLNKPAVRVEGACASGGLAVQSAWEALLAGTSQIALAVGVEVQTTVSARVGGD YLARAAHYKRQRQLDDFTFPCLFARRMKAIQEAGHFTMEDAAYVAAKAYASGNRNPLA HMHARKVTLDFCTQASDKNPNFLGNEIYKPFLRTTDCSQVSDGGAAVILASEEGLQKL GLSPNDNRLVEIKSLASAAGNLYEDSPDLTRMTTSMVAARTALSMAGVKPEQLQVAEV HDCFTIAELLMYEALGIAEYGGAGALIRSGATALDGRIPVNTGGGLLSFGHPVGATGV KQVLEVYRQMKGQCGEYQMKNIPGIGATLNMGGDDKTAVSMVLTNI XP_847088.1 MLRITLPSQQVSKRLVAKLASMSGVKGATATNAKGNDAASQAME APAVVPPSRETPKSTLPRVGARAAVPVGGAGVHSDALRQGTLRAATPIKPSVAAAAAS VYETRINAPAPNIRNGEADGQQLPQKKTLRRRKRLIRVSTRRRVRQNTAKVPEDMEEE PIVEDVEDPFVDQEEHEEEPVAAAPREKRQQPRPERVKGRPEAKTVDRQPEIKKTEPS PAASYAAYEHDRISGTSLHGKTPMIKAFRIEDVLAACTPSDGVFARRLPQGGCQFFAW PGTPLAVASSAVANMPETIRTVHAIFGREKTPMDLVLDIDCPVPQEHWSMSKVRPFQK KVLDDVMNVIVEEIEAIGEEIESQVVLQSPNLKKVSFHVHTKLKDAAFEDYNSLHGFL HQFHKRIPAVDLQIYRSNGMLRMHRCMKENHTSAIVVFEDKDWNIGFPDGIVPDAKAA LHSACIREEGTYSRLLHFDPPRNFPTAFENSGANGSGAAEDKKIKVLLPRTEREAVEN ASRWLREGTREADVDEWRSWIRLGINAYRVAYHFRDAMTLQRPALEELLDAWVEASKK CPAKFKPGVCEARWATFDINRLSKFDDNEWWPSYQRIGRTAMLNEAADAANRGGANGI PPQGA XP_847089.1 MTELTRLHSAWDVDRHIVLEGEKLVLIRFSHYGEATEQEEDMAH TLSTRQIDEVLVALAPKVRKYCTIYVVSTLEVPEFNVMYELGHSREPFAVMFFYRNAH IRVDVGTGNNNKINFVVSEDELLSIADAAYRAGRSGKTIAYSEKKFTTAAVRR XP_847090.1 MARFQSSVVSRAPAFTFVMFSLVAAGVPRVIPGADGSVATETKG KGGAVRENREGKCASRIVSYLRRAVTQRAELTQRELRARANLNEARSVALEEEDGQRE PKNDTHQRIMEAWGVVGAVNDDIIRFNERTIKQHGDVPCVCINEVFGGPDIRAAGAAT SWKEIVLLQMDLLFMYWKLYWETLHGVWLFTFEEYAPYEKLYGDTVGHYQRKVQELRT ELERTSTLSADKDVNPLVGFAHLALTHTKIWTYSAVPWVTQITVVLFVLLFLPFIMSA TVLSNFVWRLWLQLFVAHHVYGLTADGILDSLREYISLVTSQKWKELQGRLITGLSAL AFGGSCGTFFSLLPAMIFIFATVVFMVTLMCVWTRLPLMLLLFCEEAEAERSVKLAPA DVKNKQSDVKSKNGGGSEKTTATKGKRNLKKGPNDTEKCKS XP_847091.1 MHIYMHILVVKVLRLMPNHGKSKAKGTEEKKARRRNSAVAKDDS ANNVRSDRKVNDSVKSAPAPEQRLQLSLDRSVFSSSQFSAPTFVNNITNRVLFPLLMS RGWKEETAGGMKRFTENGVVGSTTTRADAEAGQAHGEEGSTGSDRGAVATSLTTTIAA ALREIEKMQETEEQSLQLHEVRCRRLEIREKRKLATVRLGLEDTTARLHDYESRVCNA AAAIAGIEQHLAQSNARVLRGRSVSVLLNHFRMLTAIQKKDLTSALKGLKKARAEQRE KITAQWKTCSVLPAETVYFDWDIDASARRGDGVGICSDYENGVKDRTMRDTYEGESRL SSRRHHTSISSRVHGQSGGTSREGLHHLEEAAIAAGLDRAFVVRSSTEAQVEWCQRLL HLRGELDGIVKNTANVDVYVNWLREELMNDIFHLVECFNGVHGELAEIAAQDPYGRTL LRTMEFVSRLYTTITTSHDALLLDFYSHTISQMCSSLFKDYSPEPLRVVPTFEKAKKG SSSSKASQEPVNQANTAMQHYRTSLEGCLRGAFSFFVSRARRDVIIVEAIFGTTYTAR HHLLTQMTKGVVEPFLVNQMKLAEDHEREIREAESRLSPRSRNRAAAQMVDAITYKHT VQTELFVLFQEYVQEIRRTFTCGEADFLDKYVDSLFGTSRASYSEAELLRRHYSLLEE SYAPKLRNVSGEVFDLRSEHMQMTKELVQCFVELSNRIRIYARPEDVRERMVELVETT LQQLGRVLEAELRKTVDFMRNSRDTWRSKPKNEEDILRNIDSEPQQCGLRMLLFAQST MISLDDAIAASCDHLLQHEPQFVTTIEAKKVAAFQALDERAELLLNFCAHAIVVRSLS ILLHFQHRNDYVPKVAKGGEGEVIAPPCTGACSLFCKYVAQEFERAKEFIKMSGGLAS HRNTSPQAPAAEQLPPSSQFFSSGSMPSGQHMWSQNYCLYSSGVCSSGNMGSALGPTA QIDSPDGARQRARQMNLQQLLYGDGGASSFVRTVGVCLYRGISIHLKGFSVSDRGALV YKRDVTAYVEAMAPLTRVPGLDGAVIDVLFRLLKETASLLIMPPDHIKGVWDTGLLRL LSHDEKVQFVKMRVDLHDKFRDVVK XP_847092.1 MAVSGSESGTSEGKLSISSGTSSHNSFSSSSSPSTEGGIQADEP AAETGTSSKSSAAGVSPAADCTNGLEAEYALRRISVGSHKKIDAGEAEMHGKGENQVG GSNQLLKDSPCVHVSRRAEEPKVQGGQPRGRREKQQGPTNENTNSGPGSADAASRLRF LEEMLLHMTALNKELEMDLTKTKKELREYKQAFQRSHSRTAYETPTQSVNARNVDSEW GEIRSRDALYPVARTREAQRRQCHVDPHSDIRGSRAASSRPSAHRRAGADGRLEKRIS SSAFGTCPPKGVGSTRVTKEAVSGRELSRGRSGAVNGLLRHPSAQRRYSTAPTVEARP SGVMSRGEHSRQCGQVRDYSQDCRDAHGRKSEPRRENSGPCAGRRKSRSPQPIQRDPS NHRKAPRRSPGSAPQPPSGTQTVKLRGARPTGSVAGPCSRSRSAPKQSTEVVSIPAPL PRNHRNASATATVAPGRGRSSRNFSHAVATAGKPTSKHAASGRAVPRSVESTLTQTQT VTPAPEGNIEDVAALQRRYWQQSRDILEQLNRLLEED XP_847093.1 MGMKLQQPHQARSGGEKSMGRNEELRKKTEELVRQRTAELREAR TREEKQQLRGRWVTDADALGNAEARKREQFQRSAPKRAARVNLFGKLENTNTGLELEE REVSDRVTQDDVVAAVDLQSKSKKYELVLDKLGPYKLDFSANGTHLLLAGLRGHMANI RWKDFALNGETQLKDRIDDAKFLIDHTITAVAQKKFVYMYTKEGAEMHVLPSMANMNR LAYLPRHLLLCAASTQYSVMQYMDISTGQELGTKVPSVVRDPTSCMAVNPSNGVVATC DLRGLVKLWSPSVVDPLVQLKGHKGVIDDICFHPNGRFFVTLGGDHKFKVWDCRTLRA LEEYAVTYAFNTIDVSSSGYVAMGGGTNVQIWKDLFTASRPNSPYLKFGLGYGNIAQQ LRFCPFEDVIGVGHSRGFHSLLIPGAGDANPDFFYANPHETERHRKERVVSTLLDKLP PDMISLDIQVPGVNTARLAEYNENIRLNKKARAIREKKERRADKSLGDAAPTGLLVGN DEEVDEDLGYKERPVTKELKSKKEVAKERKMQKWDKKDSADKVRSKQTMRTSKIVQQR RARMQRLSGAMGSDDEEEKKAEQNRLKRHRREEEDAADDVRELYGRRDEGSSKAVNRG KSEMTVMTPSVKKNAALRRLMG XP_847094.1 MMTTATRRAKIITHTLGFPRFGVQRELKGALESYWSGAVTEDNL RETAREVRFRHWRQQMERGVDMIPVGDFHWYDHVLSTSLMLDNVPPRHRTRNGSINID TLFRVARGYTSSGKSVDASEMTKWFNTNYHYIVPEFTCPTQTFSFAWNQLIEEVEELL SLWGPSRTKPVILGPVSYVWLGKVKGCEWFDRRSLLPRIVPVYAEVLRKLAKSGIQWV QLDEPALVLDLEQDWLDAFEATYKELRRQAGNVPKLLLTTYFDSVGHNMDVINCLAVD GLHVDAVAGDDDLVEVEKRLPASWVLSAGVIDGRNVWKANLHQIYERLAALRNAAPAR ALWIGTSCSLLHSPIDVGCEVGMDEEIRNLLAFALQKCDEMNLLADALKTEEGGSHLV EYSSLLHQREVPGGAINEEARKLASELNAKDTERSLPYSKRVEVQRSLIKLPLIPTTT IGSFPRTSEIRSQRLSFKTGLVDEATYKQQMKDNIKHIVAEQEAIGLDVLVHGEPERN DMVEYFAELLSGFVSTANGWIQSYGSRCVKPPIIYGNVSRPTAMTLEWLTYAQSLTQK PVKGILTGPVTILSWSFVREDLPRREVARQVALALREEVDDLQNAGIRLIQVDEPALR EGLPLQRRKQQEYLKWATEVFKLVVAVARPEIQIHTHICYSEIHDIAGAIAAMDADVI YFEATRSDMELLRVFDSFTYLNGVGLGVYDVHSPNVPSVETIVQRLEASAERIPLERL WVNPDCGLKTRGWKETGVALRNMVEATRQFRERVKRHFTVH XP_847095.1 MAHPVPPVNPYDPRDLQSKALQRWEEQQRVWANMEKNIRRRVPG KGRAAQLGGRNMEFAMYKKRLNIEKQFLLEGAVPPPELGGHNLWESTLRIHPGENAVR YVRMDKTEYPYPLYCKVADNRRNDADNQTNMRIVHPKERQLGVVKEQPQQPKSKDESI SIIFPGKEYYSKQVARNISAIERQMPHFLVPRAYLEVCGKPPPWTFNTEEAAAAKKRA PPVAYHPPPASPSVTVSRTFSQQCGSQKKCSSLGEDDAATAVTRPDVNSCTVDVTEEA VGGPSLVISTTRILFFAEPGELSHGSVKIKNNGTTTVYYTWAPIQPVTELDDAITGNE TRDEESGGDDGEISGTKNERSQTESDEGGATSARTSCDTVQVTAVPQWRSKERGEERG IRVDGEAVEQQTPRGEAKLSLRALATKSKESKTFFYLSAPLDGVILPDDEIIFPFSVR AAFAGRFTRHYELLMFPTMSRPLVVELCAFIRSPLPTLNALSDPVGEAFDAREGVNMQ RQLLNTLVARESMYDASEIAKATKACIAASQAEEEAREAEITRWRLAWNDSTYMALRV PFNRDIYQRLNAMYGNIMRTMDECGRPLQHREWNGSVQTLQTKICGVRDAVCRNTLRE AFNCLLRASVVCEQEREPLGLLLRRAAGVTAFSVLAHRVEQLDDSISYALGIRSRPVP LQSTVLPVIAMNQKSINNTNNQGALSGRANARQGSRARSGFTIQDNSAVLSVLTEEQG NEAEAASQEAAIEPSVQEEYRARLFTGMRRLVGDAVEQLCAILDASRNSIEAACDLPL MGITVCTRAQDVRIIQCAEDLEVDTAVEAIVPKKRK XP_847096.1 MRTNEERDDKGGFIAEPKLLAGPAQKKGAPSPAKKRATSPFKTV PNHLNPNKQHGDSMNGHNTSMENADENSAAFRSRATEIMRFRVYARVRPFIPEELADM EGQERRSVVEMTENKTVILDPKDGWAPKAQFEFDASLWSIPPEHRIVHTFNDTRHSTY ATQRNVYDVIAKDLVPHVFDGFNSCVLTYGLTGSGKTYTMMGKYDPRATCGGDGEEGI IPRVSNDLFTILDKKRKEEEKPNIRFRIEVTFVEIYMERVRDLLDPALKNSKGSEKLQ DARIRQDPYSGPFVEGVTKYQAENWAQCCLLLERGSTHRTTCATAVHNQSSRSHAIFQ LTVIQEETIPAKDRYSRPTVRCQAGRINLVDLAGSERGGFQDYVKESAAINTSLLALR RVIDNLTERQNVLMEQAKAEVTGGYFQERTLPQVPFRDSVLTWLLSDSIGGNARTTMV ATLSPLAKNYGDTLATLQWSSKARNLVTLVKVNDAQTTVTDGMTSKAGELHNAVRIQR QNMDTLLESLRSKQAIAENLEKEMGSMTRQMTSIDTETNKMIRKRQAVTVQRTLLRKV WAKQIKTLEERLQASQKRLAQKNATVANSNRQRDDALERENAVKRKLQNLKAREEELH KLVAKNDATDEAFREELASAELRYQTEMEAAGADNVVSRLTESIENRTRMERRKLDDL REKVKAAERKAISMEAKVNESKQEEWKEQVRRDGEEVVQLRSKLGELQARWDAVREEN DSLKAMVKRK XP_847097.1 MTSEEQRRQLYNRQEYVVGTETQAKYGCTDVLVVGACGLGAEII KNLTLTGVRSIKVLDNGLATLQDLGTNFFLTPADMGKPRAEVVAARAQELNRFVSVTA VDVPLHEVIPAVHVVVFVNQRTTLLLAENAMARKHNVKFVACESRGVAGCVFVDAGPS FTVLDPDGEETVVCVVTNISRDGVVSLHEDKKHECEVGGRVFLTGLVSPESLNSTVDP FALHNGRATTECAQGDNSPTGASSSLRLFEVSEVVSPFHLRLKDFGAIVGDSPIETGY ACYLHTTKRKVLVGFKDLQLSVMQPEFVTLFDSEKKMMAPMTLHALFRAVHSHGKLPT TPIEVRDVLKAAEAYFSSGNDQVHNGFDVETAESILSVMHGRLNPMDCFIGGLASQEV LKVCSGKFTPLRQWLYYDARELLVARGEMSETGCVSTAPGGSRYDGQIAVLGSSFQSF LSRQRVFIVGAGALGCELIKNVACMGFGAVSITDMDTIEMSNLSRQFLFRNSHIGQQK SKVAGEAARAINGDLKVSAYLEKVAQETENVFDEKFWESHSLVLNALDNVESRKYVDA RCLFFRKPLFESGTLGPKCNVQCVIPYCTESYSSSYDPPEKSIPLCTLKNFPNVIEHT IQWARDNFDAVFFSTPSDVNGYLEDPTTFASNLERDPGTKSIVLKAVRDALVQWPKDA ADCVRMARSLFHEYFNSSFRQLLHNLPLDKRNDNGDLFWSGAKKPPKPQEFSVDSELN VSFVYHCAKLLAQVYNLSAFTLSVKEVAELAMQVAVPGFVPREARFETNEAENKEGAA AQLVGDLTMQDLPPVSQFNSRRMNPLVFEKDDPNNSHMDYITACSNLRATAYSIPPAD VHYTKRIAGRIIPAMVTTTALVTGLVGIEALKYLLLAHRENGAQGLAKANPITEKVQE EYLSLYRNAFVNVALPFMAFSDPIAAPAKTVPMPDGSSVRWGIWDRIDINEGRDITVK ELVSILEKRHQLEIFIIALPCGKMVYSQFGNVKDRDKPVSVVVREKTKGEEKDELSCI CFVATGSIGDNDVDIPLIYYRYKDF XP_847098.1 MRHFRLNRCSYTPNLTSLTNQVNRSERLRKWGSVGVPPGFPRIP RLEAKGIAILHESPKVILAGRSRCNNFDSNQYMLINKATKRCLLVDASDDWPDDWAAF IGASDLTLTHVFLTHCHIDNIINLNAFLTICGSRQKQRVQVDSQDNDNREDDNGSDEI GVMWCPAEECWVQNFKRSCERYGRFEEMHQVLPMMCRSLYTPQHLVDPVIAGFSRRNA RHLRRNDVLLSAATNRATSFIDFGNGVLLYYIFSPGHSPGHMMLHIPTERILFSGDLL FFNKVGRVDLPWATGVRLAESLRLLEALPDNTVVVPGHGRMTTLGRERRENEALQQCY QRQEIGKQEVSVGFNEGYL XP_847099.1 MSVTVNAVRESAEAVSLTILSCEPAQQKIAVRFGGSHGGWRWID VPTNTPVILERMRPEAGAESSEGPTSNGAAMEGCEEYELAVTPSPPVDGRDESVCSLV GVDTRQVVQKPVLLSGADEGGRVFELLLKWTLLDATPTPRESTPDKAPEDHSGTETET RNEEEVIVDTANKDDEKGEEAPPPPPIVAQPDESKEKEISENPSGHDSPKDKRVERHV NPVKELEEPKQQEAEREPQQEQAPQPRCNQSEWKWKSSVIPTLPADVSAARHVLIATI VSVQQPAVLPNRHVVVKLGIEAPNERMSLLPLLRTRAVTQYDAVKVAQMGPPVLFPLS ENVSVYCAVADKRADHPSDSGGIGRRVYRRGRPAPTKSPGRSLARIPKAELDKHAAEK ASKPHTATSGECTFSLPQRIEGTGNSDNAGGGRNGGASVFHWEKHSHMEHAYIAHGTV QCGNNEVELAFLRMTVGAYRDACRGHGAARRNGFGTVEVRVEDIELNETTEKQRPEHD NTLVEVWLTQPEVDAVSGTHSDRTGAAAAASTSTKNSSGCRHLVLLSSSNCIQPLHFP VSEPFAYLSWAVVPAQQKQGVQENVLHGAAPICLVRPGVWTPITIKLRDRNKSASFIK LWYCFTSDGRSGMDDPSRPYHPASKSTDDGNCYSLRVYLDSCTNLYPLVQLYSRDGNA KAFRLLIMDPEDEVAVSASRPMDLNDMQLYCNYKGGEDRTPPAIKLLFTRVFPSHALY RSSDYTVSNTGEQAGTCSVTFLCRLFAFASVAEAHAMNNAHCIGEGRVVVFPGNVVNG KDGTNLDIDVHVAVDGMFTPGPVAVKPTAVTSPPPCTVLRMRGEVERAPCGEGDAVIC VRSVQLFHRQQQQKRRGRVVWTDGGLSAVYACFWLCRGLSGGGVKEWKEALKGCQVLT LTPKQQRHHHHQQQQEVSLQCNEFVLSTGGEKLVLCAVFIPKTTETVDDEAKVRNTAS NLLRKLVRKVNKKKQESESGLRHVQGTMRALNYLEVDLTLSAAAQGAVVGTAHINDEL LLQLKAAWVKVPSGVGAATVPRGSATQPVDQPSPHLWALRLTFPTQPARSHVNVHVKS WPVAYDDLSHEQQQTFNSNLVKAHRGGGAATKVDSTMSSAFYIVRPLRLEPAEGEWTL FIFGDACTTRTRLAASVGETVLEHVVVDYLLPQSLSLAKGVIVDCFHGSLHADEAGEL KRRAEGEQRWRRKLREDPSIQQPCQLFVPFTSLAISADGSDPVTLRYHTLTRCTDDGA LLIGAATSNGKGAIFHYNINENTWSVLPIRAPQDGKDKKRREQGKRDNNGAEDADNSQ QASHFSTRCITSRHGHTTVCSSTEKCAYVYGGIGIGGTDPEAATAAVAESNRSDKSAA LSPKLGSTSSPKWPVGFMRDIWCINYAEGTATCLCSGENQTVSAPISRWRHAAAFHND SVIIIGGRTAPALQQEGAKETNEEKLLTEEETQKKQEDGQTFYQSHGGEEEDLLLRRD GLCSCCSLLVFDLNRRAWGTQTTSGATPAPRYGHASAVLGTCVYIFGGMTQRNHLLDD LHELDIATNTWRRVEYNGAVAPPPSHLAAMEAITVSNTPCLVLTVGAAGNGEEREAGD AAERGVDALRLYLFSHASQFWRRLRFNCAPLPAPVGVAMCDATPASMRQHGRRQQPLR HRSLPLNKAVLFRMLVVGGMKAGSPNKGSAYIADYGLERESTIRPVVPTLLNVARDVI AERRQDERREPPHSADLRNINLERSPIRKGIGQRTASSLCTTPRGARSGRKPYKSGPL KIAYRSSSAGPLTQDQQRGLIHRLYYNQCHAQEERKKGELQEQPRSGKMPISGRKYNP HPPMQRKAWGAPAAPRADCMRPRKPATAGPYRNHRHLDSSGDTQIKPQNDSRGEELTA TAPPTPVVAAGRRLSDPEN XP_847100.1 MLRRAVPRFFSRTRPALVNLHASELSTPDRSTVNSTNRKELKTP LCVELISKMSSQGYFPMSQFVKECLTHPQHGYYSTKKNVIGSEKADFITAAEIPFFAD IVSAWIMDVWQKMGTPRVLHLVELGPGRGTLMKNILKQIKYSNPHLLHFLQIHLVEVG AARTDEQRSALSEFQTAQKKIKWWMGLESIPLTLEPTVYIANEYFDALPVAQFRYTER GWVETCLEVDEDPAHEAHFRMVHAPSGSFSAYLIPNDVRANGKIGDCIEINAVGMQTM ELIMKKMVECQKSACLIVDYGKDEHMHSTLRGIRGHRFVDPLLSPGEVDLSSWVSFKQ LRWSMERLETARRHLKWFPVISQSEFLQWGGIDVRLAHVIKDEETKSAMKILQNYRRL MDKNEMGESYKVFALQTRNFPNVSPFFQEAELPSSL XP_847101.1 MRWIPAVPHVALTTVRSVSNAECVLAINGAGLGLSKRGCISSRS PMFSQRCFYTVGRDGAVKYRNVVENTLADTPSGYIQDPANYPPQMVTYNKVTPEAQAT LDEMERERELMNREELMYKGCTTKAIQEAKAIIFKGDATQKEIELACVTLQKSLEELV GLLGNTVNKGCSDGVVFLVPVSKSEEGERSSEEHVVNIDDCNHVRYVIALGKRRLGDF DGSERMCMDILSIDHGSCDALECLLEIYTGTAQPVKIRGLLDQLVRWKTEKNTEEQSM EGNAAKITTKLKNNQLELVEVALVLLSDIIVEAASLYYLEHKEGSTSRYFYEAILPIS RALGRQYTSLLLGSLFRCLDEQHLASLLRGATLHESEHTMGLVISFLKMLMACKLFQE VDDPSRFEFQILSKLHAALRLSGRKHESYKICERLIHLYRANSLKYRFSIGNNASEDN VDLLDDLESEYKTALFQYVEDRALDSLVVGKRLCIQAIEEYPAESAPWETLALIIHKE QPVSGLDDAIVAARKAFSLDPLNLRIILTLANFYRAQGRHTLCQAMIDRYKLLKYFIE INASEEDIKATLDDIEKLETDYPIEREPNHVVTQFADMKEHMERMEMSHTYSMPIDKE PRVFGAQPVTVPILDPSINVDQPERPRDM XP_847102.1 MLQQLSAHMCINVPRSSSAFAFILILLIGIMDPSQEGAEYLTAV FAKLGVPCRVANTVEKGKHILATADMPAQYSVFEEAPVVSWPSQGYLTLGVPFCLFCL RQRNNADIADEGEHNWNSCAGCGSLFCSESCLASAEMPHRVLCGVLQELRKRECTDGC CRPITKESLANCVAWVVGRIAGAIKQRQFSGKLLEENHRENANSISRQLFHVVTAPFN RFLDAPKNAEFADVDANSWYEEIDKLLREPCRAVLLQSAAAPPSIGADWALEIVDGLL RHDTLERFLGILTLNSQGLNGFVAVPGAAGDMDSPSLPITWVLKGGGIYSLQSAFNHS CVPNVAVLAEGGTHDITLRTLRAIKNGEELTITYIPVENTTRAERQMKLEGYFFTCRC PLCEEEE XP_847103.1 MIRLHRNLEGPFQCRCFRRSATTHRVGTGGNVEGVVASSYSSHA RDITASPTVPTLCGDRGHDGNEPEEPGGGKRQRQPEHGAAAASSATYKTTRKRTAEIK VRKRAPWGMQQLRDSWSVDAMTKGDRENMSERIHREYRYHPEEFRRNHIKVAALLTIP ALLIGAFSGYFYQTGRPLWEADPQYLLNMIRQMDASPRSTLHPYKLEETEVLPAHVKA YREKNWEKRLLLEGYPLATER XP_847104.1 MATVTEAPLKSPQDRLVERVCSSEEDDSGRYVIAKDDISPGEIV LLAAPYAVALNPNEAVSAMYVAANVEEWEDASMGELAGRRVGQRVKKSGNIPTDGGEY VALSPCVTFCSCCLRRIPTGTPFLNCETIEVLSHLIAVEHVESENAKWEKERESHQRT GSDAGDAADLGDRMHIKKEKKLQKPARSAKMRSKGYLSLKQRLLEAATAQRESAMYEA QKFGGALGDSSRNISWPERVVEKHVLEEDLLVRGACGCAGCGVAIYCSKSCWQSFNEL HRATGACRVLRDVYSPLMRIFLSRITKGNKGGSAEIFCIDSWSPKHWLRRTTDEKAWE MLQLLLAALLVGRCAKEGYANNMREEEKERQHPAENVDDYYSEEEDRVWGTMGDEESA SPRDCDHLQVSNTPTTGTKIEEDGGRDVSITVNSAIPREAEVIRLVRSRMGTAVEVLD SRVNECGKCPPSVGRIPMSLCVSIKDHIVLGIRADGSETHAIQCPQWPEAAALVTNLS VLRKESRSVFRRYYRRFCLSVLPWLNCSEGTDEPLSVSSSFFDRLCGAVQCNNFGLFD PKEDCIGVSVIPEASYFNHSCLPNLCRVMCDGGIAAFYALREIRKGEPLTICYVDVQE VSTAERRRTLLTSYRFFCQCKRCNGSSVVDDKTDADAAKLRLCGACAARGYLRPLPPT DAAILCRWTMDSIATGECTVCHNRAPWGGTS XP_847105.1 MKTVIRDPCIQNGCRRFVLMQHGLWAGAWDFNAIAAELYCQTGS QDGRSRRGGGGTVNQLGNIMPSDVVRTKSSCGGSLFARGNLTCFSSGANALIGSLRST SVCAHKLLQEFLPVFTEWLDTVENNSAEKLSFSCVGHSFGGIILREALYLLLVSDDAG EYSEGLFDSVKAVRDRLATAGVVLQHFVTIATPHCGAAECLPTLVYRAAWGIAKLFAP SISEILLNDEEALLSERLLDKGHIEALRMFHKRILFANTQKDVLVGFATSSLMFDGVG EDKVRIIGRPQSDLPCAPEFENDEAAYSRVIHLKRLRVNGDLSDQGADQVGVGSGEEK ARSQRHQQTLRSQGTGSSKVHRSPREIAAVLRSELDWDLIALRYNNPLPVAHIACIGW CQQLEPTPELVQRIAAEILNA XP_847106.1 MLGAVPPIVVLPSNPAYELRPVPVVSRSVGLYGPPQNETHGSDR GPGAGRSNVWRGDNVSVPPSNATSRTNEVALHEGQEKRTSESGPLEAFPNNHSHCLDR VTDKEVDVLLDVLSARYQKYVGLKQRSTERYLARVESHVDRFLREKYRSGTSLFAGGV ASFIKSKLPSPFHSPKPTFNSENGKDTHREFPTGSATDIHASSVSRSLPALEQCSYTP QCIPFNYLDVRPENMEAIAANLAVLQHCLAERVSQGSSGRKELLEPIIRDVWEKELDP LLREATELLRVAQCNVWPPLEYFLSRNVGRVSGERRLRYDCVVRGLRGDLHYLETIRK MEHLVIDGQSNGAGEESLDYVPVGGLALSRLYRLENEHRTVLEFWRSR XP_847107.1 MFRRLTQYTGVVGAVRTIVVFSQQHHILESNQKARSSPSNVWIE DWEAESYGLTPEPGAVSTQLLLDKPLELYNFDQLLSPPEVMEAPKHSSYSSRKVYGEK LQFELNDRAQKHGFHSKWWLTRTQAVKNALTFKNNARSSIILSKSSLRLYHSSQLVGG EALMTHPVSGGSRKLYSRKGDAYQVLCEHIRQNAFNSGLYFTRRQLEFFKLAVQPSQA PVMMDVSSGERFLIFNVEQLEDPEVALRTLDRSPVSIPTFLLSGEPMQHEGVKRLPRF KSNYWLSGRDAELYQWPIKESEKKRGVTLKNEGSASLQVELFNVEQLANPEEAFAKAG LFVQ XP_847108.1 MRCSARLAAVFTPAMPHLDAFLRRHTRPDHRLRHHPLWLSEEDL QHLGNISIDRTNHCGSSLCKADGPLPTVLVEAPRTVFLYNMDQLPPECEVRWQFPPVD VPSSVSSMTGYKLKSRELLEKARHEMGYESNWWGTKAAWAKKGVMVDMNLLPTPVPSL HVSQFLHISFLVNGDHVLKNSYISGKTGSLKSWTSHEGVPSAYEDFQRLVKEHMQAHS FASPLYFSESSLRNAGISIRPGVQPLDFSDVQHTNSYIGNRKLALQELTTTYQQYYHL SQLVFPAGYRIPRSVVEVERQNPGVPIHGMTGRLLSVPELHPEAIVNSSAPELAPFVM RGSNETGSNEPNNVSSVVDLESPCAAYGRNLWYLPQDVLEAGGVVDPNAMPVEAAVGS HSDKRRRKLYNVEQLVIPLEGYKAVGCIATMNRFVQSDKPPCAGTLAGDELG XP_847109.1 MTSKHVVGNVFAATAVLRCGRFVSGTRLGCSSGKIIIPTLPTSG VVERNLGSFSSTSALGRGSSEYYENKRMDPAVGQFLGGDASATLRRQQQLEHFRNATE DAAVRIWRDSKSHIRRQEKLVQDIGVEGAVRELLQPTQISFRQELRQRPLDRGILEEI YKGLHGRRIERQLKRGVSWEHWITKGDGTAPVFTASRKAQQTFAFGGNIKLVAKATHP KQFPVMAMGGGYESSNGVFAMGESQRLVPEVAFVGRTSSGKSSLVNAIVNAMVAPYGH LQGTTSSVNFYNIADKIVLVDCPGYGYYNPMETPHLDAENAVASMRAYLTSCSRCVDE KQAVAGTGRINIAERAAKDKAAKHGNRSRIRAPGVPRPIKRVFVCVSARGMQHSDLAY LDHLETLSLPFSVVLTKTDAAPIRFLARLADHTRCQLVHYKNCKELLLTSSLRLAGID KMQSLIGSMALGEDKLHGATTDFSAIV XP_847110.1 MSQSRVPIRYGAFIHLSCDEGYVTAGGLGNEGLFIRNKNELSDD AEPLPLFGFETSVFQILPPTVAKVAAEEGLSKGNRAPNSNPLSDGVSNIYSTQQVTFG QLFVLVHAVSRLHVAALPSEPSERDPDCARLVLAPPGEIEQTFCQFIFTPRYTTHGEG DVVCRGDEVLVQLSSIPIFLQTTVVSPTRPVKHETFGSASFPYEPTDGCGRGNSWSAG TRMALSAVLGAPEVNLSEAKALVFVVERYDIDRDKAEHQRILHRIPRPCVSAGVPVIF YHLEHKRVLATSVAMPPCQGGGKIGVSGVAPRGNEGGGERDHLLKTRQTHSVMGNVTV ICHASGRFAAAGSSKSQGSDSHSAGATSLDSDPCSTKGKAPDDRKRVNGVGGAALPLF AVDKGVADGTFSEEGALSGLQCSCTALWILENEQPTVGGAVNMNSGVYRLRQACSNLY VAVEGSAVDTILEGDGSPEGSVVSQRSCCNLTGDIVNEEGGDVVRPTTLSMIPPPRTP KDLQRTLFRLSPMFNTDCGYLIENDCLLLQNVATDMYLCTSEGSETLSLSWKPSNIDL IVVRRAATDVQDSVLFLWSQCETLSGYRDAFQVLTTEGTATHQQQTETDGEHGQGRYP SAEGSTSPTLMEGRMAIVSDYNHIPESFVRPSKTCPKGSNVGTGYASLLPVICACQRT LAELIIFCSISPERNVLRRDGIPIPNHQHMLVELCVHRLVIDVILAPFSKFGVRADRS VGKHAQCCGQWGCSSWLPPLPLSGGVVDVNDLLLKMHREIHIVCRLGFRLLRQMVRQA PELKAGFENYIPYFLAFDGYKLEVVDSLTRLFSENPAVRNSSLELVVNHYIAGLHLTR SGRYLQLLCSMCSVGTHGVTERQRLVCQKLLVENANALYSFVLDSGGEWAVKTDKDEP PIPCNILFSGQQQDGGEEEGTKLREYVQSELELLGALCLDGCPPLCREEVAKVFPSPV LLMALRNFSPKWPEVSDRSRVCDVVRSHLIRLAMHCYILPYIDDPAVQLREGAVLLGS SKLHLKVDEKTAFSGKPDSELTQAVKEGTLHVIRSNTHFVRSDTGRSILIRAALAAWL RFVSAHQVSATETACLVPLLLELLDSRDDEAHDGSSKIAEYTWTRLEVSEAGLLVVRA REMICQILLQILETATYRAANEINLLLHGILVTDHGASLAHQHDYCSLLRRADVSSTG DPFVTSPTERDALLWHKGKANDGNGNRCFGLNRRKGPSDYQSTVAGSAVTTQLSGSTK DVAAFLDYVKGICSCIVRPLRVDQLVPRLVDLAHHDGSQLAPYAMELLVRICTVRRSV ARLVLQVHPFPSSEVIQCFDNMYFAAVQVRSSYIRGSVEEAIDVALQGIDGLSTQTQN EVTGTTNEGRVDDGGTDEYYNDLTEDDEEIEEIGICEEQEVEASSSSAPEDQHEVGGE SKARRLWSKAAGAARIVVYRNAIIARRRSVGLSETSRVPLRVVVRAETVRHWQVHITM LEMYPFIGPSSPAFSKWMRFFYVFTLSQSNAESLKAYIDIFMGAFNLSSNCVVMGLHI VLSILATIKDPTPHLTDAFLRESARYIDGEIAALHPDGEFATKLGLHVFTKTTVGGIP RRRMLQLLRDYDAFRCLPSPGVTEKHGRGRFTACIVEMVCRICGTSMGAVALGRSALP VTHLLEITLSYGTSYTPLVEVPRPRALWESNSFHLLGAYLLALVSLYIAAGDAGGDGG RRQRQMEWMANRDWWSVVLLLSRQLKELTRLMQSRTETVLWRGRRILQRYRRLWLVNL PLALLTFMTECFNEAGFYRYRDVVGATFHEMCMSVAGFSEVLLASADAIRLQAREMVG YRRLVALLQVQTGNLVGHELLSGTMLTTRRNLRHGVICYYKKINEAEEEARGLHPDAL LLAETGPQAENDGIQGGLIATADVPTHTQNDADDSSPMGLLISGAATKCLDAERLRGA LRSLVNRDQLITMEDSTDLGEPAGIMNALLLSCRERSNVLDFVSTTLGCMRERSFGSI TLIGMLNIFSNALHTALREQERERLKHVSAENSSVITDIFTVRSFETDYAKENAGRLL QTTFSDLGATRAIASLCAVDDQVVAYSAVQLCVGLLEGGNEHAQKALLAYFQEHQERF FHNIRDMLHKAVDWVQCTNAEHQIVVLERGGVVPNVSNAHEFTRMLLTNALTTPPSLY SSLKVRVGRGTAVARRRLSAWDRAGGSLNQRFVCTLFRMLQLFCEGHNLSMQNYIRSQ YDNLHSVNAVHEVMNLITEIAAVVHPATVRMLQSAFALLTELCQGPCHENQEALLGYG VCVVISKLLSRLNLPDVTGTPSTGTGITNWGGGDSNSTDNKASVDCCTLNETDSEGNA FLRLQGGFLLSKDDAGNLRIALTQCLLSLIEGCRSRDVFHQLLEQIPVEVIERELTTV DPGAYDSILENEELASDPGVEALFNWLIFLKTVRPYAEADYLKRIDAMLQHTNKLCTR LGFIEIQRADGMLEKVLFRIPHVWRGLMRRNRKQMLAEINCSSRAAKLGDFMYHSDNV IFEVERSYAFQCWVERRTRWRLDNRSSGWRGKECDAGKVDTSVDAGKPRWTPKWKQCS DAPKYFWNHFIAPVLFCTHLGFYEYSSLLVAVVLNIALINGEGRHRNLEESQLWANII SGLCVLQLVLSLIAITVDTIVFFPVSLYVHYRQKQQRFSGRAKFNETLQGVLRGLSAK EISLLLVTRFSFQYRLLLVVMAVLSIFVSYYFAAAHLTLMVYTFPTLRTFVSAITHNG RQLLLTALLGVMGLYLFAIAGRIMFPEQFGSNGEVDENSGKKNDENGNCDTLLRCFTF ILWQGLRQGGGVGDVMDEVSWNSSTLVPRVSYDLIFFALVNVVFLNIMFGIIIDTFGE LRDDRRERENELRSTCFICGLDADTLEKGQVGGFRAHVEDAHNMWMYLYFIHYLRHKD PNEFTGQESYVHEKIQRNDLSFFPEEDCLALQECREGNGKRTGDDEADSDDELASSVV VGGSAPRGPKPESAHPDTGVKLVLKELAAVREAVSALAREATMEGERTRGLAQQLELI NRSSQSSSLRKFPGGGSAASVAETSTSKGTWLRHSEPEH XP_847111.1 MGDSISPSELLPGHAGEEHFLSLEEGTTPQVHRQEVTTDAMTNL QRRNVYVSGLPETYRASEFRDLCQSFGRVEASKLCVDSKCRPAKGYGFALFFEEEDAL KCIEGLNGRVLMGGRPLQARIADAAAAPAPLDPSIAHPPISRTRQGMKSHHRQLGASI SSGSGPLDSSIVLGRSMSFSSRDDLTGSQLGLATALIPGTGTPSAPTALHAPMQAPIH GAGVTQPPGAVGYSLAPFPVPGGYMPVGHSFIPQQTVGMPLFVTMPQTAPVSTTVAQQ VVVPTTAIVAPNGYTPQLCSSLVYPPVRME XP_847112.1 MGCLPPDDLGPFVSDHVDVNEAEPVPLSDEDLDLLVRYSLLPTD VVREAWAVFNHYRVVECLRAQQRHYAMTGHLPQKSTVSMSARPFPVAECGGKLFTTPV RAASGDCNAVKEEEKAPVSPRDCVTGENSECRKEEVEVAVLGAEGLRQFFEDTGAPIP TLEVTSFLRRMSQPPVEYLLYQRALEEKLTGAAAAAAPPREESPGVGQRKKSPQTDQS KHNKGQSVGRGQRPNNNTKSLNILVDDHIDLLEEQRGSGGETVTFPLFLYILSNAELG KNFTADVRESEINTLFRTLDVDGDGVVSVDDIQRLLEERCSLGDTLYEDRDIRYLRGM NLTELKAALRECDVDEDGAVRLNDFRSVLSS XP_847113.1 MGVPLLLTWLRRRFAACFLPSNSNVSADCLYIDVNGLVYQAATL ATSGGGDPADIEAAILRRLFDLLDDIVLRLVRPRSLVYLAVDGISPMGKLAQQRSRRR RRRRQDPHSHVRTTEWDSNSISVGTSFMSSLTDALHFYCASRAERINVERLREYKQRD VGKDVASAGFPANEKAAPSLITFVSDNVWRPGEGESKIADAIRRFRSQPSYDPNTAHV ICSSDTDVTVCSLILHEPNIHVLRYEHVSASTAKGGNNNWQTYAGDSWASTFFSIYAF REELRLRLRIEQTRSNTMSAEELEKLNKQFEAALHDVVFVLLLFGNDFLPSVGCRIEE GFLDSLLEMLATDFVTRGRSIVDPVTNTIQFDAARYALESLAEMRNERSSGLQVDNGA EGAADWGFVNEQFLQRKAAEEAEKAPWCYAYWTMLQWSLQNSAGVVEHWGCYYPYSTA PPLHLLQKYCGTLSYDALMELAEKRSRRKVGHHNRAHDGTNSGSGERGVRLRGPGPTD VLVQLLVLLPTRSIALLPTAVRKGYSEIEPIVVAPVEEIDFAAVQTWCATKRGLFTEE ERVRFDAYALAASDHLVAGDVHAKCVRGNEMLFVADWNAEELAAELRELEEIVQGGGG TRSDGSSSSKKQTDTLNSATGVFTSFFSARNAGKTSAAVAALLSAPKFSRNIGEGGTG NADTVTTSSGIPNINGTSNNTDRDVLQQSIDVDVLSAANFTFHTVSTSALKGESMVRR VGNITGDTFECGSYSVGPLSRNQKTMRVRLRWRVASRPPRDAAPFLPYLLSGLKPRQK SAEGDGTAGKEGVKRPRSEVAKSAGDDDAKKNVFDDFTQQTMLHDTLQEKKEALRRRL EALQGGNATLGT XP_847114.1 MPLYNITIHPLKLAAHFCKQGCSYVVVVNRKGVELATKPAMCTV ADEVAFSTPAELNQTRTFQLAFDSPKDRRMIQFAMYDVTNPKHSIRITGFEIPLAGMC SVLAGESICERKAVSFRISGRPGRLDVIFRMHPTTSPVPPLTLYAGPATGSGGSSSPV ETVRLPARTVEVLKKVGVLPKDVEEVEVDAVIAQEVVVRTSMLFPSEAELRERVMTLE REVAQQEYSVRYASKDSVSSGSAACVALRSELQYWKDTVERVNLQSAQETGIALLLKA GEEGEHDDGSRALIADVEGQLEEARRELKTIERSQNDEELNTKLIPLLGQVEQLEELL EHLRKEVHVPPKTAGSSEKADVVDQWEQLARELFDKELMVEQLMHTVLTLNQLQFEPY PAAIEMGAVGDTPCELQFVRDNKRQLKNLPQVPTPKQATDLAAASGGPTSDNLLNDLF GCPSPPKPVPQPTVQADEVTSQPHFERENGGLPGAPSVFSSGVAPIWGQKPVGAPPQD DAMQPAATANTLDTTDGKVGDSMAFEKKTTEPQIMVSAGLAAAAASPPDTQNGQQLSF PFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPLGSQQQ GPTQGQQQPFPFDSKQQGPTQGQQQPFPLGSQQQGPTQGQQQPFPFDSKQQGPTQGQQ QPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPLGSQQQGPTQGQQQPFPLGS QQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQ GQQQPFPLGSQQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQPFP FDSKQQGPTQGQQQPFPLGSQQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQG PTQGQQQPFPLGSQQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQ PFPLGSQQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPLGSQQQGPTQGQQQPFPLGSQ QQGPTQGQQQSFPFDSKQQGPTQGQQQPFPFDSKQQGPTQGQQQPFPFDSKQQGPTQG QQQPFPLGSQQQGPTQGQQQPFPLGSQQQGPTQGQQQPFPFDSKQQGVPQTPSVPGQQ QQYMAPPETPVPRYVRRPLASGVSPFAPFINIPLVARACPLDIYLDGQTPTRGTEITI QNNGGFDITIGGVELRQEDMTSTSPHAGQTVPIRRWPQQMHVPRGGGRATCIIALHPS YARGSSLILLVVVYVLNEGRYTPFAARFPV XP_847115.1 MEAVVAVDGKRPSPATLFIHENTNYNGREAGAACVPMRVNDSIT VQQLADEYCITSVVECDATGSVQPRAVALDSPLDVLHGGKYYIARRDVKHFAREPRVT FRGKVTVREFNATHGVIGREGETNANRGQAVAADPVGVAPSRKRPEREEDLFDSGTRT EIYAKEYEFVPFVGDLYNDGRNGMIHLKDVKKLCESVDLDEVEFRARHVQAEKILTHA KQLLLSYADGNGGRTSPEY XP_847116.1 MDILRRTTSVELTRLPVSAADTLSDVVFPWQSLCFRLAMVFNNE GGKYDKDGRALMVAVGESNFVLMWRKLFNALCIAPVVVDGSDTQHKLNAESGSLHTST SSSWCTYDPTRTGTLGKIAGKLFVVTAKCVSEGATLETTAPTSGEVEESGQGKETPIP RRIECVYDFHYTLPDFDIWGNDVTIGFLVMSHYSGNGNVDFVRSLDPTASRVKNLFKS PTVVLGTCHYRAVASRLLRCTSVARQISSTSVMICVNVANVAHVPVRVQEVSFDIYST QMGEGDNDIGGVQLTHEQRFGPCGTDLKAIKLLQRTVTVTPLLLHGRCLEETLQPGES ACFQFAIGVQPHLCHLLETHPRQEPHSRYANNTASVAVEAENAPYSMRNDVSPILPHS PVAPQTVWRPSPIGTVECVPCSELKQVLFSKFVSQAYVSYNPIVSAGGEITDQRVASP ACLTIRHSVPWSMLATRLAT XP_847117.1 MQVTRDNLPTVLPKFVQLLKTCDFYAFDEEMTGINVPELPESVT DTPQETYNAKRAAASRYNIIQVGICLFHKNSENGPSTPASYVARPFNFVIFPNHEDDS PSLGRGDDVVLNPSSLAFLRRHDMNFQSWVYRGMGYCDAAREVVLRQNHEEKYHPNLN DSTEQRRRHAQSMELLTQEERSWFDGAVAAAQSLCERARAAVERAQAQCAEKGSPGAI ELDAAMDLQRSGGREVVIQPQRNKNARECLQRHIAQHFQDISLTFRRSGSTYHGTFKV LFPGEQARILEKECALRERELVDMLGFRLVFKALVESSKPCVGHNCLADVLFLLASLD GELPAALPEFKHRVVQLFPKVFDTKYIASRQDLFPAGRFGSRYLAGYFDECGFCSANV RVSLPLGFEEYDPLKLSSVGRSAGGNGAPVHEAGYDALLTGTLLLNLLAEIGGGDVTT APAGLTNRLALFRSLFAINLNPDGEDEYLPQSGALTLQHEKHIKSHHIDSCFSAFSLQ HVALYPIDDMRTLAVLPLSWTKACNLDVEDVSGLSVQMTSRFPQYFQASPFTFPAGTK GAFRATPVSLARTVCKALRR XP_847118.1 MSVSAPTISAASREELVAYVKQLQQHLKEGEVKLMQIDKLEEEV ETLKQKLEEKNGAVAALTEQSKMLSEMLVADEETKRILLLQMEESCVGGKTRECDSSI TVSRASSALRAENNAQITPTGLKRAEANADKERTGMGEASLNPTALRSRNDEIAELEQ KVRELTEVNSFYTAIVEHHDEEERVRADEGCCSTAIKAKVEGSVNLERLHERIGNLES ERDNLRRLLRHNEREKENLNKELRDLREELSRLEIDVVAVEKWKVEECFAQCPAPVSL AIPGCGGSPVQGGDAVTSRTFAKVVTPIRYKGDGGKRIPKHLKRGPSVNAANEQYPLL TSPRQSRSLLQFDSLPEIHLLNPTRQEQMLLERVELYRRHVEEMSAHEVDRQRSFDEI EQARAELFTEMNFKLDEQRREIQRLNKEIALVTEGYSESRIQAKRSYHAEGTPKSAEV ESLCSSETIFVPKGRAAELEVVPVGNEKNARSDSKNSIENKGVPSTGQSETAKIKHSG TLENKLFAPFEEGCPLSGSEWTWYHMDMVEKYERVDIAYKEQETFAGILMWNQIAVLS IASELMTRARGAQSEVSSLQTRLAEVQALVSSLEHQKESLVEELGRLREAHVNEPEDG GEGSTSFDVLKTNQQVFTQAVPLTEAWGPLLCVCDAYSEIVEGQIAVLRTLSEGEDAT RIKGSEIKMNTASAAPPYRDVHLQGPETDANKPSGLVDTDGDEEFQMRLRGGNWLAFP PDSDVFPSDNTTKLHEATDDGTTGLGRGNAEALPKAPLATGPSQETYLSDNAHPPYRA KREIGCGVCAEAHGAPEGHVTQAPVTHQHLQSGPLSWGSFSNAITSEGCGDDGTGSRN TGQLKNALENLFCDANASQPATYQETREDNSCTFAAEFDPFA XP_847119.1 MELVGRVGKHRWTSIGNFALQPSHLRHRDEVLGACNLLKLLRHW WRRVSRRRQVQDENVRTGVKQRMIGWMPTARLCLSLHNTGFCSLREPKGFCFGGTYRT FHPQSVSHTGTLLRFRTEELLPWLIIYKQLFRRRPSLTAFSINFSRRLFVTTVFSVLV QCLTSISKPFVYNVRQCFSAFQIVSKMADHSVKEISERFVQDEGQDGDQCTTSPGERY STNPMAPLTVWREVEKGATRAEQGQCAHRNKEGTTCFATAGKGGVSSSWSKHSEEILM DTVVDANQPLETVVEAPNRVESTRAQGDSIHCTDAQSKCSVIREALLSGEPVTRAELE EIQKEIAMQERVVRALDEDNEKLHTEKKALLLKVRELTSALSGRGAHTPVSDGQPNSK QTLRSGDLTTRMETRQGTHHSTDELLMETNQQRMRVRELELELELLRRERGDLNIHRS SVDMKRLEELELENRNLRVDLKRRETEHEEVVKEMARKIAWYVNNQEFNDSQQQLLKE QQDTIRCLRAKLRGSGKAEDISKGTKAKEDTRVQHLTRRIIELEETLGQKHPNSIAQL IRSCQPPVQDTKIFKQLNHRIKELEDALVEKDRCAEAAVTRLRVEVDRMRMQYQEKIE KLEEQLKFKLLQAQSRRVHDLEKQLTEAKQSLRDKEQVNPPSSVVGANNLTSGRYPRG NGNEQCVTQGATKDTVLSEAPAASGPPKETSIALLRENGAPEPQLSQLEREPKAGIAL TSPSYDAFIIPPPMQVISTMQAQIASLTSQLEVAKRLLEESQQSLGEAHARLDERLRS ARREYQAQLQCIQQSYRDDIEHIKDSHKKELMSITELKQVCEGNSWICKDISRLPLNR SRIQAFLQSVSERLSYLEKRQAQKEKEALHRIEEIRRVADFEIVLTKQKAEMIVEEKN QQIQGFRLQLDHLLETLALL XP_847120.1 MYSRALPTYIYMLPQQRLRLILTRSVGVLAEISSVQPRKPPFDE QQQAFHSHVQHLPAKDIFSDLLVSASALGVGSREDWLRLKKVYLARLPELPCPTVVML LRQLVKNDACGLVECVSIQDRLAESHFLTTLKTEEQMEALSSIVIVGSRLSPKFIPYA QEALRVIISGAQVELLPELSYVVGCLVTSEDNGISAEAQSSILKELLERVRRVDYTLL TQEKLVYLFWSLSTFCSSIIPVRLVYIEVCEAIVANGIRTGGVESASPRNDLLLLCGL CQIVSVSGSGDRVVPIQSEGVINNVSWPENDCLGCLSPSFTVKLLAILDSVVLTFVHR VVKGEIQNRFIISTVLESLTYCGLTKLQKNAQILFHILLRMLMYNGIDFWLRPLGDAA AVTRAEAIGPLVNVYSVAADVAFLDRLMYRLNFCVFPPVSQCALEKATVKQVRIILEA LAYGNLTVNSRKFYLGECFKTVPGIVRNASRWELPRIINAVMKLSIKDNFIWCAIRER SRELGYQHGCRGGGYPQTDTKRHEVSGKCSEEDTNSSDVALQICEKALMLSESEGVPL ERLTHSLETLCTCKGMKSSTMTQCAAIVGSLSKLRIPSRGFKPYTAVSTPIFLRLEVL QYPDWGLSLILDGAAAGVSRTVLLNSLSAVGTRLLQNDLGRNRYESAVFYLIAAHELD ARYSVLHPHIVGTIRDQQRLQTLPFHILVRLVGALCAFGIDEKCVLERALHGVDSLCV HVVEREKWVEADWRAALVLVNAMWTSAAKAVWKSLIHVTRKLVIVMIKRIRDGEVGVY PLQAALAIATVIINDLDNSSMGYADGKVNDDIVTAFLNIVAPLFSEDGVSFSLPRAEA LLRSESGALFPPQCNSLTCSLVVSHALQRLIQMNNDSAKRFTPHAIGLWRFCLKHIEK APLTDRTLMNTVTFCVRFGGSSQTFDRFMASLVDSGDEVSMLDVCLIAEGLLKNGKRR PIATQFIAHFSQKLPLDNLPAAALCSLLLFFARDAEKVALGLSKVEMLLSLVWASLVL KMDRLAGSGELLKLVETVPANAANPLLRLICDTKAIDDTPTVELVRPLNIVLGWKTNT VSPATVLCFLQKVGASIELREKTDPAECLATEEMKRMIHCYSQ XP_847121.1 MMSSLLADTQFSGRAGLLSWINKMCSAQYPSVVSLRDGAAYCSV VDATANRVAENCAAFGVPELTVYQKRAKRSSQLLARVSWGATLATCANQDPSLDSIKE RNQCERNMQTLQIMLYECLPPEFSLEIDVPRLACGKLQDHVQLLKRMHIIVGKLLTLF SKQSLELRIRSSSNFGCVEGVRITRAMMLHRKASQKYINDHSPSRLKREDAGIFGDTR GHLSLSTNQKSAPRCGNDNVMPVTPGRYTVLSKGQKGPPNSRGTHSAEGTELRSSLFS TAGSDGCGSLESRCSSTTGMSKPFFTVPQRYCHLLGDLRQEVESYEALVQASQGRHQR GLLAGECGSNDDAQMVERSGISTFHGDAPVVCLESLGTLSGKRDKLWQTLSVIHGTAA HRATELGIGGNGKASAPPLLGDILSALTLQ XP_847122.1 MPWVRALLVLVTVFFVRLPSVMREERFHQYGNGESRKLHNVSDM HPIQAEMLPYVRDMIDHAFGSYIKYAFPKDELCPVSGTGKNTMGGYGWTLIDSLDTLA IAGFHKEFRRHAKWVEEHLTFDIDESVSVFETTIRALGGLLAAHFMYEEGIVPIIPSE HDYNGGFLRLAVDLADRLMPCFDTPTGIPYGAINLRRGVSGGESQLANTAGAGTLLME MTVLSRITGDEKYERAARRASEALFAARDSQTELMGNHIHTHTGIWRHGESSVGGNID SVIEYFIKSHSMSGDIGDWERFERTARAVNRYVRKGGMLLAASMYSGRRLQTSQESLS SFFPGNLVLGGHLHEAVESSWPIHTFFKHFGVLPEIFSLESGEPSWMSHDYVGRPEHI ESLYMLYRATRDPTYLLMGKELALAINLRMRTPYGFSSVSDVRYPHHDGVHRDSMESF MIAETLKYLYLLFDECNAVHMQGRMGGRASPHCVMDSGSGSSVSHVGWVFNTEAHLFP NSAEWWAPTSLETLDKEAEDPAAALRRQRLEVIDGLLASFEEVDGEVVGANDKGGAAL YQFHCANHALSDIGRLSKSVFR XP_847123.1 MKGAQFLKMPCVRVLLVLVRVFFVHLPSFAFGDAFPVNGARGGN SQGYNTDGMHPIQAEMLPYVRDMIDHAFGSYIKYAFPKDELCPVSGTGKNTMGGYGWT LIDSLDTLAIAGFHKEFRRHAKWVEEHLTFDIDESVSVFETTIRALGGLLAAHFMYEE GIVPIIPSEHDYNGGFLRLAVDLADRLMPCFDTPTGIPYGKVNLRRGVSGGESQLANT AGSGTLLVEMTVLSRITGDGKYERAARRASEALFAARDSQTELMGTYVSVSSGGFSSS ESSVGSGLDSAIEYFIKSHSMSGDIGDWERFERTARAVNRYVRKGGMLLAASMYSGRR LQTSQESLSSFFPGNLVLGGHLHEAVESSWPIHTFFKHFGVLPEIFSLESGEPSWRSH DYIGRPEHIESLYMLYRATRDPTYLLMGKELALAINLRMRTPYGFSSVSDVRYPHHDG VHRDSMESFMIAETLKYLYLLFDECNAVHVQGRMGGRASPHCVMDSGSGSSVSHVGWV FNTEAHLFPNSAEWWAPTSLETLDKEAEDPAAALRRQRLEVIDGLLASFEEVDGEVVG ANDKGGAALYQFHCANHALSDVGRLSKSVFR XP_847124.1 MKGAQFLKMPCVRVLLVLVRVFFVHLPSFAFGDAFPVNGARGGN SQGYNTDGMHPIQAEMLPYVRDMIDHAFGSYIKYAFPKDELCPVSGTGKNTMGGYGWT LIDSLDTLAIAGFHKEFRRHAKWVEEHLTFDIDESVSVFETTIRALGGLLAAHFMYEE GIVPIIPSEHDYNGGFLRLAVDLADRLMPCFDTPTGIPYGKVNLRSKSKEMWISRSNT AEVGTMLMEMTVLSRITGDEKYERAARRASEALFAARDSQTELMGTYVSVSSGGFSSS ESSVAGNIDSAIEYFIKSHSMSGDIGDWERFERTARAVNRYVRKGGMLLAASMYSGRR LQTSQESLSSFFPGNLVLGGHLHEAVESSWPIHTFFKHFGVLPEIFSLESGEPSWISH DYIGRPEHIESLYMLYRATRDPTYLLMGKELALAINLRMRTPYGFSSVSDVRYPHHDG VHRDSMESFMIAETLKYLYLLFDECNAVHMQGRMGGRASPHCVMDSGSGSSVSHVGWV FNTEAHLFPNSAEWWAPTSLETLDKEAEDPAAALRRQRLEVIDGLLASFEEVDGEVVG ANDKGGAALYQFHCANHALSDVGRLSKSVFR XP_847125.1 MKGAQFLKMPCVRVLLVLVRVFFVHLPSFAFGDAFPVNGARGGN SQGYNTDGMHPIQAEMLPYVRDMIDHAFGSYIKYAFPKDELCPVSGTGKNTMGGYGWT LIDSLDTLAIAGFHKEFRRHAKWVEEHLTFDIDESVSVFETTIRALGGLLAAHFMYEE GIVPIIPSEHDYNGGFLRLAVDLADRLMPCFDTPTGIPYGKVNLRSKSKEMWISRSNT AEVGTMLMEMTVLSRITGDEKYERAARRASEALFAARDSQTELMGTYVSVSSGGFSSS ESSVAGNIDSVIEYFIKSHSMSGDIGDWERFERTARAVNRYVRKGGMLLAASMYSGRR LQTSQESLSSFFPGNLVLGGHLHEAVESSWPIHTFFKHFGVLPEIFSLESGEPSWISH DYIGRPEHIESLYMLYRATRDPTYLLMGKELALAINLPALSCFFF XP_847126.1 MLSRSSQDPFTGELDSLAMVHEVMKFVPYNRRTLCTMRCVSKPY RRAVQHPKGPWSCHEDMMISRYGQCIIFNAMDDEDGRSSFRLCSVSPVGRKFETFSAN MLSRYHQRVADGLTRLVLHHSPVEVEFFEALAALTNLRALELVACRNIKSISGASHAA NLESLEVHLCPLEADGVVGLCLPRLRRLKLRSCYKLTFVNGIPPETAASLEELYLENC NVYDGSVNELFGNLTSKLRVLHLPSTHVDAALTAIPEEVRSAGLMSLHLRETPLRFET LCELAPSLQDKLEFLSLEGCTGLESFEPLGRLQQLRFLDVSGSFHGEGLHFLTCCTKL ELFRMGDSQIENIMFLSSLQFLRVLDAPKSVLSDPSLMFLEALPMLDTVVLTGCILIT NVNVLSTCPRIRRIFCARTSVTNEGVTLLSDCPELEELDLRMTAVTDVNFLADCSSLK SINVGSAVTSIDGLQLLLNKKGLEVIHDSFDMETDLTDM XP_847127.1 MGGNRRHMGSIPGKSDVGEPGADLPPAPPVDLCDDQLRMASTIK SFHYKLQQRMTTAGDRTCTQETEEERWFRLIAEESEQMKSYTAAMHGIATQYWDPQED KLRDGGQTKSCVTCPPITTRVIPSNDAICVTKRVRDTETVVVGSACERQRAACLRHND RIKYSLQCVTEYFLGICRVPPLIASGDTNRSTCSEVADVLSCSAVAGAVYYEKLPLLA SSSVKRWRRTYYDTHGRQAYPYEIDAEAYRVLLASERTVRDGNTAAPVCPLSSSGLVT TGTAGGTAANYMTMSRTEACRRINLDCPHPRLLGQQRVPPLLVLDIGSCYGPFGGRIV TNGVLQVPLAVTALDLSPYEGSGVIKADWLAIRFHDSDGATGRCSCDDEGCISGTTFD DHGHADCGVSLVRWCDEESGKYEGTGSARRILSLARGAFDVVFFCLLLSFLPHPRLRY RACLHAYLALKDGGLLVIVSTRTQGARRSLWVDEWIKCIEGIGFKRVHKNVMKQIVGL SFSKQPASEVSGDDSVLCGAEAWVERMMNRADALGGLRTIGDESPW XP_847128.1 MELHIFDFDGTIFNSPTPSARLKTTQGSRVYGQLMRPLQDNGLG WFQSLSTLSPPAVPEKPEEEKWYIAPVLARIRQLNERRRDDKLRGDPESVQVYVLTGR DEKYRRRITALLDNVGLLGIMNGVFLKPSETYGTVKYKLETMYTLLAKHQPTQAFYYE DRMEQGQKLLGGIRRLSDVVNKKSSGTGFVSTLMLHPDGSLCRLEPDPLNSDEANIEN CNAAKRWWNDVCRRIDPYPLSSVNPFWFTLVFLDPELPSCSDRMLTAKEEDLLVSELR YEGEAYRAANPTKARSTSDGPRGLRKRS XP_847129.1 MRSAQLPSKLSSAAGSCKHWVVSVPNSSESYRCFCSLIDRALQP GTCHPYSVRFRLEHLLPADWSLLLGWCRRLTSCGGSFTYTSPGDISEQTESVGAVDDS EGHPAVVNAAFMHVVERCHGDFVPNNMIYHELQRRYTVYAPASPPPPEGPEDFTSFLG ALQDSVCDGCLASLSEGDGNVGEDPLASQVPSLEATKHNQKVLGVGAAASMESEAHRQ QGELLFNFFPHRVVVSDGIPCHSTHFVVMVNLKPVVPWHLMVVPIRCVGTLSALSAAE MEDLGHMIHLTISVLSHVLVKPAVTGTGDTSSNGGSRVERGCSPHSIGSPVPASVVGG GGFSIAIQQGELAGQTVPHLHVHVIPFDPRGKLAGEPEDEEQQRRQPPRTGAQMRKET EFLRPHFVRLANEKNMRGICATCG XP_847130.1 MYPLSAISDAKKVLRLTHMRRLRAMARSDPSALKLESIRICEVL YERICVLRRKHLGVEGAMLPPLLLCAYLPLFYEVDLRPLLSRLWRERPNEHLRCVSVF VPTVLAQFKNVNSCTGTSVPVPLRSFPPQTVAERLMSAMLFVEVLGEGDLLRSFDVRG CYGLMELKEGILHRDIFRSGESVTCGVSPSSRRVIACDWWHSLFPHCPRPAGLIESGD HPRGGVQRGPLLSLTPGVLFDRTGGRLGKGGGYYDRFLQFQRCASGDPAEETTLAWGV AREMQLLSGEGDKLPVYRDSLPSHGMKDALMDAVVTSTEFVKCS XP_847131.1 MCAMEYSDYTVGLSHLWHMHHLAAVRLQSGKGITGLPYIDFRNP ETMDHPQLATDAIVRRLHRRIAADEQHIVKANYHLRDVICNAGDPTYRRRRNMNNLPT EEEVATCLNSAKHTAALERARLNRVKNIQRENYILLEHLKNTKCSVTSAKELNRWYNN EYKKRSRMTRHFKPREPFCGARVLTAECKFTPGTNPDYVTSQALVETPSLWMHRREPT VVEVLAKGPVLPSLQEAANQYIEGCCYTDAKTGVPHLLSRSKGGKRGKAEWKGISKLD VKLMNYANDLKLVRGGNLPIVRRGEENGITSLWRGELEKRSPTRCQGPPWRWLGATEK SNEEKAGGQHQSVNTIVPGRWDPHRSIMGRVRKLALLKDKRHQYAKDAKDGNARRENR RPPYGVPVIPPAAAGGFPFNGSTDAQIPYGMQRLQNRLSTEVPYAQNGHYAEGMPGPV AFPSNAYGESTKTVDSSGPHGFHDFSQLKNYRLGSQGTPASSISRNFGDADAEAPQYV SG XP_847132.1 MKYSGDTPVADDEAVDKLRGELVDLQKRFILLFKASGQSLEQIR STVESSLKSRANEPTQGGRLSDRDSMLQDLVRIVERTQRYVQLAGKPPLGSVSADALQ IEYQSWASNMPTASSHRSHGDHATTEQRVKSLQLERDDVKRKLQEAEENNAKLQRKLK AAVQKYNHLAKNTKEMGEPSHTTRARTLSPSAHNGNSSKSSEAVSKRFTGHSYRDSAG QRSCRQNSCNDVVGDSAFNSQHTSARGGSSEFLDAVRQDNASLRREVTKLKEELRQTQ RGAIARTKQGDEDVGSLLMKITGLKQELVLEERQRMDALLHLGEAKRENQRLVTQVEE LKQQLVHVQQSDGRVPDVISVESKETNIMNSLGKELGHTQQVAAMQVLQAKELTRVLE RMQDFLHSSFLKQRKLVTELEKAGKGWSTTPLQLEAAQTHEGCTPANKVKIEDANVSS PGVESSGGKSRTILKASDDSGSSDLNTFCDRVLTVDDVDPLTLGKNDGDTHLRSQNSE LVKENQQLHDALSKLSMMYRSTKEKPKSGERSVMEREALPPGVKQPDRSAEVASAKRV VKEHVRKDNAKAHRDAKKEKAQSSLREQVPPKQLPVQPHQLPKAPKPQAQRDLPPKSP VRRHDSHLQRSRTTTPRREAHAQYDQKQRHNLSSSLQAETGYTQSPSASQSRDDRSSS HSSTSTARFLRRLAEFRFPKEGAASVPDSGERNTDSGKDDVRNFSPPRLSYMKST XP_847133.1 MPLSAGSGHRNVVYFRCCVNSPSDKVSSCSDIFFSPPPPFPPFC CELLITPHSGCCVFPNFCVFFFVLLNVLSKRMETKRSFDSLGIHPTLVEALRRAGVRP TPFQARVLENTTTDLADIIVDARAVSGESSSGVSAAEGATNAQPTVSERNNLMMIFVA HCVLSSRDSARHIGLVLANNKENAGRLQKCVANLAARCGITVETMTNEGQKPQLPSAE GDERECSSNGGKQTDGEGEYRIIIATMRALHSWDKALFAPVVTFAVEDASRSFDPPLD DVLRLLTQPNKTGQGQSNRTGSPLPSPGAPAFVPNIFLMCLASPVSLKQSIRYRLNRK NRRYYHIQTPSESHPPSPSPSAGVGDATQDPASEQLHVPPSTTPPASTQVLYLLYIDE NDRMELLQRVLKMYAGRRTLLLTHHKEIRQLHQKVIKWDMYNTTGEAGGNGGGSIKAT EYVHCMQRTDTPERQESTVMAFIRESTSGNRGGAGNKKSVPPTLLIGWDTFTAIDLVD VDVVIQYYPPQKSITRQECVGFVQVLHTTTDPKVGGRCHRTTLITFLSTSDFTLAAFF MEQYGLRGHILNVTPSHHHFERCLRNSAGVLEYKLRKQCESLHDEGSESNGTAQCSIP FSRPRSRRRGNGNRNAGSNNGVGTNASTQANMNAAPSTSNSDTETRRPLGRHRG XP_847134.1 MFSKYGGRVSIAHSLPFFYLSYDHCVLPSFHPNAQLGAKEEEET LARISPLRMSAIYIYIYIYICLFACFFVPSSNFCFPHHAHVFFFPFSLFLAICPLTVL EAVRTSNEEKFSAGDKTKASTTQQYVSVYVFSRICVLTYCSTPIQLMHLFPVFLYSLC CIAVKFTLTMPAVMIFFFFSPLLSSSSSPFLSLVFAVASFLTAMRITILYRPHGHALT LPPLLLQMSPADTPVSP XP_847135.1 MFRISSCFHSFFLNAVRCTFIADVLYGCRYSPHIGMCHHPYVYV KTVLFCVSSRPEQRLEREYMRGLIEHLVACDAKHDTQTKLELMRFVDVVPAAASLGGS GHSEVLSAGKMGGESGMSSFFTGTLFPAVLGHVLLYDAVCVCMSGGLYDDAARDCVAQ VAARLGVSQHGREMIEKAAIREHQLAAMKRQLLLLPETPPLR XP_847136.1 MTTATVKPEIVIAVLSMCGLLTVVVIILLVCSWWSRRKAGAYAG SALYRGFKRRHQFELVCTPGPGAHAAPLEVQLRTSHVDPCDILVDVQYTPPGFLKECD RTAVSPARLLGADEHYGEQYLLYSHPFVFRGPGRYTVNAHTVSPSMRYVGAVHNFCFD VLPGDTPSGSGDTEMEASCQHANDFSRHDFSTQRDVATKCSITPIRHPLPPRIIPNEG EVTTFTPIIITTNNESTTADQIRYSVDGRYPSLLYTGPFTLSVPPFSNACNGSSVPVV VRAVAVSGSDTGLTSEVVTANFTVYRAGHSFFDPQVPAPVARVHAVNAKLYFDESRRP PNTNILYQLVYVSEARQKPKFSRRRGFVYTGEFVPLREDVAFIYAWTFVGDGNGDGTG VDLDEVNGGGRRHIRSSAAVYDCRRGTSWNREARDEGGLHPHNNDRTHRGDLSPPVIC VSCSEMEVFFEDPPAGGVVCYTLDRTEPAAPGGATAPEQAVRVGLGTGAQQLARFGPG PNLSTYVYKSNQPIHVTLLQTEQVFVTARTFIPVADGAAGDAVTCYRFSDRFFRGFAI Q XP_847137.1 MLKRVIARASLPKSLDVLPLFSFSKKGKGGIEKVAGDSQVKSLV SHPKCVSAHFCNPKDHSEALREDDDGGDVDKHDAVDVPFGFRDAPGNILLDPERRRLF AGIGEKPTVRDYRLAVTAAVREASKLKASALVMRSLPNVLYSVGDLFQPASALPAEDV AEKTVTYAVAAAYRYDRFLSKAKGGLPPPSRGRRNKAVAEGSHEQLNLIIDCGDKTSI ASGNIIGHCINDARNLGNLREDEGTPQFYCEWIHQELAPLGIKVQNVLHGEQLEKAGL NLIYNVGKGSKHTPYLVVFEYVGDKRSNKATALVGKGVTFDCGGLNIKPFGSMETMHM DMMGAATVMATMKAIAELQLPVNVVAAVGLAENAIGPSSYHPSCILTSRKGLSVEVLN TDAEGRLVLADTLTYLQKDAKLVKKADTIIDIATLTGAIVVGLGSRRAGLFGNDIALV QQLMASGRSSGEEVWPMPIGDEHQRAIKGNIADLVNVPSVREGGSCTAAAFLSNFVEK DVKWAHLDIAGSGMGTDKPRGFQPAGAPGFGVELLVDYFRQHVMASSKGVSTGKDGSH GDAEESTQEEGANAEVSEENTKRSKTSAGGKKLTKNAEPKEGKGKEAKPNVKGTKGGR KVGEKGTEGKGKAASPAEKKRVKKAPAAKQGRRAVKGNPKGKKRSGN XP_847138.1 MAYVSPARVKWATFVFWNFLDPTFRLHFRYYQRKLAVDRYLERL GVVANVGIGVTFGLMFYNLLIARFLLPRSVSSGHSMEENANEVLRVLKYDTTKELPAF LLMRAKREVISKLHVAADKAEVKRQREEVRRLLDSIDQQRLPK XP_847139.1 MLRNLQAPPDLEPPAPQLLAVRGCSLITSRWLQVLSYILAEVCS SATLLDDYVRATLNVSHHLLSAWHSIAVAAHRPREQRTSGSSSGWRSVSRDGTVPQTD RVRWEKEATAARHVELVRHLVVMALLQQFLSVPVSGSSDTVLRDLLLRHRRWRQRRAG KGRFTELGDAEGGLVGDDDNNCDGGGPRAPRGRDREESKNNKRPRPHSVDRFGCSSSW STLPSMGQRPDDDCSICDEERCDDNTCSSVDLRLFMRAAVAMNPTACALQLISRCIVC IQSDWSCDCKDGAPPTSPVGFRGPDAGDGGVAGNRYFVFVASRDVAACEHSGPCFGNR KFIFVHCERPSCEDVEPSRCSRHCPVVIPPPETAAGTVAPIALVASRRGGGPLLGDGG VWGHVMRSMRQLRLELGRGGLGVPARSGVVACLPSVARPACNAQGRPSFSREGAGRFL LPPLAPNRRCSTEHCMGCGPLPTDARSQRGIDSGEDGSVGESDEGRSSWFLMYSDRCS ATGAFFSGAVPSVGRLIAFPSPLTGSSSEPVPVVQPTSNLESVDVPLEQAIFLPPAAG HEVRHTGRPSTEVDEYSKSVMAGHVAFAEEVAARLEFWCARGSPRRCYYDPVVLNSAG DNGDGASGGTDVGARRGSNDTNGCVSEGENAGDWMEETMPLMLLFTQVGTVRFQLLGD TVYCNPRSRGLLITAISEATELVICGTRESRVHRGWHKRCDNGRSDGRAGNGVGRRRT RGESVSGVCGASDLTLSAGVSGGASLHVYYDVAVEVCVCWAQGEVLGDGEKDCQTALE GTSVGNAKGSPYGSRCGQLLSRREQNQRRSERLKTEFRLAHSDRLDALLVDGRNAKPI ASVTLVPSTAPGWPTQSATRAVQDTRFIVQSFSHYVAPLLNHLREDKVLMVDMDRTLV DNAIISRNRLAHGFLDNPLWRAQFHMGSSQEEGQADEREALERHCEASGMVSYFVSED PRCGMRTETVYVRRGVRNLLRRFAVEWGIPLLLVTKSSRSRAEAILRQLLDPEGELFP IDRGRVYTAEFLVNSVPHSGFSSQSMGSCPTSIDGVTDVGAMDAESWREYRRRLCDSR KSTTDVLRVWDQLFSSTEGVAPVRRARSVAVLDDMPQLWSESDWPRTVAVAPYTLDRV DPDEYFSAGGLIASLLLSVLYSKNSVVCPLRMRRFVAGGSGSYGCGTVYGEEATCDFR GGVQGYGPGGERDTVYGEVEVQHEDSTCHTCMSDDTDSLDYCGRSSPPPTPCFVTLEG GGGVSVAGEEGVGDIVVVEEGGLDLPEVSVWNTADVEDVAPL XP_847140.1 MQVSPRVPKVKAKTPYDLPERVRWYHILWEMRKGEFRRSYISMQ RRHWRIFLKYESHLIYERAVMGLLAATVIYALSNLNIVKAVVRLPTEDINELLKEDVW QGYRNEVNQRKEHANKMLEESAFIRDKNKPFSS XP_847141.1 MVRIKSRAHVSNSTQASSPLRSTPMDVSRFRHTQAVPARQDQQF LNLTPSAARWECSNLIACNDRFIAIPWLQLGSTAVLRHTDCGKLASNPPILLGQEGDI IDVAFNPFDSSKLFTASEDGTIMGWNIPEEGLTQNCSDNIVHLQGHSKKVGLLSFHPS AANVLASAGADMVVNVWDVQKGVAKEVVKCHAEQISSLDWNLDGSLLCTTSKDKKLNI VDPRSQKIVCSSGASESTKTQRALWARRADLVITIGVNTMQMRQAMVWDIRKLAAPAS TVDVDQSCAVSMPFFDEDTSLFYIGSRGEGGIRSFELRNSRLINCSSYSSSEIHRGLC MVPKWMLDTHKCEIARFYALTQKSMYNVQMLLPRKTADEELQTDVYPPTFANEPAITA DEYFSGVNKEPLVMSMQAVFDGKSLEATKAAETKRRGVPRPSEVESDDDDSSADEAVT SSRQKHADPNAVRGPAHSEGISSQTSSQLLALASLLGQQQAEVQRCREDLQKKESLVM ETIAKIKALASGSQA XP_847142.1 MQKLLSPRTARHARLFRLAGKLADSGSPGVPKSDGERLVWVNSH VRRDKDISLSQEEERIRELMMPLEVGENSFAANGQATHGNLFYFREYPMYPGEYVPAE HNTLSSLRDELRLDLTAQSLKEAWMRVSGGVYFQSVDEYYASVDGLDAEQIGEVLAAL FPELNCYEAQALVQRTLECISRPVSAASRQLSRTITAEAVGLDNAPGHYTNFLEWMGR LTETRAFKTEHALFEFSRRKFNRDDVRVMFENYRLMSKATLLADSADSYSHFYTVLKD FARKVAGEDSRHQIGVRIDEAEVDPETGIAVGRGCADGEKYHFTALLRENRDHNGIIT VMGKPLSLVLDNKAWLMEMVLMPFDEANLDYRDFDVHIVSEGHAMPSIANEIAAFALR MAVANALVKLIPLTRIPLKKSGLLSVDRRRERGQFPGYLDGKKVKRRFAKR XP_847143.1 MYFLLLAGIIWGITNPLLKRYSGGMSVDSSSFLEDLRFLASRPK YLAAQLANLSGSVFFFAGLPSADVAVGSIVANSLAFVITVLVSVLVLREGTLKPRTLV GCSLVVVGTSLCGIASSS XP_847144.1 MKRIVVPHRWSEMNRVEHPPLMMKQLFQGVCGGLRWLETKSLAQ YLAVRAIEEGYPSTPGVRKALHVKRPPLQKRRVVQRSTKSATAACSLPTTAAPAQLPL TSSGDALTVTGGLSLQVTKQKRLVSYDVLDCTLGSGYHAGAVLENGGPYTRVVALDCD HDAMHAARDLVEEFGGDRLRFYCCKMSEAKAMFGERSFDAIMIDGGVSDTQLEDPERG FLLDDEGGHRLDMRFGPQMGVGALEYLNTVSQHTLVSSLLAYGLLEYGQAMKMSRAIT RRKPFVDSREVLTCIEQAGDELPEGGWRSQGSRRKSPMSWKFLTSLRCIINNEMYELR QGIENALLMLRDDGRLVVFSRLPWEERLVRGTVDDHPHALLSYVEDISIDDVQIYGFT RHAKMWVITRAASSAYALKNTTTLTEEKFRESSVRWLTGMYAGQTHGFPANNFTFENF ERKEWVTLRRNGKPPPVDVGLDDK XP_847145.1 MADRLAIFIKQCGLQRCVILTGAGCSTESGVPDYRGPNGLYRRP NFVPLTRQVFLSGSEHRKRYWARSMFGYNTVSGASCNDTHMGLYELYRAGVVNRLLTQ NVDGLHHLAAHGGTGSKTVEAYAKYTSSNSGVLELHGNIHQVCCMQCGDVSPRRRLQQ RLCEANYQLCRDYEAEFSEVRPDGDYEVPDRVVQAMQLVCCEHCGGLLKPHVVLFGEN VPKECVREAYTAVRAASCLICLGTSLQVFSALRFVLAARESGVPIAIVTAGRTRADGL EELKVDTNSTAATMRGVVKQLLGFELGGTK XP_847146.1 MQQQQPVIVLNQKMERQTGRKAQLNNIEAARVVSGLISSTLGPC AMLKMILDPMGGTVLTNDGNCILREIDVVHPAAKHMLELARAQDEEVGDGTTSVIILT GEILSLSKPLLERGIHPLKIVKGFSLALTDALAAIEKIATSIDPNDIKQLEEVVRACL GTKYNSHEDDLMCKMAVEATLRVVVENKVTGQKEVDIKRYAKIEKIPGGSVSDSVVLD GVMFNKDHIHPKMRRYIEKPRILLLDCPLEYKKPETTINVEVGQATDWELLLKQEEDY VRGLCQTIISFKPDVVITEKGASDLAAHFLHKAQITCIRRLRKTDNNRIARATGATII SRVAELTEDHIGHAGLMEIKKIGDEYFTFITGCTSGKACSVVLRGASKDTINEMERNL HDAMCVARNIILEPRVVYGASALEMYVSSYLMNRSKSITGVQQAAYQAVAMALEVVPR ILTSNCGANVIRTVTELRARHAMPDGDYWGVDGTTGDIVDVRSLRVVEPAAVKVQALK TAIEAASMILRVDDVVSGTKLRHEKENKPAPAAAQGAADDEQGEAEAA XP_847147.1 MFRISFTSLAGGLMRKAGRSAKGMSVVDPSAVESLSEMDSADII KPSSSGSRKGRRSRRAGTEAGEEGKGESAFAESTGFVPVDNASMGIVGYKGKHSEAKV LELAERMQRRDITGEVPVASFAYEILKSHPSVRQMGLRERMSFLCDRWERLSDRQRKA YLDNPLKGLL XP_847148.1 MQDKAVQKALMEKRARLLLGVRMNYAPFSFMRRRRITAAALPVA ERSDPLSICYRCVHERPFVEPPPEGDNNSDGEAYETARDALLQLNMMVRRGIEPDALM YTSLIATMGRARLEWQAYKLFSRMLESGIRPLPETYVALHDATSPRRHHLRCDLQQKI EESLETFPEELAEAELQLQRERDRLCVQKFEEYMSGVLPPAPPPPPSEQEAISVRDKE REGDRSSSFGGGSNAAGLGKSDAKPIATMHIRNPTDAWSTARMMDEQQKLGNQRAQGS TAISLCEQLDKLHEEELRIFLSAQRQLRHGNKTDLVKRVLHCVSEKSIRDMLERRKHY FQSVAHILENDLNSLRRDGIMAENKADESVNNEGTDAIPSAANSGGSQPVIISYACGV DQTITAEEKESVAPDFLHTPWGILRKPVRHTSTGEKSRSIDRLQRLSLNVDELQLVRS KASTGDLDELPESLLRRYAYQFSLTWKRRHPLSLLEAVQWHSTTLLPQQLNEEGGASP TPALRRQQEDEGVHKTLENYEAFRIISQRTNNLQVVDNKEINLHLKAIRREALRKERR AEETLRREHSLLEAAALAASAKSFTPPEEDMVPSSRVLGVDAEHNNDNESSGRLLPTS SDWEKGESQEDEPKELPPWAIFNGEEEFNMTTGRFGDPRIGRYQELSDGRFKILPSRE AQSRWTVNRQLLPGALQDTLQRAELQQKTRVEAVEKVHQEKLKFKRYRKWDAFLRKAQ DKQKGKPQTDDKEGDGDGKKSAGPLPAKKRLSLLLRKGKDKAPIDDAVKAKYCKTL XP_847149.1 MSGRRGTVRSAEEWRDAFYNLDERHRQLQRKLNEQEREMKLLKV SQRRRSAPAAAALPGDANRSTPAVAQAQSGGVREVPSGVKSRLEDPVGLSTENSRGRN PERSVQFVGVPSAARREDAAAAAAEIANIYSGAPPPDPSMVWGMENSIQNYVTANALY HANEEMRQRLEDCVAVVKSLQHELAASRVLSKNTQSRLDEATQQIQQLVRERDIACQK LSVAQNATAELERQQKQTSVEEERIRFSLESQVTELRNRLVVGADSNELLSRDVRTLL AEVQEKTTTIQGLRSKLSLAETALASQRHTNENLLAEFRSLNSQLVEERKRLLAMTQE VHLANMRAGDIRDAEARLAAVVNDRTALEREHARLMESLVTVTEGALAMARAEVKQDL DEFRGSAAHWEEVAQLLYKDIAQRTQAHIHCREECEEAKRARDEAAVALRVANDELRS HRLKLDIVWPTHRADTDGLTHEQMLSIFGRYNVGARRGSQMQQQQQSEQHRLSPAEPT LPDGKPQLYDDNVALYGRDFVTALQGAPLDEQVRELQEANSALVAELQRLQVTNELQK ERLRSLESQLQRRQEAVETATAELKEREMAGQTFLQSQLDRVSFLEAQVRSLRGYSIS PTKSLCEVEGNETVFELFVGQILSTETPAGVELRNTFPPVFCSIDFLLHETVTTPVVA GLNAFLDTSVSFCVAMDALLLCYLQTRQLLLQLHCVRSQEELGETMVDNDETGKLCIG ERLYTTVAEGSVSLSALVADPKQLEADRPSIRGHVPLRDGFGHHIASVEFAVTVRTPF SEAFRALAAEGTRSWSLAKHEEQQQMVQDPVSTVLAASSSRSSPLVAVVQRDSPICEQ VEGGSEVRSVRAVSTPRHERRMVLVPTPHRSNATGPISSTSSSNTLLERSSSFTLVPR AAAIAAASAPNTVQALVVDVCKLKLPHDLYPVPRLSCYYALEPLGCNLYLHAPPTARY EYEYLQEGGFNGKRFPIGSLRELLAVTREPFILFFFDESAVSTTIDMASAEGKVERHR GQYWATARTEWRLALEKPEEFVSLELPLINKQGLPIKGATVRVRLLATCKGEGPQGEN PAQREPQSLLGVAARLPEHRTRGFEGAATAVEARVVGIATNLDVQGCECPEDSIDMEI MRHQLGSFIP XP_847150.1 MPPPPFSGSNVVDAKEATKLTVGPPEDLCVRSQGPASGAKLQHK PATLPGSTSGSRWGRPPQKTLSRPALTSKPGTTVKPRPRPLPLQSSEGTSTPAIATEL NKEKRAKEQGAPPAPRPVVGAGKKVTVAVAPTEKTEEANPPAPASSFLDDMPVEACLE YLTRPHRSWESATRIRELQKQRAENSSRAARRRSASNSLSSSMQFSASSSVGNMSAHS APPAFGRFGTGSTRAESRGGIVPRARFGALPGAKPEPEGLMVGGTSVVVSGGQLPLRL QPPLSFGECRSATAGSVGFRPHGERCGGGPQGFSFSMQ XP_847151.1 MVTTGLSPGALSLLQNAGINLKDFSRAQASYEKLCVELSKGASA AGEGDATAASSMEDLQRLCDAWGEYTVVAQAYFRKVSLAALEQLVSRPRGESSATERA EKLNELCAIGEKWHVGRETMFKLDHPEKLAAVVVEALDSSSCIMRLLALRLARIFAAD TEFLRCLFSKGGAGLIGMCFDHENTTELEYVLSLCRRLIELHKASAEPYHELPFGWVY RIAALLDLQDPVPNLLLKRRSQALCVAVMLLRQFPQMATTASLHITLLRYCIEKGAAT RDEVQSVLEVILDMFDSPETRQYLGYNDLDVLYAPFLYSTNMCSTEDLTLMNGAKEVL VMLMRNWVGVLWVCSETKGLRAVIDILHLPCDLDRKMVLLTLFNKLLYQLAPHRGITL VEPWRGFKEKQELFPATPNEPADSEGSFVLNTPLGRGHNSSESMISEHVFGDWCDEPD EFVPTTKAIGYHVLDPILGRVLLMLSHHGLPIALVSVIQDPNSSSVLTHAALSLLQDM LTLMDTVLPEKPVKRLHTALNKAVGRLALEGCLSLEGVLSSRIPQRGKTAKGIATTAT VAVGNVVGSGWVSALSTASVATYGLGALEQDDADFKRMLKDTNVEGGNSFLSWNYDLL LLLFQGPLKSIRRFRWVLNETLFFRNLIAFYLPVINTSTRTFLTLQPREATPQVCMLG MVMLDLFLSTREGTAALDSFGFSGAMAACLEEVIRGTPYVLNKQRVGKRVGQTLLRMV GRYSMTANGLVVLKDSNMFSTINRMFVELSGERVSAPYPDDTLQEVCLQLLRCMNLGA VPNYGVCEWIRQMFRSALCNESDEIRLCAVKQLRDALWRDFSTSMRWGIETLVQALHD DHSRVVECAFKLLLNVCFCSDEALDYLISLSPTVLMESDTIRENAKQLDLNTLLYCIV GRPSGFRFLQCYGWVEQELRRWEETESANHVTTVENMQSGRALGSGADFREGMSALFR HRHNRTYSDTGLTFQKPITATAGPDSQVTLPSGIFPSHFAAVLCKSNEGCTLFKHSNL WQRSVRRILEQPMLPDIVYECGTFGERDNIDDDDVDMDGTTADDDDANALIEEDPSFV GRWGTEAAKTSTAKQDEKHALRLSREGHLPSSHSVKLLSASRGYQAAGQTYTIECVGD VSELKDAILCVCHAASSDAGFSLMRSVPMLQNQLMALSRFADTASVSSICIVGKCLLA RSRRAAEKLTEISHYVVNESNAYMSADGVPYSVAFADNRPSNWTAIGRRDNPSRVSCS PPYFAHMRYDGEMVDGNSKNDEETTDSVGSTPSPVDSRDSSTFCDVIKGLSATSTRIL HHIFALSNPVRRDAARQMLSQTLKHQPHIFVNPQMRWLLRHCAQAFRMRYDDRKFIAS ILEEAPLTKPTPLVRKTVVFVPEPQRG XP_847152.1 MTDTTKGALKRSNTLFLSDAEQFVFDFGGSAGGRAVSPGSSHGS GGQPGEGDGEKGIEETDLANGRTGVVTPFSERERTAPVAPLDAEEVQRYFDKALKGGR RILLENVPLDEAIEKFQLGLRRYEEWLCNQANSRNRGDSSKSRGDPLNEGYRGKPGDV ARDAKDRKRPRL XP_847153.1 MGIKGLWGEVKPVCRDAHLEKFRGSRVGVDMYVWLHRAVSGSVD LSTEANVEMLRAHVESLSDPSVTVSAHPIPLNTKFLHWVMSQVELLLRYGVKPVLVFD GRELPMKREEEEERRRNRIKHLSEALDLLRRNSRPTPSERKDIAGLVERGMDITTELA HAVIMMLQERQLECIVAPYEADAQLAYLCQQRYVDAVITEDSDLLVYWCPRLIAKLDH KGSCQVIEVESVLHCPLFKGLSYNSFLVGCILSGCDYLPNLRHIGVKKAFGIMSEARS VPDVIRLLEENYGFPREQLRKYEAGLQRAIYCFLHHIVFDPVKRALVTRTPLPNGVAF KQSILGELVGDDLAQSMCCQCLYDPTTRSLYKGIYQYCLDNYRKTSRAGQSTLTAFNG FKELRSPRMTLRLASSNSQASATSVSNTPLTPPTKTFFSAPLEKVREAEGYCGPKVVR SKYFVNGQWKVDNWEESSSQGGDSNTKNGNGDDSQSPSGAVTDECDAAGGVRSDGGKC CVKSEPPTPPDRVSCATLSCKASSVCQVAGASDSATADADRAVDPSHGEFRGTELTCS DVCGLTEVPCSNVEGSASALLQECGADAPNDPVGADRASVQDVNEGAEMLCPFGYIQC RRKHSLFEQCFVGKGWTKKANNPNDQNNGSGAQVVPQGDTDGDGGTSPWRTRGSAKRR WSEIESVQPSQSPPLVSSSSSFLHSIASTTASPLTVREANDAKTGGSCGGSVSVPCRM QSNSPKTLTEQCANGLATEDASDEGVNADFSASTSQSSVAAAPKAAALFELLSYTRR XP_847154.1 MNRKKRSVAQRALQQCLRRLPVGHSVIYLNELSAHNQLSFLTQC FGQGSGSECGGDAGCLGDIHIGFEGSTFHPPPRPMQQQTLAEAGKESRPPRHVTTPLS GGVVSRLLLPKMTCGQLSAYRAPHLDLLPRPQLFLLLVPRRLFTSVGSCFSTEALLRR HLVDVRGVLHWREHVRTLPNADTTGHRRETESGSVMLLPTWGCSAAQARQAVAMAAIG DFRVFLLGRGRQRLLSSQALSVQELGRWCPAAFRSFQLDILSVEQTPVSSRSFTLSLL DEARRGFREWGRSVNKLVDGSDSLKEGKRDTGRGKCAISLSVAEAVLDVRCDAAVPWA SVADSYLSAKLFEALHDRRHWCALDAMIHASQYVVLPFLVGELSANGTYGANEKCCSS KLTRLLRWSDLQSMFRWRHFEPVFGPLSQLVRPEDVTAIGGGRDPFILPLHDTFVRLF LLPRMRIYYAERHSAARGTFGHLASHNKGDRAREPKVGMEGGGGEEEATVDILDCFNE LTREVGMNRACDSLSRGCGKGVDRLSMPKEERPGTSRAPSFTVMDLPALVKYLFYFPE CFEVSRSGMDVTFRLRGS XP_847155.1 MEVAFDVYASLLPVDRNSLRDQMFVYWLLLTTGGIVMYLLCASF STYLFFFEFAETYFPRTIAKGGLHDQIIHEIFIAVASVPFMAILMTPAAVLSHRGYSK LYYNVDDYGWPYLFFSAALFFVFTDFMVYWFHRGLHHPTLYRHLHKLHHTYKYTTPFS SHAFNPCDGFGQGVPYYAFIFIFPVHHYLFVLLFMAVNLWTVSIHDQVDFGGHIINTT GHHTIHHEKFSYDYGQYFTFWDRIGGTYKAAKQTHSLSLFGRGGRVSAQKQE XP_847156.1 MTSRVKFEVAEEGVIPECHPECTVWMLQRIQAELQCKDEEFTNK HIRLINDFLVGDEDLHALFCYYSSVLVDVVSEDGEPSSESRSQQEMIAELRIVDGLPA VSRRDTMKGMCLDVVWFARLDPEKLIVPESVDTCIAWGVCRGGNLLEGFLRQLQYSIA PTLLQNRWPDSLEKDVRSALHRFMAAVTENVNRLKGQTVLYVPSDLFSKVDLAEAHQN RELVQGFEAVVIHWTRQIKEVVGDKDAGLTGDGAGPLQEIAYWRSRARDLGNIRTQLN RSDVGGIVQVLKNAKSFYYLEPFLNLRADVEKGTDEAFDSLRFLNTLLEPCTRLSRAG PKEIPSLIPDVLIHAQLILLYSKSYKKDRFFRLLRLISNEIIFRCSQEIDVPAILNGD VERSMVALRHSVAAGNAWIQECHKMLAATRKRFKMERGEKLDVDDSFLNEIDGFVRHR CQNLCEICKAQLQFGFKSVFQDSQISTDRTGGSRHTRGVRNAAAVEKRQIAGGVAAYG APLEVKDLVKTKGKEKDVFRGQLPIFSGNKGPEIETQLLDIQRAFKAKIDTLRRLDYD ILDVKSTRWVDDFRALKSDIDNLSMMLQQIITAAFDSFTTTEMGAEYIEAFFLVAETE ELQLQLDRSKDRVFRMVHDRAMVVQGKLQRCFNKPPPIFYLHPPLAGHGMWAENNAHL LQMTTETLNHCYYLRESPESTETIQLVDRLDRSLRDTMRQKFCEWRANLPQNPGEYLE RFLISKRPNPRKHSLALYDVNFASELLLLFAEARYWHSLGELLPVHIMDIVSKEERLR IYRESVAQAVRARNSIALSLTREECRLFSVRMNFLESKYMPGMTRLLWNSQGIVEYFV RECRQHVERVQHIVNEFKHGSEYVDHHCKAIADTIVVIFEKKKVYSIESFVEKQEAHR AATLEKLQAIHRRLVDKLFELLSYFRDDYAEDDVVRTEWHRLISKVELKVEEALRTMV KRTLQVVERMLPIEPSEDRLEEKVFKLDVVVTVADDTRPHIEPVPSVRKLSHDVNGVC KAIIGIVKSIPRLEESLQARVAQDQTDDADAGKRQPFQYSSSNTDSLALRGSYFEYMT SEQDAIYSLRHVRESFDAIEEKVRDKLTQTWQLHQSDTTDSLWTTQKQVRRIKQGWKL EDYRIHMDHVAQRREGINKQETFSDVLFLQLDFTKMKESFRKQCQLVITHYHSLLYAD AKSEVDAIYKNFVLTIQALTKEPQSLDELGDQIKRCAAATEALPEISAKFGPIADTFA LITHDMYNFGSVRPEDVRRCEGLQEKFEVYSEQLVKAQQQLAKYKEQFRHDVETDIRA LSSNSYALRQKVAEEGPRSHTLSTEDAFAKLSSLGLRAKELRTMESRLQQGIEIFNLE KPQLDDLVAAEKELEILRKIWNLCDEWRRENSLWRTMYFIKLNSESMLDVCERIRKDT LRLRNELQMTDVWVNLKEEVELMKRLLPIVDDLRTPAIRPRHWEFLKVQLDATFNIDD ESFCLNDLMEARVETQAEFVVNLATSAREEMKIETDLERIRTFWEDSELMIEPYQGYH KISGVDDINNALAEHLAQLSSMKMSRFVDSFRPKVIQWEQTLSIATDTIEALLTVQTK WMYLENIFIGSDDIKRKLAAESKKFDGVHSQWLAIITRFINDPNVVRGTRRDGLIDQL QNMNNSLEFIQKSLEGFLEDRRRVFPRFYFLSNDDLLEILGHTKDPSKVQPHLRKCFE GLYQLSLKTVRQRTVADAMLSSDGETVAFTPAVQVGGLPVESWLRRVEVKMREMMQKR INATVDDLQKSVFETKKSISRDSLKAWAERNEGQSIITASCINWTLMTESAITEYGEL HSGGLGLQRRKASPLYKVYKRWKGMIKKYCQLVRQPQNRVQRSKLVALITIEVHSRDI LRQVLAARVHQDDDFEWSRQLRFYREEDESTDRPQEGHKICLVRQTSATVRYDYEYLG NSGRLVVTGLTDRAYMTLTTALQLHRGGLPQGPAGTGKTETVKDLGKAIGKYVMVFNC SDGLDYKSVGRMLSGIAQTGSWSCFDEFNRIEVEVLSVVAQQILSILTAVSERKDHFL FEGSDIPLNMNCGLFVTMNPGYAGRSELPDNLKALLRPISMMVPDFALICEITLLSEG FEESETLSKKVSILYELMEKQLSKQDHYDFSLRNIKAVLVQAGNLKREGFPGTESQLC LKAMNDMNLPKFVKDDVPLFVGMLNDLFPGVEPGDSGLGALQEAAEKELDAEGLEVNA HIVVKTLQLWDTLRTRHGVMVVGQTGSGKTVTWRNLSGALRLLKEQNLEPGLYEPVRV SLLNPKSVTMDELYGSYNQATREWKDGILSDLMRQICRDITDTAYKWMLFDGPVDTLW IESMNTVLDDNKMLTLNSGERITLNSTVRMMFEVQDLSQASPATVSRCGMVYFNVEDL GWMPFFKTWLKSRWKFEITMGAPRPDDTISELQEYVKNTVTRVLEYRAHECVELVPTT TLNVVRSFTRMLDALASVDAEPFVPEAAHYATSHAGENYLPQLRILATFCLMWSAGGS LTTESRQKLDAFIRELDSSFPSTETIFEYFPDLGGLQWKNWNEHVDLQKTYMPATGTP YHKLIVPTVDTVRYEYIVSQLVRSQVQLVLVGTTGTGKSLIARQVLANLSNDVYVTTQ LNFSAQTTAGNVQDIIEGRMEHKSKKVCCPPGGRRMICLVEDLNMPAKEKFGAQPPLE LLRQWLDNGYWYDRNTRGRRTVNDLQLLCCMTYGRPDITPRLMSKLNVFNITFPSESV ITKIFTSILMYRLEPYPELHKLVNSVVKATLQTYQKVSADLLPTPSKSHYLFNLRDLS KVFQGIYGCHMEYLQCKEHMVALWAHECFRVFSDRMNDPNDKAWFKNLICEKLADIFQ TKWNNIIRARSRDSRNQAVDEKENPLFVDFWDGEYDEMAKYRLVPSLEALRDKVEEYL DAYNSEPGARQMNLVFFTDALEHLCRIHRIVRQPRGNALLVGLGGSGRYSLTRLATYL AGYSIFSIETHKKYDLDRFHEDLRSLYKGCGLKGQQRVFYFSDNQIMQPAFLEDLNNM LSTGEVPNLFPKDELQNIRDTVCKQAIASGYRDTPDEMYNFFIDRARTNLHLVVAMSP AHKLFRARLRQFPALVSCTSIDWFVEWPSEALREVGLRYLQETRENKEDDEHLGIISD FFVYMHYTTSTLSREMLEQVHRYNYVTPSSYLDMVRGFRRMLTQKRDEIIEQRDKLAN GMAKLEETKLAVSKMTEELKVQDAKLQEKTEEVNRATESIKVQQQNAEEQQSLLASEK VKIEQTKRSALADQAEAQADLDRAMPTLLEAQNALDKLEKNDINEIKSYKTPAAMIRT VMYAVQTTLRRKLEWDEAKKSLSEPKFIDMLKHYHENNDMTDQRLLDKIEKYVKRPDF TPAAASAVSKAAGGLCQWVIAIHKYGNIYKEVHPKIVKNENAQQKVRAQEEMLRQKEE KLQRIMSEVKQLELALQQNVDEKMRLMQEAKETQMKLDRARIIVDGLEGEQDRWIESI ARYEAALGTLVGDALLVCGFLCYAGAFTADYRQKLWLNWIKEIKRLQIAISKNFDFVE FLADPTEVRDWQQAGLPGDDFSKENGAVVMRGTRWPLMIDPQLQAIKWIKRMEKDKGL KVIDQKQPDFHKTVEYAVQFGCPLLLQDILEEIDPLLDSVLSKAIVRKGAKPILKIGD NYVEYNDNFKLYITTRLPNPHYTPEICSKVCLLNFAVRETGLEEQLLKIVVEKEKPEL EQDNEQLILDTAEARKETKRLEDEILNLLSTSQVSLLENKKLVDTLQSARVIAANIKQ QLKEAEITAEKIHSAREQYRECARRASILFFALADLGSIDAMYQFALDSYIVLFQGSI QRSAQKIATHTLEERVRTLNDWHTSAVYANTCRGLFEKHKLLFTFHMTIRILQAEGLV NIEEYVFLMRGGQVLDKQGRLPNPAPSWLSERAWSHILELDKLTNFHGVAASFEQAQE SWKHWFLQENPEDAELPDDWQTRTADNYIQRMIFVRCLRPDRVIFMVYEFIEKQLGPQ FVDPPPFNLKDTFEESTNVVPLVFVLSPGVDPTTQLAALAQREGRPLKTLALGQGQGE NAKRAVQECSQVGGWVFLANCHLMVSWLVELEKIIEDLVEQRPHKEFRLWLSSVPTTQ FPIGILQRAIKMTTEPPTGIKANMLRLYNQFSEEQFAEHTGSNPQIYCSLLFALCFFH SILLERRKFGNLGYNVVYDFTTSDFEVSENIIALYIGNMATDRVEDIPFVTIRYLIAE ASYGGRVTDDWDRRVINTYISQFMCPAILTEERYPLSAAEEYYIPSGISTLQAYKDEC SLLPITDPPEAFGQHTNADIASRVAESTMLLDNLISVNKTLARGGGSSGGASKGMSEE ARCLEILASLEEPSKTAIPNPIDYDAVYESVKEDTNNALNTCLLQEIQRYNVLLRKII VQKRELRRAVKGEVLMTDELEAVFNALLLSRVPPPWTSAYPSMKPLASWAVDLVERIE QMKQWGQRVPNVFWLSGFTYPTGFLKGLQQQQARHDRISIDQYTWEFVVLPSEERTIV NRAKKGAYVRGIFLEGAGWNEEMNTLCEPRPLELIVPMPIIHFKPKIRDTKPRPPTIY ECPLYMYPLRTGTRERPSFVVAVDLESGEAVPEHYTKRGTALLLSTDE XP_847157.1 MGWGSSEERLSSTSSELRGVDMFVYTLPSGVLGRFIGRALRFRV DRFNFSTGPSADGNDKRRSLKSVEVEANSLSPLCEVDKFQFERLPLTVSEIVRLERRT RDYVLDVTRYPRILYTVEEETPTEVKGTLELRGERKAITCTKSVEGPELIVRCPIDTR EFNIPSYSLMYGVFGVSPHVQVETRIPLRAVQLQG XP_847158.1 MESLVRDTDLTVSAHTCEESNTHGLSKLLLTHLKLDNIILESVS CVGRGHNGDARSQWWSLSAGHLGKWCHNQHGTLHGGLCLAILCALSKAHVAMYIKLHC ANGSVTTGRNEGITTGGSSTPSVLGVHIQYLLPVVTTHSLKVLTKVKCEEDAVVRVDA VLFRAVDVEKQQHGGHLLGGCSPLCKATINMKRQQKRICSL XP_847159.1 MKGGVGRSTAAPQMEFVRAVIVGSGSSSSTPMLSCALSGSPCPN CEEALRCSGSKNHRLNPSFLIQLYHPTDRTVHNILIDCGKTFRESALKVFPSFLVRDF SAVLLTHDHADASYGIDDLREFSRKDVPIGVYADETTLAAMRGVYPYLFAEDMRSRGA GEPAKKEVKKNKFVATINWELFTRVERMDVVFSPRPKGVTTGNEGDIADGCETGAPAV WSFVPVGVPHGENYRANAFLVPMHDKSESPRLLLYVSDISELEDRFFTDLARSKVLLG VDPAVPIEVLVLDMLSRRPYVAHLHVEASIAAAKRINAAKTYYVGMSHRINYDEMMQE LQQLGLGATMEMGYDGCVVSVGDSGLQHLSGRL XP_847160.1 MNLYVVSIEYTLQRPNAALGDAVMSPMFRRVSSRCPILHIFGYT TTEGNDRAAGEKSYVPREAAISESKLDSIQRYSVCAHIHGVYPYFFVQRSNSRISAMQ FGTQLESVAMEALSSGHNKSAAAPRPHDRQQLIHHVDVVWLLPFYGYHGKKQPFFKVY VVDPAMVSRFLHLLYCTKHMGGRQWLVYEAHSPFHFQFMADYGAKGMGAFFIPSCTAR SKLPDSVQPEQLNIAAVRPEGEPARLSCAQIEVDVSASSLRFRDGAVQPGENLLTARR NVRQYFADLGVDDAVLRGCTSGLLARRFTVSDQQRCDLGAVLLRKRFNESLKAKFTDP VVEEQEKVDSPVGVTQQLMEDMQGIVGEVLLPDSSPGCFSAPQHSLHNDQGEVGTSQD PLVPPGVTSEQGGCDERERPLPRCRSSDTPLPGNDYVEGMSPRGEDEPSVLFPSTLSS DGPGSSSNTNACGCSVESSKGGNEVALDARLRNDCGDSDRNWRESLSVGDTVAIASEE SHDTTTAKSCLFAKIVCLGTSSVRLRWYVALSETHLADCQDELEKRGCWLGKGTKLGG CTPVAQGYQEELLLGDVEDINSISVLRQGPPIVVHHSYHSFIHDRNCGKIIGDGDCFI SIALLCRYNYHVRERRLSAIEPRGDDNYVAMPALLLQSTPCASSDDESSLLAEVADED EELFSSLSLSPPPDGCELCTFPHQRAPSRPSGSDHRVSGNNFKLPEGLRRSVSKTMQC AVQSQLSLLQSFSVCCSLSPRIREPVSPSVVAISSGVTRPSCALSSSSVECVDPQREA LLVEFKREGSCVRCSNLIGDIPRNFIWRFDPIQRRVVISGATWPRVVALAPKLPPPTK CVSSLLKRPSVQLLTRIAHSQDLTPPEGAHTVAAKQEWCPHGSSSGSRSQRHSTRLIC ALRVMLVEVFLHRRDGVQHVAQERLLAVGLGRTTSIDERVKVRLFCVTGLSQKVPVGQ PRVSPFSGAVEVVQLPSEEHLLRHVMWEIRAYDPDVIISWEVGRSGIGLLALRYKIAL RRSLARDLSRLTPDSALFNQRSENIHLNPEEKPSNSSDENISEVECVSPSSDSSHSSC SESTLFSTGSGATAATTRGSVAHVRGGSTNAHPNLGDLTKQLSRRFGGSPQVAGRIIV DLSKYLRKYLQLPSTTLQMVYKKLFNSSLPFFTDVALTRMYCAGEAGMQRVFMSILLT RVVAPHRIAQHLHFFTRTAEFARMFGILFSEVLTRGSQYRVEATLHRMAKPMGFALLS PPLEVVHRQPRLQSMPLIMQPRSGFYKDDPVVVLDFRSLYPSIVIAYNICYTTCLGSV GKGVYGRLGVLKSYKRDDMLLSSLLSGLADTKNDHNGKNDDNENSNTLHGVTFTPNGC MFLTPENREGVLPQMLRVLLDTRVDLQAALKHVAHPFGDIYMQQILQEQQMAIKMLAN TTYGYTAASFTGRMPCADVADAIVMLGRQTLERAMWLINSHPVWKAEVVYGDTDSLFV RLPGRTKEEAFAVGEEMAKEVTAVNPAPVRLQFEKVLFPCLLLVKKRYVGYAYFKPEQ QEPQFLAKGIETVRRDQCPATAHLAAKMIHLLFSGAGTETLRQCFYEEVSKLQRGDCS PVDCIFRKAVKFGRYKPNGRLPAAARLAALLVEKDAMRTPYWGERIPFVVIRRPESTR LSDRVVHPQQLLSDEDHLMLDSEYYITRHIVPTLDRMFYLVGVSCGRWYAEMPRGRTY QNYFELGLSIAATKAAKRARGVATPPTLCADVINVNISNANHGNERFKRKGRGKGKGG SGLLGNQSARGAKNRTLDSYYQQTLCAVCLTNSTATSPTDPPVCKGCLENLSTTAQRV TSRRYRVERHLQLMKMACLRCIGTCGEVGGPGFLSSRKRDMEDMNFCIPHSLAAAPDR GVHDAGVVVRRWGKRQQKLQGEEDIRCVSVDCHLSFEKKRLSSLYLQLLVLEDYVTTR XP_847161.1 MRRLFVTTASTLCRSLHCTDTRTGGAGKESTPAEVQCEMTLQCS DESGCSPFLSSLLSPVETVPLHDVTRTYSTMDVVDPPARYNPMVPNVEPSSSSAGHME QMLENEEEEGPVACAHKNGKLWGVFEGSEDNKPPAWFYRLCKDLFYRTNSEDNMDDAA LVSDIEPSHYISSTENLHIDGCDTTQRSAEAGTDVRDGVDPYVWIPFNLLDEADYHVG PYRFPSTATYTHEQRTLLCLGDTRREYVHFCDSYAFPGRAQIPTSVGTCPSKLYVNPK QQQPVVYIQLSNDIPPAMWLPVKGTAASVRRVLAEFASMAALHRDWHHDEFMERHATA VRMLELQRLPAGEGDILRYMAYDARNAQFAFAPIREFPNQQEFFLGEHDDPEKLMEHV DLCPLLFAIPHMRTVVDLHAEHMIPTIAGPGVATSLYRCIYSKALLFVQVHLSSEVKL PPQDPEAFKFMWKDSQVLPKMRIPVFVRVVWPTNERMSGGGGLLRRFNRLFGTEFASD IPVDAAMALLYVMQWSGHIKDFLGVRGMRQRLADLLLASQQPEPTKLYPGTREIPNPE YTVAERLGMHVQYLAQLHDPDISLTIQRLLPVASAPVRMGCAKAALIAGDRELFRHIV SSEPPGRMQTYMTKLVRKRKTRDLVDAEPRLLEDQYEFAAPLWTKRGKRLDSNTLEGV VEAQSRLSG XP_847162.1 MSLKRPRDEGGHDDLNTGREENAQRNFDSMEEQDQHTPHLSLRE ARFSGRSMQEGFHLQLGEYEEPDEAKEVEELMNGHEDFGDLPKERQKALLDAVRVLVR KAAGITNKNQLEHLRVKASRLVGFKDTARKSELTAAYRQLVRAGEVQESAAVEELLLR KRGKSHSGVLVVTVFMGPGQFSCPKDCHYCPNQPGIARSYLLKEPGVLRGYRNGWDPI RQFYDRASALENNGHVVDKIELIILGGTFSFYPKKYVEEFITGNFYAANTYYDSTPLR PIGTLEQEITANEDARCRIIGVTIETRPDYINLSELRRFRSLGVTRVQVGIQHLDDDI LTIVNRDCPTSKTIVAIKRLLDAGFKVDAHWMPDLPGSSYSKDHEMFEYLFSEDNENF QVDQWKVYPTATVPYTKIEDWYRTGKYKPYAELDGGSWMVKLLVYIMENCPRRIRLNR IIRDIPTTYIMGGERRCNLRQVIEAEMKAKGIRCKDIRERECKGAPVDRNQTKVFVNE FRASGGTEFYLSVENMEQTILYGHLRLRLRDDSGESNSLVKALEGCALIRELHTYGKL IAVSRPNSGNEAQHMGVGTQLMREAERIAIERGFMKIAVIAGVGVRRYYAKLGYKLED TYMVKQLTADKGSTITEEQVEQDDDNDNGGFISMLKGFMFGK XP_847163.1 MLPTRHINFAFISPLLGVLYLYTTNISIRIGQYSTGRTLRASQC FGYTRYSSVTQKKKKRSLGSLEVMWRFGASSTGLKAGSPLVGSASRRCGSTATPSRRR SGFFKMGDMYKPGRYTSKDLPKGPSGSNQPWDPVYANYAFQLVTGPLKRKQNTTPDDH LTVDELDKWMDAKGAAKVLGIKEEELPTLTAPAVEEYWAKAYGQRNNAHQQETVIAAE VLLEYIDSTVHRKKSRQYYRRYMDNARQAIDHETEMRRSEHRQKFIHLFGVAMFTGSA IVVIIAFFRGYFTRQDVEKIGTDAAAYLNMVFSQPPNLEPPPDYSTRYRDTPPAMELA KQQGVYGVVDPARLSNLSKDVKQYRADEEMEMLRMLNDENDKARQRRKGDQVRESRVV VYRAGDFDDAGELKDLAKEKEAVKPLSAFSQQSFRDFAALMASQFGGGSRFQRITQDS VRRAEEMERMQKRMNEIEE XP_847164.1 MRKLRNLFLLFLVTAPRFIQHESGLTFLFGSAQMSRTIKKEKDT KPTHTSVALASSCATFATKSLLHPIDTTKCRIQHSVGKTLRSLHREYRGKWGPRYLYG GLPVKLLFSVPYQSLYMTTYAAASGALLPDGTASPHSYWVFLGGTIGAACAAELAGCV LRVPMETMKMRLQAGVVLNTAAAFRQMRMHGLHGFRRMVLSQTLLHDIPYSATQWIVY ESLRPWTTQLLKNQKSDEQSASGVGPMSRWYSYAQTFLRTVLSGGFSALIASTVTVPL DVMRTRTVVAAAADPNITISAVARDAYRRGGARAFVRGSATRVLWVTSNMAVYFPLFE LFTTCM XP_847165.1 MEGHGIDVKSAWVEFTAELCDELNDARCLGVAAATPEEASGICA LVDEACASLVSAKDETEFLFPLNSLMHTTRVCFTNENNIKKLEECTAVYGRVPMVMLL EMKENRSFVLDFTPGTSVADVEEFLSGVARGTVAKALQGAAPPSDDHFQPVEGITLRH GLCAVTSTIERLKHQQPGGALCIFWSHRCSMCPHMMLLLDASVGALRRELQRHGTEQT FTYMCCDVDENDLPEEVFPRAQEGEEVTVPQIVAFNSAGERFVYDGRRTAPSIMSFAW EHCVPKEVRDATDIKRSVMKAVESVCIEELLNSDVSARVSGDVATAEVGNGLSHGGEM EEKLKDRKRPRCVGSE XP_847166.1 MRKTVVRLKTFNPPQQLQGGNSSSVQLTRTNANIVTGNDNDKSR ASSRAVTSVPERTLQSAAAPALQRVKRGREELPQNNSASGLSGVGAVIMPSSQFSEVG PWSQFMREGAADLTAHPHAVPTSTEGDAPPRDFCDGDEDDMPPVSQEELQREAEEDMR LSTDVILTPGTPNGNTCEPFSSVSAVPTCTLTVPSLNSEVSQISTGLDYGNVYGHVPF GTPVINDNVPSGTSASALPRPSVDDPDFFYDLPVSVKDFYATRRGIKKLYNWQHEVLM RDDIRAGGSLVYSLPTSGGKTLVAEISLLRCLINRGQSCLFVLPFVSLAEEKTDAMIP LGDVLGFTVDGHYSTRGRFPLPVSKAVFVCTIEKANSLVNHMLEENTIGRIGTIVVDE LHMLGETSRGATLELLLTKLLCLRHKVQIIGMSATIPNLPDIARWLRASCYIGNYRPV PLRQYAVVGGEVLEDGREVCRSLVAAGHTSESSQLVFLTTEVKGASVLVFCASRQQTV STARLIARSRKEEVDKEGGVRYNASSLALVADLRALDSEESSLLSQLVPYGVAFHHGG LVAEERTLIETAFRRRSIGVLCCTSTLAAGVNLPARRVIFKTPFVAVDFLTKSRYLQM CGRAGRAGLDEFGESFLFLSRKDRNRGCELMQQEVEACVSQLLEEKSTVERALLEFVA IGLIRSLSDARKWGENILFHHAPGPIDKGLNAGSVAVPEMLEGIIQSALSKLVERGLM QRLSRPAADASREDSDVLGDSDVTNAKNGDAKNNGTGDGDAILSSSPFGSCSVRSCFG VEDALIVRLELEKLQQSGLILADDLHMCYFVTPLRDPIDVDWSIYRDILSQLNETRQR IASMIGVDEFYVNQRAMGLGDAGGNGGGRAFATRRFYAALALADLLNEVPIGEVEQRY RCNRGQLQNLLRSASMFSSSITSFCRAMEWYSLEAVLASFVKRLGFGVKPDIIPLMEI KGVQPGRARALWRAGFKDPAAVAACTPAELLKRVKEANPPDSKVVKYFSIRSAVVVLR EANAWVQQNIREKKGDLADLTLQSSTY XP_847167.1 MLWGAVTLLFPAVVMSLLFITAGVPDIRRCCIHSCVAAALVPLA VLVAIGISIALDQCILYLHEAARSAIPQPPPLRHALLQLKPDSLMTLSFLDVQIVAIF LFVSYGLQILLYHLVDIVRYLKISRRRLVM XP_847168.1 MQEKEVQLYGGAITSSFPATMVDVSDMRQVPDTQEVYTEVETGM CIIIELLAREHEVSNDNCGNYFFIDLAKANGCNTEGYNLKPQHQLHPTDYPLVSQPPS GSVAASGRYCTFGCVISGHQHISKYTNEKGKENDILVVMSVLRFEPPISTDVLISISA PQWIHPESSEARVVQKLRSEEEVTAVMRRILLSFNIRDWGLFVPEE XP_847169.1 MLRKVTSPYKVSIRRTAASVTAADSKKAILRLIRFDPETNKQRV ESYEYDKHHDYMVLDLITAVKAHQDPTLAFRASCCEGVCGSCAMNINGVNSLACITFS QQVTTVGPLPNFPVIKDFVVDLRHFFRQYAYIRPFVRNVNLDRSRLDNIVERYKTITK VIHGVPSDDEAQLAQLESKSGAQTDVMALLQLLDAVCESGNVTHLIRTLEALQERGMQ LDQGKVKALIEETLQNYKKRKLGA XP_847170.1 MVKMPSTLSDLFPLAKCSVASAVELTLLIGAVAASTYLAVANSV WRVYCGLTLVLFAVYCLRLWRLLPGEKEYLEMEAHKLADHMMVKQREATATQQTLVGQ RGHATNPAQTPALQHSHFQGVNPILGSHQLQQLASSLALSQSQQFMSSMMLPHHQQLM SSVALPPLQISNSLPIPLPLQQLANSMALSQMHQPQFHAGNHMVAPQQHQRELITPRI TVASPLPTPSVLRQFPPTALRENDGEEPPQKCQMPYGDGHLG XP_847171.1 MQQNIHSFTPTNGKDRCKLCLSYCGPIYLVVVILSFLGAYAAFF IGLCLRYPTSWKLAVCISCLFTGAVLAGILIFTLLRAVFTPAGYVPQSPWQYPPRYIG ECPGFVPPSGEGVGENPNTVRQLDRHNQLRYCTACKQFKPDRAYHCESCERCTFDFDH HCPVLNNCIGRGNYKMFVLFLCYVPIVGCVLGGLMFVGFFVVDEAEPAVAWMVFAMIM MIFCAVIGIFGCVHLCWLCRGESTMGRHVSSFNKQRGRSKEERAREREEHCNAVCGVR RVWWRLVMPVLPLREEAASLV XP_847172.1 MKQKETASDINGARSLQGSAGTVASPRPPTVYKTYGGSSHLPLI GRLQRAVRRPGSRSRLTLTTSGSSEELTNLKQLLQTQKDRLSRRCQMIDDSLEDCSAN RENGTAGIVEGGEEGGKEGVTCPAIRRLAERMLGHVNPETNDLEEYEDEEEEEDSEVR ALEDDLCRREARLNIIKDKMKRLWVEKQISPAAAVPPPEPTVLAATTDQEVIDVAEMM YKFASGTSRDNENGKEKMEGSSSIIVGDQQVFDDGISDATAISITDSPATVRPSGAIK SEERACLVPLRSSSLGRLTSGARVFMDLLPNMRDIIVLSPGEVGVARRGDILSENHIL KVDSQEALRSRKGAMGIISGAPYFRMVPKLNVAGVGQPRASAVRTIVNELRRVMDGLV VWVNLREEPLVYINNEAHIVRQRSDPTTPIIIPHVTGKSIALIDDKLKKEVIREASEN SGNVSVHMEGKDGHMEDQWESVEKDQVFTLEEVFRPLRTNIVYHRLPITQNVGPQPGD FDFVFDLCSDDPRKMIIFNCQTGRGKTSAMMTIASIVRFYQLFAHDAVLDASLLRTEG RCFSFRTIKTIVSLIPNGKLHERRLLVLLDLSDKVYSIADHINNAFTSGTTPAEEAIM HLKQYAYFLVFSYYCEQRIWSFSTKQPFSQWLLGNNEIKLLLERIETMEEEFKEERIA APVSEAGDFDTDPVRKRRGTVLSANRILCSFPFFASGKEETIGSLRQLAPGVPIFTCG RLTEEGRQCVVKDMRHYFPGKIMWLSLRAEPMVFINEMGYTLVDYDVTTYGKGGEGIT MHTSLHAIEQMEERLRRDVLLEAQEHKGYILLHNFDETGKRKAMQIKACSVRTPRSIM TDFAATYDVSYFRIPIPLSGEMLPSDVDPLLEHLSKNTKDTTVFIINDTQGSVRTTVA LNILTMYRVSRTCNLRSMSNPARIAAALRTGNSDVVLPQVDIIAYQGRVTDSATRNYK ELQVASTICQMLRAGSLLCVTDALIDVGGCGKRWNIVHTIHHYANAITAGTIERTKGI REAVAMVRVYLFVLLSTIYIDAQGDYDAREPFNLWLERRVEVANILSGLEQRAEKAFK YITPSSVGIPSVVHRRGDVLTANYALKADHFPGCQKKGIRPEVCGAPNFRKVRDVNVY GVAIPTILGIRNILSLLGASFESLETYEGEQNDMDLHLGFAAPRLFDPRFQTETLSKP LRGHVVWVNLREEPILYVGDKPFVLRNLETPYVNVELTGIAADEVERVERQLRQDVLK EAEENEGLFLIHDEETPGELVGVCKPVTTEMVKTLRDVYDDFVSNGCRVTLLRLPVTD EQSPTEGNFDALVEALLPHITAHMDRRETLSFVFNCQMGRGRTTTGMVVCCLLIGLVM PEYYKELDSIYDPLYKEEDSKLACGEYRCISDLKRTLTGGREAKHRVDLVIEACSTMQ NLRTAIEFFALQVQSPDVTEEQRGRAHHHGVHYLRRYFNLIAFAAYLEEEYDAMKKRV RCSFSRWLAQRRDVTTLCDSAALK XP_847173.1 MHELELPFQWDDGTCISPFVATSNNTLVALSKWLHDVVLQHGEN NSLRLTDLGCGDGAALLQLCCELFLLQTKSCPLHLIAVGVDIDESLITDARRAAADAV AKVPSPHQLQHDFAVADLRGVAVDEYFPLSETDSHVLFLYLLPEALNIIRDKLLEVIQ RVRFVVSNRWDIPFFSNWKIGQLHTLNVYSYRGENINLSKCSR XP_847174.1 MDFLQFCSSERYVSRVPVHNLSFRITLWRAPLVGERQLEQLCTL TVPWGGKVFSPAERLQKIRTGVAMTTPDSNASTREIHTVVPGRRETDGDAAAAADDVE KLREDIAKFSCTFFTRPPSEDYVSEAKENLLVDPPQAASPHVQIILREHQNQHQEGNR MYFMWASGEILGPSEGKFDDAQWKGQERVICTITAERNSLCFTAKPSLNKTHTLLVDS LYVYSFVITPLQACEPRPDEPTSTDFVEQIHEMVARIKDDLKSPHFDRRGILHQILLQ QLHTAPSDELPPRLDSPSSPCFPQSAIRDSRVRYYLFGVVERCTCVAADTLYLHCQWQ RGGDNEDEDDKRNLWNEGTLDGFTTQLSFASSMIMDQFIPVSMHTFNTPFDYHFDGWG PSPLRLLITAYDDEGLSVGGPQSPIGYAAVTVPHFLPGCHPLRAPLWRPRPTGREFVR SALMGGGPALVDVRDTAPRGGGGISVKCGLVSEPTGTVELRVTVFHQVGPL XP_847175.1 MSVGKFKRSNGEPAFAQVCAVPSIPALLRPQFEVIREENIEALN RRMGRTEASRMEAEKIKERCAQAREQRSRRLQERIETIQKRSVRLASAAALREERRLK AEWRQMCRW XP_847176.1 MRGSTDPANVDQYDSRTYTRKGLATRVVVREKPYVKSRSQRLVD FLRPSMRTGYNVVQGFELAKVMFCVIFPVFMLIYWKSVQRKLPDQWESQLSGLQHRQL KEEAVPEHDTDYFSIIETFQERREKALQKKQREVVGTS XP_847177.1 MDGRNGSKRARSEPANGPNQSNVGTSGVEEPHVEEVPARDPELE RQLDRLNIDDNLKESLRGLSPSTRGEVMNDIIRSETIERASSLMEQANLLSLIELRRL PELMEEGMSSQHYRADVDDDSELHEFGQALEDMQADGQSPSPQRGRPHGMLHTPGPLI LEFLRAFAAGTHAAFADAAGVSEHLNILSELAAQRAMGVTEDVDNMSYEELLELEDKI GYVSRGVKPEDVQKCVKDVPTPHEGNCVVCQCEWSDNAETAPAVVELNRCKHVFHRTC IREWLLKNKKCPVCTQEVV XP_847178.1 MSSDLLREYENDFNETLKEANDVASRLQESLQRSGVSYQAPPAA GPQSRSQQCHSLQQSLTRLRELITNMSYESNEVEPASAKEEVKRRLEDYRGKLVALEK QLSRLRQESREADRTDLLGNGREMGDDGGSMEEHARMLGTTTKLKEGTGALQKAEALL HGTNELGLETLSVVRGQTETMKHIHGVVIDVDDDVTESRRIVHRMQQVARKQKLIMAG VIGMLVFTFLIIVFWK XP_847179.1 MTEGMDRRNDKLVGRSAELTAPPAISQLYEELSSELEGVTAAYN LLMDFLREPVQDDDPSGRTGDVLKRLAALLKFISQRLYCRLRDASIGFVRESGAGEVK RYSKELSNLRGVIRSGAEQRRVSDEHGTPQVGKNSRFFFAISSLYNREERDRTSKLVS RGLKKKVLMLPSPNAEEEESEAETALKMGCNVLVSPQIEKATWAARIVTMESLSEFVY RKCGDEGEWDSARQLEDGECDIDEELDELLNQLEDTSRNQVSQFVVEFPEAEEASHSE VVPRDLLRGFNGLSSQSVYADVDSQIAVPACGLDSGRRPVLLPARRTYSDSQMGLLLG NSVDFDGDMPSQVIRYKREPSPISSPEGSFSEESLETLSPSKWCFQVSHLVEEREEVI SAIGKLGARVVTRGTYCKETTHLVIAGGATERTAAFLGCCAALKHVVTPRYVFESRAR GRWIVGRLHEYERNPLLRDWVIPTRAVFGGWRVALFTTSIEVEQGITNVLEAGGCKSI TPFRIGHESTAAVDPSTIQKFSHILVECFQSVKELSYFIPPPKFPEQLMHMNVEIYAL ELLHQVLCLATSPIFDATGRLMDGQKLPEWCYVMKAGGSVQK XP_847180.1 MNFLSQIYTDSCYKSYLDNEPPFLVAVVSGKRLCISEGLSRMSN QSSLFHIKYFPDLRSLPTKQKAAGAPLPSALGVLKSDWCTRHLHSRFSCVIFSLDWET VSDVAMDEIGNSSMWDQLLAWTRTLRSKIIVALATEKCSNEGEDVKEKLETLQRVLKQ RLGDDFNELIILFKLGMERESAERLYHMLKKTSTAYHMEELARIRNKPPEPNYRAVRL GFKAGWHSLVVRDLGAAVKHFTNAYNCLRDVAPPQSPMELRMCGTVIIMHLLNAAKAL SDVSFEDLYYGMCEDHIVWLGQITPSTGENFTMVQFLKPLLIAECHYWLAKNTCHAVP VASMGHLCACMFAYEDALKVNRSLETSAQQTVAPVLIGVECCSEAHVNICSLSGTSDA LTKRVGELLSECASLTVPTVELLYTSARLNVMLRRTDEALYSLEMLWEHGVKSYEGAK VIHGLLIELSKSVPDELVEKCSREVTLSYASLSFGPGAEESQRRFRDSFAKMMSSFSL DSFLSYPHKGYYAPFNIFCGFSEVHGDDSMHELVMVFRTHSIDNVNVDSLCVNLSRMR DNKLDSWAKQTSVDRSVELCSCNSSSVSALFDLREPGVYYCSRVQGRVKCGDICLNVE WSFDDTSVENYFLQHGQFKKWGVSCSQCTPWVCVAEPICHIEVHAPDYIVGVEGEVVE VDVVIDTNSDLRDDTSFVLTHLPAVYEFVGCDAGNCSLKERCDGGKGYVSLLLNNLPP ILCGSPSRLTMRYKCNRAGKYVIPITFCALSNTSAKVEITKNVQAHISCPFIPSYTLF KSIPWTMEAQNTNDGGTSLVTLEVPEVSSPFVRSGSYTLLRPQDYINEDLSTLHGNRS VTLYGAFTNREKCGDINFSKGETIVVVMTMECRAFQGLTLSSFDAVVSDNVVLLSLTA GTLPFQVDYMESFTVTAKLRVLRTGRVSLGFVRMLISPGSSTQRIVSDVSLPEVETDD HVLELTLECPSTVASGSYVTLVCNVVNKTAIPQSCQLTLERNGEFFLVGGIEQWNFPI GPLAVYSTRIVLKPLAVGVLQLPSLHLRHSSGVSFGTVSSFQFDSQKICVLTP XP_847181.1 MSVEEVDTLLQGVVTCGTNADEVALEKLREIPLLQLSRMMGKYL TGEEHVVNAVRVLGLATVNRRDRTIEEVDVMLRFFSRKLSSMQTIAVAVESTALLVRE LSSEGPCTSDVFNVLHTEFLQSFSLQALPTNVRSWGYDVLRFTVTKETATWFTTPFLQ VVLKSMDEENEPELLMRALELHHIIASYAREDAMQPLLEEYFDSISSYFPVVFSQPPG CKVSKEDLRRVLSACMTHPLYLNICVPFLLSRMASPSSVVKQESTNALLNIFSLDSSH TTESLCPHVVSVTNHIRNEVVRAVSLGSGECEPYVKDCVHVLKFISKRAQDVSLSIVR SWLEPMTSGALASLRSGPAVCSAYATMFYHLVSSSTCCGAATVQCFLLQLLSNTREDS FEVDDAVLIISAILSGIFDAGNGGECGKRPPPQRDVKEGLETVSEKLFQLVEKLTLSL QKADASSVHVRCELLASLVTLAAWLPHWMPDDTVLLIYKRLIALCLCGDEEVGNRVVK HISRIGSVEGNLLNVALLDMPADINPTAAGILTLYKGLLGSQASLSLAATKSLLECPE GLFGFTLTERDVFSLCRSSLSAHADYSTEDIRCLLLLTKKRNSVASFDYLCDLLLLLP IAFVPSVLQEVGGEQWGVLTASLVSCFDMDVLGASDHIEHWMCEVLDAMRSTPTDVLT MQSVSLLCARVPGVAGRFLEAAKRLNPTTQLAARAAVARGLLACGVAEGGIIELIIEE ITNSLRSGYVQDCYVDILTGVFVFCYQGCRKTTTLILPLVHAATRGSKPVSLELLRVV AKLLQDVSPTCGLEWGDIVEFSRRVSKPLNEEFVNELVELLDLALSQMDPKNVLVEAL LGTDDLLPLVLSGVRSPLLKVRCTSLRLLSEAALFVMQFSSENGDSGNSYMSSALQVR DEVLHVSQVALNDHKRMVRRSAAQCRHQWYKLK XP_847182.1 MVAVNRKIVVFTDVEDKQVLEVIREVAGDAETIQLEKSFAYEFM WRGKHTPYRVGTPMCFITEKTVEDADVVFAVYSKRVPVLSLTTGGETTNLLQTISSLG IECKQNTSETWADAVRAFLPNNDSESAVIVFGGGDGAGKATQTEYMRKRLDKEGKAHA SLDFPSDKHRYGKLIRKVLSGERGALHGLDPKLFSLLFSLNRFDTLPELRYWLTHGKK ILLDRYYTSNFGHQASKLEESERSDFIRHLEQLELEWLKLPPPDVALYLDLPPAAALV AMQRDEKRESLDIHETAGLSYKENVRQTYVWCCQNQRNWVQIECCNDEGERYSREKVH EMIYNAVAKHI XP_847183.1 MNFSGGNKEGLDKQSYTSVPPGTREEEIQNSGNLAVKQPTVPTL SSATASPANTAAGELNDPRPHNTVASSGAAGSVGHLQVERKQECDDQQPMKSPTPLEE TLNTVTQYLVQRDDLPTIPLLQQQALLLGEVPDLKEVPEPSQTVFTPPKEYGDETGPW GSFLSLKKATGETVFGMMSFYDQVMKLQVTHEKEAASYREAAEHTVSQQRQTIEALTS ECRILRSRNDRMRTIIDKTNQQLKQWESENSELNKKLREVEQRCAHVEDRAVVAECGR KMLELRLREVEMSLNYSTDAVNKLRKSLNEANRDRDHALQEQYNVYEANRRDMIEFYD RRERELVHEFNETVTKLQDIMYNAMKAHEDKLRECWNDMQRLQQEKHEESIKELNILR EKEERDYNARISRVEQDNQRRYEQYRSEMALLEQRQREREEHLLMDISQRERELSERE QRLRVQRTQDEQDTKIALMAKETEVKTYYERLMENMRSAFDKEREKMCTFFREQVQEI SQLHLENERQLERTHRDKEREMAQRYRIAGYEAEDRRGNVELRDVSMATQSALLSKFD AIEARQRERAEKARAVFQNQPESK XP_847184.1 MVSGVTYLKRGAVFGSGAFGTALACVLAKKCESVSVWHMNANEA RVVNQKHENVYFLPGAPLPANLTFTADAEECAKGAEIVLFVIPTQFLRGFLQKNSHIL RNHVVSRNVPVVMCSKGIERSSLLFPAQILEEFLPNYPIGVIAGPSFAIEVAKGMLTN VCTAAADINMARKIQKIMTTSDGSFRCWATTDVIGCEIASAMKNVLAIASGALKGLGT ENNARAALISRGLLEIRDLTLALGGTGEAVFGLPGLGDLLLTCSSELSRNFTVGMKLG QGISLEEIKRTSKAVAEGVATAEPLERLAKKHNVHLPICHEVYNVLYANGCAKRSFKK LNSCKLADEGLPALPRTSKM XP_847185.1 MSYTNQCVRHGRLNLSTLLAVILLVIVGKAMATVEFKLTDAVQL SLHQTAQVEFSKGSSDGNDDMKFSSTDMFYFVRQENAKNCNSKPIPDDIFNVSTVDHD GGNAKGAFTVRSSIFTVGDKYGVCYVSGNKTFPAKRVDSGGDGSQLDVWPSLYRDFSL SVEPSPHGLDLFRLTVEETAQKGRPVNSGFGGNRTIFLFPCGNVEECSDASHVSELCA KASEDTIELNELEGVGTGRITGTFSLQPSSTKKTFAICVSYCGAGAEACSNPSHQERS YTAVEDGKKDNTGAIITFEATNTNTYSTIPVRPQAREEGYLQLHGGSTPTEGDKIIIV QKDSACSDKAPLLYGLQVGKISVENAMARVNFSTKMLIDDKMEGNVCYYNHSSNEWSK LRRIGDGDESQESYFTIEVLQPTSFTVVSPAVVIGQRVEIELNGTGLDATRDSAFVSL DTCGDHEKDGVTRFNCSLRNGNTPRCRVVVDPDSNGGGMLRVCYRKSGSLNAAEVNGR ITINDRNPSFELAPYPLRGGQTGSMTFHGHDLSAADTVYFVSVDTECTHGMQSNKFRA ENGFEVEKGTVYRYDVVGEAHQCLKVCYYIRSLGVWSVARPQGTMEPTNGNCGKNHLY VSEYDLRFELPDGKLTLKETATVTLSSGIMPSDMKLVRSWERCVFHFCLINNRYTSAC ELPQNEDDYVSARFENSVSIFGASTNVEYILCAKNGAETYIPVLHKGRELSAANIVFE AEDQVVKHSGHSPDVWRVGEVSLNSTFRGRGLNASEDTVLVFKDDVMLGTKTKVCPPL SNKPNTVLNSTALSGNDSTSSVAFRYRGSHALYTGAVVHLCYVWGGGKHVTYTESIQF SESQPSSMSDTPAGDDAKRAGLRVTLKFHSNTSVLRLGSESVHFYRFVNSSGPRTKCY CDDDCPENDRVTYRDVALVAGEDDNTTAWENPSGFDNHGYGAIYVVCYASIESK XP_825775.1 DESQESYFTIEVLQPTSFTVVSPAVVIGQRVEIELNGTGLDATR DSAFVSLDTCGDHEKDGVTRFNCSLRNGNTPRCRVVVDPDSNGGGMLRVCYRKSGSLN AAEVNGRITINDRNPSFELAPYPLRGGQTGSMTFHGHDLSAADTVYFVSVDTECTHGM QSNKFRAENGFEVEKGTVYRYDVVGEAHQCLKVCYYIRSLGVWSVARPQGTMEPTNGN CGKNHLYVSEYDLRFELPDGKLTLKETATVTLSSGIMPSDMKLVRSWERCVFHFCLIN NRYTSACELPQNEDDYVSARFENSVSIFGASTNVEYILCAKNGAETYIPVLHKGRELS AANIVFEAEDQVVKHSGHSPDVWRVGEVSLNSTFRGRGLNASEDTVLVFKDDVMLGTK TKVCPPLSNKPNTVLNSTALSGNDSTSSVAFRYRGSHALYTGAVVHLCYVWGGGKHVT YTESIQFSESQPSSMSDTPAGDDAKRAGLRVTLKFHSNTSVLRLGSESVHFYRFVNSS GPRTKCYCDDDCPENDRVTYRDVALVAGEDDNTTAWENPSGFDNHGYGAIYVVCYASI ESK XP_830029.1 MSYTNQCVRHGRLNLSTLLAVILLVIVGKAMATVEFKLTDAVQL SLHQTAQVEFSKGSSDGNDDMKFSSTDMFYFVRQENAKNCNSKPIPDDIFNVSTVDHD GGNAKGAFTVRSSIFTVGDKYGVCYVSGNKTFPAKRVDSGGDGSQLDVWPSLYRDFSL SVEPSPHGLDLFRLTVEETAQKGRPVNSGFGGNRTIFLFPCGNVEECSDASHVSELCA KASEDTIELNELEGVGTGRITGTFSLQPSSTKKTFAICVSYCGAGAEACSNPSHQERS YTAVEDGKKDNTGAIITFEATNTNTYSTIPVRPQAREEGYLQLHGGSTPTEGDKIIIV QKDSACSDKAPLLYGLQVGKISVENAMARVNFSTKMLIDDKMEGNVCYYNHSSNEWSK LRRIGDG XP_847186.1 MHKSNQELCEPTIVGGFKVYNVGGSEFEVPRKYTLLKILGMGAY GIACSCLNEETQEKVSIKKCREVFRDLDDGRRVLREVAMMRFFRHENLLHVMDILPPM KGYNEFRDVYIVTPLKDVDMNVVLRSRQVLEETHVRYFIYQILRGLKYLHSAGVAHRD LKPANLVTDISCELKIIDFGLSRSVTIPHHDLTDYVITRWYRPPELLLENSYYDTAVD IWSVGCIMAEMYNRKPVLPGRNTIDQLRLICTHIGKPPRDMVESAEALEKLNQLPDGE LDMGKLVPGLTSADGIDFLSQMWELDPRKRPSAAELLRHPFMAPLHDEVDEPVCPTSF AWPYEMQEMSLDSLRRAFWDEICSFNPHLASQIPKGA XP_847187.1 MLSRLSAVWRPSRVALRIQRVDFTTCGNRLNRSTQPNEPPLVSG IAARSRTAKAEPVEKRGRAAIKIDSPPPPLEPPRISEEHMTRRRKVGGGKTKAAAVTK SKQRRSGRTVGASAFNTARRANGIEGSAPGKGGASDVAIDDDDDEKLVDEESKQLMQL LKEEALRREEEKKKRLRAKAAAEPTEVTDDKEYLSKLGVAERTQPVGTEAKISAQGKA EGASEGQTHFSDADADSQLPILTSLSPEQQRALRLALKGRNLFITGGAGSGKSLLIRE IVYQLRHNKRRCVYVTATTGVAALNVRGSTVNSFAGVKFGDGDARQLLKWVRRSRRAA GRWRYCQTLIIDEISMMDPLLLDKLDVIARAIRRRNEPFGGIQVILCGDFLQLPPIPP RNKPQQKTEENAEAQEGGDPTDGTPAPSKLQYCFETSTWTSLNLITVILHKKFRQHDD LAFQQVLDELRVGSLSPESYELLLSRTVASKSSAKSRKKKDEDAGNDGVLPLTDAETT PAAAEKDRHVRLCATNKEVEMRNAKYFAALEPKGLPIYPSPNDGSSQQTGSSNGANSV TEEDTMRPLQVYRAYDAYSTHETEPETTEETTTGTQPSQPWVRFEDSTLPTDLALKVG TRVMVLQNISLRLGLVNGSVGEVVGFLHPLELVELVLRAPRERHFPSARGQELLERAG LPTLQDAFRCVDTALGQSLFYYLRERGIRRPEDASYGCVYGNTHCRDILRLVGLGKTE SANAVHPLEMYLGGIAPQHVRLTRLPIVRLDLREGNHTSSDSGAVEDGGFANGSKRLP KHVYAFISPSSHQWYMGDQPVATRTQLPLRQAWAMTVHKAQGLTISHVEVAIHRFFSP GQAYVALSRSTRLDNIRLLDFNNASVHACPRAKEFYTVLEEEELDNEIEDDGTEGDEE ALEGDGEYEGEVEE XP_847188.1 MTTNLVLPAGGGNGRTLFSSNRRHRLQLLKITTGIQPVFLGGHL LFQPNSSEMPHTARHPDNRHQNRQPQPQLRWRRSFRGELAVQEVLPPLTSPRPQQRQQ QNDGHQQSDIRLVLPPPFTSPRGNTNNYIRQEYHVAQRDAENEQAAHTAPPHAGQTNS DRFPNISTMAPASYVSNRAQETNNGQCCICLERQSLVLFLPCRHLCTCDGCLRQLQKK ACPYCNQPYRKTTRVFIP XP_847189.1 MNLAALADGSNPAVCGSSSSTAVSADVALAELAALVPERWRGEG GVLSRTLGNLLQNPFFSAGVGLYLLTFTGAATRSVSTMFKSVMRRRFVISMEVTSQDE SYGWMVRWLSSKPAFQVQQVNVTTRNTTIYSNDESSHECMYAPCTNIRHWFWYKHRPI VLQRRRVETQAMGTDVLETMELTTLGLSSTFMREILEEARELTSMRNSDHTVIYQNAG GRWVRQEPRRRRPLNSVVLNDGIGDMLLEDAKLFLQSSRYYEDLGVPYRRGYLLHGPP GCGKSSVVMALAGELRLSICPLSLSGRGLSDDTLVQLLNTAPIRSIVLLEDIDRAFSA DSHITMSGLLNALDGVAAQEGRIVFMTTNHVERLDEALIRPGRCDLKVEIGLISREQA RKLFCKFFPEAPESLHEAFALQLLPGKLSVAQIQSHLFLHRDRADVAVRELPNFLSTV KSFEQRVHRARQQEEVAARLKRAPMLHSLQ XP_847190.1 MSSVGVSDALEGSRQSKGRTGSIFSGEKMSTTTFGTRSATSLSM DAKASTARMSSTAERVVASNISPCPNVERLRNTAVEDRVLWHVRRRESLQQTKEREQR KIMMERRISMTDYYKTLFRLPPPNSRFNEQQGKAVALPVLQGPMTKAKEKMILESLPK FAHRRVVQDINDYFIAAYYKQNPEALVRKLEEEERQSRVAAATAAAAARNSPTRTGAR SQSLGTPSRKNRGGKKKKKKQEVVEEGKPAPNLRLLQSALLTVADSSGIVTLDAFLDM LRQAPFEVRDDEAAENFFSVAHPSSSMSESGVNAVGRLETSHNTAAASSGVRSLSPLK AAQLHHASLHRTIEGSGAGQTSSSNMSVAKMSPVLAGNRGNQTFPIPGVNIPAPVVNE TSQREKSPEAHVRELLAGFDALVNGAELKDVIRKLCFSVLETDDYIHKSALTQLRRDG RESCEEPESVLSPSIVKALRDSLDVLHQEEEQAYIRSQLKGRKNRKSVKAASLLPHQK TVIPLNMMRRSHISYEEFCRFFDTMPFLVAAFAHMWLPAFFAPTWPRRKRAASYGLYS DDQDMDDDYTAPTCQEGNEDGTTEEHRGVRDEVDWEKVATPPLRDVLLSALKRRHMAS NIVANRLAFMNTTVESPSRASEASPVPS XP_847191.1 MAMRRHLETTDFSGYTRVLARRRSVIPLQLVKKMGESKSDTTGG RGNGRRLRKATLTCMRCRLSMLDWPYCGMTGEPHRMEEVAPICG XP_847192.1 MSWRALSSISTDVTERAAAVEWVHREKALDNMARNRLAARYGSN ESTNKRNEKECNGKRSCKCLTNGCGWTCNSCGKVSYDARLICGYCLAVSPSVMASVGA SVDKKNVSGTSGMHVVPVHNCRDDGISHDSSIRVVRFPQNRCYRIFATEGHARGNESA SAEVVDSAPDSREVVGEGSASKRKYAVETHESGEKNVGSTFGGRVGKHFYKKPYTHFI ALPIGKVKVFGERAEALLWEMKQCCVDPANGVTEEIFTSAPRTHLTLLMLSLPTCEDV ALAVECMQVLQDQIYGWKQQQHLHKGKAGPDDSVGIPIRLGGLHVMTSRGQHVQKANV LYMGLADEESTATVRALQDIVHSSFGELICDDPRVSESKLLHVTLMNTKWRTGEGKQQ SGGKNLPFNASEVLRRFANVSLCESPVFIDKVELCALGYDSVNECYPCEAVVYL XP_847193.1 MTTSPNACQDQPPQHSAPQAHEAECTTHKLSAEETMDARPVHPD ARALFRKLPCVWSIPVLGTAVEAFGPKFVFALGFCELFGKGIADNIIRSSLFPMFTYT FGADAKLYQLMGGMSSLGYAVKPFAAMFSDLFALFGYTKRWYLALSCVVGSTLAIVYG SLPGELSYVPVAGFLVFVITFTVANLDILTQGHYSRLIRRVPLAGPSLVSWVWWCLLV GSLVASSIVGPLTDKRLQRVAVFISAGMQLVPTIFFILNWYGERKNREERAYDLKIIR EKKLEHEADAVRLQGSEATSGSLDNPSDTEEVGEGGARILPCCCGAFEVNREVFARNK KVVFYCMLLTLGAIGMVLVTVLGTRLQLLITSVVASFTLCGLGFVALPLVIAKANMFT FISRVTYIQLRGAMDNVFMATPDCFPGGPNFSYFYYNTVGNVIGTMGGVIGVTLFRYV FSKRSYRLTFIVTTLIEIVSSIFDIIIVERWNRPYVSDHVVFVLGDQIIQQVCYMMHF MPTVMLISRLCPRGSESMVYAVLAGCAHFGRSVSNTLGWLLMEYVWNVQSDITVGPCD FSNVKWLLLLGHFGTPLISIPLVFLLIPAARICDVLDENGKAITKKAEDVHAPSNDSP RRREPTAN XP_847194.1 MTTSPNACQDQPPQHSAPQAHEAECTTHKLSAEETMDARPVHPD ARALFRKLPCVWSIPVFGTAVEAFGPRCVLALGLSELFGKGIADNIIRTTLFPMFTYT FGADAKLYQRMSSLVTFGYAVKPFAAMFSDLFALFGYTKRWYLALSCVVGSTLAIVYG SLPGELSYVPVAGILVFVTSFTKANLDILTQGHYSRLIRRVPLAGPSLVSWVWWCVLT GSLVASSIVGPLTDKRLQRVAVFISAGMQLVPTIFFMLNWYGERKNREERAYDLKIIR EEQLEREADAARLQGSEATSGSLDNPSDTEEVGEGGARILPCCCGAFEVNREVFARNK KVVFYCMLLTLGAIGMVLVTVLGTRLQLLITSVVASFTLCGLGFVALPLVIAKANMFT FISRVAYIQLPGAIDNVFMATPDCFPGGPNFSYFYYSTVGNMIGAVGGVIGVTLFRYV FSKRSYRLTFIVTTLIEIVSSIFDIIIVERWNRPYVSDHVVFVLGDQIIHQVCYMMHF MPTVMLISRLCPRGSESMVYALLAGFANFGRSLSNTLGWLLMEYVWNVQSDITVGPCD FSNVKWLLLLGHFGTPLINIPLVFLLIPAARICDVLDENGKAITKKAEDVHAPSNDSP RRREPTAN XP_847195.1 MMAARRGELCAVSTYVHVHPQVVLSITKHAARVRRGMREVDGVR LSRRYAAGILLGSVSDVYECEVTTSFEAVDSCSSQSGAVSALDWPAMKRKREQVANVL PGLHVVGWYAVGCNLSDAARWCAVFDTGIREIIEDHPSTVLLALIVDTSESVGFASSR MYALKVRQFHLTDSQCQDAPTTTPESTTRFLGGVSPLIPIGALNYGNHVTIRLPQKPQ LAVELVRVRHVCETDDITRVGLDASSAGICAVPTGSVDVSGNSTAWERLLRVQRSLRL LRRQVLVVIKYLTASQQGSCIPIDSEVLRHVGKICDVLPSIASPVAPPTRCEDNSVTH NLRESCALMLTLLSLHTKCATALRVMAKRDRRIV XP_847196.1 MTTSPNACQDQPPQHSAPQAHEAECTTHKLSAEETMDARPVHPD ARALFRKLPCVWSIPVFGTAVEAFGPKFVFALGFCELFGKGIADNIIRSSLFPMFTYT FGADAKLYQRMSSLVTFGYAVKPFAAMFSDLFALFGYTRRWYLALSCVVGSTLAIVYG SLPGELSYVPVAGILVFVTSFTKANLDILTQGHYSRLIRRVPLAGPSLVSWVWWCLLV GSLVASSIVGPLTDKRLQRVAVFISAGMQLVPTIFFMLNWYGERKNREERAYDLKIIR EKKLEHEADAVRLQGSEATSGSLDNPSDTEEVGEGGARILPCCCGAFEVNREVFARNK KVVFYCMLLTLGAIGMVLVTVLGTRLQLLITSVVASFTLCGLGFVALPLVIAKANMFT FISRVAYIQLPGAIDNVFMATPDCFPGGPNFSYFYYSTVGNMIGAVGGVIGVTLFRYV FSKRSYRLTFIVTTLIEIVSSIFDIIIVERWNRPYVSDHVVFVLGDQIIHQVCYMMHF MPTVMLISRLCPRGSESMVYALLAGFANFGRSLSNTLGWLLMEYVWNVQSDITVGPCD FSNVKWLLLLGHFGTPLINIPLVFLLIPAARICDVLDENGKAITKKAEDVHAPSNDSP RRREPTAN XP_847197.1 MQGHVPQQSYGGYPRQADYQGLYPTQDVKQGYVSYQDPATLMEG HNAQYGGGTAEGTYSNQYTQLQQQMNNYNYNANQTPWQQQYAPQQGIHNPANTNDTNM FAPPTAVGAAAASHVGGAVAPTGSGASATTASHVPPPPPAAECTDENGLRWTWSTYPN HTRDGKQEAPSAAALTLPEMVIPLACMYTPLFPIDASRMVIGDPTACGQQCQNCGAFW SHHCYREEGKFWVCFSCQRRNQLPPHYRPEHPALHNDTVEYILPLEQPTTAQATRGVG FSPTFVFIIDTCIAVEELEALKCNIQRCLNWLPPQSLIGLVSFGARVSVWDLSGSSLS RCYSIRGDRAYTTAELGNMLQLNDGLPARGRFLVPLEECEFTLTTSIEGLQCHDGVTP VNKRPLRATGTAVSAAVRLLEALPEVPVKKEMSGAAPAGVAPVRKAGRVLLFTGGPCT RGPGTVVSIEKESMMRFHRDIIEGETPYYEAAFKFYNEIQLRLLDVNASLSVFAESFD QIGILEMRQAVDQTGGTFICGDTFDHQMFTISLQRYFDLCDLRPPGVESSGISSAGDI DGSAPGALVANSGFGVKLHVHTSADTLVSGVLGPCVAAEKTSGTKAHRASISPIEIGV GGTTDWRVSTIDQGTTYTVVFDTATLNKVDGMPQQQQNRFIQFVTHFTTPLGESRIRV TSVALPIAPVTITADGVSTANPQYFSEYDTFDQTCAATVLARMTVSILEKHPSKWNDA KRWLDTVLVRFVRRYGSFTPGSPESLRLRPCFTLFPSFVFNLRRSEYFMVLNISPDES TFKRHWLMRESVDNCVLMIQPTLHSYDMETPTATAVPLDSCSLRPDNILLMDAFFNIH IMWGTVIFAWIQARYQDNPEYAHFAQLLETVENEATMLLDMRYPYPRFSRTDANGSEA RHIKTRMNPTTTHHSGARGGNGVSDLSDVIYTDDASIVKFMESLKQAVVTPESKK XP_847198.1 MLVLFPVFLLLFRTFSCVSYLPSIFSCFQFPLALRRAPSSSPLS FSPFPPSLTLCPCSHFRQKMHLFVHVCVLKTLCTGPMFLFFFPPPFCLLYFALLSLVR EGRCRYHFHTQRQDGEGKGKRNKIASAGNERSRCSCRWCLMLLYYFSAPPSCAVPLAH EN XP_847199.1 MGDNPLSVLQQLASNPQLITQMQGILALLSPGHGDGATGARPGG VGLEQIAALCPQPRTLQNTATTDMGAASSLMAGGLNVEPPRQQTRWPSPVATASESSN LQSRGDSKSDVGKYGVVSVERYERLMARYKELEKQSHRRQGKRSEPVVDTQRVLDLEE EVARLKRTIGHLQGVVEEKESALEKHATQHNLEVHEMKKNYELKIKSLTQTHEAAVRK LVSAQELVTAARNYQTAVCANNVGGGNSVSTTSGQPLSNTVNHTRGLTTTSSGSGPNQ PYTLPHPDGNAWMSATTSDDRSAPVTTKNSHSVKREREGTVSTTPTRPLKKRNPRTPS YTVADRISETDEYVKKGSPVVKKELNSPTAEAQQTCLDGMGYQPAVGSNILRAQTSYT SAACTLVEAVSNSRQQFQQQRSESVQMQPRSGAVALRQRTGGLSPVASSSGSALMATP SSRGLRRPFRPVSGASSAVGGSTRSPSPVDPKRGAVQPRYFITTSLTEKERNSVMEAI QKLGQRAVLVDNKVDEILPLNTTHIVLRGPPRSVKALCGVVSSKWLVQPSYVFDSLGA GFWLDEEVEGGLRYFPPPLRCQRFLLTMPEGVVKTMLQRVVEFGGGEVVGTKRNGSSN DQDVVVVSSGDELLRFAISRD XP_847200.1 MFRRVACAASSVNAGALTPRFVSTTRPSLGRIKVSGTVVDMDGD EMTRIIWALIKEKLILPHVDVPIEYYDLSITSRDASNDKVTEQAAEAIQRHNVGIKCA TITPDEARVKEFNLKKMWKSPNGTIRNILGGTVFREPIIVKNVPRVVPQWSEPIIVGR HAYGDQYKATESLFPPGRLELVHTPANGGSPQVLEVFNFKGEGVGLAMYNTRESVEAF AESCFEYAIMRRYPLVLSTKNTILKKYDGLFLETFQRMYDTKYREQFERLNLTYVHRL IDDQVAQMIKGNGGFVWACKNYDGDVQSDIVAQGFGSLGLMTSVLLCPDGKTIESEAA HGTVTRHYREHQKGKETSTNSVASIFAWTRGLAHRGKLDGNAPLVEFASSLERATIKT IEGGHMTKDLALCVHGAAALQRSHYETTEVFIDSVAEELARSVKG XP_847201.1 MSESVGTDEHVCLEYIQQLCKRVEDTGRIANQVSKGYEHSLMGT LDGEVLLYGISDSDERIMQVDALVNARRKLTRRSTATCVVLSGNVLTLAIMSSHLAMH RWRVLEAQTSFEASEALRQEALEAERILREAQHSAASVKPQTKSQKVSSEEGNITSKD TTFAFSRDIDSDVCELSTDNTGETSKSTSNIETASASLSSEVIPYLVIIDAYTHEVLP DIICQLRSLESQFNMMLTITVMMHAGYQSRLPGMVVFPKHAPTLKEVYNAGCDLALCR CFDHKVVAFFTEMFTSAVGRWQADMGQQLSGGNYAIMKTAFGSTLDQYDDEEPSDDFL MLDKRQQGSRIGCTSPRGRADGAATLRSPLRGCKVAFNESVCSSRMGGCRKRPGIPKD FVGSVMRPALRSKRLDKTASHAKPKRLESSFGDTIRTFSSVWDDDEVYEDTLLDETEE LESAAIAAYQRELSELLTKQEEYEQTLTALTEENERLHAAQELVRSPWECSSSASSDQ GNSPDTPNYLKKEQQIHMLKERLMEVAREHGGTHGVMFDRPRPRRKLGKKSPNGKQGA LSKSRLRKPTLAMTSPNRRRLNHVQLLESALMSYHDVPAAEDDADDFLLESATESATS QFDALGAAKADALQSEISAIETLLQGARNNGESLCNEKYEALLKSTSKVSWGVGQLSD KCARLQRELHRARESVLEKSFVDRRGEKDVGGASTKGVPEGKKALSRKSSFASGGLCG TRGSEPLHRSRTSSAAHGLGERRKSWAEAPKRIAMSARSRPTHFAESSSAAKGDKQIM PLRRVNSIRFREHDSEAQSTHQTGFRVPSNLKLAESGQLTLSDGLTSPCEGKGQSMTS GTVNSGDWSRPVSQSGSRLQRPCSKGSLVVDGTSLSGLGRISPSWQVSIASLRGSGAS ERSKRLSPIGPGTLHAGDANGSQDFGTRLPTPAEHLWQRQQRHSQREHVFADDRPFCG TGSTTDSGGDQSCANYLSHHEALGDFLRALCCCYPALQPMLQSEVAALESLARHGSAG SVEAGQESRVAGHEGQPAMALDTKLLLRLSERLLSSMSGLADNNAGSPLTNTMYRATG GAVGNDTRGRGLFSAKSTGIDLYEGAVSEGPVARLGRNLRDRVTLPPLHRLPSCGGDR SEIPFSGGCGQQLNDGEAPGSALKEKLQALVGAESASFDRSSLFKPRQSVQNVAHLSD TESTAPVSPPPWGQSVSSKKSSVRYGRNLQPFRWTQRQGHTEPGEGLDDGQGPTGDDY TGAPGTAVAESLVNIYRNFWRFSMHCENLRKELFDINCEGMPREWRDGCISSPQFILS GSGHGLKDDRSLHGRSLFALPVASANLRRRNRSPRRPNALRRLSRAWNFGEFCARTRS ALMRYSLLVEHLNTTSSPRGGGVQDGRVGLCRRAGSLTPRRHVGTRRGTGTTGCPTLR TNKNLNSSPLVIVGKNPPSLPTGRSPSITPRTPPHGGMRQGGDKEKMSPR XP_847202.1 MGRRKCSASTVKRPGGPDATTGSNEGAREAPVEGVMNAAGEPNT SEAQPLQSQEGEKDGEKGVAAAITEHFSTVEIYFQPAAEKRRGGCFYPRDIGTLLLWS VPSPVPLVEGPRVIFVKNRSKLRDVVVLYVNGWTHDEMLLGATAAPAIQNTANGAQGN KSSLKGKNGKRPREGNDEKGATQRNTVERQCSESIGRAMLCPIRQQQCWARPECGVQF APMIVQEGNSRVERDIFWRGGKPATPVGGQHSQEGEQQSNGARAAATKGENRSSGTDS SAPRGVGALFNRALTIANGTSNTSSAQSDDKLPTPLESLKVLSSPPGGGPSLWDDRDL LLKYALSLERDKATLEALGFAVGVPDHDEPSTWESFDAVGSAADANCADKTKNSLPNV FALDCEMVLVKNNVSALARVTLVDVRASSVVVDTLVKPDEEVVDYVTRFSGIDSGMLE GVTTTLKDCQQKLKRYVTKDAFLVGHSLENDLRACKMLPNCWLLDTAHLFPHPSGLPC KNSLRYLALRYLKKSIQQGSHDSEIDACTSAELVYLKMQHGPDFGLHTRVNVLKLMEE VSVTGEASDERQGGPPTVNSPISVELNLFDDACVLSDIVPNNRGKGGVAVNAVPVRHD GDAVRKAVRCLEKRRNAPKDAGDDVAVSYALTWVQLTQTVVPKNAEVANEETPSWEEL QRERVDETNRHVMQVVQAAPHNSLIVVVVAGSSNDTNKSKKCGEDAASAPAGGAAPRG ACFAFVKDDNAVGPLAAWLNKGLTDNGDGAKDETNASDGLRSIPACEQQ XP_847203.1 MKACCGGKRLQICFVVQAICMVCLVGFVFSPPRPYAQPTCDCHY GVVERENPRCQCVCFGDYLLPNCLYTAIDVVDVELWLTTKSGKKRGNTYPLSSGDIER GLDRLFGHKNSSGLFKFRRGTSSFSSEGLVNSSIRSGKFYVAAVVSMPGWAAQHLLAY VAQKAGKGGEMTNSASGVSYTLYAAYDMAKGPPLPVMYYYESMAFFTGIDSNIFITAA DCGWMFGAIVLVLLMTRIEQLWMYSIVAGLGAISRRRRGDEKGSLFLNEDAAGSSNVS RHDSLRRSSSKGSSSMKSSSKDKKKNKKADKEKKRNNSKRSRASGKRAASKSERRAPD SEAPQLGERRSKSPAGENPGGAQWRNPLTI XP_847204.1 MSDLQPMSGKNSFQLALMIMGIVGAAASGTMTLYKVMWYTVENI DAARVFIARLYVTFFAVIMPSAELGMMEHNEMVKFLLSYVGRAFLYLFMGGLLLGEEV ASCIIGVFMIVLSIMNIVGQVIANKS XP_847205.1 MNVLTSHTHLHMRRSCCMATARGCLGNIRTSDVSTRRCKGDYGF NIFHNSNPQHGASYARHERRMREDEVEDFLKSVKGWVPVDECFPCVDADADAEGADAR KEEKEEMGPAPLTIFTGEGALKRDFDFANFRDAYLFMGRLWAFCYGSDKYPNVTWEGT RITVYIYSPSFRGLSKREARLAAFLNDQYNMFKKSKRQQKVIIDGVVKRSVIEDMMGE CVAEALKRREEERQKPLAETVEGIATSWEAVLSKDGNNNNNDGTPSSCTSP XP_847206.1 MSKTLYLLYEGPTGYALFKVLTTEEIGAGDVALQKDLQTFATFS PWVKLLSFAPYQSPENALEDAVCINESIVSPFLNNFLTSVLSKKATKGEANWELGVSD PKLGSAIHDELKFAVLCNENVVEMCRCLRLHAEKLLPEHQEKDILRAQCGLGHAFSRN KVKFNVHRSDNMIIQSSALTEHMDKGVNLLGMRVKEWYGWHFPELAKEVPEPLKYSKV ALLIGSRSTLEERDTEEVTQQIAEILEGDEALAARVYEKAVTSMGGDMAEVDWSNIRR FMKRVVSLGDYRESLQQYLVDKMMLVAPNLTELMGQNIGAKLISKAGSLTNLAKSPAS TIQILGAEKALFRALKKRKGNTPKYGLIFHSTFIQRAAKEHRGKISRYLANKAALACR IDCFMDAPPQVFGEKLREQVEARLNFFDTGNKPPSNRVAMEEALEQYKKIIRRRAKKQ RTAQSAEAEGEREEVSETPKQKRSRREVPQESA XP_847207.1 MRYHIFRGPCVRCFVVLFCFLTNIIMSGLFIPSPPPPPPLLLLL PSKFFNICLVFCDCAVKIRKSLQWQGMGVCGVPFMIEKEKKRIKEEEKEWIMSYDIFS TCTYSFAYFFIYLNSHSHILPFCVFFFWPCIRLVGCASLPLLHCTFPLVLQLWLVEPY DTKQ XP_847208.1 MGSKGEELMQELIVELREMNSRYTVHRFLSSGSYGAVFAGVDES DLPVAIKRVFNTISEGRTINILSDPFLCKRVLREIMLLNHFHHPNILGLKNMFIHLQP PGQHKLYLVTDLMRTDLAQVIHDKRIDISPQHIRFFMYHIILGLHVLHEAGVVHRDLH PGNVLVSENNDITICDFNLAREDTQDPNKTHYVTHRWYRAPELVMQFKGFTKLVDMWS AGCVMGELFNRRALFRGSTFYNQLDKIVEVVGAPTEEDHLDMFSSSQARNYLRNALSH CKPRPWCDVVPTADAEAIDLLSRMLEFNPARRISAEQALQHPYFASLYDPLDLTEACS GPFHFPEVSDIFSMHQMFLAEVRGFEARRLKRENIIQQRLALSNGTTNAKEVLGCDHM LRTHSLMEVSDLPTSMP XP_847209.1 MPPKKKVERKEEQVFVEENGSYVHQREMARYTGGVRRLTDSNFC CRHGQGTYSSPLIHYEGNWSEDEMHGNGTLRFLVSGDSYTGQFVHGVMEGCGTYIWAS GAMYDGGWRGNRMHGLGTYTDVGGKVWSGKFYNGSGPGLTPCVTTGGGVAAADKRDVL KDEKEG XP_847210.1 MDAEENFLNAIQQAFDENATTLHFSYQEGTTAVPPEIRALRGTL EILHIDNNYSLGSLPSAIGELGRLRWLNASYCRLTTVPREMGRLSHLERLHLGNNLLQ ELPMEMWQLKSLQELRVENNQLRVLPGGLLFLPRLEVLLLENNPLLLPEEVNGAAPIT IAPRLYSVDCSNCCVRMRDHEVLVTVNNKSGHRDIPFVHCVCSDACKQHLQTRLQGYD AANSA XP_847211.1 MLHSDHPKQSRFLTPFVIFPLFTLLTLVLLYAAGYFIGLSRYHS LTRDEHALDADGRDSRCVSQQEMLRNTHKVAAGTLKRLKEDRDELKKKLKLLEEGKGR TGEPAASCGGKDATSNNGEGPSVNDGGGNMTGSAAVSLQRAANLIDSLTHQTESWLKK AADTTPSLAACNKSPRRYSVVFDAGSTGSRVHVYRYNLTSNPYDNIDSAPNGAHASLL PYLKLEDELFIENHEPLSGFSDPRGAAASLVPLLDAAKARVPTDMHACVGIELKATAG LRRIGAEQAGRVLDAVRREFQKGPFWVHSELGSVRILEGREEGPLAWLTVNFLIGSLS GTKETATILDLGGGSTQVVMRPNDPEVLEGHEEFTDTFAINGKSIKLYQHSYEGNGLN AAREQLLASVATSVATGGTTTAAKSGPLRAFPCFPQGYAHEKSGVSNGVGGGGVTPSM EECVALFRKHVVRSGGECSSKSCGFNGVFQPDVRTAVSGPVYAFSFYHDLLRPHMTAG TTVIRLQDIIGIASQVCKSMKSVEQLRRENKGKVSVHPSLRPELECLHLSYVVALLRD GFHYPLDQELHIAKKIDGYETAWTLGASLVSLEEHCV XP_847212.1 MRASMNCSGSISPVRNPSLLGTISNSASAVPTSARPSRHLHSSL LHTPTRRSAHSSMTGTSVETGAPILLSLLDIHTLPLSGRRGERRNNCGSGRQTGGTRS DEETSSLVDFEHMTAEAEAAALTMSRAELCDAVLDLRTRIRKLRSTIIREREDVAKLH EGMRETYKAAEREGESITNQLMRRVDKVRRQKAQLEVRLHREEALKSEQAQKLMDLKA SSLSLERRLQREEEVTLASMNEQLVRLQSQRQHLDSLLSEHSSLQQMQEFVDRLQTQS TSCGGSFRHSLVHSSADAGTGPCVDSSLVTTPDITGSSAVSSVGTHSQLHRRVDSGDH QEILQYLRHEVSVVEAIQREAYEQGERYIEKRNELERRIMRAEAERESGRRTFGDP XP_847213.1 MNRSSDAEWNPASFNSPDLSPETEISAARLVEDDVSPSTLPGFG TSPFVGSGQPPETADEKAVYMRIINDMEQECGSDDDEEACSANNLLPPSAISGANADN SDGKGAGASGIVGGFTVEESHGLSSRGYVPPPVYGSSPQPANSPYSYTTTPHNGHPPP SFAVAIATTPPLSGMPVDMSPLGSRFAPQMPAAQHDPILVRNQYGVFMLQPVTKPTPP YTPPVAVTTPMTPMTGCALPPATLPPLCTAVQQAGEDLGAATKVPGAYNTPLASGAGV GGSHILSCGVAGVAGTEGVRGGGTYTFMSACMKSPAAPVHVQPPPPYPYEDAVESNYQ RNRGK XP_847214.1 MRHWTFHCLRTVRQCSVKVGSAYWGPRSVQFRHAKLPPHDAGNA HATSGMATVQEVESGAPRKYGVVGMPHAPPVESHESNASSDTHSFVTDGIVSVSCRGL HANNDFHTELRCEDPHQPTNSEDRVFDTSTMKSDVPAAVAKVATCGENGISVEGGTQL GVRDTNPHPLLASGSNDSETSLEVKRAMGPSPSKIPSLCQGNCQHSDTRTTGLPMRSV SGQGSPTDADRGEASTFSTEEKTTDHGSLSDVGSEKENFVVRDHMRNTVPVQRLLSFE DLANKLPPWLRHGLMRSGYIAPSLVQSVAIPLFMAKHDVVGVAPTGSGKTVAFALPAL AALARRLESDHVENSASTEASGDPSEESGRVRAVPHVLVLCPTRELVQQTRRVFSLLS ENAVRIAGMYGGQDREQQLEYVRHMGGCDVLVATPGRLCDFVEAREVSLKAVSFLIMD EADRMLELGFAPQLEFLMSDIKRYRRSRQTTMWTATWNATVGGLATRFMQPERLLLEV DREHKVNSNVTQRMYALSDPSQRLQAIVKLYDDGVINRRQQVLIFANRKEEVEWLASE LSRALRAPPNMLQFLHGGMRQKRREAMIRGFSCNEVRVLCATDVAARGIDVPGLSHVI NYDLPAHVDAYVHRIGRTGRAGRTGTAHTFVTAGDPNAPIIARFIVQQQQGAALPDDV LSIVQDVERCGTAPSRQRYKFHSTVAGKDWRVPANEKQTQTQGSRYVRGVGLVTTLKR PATRK XP_847215.1 MPEAHIVRFKVLRIDCTALTKGQQYTITYRRGETFRSTPCYVAD NNYVDFSPMPEGSAVVHFKSGGLRFLPKWINIRVEEYIRGRARKAVGETRVDCAGVLG ASSKTAATTVSVSFSLHGSPAKMTVALLVYPEKHAPLSFNNIIPQPSGGNRDGRGVDQ TATGSRGHVKRMATDDVVTLLLALEGLAERRRANIAQGIDKDSPLKARIAELEEKRRA FSGVEGMAQGVVQSRTVDLISAQYLALSQTHRANFTGHVAAYLRQLAASTGVPVTLDI SRYTGATIGRGGSSSTVDATQERLQRMQSRIEMLSQQIKKLEGEKTCLDIEQSLSRSQ GSAEELKAITAKIESLTSQRQLLQRSCATIEGAGVKPSGSYDHTPVVHEVIGIRARIL ALSKEEEQLREKVLRMSNVTVGHVLKWARSKDPPMEEVRKDDTGLSGVAQGYHMRKEN QSAHPLPQNPVPTQTPQKTEEPEKAAHNSSTQQSSENDSDTDSDGDTAKVAGDGKSSG KSSTRASRITKRFPDWGKIRKSDMYGATGLPSANDFEGGAGSEQQVVSKGSYGDPLAP DLGSSMFSTRRQERAAPQKQEQERKNSSLVVGSDLPQLNFDAPLEDTTTSGHGGFFSS SFADGPTGSIPAIQIVSVDDDAFTYDVGETEGNNFVADDSITYNTFQMEGSSTNEPAF SITPSGLPTYSFESGGENTFSTGGNEGGLPVYNFGSDHLSVSKDKRESGNHTLTFNFG S XP_847216.1 MIVRTPLADCTVGTFQEENVANGVSGCAKRLMKRTYTHNPYRHI TVIPVSVAGPCDWARSHEAAFGAPVFAPNRGVDVAQPPHTYGEPASSSVPCHEGGMCP RSMTYARYGAAPYACPATAAYEAPMEVDESINTSIGADKTVNLSNSTVSCCNAGTPTT STPNKGSNTLYKMYVDGRPKVVRGVTRAEVSVKLRLGNEVFLVDDVPAVLGAVMVPEE LVGRYVIVEGDRGEDLGCIASVSHSGARSAGDASEAGRRLARVLREATAEELEAFQRL DELEESALRFCRAAVQSLNLRVPLQVERAVFQFDRKKLTFTYSSDSYVEFKTLLRALN RQYQCRIWMHQLNWDMNCRDKRQSAGGEGEGRKRSGLKDEGGRRCEAGAVAA XP_847217.1 MLSSYFSWMEHVDARVLRAGGTSDNHMRPLYLPYEAPPLLRVEP VCTLRDHTDRIWCVAWCPTANVVASCSGDGTVRLWGYMLNVGREEIPNGRDQNGTWSC IYTLEGEHSRTVRHVSWSPSGTFIACASFDRTASVWRRVSDDPNCFEFELEAILDGHE NEVKCAAWGTDNTLATCSRDRTVWVWDRVDVGEFECAGVLAGHAQDVKACAWLFPSGG VEKPLLFSCSYDNTVKVWTESHKRDDWYCHQTLTRHDGTVWSVAVQPIEQPIDSLQME EEEREGTALEYSPVVCCSSDDKTVTFWSRDGDGNFRSVCTASGFAERTIYSVGWAPSG SDVSPAIVACGSGDNKVTLLGVYQSRGYEEVHVSVVAEVPSAHEADVNTVAFSRSTNE LWGDNSRGGEGLLLASGGDDNIVRIWRVTAAL XP_847218.1 MPVTVEEVVLRYLEATCPSAAEAFKNRVPLQNHQEKNQNNASGA IIEDAVRLYNNQETSVHTDEENEPLYKLLGKRIAEDCDVKKSEQARKKARTETSENKR KAKDIENKGKVTPKKPVAPESSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPDSSSD DDDEPVRKPLVKANSSPKSNAAVKKPVAPDSSSDDDEPVRKPLVKANSSPKSNAAVKK PVAPDSSSDDDEPVRKPLLKANSSPKSNAAVKKPVAPDSSSDDDEPVRKPLVKANSSP KSNAAVKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPDSSSDDDEPVRK PLLKANSSPKSNAAVKKPVAPDSSSDDDEPVRKPLVKANSSPKSNAAVKKPVAPDSSS DDDDEPVRKPLVKANSSPKSNAAVKKPVAPDSSSDDDDEPVRKPLLKANSSPKSNAAV KKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPDSSSDDDDEPVRKPLVKA NSSPKSNAAVKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPESSSDDDD EPVRKPLVKANSSPKSNAAVKKPVAPESSSDDDEPVRKPLVKANSSPKSNAAVKKPVA PESSSDDDDEPVRKPLLKANSSPKSNAAVKKPVASESSSDDDDEPVRKPLVKANSSPK SNAAVKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPESSSDDDDEPVRK PLVKANSSPKSNAAVKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPDSS SDDDDEPVRKPLLKANSSPKSNAAVKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAA VKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKPVASESSSDDDDEPVRKPLVK ANSSPKSNAAVKKPVAPESSSDDDDEPVRKPLVKANSSPKSNAAVKKPVAPESSSDDD DEPVRKPLVKANSSPKSNAAVKKPVAPDSSSDDDDEPVRKPLVKANSSPKSNAAVKKP VAPDSSSDDDEPVRKPLVKANSSPKSNAAVKKPVAPESSSDVVEDFGVTGRKRSLSHY EGESEEILRKVPRVEAVDSYRRDRKQPVVGKCDDFSVRGSGSVRRPFQRIDPTKVAFA SNDLRDNGPKAEHMALRQNQEMMRVKGKSFNKLKQKNKAKLYASGVDVSVRSFQFEDS E XP_847219.1 MSVYVIHVAFSCFPVLLFYRWPPIVRYPCPVYSAKYFHSVVCLL LFLFPFIIFLNSGAPKESMCAGRDCSPALNVLAGPPTDNGKQPASLPPDAGWKTPPTA MDDQFLYGVYVPPAAYGKLHNRGNLDESAEGLLSVPYGGAQKWEGENEWETSMLGAPC SEPLFCLGACCCPWCCAFLQRKKLLENDWSRYLCCAGMFCGSRCCVCEGCEPCCLCLE SCFCLACAVYGNRFIIRKHYNLQNDCCDSVIIWCAYLCSCLACLLNDESLKTIADVFF YIVIGCMLSQHQHQMKKQGYPWCRIMK XP_847220.1 MSVYVIHVAFSCFPVLLFYRWPPIVRYPCPVYSAKYFHSVVCLL LFLFPFIIFLNSGAPKESMCAGRDCSPALNVLAGPPTDNGKQPPPPAGYGQPPPPAGY GQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPCGVYKPPDD YAEVQKWEGENEWETSMLGAPCSEPLFCLGACCCPWCCAFLQRKKLLENDWSRYLCCA GLCGDRNCCVCEGCEPCCLCLESCFCLPCAAHGNRFMVLQHYNLQNDCCDSVIIWCAY LCSCLACLLNDESLKTIADVFFYIVIGCMLSQHQHQMKKQGYPREQTME XP_847221.1 MSVYVIHVAFSCFPVLLFYRWPPIVRYPCPVYSAKYFHSVVCLL LFLFPFIIFLNSGAPKESMCAGRDCSPALNVLAGPPTDNGKQPPPPAGYGQPPPPAGY GQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPPPAGYGQPP PPAGYGQPPPPAGYGQPPPPAGYGQPPPPAGYGQPPCGVYKPPDDYAEVQKWEGENEW ETSMLGAPCSEPLFCLGACCCPWCCAFLQRKKLLENDWSRYLCCAGLCGDRNCCVCEG CEPCCLCLESCFCLPCAAHGNRFMVLQHYNLQNDCCDSVIIWCAYLCSCLACLLNDES LKTIADVFFYIVIGCMLSQHQHQMKKQGYPREQTME XP_847222.1 MVAVNRKIVVFTDVEDKQVLEVIREVAGDAETIQLEKSFAYEFM WRGKHTPYRVGTPMCFITEKTVEDADVVFAVYSKRVPVLSLTTGGETTNLLETISSLG IECKQNTSETWADAVRAFLPNNNSESAVIVFEGGDGAGKATQTEYMRKRLDKEGKAHA SLDFPSDKHRYGKLIRKVLSGERGALHDLDPKLFSLLFSLNRFDTLPELRYWLTHGKK ILLDRYYTSNFGHQASKLEESERSDFIRHLEQLELEWLKLPPPDVALYLDLPPAAALV AMQRDEKRESLDIHETAGLSYKENVRQTYVWCCQNQRNWVQIECCNDEGERYSREKVH EMIYNAVAKHI XP_847223.1 MSVEEVDTLLQGVVTCGTNADEVALEKLREIPLLQLSRMMGKYL TGEEHVVNAVRVLGLATVNRRDRTIEEVDVMLRFFSRKLSSMQTIAVAVESTALLVRE LSSEGPCTSDVFNVLHTEFLQSFSLQALPTNVRSWGYDVLRFTVTKETATWFTTPFLQ VVLKSMDEENEPELLMRALELHHIIASYAREDAMQPLLEEYFDSISSYFPVVFSQPPG CKVSKEDLRRVLSACMTHPLYLNICVPFLLSRMASPSSVVKQESTNALLNIFSLDSSH TTESLCPHVVSVTNHIRNEVVRAVSLGSGECEPYVKDCVHVLKFISKRAQDVSLSIVR SWLEPMTSGALASLRSGPAVCSAYATMFYHLVSSSTCCGAATVQCFLLQLLSNTREDS FEVDDAVLIISAILSGIFDAGNGGECGKRPPPQRDVKEGLETVSEKLFQLVEKLTLSL QKADASSVHVRCELLASLVTLAAWLPHWMPDDTVLLIYKRLIALCLCGDEEVGNRVVK HISRIGSVEGNLLNVALLDMPADINPTAAGILTLYKGLLGSQASLSLAATKSLLECPE GLFGFTLTERDVFSLCRSSLSAHADYSTEDIRCLLLLTKKRNSVASFDYLCDLLLLLP IAFVPSVLQEVGGEQWGVLTASLVSCFDMDVLGVSDHIEHWMCEVLDAVRSTPTDVLT MQSVSSLCARVPGVAGRFLEAAKRLNPTTQLAARAAVARGLLACGVAEGGIIELIIEE ITNSLRSGYVQDCYVDILTGVFVFCYQGCRKTTTLILPLVHAATRGSKPVSLELLRVV AKLLQDVSPTCGLEWGDIVEFSRRVSKPLNEEFVNELVELLDLALSQMDPKNVLVEAL LGTDDLLPLVLSGVRSPLLKVRCTSLRLLSEAALFVMQFSSENGDSGNSYMSSALQVR DEVLHVSQVALSDHKRMVRRSAAQCRHQWYKLK XP_847224.1 MNFLSQIYTDSCYKSYLDNEPPFLVAVVSGKRLCISEGLSRMSN QSSLFHIKYFPDLRSLPTKQKAAGAPLPNALGVLKSDWCTRRLHSRFSCVIFSLDWET VSDVAMDEIGNSSMWDQLLAWTRTLRSKIIVALATEKCSNEGEDVKEKLETLQRVLKQ RLGDDFNELIILFKLGMERESAERLYHMLKKTSTAYHMEELARIRNKPPEPNYLAVRL GFKTGWHSLVVRDLGAAVKHFTNAYNCLRDVAPPQSPMELRMCGTVIIMHLLNAAKAL SDVSFEDLYYGMCEDHIVWLGQITPSTGENFTMVQFLKPLLIAECHYWLAKNTCHAVP VASMGHLCACMFAYEDALKVNRSLETSAQQTVAPVLIGVECCSEAHVNICSLSGTSDA LTKRVGELLSECASLTVPTVELLYTSARLNVMLRRTDEALYSLEMLWEHGVKSYEGAK VIHGLLIELSKSVPDELVEKCSREVTLSYASLSFGPGAEESQRRFRDSFAKMMSSFSL DSFLSYPHKGYYAPFNIFCGFSEVHGDDSMHELVMVFRTHSIDNVNVDSLCVNLSRMR DNKLDSWATQTSVDRSVELCSCNSSSVSALFDLREPGVYYCSRVQGRVKCGDICLNVE WNFDDTSVENNNVGTAVY XP_847225.1 MVKVEPPVQLLQRHISALPFDVLIRRIHSSALANPSRSLEAHFL GSHSPPDRCEVVATRAVSATTSSAARTAGATATELRSLLSQRLLLDIRRYQASNQLDL DDLSLVLANAIRHRLSPELAAITLQYFADALSATGAANQEPRRIEALSRIMEACCDAE LTEWTPMTWDCLATIVALIEQRWLALAATASVCALLLQFLSTVVGAQLQGRAASSGLL KTDSQLLKERCLKLADSATQALAEALESDESSRWNPGQLQGVCAVVEQLRKFENLNAH ISHSSAKQMSFWKRHGTVNEPTYVWKKVRRVLTMQILTHGTLERAVRAMTLQQASELF ASISTTCGADAVGKVAAPLHRMLKVVVAADLGTMGNVIRIGEASLVAEGASALLAQSI DIIGRYNYVLTGGNGWGLLIAACTLFERAPLSISPVVDRTLGALQLALEDAQWVSPDS PEFFAHSLAVASLATLLVTHKLSTTTKLESRIVDIANSSPLLRDATRGPSIVVRCLFF LISTADGANGRGKIAEKRACALGLLMAESRDLHGKKEDCGGSFHLTAFERRILRFVQK XP_847226.1 MGSVDHPGMLKHLLSENARLKALAAQPEHERILQDVLLTQLQRF FSDARQSVEDTVDKTSTPCSERSERREGAAGEESGVQRFSALVETLCILGTQNEELQR HMSDMQTKTVAALNEKVEALESERGSLKRRVEELEREVAAKGTTNTADEELTPPLMAE LRSLRTSEELLRQQLQNVHERGEAAVAESEKLAKDGIRATMLLPRLLESVEEVRGALK LVESERDVLRLQLQELKERQSVQHTLCEAQLREQVALSQSSVQHLLSRISVLETREAQ ARDEVAACMQTIERQQGIIQNLQACQGVAVETLASKRRRLESEIFDNPDSVRRDLVTF WCEGREELEQLREEVQKLRSKVQQLKPLKEKLGQLERNRSAVATRIVDLADEVSRVRE ENQKLRVQYSGLEVERDYLRGSLAAVISQHMQEEELSSCCAAVREAAARSAASSVSAV VDPQVIQQTMRQARELQSEVAQLGKQKEKLHRCISLREKRLAALVAREAVQCPAAAAQ EARPAVENGSVMHTVSWAEAHAVDVLSSLEEGVATESGLQRQGVLVAMQTRLDAAERE VAVCKQQLEASDTAREEAEARYSAARAELQATLSARETAMTEMHHTNTVLLQSVERLR SEQKQLRQSYYDLAAFTENLASALEVMLLFVQGETNLAASVGRLLLQHRSEICELTQQ AADGWEMRRDDVQRVVESIDRMCEYVRQSTEQNQREQALNSVTHLRRLTDAVKQQEGR LKEMQKNFTEVCQKLGESLATRVAEAQQQAEGLWKKRLGMLQEERHRLQGQLKAEKDL LSRMECLMIPGAMTQPTLTAAEATVAHAVINPGTDAPELPDQERNEVVDVINRLLAAV SQPATTEEDVPNPLLLPAGQCKRGGSGECEAELVEEEGQGGERGERDVLETVSGITKV XP_847227.1 MRFFVVAVSTTLGLASPSRWVLRQQALTAVLTPARRYVNALYRV PPQNLIPTHSPIGEEVDTELFVRQVKDLFLALKQTHLVDQVHEMRACPYYDSLFAADI LFQHYDIVLPMPKYGREHRSSEISETLRYLRACGSTGDFNHVLQDVKGGSADGGDFVS TTHGILMGYGTPRTNKLCMMAITDASAPNEAAAAQQRALNIVPIEMSPTAPPLADVLA FAGKRTLIVQDTEHGRSAGEAAANAIRKVPWQILRIEPNCSIISHLCGVNSVFDVLVD QDFPTSMERIGEAGLNPFPVEWTEPRKLGLSMRRVCLIARFARGTMSAGGYADSDGHR AASFTYHSRNIASNSRLIHNGHRKHGDSGAPLEAQLRSGELPAPVYQRPPRYAPAIHR TGGLATTATTRDKRERE XP_847228.1 MGAGTSQPSSSHDINGNGRTSGKALGNALRCHGWMVSKCVRQWK AAAAINSEITIPPPGKGQVRVKLYAAAVNPVDAKRAAFGYQVSSSLFTGGLSRGTGVA STMLGPETLFPFPYVMGVEGAGVIESVGWDSSKEQQYDFQVGDRVAFLADMSQPFGGT FCQYAVVHADALGKIPAPTDGNDFIDFVEASTMPCSAGAAYVALFDKLRVERGRSIFI SGASGGVGSVAVQLAKYVGLCVLASCSTVNVPYVSSLGADFVFDYTTNNVVKECLEHT QSVGVDYVLELADAALAAKHAEALRFGGSICVLPGPMNANDANSGVFFRKQISVSYVC LAGLYGSEVTRKLLRSVVEESLRLYQNGAFRLHVETASIERVRDVMDVVASGHARGKI VLTDFHPTESPGVDAAVGSRRRKQVRDAAKLTAPAGDATAR XP_847229.1 MDSVAEPLNAPEQGQSEEMKKHPQKVSAPCTAKRKGQKPKTEDN GELQSAGKQKRKKSPAKPPNKVRGGVKTRGMARAESVSKPQPSPEVVNEAPTSEKCNG TGLPSSDDDSEDALVFPSGAPQMKREAAQFNPGLALVRSVIAKIEPPDEITPRITTKR PRSMASGAADKNKKVAVEPPTKVAENSTPTDPVVLSPPSRGLASFGFYTQSKKSTESK ASVNKLFTPFVQDKRVSPLSLQFWLPDGPALKRETHVDDVLFLGETSPKSLQSEVCAT NNGKVVWAGEEDETHSTQMYQGVGTPYSSFAAMIEHATAHSDTNDGCSAYVTEALRRC PCSFHVQLPPPRKDFCNEIIFCGFYAISFDPCESRPPYFGTYNSQNALNVEELMRLAR FGVSEEMPQMSNLDYEYDSGDDWDVADGDEDVGMSGDSNSDEEVDENSSSIVGSDEAN NDFINDNDEYDDDSEAEIQRRMFDSRERRRNRLKGREKFVPAFSGPFVAVSALDHPLR DYDKFERISSELNDEVFTTMFEQCLRSCGNVGSSLTTNGNSNCTDVGENQQSFLPHSQ QDKRDMTRQEVDILHGIVAANSRISTAKIVTVLLEGGYCVGVSQAEMVRTIRRHYERN HGILVRRVEPWAATDERLFKRRKKQKAGASTANDGDRKEGNDDDDDVQEMSGDDDDMG EEVPLAVTAMEVVCASRDCRSKR XP_847230.1 MPPKQHKEKKKKKKKSTPEDDLDEPYHRWLDETYYIARTHREHA AAVHMKFINTAIKACTTAIVPYNEMLLLTTGIPTCETEVVPMVSVPSIIRSLGFNPTT KQLMQIGWITALVKEPPAEFLSDVLSRTLWESENENKLKRCMLMTHIDFESCDLLTSR KKLENVGLQLLQTGSFVFDPSQVPRVFLPAVDLLRRVPVVVQRSDADTLDKVFETIWA AANTCERGTGGIRQMNIAALHKTLTTAMRSHRKTSETMEEPSLTKVEMESLLSFVCGE GGNVDEDTFALTAV XP_847231.1 MMGRIRVCPQPTYPSVLHLFCIGGVTALTACGGALLLYYRGVWV PERRRLLQQQTCLPPRRTRQFIAGSGSYQQQVAVFQYHPHVLREILFCGLVVLRTILL VVHMVPVIWFTFLTYGLHFCSEPVFFGKVRDMLLAMGPSYIKLGQWIATRPDIFSPVM CSALEKLYDNTEPHSWSHTEKTLRNTFRESPQGSNDEGRKGDTGTRQNVLYYLREIEK VPVNSGSIAQVHRAVLREDVDGVPTGTTVAIKILHPLTREIICADLVVMKCFVNVVTT LFRDTVYLDLRRALGEFSSLLCSQLSLDLECDNLKQFAFNFRDFPGVIFPKPLPSFCT PDVLVETFEEGRPLQEIQPCDEFRDAAQRGCHMFLKMLFEDNFVHSDLHPGNILIRAN PGAPLNVPPTTFFSPTTVERYPDGKPKLKYELIILDAGLTTSLSPEERNNFISLFAAV ACGDGSLGADLMIDRLPPDVRPPYPEAKREKFRMDMTNIFNTVAPGASDGFTLRHVRI GSTLCKIMNTLRENKTPIDGNFASLVLTVVVGEGLGRKLIPDFNLFAEAVPYLMVLLE DNELSYLANKLRSTYGAGTLLRDSLSLVELQRTPTYIEAGLRKASKTVDRILQQLTRQ SAVTCASQEEKA XP_847232.1 MGGRTESREALAALVAALLLLLGFVSPVIGDQTVGTKVIVNLFN SCINCSSGQADGINGTGRLFKAVGGFFKNKSFPLLLCDVGGGGSTVRLVNKTGIYTVA GNLAKRGDEVGPLEVARFNHPTSVVGVNNDIYVADRDNDCMKRIDADGMVTKFAANEV DKPSSIIYHEQDGAPVLFISDTGNSRIMYSQISVSNNVTAKLVGGFQPGVMQISKKRN FMYVVKETSWIAAVDLNSLSDSDGNKKSWDIANMSCLDYVDALMLTEDENELYYYGEP NGESHIMSLELNSSETGLLCPKKVMEWMHGPIVSLVKVNGCEYYAITETAVYAVREKC YSPTPLPPVTPTPTPGSPPRSTAVAAFRASSFPMGNDRLMHALYSWIIKDVEVAFRTE DFYAVFLPPGEINVPGGTNVSTWTNLTAMMNLENTILITNYRGPPGMSKGRAQKRLFS SPWTWTRMFLEEVSQQEQWSHLLPLCMVKCVDDCQHITLAESVCGDDSRLSRCDGVCL GGIVSSALLGAVAIVLLFLMVVSPYNVKFAFVRLPAFE XP_847233.1 MTISSAEATSTTQQLTTRAMVNYIVEHAAPSAVCLVRKIQNSPY QPLSSEKVLSVATPNVLSLRPPTHVAHQALMEFYSAINGHCGVNCPDPFSIELQVASG RPRDANAEGRMRECPLPHNSATGTTPPYGCAGGTASSSLPHAPPSQGIGVVAGGRNSA HQQNMATPLPSPAGSPLLPTSAASSSEDYRLSQIRRNERHVGNATYGRVCTFFLTREG CRRGKYCNFLHIGKGPAGGGSALP XP_847234.1 MCLSKIFSPVDQSLKVLVEVMTRLAGQEVVKGTRKRGRSKECGT DEEAHFVIRINGRVTQVPSAAPSLNLRQLLGQAGVLLQDITDEETPILLLPCPNTGNV TVHPNHNYRLVKFVGEHMCLAGAMSHANNNSKTDHVAIAAKDGEAEKKSPLRSDIWAM AELWAVIAFMQEHRASCVQDFLSLPVERMATYYSRGVLNLRRQCFSGVESESSDEEVE ESEVCYEGKEDEED XP_847235.1 MHRITVRLMPTDTCTVIPLTPSITLCGINCRKKHTMAVSASSVG RAFAFLGTALAGGAIGMVVERGGWFGVDKCHPSPVPYQQMTERTSPEVLHVQCPQPAY PKERCNDDGGETTPFVLRLTSKGLPSDDHLRYYKGFVSSLNYERRIPNWVLEYIPGRT TAADSVVTADNDLVNASAAEAQRDGMRFFADMTVPQLFRVQPGDYIGGGRGQHSRGLS RGHLAAAQFHKSSTVELAQTFNMNANTVPQDMTMNAVDWLRLENLTRKLRRYYERGLW VVTGPVFHPRLVDGDVRTWRWAEPSQCPSPVKPVSSGVLASGEHCHCGNDKAAVHLRK IVCYELVGKRDVAVPTHLFKVILGERADGAHEAAAFLMPNEPIAVERPLTAYQVPVVE IERLTGLEFFRNVAAAGSDARFWGRELDALPNICRRVVCEARTAGMFRSYRDVARLRA AGSLPELQSVYSILLAEQQQKCGNTAGITVALDGVVAQEYRERLRELMAVSTNDTG XP_847236.1 MPLWKQTNCEVETMNVREVVGTVHLGYVSQMLLLVATVATIVVR SGAAPIELKRHVTTVAGKYGHIGDKDGFPGMSELSSPHAMCRGRNSDEILLGTVDRFR AFSRKNRETTTITAWETDEDQKSGSRSVKVDKPRACVQWTVGGSTFVYFVESMGEVKY FKDSGVFSHDVVRNGSLTGVALYGNHLYLTEQNTNTVWTCEVGSDGDPIACHSHVALS ANCSIYGPIGIAATQQGIFVVARGPAKQGTICWFDLQGHKIAEVDGEYVDITSTRSGD LLAATQNELHRVSTDGNKLTTKRFAGGSTNSCLPNTEGDDTLLCEITRLLVVTEYEMY VTSEKKSVLRSVTLPPVYVQGLFPGRPLPVGYPDKDIMEWIVGNLTEDINTALGTTES IVASSSVHVDSTTWLTNFTAGVQQPDFDDEKTEQALHESNYEHTKEAADEYYNLTDEQ VYMDSTMVPYCNRLSLDALRRKLAKEAGEVLNFTLIYADMPLKAESSDAENITTVKLL MPASFNNTVTHDLLSDANLTETAHSFIKYLRSSDTHVDVTFSNPPFNFSSLTPDEEQE VRWYIHDEVMNQIKKCEERSTGRSMARREEVGDHSRTTIATALDSNVTGVCQSTITNR TVSLFYQPPYVEMSLYEVFIPGNYTFDVSECVGEIDWQDLNDHLNNDTVRPTTEKAPK CGRVCLIIIAVVCALIVAVLIVLAVVFTSKRRRLAAVVAPARPKFVSTLDEDEQDYAS AYGNKERVEQ XP_847237.1 MGGRTESREALAALVAALLLLLGFVSPVIGDQTVGTKVIVNLFN SCTTCTVGQADGESAKGKLFKASGGFFQKKRFPLLLCDIEGGGSTVRLVNKTGIYTIA GSLTQRGNKDGPKGDALFNNPTSVVSVNDDIYVADRDNNCIRRIDAEGNVTRYGPQDL NKPKDILPFTLNGTQNLFISDTGNSQILYVPLDANNTVVTTLVAGFQPGVMQISKEKN MMYVVKNTSWIAAVNLSKTGESDIGKSKDIGDVTCLHYKSALMLTQAEDKLYYYGEPN GEGYIMSLEVERPSPASQWCAQSMLKWDYDRIVSLLRVNDKEYYATTETAVYAIRDVG YTPTPTPPPTPPPTPSVPPSPSPSPSASPSPSPSVQPPPPPPPPPPPPPPPPITPNPD PPSPPRPTAVAAFRASSFPIDDVKLMHALYSWIMRDVEIAFGTDDFFAVFLPPGYNDV PGGTNVSTWTNLTALENFDKNILITNYQGPPGRSKQELQKRLFSSPWNWTRKFLDELV RQEEWDHLSPFCMVKCVDDCQYISFNESLCVDELQFQVHEDLRAAGIASSVLLGLLAI MLLWLLIASPPNARSTFVRLPALE XP_847238.1 MTISSAEATSTTQQLTTRAMVNYIVEHAAPSAVCLVRKIQNSPY QPLSSEKVLSVATPNVLSLRPPTHVAHQALMEFYSAINGHCGVNCPDPFSIELQVASG RPRDANAEGRMRECPLPHNSATGTTPPYGCAGGTASSSLPHAPPSQGIGVVAGGRNSA HQQNMATPLPSPAGSPLLPTSAASSSEDYRLSQIRRNERHVGNATYGRVCTFFLTREG CRRGKYCNFLHIGKGPAGGGSALP XP_847239.1 MCLSKIFSPVDQSLKVLVEVMTRLAGQEVVKGTRKRGRSKECGT DEEAHFVIRINGRVTQVPSAAPSLNLRQLLGQAGVLLQDITDEETPILLLPCPNTGNV TVHPNHNYRLVKFVGEHMCLAGAMSHANNNSKTDHVAIAAKDGEAEKKSPLRSDIWAM AELWAVIAFMQEHRASCVQDFLSLPVERMATYYSRGVLNLRRQCFSGVESESSDEEVE ESEVCYEGKEDEED XP_847240.1 MHRITVRLMPTDTCTVIPLTPSITLCGINCRKKHTMAVSASSVG RAFAFLGTALAGGAIGMVVERGGWFGVDKCHPSPVPYQQMTERTSPEVLHVQCPQPAY PKERCNDDGGETTPFVLRLTSKGLPSDDHLRYYKGFVSSLNYERRIPNWVLEYIPGRT TAADSVVTADNDLVNASAAEAQRDGMRFFADMTVPQLFRVQPGDYIGGGRGQHSRGLS RGHLAAAQFHKSSTVELAQTFNMNANTVPQDMTMNAVDWLRLENLTRKLRRYYERGLW VVTGPVFHPRLVDGDVRTWRWAEPSQCPSPVKPVSSGVLASGEHCHCGNDKAAVHLRK IVCYELVGKRDVAVPTHLFKVILGERADGAHEAAAFLMPNEPIAVERPLTAYQVPVVE IERLTGLEFFRNVAAAGSDARFWGRELDALPNICRRVVCEARTAGMFRSYRDVARLRA AGSLPELQSVYSILLAEQQQKCGNTAGITVALDGVVAQEYRERLRELMVVSTNDTG XP_847241.1 MPLWKQTNCEVETMNVREVVGTVHLGYVSQMLLLVATVATIVVR SGAAPIELKRHVTTVAGKYGHIGDKDGFPGMSELSSPHAMCRGRNSDEILLGTVDRFR AFSRKNRETTTITAWETDEDQKSGSRSVKVDKPRACVQWTVGGSTFVYFVESMGEVKY FKDSGVFSHDVVRNGSLTGVALYGNHLYLTEQNTNTVWTCEVGSDGDPIACHSHVALS ANCSIYGPIGIAATQQGIFVVARGPAKQGTICWFDLQGHKIAEVDGEYVDITSTRSGD LLAATQNELHRVSTDGNKLTTKRFAGGSTNSCLPNTEGDDTLLCEITRLLVVTEYEMY VTSEKKSVLRSVTLPPVYVQGLFPGRPLPVGYPDKDIMEWIVGNLTEDINTALGTTES IVASSSVHVDSTTWLTNFTAGVQQPDFDDEKTEQALHESNYEHTKEAADEYYNLTDEQ VYMDSTMVPYCNRLSLDALRRKLAKEAGEVLNFTLIYADMPLKAESSDAENITTVKLL MPASFNNTVTHDLLSDANLTETAHSFIKYLRSSDTHVDVTFSNPPFNFSSLTPDEEQE VRWYIHDEVMNQIKKCEERSTGRSMARREEVGDHSRTTIATALDSNVTGVCQSTITNR TVSLFYQPPYVEMSLYEVFIPGNYTFDVSECVGEIDWQDLNDHLNNDTVRPTTEKAPK CGRVCLIIIAVVCALIVAVLIVLAVVFTSKRRRLAAVVAPARPKFVSTLDEDEQDYAS AYGNKERVEQ XP_847242.1 MGGRTESREALAALVAALLLLLGFVSPVIGDQTVGTKVIVNLFN SCINCSSGQADGINGTGRLFKAVGGFFKNKSFPLLLCDVGGGGSTVRLVNKTGIYTIA GSLTQRGNKDGPKGDALFNNPTSVVSVNDDIYVADRDNNCIRRIDAEGNVTRYGPQDL NKPKDILPFTLNGTQNLFISDTGNSQILYVPLDANNTVVTTLVAGFQPGVMQISKEKN MMYVVKNTSWIAAVNLSKTGESDIGKSKDIGDVTCLHYKSALMLTQAEDKLYYYGEPN GEGYIMSLEVERPSPASQWCAQSMLKWDYDRIVSLLRVNDKEYYATTETAVYAIRDVG YTPTPTPPPTPPPTPSVPPSPSPSPSASPSPSPSVQPPPPPPPPPPPPPPPPITPNPD PPSPPRPTAVAAFRASSFPIDDVKLMHALYSWIMRDVEIAFGTDDFFAVFLPPGYNDV PGGTNVSTWTNLTALENFDKNILITNYQGPPGRSKQELQKRLFSSPWNWTRKFLDELV RQEEWDHLSPFCMVKCVDDCQYISFNESLCVDELQFQVHEDLRAAGIASSVLLGLLAI MLLWLLIASPPNARSTFVRLPALE XP_847243.1 MTISSAEATSTTQQLTTRAMVNYIVEHAAPSAVCLVRKIQNSPY QPLSSEKVLSVATPNVLSLRPPTHVAHQALMEFYSAINGHCGVNCPDPFSIELQVASG RPRDANAEGRMRECPLPHNSATGTTPPYGCAGGTASSSLPHAPPSQGIGVVAGGRNSA HQQNMATPLPSPAGSPLLPTSAASSSEDYRLSQIRRNERHVGNATYGRVCTFFLTREG CRRGKYCNFLHIGKGPAGGGSALP XP_847244.1 MCLSKIFSPVDQSLKVLVEVMTRLAGQEVVKGTRKRGRSKECGT DEEAHFVIRINGRVTQVPSAAPSLNLRQLLGQAGVLLQDITDEETPILLLPCPNTGNV TVHPNHNYRLVKFVGEHVCPAGDFFPANDHEIDAITFTAEGNKLHKKRVFQSKKLADS TLGSLMVFLQSNKISYARDFLMLKPEEVEIYKGKATAAMMRHCIKGVELESSDEEVEE SEVCYEGKEDEED XP_847245.1 MCPVRMVPKVVMITEELFRSFVEQAWGGATGVRTENNEAGPQHT QQQHPNHGSVPTSAISHLITTPFTARACSPLDYPNLLMAYHRASKNALLRYHYDAPIL QPRMIYSHPKRPTPASLEHAHSSRPTQSQHQHSQYYHPRHAQRQHRAIPRHAHEAAGA RPRPSPHTRAHREAEASLSSASILLPATASDTLPRTSGYTDLRITPVMLSRASTSWPH EGVEDYMLEKPTVVAEEGVRPSWSPQQVRYRPPTSSTSSNNAHQRISSGMSMEVLNYG GFADEHDEEYDNSNNEGQYNPLDYGQKDVEEPEGRSTVWLDARDATDGFRSSQRYRYS TNARNSHHPHRTETEDFSRTSGALEQSAHWHVHSHRQTVELDSNYEEASRRTYAWRAS DGGEIFLATHSTRRSTLLDDRHV XP_847246.1 MLRLRCLLCVPAVAGQRRVIMRSQSWVSVRYVGSSSRVHARFLG RGCSFGAALSEQHRWMRVGAHKRAAGSADETSQGGAEGEDGAPRGDRANTTSGIHSHI QGLKEDIRKFPDIYNSANAFNFILFTAFCLCSTGSNVEEKWWMDNWGIDATFAPWAWL LHSFMMNNFLSAAFSMLLLHTMCHSVLPTIGSRGLVIYCVITAVTSGFIMWVGNKLAN NTSEKQFGPWDIVAALFVMQYLHQGFTPVQILNSFTGWLRYACWVGAVCIAYYDWQPT AVGTLMGLALCKGHPRFRVTTGAV XP_847247.1 MENSTVAFSVIQDLIHWPLPPSDPSVNNFGETHAGSSVGSLAFS DDQSFNELPLTAGATEELDEEPPERQQARYAAVRRLMALSLAMGEEWARQEVVPYLLR CLEEEDAELSFSVGLTVMDFGSLRTSSSSPLTIRDLIPVVARMGESADSGVRHFLVEV VIPTLFFGVSLECSVDLREWRAKYVKTPPCSNDFSEASDELGFGRTSKQGDSTRCGVN GRFEGSRINDRNDIHCAAGTSNDVWDPFQINLHASKLRGAITDYLNNVCKYASERAEE PGVTASLIARSSALDPSNYSGNRERCDAFHHRWTMFLGLMETMLRSRYVAAVAAAVQC VSNVFASITWAMRVDENNRGVSTSTLMNSVEELVEFTFVATRTHVGRSILSCTQEPCK ELMQLLVEAQVFVSGGGGSPIAKVESAKNVSDSGDTKSGKAANAVVDDGSGRHTIQLG LAGCTASQRDVWDACLTAADAKRMPNVLFVSILRSLPLLLDWSNASNPKMATAYEAGL CFLTVCNIFRHAITPLVPHQDGGNYDGVSPEGGIRGGNKSRGNFSRSVKKEVSAPQPF IGNAALMLESAACRDFTVSEAVLDGLQQLLDELQRPHAIEDFLRDGTSSARLTLREKI SLLRQLCSLYRDCVVHLASAPSWKTRWLVTQRLPELTGSIIMLLCRIGCHHPIDGPSL VEECVVYLCRLHTELWAGPKEGDGELQEMAQDEEEEVRCVIGVCAARVFTAIGQGMVR LTFPGGLVKDLRVKNADKNRPDMGTAAGNYYNDPISPGVHYKSSSAKESISSCNTGPN EVLLATSTVTKNLPQLFDVVFACCAGLLQDKEERVRSGAAAALSVLSRTLSTMVTVCE FNPRGVPKADWLDCLNRTTSLLMELLGDCSPIVQLSLVSELVALLSTRTAGAGKLAAI MGSYGNGKALELREGALTACLNRLSKHEIWRYRAEYATLLAEMCLRFLAPNSLAAAEL DVSRTPRSVHLLEIGLRESRNVESARKPCGAVFAEDGVDPLHCFAKEELLPLLVDVLF DKVKAVRDSALESLVHMCERLSAARRLLKQELSPSSDELRCSRGRDILTGAMREVGRG GPLHGSGTGGLLRKKQSLCSRFCYDNEDDVFISDTLWPLIVNSPKAMATYLSRSSLLR IAVRIGVDKRSILLPLFDQLGHDPVLNVRLVVAKELYGILEISPGVAASGGSTGSNAL PKTFFTEKEKNGVVLDLLRLLVEDKSADVRDEAAKALKLCF XP_847248.1 MSRVRILNLPRNCTEDQLRSNLLKSLPPDGPHIEITDCVILKRA PRPGARGRGTPRKSPAPDASEKGVIRMAFIGFRNAASGHFIVRHLDGTYFGEAKLQVH VAKGLADVGVTTNMRKKLGKEEQEENNQSSTIKYEGIGEKRARDVELGTKDGKAPNAD GAGESEEDAKKRKRREEFVTERIRATTGPTWATEVLVPELSADAEASPTGDCDGGNGD AEPQGKKEQEDPNIELEKALERQKKLGEISDMDFLSSLAKESANQACSSNDASGGGGS DKRKGEVLEKGDESEEDQLLCPENPSPRDDQEEVVRESRRIRLGNIPFIATEEDVKQF ASSHVGPVEAVHIPLTRDTKQSKGAAFVKFARVEDAVNALSRCQGAIFMGRLLRVSAA TEDPYAKRSEETAGGLAGNSEFKRQKAKDRRKEGEGTSGVAPVAWSSTYMNSHTAVET VAKRLGVTADAVVSVDARGAAVRAAIAEAYLTSEIKEVLGDEGIDFSLLESARENLLK ARSNTTILVKNVTLGDPAYAAQLSKMFLKYGTLEATAFPSAGAFALFRYVHQQDARVA FQRLSYKLFKNAPLFLEWAPIGSLSTAEDGGDCNDRVPSHSGNGRVDDVDEDGNHVGV AAGTPHFTLFITNIPFNSTKEDFNTFLLDSCPRLARAPDKFVERLELEQGKGRAFLTL RDASTMSYVQQRLNGRTMAGRTLACVVSKQTTAAINGASPASRAAGNGDGDGEDGVAK VFNVISRRGAGQSNGNISSDSNVPPGCDGLKLVVKNVPFEATERDIRDLFTAVSEVRS VRLPRKSHQFSSHRENNHRGFAFVEFLTEEEARRAKQTLGSTHLYGRHLVIQYAKLDE S XP_847249.1 MVQDTGKDTNLKGTAEANESVVYCDVFMQAALKEATCALEEGEV PVGCVLVKADSSTAAQAQAGDDLALQKLIVARGRNATNRKGHALAHAEFVAVEELLRQ ATAGTSENIGGGGNCGAVSQDLADYVLYVVVEPCIMCAAMLLYNRVRKVYFGCTNPRF GGNGTVLSVHNSYKGCSGEDAALIGYESCGGYRAEEAVVLLQQFYRRENTNAPLGKRK RKDLSVV XP_847250.1 MSGHRHLGGPSLTQNQGNVLGERPCVRQSRLYREHNGGNTMKEL MGQGDLKWDTTCVQGAYAGGKIFDHRKGEQENNEPIRGVCNQKHQHQYQYQHQQQQYC HTEDEDGAELDEEEAADHSFQHYGVNNNTAEHHPSQDVEAQDEYYGVVIEMDDGVEQG GGVDYRAEEKEEEAEKQPTEPIKKQIAPAGVRRGVMEPKAEAPTCQPVAYRSFRPGAG HANRQTYNIFTGE XP_847251.1 MLDLFASLVEQHFMCRFPTRSHWPGPHRHSSGDKMVEESNNKSK TKKEEMLEPKGPDKEASSSALFPVDMLELTQGTTVRSSTLPIMQQMQQSSFSWSQLPN FVGDTDSPCKQSPSIRWDWSAARTAAERVPQKTNTQGLRECKQLQPQGEGHFSSVIHH RQGNHSLHLTVHHGWHSAVTTARANIRQEQTNVPQGLLMYRAPPCTSFFPQFESVVNS TTHGDMDFSTSIPQLGPLQDGLGSVTDPTLYSTHEQMMNSETCHSRTVGYITLGSNDT NRKYGDEDASKQYIGIGSEVVIPTVVPNTIESLQGTTNTIKEGTLLQSSRLICLEDSG YGGGEAQEIPLKHVNSPRRRRTAECTYCLYERPLHCDARDGSDEYRPEFYVGLDDDYH QDYKVFDALSIVTTSSCCFGMGMKGLKPPETSENVQRFLTLINRCSSTDGDSDGEREV TVVTDGGEADPAFPVEFLTMPHKEEAPLQLLPSSSTTDSKHVSFARIGNAKPPASYAF EEFVYAEKLKAKQENSLAVSKHIKEARAAYDISNQVPAHDAPLVFGNYPTVTRETARM SHGGCSQHMWTRNQGSDEVASPHFWRRGSDEAGEQRREIHTFVRRWLEEVHEATVVTQ HKG XP_847252.1 MRLRSFLLRYYPPGITLEYETSVGEVGEKHIDLLTLTPNTNLEL LAAEIIKEEPLLSMNLLPQIVTYLQRLVDKLRAGYNNNQTFYLFKTLRAHMLPLTNCA FNKGGDSFITGSYDRTCKVWDTATGNEIVSLEGHRNVVYSVSFNNPYGNRVATGSFDK TCKIWDARTAQCYCTLAGHMAEIVCMSFNPQSTHLSSGSMDYTAKVWNVETGQELYTL LGHTAEIVSLNFNTNGDLILTGSFDTTAKLWDVRTGKCVHTLSSHRAEISSTQFNFAG NLCVTGCIDRTSKLWDVGSGQCVSTLRGHTDEILDVAFSTSGSQIVTASADATARVYD TATFNCVASLVGHEGEISKVQFNPQGTKIISAANDKTCRVWSVETGQNLQTLTGHNDE IFSCAFNYEGDTILTGSKDNTCGIWKS XP_847253.1 MGKKAEQKKREKIVEDKTFGLKNKNRSSKVQSYIQSISKSVNQG NPNDRKRNEDLEAKRKAREEKKAFEMEMARLFKDVSTVNKQDKSKQEESANNAEGEEV DKNLGCAPDEYLFRPEDFDEVQYDERRLEEQLEAEREALKGRSDLTPVTEESFQAWKA AKRQEAAEADAARVRKAKAGEGKLRGWDLWQMDKELFVDDEDADEAYERESIPDEDAD EAAYDLS XP_847254.1 MLRRNLVSLAPKHVACVGLLTTVTNGLRRRSLCATGLSGSFRYH STSSNMTPTNGGNIPHTLPHQCSIEQVLIPELEPGGSGIRTDTLRGVVQLLIDSGASD VEDVSMLDFVAMVPRRQLTALRGRREWLDVVLASERYQVCKDARALRLAGITTVGRWD ENRERVPVSMYTRGVLEEAYATMARQPAESQKDQVIMWLTKTIQAAVIEEFVANKGNR KILAPLITGMQAALPGAVAAAASEAAGEKRENKSQEASAASATEEGEDKTDASGNKFN KSPKNRGKRHARQVELAAGEGFGEGEEDKVAAAASNSKKNEKAAETVHTDEDAEIARL LEETEQEFAPAPYVRGNRNLNPVATPENVKAEASDPKAMRVRHKKRGTDDSPQKKGRH HNADVEEEQRGENAATASATTVSPPNKKERESLARLTALMLHQFSSADGYLHLPDVRE DEKRGFVIPIDDEISQVDPFALYSAFSSTSLSRNDTAEIAALKQVWAAYNSYAEAREA STADVASVRFYKEKGVEALIHAAVLLRTISRGHPVTVDVRDVPPFGFPLLSSDRRPYR SRRGKNVTDMTAEKVDEAVKAFTAFFANKKCRFTPIRPAIDFNSGCSVVALMGTTLHL YETSLKSSVREEDIRCRFAEAMLGVLHVLDQQILTRVNVVHYHILATSISGGSGTNRA TAMSNANTSIVSIDTEGPFSVAEKKRLYQLAEPLGLANAIAQCESVSSLVEAIEEFDV SVVHDILTNRDDLEALLTPTLVQLLPEADDDDDFDEMEEIAAPARRGIASRDSSTKHG SSQIPQTVGNTLQGTEMEGEETKVVVKSGRGGKSRSPPSRSTAMKEEEVEEEEEEEEE EVEMADGEAVDEEEVKYEEVEEEQVNEQAEFHEAKKRPQQPLFASANGKRGGPPPTRG REQRDRLQAMERGGDGVEGAPRRPSSQPDRKFVPDSFRSVPSSEIPPAPVPRRGYITR RPAPPVMNHEVDEIEEEEEEAVRRPIRRTPPTRRPPSPSFQSSPQPSPSRLQGDAAVR EVSYDDEEAEAELTTRPRVRSTSGVRRVGRVAISNSSDIIGDEDRWFERVRR XP_847255.1 MLRRRVISPVIAVPVQWTSGLMRHQVHNTGSLGKISYTPPGNSV PHLPWFRGAQTTKPAASTPVPPSMLNVKPSIPPLSMPSSTELDGILSITMMEEEASRV GAGARIMPENVGVLQSTAAQCGPMRATATRRMPVLTGPTLDTRIGDIIKADESLAAEM LPDIVRLLIDPNAEGVENVSLTDFASMQPKRQLAALNGKRSWLEWLLESSHYKQVSRL SLESPHYDLRALRLAGITTLYQWAEQPNLVKVSSCTRSLLDAAVDKSVETYVDEVVKL VNTSNMLEDTLVLWAADIIGKFILDSLNIPANHAMLQSGGIGFDGASTGHICTLEHYH RLVKKVIASVRREYMMQRHMGAQDNEAMKASLDPQAEAEIREKAYATLSDAMLRVLET QAPNMPKELHPLRDYGFLALERLPGLLRQTASALQLQSIPVRYFVFHNTGEWGFSPST AMSTQMFTHSDSTRFSGAHPGGNSMIVSGNAPSALDVAAPTLAGGVFLTGSTNYNVTL GEWCGSPQPSSSPELWQGNPVQNEYFSVLHRLKRHTIRVARTTLFDAIKGADRLGKGF SAAEALGLQQLFGAGKLVEERLLSVLSGYYMSSSASKQLHFVEAGHSCGKTSVLERLS KRMAESGRTAITVRYSGSTTPFAPGLDDHPLAFAPRFWFRVALASCPYLVRTEEIFTR APQSVMWWANRNNWSWELYQKRIRIRGHCEGAPPPLHAILVDDIDRVLDCMEHHIPQL TYPGGLMNSMDTNSTLQLSAGEATMGVGVPQNPQYHSPQNVEKGAASDIKAAGIPSPA PSGVGHHAQHEQQFTQDMVETALSKVTVALGQLNMVFTGHHVSKVLLVHADAPVRRYF VPLASGIGLQQRLRVLPLVSVLHALHQRFRLGFSGLMYEVLKNCPGLLGYTLDVFWSG RAALMDLRGGAPRLMQWSGTHPLLRSYVPSELFADLPQRNYLLRNPPEARMRLVELLQ RQLSSPTGYLTRDVDTTLQDERDGLIVPYNHTACQVHPFALFTIFSHQDPLESPRETP IVRAWGEVWAEYCAVVSPMGTTSVRKRDALRVLLHGALLLRAVAVSGVQIDLNLKIPP YGFPLLASPTRSKQRGSAIPTIMKATPETVRKAGSAYRAFFANTLLERFPFRPSVGLN GGCDVVFVDGTTLALYDLVTTTEALRNISYRFAEALLGVLHCIEKNILPQHRIQTVHY VSVVSVDQASSTNSGMGGVSNGGEGANSRVSVLTTDEMFTATPVPIVPYFLDLSAVFE DSVIDRLRVLQEILGIDKEFRTLNDILDELESVYHVHVHQDVVASQAELESLLSSTLM QLVPDATVLPPYRAEVLPPNALMVDILDDEHEGSRRPEMERVESGAAVPHDAPTGDDF VGGDPIQDSAELLEAMMNDAFSSSAQEYPATPAQDPSATTASMESVAVGNPISSSIPA VENTPHPAATASQEDALAWLHDIVTPAAASSQESDRSSATAPIAAYPSASTSRPAVIT APLPFNRKSNSSVTHSAMGNRATSTAREPAAELKKVQEEVKRGKVSGQKIEVVEVEEV EVEEQSDVEQATRDNKGRGRSRGRVSGSGSGSGTDGKGSSTKAVTGKRGRKPLQRSNV PEKKPKQQQANLKRTVQSVTPKRSSSSSSTTAASSRGRNKSRELAKPAKRTTSRASVA AASKTDKNAKKKQKKSALRMV XP_847256.1 MTSQNPPPRPPPTTPAATPGGVQYNTRRESEAVAIEQRRQQQQR ATDAQRRGEEPLPEQSESEMARWWPEENQNWSGRMFASPLDKNKKKFDGMFVPEMKEV HGPDKPLELSYAGDNFFLNPDFHMADEVFDETRRFVPPPSFDPAVDEIDDEGFVDGQV MFDIQKAVDEDRRRLEAFGNPLLVEDQVDYLLQPQREGQENIQQRQEFNGFVHWGLLH GAHMIIEENQDYKKAHEFVNRYMRDIDLFQKWLEHPKVRAHIQKKFGVDMHAKFDKLM ALVMAMYARSKIQVYEDDPAGALKSLTACMSFINEGADLKKERHRKAIGAVLAARGMV YCKLKSYDRAEDDLTRALRFVNQKRCATLFQLRAEAREALGRIEEARLDEERAAEIWE NAEVIAPGMSGKPYKFVL XP_847257.1 MSEIRRPQGGTSTANSSMGLRQTKRNRERSWSISCSDDNEAVPN EVSGRESLLSNPEIAISVGAAAGGSGVVSSHNVRPSVIGAGTPSVSRFMPHRMGSCVD GWGAMMTSHHRFRDCRGTAQNSNTSPRPIAYVAERLSAREEEGSSGSFGCSNALVANT GISPAYGKMGWVKGVGSATSTTVDRHLAAPVRHITTTRLTKPSKGHCISFEDVDDAED ALCTTSYFPGRSEESHGTWSTARGEHNAPSFTRGEQLRENQCRYAASGVLDQTPRERK EPLRAHGTGPRGGSSSTSNYTICDDSYASSDEDHTTKVTLRGAAANTASPLPPLPSTQ QSSIAFSDDHLFATCQSYCSCASSGGREYILVDDSDSDSSSRAETPATGAAQLVTATA NGGGPRDCLAEDLPSPENHTPEPKPSTCPQWKETFSPTGSILDLLFPTERCKPVDLNS FSVMSSTMKVSSAEAVSESTPVDHEFSTLRDTRLVEELRPLFHTTFTRESFYEAVEHV ISKKYCLEEGVFWGAFKDVEYVGEGSFGFVWRCRTLRGDVVAVKSCPLSLQSRGSVSD ALSTLREVVVMRFLGKHGVPYVLPLHSAFFVQGQECLPPDVAISVKWQGSLVPQGEQL CQAQRILPDGREDEYGEKWSNSDVNGSLDGGKQGESVRLPRFLTITTEDTMRCDATIF LVTDLCDGDLENFPHNTDAVRDVGFCVGTVLQAMHEFGILHLDVKPSNILYRRKSMCS QEYSQQQYEQTQCTLGTGTQLGSSGRPATEPAALWSQSDSVPGPYIFYLSDFGNCRIV GPKNSDRVKGAIGTYDYMDLRALKHKMCSRATDCYSLGATLYELMYSRRLYPPCQNPK CRSDVDHTQNCYVKAAERAAVMPTLPRHSQELLHMQRLIAGLLELEPTKRLRVDQFMV LLSLYEAAVLSLSTPSQTVTPSFTA XP_847258.1 MEGRLYDFARAWAQRVGSCDEIDASAIPKVDLHCHLNGSISTPL LSHMEELQRAAQGKREEDATSSEDVDAELHPRNFKSGEGGLKQLDSPSERMKYCFTVF DNIYKVVNNLAFTRMAVQDLLLHSAAENIVLLEIRTSLREKLYKTAAASVASVNSECV SKEAYLEAVTSTVQHILNGGLVAFDTGNLLPISESPTTEWWAEFQRLYPSFFDGGTSS EDMGLKWWGKLRYHLQHRMHVRLLVSLNRSHGGEVAMEVALLAKKLQHEQLENFFESE RQMCAECRTSGVVDGSAISDVESRLWDVIRRTCWVTGMDFSGYCGKNSFTEFVPALSE VRRGRDGNGGVVTNRSPLGITIHAGEKPDTAELTEIVGFAPDRWGHLVFTDPANLKTI TARYDPIELCLSSNLLTGGYSSVEKHHLTQIMSAQQQEWGRNVEDVRENTPRLIDILL DSVQLAEAMRCRVKRRLIFWKETSDNEEGYKTTMANISFNTDDRGVFETSLTEELQLL LHHSTMGEDCDDAALSVRALWALQRLTVPHAFTLPLELLYYVKQRHGAPNDETERQLE ACSCCSCGGCHHLLHVESQLQKLSGAVCADLSCMELEWLLETYDAAWGSST XP_847259.1 MSFQEIGTGFIRQYYEFFSKNRTQLAGVYRPTSLMTWVGEQIQG GENIMARFANLSFDEALFKTEDIDCHPSLSGGVLVVVNGEVLLKGESHPLKFNDVFHL AQEGSQWYISNQIFRIVGGGGH XP_847260.1 MGRIRVTPKGKAKKNIPITRSGRKKYLKRRFAHLHQIDKAGSNN YFTKKYFKRFSTASSNFKSLGLAKDPSITPKKIVDEPSQPTRRLEEENELEELRQQRK GTLQVRRISEEEAVTMANLIRKHQTDFKAMSLDRKLNPYQLNPRQLQRQVVNYLRWEK AAFPEAFAEAEANGWLSIEEYANPKNRHRKE XP_847261.1 MNIHIYIYILLMPYTCLFICVFVCFGYIDRRKRGREFILQKKRK GRRDHVKKDLRRLYCLHAQMNIEAIFFFCFFFMSRFLPCFLLSVCSIGCDDKKGGRVL VASIPFYPTVSFISHLEVLFSFFFQEIGDYLTYLRFFFRPMTQNKIMNMCRVLPTRGT WKYERNWRGKSKIKRKQICFWVEFCCMKLFIFF XP_847262.1 MERRIEEDEKHLQGWCRDGVYGCQIPSPELRLHSSSNRGHGTEQ LGREEQCEWRRPGMKKGISVTHTTERFDTKPIVVSRASRGNVDSPALSSSGSLCASND LLSVEQELKELLEGYRHIVGNGCEDWKIGGNSSHETPACVPGRVLTRRGELSNACGEY ESKNTSGSETGGGKKNATRDEDTSLPVSSVRGDHSTDANTPTPLVQLSTDILMTSNPA AGGGMWGCELSREAYSSTPVAQGSSHVDIFANALTEDQLSRSFDVQSQERKQAMGFLR QQLGTTIPSQNVRTTSPARQLSWNSTLLVDAIENSNEADNSFGMGFDTVWYPPRKDEV HSPVPPITRREFAIANSSGSASCNVKTNRSGHGVHILPPSQRQEGSKNFFGRGMVVNR CDVPLLSPQQPSCSSLLRHEVTHSEEGRPSQGDVDYNASTRVKGGDRNPLRKRRGQHV KHEPSSCEHRRGGDSTTPEIATSARRTENHSMRSGAMPMDAERACDGLDTSAEWRRCT RLGTWEVGVGVDGRVEEKIPKISGEVALGKFSDISQRQQQFQLHQHQHQQQRALFHSG RRRCSQSDRGVVNRFHENVERYMAAVESNNRYSTVLPHFADSLVGAAISDLFPAVKRR QAQLKQSQYAEAEAARTMRGCYRN XP_847263.1 MERLDELQRLQIEAKQNIEEFLLASSNIPPGVVDGPDWKAAMGV RTKAIQALDKAAEIGHEVRRACIPDGIWLQREGAPLSNRDDHGSDASIPSISIQEHIP PLIAAQILLVVRDTHVMLRELFAHNNQGHASQGDMLEAVKQAKEACKLEQHVAAVVRK QRAVVTSQGDAADPKTVQQLVAVEEKVNLLRQAMSSIQIAVLLHNAGQCDRAIRRHRA AVRMLETAVSNPSAGGFGVNCMKEACELLPVALYNVGCELEHISSLEVDSNKQLAIER EMQTSFSRALELGKQYLEPNHPLRLKLEGIQDAPNQAEPMVEPHEDGRRSNRPSRLEA NILGETVGSNQSILSLPQISQGRVTAPSRNASTPRTVTTAGMSSFATVSTKIALPSLQ DRLPSFPVVVGDSDSQLNDNWYQAAIKWDPSMPLGGTRKRTVEVVVSGEHVNTKHLFT CHRLRRPSDALRLGGVSVEDTLFAEKRREEEREREKMLEIQRRRRRLRRQRSFLPAFV GPGKPGAAQGGSESKKKSRKSKEERNESTLEIDEGMLQADGDGFVGGEALLACIDRMK SRKDDKKKHKKAKGDDKDTKSDGDDDDDDGTVTDELSGDGTLTNLLNRVRARKKTSSG TGEIAIVTENSAADNLGAGDQLLARVKKAKRKTEKKKKVRKRVESEDLTKSLFNDFSS GDALLARLGLIGDDMKKKKKKKRSAKGHDKEEPTTSEEGGSLRASQAPLSDSQALKRK VKKRSHKRAADGGSSSVGSPLHDSALGMDKGDSDADLQESSGAFNLKSALAKKPKRRP RIDKAQSSDSTQRPNSGEAAASEREGSAHGLVCEDKKDEESDEEEESDEEDESDESDE EETEETEESEETEESEESEEETVEEKKERCEIELVNYFRQLFQWRMLSAIKIQCAWRV YQARVALRERQQILYKRVYRVQKAAAMCIEGFIHSVVAQKHLRLTQQRYATAMDERIE RENREIKAALVIARYGKDYVARRRVQRYLCKLLRLNNMEELRLRVVAQTIVARWWRLV YPRRQYWRRREREIKEEQREEELLRKQNSAATVIQRHYKGHLDRRKVRLLRERLAEEY EQRQRKLNESIDLVRLCLQEYKRRRERLALEAAHREVKREEAAAVIQQGWKNALRLQV VHNALNHCRRTVRAVLLIQRVVRRFLADGTVRYLRRVQQVTSRDRLDEEYRIYRATIK LQSFARMAIAKRTARHRRAAIGRGFFYAAMRIQSASRAGTVRMQLGYALRLRRQAMEL YQWLRHEQKLKIVAHIGAFLRARRSCQIAEERRCRRLTDKLIVRRVVRRQLFLDKCAT VIQRGVRRWIARRRKKEAEERERALRALVLSCVTRIQAVMRGFLARRDYRLRSYLVQR EARKREEMEEVAVELWVDEWRATMLQCEHDRRRIEVLEEKSRDVISWCFSRTSLPGAA ALLVVKNIMRDTDDSDEELDSVYCTYREDS XP_847264.1 MEDMNLTFKVVIVGDSGVGKSNLMTRYTADEFSQDTPATIGVEF MTKSIKIEGRDAKVQIWDTAGQERFRAISRSIYHGAKGAMLVYDITNQTSFDSISTWL QELRAFVPATCSIFLIGNKCDLEHLRVIKKEVADRFARENGLSFLETSALEKTNVDKA FEWLAKSVYDHVVAPVDSAATGKRPPLNAGAAAKKPVNLSPSNSNQPAGKASGGCC XP_847265.1 MASVGNCFRRFMSVITAVLLALSTTIYAVCLSVVVFREVKRDRF TVFHMLSVAFCSLSLFCYAALHCISLKQRYLLYIITFVLLITFGFLTHSTGLTLLVVL DCRDLGILNHSEFFDALNNPAELTSMLNESGTIDNETAVIGKSGRAVHSCSLHSMVVF AACVTALFQMLALFDVQKVLVEKTKKKMYNVDVCGVPCVK XP_847266.1 MLYDVVELLANYVQYINLTVLIAPSVFPPAYSSFLRFVGRAMNA ISHGGGVAVTVNGTSKHNNTTRMFEGSTLNLPKWMPPDLRRVFALTNIVAPLSLVVLF APVLGPPQFIAFAYALCTAVFFLAGCTLLLHAQHSLEVLESGSALLKLVRAIPSDTKV AIAAASAVSVGLLAAVGLTLRLIWGKRRQAQLLEQLRHLEQAPHSVEERVAESLMNIA ASHNGCVWRDGEGGMERPVEEREREETRLRCAKAELAYQEMMQRRHGETHAFSLWWAL LKLLVLLGSGTAAAFLLRKSLWESTESLFCSPLIYFPVSMLLLTTFFLALSLSVGLSE GGRRWLFDAKLWFRHLFLYTLLLLVSFLYAPLIRSAIGLIPCRDVVDNNAPVACGADN KSTSVLEVDTSKWSTTEARKLMVGSNVSCDSVNFFLYASALLTSTTYATFFILLYGLV TRQALLALEHYPLEDSGSCSARSAEVVPAIGGNTAEAFHHSGNFFGRKWEPARGTVPR EGVSQSGRERMRHKNYYGRVHSSQNEVHFLYAPYTFSWRYFKLVVLAQKTAVVIFSAV MQEDDGLASPWTGFIASVILHLGMLVILVVCRPYSGPVEFALSLALQLMLVVLAAMGL VSSFKPAVVSRSLWSFVASCFLLVPCGAILVGGLLASRRQCRLKHRKRTKRRQRGNQV CGFLWDFLTCGLRPKPKHSGSHWFLHHNQEPRSYQIFVPALYFSLLKTELSANAGPLG AATSDRLRRVGSNHLHLRHNRVGDGFNSRAASPSPPAVDHSVPPANSYSTCGSPSPSA PSARPVVTSTSRSRERWAHVRSALFSGRLRSCSPAKTLFSIRQTPVTSSTWREETSEH GGVTTPQTLEPTLSISASSSPLAEEHQLCQRAMTVLFSTVGGACRWGASPCVDVQKSN TRRRLSSVAVYERGSCPYQLISRRNPWASLEGRHCEAFLERAQAVAARQRLIDFYQNQ RVHMLRKQRAVDCYINAQAAQMVRWLLIFLGISAAAAAALFLRGVLQVGERHVVVGVL PNCSA XP_847267.1 MTFVDLFDMTVAYVQYLYIMVSLSPNSFPEIILQPLSKGQLALL HISYDYLTGDSQKREQMFTLPNWIPHDSRLNYTFIVVIIPMVISLGGFLIVSSTGASL CLFAQVVAVFFTVLGAVLVSTPRAEKMEIMTRETQRILVLAGGVGLIVLFVAGIIYLF MLRRSQKKKRRLEMAADRGAEHREEVSAIQKAVRRVTQNKRATSALLAYEAKKEVYVQ ARRWRSILIQLLVCGIAIAAGYFIYVTLPTEDSAEALKIGRYDKAVAITFFVASSVIV IWALLSISQKGRQIQVKLTDKLSKALTSTALIALSIAFTPVTLNMMRLLVCTEVSCNE GEMMIRMKSAVGGSRNFSNSWDTTEKPCLACNFTEHTQKCSKSLQIKLCGGNIREKRL AYDPRVSCREIDNFYKMSMSLVFIAYFVAYPAFLILSIDRATSILVDEYPLEKRICDE FNKKELYYEKTLMSRNVSSSLYVAFKYQFRRSRILFLLQRILLVLIGVLMRRGPGSVV AWLGISLITCICLVYLTYLMMVRPYARPVENWYSISHQLVLTFIGSLGVVGSMSTREL VPNAVTITAVALMIVAPMFALIVGSVLTFRNDRQRVERLQSRLKRDFETVADTAENQS PDNVVVGESLDNPNCKGQATACTDPDLPPRGDGTTVGSAQSPGDMANNPQPGAEVNDS SNGRETGLPAQNPQVLASLPAAGGGVKGDNTRQRSGNRADREGAPASGPSDGADIGTA VVFADEHNAPEETDKKEKVMKDDYFGDKRSFEPQEYFRGYKEVPLSHDCGEGEGEGEG GGMDDELYEQTAVKRGELKAVLSSLIREGDDYLPDDGYPPGVAVPSNALDGWRHETQH GEEYDSAKKEDDATKGKPSCFSVMLRWMRQCGKAFHVHSNTLRYGEVLQSRRTLKMTA GIAERPFWITPSESQFLAAKAEGCDVPAIRQSAGGDEGPSSPYEPSQFFAKLVEHKKK CWNPLVGPSSRAVTAAKTAKEGSGKTKGSNSPSSALAVVASNLPVAKEGNVNPYGQTI TSDVSDRISAMLSKEQLCAALAELKAADLDRLLPRDLKDTTVTERRPSICPEFAPHVA LEGESEGNWEAFVQRLLEDIPDPLEKYRETGSRESAEAEGSPKDTTTGRRQSVTLDAA LKMAGSPSAKRKSWMDVSSARALVRGAANMNAENMPKLRRHYLLRQQLVDEYNSEAGK LESLQMAVDHRIAMSIRRYMQIFFVALCLFSTLSLAMCIGGMMYKGDNISLTNAQSAY SVHNQLFGYKSWEEFTRNCCCSSLSSPAALPPNNVMALERWVCRNRLVKERVRRDVLK GEAVDGFKIRELCGMDFKNGCKLKLHPKGRVEIENCTTPVTEQEILRW XP_847268.1 MADSNNSGCDAAVAGAHNNNNNNNEEEFLPDAVAGSSAIKAPVP RKRVVKIGTSSQLLQEELGFPKESSKRKDDAADPAGDGSPEGFLDSGTVDPFMTVIYP NTGTEKDEDGLDDDEEADIANRVVSRRDGDNFDRTQVRVGGFLQGADDNMAFLIPNDL PRSTFLYLLTASDGRDRLFKCMQCSLHLLICLLMRPSILPQGSEETADYWAERFRKNI NTIRHGRSLFKLGRWIVNAFYLQEVYERLALKYGRTMREVKGEFKAFLCRYLSLPPGS VMRRLIGWDNNGVGGGSAGQFVNREVLVPGDTYEHVARVEFPRQFVSELHSIDAKLVT TGPSLTGIQQKEVGVSGAIKASDMALQSEEAKEDGDRKVAGFSTLSEGKGLMVRDPLR TEALTSGSLAPSATPPSLYPAATEMYAVGIGNTTRASLSKPATLLRPLRCTGADDESS NSNNTSIAHNNNTAPSSICGGSLNYSLMQEGKPKGDTYNEGFSTSRNPDDSHQSYFKM YQTVPYEPKLGDALRLPHQGEGDSMNKMSKNQPSRAKFARCGSAVDNGDDIGAEENMF SLGAAFDEGDNHLESNGTVSPSDDREEERERGLAAEMGKPASRRKGILQFSTPLILLQ AVRSIATIARRLLRDLLLLSSEHFLNLSPVEKNRALLQRHCHWLWLIVASIDVLLNTI RLLNPGWYKYTNVRDNPRFRCGCKDPDTRGSVMLFRELVIRRQANLYFPDVDLDFGVP ICSSPGYFEAADPENIAPACRVCGKLFVEMTSPDEQGEKLEEGGDDTTAEEKGVTDTE EVETNGNAAVRQKASKSITGRAEVVFLFIPWLMRRLFNYIWLVRSHSNWSATIWLQVS YLAEFYLAVMYCFGGYDTGKRDAPLKAMIHPTGAIVGFVGAIIGVYRVIQSAPK XP_847269.1 MFMMLNGTADGESRWNKVWQFTKTYARHVGSVYLSFLTPAALVI AYNAAMVTQDTERFGLAWMHYAGVQDEEEREQKFPAYEPGSAPQRKRLAAAGTREYVS STA XP_847270.1 MGKRSIRIQEEASPLMEIIGDLIEKFVGNVRRGKLGPRDGKSFS MTAASGSVQLMHEIITEFKNHLEKKGTTGTHSHSCEIITSRDVHRLIWLISFTGDAML RAQFGTLLLTNVTRRVLSFIRTAAVECKVSSNEVDSFEKGDAGGSDNEKSASLDMQRL ATTNRQSSGVCSVAGGTGRGTGTTNDSNESYEEEEQEISTVGIPGRQTLRRAPSRREV DSVVREEAAVLVHAHDFFNCVLQHIVELRAEIEGMCDALCERAVKQLHPTDTVITTGS SHTTRRYLLHALSAGTSFKVIILEGAPLLCEASHKLAAELRSKHAEVQVLPDSSAFAV MGTCTKVLIGAENVLANGGILAPIGTHPLCIAAKHFAVPVLVVTATIKMTPFYPSDAY CTSLVKISRSSAQEIPWNTYGSPGDVLPAPYGADVDTFGSFVVHSPVTEYVPPELITL YATNESEYTPSQIHRIVRENYSPED XP_847271.1 MTRLPSAAAGRSSPPAQGSSVQSRSARGDAAGNDQRKLSPKQPS KQRTNAGSRHATVAEAPKNEVELYMQRINEISAQRTKVVREYQQKIASNRAQVRMMHD ENTHLRSIRACGKNVTDRELELLDRRKYATIRRLNRLTHQVRKIEEGIRRNEEERVTN ERELSEAAKDGAVSGSKSVALRIHRLEKFLDQTLGHQRFVARINDGYRELLKELVEDS IGRDARTRALEQHLDIRHQEYARLVTLYHNATSQYENVQRDLKSFDSSFQQARHLKDK ALADRRLRVETALRQTQGLEQRQVELQQEIDEELQRIEEAEIEKQLVLQRRRRTILPP DRNSVVAGTSDYNQRAELSDRIANALLASGSAKDEERMRAFEKSFVKMMRVTEAESLD DLVNKFSQEQALREQLQKQYRDEQKRLEDLQNEVARLKKKVKDHEVTYVHPAPVTFCM KSELDSYVTDASCKRDSALGELTTLERILAEVVQHTDVLAEQVSLYKPEVVVPRTKIE NVVTNLQLLGAKILSLADETVDRTSTAPCVASIHVNLPSSNTRVKLCSIKEQAKTATP TTSTPVTNNPPSPETDGSCFFDKGSCFTDSVTNGIVPIGGGKLGISTVSSDSGEHEDD TEEGRRSMALLDNDEPLGREQIKRLAAVVLRREERRRLREERQR XP_847272.1 MLLLLEREHPAVHAAVERILRGDSKIPVSVVRDFDGCEYHIRVE WDKEEKEMSQTSGVDSKDTGSGEVGTVNVGGTASVTVSLRSFTPFAELNTHGNFYEVL TTLFPSELQKFVVCDTHKGDGEDFTVAVHIPSNVPAKMLKEAMHSTAQLRAWSFVPAF ARQFELFLTNPEVVQPLQLHYHDGEEMVVYNNRGSFIVAIALRVPSKDDAVLTRHFLQ AMVEVRKHERSFCGSSAFIFEQGGPPDSVVAVTGPRGRVRDPNTFWCSFQLYKQQMEP PPRLVETVMHLVNFRTTLAYHIRAGRTYMHGIMRKRVESSLQWLNKAKARVAEETKIT IT XP_847273.1 MEGSGSYRLKRGRDELSTPPASGNFPASDQRGTQNLLRCPWCPN GHLMRPAAKSPTNNSKEEGTHLCTNRSSCPCGAVIDQDRTMSFSCIDGSDLIRNFYNA LDDHLETCQIVSQTPSQRDWAPWSIVAERSILFADPSNRKQRHSVDCSPPSLSPDPKG PVFYFLHCDNCGEKEFVC XP_847274.1 MAASANGSTKGSETDLPIGKDGTTLHLKCKSDELADRIIFVGDP GRVDVISGYFDKDSIRASRDHREIRFATGTYKGTPVTVISTGMGVDNIEIVLNEIHAL KEYDMERGQWRHRKGDADAPSAGPFFDPSTMKIIRLGTCGSPAESVPPLALAVTRHAI GMDNTSLYYSAGTRETSKDQQEIRRIVREQTGLRAIDIYTSMAHPNITKSICAACDAH NAATGSEADKQQYVIGTTATASGFYGCQGRRVGRFMKHLTVPNMVEELGSLKFNLSNG VEVVTNIEMETSAICYLSDMLGYQAGAACVVVSKRVGEKKMFLGDQLDAAMKRCIKII LEALVSA XP_847275.1 MSDVPWAQKPEENQSNIEKHTAGDAPTEFDGGTATAELGPHEHT NSLNRSYSDLDSMWFVTYAVILCWLRTAAQQPLNLALARKQTSSVANKMTTRQIIRLV YNTEGGLLGLTQGMAALALGCALSEAIYLWLFEYSRENIPLANKPTRDAVSGYIADAL CRLVHLPLSIVALRQMTANCEGVQRGRAVKSGCMIHTFASMYREGGLRTIYAGYGTTL VIGCQWTAVWWAMYGVSKGYLYAAADGFLLDGREDPVTEPVFVSSSWLSWKRWLLARD DNVALNTVASVITSAVTAVIFNPYLVVRANLQVAPKARLWGVTCEVYRTRGILGFYGG LALNIGTCLIDGVLASTSYEYAKLWADRAHQSG XP_847276.1 MGIKAKKVPPKSKAVVKRAGEISKSRVETRTVGVEKKAQRPRVT FEDDNHDDNSTARKAAAAAARRMESSGIIKSKKRLRDENEDSVPQELDDETENQSDVD DLESSGSDDESIGSDDFGGDSSDDDQEESDKKENPFEKAKREGTRLSYSVLRLRFLPP EFQETELFKFFSQFGAKVLNCFCVRSRRTHQSKGIAYVQFDDESVLPIVVEECHGMAL GGFCVQARVAVLHRPMPPKEKVKQRRQLAYAYKTKGISLQQHDVRYKNPIAALIKYSK TEKKNNAQLKALGIDYACHDFCKQLERVPPSALARGPKEKCQEIASKRGVGSNDSSRV SGKKQNGSSNANGVAGGRKKNKGGGVRSTVVSSSSVATVPASNVSGKNSPVNKKGTHP KQRKQPQEDKKTVKPQHLTKSSSVKATKPRVKRDPS XP_847277.1 MLETHCQFKHEGLPREVLAWLRCLQLPRFLRFPRRDLSNGFLVA TICSRYWKNISMHSYEDKLSVVNKRNNWEQLKKHFQQNNCPLSDRMIEGLIACREGYA ESLLRQLYTLLTGREVLEAAPLPAVEITPPTVVHTSVAKKVDIEATADHVEERKVQSN RVRGIMQRGFVPIQPMENEVPPPAVDDASSRVLHSMAQDPADEDVGSSGGGGAEGTER PPVAFSISMRRSEVQPTVVCATGGKAGRRSSRSTRDVDPDLGDLGPTYTEEMSALNFI EGIVRSQGAGLKPPPSQLSKRSPGIKIRDEAAYTSCFLSDETAVGTLLQCRVWSSLLS RVGDVAAIILRDGSNLSEVAALFLREPPKRAQRCQSVPGSPRGRNTSDLLKLTSSAAS ASGQHASQRYMFLASVMSNISDVDAFLAVSLYRDDILHNAKEAMRRLDHIGADNHAAL LCSALPADRAIALKLLPDIVSHVYNVVTQQGGASARTSFLLFLAAVVTRVTRDARAGG GSANKTQRMSSVLDSARSSAELGLEDSLSETIFTIAGYHVIGALSHESTVVRLAGARL AEVLVSRGCSRELLIDTILPLLSSCCSIPTTPLFTCVCVGWLRRALQRLCGWTCDKTW SNCISPSGTVTVSPASLVQADSFFDGEHRNLPDHVVYQLAVIMRNIRQVLRRPGSANL RLYIAEQLSLCLNDIPHQNESQALLQPQEVAVDVLRALKDAPEKAVESFFSPVLPPAV ASATTKWVQIPQSGPSLVQSPLIGSLLATGRLCDCYPLALSEAVLLLFPQDELSGTEG SPGRIRLTRDVRRQNGGAAPTPSGASPGTVKNGSFRMSGGKKQSVAYETTINENMAQR VTWLYKINVSCRSGNSFPERSANLPENISSRRWVAVFQAMYSDILALTNFSETIFSRK LAVTDESTNNIARLGGMAQQLVAAWHKEVCRDGLGSVGSRIGTGTQVQGRNQGSTLLD AMAWYNGLCRNGTLGKDRYGVDTPAAPLLGSSVKERT XP_847278.1 MFTYSTFLRSVSVGQACRLMGFAAPPIERRVLRRRYVELVKKHH PDNNGPESSAEVMANITAAYKTLQCLAGKQKEAMKGNNVDRSDTPSTGGGGREEMEAV AASFVVPGTPLSMANWDLPWQRGKTTTQKRKQEKDEQQLREGSTSLFEYVALARALEK RRGAQAERIAAALRSSEGSHGFGSGYFEQLHLQQRRDSPWVVKRKPLFILVCAYYRLR LVSAWWRWLAGLRYVVMGR XP_847279.1 MTASRYHLHIVDGGDRSSTVTASMIFAHLALRCGQLRNYLLVSA SSTDVKKNRDAANPNRLASSLGGSSAGGTRPTYVGTSDAGTDDQLLLAGEALLRGEGL SHNGISDESSWGEVLSSLKDRIIPYESFSRSFAESEVSLAMSEGPYFDFIATADVASF DYLLDYYLGPCLRSAKKGQLGVGRYGGLPEKRDRRIGLIHILCGEPVRAGYLLQRFME LLLEIDTKSAAGESGKAGEEAWINRVDHVVLQMYQLLSVDVLFTVV XP_847280.1 MSLLREAFLNSNIYFPHRFPRLVPFEQKRRMQDNVAGFAPSPLR SWRGWHRNAATHEELGGSRCGSGFGAVGASEDLNSEQQAGEQRQQSFLEETAETCSAP LQSIQHLVPDKKSRHFSSANSSPSPNTEIGVGCCCGFEAVCPTGHAAVSNPGLGATTA ADGLNCVISEPLRRSEREDVTAVAVEAFVDAVSGISSALMLLTENNQKQFESMETPSG ESRIGYKEYHALVVALRERSAALCSLVRERREGRLRLNGSQRPLKQANEKFPLDEGKV TPGCHADVKTQETRYHANYRSEKEVPFQLAATGKELNVCKKSPLRCEVGRLQDSEASV TFPDTTFCSSPKKQNMDSKKCTWRPKTSGIACAAREAMGVQEAPPNPRLRLVDTGGQS PYRQRLWFVEAPAVVHYSQWTSPPTNRAQTGAGEDSNRKGSQKTAPLTVLLPSRRDAP K XP_847281.1 MEHRAAPVVPPRIGSAPLTQGPSSFQRPTGRGIGFHRAGLGRGV NSGSPLHHQAAPSPSAGIFDRTSAPAPGTAESPVSSYPEDCVYEIAEFTRLQNTKCLP PKGILQFATDLWKEMPENNVNKPEHIFSREDLFQGESAPSKVMSERKLHNEVLGILGK VSEDNIELMKKELTNLPIRQSDDEEIEEVIRVIFHKSIQPEDSIFVQLYVKLIAHLIS SIGDNEPAGRRIRSAIIRQSQNMFEKADDAQGKLEREIAGLPEDEAEQRRMNFAAKQK ANINFLGLLFTHGLVREKVVLQILQWLLYGPETKRRIPADYEIIHFMNLLLTCGKDFS KEGAELVPHFRSVLQDLMHTHPQRRIQFLLLNTLETIDNNWVPRHGAAAAAARSSGDR GGNVTGTGDSERERHPLPPIPPPLKPVPSRDKFWAAMDKYFTAESLEEVSLLLADIPE ETRIAYCSSIIHRYITTMRYADQRARLGELFEKLGNARVLPVSEVRQALRKHLRDAVE QDIFTDLPKYFHNWAIVIKGGRSVFPLSFHTDFLDMLVDCGGSRDHIANMLKEVQAVL SEGPKNSNADYDPKSRFRVLPALLRYSPPLLSGTVVDESDDILRQFTTYDTEVEFFNQ LCDADGAKNVPLLNGPISRSLHHQCCLISAFFTFVRFDVEHLCREHKETLKRMVNSKQ PLHQLLEEVFVAWQSLGRTPERAFEDFLKAVRPFVSPKDAFDKFKSHLTTKYGETAKE AFAAFEKSK XP_847282.1 MFKKTRKAQATQVAEHTFLLCKPDAEQYHRNILQIVADEGFRVI AKSFIITPKQAEMIVKMFPSVRRSRYAEHRVDKVFPDRKAGNISQNQSSSDKQLESTP LRAMDNAERGSSGNSNDGESDSKQGSSQPERSQVIIAEIMQLTKLRCEGGDAVNAGLT KTADDGETSEGSVNGCDKKSLFPPAESIDVQQGCDNAETRYSSAAVLRNRMLENLPYQ ELLQKHTQHLAFGGTCLAVELVGENAVERLLALVGPENPVDACCNSPNSIRARFGHDL VRNAVHAASNLVEAGECIAAIFGFAPHHATAEVGDQGVSSESPGCTGLSGYMDHGEIA GVVTVRPHCELVFPGLRAPTRGPTTLEATVTVKNANMQAHISYYTGETPLGSKRKGVK GRPGSVTANRELNARDWQQKAKELEHQLAQQQRELAANAKLLRARELDLLLREHELES AVQAIFPPLQSQQGQLQQEHGEGERELLQSDATAAVPLPSVGEGHTGERYLLPFASGR DCGTGADLSFRHSGYISNSVLSRVAPKFATPQPMYDIPPTIEVDDVSLLLSHPVRLRA LFMALERSTPCGKVMWEDMEGLYNRSPAVHLLWFEDHRAHFRNYLVKNTLEPLSFHTF VSVIMFLFKQ XP_847283.1 MIDLTKQMTKIKRKSAAVMWTNLTCTVLSFPAIVVLVVAWITSM VKTEWGFVHGFMCIVALLFVVASAALSILLHCKKPSTKIFFIQQLISLIAFALTAITL GMGHVTLYVCRQSEENEPPDCTLQSVEVAVEVIVCFFMALNYLFTQQCVAWYACKQIV EGVHRCGAYGVPLAGKV XP_847284.1 MMNDKMLGGLHWQDRTSLYRAPSTTIWALRSIALNSRAGGCAAL QQLLVVAEEKRRQQLEPQPHRSDTSTEAFLQRLVEWPLPVLTRCSSILHATRGILCEW ISEAARTVKTAAEAAPTIAYYRRLYNICIWQRRHPLFILTPVLDFLGCSSTCEAIDDV LEGLSLHLVPALSTVPHVLRCDVLMTFPRVPFEQQRHLMEALRRSHPTASDVGLAGGG SEKAPPPELFIGKFMQVVPQRFGKGDISEEQYTELRRAFELHKREGVRRILAHTP XP_847285.1 MEGSHSSNMERLEYLYLNLVGQVVQVRLVDDMCVEGLFVACTDV DAETDAGIMICCTRNLASAKRSPLSPSCVNFTDDLFIPYQSIVMIEVQNAKIRTETPG RPDTGRADFSATKFDWADDGVSELLESEPHQTGTWNQFEANEKSFGVKTTYKEEFYTT RLDHSKITEEQRAQADRLAREIESSSTRGIAHRMEREECLHDDEGLDEGQLYSDVHRP QEKKPPYVPPSTGGRKLVNEPPPVPAAAAPATAPTTAPAAAPAPAAAPPAAAPAAAAP PPPPAATSMADDGSMRHKRMNEDPHHMQYDANQTAAGFNPAAAPYTPMKPGAAVPVVD FLASLADAISNNDMCYDCEPHWPGMCNLFYDQDDSSYSQQNYEAAAMPPSHSMNMHMY VNSQPNIPNAHRGYQGPLGRVPHNQSMPMHHMQGQGFHHEMHHHQAFHGMGNYSSQHH NPPIQEYAPHKGQQGVVSRPGMRQGKGGGASRVEPQQPVSANTASSPPPVPTVDSAPN EVKPPAKLQRGRGMAAFTKGDMDGDSGATTNATGGPKKRVGK XP_847286.1 MRRVRDGLFLSHPSSALQCSVAVVSTGEFDHPPFQFRQRHTFNT TPLHDANRFGGRTAYLREIGPVNIKKQGRRFKKDPRTVQFNVDVWCAQQTLRKRWKQR DWEVIEIPFRLVPREQQRVIPELYTDIPQMTDPARNDFSNIRNKVYDREELQGVLFPA AGTMLYPPLQRVDKQAMTLDKYL XP_847287.1 MSREGTKPVAGRANINIHNTATDDHGDDGPSVGVTATVLRPSRR ALHRRAVKRYNDKQKKEESKRLAEERDQKLLDVVGKVGDRTCGESASNVISSVSVVDK PRRPGGGGDREAGKHVLMGKWEFDFFFRTLPSELLRTCKDSSDVGNSPSQHQLQEVTP VPNPSSSGLYAEEEQSLVVISEGDRERPFRGSMPLKASAQSGALRGSFVRRVEGVPPL PMPLPLASGTDLDQPPWNYLVPDPMYNVHQYVPGFGRDEGAAKRSLLSCAVREWRGGE DRVLVLSTGEALRSVFRASYSDDRTLVLQVRRVGPTLLVDSHGEEVMRSGVRDMRMKS LLGKALYRILGGAITKQHMEGVSASNPSGQGVVALPMHRIGSANLTKRVHELSRYSHI LHWEIGAMDALVGVDTPVVIDGRDNTEHVLSLRDTSIPTTPEESQHEALNTWFEATLA NVPHVGVYVHHDGIVQGYEVRKAQEMLGLVERRMAATAMNFTTTVLQWLMTQCKKDGA TYAVIQNYETGALEVYECFTDDHLERFLPEDGTVSDDEVRDSGSAATKKQAEEESRQR EENERLNWGLATMCFRMGMHLKDSVDKAPDALSLLLRSFAVYFMQRRRVEEACPHICD ILKVLPDLVGLVIRNKKAALEGEGGIIQLPEICREVFITCGRFGIRLQESVVDESLPL PTRRSLLQCLLPCSAALCVCVVCAIEQFCVERATYLRLKAGCGKSSDVHIHSLVAKDL LQVVVEGLLRLENMKVAVASGMELVHCVPACDVVKEHALIDTKTDIIDITPLVKTLWE LYADVVLLAMSDPTPFTANVLVELSRRIKTREELRSEVADIRGAIGTSAVGESVLTWL SSITYDIVSLSYTALRFITKVGVQSKRLLAKTSQVYYHVGHHYLLTDRYTKALDSLHR AQSLFKVAEDAVVDSSLGECCTSSTAVTLKDVRYSLGETFLRMANLKLRLTSPTVTNT LQQPLAMGDTRPLSPEEDSFYRQAVDHFTHCEAREKLAFALRMYACRQISHIISSGHQ GDGPKGRNICSMLRRASELTGCGALLQWEVLRLFSCTAPHGALQRWSEELHHQWGDSA VSMGAGVPKELSGTVHPLEFQLQMALVAVGLSDTKGVREHRSLGFARSWAVYLTVALK GVQAAYDPTNEALLNSLTPWKVGCAHEWVYRNSLSISLRGAVAVARMLVGNKAIGAKS LCSLLGDMLNKLDEKRNAKAVAACNGNCYSLQAIPALIQALESVTGW XP_847288.1 MGQKSSTAANEGRPRSYYLVMGGPSIRYIGGPPEAGPLIFDVDI EKPEEEGEIERAEVFNMAVSVLPKEPFRLRHVRSGNNRTDFALSFMVSATNNVVGPGY TLRVFAGVDIEYRENEGIHLKQAEKSQNPFCVHETSTPEEILGEVYIGEGVQLQKVDK AVSITTPEGIKQVTYAPIVIELTYEPPVCGRERRRAPLADDARDTTQRVIQYTFLDIP DNAADLVANAARSGGEGRRPSGEVGAQVKVVRQLLQLGVEVYELDDVFDLGANSDDEN AEDDDDKLCVVCLTNERDTMLLPCRHMCLCYECASMLRIQRNNACPICRVPIERLMTA XP_847289.1 MIGMMARSGAGVFPPRRPGQTDGDLRKELNDRNAPRDSTILTRT ELDIIREMISGKNIMTTLTRSAVRTRSVEAEEHKRRMQQYDEEQRLCGGNDKPLEQIE EEQQRRLNLERAKTLLDEQYDEVKAMNQIVDEARCIAVRNAQIRERELRKEEEMEYER KMEEMMTAEAEKAAKLYNEREEQQVVARKKTLAVIKAQLEQHDVERVRKLELLQHERE AMTRHLELLREEAQAEKLQQQEKERRIMEAVALANAQQISLKKRQQELDEEEDRRIAE FIKRKQERDRLYAEEQQRIRDEKEREVARLRAEQQRAQNTQALLDDIRAQRAQEEYAR DMRRKEKERKEREAAVLQDLAQMREKQIEERKRMKAEERRLEEEEVERINAVQKVALE QERERKMWARKQHEENSLAVLKQIMDVEERRRRERQEYVAEGNSIMMQIREREAAIEA IRQRKLKELEELGVPEEYCQALQKKMKVKVARR XP_847290.1 MERVRYKVIEKTGDLGEVVQVKVRDVGVDSRDFAPVVRVASLRE CPAQVAEFAGLIPGPSCPSCRQKEAYIRRLVEYIRELEATINTDRAVSALMLKMENAE GDGVEQPKNGVRSSPQRSASGTFSYAFPTSQNITFEETDGEGHPTLMEMMTDELRRQS LLTAMMSLLNRPTLEEIVTIGEEMRAEQTKNLQPVALNKGS XP_847291.1 MCTGGSTKFYVNRSAVWLLPSWVLILLIQPLAAYGSRCDTNPIY IASECLKMPECAWCCERPVGSQCFDPSEQYNALSCSEAATLRNSSVTCGARCQAAAAD CDSCEDRNWCYFCTSSNECQPAYETCKDGRVIQSCDMQDMSEWNLLIVILPLLGTGGL LIVSSTIMYVVLLVWQCRGEETPEANVTNEHTPLLAETGSNGDHGDAANTDGGNDAQI DEERVVSNTSDNTGEGREVNASEECSPELSSDLPQLPASVAQKKDGATEEAGARSAAA SVTSNSDLLCHLCLDAYSTVTFLPCYHTCCCEMCCTKLRPTGDKGLTCPFCRVKILAM VSLRGLSKGND XP_847292.1 MITAASPGGDCDYIFKIIVIGDSGVGKSSLTVRLSEDVFYKDYA STIAIDFRMHQMTYMDKRVRLQIWDTAGQERFQSVATAFYRGANGVMLCFDLTHRPSF LHLEHWMERVRQQSLPGIPCLLVGCKSDEARTSRQVSKEEAMAWAKQHGMSYIDTSAK EKENVQSAFQKIAQEIFEDMKERTGKGLSPSGGAGGAGNGVRLAGNEGQKGSKRGGCC XP_847293.1 MKEEPAYKIIVIGDVGVGKSNISSRFCDSIYYDDIVPTIGVDFK YCHTTTLEKHARTILLQIWDTSGQDRFVSLTTAYYRNCHGALICFDLTNRSSFEGIDA WFERLRSHCPVLPPLILVGCKLDLVECSELHKEGTSLGICRQVEKSEADAWAKRHGCL CYFETSSRNNTNVSEAFQHLGTYIVNNTTPTVEGHGDKAIGNIVRLNTSTHTKKRKWR C XP_847294.1 MILGLGDGTFAILFFIILGIVGTLFGSYVCPRLVLPIGLACAAM PFIAYGCIISSPHDPVPAPLPQTSYNRERPFPTNPDEAVVVDYLFPVRVVLMVLTCGS LLAAAGYYVVRVVVLEPPFKAPRVQCLREQLEEEHPTWYR XP_847295.1 MEPAAETINVASNSSSLPQLETATTREKKRLFMMQRAERLKDPK MRHMGIDKEALDRQVREREALRQLEKERNDFYDRQALLMDRHAQALQKEVNEIRANRE KQLLDYRETYQKKETQREWDLNDPHWKAKDLPGRVGDNDPRTGVSSLQKFEGEDLDYK NRRAAQQRQQREWARQQTEEKLAKKWMEEEANRVFDERNEETNRRIYDIEQGIAEQRR MIHKNQAEFNKALAEQKRREAIRDKEEDTRKALEEIRFHMEGDFLNETETVVSELGKK VKAERYKGMTEEQKRKFLEDRARQRDLLRRRRFMEVEEERRWAQQDNLQLRMANALER QKERERHAERLSIAAEQMKQREASQIRKKQLDELYTNQVDEDYFKYWDLCM XP_847296.1 MQRCVHILSRHYLAHHLGSRASVALLCSRAYFVTTPCLFARRIK GRRTRHREPAEGDWLCQCGEPNYKSKRECYKCGAPAPPLPPGVRRPSLPGEDPHDWAC PCGQMNFRGSVVCHKCQQPKPTPPPLPGKEVTLWTCPKCKGVNRNVRKFCFKCSSPSP LLTFKPAV XP_847297.1 MLGSVDDVERFSTLLYSGDKSAMQIATELGWDGFASAMGLQLMQ RVLKESVAACSIFFVSQALNHLVTSKFALADLVDLEQMLSCVIVERHKLLDTHNRDAL VRLLCSVVKRGFCDAPELQRFPLKVATALTGEGGDYSEELVSLSCHILTVLIDTIGST DGPNQNLAVNKKTNVVFRDECLHDIFKSVSRCLKRAQLSHNQVVIGAVPLLRQILLFD FTCSLEDITEDVLTTDYPQGWAADLLDQELFAKLWGLYLLPEGDARLFSPLLESLASL ISLRGSLYPLCEDQKARISCCFEVTLPIMTECLHLEDPTVLHEFCRLLNRLKPNFSIE QMCSVSCYEQWIGALADFTHLCFQNWRHARRSFLSLTSTWAKLVGSQSYCKDKRTLFG ELAPKVCLSYITSNQEQAVAFARSGEIASFEDYFLDEDTCVQELELQFASQLLRFCGR DTEEHISTLIESHLWVLKSSEHQAGFCLTSIYEQLAWLITLSSSWLRMNHSRSDACPI ICKALWACFGVVSYDCERKLSLFVPQGTRRHFHNSLIALLCATWNALLLDRLDDGNGL RVSLQNTLSLKSDGEMSSTVLGLIVDEVMNCAHSCTDETAVEALALLTEVAQSPTSVA ILKTLPHINDRLALDAEMTMGKNSRVYHRVLFLLARIRAQIWLSNGGSQGVMGDIEPS LVGDFKIFQYGCVEHGEDYADALMRATCSWRGAFCACLCQRLYRVLLKRILPNFDVIV QHFQREFGTNCGVQLLRLLDEITENRYRRITSGAHCTEGYLVFRHIGNSMGTVVNIIV KALETRGSQLEAWGIKCLRITFHTGRNLLAGGLCNMGVLRLYADRSLPACLVALWRAM MLVDRERLCQNEKLARSYFMLASELLRDLYLWFICDLPIEHLLQVIHLLEFSLNYQNT FGTLITLGAEAVAAFTSALCCREGHEGGEGVKILGALLRADKNVFSRLLRATLDLITT RKCTTDPFESLLQTLIVLDGDSFVQLGDLFSGLAAVGGKGAEVREAFVLLQQCACESY RQNNKNSFVNGFKQFSTVVTRCLA XP_847298.1 MPPRRAVMLPRQQLRTMAFSVDDQEAALAEWQSRARTDKLVFKD ITLKIAHQRKSAEEVSRGGRWLAEHAALEREVVSCAFDFEKAWASCSSLLPEAAVRER AGMEERCESIHRSLKKTIGGLRKECRDLHKKEANVMPDGVNTLREKISTMREEISESI KVIEHEVESLLNEMEESENILPIETVQTLVRSSLELLDSVCESMVCKPNPRLVKTFRD AIEVGGEEAVSRLMSTERTGATVDLSSSDIRKISLVLKTYTSLSTLGSEKPDLPGDVY NRVHQSLPDMSRDKVRLAVNEVLRQKREKALARTVTLQFKKKSLELLKSFEKAAAADE EIGRLVADMEEEAKLREEQQRKKHRELDELRAVREAKELARRDEEEARRKEEEERTQR VMRAREIEFQERLKQLHLYQEQQKELQEKEIELRRALAEEEAIQKAIRGERNAKRVVE RQKEYEEKCRLRKKRQQDLEEIKAARAKTLEAFFNGVRQQLGVEADPERVLQGTVSSK QNEAYVPFAEAARYTVHGYTADEVAKDPRFRLQLALLEAGLHKTAYGREVISRGYRVS AAQRPSDDNPLSNNF XP_847299.1 MTDHEGSIRDLDTRALGEIDNKVDKLLTLCETDVKLKDFSFAFK LEHAVDVAAFDAGREPGEEVLHLQHVLFRMIKLSLHVARHVLHRTKGFIAPGTTNTED PVVGGATNAEGDAESGGLQRESSVAELSDGSEAWLDMGATLSHSAVEVLNLTLLSHRR LLRRLQTYCLGSQVLEYITDALTISRDHEITCFLEGFRREHVRLVANLTYENKEVCSA VLGDTRLLTAILGATRIDLENPGMGEWATFVIRNLCYCSNEAREILRGLTPISIVEEA DELFGKAVDPHATPEGRSAMEPLQTHTE XP_847300.1 MLDKIKTIVTRHASQSVSKALTTANNRPSLKDAVSQAAQMTAKL LGEREWQCPCGHKFRASGEWVATLPVYCEVPSCPNPKYYVDGPGRALLEANPSGVRLG EVKAEGKPTIASLPGGVSSRFK XP_847301.1 MQVAMPVSLVDSCLGVQELLVLVYSCVWQSLLPLYELARFFSFP FQSSSYQAAHTHTHTRTRTHVHTQQTHLLNMLSPTEPLGSGKAHTEVVTDEGEGYGAM SAADEKSHHKNGDTPTTDSKFMQCINAIIPHGGALSTTFNLGSATLGAGVISLAIAFQ MSGVIPSILILITVTVLTIYSVGLMMQAVEMTGYNSYTDLSRNLFGPGWDYFTISVSW LFTFGTCVSYVIATGYLVGSVASGCTTLEFFQGKTGNRVITSIIWFVGMFSLSLPKEI NSLRYASAIAVLFVFYFVICIVVHSAKNGLKDGKLPEDVEMFKSGNRAIEGLSIFMFS YLCHMNCFSIYSEMRKPSARRMTLHTTYSMSMCCVVYIIAGFFGYTDVGNKSVETVFE IYDVKGDVMMAIAFAGMLLKICVGFSLCMQPARDCCYYIIGWDLNTLETWKNCLFCGC MALCALLLGLFIPDLNTVFGLLGSFCGGVLGFCIPALYRMYCGNWGISQVGVVNYVCT YLLLISGVIAVVFGTAASIYNVAV XP_847302.1 MLSPTEALGSGKAHTEVVTDEGEGYGAMSAADEKSHHKNGNTLT TDSKFMQCINAIIPHGGALSTTFNLGSATLGAGVISLAIAFQMSGVIPSILILITVTV LTIYSVGLMMQAVEMTGYNSYADLSRNLFGPGWDYFTISVSWLFTFGTCVSYVIATGY LVDSVLSGSSALEFFQGKTGNRVITSIIWFVGMFSLSLPKEINSLRYASAIAVLFVFY FVICIVVHSAKNGLKDGKLPEDVEMFKSGNRAIEGLSIFMFSYLCHMNCFSIYSEMRK PSARRMTLHTTYSMSMCCVVYIIAGFFGYTDVGNKSVETVFEIYDVKGDVMMAIAFAG MLLKICVGFSLCMQPARDCCYYIIGWDLNTLETWKNCLFCGCMALCALLLGLFIPDLN TVFGLLGSFCGGVLGFCIPALYRMYCGNWGISQVGVVNYVCTYLLLISGVIAVVFGTA ASIYNVAV XP_847303.1 MLSPTEPLGSGKAHTEVVTDEGEGYGAMSAADEKSHHKNGDTPT TDSKFMQCINAIIPHGGALSTTFNLGSATLGAGVISLAIAFQMSGVIPSILILITVTV LTIYSVGLMMQAVEMTGYNSYADLSRNLFGPGWDYFTISVSWLFTFGTCVSYVIATGY LVDSVLSGSSALEFFQGKTGNRVITSIIWFVGMFSLSLPKEINSLRYASAIAVLFVFY FVICIVVHSAKNGLKDGKLPEDVEMFKSGNRAIEGLSIFMFSYLCHMNCFSIYSEMRK PSARRMTLHTTYSMSMCCVVYIIAGFFGYTDVGNKSVETVFEIYDVKGDVMMAIAFAG MLLKICVGFSLCMQPARDCCYYIIGWDLNTLETWKNCLFCGSMALCALLLGLFIPDLN TVFGLLGSFCGGVLGFCIPALYRMYCGNWGISQVGVVNYVCTYLLLISGVIAVVFGTA ASIYNVAV XP_847304.1 MLSPTEALGSGKAHTEVVTDEGEGYGAMSAADEKSHHKNGNTLT TDSKFMQCINAIIPHGGALSTTFNLGSATLGAGVISLAIAFQMSGVIPSILILITVTV LTIYSVGLMMQAVEMTGYNSYADLSRNLFGPGWDYFTISVSWLFTFGTCVSYVIATGY LVDSVLSGSSALEFFQGKTGNRVITSIIWFVGMFSLSLPKEINSLRYASAIAVLFVFY FVICIVVHSAKNGLKDGKLPEDVEMFKSGNRAIEGLSIFMFSYLCHMNCFSIYSEMRK PSARRMTLHTTYSMSMCCVVYIIAGFFGYTDVGNKSVETVFEIYDVKGDVMMAIAFAG MLLKICVGFSLCMQPARDCCYYIIGWDLNTLETWKNCLFCGCMALCALLLGLFIPDLN TVFGLLGSFCGGVLGFCIPALYRMYCGNWGISQVGVVNYVCTYLLLISGVIAVVFGTA ASIYNVAV XP_847305.1 MLSPTEPLGSGKAHTEVVTDEGEGYGAMSAADEKSHHKNGDTPT TDSKFMQCINAIIPHGGALSTTFNLGSATLGAGVISLAIAFQMSGVIPSILILITVTV LTIYSVGLMMQAVEMTGYNSYTDLSRNLFGPGWDYFTISVSWLFTFGTCVSYVIATGY LVGSVASGCTTLEFFQGKTGNRVITSIIWFVGMFSLSLPKEINSLRYASAIAVLFVFY FVICIVVHSAKNGLKDGKLPEDVEMFKSGNRAIEGLSIFMFSYLCHMNCFSIYSEMRK PSARRMTLHTTYSMSMCCVVYIIAGFFGYTDVGNKSVETVFEIYDVKGDVMMAIAFAG MLLKICVGFSLCMQPARDCCYYIIGWDLNTLETWKNCLFCGCMALCALLLGLFIPDLN TVFGLLGSFCGGVLGFCIPALYRMYCGNWGISQVGVVNYVCTYLLLISGVIAVVFGTA ASIYNVAV XP_847306.1 MRCVLFTLYVITSSFLTIGGTMKDKNKCTFRYFEVLPIDHEPEY QNGYVCDICFMEYSEGPFFHCSKSGKDVCLRCGGKMGLTPFTALVSKVMRPTVYWSES ESSRAIALCYQIHRDILGCHFIDGANLLISTRDDLPSYFIDSNSCIEKSIVLSRSDIE RRFPWAGEVAEVMGVNAVSFHETSACNDQSRLCFLSSFRVEGAFIEFRFSDGFCELVH CENGTIVAIRDSAVLSCFLMGLPVRWGRSLPKAASSVLEWYLKGGQR XP_847307.1 MAQGDNSPVKIVLLGESGVGKSSLLLSFSLGTFDGDVRSTIGID FRTKDVSVVDSMGRQKKLKLHLWDTAGQERFRTLTSSYYRGAHAVVLVYDVNEPQTFH ALRKWIDEADAFCRLDGVEEAVVYLLVGNKIDKCEVGGGATGMAVPKEDAQQLARDRH MLLAFTSAKTRVGVEQAFDEVARSAYEKMMSKSESRYSGVNLSKTSDPTSGAVCC XP_847308.1 MRRRNQNEQRRNPSVDSNARDRKPGVMFPTTPENQGVPIEDVRS SYSRLTSTSSQRTAQRHVSMLEASRHRLSIRKIAAFPMQQTAPKPFLASCADITRYAR NWNAEFQFAWEAEDNTISQAVSRMEALRRIEKEFVEEATRKAKQIVTLDDNGPRELPK FLQCYRVDNIFFRVLPDSRSGRNYVASLRGVLQSRSRLLTVPLSCMIFYRGMPVLAQA LVPMSANPTRLYGAESTDDSEVEAEILHMADALNIPFPDGTLEVYEGLDGRLYLTNSN STLTPLFVDDTIMKRQEMLRLCGNVTDGHMDTVSLLDNTEVLDRIVHACVDSESRSNG ERLRKLCDVLHSIGINMCLLKQVVCKTEGVGRYSPDVTQRVRELISIEMIARSVKQEF YLEVQGKRVAYDDDILAGTVSKHIGAAFTNLDNFRSIFLEVVAKKYGIIDSDEDLIKS LTDIRMGRKADIVARICALIGVSIEKTSKNHTVMRWHAHVNASVLPKLIDPQYVRALS EKYRTIVTQDGYRYAFCLPVRWKVACWDGHYDEALALVRETAKAHMELCAPVSLSPLY SRRSVCEVCFATMEKDYIAEGRGLFPDTMRGFEELTGPVTQGRLHIEYGFWLLRVATL YQSDELATYRSCVEEAAKHFYSAIEKLPGYLKSEHGAWLHLQPYKGLLQCKQLLPSCS VNTKELVSHSVELSTIGWASDYFMYYLWDLSLQLESEGWYEDAIRVLLTAITISKKKP TESRDLPSLLTDGAHIYRSWDREKYVEHCMTLLREAGDKAADLFGVQSREYAVVQNNK GAIEIDLNRLSDAGESLKRAGLAFDKAGVPKDDPDFLAYLENLRYLEQHLSSRPVVYR GILQRYPFLATRVDSFPFVDVRLLEDEVFVELAHQRARASKDTTESRQLEQAMKERMW ELHRSIQEGDILKRYPFLRAEVHGVRTASLRLEDDGFFMDLVHKLRSSDDSKQTNALE YAICEYVAKKAKDTALERAFVDRQEQEFSDTHGGYVNLMLPIPWIYVLEDEQVRTLID QIRKCTMSQSLGPGLVQIQGQLASRVDEIELDAFRWRKELSPWLSSSKRYSVSATDLA GDTHLHDLLRVHRLCVSRGDAEAEWIHALISAKLEAMWERASIVRTCLAVDDEELHQQ FPFLEERPYHQRLSMLRLHEDSAAATLMDQLAKKNTDSKLQSELAVAVHHLAARRHDD NELQRLNYDVESFTTENFVVETVPNMRDDYYVVLSQRRNAEFKNNADDSPTALGLTHQ MEERVLQMNVWVRKVNANRFRRRQRSEAKYPFVSRRHLGFTLDALDVEGDNEFMALVI AREKLTAMKPVVVAEVDKLNARANDRVAWLAVRRTTQIKEHNQKYPFIPSRMEGIDTS TMHLEENQKFAQKAEQYSKLPNSLGTSNDLRRKRLVREMLNHALAIARESNVRQWREA IESEDLRERYPFLPEEPVRGVCLADVRPAQQQEFRDLSNELDELRRDPAGNAEAIAAM EEKMKALVTQLAEENATKTDAVHKQYPFLPKRVMGIPLGELPIKDDEVFAASEKETTT KKETVLQSRVVQLASGAHLSEVLLADADDAVLSGNPFLIYTTRKCFPLRHIRLSEDNL FNQLLAEYKSLLQRFKLDEDSVASVRFRLACRADEIALEEIKKIEKIMEMFHELKPLS LEDIRLLESQGVLAMTGDGSPDAVHPDLLNSVRDILENERKGRAAKVREVDELRKTYP MLGRNIDPNMLHNPLVAKLVGDHDELMDDIDKNAEKLQRLEAELARTTQEIMTSTPSD VSDDEIKDTHDTGLSQDEINTYIEEEIMNDKYYQELHREYQRIKEEGTPAGIKLQRIL QTRMAKRKSQLHKAFRSEIEAEERKVGRLMKNFQNIQKTVKGIKIASLNIYEDNEIQQ LLKEEQRTVTDNEKKQQIELNKTKRVRAIVEERKAEEESLAAAYPFLGRTLKGIPLGE LHLMSDPTFAELASRYTQDASNMDPTARAQQEKLLRDAADKIVSDLRSSRLRAAVRAE GLRERYPFLPEEPVRGVCLADVRPAQQQEFRDLSNELDELRRDPAGNAEAIAAMEEKM KALVTQLAEENATKTDAVHKQYPFLPKRVMGIPLGELPINDDAMFSQLGQRWLQQKSS SDGGKDAVATEEEMLQRVKDLARLARLAEKESEDANEYVRACNPFLLYEDRKCVLLSD IPLSKDDGYQKLFNEHLSALEDAEANAERLTELEEALRARADELALNESVRRALLNKC PFLASQDGLELSEKLLHDPVFQQQCARYDELMRDPVKNADALRQLEREMSLSMKSAAG GDPTASGSVGGAGALDGTSAAKGARTSSDGESFAGGLPGARGSVAAVVYPFLPETFLG VSQAELYLEDDPYFQELLARYNELVPDGRKPESPLEKQIYEQLCRRAGQIAGDVLKLQ SAEQRAAARDASLYSFVDPSLLAEVPRDLTLEDDDEFCELMKRRDEEKAKGPNEVNAE VIREAEAGLAKRVRAIVEERKAEEESLAAAYPFLGRTLKGIPLGELHLMSDPTFAELA SRYTQDASNMDPTARAQQEKLLRDAADKIVSDLRSSRLRAAVRAEDLRERYPFLPEEP VRGVCLADVRPAQQQEFRDLSNELDELRRDPAGNAEAIAAMEEKMKALVTQLAEENAT KTDAVHKQYPFLPKRVMGIPLGELPINDDAMFSQLGQRWLQQKSSSDGGKDAVATEEE MLQRVKDLARLARLAEKESEDANEYVRACNPFLLYEDRKCVLLSDIPLSKDDGYQKLF NEHLSALEDAEANAERLTELEEALRARADELALNESVRRALLNKCPFLASQDGLELSE KLLHDPVFQQQCARYDELMRDPVKNADALRQLEREMSLSMKSAAGGDPTASGSVGGAG ALDGTSAAKGARTSSDGESFAGGLPGARGSVAAVVYPFLPETFLGVSQAELYLEDDPY FQELLARYNELVPDGRKPESPLEKQIYEQLCRRAGQIAGDVLKLQSAEQRAAARDASL YSFVDPSLLAEVPRDLTLEDDDEFCELMKRRDEEKAKGPNEVNAEVIREAEAGLAKRV RAIVEERKAEEESLAAAYPFLGRTLKGIPLGELHLMSDPTFAELASRYTQDASNMDPT ARAQQEKLLRDAADKIVSDLRSSRLRAAVRAEDLRERYPFLPEEPVRGVCLADVRPAQ QQEFRDLSNELDELRLDWEGNSETIAAMEEKMKALVTQLAEENATKTDAVHKQYPFLP KRVMGIPLGELPINDDAMFSQLCRRRARQAKLAGGAKGAVAIEKEMLQRVKDLARLAR LAEKESEDANEYVRACNPFLLYEDRKCVLLSDIPLSKDDGYQKLFNEHLSALEDAEAN AERLTELEEALRARADELALNESIRCALLLKYPFLSSLDGLNDLTMLLRDPAFQQQCA RYDELMRDPVKNADALRELEEEMNRRGEYLSCCDARGDGRKRAMSFVILMTPSGGNAA EGASNLVGTGSRKSFNVSPRKSRLSIVDADQNQHLSSLMLMPNESAMECFKETEVVSF DACSRRKLHKMRGQKRRKTHFFSDGGAAVDGEEEALVGDAETVVDDAAVGVKGDHDDA SEGALAPSIDAGVGEESDNLKTAAGAEVVGDETSSVAVAGGVCDDGKSGSICAADAED AEGDGGVTDVVEGEGAIVNDGSISGLTDHREADALCASERDAEGSAEKGDRPEGDELL ALRRRRMEEFDAELEELLVLENRSRSLHEEDERHDRDHIMLTCEDEEKTMENRRRAAE KVKRLVGEEEPGARREVEAGEKEEIEDLRQAQMLSLRLIECVVALSKKETEKRHAIEA EERKSVKAINCARETSARDALTAQEQREKDEQVAKKEVQKQEATSLIKSVIFAYRARR RLANRFLNRRAHEHKNAMEDIYGVEHNSRLAIVNEEKSDLQALFELKDFLTQKVQKVS HKVFVELEKEEDDARHQLIDDFMVHLKNLQRASRKVLSKQRDQTPSLQDLLRFEQHEE AMRTGEGSDLEAGLGGAGEEAGGDESADEEKEEDYDEPLKTGRYKGYVLDSIGTFLLK YERDLRCFRATLEKNRADTAVEHDLLKKTRDNATQDVVHNSNGASWVPTRPLPLSDLV RGPSAQYRRGRIADVRGTKSTSKLNGVDGKNGLAGEPAPAESSRKTH XP_847309.1 MAENSPTSVPNLCMLVGDAVVNKLMRKVGSVAALARLSDAALRH IGMEEDGASANGHHLRAGYLAEAPVFVEFFGDECVRADDLQAARKGIAVFARKCCLAL KTDISGSSPDGAFGGAELEKLRHSFELLLTEGKVSSTDTQALPVPHVFVRGEEVKKKR GGWKELRKLQAKQEAPNPLERAVSYVKMGVSEDQQLQSIIQRGDLQAQLLKSITKAVE TKKRPRDGDEEYDDLMQIRL XP_847310.1 MTTLGVGDAFGASLYEGAYVTALLTVNCTVVVGTDAGSVLAFAY TDGDAFIGSATPSDSENITCDCVYARALHYGPVHCLAASSAYLVASAGHDATPAVQPI SSMITNTNGRAVRLHGHTLAVTCMAFFSTGSWLATCSVGGHIIIFDTSSCTLLCEVRV GFPVRCLALAPDETMCYVGGTQLARVDLYDNDRPLEPLLQREEPFWMRRYAWNTEAAA SAETEDSGEGHTSESPSDLFIAALHVDENGLTAIFQSRDRNLDDGAIATWRHDAANFW LSSNFRRLKKPQLVQSQQNPRQGVSVSCSRLHIRVEEVLQTKEKGNSLFSRASDGWST WGSACVRVGQCPPTQTVKWTANVRSALPLSSAAYGRLGCEEERERRLQGECDELVEQL KAECGVRGIKKKRHRDTATT XP_847311.1 MSFRFVQRFMLGVTAASAGFYSCCFVVYPGEAAILYNRITGLKD SVYGEGLQCRILGLDEIKVFNIRIRPRVLKTMTGTKDLQMVNISLRVLFRPQTDRLPQ IYREFGMDYDERILPSISNEILKAVVAEYKAEELIQKRDVVSARIYQLMQSKVSQFGL VLEDLSLVDIQFGKEFMVAVEQKQVAQQEAERFRYVVLENEQKRRAAVVRAEGEAESA RLISEAIQRSGGGLLELRRIEAAVDIASKLIPMRNVTFLPGGSNMLLHMKSHQASEQA H XP_847312.1 MHVYTIDQILELRSLYPEPPYPGFSLEEACRRKKQTQTKLVRGP NAWAARGSAKTTAEWVERLVYGSLNKLSAANFNEIVSQLQTNTIFSSDEMLKKTVSII FNKALGEPENSNVYAGLCYKLAEYEVSLNVVQKQKEGKRLSKLRNAVVGIAQTEFQNR RNVPSSEGLSEEEVEQQRSSFMRRKVANMRFIGELFLHKVLSHSTMMDIINIIMQPEK GGYPASEDLEFLTVLFTIVGKSLDSIAELRPKLDAYFKVLEGLKDQKIYPPRICFKML DLIELRRDRNWESRETVMPKTSAATQKEPPRASDRAVRSSTTPNSSNASSSGSKKGKG AGPCDSALGQRAAASTVGKDAREGLKSRAWRDVVKSEIVACDDHEMTVTESVAFEERV RSLFQEWLSGYRTGCLPNWQEEFRDCGARPIPDMDLPTAVAAQVVREACMTTRKDAQC EASRLMVIGLFLEDDQVFNGFAAALSSAIEEGILEDVPKFSERFANMLRFTSGDDVKT DVYYDAVRVLCTAYGMLRDPDEMSLSTLMEFWGKIPPPEKKEDAIFSLPVVQSLVTMT KLGRAQITGHIISSLHANGLVDDATLHEWLGTPGGEVDAEVKDAFRKATVGKGTLT XP_847313.1 MQTPGEEDLVHKKNAQRRVEEHMRWKAAFFQSRPPPPFVPQCRR RREPIASSPVHIFHKRALELVAAERPPVVSSSSMNAWPVAAAEKSDPKRSAWLEQMKS EMNRRNPYTDLNICSDPRCTVVMSGLHAKTVEEDIRIFSEQFGRVVSTRLIFDRNGHS RRYGFVQFGREADVQRAVASSGKRRLHGRSVVIDVERGRQEPEFLPKRIAKAVKLQGT SINSPVLPSGDTPIGKRQRNETERQQDRSREAPTKLEPSGGELRHRDDVDILLDDIMS IT XP_847314.1 MVNSSALVSVGVRVRPLVKGAANPQHVSEFQQKKACAVLSDTTL RVSDGCSVGNGRTVHFAYDCVFDEDATQEEVYEALALSAVENVVSGTNSSLLTYGQTG SGKTYTILGVTNPEAANGELITSESGVLLRSLQDILNYASLRRNSSHTVVGISALEIY LEEVRDLLSAGESPAVVQMAVTKDHVLFSNLEYVPILELDDALRVYQEASAKRMQRMT FGNDKSSRSHAIFNIEVYQQPITTVSTKPLTLPQCLALKEASQVCPPGTRPISHTHAL FADASNDLLGDANAPVMYSKLSLVDLAGSEKPGNVKVNSIGFDELKKINSSLTALGSV VHALYEGAAHIPYRNTKLTTVLRDTFAAPNSHVVLIVTVSPTVLTFDETVSSLHFANK VKELKVSSTAIRNTNNDLDSPKAGSFHSSVRCFAELSADLAIAREKHSFSPPEVIKHV AADRNNLLYDTAFNIRLRDSDARYIGMFTLCDALKAVASEKETANEEERQEELRRELC DEMVEEWKNGYMELHSRLETASADDGPLTTDEWQAWERRLVKEATDYRDTRSMRRQLH QRCKLVATDIEKTDNVITGLEYSEAHLNGGHNGGAYNDYSGEESTHWELGGEWDDEEG EDELSEISSDVGEAAELATTCLTMCNLMYRNKQLSTLLEEEQDRYQSQNEEVLEMWRL SMARAVTDSATTHFNEGGGRNYREVLRSVLGPWTPKGKELAAQPFPYWMRVDADIGAN TRSRKKEKGVHQTKYDDPEFLDDVASFMKMGGKVRKFNSDGTCHSRLIYVDVKSREPR LCWSVVGSLGKEGSFPLCLITSILLGRVKEDSVGGVCYTSWGVIHTQHEKDSYGREER VDFVCDSPPEFEAWVIGLSHVTGLWPRFELPMGISDDGMAFRIGDRGVNFCREWHVPL AVYAETREQLLSRQRSKGVRLTPGELRVLVRLDIFRSSAMWLHFRDEGLVVNPLPVLN CYVGAAEGTNSVPQTSTTAATTPSRTSTRHLSLRSASTLGPY XP_847315.1 MLQWEWPTSLCAERRELIRAAVERAIRNSMAEGSDGQIRGTVNV DELNLGSNPPEVTLSGIRMLSAERTVIMVKVRYTGDFFIRLSGLEVNLDMVGSGAEEA DNNFALPFFCPFEMTLRDILIDGMVAIEILQELEENVQWGKCADVPVAPLATTVRSRK NTNGMRPPCRAGTPSTRHRSGYGVLLGGGGRGAMRLPGVLDSIDGSLSSVDMEGASEG HPRHSLRVRNVSPPFFDILSTKVLVKQRVVKMQLFGDPLKSFRVLSNFGSVQGADCKA EQTIRKLIKPAIEQLIEEGIIFNI XP_847316.1 MLSHAVPRLRLAAAAVKAAEWYAAENRRKQKGGDVTREKVDCTA PLWFDDTEQNLLAREQHVRSPAYRELHRPALTNAAMGLYGGEPGFEKAHRVWVDPDRP HIKHIYNQTALARNLRYARYGYFKRDMHLLDVDKLVRHARLLPTPGRLLTDFLYQRVP LPDKSCAALIRYQRQQIEMLEVWGRHASFQCAVEMFERMIVTNIPPVEVGVETHGEMV LCAAACGKWEEGWNVYANRARELEKESPESFILNTFFFDALLTLCVAAGRVSEGIDTL EEVIKRNLRPRGTMLNKAMILYSILGEQMSKHEASRATSEGERSYLCEPEEVEKMGLE VWSLFDFYQLPRTTASIEAYMRMCCAFNKPTLVLKAQGFADASDIRLSIECFHWLVYA IRGVAGFGDYVMDVLSQLRPRGLTPDFVLFTLSFMYCALQRDGELALAIFDQHFVHQN MNPTPEMVLLFIQACSNCEKPTAAMLERSETLIKRLEAVGSSVDLISPIYDQFLELCA HLGAVASGFSALKRIVGFGKPLTTRMINSLLLANSNAISSNGSLSMTEELVGFFTLLK IRPNADTEICVNLCRDAFGESPVVNDFIKVIGESLQGDSEKGEAPQYDEDIPVIQVPP HELRQLRTEWKLSPRDIVLRRFGQHTKPPGKAALDVGSMRGSVIPFGRSPGEQLV XP_847317.1 MPAPHNSPRLLECRHVFGVCESVKGGIQYLDDNNVVWVSGKNLI ILDTQLGTQQMVSCTPGCKKVTAMALSNNRRFLAVAESSKQPSIVIYGCDSNTTPRLK RKKILQLPDLGSSEYVSLSFSHDGRNLASLGGQPEWNLVYWSVERGKVIASCAVLDDS EAATADHDLLKQCSICPNDSSIVCVSGSGIVRFFSQQGSQLRRTPGGVRESVTNYLAH VWIPSENWLILSTENGDLVLMENNEVKYALPLSPSDGIAITALVACGKGFICGGDLGL ISIYERVDNKEMYRKVRTFKFNNDSNIMGPPGDAIPVILSFTLSPPPAEEYVSFLTST KQLYSLNLPNADFFKNEDGVFEPIGQPFHSAPVIGVDICVQRPLAVTAGRDRCVFVWN FITGVVEFRKRFTSDICSVALHPSGTHLLVGLADGLHMMNLYYNDVRHLKNIGIRSCM ECRFSNGGNFFAAAHATTVYVYFTHTCELIGHLRGHSGKVKSIYFVPPDDTRIITVGM DGAVFEFSLCDFHKVNDNTLKEMTYNCAVADLGTVWTAGNDRKLRQFDRTKLSQVAVH DLHNASIFSMAISSRLKLLFTGCEDGTVRVFNTYLGERLSLNDNDNDVNGIMSELHHA HAGVVSRLVLSFDDGLIISTGEDGAVIFWDVVAPYRGPQKEVEYSSELFVARKDMEAS TKTVVELTAEATELKERMRQQQIIRDRVHEEQLSRLEREFAEKRAERVARFEALKEEK NEQAIRFTEYMAEMEDKDKASLLRTKEDYAAKILFLRRRSDRLQQLISEKQHEHDKNI VRIREQARQKPVEDREIQQRTIKELDDRYQQLLAEKEEDEKRTDTFCAMMEEATDVEV IKRKEGNEKRRKEQSHELFALQGVNDSLLSAENIARAELEATKAEVRERMRQQAALES QIEAAKRDIEALTQEFRDRGETIAEKERRVLDLKKKNQELEKFKFVLEYKIKELKSQI DPRDEEIRQTKSRLAEMGREADKYTRSNDHLVLQIRNLRQKKAGQSRELEKLAVSMRS FGEFQSRLWTELCDLHDETNPRKLKESAKQLFDKYTSGKAIGTLKALASRSAADEVRE YNRERDHLERNLAGLRNKVNKNAENNRSDKYRITAENVILIKEINDLRKEARLLAGKA GVWRSAGQSSVSACEEDVIREIASQRAELARLNEIAKKLEEDIQTRSAQVPLSTKKEE XP_847318.1 MEDWVSCRSEEQKRCGEKGQSTFDESEEEQWRKLKEEAMIDSDD SEGAAGDEGAVVLAEAKRRKPRKSGKVIATQKCAQPQNQHRHQQKLTKSLSTYDMENL LKQYRNISAEEEDEVDVDITKFLQDDGVDSEDGEGPSVTATELLSSLISGRSADTNKT EELADSFPLANLNDEVFTYENEAAVKKTPRNKEKRTNKAGANYVIKETVVEELNVVTD LPVEVRTGSQHASTDFLNGCPPKALFYWFDAKEQPHTLTAPGTILLFGKVCVNEEDEE FRSCCVRIQHVHRNVFLLPKEGSTDAEVVQEINAICRGSGIEERRIKFVERYYAFEEP GVPREKNRWAKLVYPGRYPPFPNKGGLTHVQVVVGASRSLLELFLIKKRLMGPSYLEI EHLVTAMDRVSHCKTEFLVPSPKDIKVYNSSKPPPPFTVASIQLHAQLDSDGVKNEVI AASIALYGDVSIDGERKPNITECFTGVRQLSPDAPLPLDLETYCLSKRMPGVHRFINE RALLTWFAETLAALDPDIIVGHNIIGYTVETLLNRYQELNIVRWSTIGRLDVRRFPRI QGNNFNLAIEKEACVGRLVVDTYLLAREYYKSTNYKLLSLSTQMEIKGITDNRGHFEP GSTVLVKDSMMSSEALCPILLQLLNCAVLSFNVASFLDVIPLTKRLTLLAGNLWSRTL YGARSERIEYLLLHAFHNLKFVTPDKKKRDLKRGREDDDDEGKRKTKYQGGMVLEPKS GLYSEYILLLDFNSLYPSLIQEFNVCYTTIDRDENTVSAEVPPPESLICLSCRAAGLP SPCLHKCILPKVIRGLVDSRREIKRMMKSERDPGNLAMLEIRQLALKLTANSMYGCLG FEYSRFYAQPLAELVTRQGRLALQNTVELIPQISPSIRVIYGDTDSVMIQTGIKDDIG KVRNLGFEIKGKVNQRYQSLELDIDGVFRAMLLLRKKKYAALSVVDWQGEGKVYKREV KGLDMVRRDWCPLSQHVSDAVLKRILNAEGGEDILDFVIKYMKGVAQDVRSGNVYPLE EFVISKSLTKEPESYHGTGYPHAVVALRMKQRKEGVRVGDLIPYVICEGDEHIDDKAY HIDEVRRSDGLSVDVEWYLSSQLYPPVMRLCEHIQGFVPEQLSEAMCIASHMRTERDV KEEDTANDFSHCSIFKSRALSECFPTATALQVQCTHCQLVVPVDPHKYINDMFSSREK PPPTAPFELYVCFNCGRSLPLAYLANCMTQMCHTIIRQFYCSGGNVASVRALRAQFTY LRAMFDVPQALNCPSAVKNAHRVLSLRCLGTDRKLYTLADVERFPDVEPVDPLLACAE SFYRRIDHLFVSLDKLFDTP XP_847319.1 MLKMRLLIVVPVLLGLVWQILLRAELDGVSFFGMYISANNSGAG SYVRTKKGNALKEGFCSLTMDEVSQNTEGITGLLNNITSHPYFRYFKVNLDRECRYWV AEASCTCDSNGCQICTCDDSGIPETLKYPYDMSDVSTVERRAAPDKHAAKGFEDEIKP IDPDRDATYVDLLQNPEANTGYSGPKAARVWQAVYNNCNIDGLPSNDTAGVENREKAL LRQLLSGLHTSITMHVAAFFYNDTKGDSPLRSLGVLNNPNISFYPNCGMFRRIVKNDE FIRNLFVVYQFVLRALAKTKRAFLANSSLYNSGFNGAATDGDVRLYSNIGELFSSKLF RVATFDEQKFFESPGAHLLVRQMKRVVHNVTTLMDCVTCEKCRAWGKLETAALATALK IVFGSAENVVELNRGERVALINFARQLAISVKNVRSLAAVCEKFNYTAMS XP_847320.1 MSSEAVKRHILAAIHTLNIPLDLAPSSTKVDEVCSAAKLLRNRV LREIARVEKSIEMLKQRQYGDADESEMDEEAISENVGSSIDTHNLTRYDFMFISEMMV MENLVVPLLLAHGMNFATSLLPQLLKLLAVMLLPIIPCSHEVPRQLDYVRRLIERCGT DDFFWLLIQCIVPVTEKRSKGEARKEDSILLEIVLKMIALFFTGPRESVAQVIGAFGR NHGIELFLVVLNQNYARYEHRNNKDSNDVAVDPISPSAGTSNTERSGVIVLDGDTDEE DAVDLSGESNSGEESSDTGSTNSERFGRVSNHLNVDDQYSARIVEILESDDQLWKWNT HIITAMSAILRCAQSAELAKLIFTSKFRQGSSQQLASLAENSKRFREFTSESKKWRHV ARSRNGAVSSNGLLVRGTSSHLQGEGGVIGYTSTLLGYRTKDPIEKVREIDSKKRGRY VKGTSDDASIICNLSLPTKIQLAEQCLSFICYGFEPLSIMVWDRLAKSIASFRDAVCE RKEFIAGRKSSGEDVELPSEFEKSVYESMQQVLNYLEMCTSLLRYTREAVRLKKEGID DEPSQFFNQQWKCISSVITLEHIFSGFELLRVLLMSPDLRRRFGVWTVANYLAELFMT LNHLVDGDIVEDPHVIGAAHALVSSVLYKEEYVQLVFDTISKTSTKPISPYRASVLVL LLYSVLRLMEKCSFGGRLLFPRRKKKASKFVVHETEDNVGICFNEADMPIVECDPHVS SEAVKDRPIANGEITDPSTISSLQISSNVISMNEVPEGSGRVEELCAGDRSVAGESWV NSTHNGEREVNMRQYFKRLSTGQNVSVLLSSLCHWRANDSDVNEGLEFLIRSFMAEGC VTTLFSASFLITMRDILTNGSETHRGLYEACDEVIYLFFNPPSAKFFDERKEIEFASS SGILQGSESFLGFEVSLRCARSLFCFSSTEYMILEEKGFPDFRDSTVIPLASEGTVVN GSGKESVELGVFSTKKPRDKRESLSRQKGGKRRKFDDENVDVSIDEQADIAVGLEV XP_847321.1 MPARPNEEQYTPEMLQLRVKQGLQKRKKCALCLHVFHVNELPGA ITHKSILELRKKWGLDVRRGDRMPPPSQLYKREELCVFCMQFFGTSGSTQSQQQLLAS TRGGMPARLTLR XP_847322.1 MFQLTLRHHSKRTPGYVFWRQSTLVELEHARRNYLLSERPLPIA DVARRMVRVKSRYLDTKDFNEKSLLRGEYKYFSGKSCDIRHARPEDLVAYVECGSFFG FWDAAQMNRILQELLLKLDELGAAELFHLFTSLPSLRKQTGELHFCVARRLVDEISNL TVDECIHVCAACDQATPRELVRGLVQMIGPMVSNRALSAAQCVEIMDTLGACCLPGEN REFDLFFKDTKEVVVLGMTTLGVMDIAVACTSMRLLGILDAITEKEAVRLFTSRLNET CASSMAMMFSAVGSDFIFCQAVGERVVYLATDFTPSEMLSIFAVYLTNIVSLCPASSG GVAIGDSACEMPQEEWTAGRGVGERHFAHQRRNDLLNVIRELMDQTLSLLESASAYVS PVSQLQFVAVFHRAVENLCGDVEQLLNFLPSLNRCMQLLSAKLIASLTQYTYSELIVL LQMCNPLGRLLTNAAVGAVVHELLRREVGASGGEAMSIYATLRQLTGLRAEYRVRIER DLLPRLLARCSHT XP_847323.1 MFQRARFLLLPIDDVLPAGSRWSRVTALPTSHAHPSGASRASRT GPVTANTTSALPPTPYITFIPTLHVASVKFYDAVLDYMRESVEKNSNVVVLLEGICDN KEGRIQQMDEYMQISRSEELRAAMLEKAEKNTLYNDETIRTICDELAVNYDVLSRELE TVRLQECYLRPKMAALMGKNLCNEADIDMEEVEALMLEGDNNDHSGSYGACGSGSVVP ITSIGSHPAVRRSREMKVARKARATCVDWLKHGVAGEVILPWGIYHVEGIQRNVLSLN AQDEGIVFVESGNDIRHVPFGIHRDLLVL XP_847324.1 MGSCCGANAMPVVDNSENSKPQRKRNVTFSTFPALDIEVHRPGG DADSMLSLTRRSSTFPMDQTMYTVSEFNATLADTVRSLNLTPRDDVGRSLVTNGLDEV SERDVDSFVDDVLHIGLLDSLVTVEERVGNGYGLGSRCLSPSGGLGGPVWLSKEQLQY LERAPDTSERLARLAELEEMSRQEIFAAWLMNSTLLKMGAARVIPTIRRCRAANEKAS KNRDVIVIHAKDINVRANDDPGLERNWNSPRFEALGHTGSFSPPDESGVDEPSPEVTE HYQILALTGRAVNVKNKVRSHIVSDTWDFLSRCEASEVLNKGDVFFRFVCSPITNLSE DSLKIIDTLINLSSFRDELGENNVPRVDVYSNEEQRKYVSILVKTMNLLPGVSISSIT PELVASLDYQRSCQPGENKFEPSDDPHTFRQVKTLIRFIYSITIQMKVSEITDSNVLL NLKEIDGMVPAKALMLERTKKNIMSSDSTVKVRSFLLYYPVNEGVLVNNQTIVINKSL PRVASKLLQTFGSQGASDAVKTAVQTRNYFFNRFGDGRTGGI XP_847325.1 MEYKRCNSSRTTASISRSPQLRTSATSTPVRSATPMRTPTSARS GASSARRTLTGTGTGSGALRSVGLDGASPRAKGPGLPRPIAYKRSGTATSGGQVDSGN DMSMVSSRSAIGDSPKGKRGAHKPAVAKTGGNGRVNVYARVRAFSPAETADNQHSAVH LSDNKVEVVVSPKSVYTFMLDGCFSSTGSPSDEGFASQSSVFDAIGRPLAENALAGYN AAIMVYGQTGSGKTYTMFGPPKSLGTEEQGLVPRVCNMIFERADACLQKGITCRVCVS MLEVYLEDVFDLFSNRKQVTVRKDYLDNSFHVVGAKSIPVRQYKDVEELLQRAEALRT FAATAIHARSSRAHALFQLELYTTFESTDIAPRTAKILLADLAGCERIKLAQTETGIP FEEARNINLSLLSLGSCIEAVTMRKGSSQNIPEFRNSTLTKLLKEYLGGNSVSSIVVT VGPSVRDARLSVQSLRFADRAMKVVTHAKINTVKPITELDDADGSEDKVREAYNKKKE ALQVEFQVQSELQKLQNKITILECQLMNSVDDEVVARLQEEISTYQKSLADADLELQQ QRRVLYDSEVMLEEQLKELNTRMQEMIEENEEATENLLAECRKKHTERLEVEQKRHEE EVDVLLKSHEFELERINQLLQDSDTKCAELTTTLFKTKEDLRKTDGLVDEMQMALEEL GDASKATETELYGYVEQLRSENSRLSTAIDTLRQQLKESEASVEDRDNRLKEHEESLD TLRQQLKESEASVEDRDNRLKEHEESLNTLRQQLKESEASVEDRDNRLKEHETSLDTL RQQLKESEASVEDRDNRLKEHEESLNTLRQQLKESEASVEDRDNRLKEHETSLDTLRQ QLKESEASVEDRDNRLKEHETSLNTLRQQLKESEASVEDRDNRLKEHEESLNTLRQQL KESEASVENRDNRLKEHEESLNTLRQQLKESEASVEDRDNRLKEHEESLNTLRQQLKE SEASVEDRDNRLKEHEESLNTLRQQLKESEASVEDRDNRLKEHEESLNTLRQQLKESE ASVEDRDNRLKEHETSLNTLRQQLKESEASVEDRDNRLKEHETSLDTLRQQLKESEAS VEDRDNRLKEHEESLDTLRQQLKESEASVEDRDNRLKEHEESLNTLRQQLKESEASVE DRDNRLKEHEESLDTLRQQLKESEASVEDRDNRLKEHETSLDTLRQQLKESEASVEDR DNRLKEHEESLNTLRQQLKESEASVEDRDNRLKEHETSLDTLRQQLKESETTVVVLTA DLKQLEEEMFIDQADLKERIAFLEVELKRCEEKGAYYSALVDEMQAELHCANERVAAM SDQVQNMEVRVFDVCGYMFEAFSVKCQDTVSAFASFTKGVTEDLTKGTTERLRLLAEV VELRSSTVARKAATQRRASAVSSLVQSAQEILSKIADEVEDADNFSDRSAVVASFVNS PKESWGADLSPRFTEESLTC XP_847326.1 MLRHTTSIFAPTCRTVVCRTYNHPVASRRKTGNRQKKGVCDNVR DIIGMTIPEARLRRDRISAASFDRCIEENARNIIGLGSKESPGLETRFGARYDRRDVR FVGRADDVRRFTEEAARSSAAGHWPMGVTFVRGEFGAASGGDGHRLSAEPTSHDLGRA PMDVASEGTNPMLRRVLRRCKEGVSSSLAGVLAAAGPEKAPAEGTTSEMNPRKNVAPS TASTRPQTASVVSPARRRVEKRLRRRSAVLLRGGESLHPCSLQGGCGSQGGSSNCAFV THPSTACVQWLRLGRCEEARLCSCPWWHPAEMEVLKPEFLHSYFFGIAEGDERGLRPL RESCAAVGRLLQMFLDIIVSTIFEALRMETTNNEEVVTVGRVEDLLSRAGKGKGGNSA CICNLKDGLLSVERELCVSALMGDDEGVTCTESADGETSGPHFDGESTSLLRNMEHYH KLHADADKEVRNLLVIFRAYFTMCDERGGNSGRVIVSASLTQWLLRHAASCCSQGECY SAGLTPIKLFEEASVVLLKDRLHRCGIDICGRSCKQSSITPSDRSSPLLLLQHVSLLS NIVTFGANADQAMLTEPGQQSLLYSVLLCLQSGGVSCWLGDSPQQQYVSCWLFMQSLL SVASVCLLRLGSRIFSFARPVLVEVGRRLAKDIEVQFEAKLLRASGWAPWTDAQMFGE QHRAIYTASDYARTHMPVVARAFTAAMLNILLLNTLRAANREVNLCRSPVAAVIFSGE KAEEYKGCAVKGGLDSPMECVQRESQLRGGPSVRRSMRPYAQEAMSLIALLHDEGTQM LARRQALMEEFLCRRKDFDDCGANELNNPQNRRRSSGGVILPSFHDEKIHVFEKLLPH GSISVCGDGVGLLLRVLLEGGSAYGALRLAASAVHVGRALRFAARQPMPHVHLGEKVK HKHMRNSRTGRKYTVRVRVPVLRSTDPDMECRMHRKGQSGGAHPIALYLRLNQQTVEE MVRLALRMGGAGERLLSGVVDDCVRGLLLDYGKSRCLPAVKGSDVHDDGAIAGDLGAR ELQGILSVVVGTNSNSQLLSVADEAQQRAVEGYRVPPTALLVELLVALTPQAGRGVHE RCTRLCAMDPHLVSERTLLAKQCHTAGESFARANDDASAAWLSYLNSGVAVDSGAVSV SFLRKEAERLPVVDSVAASLNRPPLPRNVEELRRQLTQTVDAVGCAQDRRQNEAAWSL SRGFAVRVQDPNSMHGVWWAVMCGAILGASDDALAGKQRPWARLLLRNAFHVAQQFAA PQDRARYGQATVRAFILSGIFELTSTPRSPTDVSCRRKHLPWQLPVVHDLNLFLAAQV HLAQRLHKLYPNSVITNDCGINKQLQLFGHLKLSTKLEDHHIEATACWSLLEPLTEHS ELAITWLQESLFHTPQGLLAWIIACTNNNCNQTAFVMAAWVTLLCRTEKVGGTFNKHV QQHLRSKCTKKQVEQLLLLDHCN XP_847327.1 MSGKEVEGVVSPADQQQPAVPEVTDITLEAARKQKIHNLKLKTA CLSNEEYVQDLHVSEWSETQKQKLQAAHEKAHELLASVEGGTKWSLTEAYDIKKLMRV CGLEMSVRELYKPEDKPQFMEIVALKKTMNELKQHHNKTRTVSFTGMIDNAIAKLEKI EDELRRSQLDASEMAQVPVAALKNIEDTMNVAVVQTALLGNEEQIKAQLAAVEKANEI RNVAIADGEMAIAEEQYYIKAQLLEHLVELVADKFRIIGQTEDENKSFSKIHEVQKKS FQESASIKDAKRRLKQHCEDDLRNLHDAIQKADLEDAEAMKRFATQKEKSERFIHENL DKQDEAWRRIQELERVLQRLGTERFEEVKRRIEENDREEKRKVEYQQFLDVCGQHKKL LELSVYNCDLALRCMGMLEEIVAEGCSAVKSRHDKTNDELSDLRLQVHQEYLEAFRRL YKTLGQLVYKKEKRLEEIDRNIRTTHIQLEFAIETFDPNAKLHSDKKKDLYKLRAQVE EELEMLKDKMAQALEMFGPTEDALNQAGIDFVHPAEEVESGNMDRRSKMVEYRAHLAK QEEVKIAAEREELKRSKMLQSQQYRGRTMPQITQ XP_847328.1 MSGKEVEGVVSPADQQQPAVPEVTDITLEAARKQKIHNLKLKTA CLSNEEYVQDLHVSEWSETQKQKLQAAHEKAHELLASVEGGTKWSLTEAYDIKKLMRV CGLEMSVRELYKPEDKPQFMEIVALKKTMNELKQHHNKTRTVSFTGMIDNAIAKLEKI EDELRRSQLDASEMAQVPVAALKNIEDTMNVAVVQTALLGNEEQIKAQLAAVEKANEI RNVAIADGEMAIAEEQYYIKAQLLEHLVELVADKFRIIGQTEDENKSFSKIHEVQKKS FQESASIKDAKRRLKQHCEDDLRNLHDAIQKADLEDAEAMKRFATQKEKSERFIHENL DKQDEAWRRIQELERVLQRLGTERFEEVKRRIEENDREEKRKVEYQQFLDVCGQHKKL LELSVYNCDLALRCMGMLEEIVAEGCSAVKSRHDKTNDELSDLRLQVHQEYLEAFRRL YKTLGQLVYKKEKRLEEIDRNIRTTHIQLEFAIETFDPNAKLHSDKKKDLYKLRAQVE EELEMLKDKMAQALEMFGPTEDALNQAGIDFVHPAEEVESGNMDRRSKMVEYRAHLAK QEEVKIAAEREELKRSKMLQSQQYRGRTMPQITQ XP_847329.1 MSGKEVEGVVSPADQQQPAVPEVTDITLEAARKQKIHNLKLKTA CLSNEEYVQDLHVSEWSETQKQKLQAAHEKAHELLASVEGGTKWSLTEAYDIKKLMRV CGLEMSVRELYKPEDKPQFMEIVALKKTMNELKQHHNKTRTVSFTGMIDNAIAKLEKI EDELRRSQLDASEMAQVPVAALKNIEDTMNVAVVQTALLGNEEQIKAQLAAVEKANEI RNVAIADGEMAIAEEQYYIKAQLLEHLVELVADKFRIIGQTEDENKSFSKIHEVQKKS FQESASIKDAKRRLKQHCEDDLRNLHDAIQKADLEDAEAMKRFATQKEKSERFIHENL DKQDEAWRRIQELERVLQRLGTERFEEVKRRIEENDREEKRKVEYQQFLDVCGQHKKL LELSVYNCDLALRCMGMLEEIVAEGCSAVKSRHDKTNDELSDLRLQVHQEYLEAFRRL YKTLGQLVYKKEKRLEEIDRNIRTTHIQLEFAIETFDPNAKLHSDKKKDLYKLRAQVE EELEMLKDKMAQALEMFGPTEDALNQAGIDFVHPAEEVESGNMDRRSKMVEYRAHLAK QEEVKIAAEREELKRSKMLQSQQYRGRTMPQITQ XP_847330.1 MSGKEVEGVVSPADQQQPAVPEVTDITLEAARKQKIHNLKLKTA CLSNEEYVQDLHVSEWSETQKQKLQAAHEKAHELLASVEGGTKWSLTEAYDIKKLMRV CGLEMSVRELYKPEDKPQFMEIVALKKTMNELKQHHNKTRTVSFTGMIDNAIAKLEKI EDELRRSQLDASEMAQVPVAALKNIEDTMNVAVVQTALLGNEEQIKAQLAAVEKANEI RNVAIADGEMAIAEEQYYIKAQLLEHLVELVADKFRIIGQTEDENKSFSKIHEVQKKS FQESASIKDAKRRLKQHCEDDLRNLHDAIQKADLEDAEAMKRFATQKEKSERFIHENL DKQDEAWRRIQELERVLQRLGTERFEEVKRRIEENDREEKRKVEYQQFLDVCGQHKKL LELSVYNCDLALRCMGMLEEIVAEGCSAVKSRHDKTNDELSDLRLQVHQEYLEAFRRL YKTLGQLVYKKEKRLEEIDRNIRTTHIQLEFAIETFDPNAKLHSDKKKDLYKLRAQVE EELEMLKDKMAQALEMFGPTEDALNQAGIDFVHPAEEVESGNMDRRSKMVEYRAHLAK QEEVKIAAEREELKRSKMLQSQQYRGRTMPQITQ XP_847331.1 MSGKEVEGVVSPADQQQPAVPEVTDITLEAARKQKIHNLKLKTA CLSNEEYVQDLHVSEWSETQKQKLQAAHEKAHELLASVEGGTKWSLTEAYDIKKLMRV CGLEMSVRELYKPEDKPQFMEIVALKKTMNELKQHHNKTRTVSFTGMIDNAIAKLEKI EDELRRSQLDASEMAQVPVAALKNIEDTMNVAVVQTALLGNEEQIKAQLAAVEKANEI RNVAIADGEMAIAEEQYYIKAQLLEHLVELVADKFRIIGQTEDENKSFSKIHEVQKKS FQESASIKDAKRRLKQHCEDDLRNLHDAIQKADLEDAEAMKRFATQKEKSERFIHENL DKQDEAWRRIQELERVLQRLGTERFEEVKRRIEENDREEKRKVEYQQFLDVCGQHKKL LELSVYNCDLALRCMGMLEEIVAEGCSAVKSRHDKTNDELSDLRLQVHQEYLEAFRRL YKTLGQLVYKKEKRLEEIDRNIRTTHIQLEFAIETFDPNAKLHSDKKKDLYKLRAQVE EELEMLKDKMAQALEMFGPTEDALNQAGIDFVHPAEEVESGNMDRRSKMVEYRAHLAK QEEVKIAAEREELKRSKMLQSQQYRGRTMPQITQ XP_847332.1 MEMDRLTRRQADRIEYVMRDLLRDLQLIAFLPVDLYPWTRRSCL EAARNLLAEASMNQGMNGAAAQIYGEDDNSTYVAQLIYGLAERYGDATDVDNNELLLQ MTEFAELEREMLDTATSVGAVDEYDINRHHKLFRAVLDTLQQEGYTELVAHSLKWGSG DDSAVAQPPGAYPMEPSVFNRLVDPGMLSLQRTVECLCELLVVRNTSTVTEDIHNYKI LHEAVNKEKSSSADVKALKREYHEIREARRTEVAALQAEVRQLEDEIEYTRSVLELEL SAFGEANAKLEEERQVEEEERINALKEEAEHLKQKLDGLIAANQGEAATLRTQRAKKE AAVSAAITEYDTQMATLHAASVALNKETEEDTEAIVALDGELGALCTERNEYELEKYI EEMREKHYERMHEQTTRYASTIQACFRAYLTRVNFERGLANSKRKRKRKNK XP_847333.1 MSQQRQVAAKLGEVAFDSGEKASAEFFAITYGALVQQMITDLPQ ADDVEVVNQQLDTMGRRIGSRLVEEYSARSGAPACRTFAQAADAVAIVGIKMFLNVNA TVQPAEESGGDTFTLTFADNPLALFVELPEGPVRQRLWYSNVICGVIVGALSMVGFIA EATFVRDTLRGDATNEILLSFKGREKETFKVEQ XP_847334.1 MDYRQKAARLPRSAEEKSRWRRVIIILEHCPLAVVRGKYGFELL AERHRAHHARYKQDPAEWRPDVVHQALLHLMDSPLNRAGMLQVFLRTKKGVVIAVDPR LRVPRHMRLFEKMMVSCLYRMKVRAANGSVSLLRVVKNPVTDHIPANCTLIRVEKDGD AVPDIFAYCATCGTNRHADGSTSENVGTATACSSSAGSDAKEPHFAQKHRDLADAKQF RPFAFIIGGMSKGDVDAPYAPRAQVKSIRLGDRGMSAAAACSVIIHGFEEEWLREDNE AC XP_847335.1 MGACVSDGSKQKPDFVNVGGQSGPFAPVTDHIVGNTNGPATVPQ VNKKSAPTVKEPTLPRIRVFTEVDDSEANNIDLVPGSGCSVVKGKYRMTPIVAFKPFA ARRLRERAVKVIRGSYYSEEAHEEPPQACAVTSCPFGDEEKEGASGTKKCNQPEFSFA LDTSTDTEEGLSSGCDTSASPRDRSLAMCGGEKGCRNEPADECTPNRRGSLPSGVGGD GPQSHKKGIDVVDKLNTPGTARSVNAITTEPKSTPRLSREDLILLGSKRLKKRVEDLR LIEHQMKDDGNCQFRAISHQIFGSQEYHELVRVHVVTYMKSVRDSFDCFLGTTEDADH YYADMLKNGTWGDELTLRAASDSLFINIHILSSEEQNYYITYNPSPDAPTPPAFLVDV ATMRQDRQEAFSTSVASVCVSPSLSARGSWNGGGGKKSLATPRGPVDQLGLAGINRNF RPIHEEVNVVELQREVQRKLAASTIRNTTLPVPEPLLPFKAVIEQNVVVPPTAAPQPK PTFVDAPKSPVKTVRIELSPREVSFNAKNTSVIFVCARKKPRRKRTSRGRSKTRGGRI VGLPKRHPIMRPISFSSSPITARRRPRCRSALVRNLSPRRSKKLRSKSSAMPASLQLP PFASPSESPVNPRLSSPEPAPVIELPGTASFILVSPRSSDSDMVKRLSLGSASVPHSS PPPRSAVCEGSASPKVSVSPSEKEELESCFLSEAPNAPIDIFLSYLSPVHYNALSVDT ISRPVE XP_847336.1 MGQSKTKLQVVMCGLDNSGKTTIINQVKPAQSSSKHITATVGYN VETFEKGRVAFTVFDMGGAKKFRGLWETYYDNIDAVIFVVDSSDHLRLCVVKSEIQAM LKHEDIRRELPGGGRVPFLFFANKMDAAGAKTAAELVEILDLTTLMGDHPFVIFASNA LKGTGVHEGFSWLQETASRQSGKAGTKRG XP_847337.1 MDKSSKNSNGNNRHRSPAQEQGQKQRGRLQRKAQEAAPKVSEEK PHPFIGQELRVVLEDQRVIVGTLIAYLGLGDLLLQDALEERRYADGELNHRQLRLIAI PFKHVTAMHRRRPGNTPIAQVGA XP_847338.1 MLTLAIICVSSAAVAAACAVWWFLGTIPLAALLVLYACMANQLF RACLMSGPKTSRQVPPTPIAPCQRLSAVQLSKAYREGVLSCEEVTRTYIEHIKRVNPY INAMVFECFDEAIAAAVQADKVWAKWRANRGNAEPSWLLGVPCTIKESMSVTGCPNAS GLPQRRHIISRMDSPVVKNFRDAGAVILGVTNTSELCMWYESSNYVYGISCNPYDTRC IVGGSSGGEGASAGAVFSTFSLGSDIGGSIRMPAFFNGVFGHKASPHYISNRGQHPAP VASTNHYMSTGPISRFAEDLEPLCRVAARGGFLEDEKKFPPRPPLRRLPCIDARKSLR VFILEDFGTVLARTSTTQLEIVREVGQYLEEQFGALVTYVNLHTWKCSGGGEILKVFR PFSKSLRLWLGAMSNDKEEVVFTDLMAEGMTSFSPLKEIFLWVIGRSQHTLPALSLTV VDAVLQHFPKWGPMGTANDTVREFKKSLEELLNGDGVIISPTFPRAAPRHHRPIFSPF DFQYTAAFNVLRMPVTCVPIWQKELRGDMRVPTVEEAKELAASADYHLPKGVQIASRE GNDELSLAVAQVLETAFGGYKYPGWAILE XP_847339.1 MSLITDFPFHAYLGDLKTRRVMGAKDAVSLSLLASEDMSGIAFV EVRTRAGQEDRSAPWRPVVRNGENHATFYDTRMGNFDANPFPPQTCQTCAASLTGKYG NERCHGHFGFVGMPRIRPGSAHSDSDRLVVLNPHLAMDADRLFRAKCFFCHKFRAPTF DVERFRQALVLADHGLPGDALHLLDTVPTAKGHDAMLNHRRMANEEIVNDVSILQSYV DRILRQRASGCSEEDAKARVTMAQKGTVDVRNDICNMAISHLRSFSGPCSHCTAISPT FLKRGGIIFFLFRKSNLVTNIAKGFLTQQEVSEWEAVNRLHGRTGTYFDGRQMLFHMK NLFAKEQAILGLLYPNLGEPSVFTKTNKVVPASERYKLFFLDRILVPPLPLRLSSGVR VNDNGLIIPDEQTRALSDILGFVEQIECFHTLSANSTNGRSFITDAQRAVNESNLRNL QQKVDEFYAEIINSFAKKEGLFRMNMMGKRVNQACRSVISPDPFVEPNEVLLPRPLAR ALSFPEQVTCFAPARMNLLKHCVVNGPRKYPGATHIELRHANGEIRSVDLNVPEQTRR QHAARFFAMAQSGVTLIVYRHILNGDRVIFNRQPTLHKPSMMGYRVKVLSGSKTIRFH YVNGNSFNADFDGDEMNVHVPQSIETRAEVETLMDANINYLVPTSGRPIRGLIQDHVA AGVLVTLRDKFFDHSTFVQLVYNGVGPYIQENVGITLAELIPIPAILMPRPMWTGKQL ISVMVRFSSGLSAASDCGREIEGGITLKGTSQIQPSAFDRIPAGSCDAVRVKSGAVVD STVMFANSELITGFMCKKQLGASNMSAPHHVYELYGPHRTGQLFAAFGRVLLLALRKE GLSLAMDDMFLVDEERRCDLLRKLDDIALDVPDEEATAAPMIADYATKIQQEFVPQRM LVPFPKNHLLLMTISGAKGSNLNATQMSLQLGQQLFDGLRVKRMNSSKTLPSFFTNEK RARSFGFAMGSFASGIRPAEYTIHAMAGRDGLIDTAVKTSRSGHLQRCLIKGLESLVV HWDRTVRDSNGSVIQFMYGGDGLDPCKASTLTAWEMMKDNVVDVSKRFGGDASESVAG AEDGAAAGLEEMRNEDGKPTTEAVQNAHMEQQLSTYPLPASLDKSLSEYLCKKADFPL FRKVSTLARWDAKQQLKERLQQRRQKWVGAFEKTLADITARRRLWALCEPGEPVGLLA AQAAGEPSTQMTLNTFHTAGSTVSHVTEGIPRLRELLIYASVNKAAVVVPVTNATEED EKVIAKMLRAGVAAKLTDCLAKVTDGAGGQSASSSMQRNLNTGFGKGYHYHVARGRTG MVITVSFLFSRSCLEELRKRMCMSPSEHRQSFTEALKNVVRLIMRSLSAVPREKESGD GSGNTGGMKGGSGRADRKRKRSGPDDGGGPLGGTFGDEIMRIEEGTDSDDGMSERSSI GGGRAGSEVSSLHSDGTDTRGIAGSDTGGPQRRRGSVESGRGDDASDSEAADPDLYAR RSGSPARDAEDGDEMQDRDGTDWGGTSMQGVVGYDNFPEIHMSFTKSNFGAVIAPLST AAAARDGVVQLHEDFFIVNAVLRTPSDVIAVIPDVVDNALEAQRMPSWLPQFGSLTFT RLKDKGSGQLVFQGPGSTMRNVMSFLSLFTVGIKSIKLHQACSTDIRDMGTYFGIESG YAALYDELNKLFNRYNVDPRHLSLIADTSTHRGRWENFNFTGVISTSASPLFQMTFAS SKRWLHRAVSRGMSDDLESFSSAIMVGERPRVGTASVRLSTDTAILRDVLERNFA XP_847340.1 MLVTPILRLPTRRSVAITHGIGNSLAAIGLRKLSSAASNRKRGS NSDSDGATARRDGGLLLLQLKWRAKELLLAEYDKKESQKKPQILQVHADGTFDVEWRN QLPHKASSAARPTEEERVTLLCQLLAVTVKERCGRGAQDCFFASFPTDASVLLPARAA EAVVAPFGRQARLWEEQHEWQAIVSCAAKVFGSWGIKHTKDSRDARRRGSYSNLQLRT VVRNFVDKVESLCSLRLGASREMAAKGRQKRTKRPKMSEGGDVAEDGLTPDTMLNTLY RLAVLLRLLSCLFERCEGQVGSVADCEHLKEVVHCILSEIESRRFIVVGLDGSSEAME GLAALVLAAFGRNCGGIRAGGSRVGSEVHWRAYKGEFSEALLLLCSAYLRIVPARAVG FELESSGTGSEPTSISNSETISVVRALHQCWDRWKATIHVHGSAAAFWWLRLVPLILE ALLDATEKMSNSRGHKGDADSRESQVKLLQSELLATLCGMLYFDEERYNERMTILSAR QAAEEAKVGQVGNGGDGDNPTNATPSETEADDAHGLTRPRAGRSMLRKGQEGGVLLPS SSEALRKSSRFLSRRTVGDSGGGVGANTNAGTALMDVGVNSPLFDLLATSDDAVSELS SLFAIEKMGRSCTLENLWLILLAAHRHLRPYRTSSAVCTHEGDGELGKEANNFFSGDE VVVEANASNGKSPMTLAVPAFVLASIQHLATTLACAVVNLYDLSDPSFLRDDNIRRAV SIAIVAGTQELLLRSRFYSDALLSNWWLRSGVSSAFGEGQKSFASLENHMMALVAQRT RYRGRLGWVKESTRVALHCLSSMPLSPLAKQWAASLVVCNLRDVTFLLRNQRVGISSS TAFALAKGTLTDSAAAADVDGSERLSLDGWARETFTTLLATLTADVELVLLCGYAGFI DTLFEMHMRIGTNQEEMMRFGDDVLLNVNLAAVLASLSKSATDSDAETHAATCESALF VLAEFSRFASWPNLDNSSVLRTDGNSLLIRVAVYCLRHARRAGGKRPSGYGLPAPHLP EAGEMVEKVLSTCGPTLSFLLARGNRCSYLQVLDLSNRLLPFSRVQPLYGGRESSCVA ALQHYLRGSHHALLSMPSSSTPTGPTVAANISGSGAASQKQLLEESLKRLNFMANTTI STHVLGYLVRFVEHYLREQERCSRSTMEDLPTTTTGCHSPSLHGDENVAADRSKEQRE SAMVTLARVEAAPLVLLDYMGYLSCAGSWAMVNWTFNLAETSLKIQRKHQIATTTSVV DVAVGAILSQLRAVVMPATPQIPSNMPKSLICLCDMISESPVTLLGFDRGSPLQGILK QTSRGDYRAMLFAMKQAVLLVQRASAKYRTSAPHSPNDASGGEKNDEASVGGDDRSGA EVKAYFSMPVGECSPDDQRQLRLFLECLLMCFDDVLDAIRWCALQQDVLDDELRDVLL LELQTLIRILRGCLRTVLLLSPEQKRRFHGESAQLLWVSLCVASAIGKVASVDYGRIC FSGTVMHSVAALLDGVERLVKEHTVSGAHKNKQANKKEPADVDRRSGGAPLMGAIELS LNLFFSDALMSHRLALRLGLGLRERSDFTALATALRTLASAAARYEPAGRIVQFVWRT LCEELVNTVKPVKRKGRGSKATKEPSAGVKVPSKDELKLLLDSFNDLQNEVAESVASR QSKGRAAEYVVEWACPDAQAVLDSVVEDPSVLRGDRWRKRETNSSDPTSLSDSCEKEE EEQSLADWSATIPTTAVPGAVAAFMEMDAALLLEDGGNVTNEEALEAFLRQCSRLHLS STVVASNDSNVAIPQTNKVILIHFGCVMAIVERCTLAEIASFPRGELVFGSLHLFYRP QTPVPLEKLHMLWLKLGERWNEEFIIPDCKIFERQQQEFLQLVRQRRALFQPSERGME DTAGSHPNDHEKEGLLLRDSVIARLARQNVPPLPYDVEMLPHDGFSALDGGFTALSGS SQQFKDRLPFRIPLDALLTLLHDFSRAPRGETPLEEFAKGVITYVARQHVLLRERCDG HAVNVLQFMPQGQQFSSRVYQRRRLPLVCAHAPSVTGWFFDEAEGEAIKRLFAQAAAS GGCTRADKTGAGGEDAVGNLVRSAEHGMFEYLRALCLYLHLLALTANVCHHFRILGSS GEMSDVHSGVVVGRSHNRYGFEEENKDSMGWRTTRGKGTGNEQQDANVRLSERRVNAD ARQADPEGVNANLLENILLHFMNVSLAVIGHADSVTHRAASIAVAPGCDEERARRALF YADELRLMVAVAVQDSLRVLLREAPVSFSLARRVTHRIISSFSCEEIPLTPLDGDTTG EGSTAVTGGASKDHNNSGFGRHIGDEKQVGGALSELPQIDRAELTKLRLKRPELLLRS PTLLCILSPSFFNEVLSQHVRNAISLTVTQVVASLRFYLRGPDARLGPLMSATASILA STYVRNAALRLFCEELCGQIVDRDDFRDALAPQTVASGQQGVVAPVPNEVLIPFLAAI AREDACVTKNALALVLHRAMSLRPDVFGQPPTEDRFSRLAIARRGSGIATPSKVAGVG SDGMARDRLSLGVSTADVVLERPAGAGTSPTRAECGEDIAEIDLPTPGTDDEEWDEVT ALNRFKVNQAEQEITRTHKRALASLNLTLAEVMETAMHFSTMRRLTSEEHKKATAMIR SADDARHERVAAFRSATPPATTGSITGKSSSASVLKGDGGEERNIKSSDDSLTIAGHP DAALNIDEPTNFGVAMLHISFALRKIIHVSMRRLAAQQGPKTLCMMVEMLREVDPKWH HGPNDEHRRQLDSMLVGTLRVLVARALVCADAVGGADDLMAGGASGVAMSLAGLQRTE RALYLVQHLKNGNRGGSAGPNVAKSKCTSRGVSRGASRDLRRQRPGCMAPVR XP_847341.1 MRGLLSHNIGAMKHPEYPRTDSDASYTRPLTMDSARAASVSGSA QSGLPVVSSMQRTHPGSPRTTVAWDRRDANAGKAEPQTAIDMLATALLQPSPKAFVES AHINRSSTPKKVRTPPVKHGSPNKQGPRCHARSSNGGTDGALRLKATTRSEKPEEVPP VGTGCSATDGAKLTTDERVLIGRTASDLYLFSKTKTKGGRSVGRQRKRLEGQASEMTI AGKRVCVHEKTPATSRKDQLLAHPNMVMTTSNGSDSQDSSQTTDSRDGWWEEGKGQVV NPLSVTKLKVHQSVDDGDTPLPISIDVMCSTPDNSEEIADSVSSVGSSLCSGTASLVK GQMDIIPNLTEENGSDQGVSHRGEDLSGEVKSKESSQLLVGENGVLDAQRRLSSVKKA SDFRLQSAGAKPPGKLVATGESLRGAGDSCESAAHKVEEISKKTMEVLDQVRNSCLTV APAPTNIATGSPPGVSVARNPSNVSSIKPISCGSAGCRKNQEAVVSEKTSKDLAIEEQ RVLMGYLSASELDLSMSTFKQIGCSLRVSKALRVLNLKGCTASEKGLCGLSEVESLEV VCVSHMRNLTSLTVLATRQDGLSSNIREIDARCSSVTSAGLSGLDKMTCLSKLNLSLT PVTSVSLLGGSTSLMELNLSGTAVTSDGLLGLEKIPSLTTLNLSRTKVKSLQKIAESQ TLENLNLYSCRVDTSDVRGVECMPRLKSLDFSTTKVSDLSFLSSSPSLKTLRAQWLTL RNCGGITEGRYSKRNISGGDTKLFDFIGNIRGNNFPCWEDPGKEDQDVEAGVCGLAKI PTLEFVDLSYSSVSSVRSLFSSKSIETIVLRRTPIDDNGIKDIGQLQTLKTLVINNLG DLISEGGDENLSETKGVLVSVKDITLAFGMVILDLSFTDVYDLRMITSLKCLKELYLV ETLITVDGIRGVEQLPSLRLLDISQTSVLSLDFLSEGCKALEQLFVKSNRNTSGFCIG KIYRLPMLKELDVSDTVVEDISALLHSSCTLQRFVCRWGERRVANGLTEPLTPWLRPA LLDGMDALPHLESIDFTNSTLRSVTFLARSRSLRRIVLGRSSNLSNNGIGGLAQIPTL EALDLSFCARISDVRPLVSSSSLQELRLVGTGVTAVGLHGALQMKALKLLDVTGTPAA DELSGISNEGGPVLVVKGGEACSTNFPSLFRRCRTSPVVILQ XP_847342.1 MPPKERAALPPGDAVRGEKLFKGRAAQCHTGTKGGSNGVGPNLY GIVGRKSGTVEGFTYSKANQDSGVMWTPQVLDVYLENPKKFMPGTKMSFAGLKKPQER ADLIAYLETLKD XP_847343.1 MQHHFHLNRSFDMQLSPVAASIIDWAPAGRTGTVGNSHTPACSL PTVGVNGSHTHAVDSTSLSGAAKLKPAENLSGMRVLHRCTRQLLANSCVLVPIFSRGT FNVNAGLLASLGLTGGWNDACSRPSGFHANDVLQLEATWGKRQWAGIFNLVCFSWMST TLPLFEFITVSPRTDSSLQVDRLMFNWSGFWRVFVSGDGSRRNSLISMDSPAERFVAD VPVAPTGKLPDESKLGGVTGVDKKHDGLSTTPPITSNGDDTRRGGAISTGKKVKVGNT SGNTTSMNGDSGSGTAGVCMKKREEVAEPVSGSSSELCAETQKVGRWWRIRELRCGVG VALKNDIVSAANAYFGYSFHLGRALTFSSHVDVLRRGCYSVTCSTDRFDLATRLRVNH ITSHFTEMDAGIGWRPLRHVPGFTCRLSYSMGRTSMGFTARDVTTHWGLAAHRTETPV QATAVLPPPLSSLPVPVAVESRGALLQLWDASVDWGLSAAHLVLGTLLPSLTGSAAAG RRVVKEGEEVEVPEHKPRQWNRLSRAFLAGVSYCKASVTQAKFDLTMGVATQQPRQSR PLRFFFVVSAY XP_847344.1 MSLSNVVANNTMMGVHKNNSGIGNGNKSQDNDTGKGGGDTDNNA ETTEQKTHYQYVLAIVNPLAGETGTSGYITENLVSFFGEERVVLLNKDLFADPAPLHE AIRKYAVRYMSDEEEEEEETKGTEVDVRLPTDAVDDRGTVFVSGGDGTVSYIMDQMDA VREIVEKEVGNNARGEATPRGKPIFPALAVLAFGTGNDFSNCVGFGRGYSRGGKCGPL CKEDLIEHYVQKALTARSTPFDRWVMQVVPMSVACRQNERAQQGDGGEEEEPQSPDGQ KSSVTQGGLHDLFIDWDALTDDPDCKIYRFINYVSVGFDAYVTHRFSTFRKNNPKFCS KRWRNKAVYCCFSFRAAVSCSPLKGCVPSVWVSESTCGEGGYVSPAGSGSHMTNVQLP SGSKTLMLTNVTSYAAGTKPWNAGSGKLYRKGSSKSTASITPVCVNDRKLEVQTHSGL IHMGMMQMNAGKGANKISQTNDVIFFVSCCPEDIYKGKHQQPPAREGEGKQSKMNLEG AANNLKKESKESVSLYVQLDGEPIMIITTPSIVRIRELRTPRVYVRCLNEDVLLESPS EEGTEGEGA XP_847345.1 MAFQTPWIFIAVIGVPLFMVYTKARRMDAKEIHEIQMRVKYRSE FWEKGNEFVRSHREIVLKGLKETNDPMVGKEFSELETNGVTKTHHSIWKLW XP_847346.1 MGVCQSNLITSEAVKDGGRENCKCRWLQLDSNKLNMEGKTSCPE AANEFTARLAEKSARRFPSSGSAGTQTCSIRTCPTLLNADFDVSHQSLLGRWWNLPVV SSGDKFSFGTTLSIPSESSTPYTALSVPTTEEAVKSGLSQESGRFRPSRWISLVCPIP ASSSLSGSGTGLHEGCCRGGGCYGECNRYLSARSNCSGISSENSTLLACFFEAKGVFA FRISSEESTAKDAAFLRKRGLHACRGTYVRRWDRVECFSASNQSNALTIFPMKPSSCP SSLREGSPVTPFGQLSTDDARAPSTADSGQESSRSSADRNSVHSSSAHPSSLLPSSET SNGSGTPMFPKECLHCHHFATVNDLTESVSCNCMKSQTPLVDQGSWAPNLEMASYDTC DSPYDPLASSLLPKRRGTCAMIEEPLNPKPNNVHEPKSRGSAVQPVPAGIIVSCFDVS IDPSKWKECGYRRTALDCLNEAILSSGCNFGEGVVTSVMYGGMCVVECPSEAAVARLQ DSMRVNKWDTSVSSKSLKAAAKRAARKGHSGSAASGSDSLPHDSYRVVMCVGGKWPFD ELDTSKWRKGDVNSISADCPHAAASITPWIDVDAVALIVRNWAVHLLSHMEYAKPLAA YVQRFRGLLPFLRLAPAEDILHDYVHVSSGSKFTENVKENEAIASSWVNHSYLSTEGV CDTTACSSVDGEGPPTRGENAPAQHVDAEIPPLDLRSVKRQGGSRGLRFRSKTLFCSM VRGKEALSREEGGKSCTTASHTNYDPLSGGGGSCVEGRSAKSPSSWPSVLQPLPYDEE QVLWERAMLEVKAVNTELRELIDARHATEKELAQLNSTLQIMKRLFLPHSSMGEVNPL CMYLTTALEEPTELPGRRAFVGGRDWFPCLAALLSSPKNALCSIEIVQPMIISSLPQL GMVTGLLYHDSAMKKLQSLVIPCDQLCGFSTRANAPASGRKGHGFRTKTTLRGESSGK ANCGPSAQRFRRLRNGVRNCKFFLTPKQVKDVFLALTTSVSNNTRHPNFVLSLKGFPV GGTKSGQSKIRRFIHRFFHVKSGWESATTQKPPRASSLSPAFEETLTINGRMDEMEFI CCAEDDVCIALRDAERRPHCMLLRRREKHLSLANDTPGYSPVSGGKEGEGDEELSSTE SCWVYGLHSERLLAAVMECVNLLLLDRAPQMFETLKVACDRRGSKRLEGTAATRHWGG VTLTC XP_847347.1 MKEAEQPPVAGPLPGFVSHARSTMSSIESLHRTSTVSSSGNVRL PAIKQRNQRDVASNQNPYTASEQKRRETRVMIQHRKRLHMFGKPIFAESHQRMLEAKR LCAEWVAVHQRERGESLAFVDYAKELFYNVVVRNHVPLVSNGNDQLCILATRAAVSCF LLEEAVKALPRHEILERCIGMLLRLVYVPNTEEMREALGGTVQYPLLLRQLPDYQVDS PNCMQLSRFARKPYFVAHHELTQRVIMYAHMNLTRQERFRRLPRIFDLLNANWIKSFL RTTLKAWQRVCLRRRQEEQKHRARWARRFAAERIRSIIRQWRVYAQVRVHSAVAAESI MVQIKTLSISTRNLRAEIEALTQESAELSVRLEEKDTEYGELEKKIAEIEGEYKRKLQ FVCEIDRVGSKLLSSLAQKVPFPGGTEAMLPLEVLVLWANQTLIEGWMGSLFVDTPDD QFVEQAESYGAGLARSVRLSDISSAELPFTPLLRETTLVFLPMHRFLALISAMDPATG PTMKEISAVCKMDAQVRPFRMEMEDRVEEDAAADEKRDNVIKLEDEVGRIILEAYTNL TGGPCLISAKQLMTRKRGFQLVFLAGLLRYFTNWVDRTMKPRRSHDERRERSDSIQNS GSVERASPVEVIAPLVVAAAASVTSSIGSNSTIVADEYAGTRHREYPEWFHPPASHLN WMRVVENQQLWIAASFNALHSALNTATEQRPVCTMQVQEETPAYMENVTIRRLSDLLS PEVTADNSFFYSLARCVENALPKLRDLFMQYSLLEGDRDYDRPGTNSLMISDESDVST GANLTASFGNTQASIHGADGQYFVSSLDFWRLLRDCRVVGGRGKLHRAVVHRIVEKVA HGSASKRKSGRAGEKSSNIPGTRKTRSGKELAEIDLKNSYYKLRLSPPEFVEALLRCA QVWDYMQSVQHREEQQLSRNMTVTSRTSSEEAESSLSQSALVSERLTPNPSPPRKLGL ADRMNRRDANLQGAVSYPAHDPSWLLRPAAVHQFLNEYIIVHGFRGPTLDPFQRAKRH LLLRQQFAEHAEVLFAIFCHYAKPREGYGIRPPDNETSSRGQSSSNVAPSSRDGGSQA WRGVGGTPRDRGEVGIVRVMTLGSLQAMTVGFSWYSIRRVTPDIIESSFHAVVADPEN EPGVLFYPEWLDFLCVLAYYFKPNPTEPLHEKMGEFLRTHVIKHHKDVY XP_847348.1 MDALTTSTIPSALAAAGCLQHSMVRVGLTNGMVLTGRIVEFDAV TMNMKLDAITDMAVLHPAAGEGCGDSAYETNPAALRCCNSVVVRGCHLRYMDFIDEES DNGRGLQELITAVRVVKPSVPQD XP_847349.1 MPVCVLVPLHQADTPAVTEEMLGSAVRVAFNELRMIGLGCITCC SVSSARLQQEVRRRYPLAYDRHIMCGQWAGKWHHFVEGVAGLRCFLYSTTDYAEAAHL ATHIAVSELRCCLQEDIFSLVRLSDEGVGARLLSDVLEHTTLNHNCWQLALEAVITSQ LNGRPRWLSKAVEAPHVVELLRQINEPPFPGRRPGSERLRRCAAHELVKLLSARYELV RHVSGSQLRRHVSQCLCTWGAIPATFNKWDEERIAVNG XP_847350.1 MMRRVASTGSNGGHCYVGSSLWADSVYMEVSSITLQKRFSFKYA TKLQHDEMRQPYYIHEKRYGIFSNERNIAKARRGLPFITPLYTKHMNLWDTDTDASNN RFFRGYYYGQRELHQLLGRPHSLEATNADGSNDLSTYEANTSQLYKGIPRPAITNLHY EPAWRYTLYQAGAHGAQLSNPRSPFTAKVLGDELMQVRDIKSVEHCKAWFDRLQYLIN LHYEAVGDIGEFKSRHTRHVHEFFVAFHDALSSLDFRDTYLFDQFKAVRPPELSDLFG IFLEMEANYVHEDYCPRCSLPYSTTRYCGEGDVDTPFRKHRGRWAPHQKWGREWYDVV ARRAEALWYRATEDPYFGTPQHTQRQAEALLKVYVQAKQRGKAIDFMNKLRGSVEYLT GDITITTVMQESYDALLDTTPHPHLLTNGFTLESDAAKYTGEVNKAPLSPLQFRIDME MNKYRRQQKEEGVVRVPPALWRLDTSAIVPYKVDSKTRRIVNWREVKEGIEKSFLSVG LPKEAYTGNEWREMLYLHDVIANREAKAAVLEQQQKLDREKLKARERASLKGEKSSNS ASNGIGIIFPDKDGYQVFFVDEASLRPFGIGASGTLFKAVARVYPSPAAVPYDDPVHG KQFLIDTTDETCHLFGGFEHGDRLLLRAKSSGGDVDGGNNSNCRNTGSFTDEEEEVIV MGVSTEGSDAERMLCAMHVDTGKQRERGIVFLGTDCIDIRERWESVRYAASAYVKGRV TLLESQRTAREEFMGQVVGIRDGVLFVQWRLLKGGGSVLDRSVAVPIGDSTQVRELFV ETKVLGVEPLQSPPSWRTPFRNDFAEERLKELQRAPFKREKYVSLIQGKYTPKVKKFG YTQHTTVDDFETKEYKDRLLSKQFFQNPQAFEVVPDRNERSVQFGGKWEYQRTHGLPT VDRNELENGWSEVEPISDGEMKVIEQALRDISGPRPGNFVKPPSKTKSLQLSESWWEP LGYGWEQHNEEQKALCDVTEQRLIDGSNLPFGGKLPPFGTSYGMGERMRAIIEDYSKG FGLGPHGHSPTHDTIHYNTLNAEGERVRDLGYTDALGRLFSEKLGDRDVHQWAVESCA DGEADVRQLLLSLHEWRERGRPPSLLLANVLSKYLEEEIAAFNKGIPENAPKLQLETS DGTLAHSGSGGSRSGTMWADVDPTTFALHQASQSIRSTRCDEPFILHLVKRAKLGECV TNFTDTAYIAHLESSVINEFHLALTKLVGKGISPTLLAQKTGQLHRGSVRVGGNVVPF VKSRELSRLLERMGLTSESIAVITRGLANCPEQESVGDDFAVPVSLILSWDGPGSSGG SGSGDRRLNTTAVRNEQQRKGSAALSSAIRQLSQHQKQRGSASGRGWQNEDKMVVHVL EELSLRNDGLVMDIQYAIRENKKNRRLRWEFVTTLLPVFGGNEAKVEQLYSDYCDGKY VPNITVAVEAFIAFLHNATQHPETYSASDYFDIDDGKSSTASGTGDQPSAGQYTTLKL LDPLEGPFVFDNVKVEYIQTVERFRRHGIRAGPVMAPATGFIAANCKSLNYFTRRQEE VVYVTNDSDQGLRRSLENSAYHKTIAANPALQYLLKARRGAALVETFNRFFYRTMPML NFYQNVLKHYSETIQPLRQAAKSSTRGLARALESERSAAMEEFRRNSERYWRNIIEGR SVEQVVLANGESNRRTVGGGAGEQLQKPQGNHDAVRTNFTGEATDGSRKGQRQHQQPP LSQQQQHSRGEGERSAVHTSPRQGGSRGMADLLSRLNTPKTGSGVKGSTAKNTGNNRR GPKS XP_847351.1 MPLSGGSNQCSNYTDRNNGSDGVSGEGVGIDGGSDVGIEVDVSA WLVNMPQLGDLWPRVEEYLTQSAAKIRNSGAYTKDPLKGIRHRMSWYAVIYYACAGHP QRFCEIYQYLALFLLNDLETNALPPVLSAVATYADTNPEFDVVARREGFEPPLRPNRS LLQEFVHQFRLFMLFRRVVLSCFGYLDQWYTEKFHLDPVSDLCVKMFYVVVYERVRDE LASEIVHSANQARGLSDGPSDDDPSNACLDVQVALAVVSEIVSTVRASSTNTEAGVVH CGPLGKEGSNPSIDGGRSDDVEVEAGTSAPCQTGTSPWELVPDRIPLLREKLESGSLM EFLKSLSSSTGGNQCGGAKVDGPSAARPSGAACGALLGGAHPARSFIVSLVQPLHTLL LDQFGTRYVRAAEAYYRKERSVHLATREGRSGYVTWVRSCYRLEQLLVKDMRAPFFHD LLRARLHRVLLLEVHSEIILDDEFGFRALLDAWSAQTSPHFGALRKDFLKPVVVSSPS SSLEETVHEIMDRCDCASSLQHIGKAAGSMQPMREGLASALGLLVTTFADTQSEICIA LLRHELANKVVMDSVPLLATYFVMEEKHHLGHIHPKGRQTNDVEPAFLRDMAKLVVGG LVDMVAQYGSLVQVQFHSLSSMLVAVRDALREVLNPHRWERHTVDTRSGVNVNSGKFS DMLLLDAERQQPPQIHGYEPTRGARAALRKLAAMRDGRSIPMSRLVAVYCDVLVSQSG TRGVAVTADKETEIGGKCVSLPEGSDSTSDIDSVTALAALLDDKDVFLEEYKQLLARR LIILSSSLSLSPSALPTELCTKPNAEYEHAMIRELRRTFGRTSTLTLKMMLHDYTLSC AMGSAFRQTPSAQQLKSGINVQVITGARWPTYSTVPLLPCTSLAAAISTFSTYYAAAH PLRTLSWIHSQGTANLEALFPNGSKEIVADTIQSNILILLSDAYNTVRRNRDSESRRG VGEGKSTKRYLTGQEIASAMGMSFENLYAYLNQLVHHTSYKLITRVPSPNPDPAVDPN EASVRPEYGYTINVDFKHSSNSFRLPVPHPRWNQKASGGHRVVGADAMAASQTFALVT ESTRRLQVDAAIVRTMKRHRSLPYHELMSTTVQELSRFFVPSTQFVKVQVEGLIAREF LKRNEANSQLLEYVV XP_847352.1 MLRTRLSLVRFSFPQSTLRRCFTRGSEARRPTCNGALPNTCHWS LLRHNSNGSDASKGSAAMEEIAPEMVTIEILPVEGDYPLQTDGDCDRSGGSSHNSRGS DGMTGGVDVASKRMQQQQYWDVTSIDHFSKSRVLNYVRRTGNQSANVFDISEEQMFGK TVYRARCKLPLPAPHHLYLAEGVGEDERDAVLLAAMHAERVCDALGVPLFRLPLMQKK HADNARRQGRYAPIPGDPIKPENTITPPPLRMVSVPNCQAKSEVKQVFSSSIAEAEII SDDGPPGVRSSYAAGRKLESVRTAAPASGSTLPTAVADNKKKEEEIEEDMDGGSSETL YHTTVHYPWLTPSGREGIGDGVTGTVAGSMSIPPASAAFDPTEGGLWQMVNTTSTRCS PSPDALLLPCVYEAAAHGRMKDHFLQQGMSLKDRVTFTHVAVANHSTRMYVAELFLHD TVVARGKAQTKECAEHLAAMHGELLLDALARPLFPQDPERQARHAEAVARFGRWAPNP KTGDLQHPRLHDVLPLPLKQQVGGDDVWMDPMVLRRCGYQRSMSERMISSHIEINNYC GDYIEANPDPALLEEARRALEVWQRDVARNPHPDLFVITRMGDQFRASTLLPVPKEFG IRGGNGVGKTMEQAMDLCALHAVDTLCALGVPLFTNRKKQEAFVEARRKRGLVTADVM GGLKKKNGLVAIIAPSLRSEGDTASANASVGCAVGAGGSDRVKKERPPYLPGYMVEGN QPRPLPHICDTLRILQLRIPEDFTVYGEGLTDESIIEVGNEAKVCVQNYLRQHLPKNA NVNASVYITGYGRQVSVHNIAYLPLVLPRRREGAVGRGKVVGEDTSTKNSTPSVAKTA DGELKERNESKGAEGAATTSLCVAGKTGSEEVESSQVHSGGTQDGVENPKERGEPTER LLAIGISLKKKDAERVCYLHAVDILRRNGVDVLSTYRAGLPRRKGLQSPTQSPSTAGE ETRGGGGGAVADVATSTKPMVKCNEECKKPQTGGESGQPPALPKPYMHKLMKNFMESG KHYTPPKRRSSPSPHSPF XP_847353.1 MELACFNGFPETPSLSGSLVQQRHNLLDSVKSAGPPGPVFRVEF ELFDDESPKTCANFRNLCAGRTTSRKGETYWFQGVVPSYRGTYFHKIIPQFCAQGGDL TMRVDNGANHLSSFGRGWFADEYKRRRMNEVGLLAMANNGPNSNGSQFFITTSDSNEK ALNGRHVCFGRVVQGLKEFMHEVAPFGDSAGYPSRFIVVTDCGEGPLPATLGSTLPDK TPAADISEEGARECGRVSVKSPSGG XP_847354.1 MDPHPTPLNPDATWDDNGLLFTLLMGAFAFIGLGAVSAFTVYAP SMWLRRVFRLNHTAVVLVEEEPLGGDVETLQAPDDKEPRYRRVGCALPYPCSLFVLPS WKCWLSVEEEVEGLENVFKPLVDSESGNPAGIGDNADDRGSAVGDDDETAPLTRDHIS SEYPATVEVDIEGVSSGSPVGNVPSRTNGAPKTPLDPHVAVYLYFLKLFSTVFILGSC INLWICVLAGTDDYREKSMVSMDEKHCGAQGSNRTGCMELMPYCHYTDADTCVPVPLH GIYDLTVRNITPNSWRLWFVALLDMAFCLFFIAAIVYYLRKVDKYVETVMRHQMECAV GHRVAIVGGLKGRVLTEAAFRRRYLQEDSYFGPNRRGVNTFRYPVAVANALMGGTLSV DDGDTYHQYDCGGLSCIFSSCFFTRYKTTRSNAVFLQDGSVRRMLFPRDPPPGMYTYM DKTEEAMEGLQEAVADYKVFHKLANHVSYKKRQELHKKLLLVRASFPFCFSMISKVDY WKKAFIERATKLNRFVDEVPARKPKGIAYVVFDNSLAAYEFVNLFYAQHRGASGTWAA IAGPSNGIIEMNITTNRQVGWVRRIVVAVIYILMLLFWSVPVGFLSSIDNISKIPGMG WLSRDSSKLPENVRSAIAALLPVGVLALFNIALPYIVRILAIAMGVINMYEREECALH LQYLFMVLTGVIFQAPLQNGMEELNRLITEISRDSIAKLFVTFVTPEGGYWYTKVVMG LCVSTWVAFLNPGTLTLVVLQHKLANVQRVYNELFGPCLFEWPHLYSFDLSLLAMGLL FHMTVPLLSFFVGIYFVVRYFTQRGMLYDRYRPENHPRQDCTPFGAAGMVIRAACWLY CLGAVGGVLFMNELDHLGGLLICSVSFTLSVILLAYTHITTRRWIATLPNARRLLRNR VPRSVQTQYGTSRSADNVVAPKLPNPKPPARAEEERREADSAVTFQGATLPVVPRKQY SMETLDLGFDGVAGPTHCAPTTSLLWQSRGMQSSPVGSVCNLQDPDGTEMEGVVEWDA APDAEDDMLYHRMSLLPHNPNVDSRYNPRHQQLQRINITREIERLEETIFHVERYWDA PYSEVNPEECGIIEQR XP_847355.1 MTVIVAGIVNKQGGIVLSRHFNDITRVRVEGLLSAFPRLMEAST NKQVTYVDAGAVRYVYQPLDDLFLVLITTRSSNIVEDLDTLHLMGRLITEHVGTVSEV FLQEKAFKVFFALDEVIVGGRRENTTTEQIQTYLEMQSHEEMMVREEKRLQMERAKKD ASRKAHELRDKRQRGLNPYTGIGSDSVGYGSGGAAVSVSRPAAEASSRIDTMDELGPT RIAGMASGSADSLSGRKVGRGGGLALGVARKADITSRVLQEAGLPVGAVATKLPVGDA GAAAKAPEFDGPIEGIHVVVEEKISATLDRDGASGPVDVRGELSVLVNDPHLANVKLM LSPTSDEFSFRAHAKVHKEIFAEDRVLAMRENKPFPVHQPVTILRWRLNNSSGVQPPL TFSCWPNAGSITVEYEISNADLVEQGLCDVRVTLPLRGAVAATVESTTGSCSTQEDCV VWQIPQVSNHVNASGNCEVVLADPDCAASGADEVFFPVDVTFKTRATAAHVRVLEVVQ TENGVPVKFTQETQLTAEGYNVQ XP_847356.1 MGRFKPLAIKKKYAKKLKQNRPVPYWIRLRTGNRIKWNEKRRHW RRTKLHY XP_847357.1 MDATNSCRAPVPPSHGLQHRLEQMLQKQVATEERIARQGELIQR ITYGFTVLREYATRAITHMNLSLHDLLLVETYIEIEPEEQQTKAVVTDASSADGSMCF ISCESSTQSLVEEAKEVYAAFVRKRVLDETCPEPDAATQFHSLTAASFYLLFPHLTVM LEEFVGAFASATSPKSSTASGTAMTPDWWASLGRVIRFIELGVKHFPEVFAGNELLSR LQVSISAVVEKNIGHGEQSGIGAALCLSQRVTALHEKMQHLNVSDTGSDHSDGCCSFS HDL XP_847358.1 MLRCARVALRADPLNGGSSMTLGSKGSKLSPEPHRRRMPWTAAK EYVPGVVLNARDKMVLDGVQLLDIESIDRASQLDPLEVLRAVVATREYNISTGKNIFQ LASQATYNGRGQRFYRKEWQEGTYDKYVTLSAIDFDRDGNKGTAYGYITFHGETTTRP VQVDFADVPGWYMDFVEERAVPFTGIVPPPPSIGTDVPVDPHSYRLKAYPYYDAPNPP EFVERLLKDRGVLPDTPTETADVDKDPTTSDGSVHYDGK XP_847359.1 MFLYLIIDGDYLLSGMEPTSEAAVYEVVEKINDVIRTRFLLDAD SCGMSSTEKRVIFFSSTIMKVLQRRQQRDLLIGTLRRLRFQTLVLDCIASRTGSPVDA AMCTKTMAFFSNIAGTTSLGSALSASSANTTYVYMTANAHIAPALEWVHNAGCGVCFI VYEGDSVADELMAYAGPIHGNSGGIGKVVKGNGVHFVTSTEAARAALALLEQEAKELP LVVQLQLRKLQKQMAGEDDGDIGEQGSAHCGKSCFTEARENSSSTPAHIMPPPIEGIE SPFVGAEKGGSRRESGGESEKTSRVEASCQVATKERAEMKASFPASEVENSPSTSTLV RETSVPAVPSQAAAPTEVDTLEFPEPAVSTRLPAGWALMYDRQRRRHYFVQTQSNGKV TTTWTHPGGIAEQMDLERQVDEWYAKQQLRKLQGRDIPLPVTDVPTSSISAVATGNMG MPMPVSPAPPAVSVLPPPWEEHVDPKSRRVFYVNHQTRETTWVRPQCVMSQQHQPLVP SPVTVTPVVQPQAPAAAALPPFWEERVDTKSGRVFYVNHQTRETTWSRPQVGLPQQGQ PIPASQTNVPVVAPPLATSALPPFWEEHVDPKSGRVFYVNHQTRETTWTRP XP_847360.1 MTAVGGHEAEVLRLEPAADAENAAAEVACSAAARCTSTPETESK HLTPETLDSAPSTAYGSVGFKGNMKMALTLPGGPPVSRGRRGSALSCRGRRSQSSKSP RSTTGSQAASVKGGNWLLGTQPRVVGLGTTGLFENEKKEADVPEGASAMINGQLIVAP PCCIRRLRDLAMWRDRHRDFIAAFRAQDPLERVSTHRRQQRLFEERHRQQEKEYADEV GRIRQRGKWLNKMSQPADAERQQWLKSRREALQAGREEVVLNNMKKGAEIRENIAKQL VVVKQVKQRRELTARSAARQERDLQGARKEEILSSILERTKVARNDRDTWREFIQEFK NEEDKIRRQYLDQLRCRVLTKSPSDYRDNDGGFTPMIRPPSAPTGDSDKQQFDGTQRS IATARQSTNDGVFRRQFLAELQQQRLEGARAAADVVRGERSKARRDEALRGNCDRAEN LRGEKGMLQERKQQLDKERWQAYTLLREEHALEKKQKEEAVQRMLDEKRESAAAIRMA SQWKEAEHRLHEQELRQKLRERAAYARSCSSRGQTPSSVKRTQQHNWQVPAIVS XP_847361.1 MRFVSRRLSFLSPPIIPLPKPAPTADAKPFFAPSSNEKGSAAFA SPSTKHQTYVKKNATSSSGAATLESATAKATRSAQATGEPCTKFNGSSTNGTRRSGDN CAAEKVHHLPRFLSPDNIIKRVATPALFAASIVADTLNAPAALSGGSSAVNEEGGLQK YVEHCMLGWSPSELYNVVADVSQYSVFLPWCLDSTVHQVGPLGTTETASETANGNANV SGNDNGNGVAPATLEMLATLTVGFSFFREKYTSRVLLDPHKRVEAMLTEDEHKKRPAA LRNLKCVWEFHEVPDSPRKVEVRFLVSFAFKNPMYSKLIMSHVVSIMTTSFEKHCEVL YGPPSCVRVHLPHN XP_847362.1 MPLGTDGTPQRQQQQRKSTVDSAVALIESDSELMALFFRHISAC PPHGPFKHYSALTFTERVRHWFPTHAPARAAEMGDAITPAVVLQLMEKYYDTKHLRSW PLLYVPAQIHLKDVDALIEKQESLKPERATD XP_847363.1 MEGPFLSRSIQYVERWLAEIVATKGTRAAATGSSEKAVAQKRGK ATATAAAETGATSMSKCRFVVGRVNSVRPHPESQKLYIEEIDLGEEGGKCRTILSGLQ EYVKQEDFLNRLVLVIANLEPRKIGGIPSEGMVLCASCNDEGSRSVVLLDVPEGTPVG ERILFEGHEGPYEPVLKKKLAKHFEEVAAELRTNDKGEVVWRDMPFRTSCGIITASIP NGSVS XP_847364.1 MQIPKPGAKLLFVAVGLPLQQPFPRHRGSRSGPRAGANFPMPKS AKNMFLLCPLFPGAVHNFVWYFTLLLPMLVSLSVTDFGSERTFVLARGTFSAGVVLFL VASNPQRYFQNEEKAPEFPPLHLRQLCRQVKMSHFPPRAHGASVAVPLTGRSNFDPSR SNVERIALSLGRRKESARWRIGQTKRTSGRMLFGY XP_847365.1 MEKPLDNGGMVCPSLPSALPSHCQDSEQRRHKPGVPHTNAVDVE IPEFFVNFPHTSEARDRRVGCKLSSTELNKASRGSLNQCSISTAYPENAQKPRRRKKC TTTIITTTTVTEIFFPKFLHSLPIAAIDESRNESESCKTEEPSLPPLNSKSHVTSILC KQHRWYAHGG XP_847366.1 MPRHLLAFTFLPFLAHAMPRSARRMVVVVGVTTSLPSSTMQDDK VLEHSHMTSAQEAVPSPCAVAPSRVIRRQPRVEAVDLDMPSVCLPSYTPTELTPHTPF SPVPACEELMDVPPPRRESCSRLLASKKTATWMSPTTTTTISPSPPPGDLTPSPRHEQ PQ XP_847367.1 MSLSPQWSQHSVVVHSEGQAIVQQSQPHSFTPSSIGRPIIVRQR DPRVFTATPTASTSSIAAEGGGSVFALTSLVRPQDISETFWVPDATRNEVGLLEAIQK RTAANRTYVLVHSRPLAELQCNFSTCTGDNIDISHDVFRLSKASAALLQMERNLFDGG SAYLHGDAPKAGTGADADSFKSNVRMPRGPTGFKGNVPSKTFKEQLRMGLCSINEEEY YLVRYRDYSLWAVQRYADIAKKDGFWGKKYVEQCFAVHVGKEPGELIPITEAQFLFGV ELLQRQILEYVGVYDSLDINYCALSRDQFRSLGVILGDGMRAVEVEGEEIRRRREEEE ESKDSRANAHSDAYVPPSIWDWRPSAIKKRINHQLQLQYHAIGRLVVRVVMFGTATYI VCSYAQRFLPQAGSGNGATSQRRGKGYRGQGGNYDRNDTPFVSRGFLRSVMLGPKEVF DYLLAPSA XP_847368.1 MSQNEEAPARSGGKVTFRIILTSERSQPFRVISIAEEAPLTAAL RFAAEEFGIASVDSMAATTKDGTGINPAQTAGNVFMKYGQEIRLIPRDRVGRV XP_847369.1 MSTVNRLQCIGKLGEGTYGVVYKARELATGQIVAYKRIIVGSED DGAPSTAIREIALLKVLRHNNIVRLYDVLFEPPKLTLIFEYCEYDLRRFMHKHDSRVR TQVKEILKQVLLGLRYMHQRSVVHRDLKPDNIFVRVDGISGSEGSEMSVSSRETEVVN TRGHWDDIDEAANCPKNANPTENPNARIASEDHGADGTVPSGSTTPTSQLVIKLGDYG MARIESIPVKKYSHDVASLWYRSPDALLGSAMYGFAVDLWSVGCIFAEMVTGAPLIRG NTDVDQLLKTFKLLGTPTPETWPSMKNCPKAVQLLKAAAELARGEPAENGKKTKNSRG VHKQHERNLPNVQKTATGTSHLSAVMRVGIDGESGCVADPRDELYHFPPELCFPSAFD EYVKASGFQGRVGVEGTDFLRRLIRYEPSQRMSVQEALSHPFVSNTVALMQRPLDVMA SMLRQSLREHSVYP XP_847370.1 MSFHTDVFSGCGSGSQGSSTNDNLARIAGSADTKQGRLTAYREQ QPLATNEAREMGGMIMVTPAKSGKMTELEVSHEEPADSVLTPTPSLRETEHFDAENLH CTVLCDRQHAAAHLNYNGGAKGSSVLRNVEVREMLVYVERELAESREEAARQSAEIDS LRAALAQEQETNRRARSNFEEAMQELREDNAEHIQGLMEQIAVLKRLSESVLEEKTRI TEEAIQRKQQLLALLERERKEKSEIMENYRQQTESLIAEQGREITSLRAMVHSTREDY EQQLTCRQELEDKLQQQQERLADAEAVLEKERAAASQRQQEFKQRYLRRIEELTSQNE ELTIRMEKDAAKLQEWRREALDHERGLAERLREQEERHAKEQETIKESYVQELTKLQE EIQEARKQRDEAEQQVKEGRQRTLKGEEKTIQALNQAVEQLRQEKEAMSEETARQCEE LRDLHNVKVSQLQSVIDTLRRQHADENTARVNAEAERDLVTIRAEGLQTTATRLATEM EAFRTECRARERAAAQERQNSQELLRAQLRECTAEVEDLKQRLLQRDLEEQRLRDEIA LKAQALQNLRTENKRLLEEAKHADDKREREVTECQISLEKNTAALYLQKTQAEAECQR LQHALEEIEARYKACERRLDDERQALKETAEKLQESRDAAEESKVAHRRTQTRRQELE EELQRKNSELAAVGERITELEEALEASEADARQHAKEAAEMAKEHERQLHTQKQQHEE EALALRREAEKLHNDATKVHEAAALKDSELHRLREEVNQLRREADTSMGSLRDQLAEE KLLREDDLHRLDDVLNTLRADLSRAQKAKAQCLKDMAQTQRDAERQRLQLQEMLGQTE AAKERLQEEGRHREQLNSELQGTVRLLSSRLATYEDDNRRMQEELTDLSSKLHDTHTL IGRKDAAIGQLTARLRAYEARLGVSAT XP_847371.1 MAAIFPKEWNTEALVWFATYHLDFTKNDIGLLKETCEALVVDTN SVCSLVWERPLTPFSSAAGQSVALGAASFPIEHFRSSFSAGSKKIFTETPVVIEPSGS GPPSNSHRMYLLSLLRRLENGRSVLRSINEKYGFAFSVSTLGRRTFDGVVRGKVSGHG GSPCPQPGLGGTEERHTLVSNGRQNCTVNQDERSTFYVNMSFAMFLRTFDVLISWVSN AISVIDGEGTVPSAGGYVIHEDVQCGTDEGDSNGSCDQLQDDFKWTAEAHRLEPAQPL LERQERVSPEPFSATYNEPRPAMRRARTVRVTVYEIHDVRSVFLRHQSQLNLFYDHEY TFHDQRLNRRLLLPAYYKALREFIDELNSEVEQLERLGVSLACGRSDQVTIVFRPSIC EFRYMRDSLILYWSECVTEDDITAFARYSAEYNECVSEAAAVFEMLKELRRPQTENLG TSVGPVFTLEERGALGAGFESYWRLRERVFQLRNSLKDDIENKNRTHRYFIPELLSKP ASRQERGIGSASSIVQHVWSKVVFWSGLLSCSAELPGNFPTSGGTSEKCVLSTKSPQY EPKMELLQHTRRMVEAVEAQGAILERDYRGVFFRDTGALWKQRATDLVHRLEGVSTVF SKPN XP_847372.1 MAILSRVIVFTSCATTFVGTAYVVGLALSPPPSYAVSDRQRIER YNVLSIESAYDKKTRSQEFLLGISRLRRRLLWDEGLLRGRVLEVGAGCGGVLSYYDAV VSSASDPPTVNGSTEEDHAAIKQNTVTEVVLCDRSPGMIQSTAEQVYRRYGYQPYRYP DYDLVEMQVDTRTSVDEYKENTAASEMPGRRSVMEGPPVETQQLRECGTGVTETTLAK GCLTAEDGNGAQGTKELVPPILSSAFSGTTLPLLSESGLTELKKRESEGEKRILHDGS AAKNQTFSVANYAAEQMPFSDNSFDTVVDMFGLCSYDDPVRALREMSRVCKPDGHLLL LEHGKGHWSRVNNYLDKWAPRHAKMWGCWWNRDIQRYLRLAGITIIKKDERHFGTTHY IVARPFKMMDELEDYRSSLSEL XP_847373.1 MGNNGSSTVRPPASQTKRQGAVITSLDRVQLELKLQRDKIMAAI RKYERAAEGEHVRAAELLRNGKRELALYCLKRRKAQMSQITLVTSMLENVERLICTVE FAQIEREVVEALKCGKDELSKLNAILNMDDVLELMDSTADVVEESKQINEILAQQLVV YDESELLSELCSAEGQVSDLTSLKSMVVPVSQLPSEQRVRERATLEDDERVRLAA XP_847374.1 MGCSGSKNTTNSKDGAASKGGKDGKTTADRKVAWERIRCAIPRD KDAESKSRRIELFKQFDTNGTGKLGFREVLDGCYSVLKLDEFTTHLPDIVQRAFDKAK DLGNKVKGVGEEDLVEFLEFRLMLCYIYDIFELTVMFDTMDKDGSLLLELQEFKEALP KLKEWGVDITDATTVFNEIDTNGSGVVTFDEFSCWAVTKKLQVCGDPDGEENGANEGN XP_847375.1 MREPIQTSTPVSTGETDPAVVAAEHANPSVFSVPVVAHDVAPDA VTASTAVTDVNVEVQSGGTKDITVSSSPRKEAGVIPENTNIYKTAFHIFKANVGTAVF LLPVFYQDAGYILGPTIAVLIGVCVIDASQLLLGTKLTIDRPRVDTYGRICKFIFGPP LQWVLFVCLLLSQFGFCLLYMQLTVDTMNTMVQFKGDTYVWSFVMFFIEFGFTCFSSN FSTLAIISISASVAVTFTLVATFVGTCMEINKNGRVHPTVNAFGNNIPIGWFNNMASN LMGLEGIAIVLPAHTGCNQKTRFKFTLSLVLTLTVSIYLLYGITGYLAYGTSINTSII DGLPESQLSTAVRAMLVINLVCTYPVQFQSAIQAVDQVVGCSAFSVKGILLRLFINLV IVSIELAVGPKAVHAVVSLIGALPAAVMVFILPALLTMQVDHAVMNPEEDRVTLKYWG SMFTAAPVFSWTRIRCYFYIIFGLIVMVMGTYSVIAEL XP_847376.1 MGCSASKDTTNSKDGAASKGGKDGKTTADRKVAWERIRCAIPRD KDAESKSRRIELFKRFDTNGTGKLSFREVLDGCYSILKLDEFTTHLPGIVQRAFDKAK DLGNKVKGVGEEDLVEFLEFRLMLCYIYDIFELTVMFDTMDKDGSLLIELQEFKEALP KLKEWGVDITDATTVFNEIDTNGSGVVTFDEFSCWAVTKKLQVCGDPDGEGAAKTTAD RKVAWERIRCAIPRDKDAESKSRRIELFKQFDTNGTGKLGFREVLDGCYSVLKLDEFT THLPDIVQRAFDKAKDLGNRVKGVGEEDLVEFLEFRLMLCYIYDIFELTVMFDTMDKD GSLLLELQEFKEALPKLKEWGVDITDATTVFNEIDTNGSGVVTFDEFSCWAVTKKLQV CGDPDGEENGANEGN XP_847377.1 MGCSGSKNASNPKDGAASKGGKDGKTTADRKVAWERIRCAIPRD KDAESKSRRIELFKRFDTNGTGKLGFREVLDGCYSVLKLDEFTTHLPDIVQRAFDKAK DLGNKVKGVGEEDLVEFLEFRLMLCYIYDIFELTVMFDTMDKDGSLLIELQEFKEALP KLKEWGVDITDATTVFNEIDTNGSGVVTFDEFSCWAVTKKLQVCGDPDGEGNGANEGD GANAGDGVPAAEGSA XP_847378.1 MRNGVSPGFGDRPCCGRVSTAPTLSCTLMIKGLSRCSSLDAPSL APATEMKAVDNVSAPLLSFGRLAHRHSLGEARSLRVPRATRVLQGSGKIQERGGVIKS AEKNDVAVSTLGFPHSPSQQKREQRSTSRLSSCGVRWREHNHDGPKSNEQPERRCRRP GYRKGLVSTASQSLFTAQQQLCTAQEEAARSAITAEMVEELVSIVLLEKKNNDRVFKK FDAWRSKFGDINVITGASSMQHDTLVQPTIIQARDSDLLRALMQAETRIAHLQGHQRG VQFGSSNCAPPNLPAVELGSGSGAHDIRGDLSQPRDSTTTSAARDGLGTTETSTYKPL GPHVHVL XP_847379.1 MKIKKKDLRKGEAQLPINYPDPIVEQPQETFDEREAQVEQLCKR LAHNEVVVRDEALAQVPPYVQRATAHLLTVEANVDKGALCCFFDMQRKRRGDHRHKSK ELSINVLRELDAFRSCEENARREQRRNEAIQRLQRQQREEENDAGANGANDDSSQTRS QTKNSEIGKRSDDHKSVRQIYREWISQWAEVEILLLKLSRGLFFCLWHSDKPLVQLEC AQNIARVIHSPHTNSGKLLWFSCMMRVLAKEWRTMDRYRSDKYLAFVRKLMFELFTLM KKWENESQLEQRRVKPSEGGEKSQLNTQERPGTNDGYSDRGSERGSSDRMDNITSCQP LCRALEEACYIFQQQVVPEPSAVGLTMHICDIFFDELCRSEVSSTLFIALGDRIALHA MSKGDFVEKRALDYFIAPIAGGVLETRLREQHGRRQQQWSGGKDTTKSSGSQMVTRPT SRKRARDDDSTNPGNGKAQLAPDVAAAREAHLIITALADCCRRYSVSRGTFYSVRPMF AESEMALRQAADASNFVELTGKELRCRLEREVEEVDTTRAAFAGQRKKARLLKQREGR KPPTSKRKVHMIDGGSKKVSKRDRGHEVLKRQKKEVPRNTKRKKNYQLTVEDLYGDE XP_847380.1 MAHGASPTLSVCSTAAVPRKYGAKDFVSKLYAMMKQFYTDGAAV STKLSGDTVRSRNDHCNAGETHTREGDVETRRVHNAGKVGMTSSCSAKCIGLPLPAAE PPNASTGRRLVHFEEKEHPVSVKAEKRSRYLCVVLWPSNLNKGEVPYQPRDVAECSEW GVYGTVLGYTDDDTGLIWHKRGDLFASCLPLSAISVAMCVPAVRCRHCAHVVPRTEVI PHVGIHQLGLGKETGAFVDDETWGTACGDTHLLQHLQRMGVVGSGGQGDVVLCRVRDS KEEARYVVKVMQFSSMEAATSVYHRAVRYMLLQHERLDTHLVEYLAVRLLPGGTTAEI VMPYYAGGDLATAIRELNVDRFEELYICSLILQILKALVFLHEHQPPVVHGDLKAENI LLSDEGREAVLTDFDSYRELNAYEKSIRAGMGTIAWMAPEVRHKRQLMSASDLWGVGL LMYVLAVLPDYPMITDPNTGVSELMNATVWRAYDVGSDSHEASTTDGHATAEIFDILD NSTIHESRINQKVTTGYVTLTECVRKNVRRRGYSDAFVDLTTRLLSYHPGKRPRARGA VEELAKVIQNHHT XP_847381.1 MPPKKLSKLPLNTRMKRSRSRSPSATLPSKETKETDRSKAQSPV RSVSLPVGSGSASPVRGDDLKKTEKSIWSQVEPFKRKTEAKDFKPREMLKFITWNVAG LRGLLKKDSEAIKKLLEEEHPDALCLQETKLNVGDKGNEALGVVQGYDFVDHPCHAKK GYSGTRTYIKKEVAEKWNAVFVKGFGGPNGVDDDKQENGDDEGRVLTTYFGGDQGCEN TFRLALVNTYVPNSGMDLARLPYRHKTFDVKMRNYLCKLDAAAMKVNGTCNNVKDAQP PTGVIWAGDLNVAERDYDRYFAGTFAAMQKCSGFTPEERTSFRETLRAVNAVDTFREL YPRAAPVYTFWSARINGRARGLGWRLDYFVVSAALAGRVVDCFTMPMIMGSDHCPVQM WLRK XP_847382.1 MPHITTPFFVTLRSGERLRLNSGDDGRLPRFLAAVKTLVFTRQL PSNATTAVGATPTTATVSVIAHSNGGEGHSDSSSFTIFSHTFDVVIGVNSGNGKKRPR EEEPKGERAGAASHSVSTTDTFSVRLRSPVWLTSGDLVNELEVKSMFGKGADDGGICA VTLCGTQQTILSKQQVSLLRSTTE XP_847383.1 MIDDVVTDDDFLRPDAVLMENLHKAQPWKSAPRYFRSVRVSVLA VLQMLSHSDRGRPNVVLSDGQAILSSPQTTTDTQRRENWFEVMGLLLGHFRENELIVT STFALPVDASEVECSMNEASQMYMLEYLQYHQRTGFGVKCGWNAEEEKEVDEEIEEAE CCVGWYHSHPGYTCFLSGTDVATQRVGQAAQDPWLAIVVDPVRTISTGRVDMRAFRTF PEGAVGDGTESTSADSTGIGAAPRQCGFHDPLVREYGAHGHCYYELPITLVRSTNDEK LLEHMLSRDWAAPLRGSPSLGKRHDAVQQIQQITALLEGVSPSHEGKDGSGSRTRELH RQQNNREGGRRGATAVTDASVTDVEQLCRLAETLALEAKLGAVVQGTKRTLFAPQYGV DPL XP_847384.1 MMRFFVWIRCLGLPSRQSIYRSGCAHASTSAIAEMTANGVANSP VMPYKSTHKGKGVGDPNRKGSSVVGAPSGGTDQCQQNLAGSRSSCAEDGKAAPSRPLS PLQRRQLMMRHKAAFTLTPQAIRRVRYLLSQYQQNNEGEGPIPDGIRVSVRRRGCSGY SYTVNYNYPSKGDETGAPVNCNSQNKNKDEGGSSEVSFMSAVGGMAPGGDVVVVQNGI KVVVDSNALFYVIGTEMDYVVRNVEEKFTFRNPNQKYSCGCEESFMPFDADEQL XP_847385.1 MVFFVRVTGKDLSGNTQNIRLLFPSKPTLYDLTCAIERYFTTAA EKEQKEEPSQETPYMLELLLLLDEYRSRWVELYSSGQLTTDCLVHAFSKQTVKALRGK KGVMSRYGSSTSGIVTSATTVTPSNAAPSAAQGNSANITQDVGLHNSTSVVHYNNAM XP_847386.1 MAFATSPGQRPQKQYINKFTAFFFREVDRGFSSPYVVFSTGTVL ALAGWKFFKRRVEPERQINAVLRQPRSRVPLSDAHNVFWGFRTNSGTDNTSPRSLGEA MSEDMEEALRRPRLLHSEPSELQDSRTESSGGTQANVACMELQEYDPQYGDDVVFRSV HYIYSERDGSTSQVDNKTEEVVEKGEDSSYLSRMPGHGLSVIHGMVKCKFVTAQNNCV PYAGHLESEYARKMMLALGPVHILRDIARTSFPIRFTTVKETPVSTLVCGLHSGEAPR WLSNAFPNFQIDVVERDGALVRVCRRFMGLQESSNLRLFIADPVDFLRRNALVDVSSG KRYDLIMIDTLDGAGRLSTQYGRLEFINSVRNSLSPAGCVVAALPNRDAAFLYHMVQN WRLAFAGRTVLLVHCVTSPHTMLITFQDDAERGRANFGSVGGVDEFKDLLRTKISHYG VKRIPFDLPAEVSRDNFRVLHPGKTYQMDAYLPSGHPQLRSIAEGNFVFGGASNGGSW TEWLRRLGGSWLTPTQRTDLKWMEK XP_847387.1 MIADAVPAVTQVVFAICFCTGVSTFIPNLVETAKDMSVFVSHLL IPSLTFYNIATPLSVELLKKCSVLILFAALIVVLGVLIAQLASFFLFRVKNTGIPRDL QRSVHFKLLRRRGRRESDDGVSGKSTHILNIVVNGEREELPIEPRDVLERLEPPEEEY ENEPFYCCAMTLALCVQNAVTIPLSLLQALAETLPWIDLEAGTAYIFMYSIVTICVVW GVGPVLVRRAKKTTDKRRIIRELMEQQRRLQNCHEATTQTEVSCTTSRLASPTFPMLS DPPANVDGSSGEARAGGPVHRSGVAPESSTSDRGAARYSIGEVNQSHDAARCPIVLLT TTPSTCQIFGCDLVETGQIRVISRSQFAREEAEANAGVSCLGSSMAWLKRTVVQLLRT PSFTSVILGVFVGIISPLKGLFVGGNLSPVMDILSALAKGSIPCSLFLLAANFMNPKA TVPAPKPVRMRDAEQNTDFPLDDITVNRSFAETYYRERQDITFDIHASFTPAHLSQPG TGSAAGGRADFAPFATSTPATDPRKGFLTTLYDMFSLNGINKNFMFGVIVLRLIVMPA VGYGLVLAVLYISPSMLGGGSSQNVLLLVLLGQLASPSAINCNILFVAERYMPHVWAK MLFFQYVCCIVTLTGWYGLSIYIVR XP_847388.1 MLEKYLSSIIVPYLSQFVENLDSKQLNVDLWNGNVVLKDLMLKK SVLEALIQGDPIGDNFSFEGATKAAPTPGVNPAPRLPLTVQRGICKRVNLVVPYTQLR SKPVVMEIGELLICVKGNTECRDVVLSKAAKLDAAAARKSRELEQFEAERRRTRENNA NNSAGAAAATSAGAAADTTEKAPGATTGASVTSSATEKGKGKDGYLSRLGELVVNNIV IKVQSVHVRYEDEATKTVAGVILGDVRLFTVDLFTGNEKFVDPVGMRRMTKRLEFAGL QCYCDDPARYELNPHGFFISRVNDMSKWVIAMRERVEEGDVEHSTIVGPVKGHVDVNL ILKNFIRDLIWEPYLKVRMRLDSFQSKFNRAQYLTLIRTISLLSNWTSLTEVLSRRPN VPVTGNACAWWRYAIKAVRSVTAAPKRERLLQRISEVCIVDYHVLYRDVVRKTEMSPE KQRAYRFITRFMTVPDMIAGRKYVYAQLANAIQLKRKDTEAKKAEAQPAEEGPKKGGW LSWIRRQHNDPEPQEDEEARALEELEREYGLDPGEANGSDTGEENEEEKLPESYCWFD AQFELSMHHTTLYLSKTQKLDLVLHDVGLLVKTFNAPNSVQVRIVTDNLTLSNPVEDE TLRERLPSLVEGLPFRCGPSSEDLGVVTERSRSWNSVPLLECSAALNPVEQPIEDTHL DFTMNMRLLPLRVVADPPTIDAIVRFFHVPKGLDVGYVVGRTKDFALTVGQAASTELR QAMTNTKGYKISLDAAGPHIIFPKTLRGALDEPALAVSLGHAMFDTQPLTETEKQQRL LAAQAGGQNEEWHYYSSTADFSKFFIELGTLGSVLERQGSGFMLVPEIAYSASVLQLI DRDMANNREWLIVRMVVPKLCMACSVGQAHVLSNMVEQWVAYLAAAGTEDDNDPVAMP SVDTAVLGAEKLLAAAGSPGGIGPTVGVGGSTSAGAPSAEGSYFNSCTGNASRPRKAK NSESDSDDALASTTPVVALRTQADLPYVRLHATIEELGISIFEDDLETRQPLVAPRFT MAFRTALMTLHVRTQKQLLEMVLNDPYCLDAREPDHLVIAGPSIHCNAEMAADMPMHV DIVMQPPLKFCLNTSCMELLEAVVDITNLIATTSDAIPQLTRSDEGGVDTVKFERGAK IPLDVMQELQIKQVQHMFGMPDTPVVQMSLRIAGIATVELMERCVERQPSQEQSAGKG ERQPRDYPIAYATFKEVNLFLRKNSVTMEVNGSVQQVEVSLSEVHDVSPSNRVVLQRR VAPPHASPIKSKVTEPLETGATVAVAAMSPGSGSGQLASSQENLPPRLQSSESEQRQH LTFTYRTSRPVMPIFQTGADGKRKLTNVSELRFSGFAELEFSSSDIHLDIHSVIVLSQ YFCTGLFAELSGLSLRTRYDGRVPAGPSLIEPPQLLTSVRVFAQDLTFNLPVDARVEN DIERFRVSVDHVAVQSSLLSAEEKQSMNISLREIRLLHGFPHGVDAERMQSAAEGKVL MPTTSLDVSLKSPLDQLSDEPLRVELRGDELVLALTEGDIVDLCRLISGNLTRAPPPP PPAPPRLQSLTGSRRESSEVGEARLPSVAACSPQEITEAQSGAHVVWRAGRLTLSLLD TSSSGARFRLEGNGFTFDQRAPGGELSLRWDKLELHDVYEETCRATMLLCGCGRVELG NLPAPWCDPCKGANKEGMNDQVDKINATTGDGTSSVDFEDLTPEQLHQLLQEQLNQPQ DSASAGGLSRDFVDIQTLVVDFTLERFAVSDQWLAVYDFVCNQAVVEALQHVASTDDS VPPRRLCQGFKEPSEGMEGAKPFRCIVTTRAVNVPFLTISREEFLEADITSLHADVIT YLNTTTVTVRMHDLVVRHSASGERVLFKKHDTDDLHLSSFDNTQENLLLTGINSARSP RTPAGGTTTAPAAALTNPTTTTASPNQPVGTATPDILNLRCHIGGTPENPQQRVRIAV GELATLFSAPLVAQIVEYCAQPEQPIAKISNLGVMREQRERLARAADQMVNNGAINVQ VLWQQPCIIFAGNAQELANKSRNIEAHLGLMGSEILFDKRSGSCTVSTKVVGVSIPEM LEETTVRLRYKLEERNADISVVVDKATALLHPVGVEKLLWVIQWNLMVPPDDSGNSVA NNVTHSGAVAGACEKEGIKEPNVLNERKEQVVGERSTDLVEEPITQNIHVEASSIVLA MHDVLGLHVHTTALGGLKIHVNAAGDVDIAVKSVTTVEHFTNQRLMEACGEGALTVHV KSAEKTTSVCMAEVNFRVVPKAVGSLLNMLLSVQLPPPLPSVSGVPTTVLPSETGWEH RLSVRLNCCRTLALHDGQEVFLAEYKDLNVDLCSFADASSTLTVSIGWLVVQNLYSKK VKGSELMLPMDGEENGSPAVIDFVLTTSPPNNRSVTRDCDCAAAPCYVQQLRCRISSF LIVSVPDVTYAAVQVTADILGNISDVNRGKAYDYVAEKTAQQIRERQQLTEVEVAIGR PCIKLLDAVDSQNVVEVFLGDFVVRNKLRCAAAQGSNVAMCAPHAEVFSLSINRLSLN ILGGSAFNHSSSIEVEFSRLITDDVIPTVASDESVGVPNDEMMSLNVSVPMLSARLTE AQLNTLLDVVGAFSSFGCSPPVWGDSCGAFPSSHSQGASGHHDGSMSATSFHTSSVVS PSGGAAGKRRAKDPLLGLQVQGAAHGCGLPTGDVNVTAATADGTITNSESVTNEVSTS MKISAVMQRLVANIDDKFEITVDHLTVEQVTTSRLSRTANGNDNSNAVPVPPLTNSNS KLFLEGLVIRHCSWGSFDDNNERASLFASRDVEVASVTVMSVNGNSRETDSSTTVRLG CLHTTITPQILVDARNILYGPFCMKVARTPLSPIPICRLTDDVYVLKSNLSLDPTHIL ITGNKSRCSYVLDLNQYKLCILGPPAPHIVLDDNCELTITNGCIVVPGMYALSSFVSF GGNASVFTTKSCVIEKIAHHDRVVAAVFRPVRRRSRGGVESTAAPTHKNMAAPSVDPA KQPVTSIQPPQQQPQVGAVSLNSTSVIPQCQSNIVPRQASGEEVRSTVSVECKDVMLR VMAEEAEDIGVCMFMALDFTTTRQLDDGVLKQNCAKVTLRDIRTSTRDEVALQPSTFT VAVAGTDRVSVTGSLASQGLCLRVSLVRSLVELVKDTMSAFTHEGEVPVYPVNVVLED ENLKPLVDVPALGECRICEMQCAKLATSEEGPGVLCYRCCTGKMALPETNVWFAVDPV DILLLSDSGGMLQLRCVAPFETCYTADKGLHTHMKLQVRNLNDNAAVWEPLVEHITMT FGGDVRTSAYWIKVEKFDYVLSPMNVKLLRKLSEDFSPTSELQRQLRRKFRGNGHSLL QTDDETLDEVGGRGTSAEVAEGVCDDGHKYVAYVEVHNYFSESLEFDGLPIEPRGRSV ATNVNKNYGTLRRTHSVSSVGVTINWFRSPLYVRYPDMVVRVQTTLSHREGSKRLFRV VSLRCYPVHRTDMIICLKNNVSTHVEVVSGVPAVGPQESFYFHPDFSLDRKLQLRPVQ TVDEEYTEALAVGTGAAPTLSSLLSASSTTLHSRGVKRREKLFVFTIHERQEDIWAGT PMFVISIEPHICIENNLPCSVRFRIHKDGPGKQNLFSSVVKAAERADVIQGETSVDRA CFHFEVHHEDKDSNGVIYSTPTPVKLKPRTTHVVLRAQLGDSRELRINVRCKDNKIVL STPYSIVNFAPLPIELRECSSSGVEIPCAGTNFRFLPKQMKSAYPASPQSADAREFFV NVHIMDYEARAIPLHVQDSSAIVLGERMDKGSAERGTSNRGPILFHLMCTMQVDMYGS RFVTIVPRWTIVNRTPRDLYVAQALLDAAPPGSSSSGKDTRTVGGVPDKVSGRCFRCR YDIAQHIPPNSSTPLFVTARCCSELGYAVFVLADKGARVYGNPVSLEEVASELVVAHG VCEGGEAKRASVVVETSVVVDGPYTFFTVQDLPVSPYILLNRTPLSLELHGAHGHRLA RVGPGCSTPFVMNPRDKPTRTRITLESGTWDTTATADVGEEPPKWEGEHPTPFVEFDF DRPMRQEERYNELDIEYVVGFGPFGQQIVELKVATSAGMCTTRGAAGGGVLNPLESDS ILNERSLRVYQPPPPTPLDVVMNLSYVTCSLVTMDQEILLVAIEDVRCHVTRKDTKET VNFTVKNIQIDNQCEEKPVYEVCLVAIRAANDVQCISAYVEREIVPARALLCVNQVCL NVVPIAVRLSDNVLVAIASFGAQLTVEQPMRAQAPTEQELFDNAPVTVGAMNTRVILR CMVVNPLEVRVWFEREAGGHDFIREHVRVRTAALLSMMIQSCEDVHINLPGLNIERRS GSAGVLWEWLLKVYWDKSYTLMAGLFYQYASSLPLIGAPIKLFSGFGAGALKFFQEPV AGLQESPKAFARGLAAGSAALLRETAGGGLGAVSNLAKTGASIIDVFGDGSRTSSRSG GAGACVLHGLASGVKGVVNAPVEGATQGGARGLLFGMGKGALGLVTKPVAGLLADVSR LTGTAARACDSSHLPKVRRRRGLREFHANGAVAERNSLLTVFEYERGEHDGSKWTVGS ESSWSDDKPQWLPCTKEQMQRKQGGGPRPDMWQVQRYGTNFEGWKYSKNYHGKYTREQ LPRSKVRRRRWVSVIRPMPTSAILRLVHIATKNPTCTSSSDSVSDGVFCSAQLKHGSV SSATASSFRGSSGSPADDSFPGTKFKPESPKEAFRTVVLYEYEAKVVFVWSSRVLPQG RSPWEDSEGCRVQRRSDYNPPHGWSWDSDWFLSRGAAGPDGWEYVGKESHTSTELRRR CWKRRIRKVL XP_847389.1 METRKVTECTPSPEEEFRSGTSLKYPDEERTRQQLTLAFPSIDA DVIEEMAFLVGRKRYTIDKVVYMLRLIEESMKLDNPANKGLVNSGESEGQEVQVLQEG TDDTSTGHSLPLQSSQPQEGLDQTTMKQQRDLPEGNRGGVEELQPFMLSYSNSHSANF VGVKLFFTSMTGDRRIRDHCRRAETLLYLKRITYEPVNVADSPTLQRNLREMYAACTG KRGAPPLPALFVGTSYVGNYEEMQEMEDDGTLMDTLEHLGYKHEIQSSVVTR XP_847390.1 MNQLESLKKHTCVVIDTADFSLLKEFSAEDATTNPSLVLAGSQV PQHAHLVNSAVEFARSNVSRLRDGLLSSADDERAQLLELAVDKLTVSFGVEILKAIPG RVSSEVDARLSYDADKMVKKAQHIVQLYAEAGVPKERLYIKLASTWEGIRAAERLERE GINCNLTLLFSFDQAVACAQAGVALISPFVGRVLDWYKAKYPEQADTFIGAQDPGVIA VTKIYNYYKRHGYKTVVMGASFRNTGEVLELAGCDKLTISPKLLQELASTPGEVVRKL DPARLTQSIPKLSELTRPESLFERETNNMAVEKLEEGIRNFAKDTEKLEALIATLL XP_847391.1 MPFSLFKPPSFITSRTFSLVSFKFYIDMHAYHFQHYRQIRRHPV ARPHTPLAVWGPSATRATAARMASTAPALSLLSWSVDAAGQTHHRCKRRLTLAERIPL LQRTISEAAPDIVALQDSSLEVAEALCSPQSSSTVEGDHIGPGRSALLEGTETEQLPL NKGRGECRYQCIGSTRNGRCGHIQLFRRIDSLWDGRLLPTSPCLTAEFVSNASGSDGG LRFVLSNVDLTYRGKSLGLGGRPLAPLEVSSGGSPFTLQVGRDEATPGRQPQLQRVRG RLDPHREIALEFISKVAKPDILLGNFFMGQSETMPGYEDAWVLAGAPAGHERTANTFA HHKHDAQTNFFYFVSDDALKTVPEGSSSTRLPPPTSIAGTPADTGIEPPANDCRLSIE NTDCIDGKHKSMVSWPDTETVGEASGCVTPQIAGRFQRCFFRSLPSKHHKREHPLVRR YGRRQLLVLKPFTDARLTENEARRLGSDPEKLVRCSASDTYPILVLLS XP_847392.1 MLDLDSWVDEFHAGNEQKAVNQDTCNAAAGSSPSPLSVDVITLA YRLRTPCCVRHTLPSDCPVGCVASPWCLAGLSTLSTNNTTLQDFVADLLGDDTSLASS HQPQLQRGEHGPNDGDSPADTSSVDVYKYPIRRGIRDLGNTCYLNAVLQMLFHIPAVR DEVLRASASFPVAAHNSAKKITPLLASGLGELFAEMAYSRDERGVDAERFATFLSIDK GIQQDAQEFFVLLINWLQREVGDAVRHVFEGTILHDRECSKCGRSCKRAEPFSFLSLP VRQSIEESLEELLRVHEVQGFKCEECGAVTSAFSRLHIRTLPDVLVVHFNRFSFSLQE KRREKITRSVSFPIEWDLTPYKDRWRQVQKQTASNNSGVADSVVEARSNAAQLYRLVG VVNHHGEAAVCGHYTYHGKMDGHGGWYQFDNAVVTRLQRFHGTRASSKEAYMLVYERH AHPTNNPLTSAEEDVERAGSLDTTIILPPHLQNHIERLNHEVEKVRQARQLQRSVVMN FLKQWKDVADDIFYRSARRKGHPIAVMEECEDLTQYVAFPTRWMKLFGRCFIPSYIDT NRYCSFERGMKRHRRNSSKSAVELSNELPAAGSDSKEVEDNAPLVAAEMVLDTTKGAM PGDCVLIKSIYKSTLTDYLEDVRCPHGKLAPWGQYKLLSGASARRLNSFLNSVPSLLT SLTTVGEFTPFKGWKLSEYICEECVKRMSKEVQRIKTSWNEDMEIQTAVTPTSKFAER EDADELVYVSVEAVEHWEQMLKVTEKYRYIVKQQGFTGLVVAMKARSEDVDTEVIPLP SPSKLLCEHQLLSSVAAVREVPASVWHLLKKRILQLFYGDSVSVKHTLTPSVKAHMEM LLPYLPVSRTGKCLECIQNKMRMMMERHSAKMEKLNEGKRFSTLVEASRVMALPSVEV RIRHPNTLVWKRDIKRSYRRCYRTWEREQEDKITAVEARIKSLKEVGGQREKKQRTLP TPPVRCDRKQSQEPSDSQQVCEVTCENSQSIPDVMEVPSPLQSACDELAALRAATPPD VSAVYGCIPTWWVARWYKWYTNDADTGVGPPPCVDYSAFFCQHGGTVLPPSSLNPTDA HWSKTFTLGPIIRAWKSWLKDPSALDVVEESGSVHFPPIVLVPLKEMIAVLERYGGEG QLLPEVTDSKDLVTRLNNGRVVLFVERRGERMLDPPTCEICSTAVLDGVTELSRGFID GSLRLRLHLRRSKRQHYDANDVLSGVSYNMTVGKLKVEISQRVLEYHGYILPVNDMKL LLGRKPLGPNRICKENTCANSDGNAPDGAASPSVASDDRNEESTTGALSTVDVDTCTL FECGLRDGDEVTVDASNTPLEKVLVNDSLCIRVPGAPTSSSETPTAEDVAAFGATRLY GVLDNVQNGRERKAPGQKACAVCTYLNVREAERCEMCEAAL XP_847393.1 MARVELPALIDCHVHFREPGLEYKGDMQSEAAAAYAGGINVVCD MPNTQPPTQTIEAFADKVLRASRVKSRCDMRFFFGATAHEHIEQLELMWTQPQHAELR RRCAGLKLYLDNSTGNMKSSGEVVEAAFALCGRLGIPLVAHCEHAEHNEAASAAHAYT GPASHSRRRPAESEVASISQAIELAGRYSTQLHIAHLSTAGGVECLRRARAASAAGKK GVPRITAEVTPHHLFLTEGDYCGCGSRVKVNPPVRQWSDVEQLWEAVLDGTVDCIATD HAPHTLQDKSDESNPPSGMPSIELVVPLLLTVCAGRWPHPTTSMPKALQERKLTVDDI VRLMHTNPNRIFGLDVTEEKKRTFDTSVEWVVEESQLHSKCKWSPYANWKLVGKVM XP_847394.1 MRRFPPAVGVSLRQLRLVASPAVVRNVPPVKSFAPFGAAATNED VARAFNVPPALLENSCRPAECLFAKMDLARSRFAPLIATIGSEDVTKIAAEVRVAKMG KSVSTSAVAPPSRTIPPVAGAKEKFKTNVWKSVRELVTFYEEVMLPVRVKNEEFKFHE LNNFHIKDDLKRGLSAFKQDYLDNQKVKLVEVQKQMEACQKFIRDIGASSFDTNIFND IANILRICGERNPYALRLSLQVLEDMSLVGVPFDDITTKILNAAVFNDGPLDDSALLF TLLEYPERGEVSVATKPVEKIADETLEVISRRHRTPLDNGKLLRQSDTHPCLQRSPE XP_847395.1 MDFVFPVFVPASDCVVEKTVTEKKSLWELVIEASSNRTYSRTTD ADTVYCARPDEGANAYGKPEGDDSDYEKEASAAIAPTSRSSWIPTPYMTKNLLSSGFV SQFCTLNAIECSARVPPPPCLRLEDLQLPPVALKRLREQLLQSLRKKFRTGSVVKSFH TSQKTDEPDLRELREIVLNGATGEQEGKQCSEEERVECMAAGGDDGEGEDRLVLTALQ QVTLTSMLLGHHTVSVAPHGTGKKTAGLIATAALTLARECDTLKESLKKEEQQQEVKE ESEKSQTFPSLAPRAIVLLSSFRELQRCNRWLQYVFTAEAFVPLTFRRGTAQLVKLPV LFAPPPRRPVRALLDHPVQPPLDLLPQQQPVPQEPEDRQEPRVLEEEAQERQESLRES DHRGHKRLRELSDDCHHRRGHRYRSRSRSLRQRHRHHHRRDRHRGSSRSVTGREVEGR KQRYRDCSEDRKRRRRSHSGRGRRERSRRRYRSRSLRSDSHERNGGRRGTHKRDPPRP HSSHSNVAEGTRKPSDQQKGDDAPHSAAPPLPSSTPPEPAQLTVRQSVLDDVEEVPHC LQQHVPILLATHQSFADALQEVRGQAEMLPFECIRVVCISDADRVAQPNSQASLLPSW WVSFSNAVDVDCQFIVSASRMLDEVDTWLRETVLNDTPNVINRYRQRDDTVWLAVQHI IEVVHVDEPTDYRQSRQSYEGVEGAKLDRLADIVTRHFSPPHGTGAADGAAGNVADAS SPHHASSVVGRLIVMVSSRKEQEAVFSHLFSLLQSQSDRVRCTCQWEEFQQGNADLLV AYDWMVTIAHDHSEGESRSHCPVSVVVNYSFPRMLLAPGKEESLLECLVIRTRSLLCG YAPAGVNDHPKDQRSGVFGREGNITRMPLSVLTILTARQVHGRLGRLLQQRAQALTSE XP_847396.1 MISPSTLLRSASSRGPFLAALRRLFSQYPRNGGEFLGNLLVGHN VFIADQPRKYDVCHARHFSLLESLNIVPLFTLTVVHYFSTFLLFPSRRNMIPVLMTEL TNKSKMEQEWLEALAAKSPADAVAWRAAMLLSHLVLFPMFLILSAIAPQLVHATLERT NEILYQKYASISTGAPTFVKKCMEDARDASTYHSMQLNISTDYVAALIIVVLVLYLNS XP_847397.1 MVEKKQAKKQRIRMTNKAVEGLRFSTVRMPAKSEVQKQQTDISR LISDHEDKRSGDSTRENGEEGARGRSSGNGRTRQVGSAAFDPIPNDPMFFIVEKSKYW PPPSLEDLHKSVGVEMSGDGFDPYDRREDDEQARQARTKIVRGELNHPRGKPRKNTLV KLESSSGSDTDSDK XP_847398.1 MLRHTVKLCGALQHLLDHSTRFINNLIFLAGDHASAETTPTDRH TASRGSSVQTQGAAENWHQTQYVHAAEVIRNAAARDYLLDSESDTAELHASLVTALMR GTRWGSTISQDTDMLLINELERDVADRVARMFLLPSRFMWRSCHQAVPNGGRGNQCKC NVLVVPKRSSDLSNVRHHVSSGDADSGGGGGIMHSSSLESLIVLMKTAKAQARARYLS SRFSCPDDESRMSQRLVLYCSDQSDKLLLQAARCTGVQHVRTLQTVYSPKVHNYPMQV DMLKAALAEDVSHGFYPLLVCGVFGSHVAASVDPLQEMAELCQKLKLWFHIDASHSGL ALAAGAASVTMPQDTTVASSMEKKAEVVMTKDTVWEEYMHSFNQAATLADSVHFGVSS SFLPTAASASSTALLYVSNVAKVDAALRHLNRSAESSVNAWCASSRAQQMSQMLQLRL EPQHVHANEIIRLSLILHQMDDAYVSKRVRDHQAAMYHLQQRIRADGRFDCSVHASCF GMVLFRWLLLADEETEALMWRWDELLKAQRVSSNFGEGIRPFLGLVRIQRRLHICVGF VGAVGGGKSCCAPSLSQRDVNTLVDSLTEAASFGRNKGRVV XP_847399.1 MHRGPPALLHTVGVATRSKSFAASTKLPGRLTPLPSTSSTLQPT NTLLSSSAGIASLRQLVSASTLTSTSTSGKKRVGSLDAHCRLLSRGTSADSYMPMTSR SFDVFEGNNKQAWLDLKTGWCHLCQEPLGATMGVHIGDRDHTNLQYFLFLYAAHGRRW SSEEVLRSCLATAPTVHNYATRHTTWDHLHVMDDALRRAELEALLFHLTHPPQQALSH VLQGRSPLGFWYSGERMWKLRISRLVTQVFPPISAGMMTNFTQKCWGRSNGERMYDAL RLQRIKAYYGWQPYESKEKKAFFVRQLLWELLSVEVRDEVDELTKHMADLALRRMAFE MIFLQSMEYMHKVQYVYDLMGRPTVDELAAMNLL XP_847400.1 MMYRWSPPSLSKKVKGDTFLSSLAQRVDSVAATSSSRQEPGGTL SNLRLGLRGSSTMCRSAFSLHSNQSLVGNRIFECPNKHIFLDTNASYCHLCREPLGVS SFTHLSDREHHNVQLFLFLSAAFPRGTLRDATDLSTTFAKTTWRAIGRGDNTAGESIL YHPDEVMDDAARFCPRLLAAVKGGYAHTEQEALRRASLQSMLILLSSGSITSDGATRR EPAVLGVFHGDVLPELAHSGERLFKGEVSRLIVEWFPALSAGVGTQIAHKCWGRSNLE SVFDALQLQTLINQRCNRAAVRGSGSGWKSTLMKGLTTKTEKATFMRLLMWELTTRNE DVGGDTNDGVSLNKVDRLLLELTRKRLAFEMVYLQSLKYMHLADALLQEMSYPSLEDL VLIGAA XP_847401.1 MHIIEVNHGAGELHRYLLLPDAAYTVGRKECHILLPTGDPSISR HHANIQVGVMQSRALTDPSVLTAEVSLQDNSKHGTLVNDEHVGRGNCRYIYTEDSIKF GKKVTARVVSVRIVLVESSQLLHDERKALRNVALLLGALIVQEPAPLLLDFFDMRLCC TAFLYITHNGYTVTPETLLAQQRGYHITTVKYLQSLENALSEDCARGMEELPQPTAAQ PGDTSFQVTEYMRPAKVFYDIHEFLLSKPLPNKLLRSYTFVFPDVEIMEKYEVLLKHC GAVVAHQPGECPSEWQSLDPDSTSVIVAGDDMFFKVKRVALTKPERNGTPESEETNGG NRRASALGEDPKTSVYVSLYENGFVIIPEKNIMRAAFTGNPLEINGRPSAPYLARSER DTFRSPSPMVRAPSHGRTSNNHVGTLPCRGASADPVVMAAEGDEKPPRFTVSPPPRRA SSVSDGAAKSTGVRVLQELEGNILRVVDVNRLGGEGENDGNACLSKSTSSFGGGGGGT SEVTPTSRKKHKRKPSASRASEEGSQVRAASVDSSSARSQGQYRDKSPEGLCPSWEKQ GAVVVHTAEQLWKTEGGRISSSRARMSAYKMSRQSSFLTSATPSSVGAKPHVSTEKHG SEDGQPSQPRSTPSRSMRSVSPLTKRSVVGQSASRRLAPRQALTKLFPCDELGSHSTL GGTSIFDVTFCHTARCESSGRHAKRQLLTHWHRTVAKDKVRETKSARLPASPLRSFSP LFQRYNSGTGSSRRGAGSRGSSAQKADGGRGERYAGLFSSSVSSSNGASAALLAQQQD VTIRKRCEEFLRKVLTPLNTEVDTICKLIMKQGYLDAESKKKLEIGTESLIKFLTYIQ RVETSIPPAQSTESTRTVTNQVRQKSLLLRRRIKGAYESVKAEIPPWMNQLREVLSVR PLVV XP_847402.1 MEEAATIGQDTGNSTVSEPMECPRLLQSIEVASLLVRPIHIVGD VLKVLRGCLFSMRGVKNVVNGAAADTAAGDAQACRKFLLDPTFLSPISIPQLSSSCTL VWMRADDVSLPAVLRDKLVLVSQGAESRAEPLQVALTTHTVDVTSKNFTMPELLQMLL PPGITALSGFEQIGHIAHVNLSAEHLPYKMAIGAVILSCNPTVSVVVNKVNSISSVFR EFKMEIVAHRHCGGNSKGNSSTHRGGGGSVGVAGSGDHNPRTNAEEEEAQQQQQQLLL ATVRQHGCTFRVPYDRVYWNSRLSHEHTRIVELMQRGDVLYDVMAGVGPFAVPAASAG VTVYANDLNPVAAEYLRINADINHIRKDSFHIFNIDGREFMNTILYNDVIANSSRCGR RHVTMNLPAIAVEFLDVFAKRPWIPEPGMKVRESKENPDKRVLFHVYCFSKNVEDFTG DAVRQVTRWLSFELRKENLELVHVVRDVAPKKRMVCVSFTLPDAFWRCRYDNMGTEKQ MQTLKRAREG XP_847403.1 MSDEGFEDLFILDTDPEELYEELGPIGVGNYGSVIKARNRHTQE ITAIKLVLLVDKDERDFVQREISILKHCRHPNIVHYYGAHKSLSKLWIVMEYCEGGSI DMVYKVLRHPLPEPLIAYVCRQVLLGLQYLHANRKIHRDIKGGNILLTRDGGVKLADF GVSTELSHSLSRRNTFVGTLLWMAPEAILELDYDEKADLWSLGITLIEMAEGAPPYID THPARALFMIPKMDPPSLQDKERWSPQMTLFLKRLLTKDHNARPTATTMLNDPFVLPE NIGTQEQLKAVIDEVLAKKASIDPQRMRDYTDSSATFVERTSSDGGGGDDHSTDSRDE VQPHLTAKGLTNAPANSIEALDGAGTHLFFQDGTLLPLPMLYTQDISFDELCVGEELN GPFSLPVPSVESLLVPPEQQSTACHGGEALAHTMHVTAKLKEMLYYHKQLPYCRGLTD AEAAKSREMQLKYGSVLKSVYRL XP_847404.1 MSGTLLKEELLQLDNKRRSIMRDIEEAMTFLNSTPVGLRGSLVD SEGFPRDDCDLYAVRRARHTVNCGHNDLKSIEATIHEKLSQLHEECRGEAEEQMQRDK MKKKSEDDQRRRDEQKQVMSSKEPFVRVVDVATGSPAEEGGLICGHLIVQYGDVDAEK VLEGGFGEMARVTSSYEGQMLRVWVRSPSDDDCGGARELFIVPQRWRGEGLLGCTFEP MKLN XP_847405.1 MLSSALGMGHYTRIISVYERFAVLSTCTIKREVERMLHSTCAGT SQQQHQHQQRQLLTTVCDLLVKSGEWRRAFAVAQHLPLAERQAIVSAAERRQEGNAVE QGQTTLGTSAPSNCDTSAPGNLHKALNEDLVRMAFALQRSGKKAALTSGRVETEGSVG VDGEMLNTQQRIFRELSRRHRYRELIQCVINWKSRGLIPVKHKSVADVRCASSDGSID EHHKVSGDGNGSTMGAGSSRETGINRDIVFNAEQALQSLIFNSTSGKQWEEAMQHHQA FFIHMLRDPMAAQQLLRKTDVGFFSQLLLSLLRDSNATTTTLSSPSQACGFREQATPW DTIASMCFALRSHVGQQKKVLKVELLHALLSALRREAKTVTVTRENAGFNSRSNVVSA AATSMMRSLPDDIGDRSMRSSVEGLCVPLVHHNEAQARFIGLPLFVDLALAMCDCGVP VTNALVQCMLLCLGNMQRHRLSETVPVPQTKALKRERELIMSLVTEPRAVRWLPALRL LQTCSEQHHFLVTSAHLRCLLGGLQSISIRQTWPAALSAASFLMTRHHIFPDEASVEK LMLNLHAASWQRVFEVLRLYDRKHIQPSPTILRDLHVVAMKHSSWDTVLRVMQSIKDV GHQQAGFMNYVYCLRAYGCAGKWNSAVKLFMQLKDVPRIGLPRPALNEKTVAVPVIAM MENNHWEEVIHFANTVWKKCGAGLTVEGAEVTRAATLLSLVHIGESQRVATFLNNCCG QRNARGTNASVSADGNLIPDTGSSEVLRGIVVRAAQLQTLLGMEHLNSPIRLVFDLLG ADKDGDKAEQFSVDTRSRSPRPQLYLPPQHIQHQHEWFATTLGHMTRHDERLFCAAAQ QAVAEAMSSIGVAPVYLKAALL XP_847406.1 MNTPLHAAAAVGDIRALVRISRVSSTNVNAVDEEGRTPLHIAAE KGNMEFVRMLFRKFNGVDTTILDNSSKTAVQRAPVEQQQQLTLLLSVEEANTIARAKD NLNVKREKEEEENVYKDHDVEGSDMVNPQVLRKIVICLLVPFVYPLLNMGTIFVLQYA ALTFVFYFVVVGYFLSEFTIKPPWYHHHPKSNELTARGCPDYWNGCVNDPFKDLGLQF DNVSFISTDQYVLRGWHVPPPSDKPRGMGVVLVHGGGRDRRAWLRHVPFLHNAGYGCL LFDFREHGLSDGNMRGFTYGMKERFDVVAACHFMRSECGYNRICAMGTSVGASSAIMA AAIDKTIDIIVAENAILTCAALQDQQIVNIIGGYFARRVYSTFFFNLLRRTASFWLNY RIGNKPSKHCQALHCIAKVSPRPILLMHGTADELVPCRHSQKLFEEASEPKELYLAEG AFHCGLHNTHKEEYEARVLGFLQRYGGG XP_847407.1 MLHASGNGAVGDGEEESTGSFIPDMQKVNAKLRNLPTLERLLQE KDPNEFTDEEMGNALKFVEAIAGTEVSTTQTIEQQLRQLMKKYSVVIKKSLLLAAYRK WLAEDQRRTGNAVLERYLISKAPRSQSGVLVVTVFTSAYPEGQKFSCKWNCYYCPNEP GQPRSYLLNEPGVRRANRLEFDPYRQFQDRVRSLVAIGHPADKVELLVLGGTWESYPL SYRETFIRDLFYAANTLYDDDASNSDSTVTEERKRGATAGESGRPRPPLDLLQEQLLN ETAACKIIGVTLETRPDTVNEEMLRQLRRFGCTRVQLGVQHTDDAVLLAVNRQSTRDE AVHAIKLLKDSCFKVDIHLMPDLPGSTPENDKKMFSDVLYSPELQADQWKIYPCQTTP FSVIEEWYKEGKYQPYGLTNLIDVILFAKRRVQPWVRLNRVVRDIPHDYILGGVDVSN LRQLLAVRLAEEGSRCQCIRCREVKGDAAAAAKLREAVLVERRYSASEGEEVFVSCES ADGLTLFGFLRIRIHIENWETPFEELKSCALIRELHVYGSLVPAHTEADNAKAQHRGV GTKLLQRAESIARKEGYHRIAVISGVGVRNYYRCKGYALFTGPESGDFLIKELQDGEE SEKAKVDDHQQCSNDANQRDSTQAADGGDAAGSVITSLWSRLTSFWQGLKRPRGQ XP_847408.1 MDVNCTLIECLDAKDNKRVLFNFLILDAPSPSSLPAYLKALQRR QVRHLVRVCGPTYDATLVEKNGIEVHSWPFDDGAPPPRGVLESWFRLLDTEKAHLDSG DIKQPATIAIHCVAGLGRAPILVAVALVEYGGLAPLDTITLIRERRRGAINQTQMHWL VKYKRRGGNGGGCAIM XP_847409.1 MHLYVTFIWLPPSQLFPPCTVVQTLAKRFHLPIPTFTPLSQTSR TFCYHLSFLFFSNLHYLAPLKSGERGLFFPSLSSGVTFSFFFLRLFRANPLARGADRL SAHFFIPFPVVRDGERMCRNVACQLAYEWLDGNFFGRIMEENVLEGSVYSNTTFR XP_847410.1 MKHKDARGGSTPYFAITNNKTGEVLLEVAGPLPPTAASPPPVEE ECCGLFNDALIFITEVQTRSYDLFGRIVAKPTESHSTSSASAGAAKPRDRFMEAIIPE EATNSSVINSAEWEVDGEDDGVNDECDQFSTNSGDAGTGVDCNIFAVGPPPKRVKK XP_847411.1 MHALVHFGNEGWLVSTCTWMTEEYVHTVVAGTISGAAGVLLEYP LDTIKVRLQMGGGRYTGYFNCASRMIQEEGTLSLYSGVSTRIVGSAFEHAVVFSSYKW TLRAVGTDEQHPRVWQIALGGVGGGAMSTVLLTPLELVKCRMQVANVQPGAKWRNGSV ADCAASIVREGGLTALYKGGLAMLAREIPGTAAYCGTYDKLKEFLTPEGGSTANLSIW SLMFAGGCSGVAFWTIFFPADVAKTRMQVDPAFAKVGFLEALRRIYIEGGMRLLYRGW TGTAVRAFPSNALIFAVFDLTMYALTRD XP_847412.1 MEHERGSGLTLAPMKKKRGQHQQHAIPGSQKRVTGTRIKRGLDE LYNLDAVPQQQSQESQPSPGRVSKCNDRGKGKSSKASSTAENPLMRSAVQGISTNGEK TAVSVAQRKEDDGPIVATAIIKMADTSEYCGSSPPTVFGYNWEEWKSVKQHLFNPTET AGSAAARRKALDHIELVWKARAREGRPLPSYVESTAMLLEAVSLDESNKMSSIAITAL YGAVISRAVHVMTGEFARGADDTYRKRAQAIGFPEEAVEVRQRVSHGALPLLTELRWV CGLVLQFLFHNYWLEQERHLHCMEQEEKEAEVEVEKVNKNTRDAHKVVSNHATVEEMR WLLDALSDDCEEDEDTPGGDSCEECTNDGFHDADTIRVGDWTLS XP_847413.1 MEFVAEAHGYLITGLALDSQGDLFAICSCSGELLRLNKEENTLV SIMATEASPYNIAIEPNSGSVFITDRSENAILKLEDAATVRAEQELREGKDQESQAAY TTVQYLNAFEGRSFLGPTAIAFSPSGELFFTDAGAEGDSSFSDPVGAVYRTTMNHEHL VPICTRGLIRPSAIAVAPDNSVYVCEQGTNRVLRFVQRSTFYVGNVFAQLQGGMGPRA IAVSPRDGSVFVAQYDISAVEAPTEEGESEKGNEDAGEGEGGDDGKVQNQSALESAGE GGIITVLGRDGDVRGIVRTMRPCITAIALDASGETLYVMEGDEANGSSKLYKLQVLPL DDQQCGGQAE XP_847414.1 MGEQDGLNKKPHSHTRGRGILQHNSSRRGPPLGQNSTNNRIRGG FKANRGRSSTFSSRGGQVPDGTVPAAYISPFQASRPQSGQHSASVVRTSVSGPSQQQI LFYSSVQRRGGVFTKTAHETTAQLARTGVLPNSTAFRKRARHAMESNGDKPETLEERF SNLQSEKTEVELRQAKKLVKRSGINRFEDCDSDGADT XP_847415.1 MEPGPESTGFDTSKFLQFLFATLFLFVCVMVLIMYQHKLLSFAV QTVLRYCFLPSTSRVHLGGLLLSPPGCRIFFQNFFYQDENMTLRVTDGYISFVFWDSW FGDPSWAKVRDFMMKDDLKGTQCLAKVKTKWVRGVIVDVERSKRKLLVRLESGEPVNR ESDPAPLVDDERFNNLDWGSELHEMADVDGASNSVVGHNGDENTSHRSSYNLEHSRES HRTVPTRQREVNVSCASIPSTSARMFYAPDCRNSDANSKGGGGDGNYSSVTAVHVEPE VGINGEPPESVKLLDMSLVRIQKKCGRVRVHLNGMELTFYNATGNYRKSETVERSEQE RKPRHNDSVFKSLWGIFRPLCKSENDSTAPRDPMEGREQRQHEGLESEEEMSSRVEDT GVEDVFDGARTRATVEQHRRKNTKSIMQRFYDFVGAVEVELFTSYVNIGGAAAEHPYF LRVGFKRADGLSYLAQEGVPSVDICRLVTEATLEELCVRWFPMKSTEEQHEPRSVWDK WRDSFLLYCEGVPPEQKPEPLLDEPAVLIKDYGTIIGDKEESSAHLVYYRDFPNVYAG EEVSCQSDLPKSGIELLIDTPDLCHGPWSNFSIMQLKEYFVPNTYQSVESLKFELGKK RPHGCFEIYIELLRETSLIIPFKRKTIAPTPPFGLCDSGRKGMVAVTLGRGSSYVQKL KNPLPHEEKQCMESLLTGLDVLVATNAIQEESAVCMSMDKIELFVDKLSDKPYNGRKL WNILPVMENAEVWWHMDYISFFGDLCLDWLFVPHMYHGKPFTTEEYNTYKLFVEEFIP TVRRFECIFAGTFKIHLNGNMHNAIYSENINDKAANVFLTLSTKTGGYMFVTVPSDSY LLSSVTEVRRPFEISVSGIELFLSVPHSHPLHELVDISSPFGCVDKLFVKGMHTVNVP NQTVPQDMDPIDPETGQSKLRNYLDYEFDITGVRGHLMAPHIATFTELFENLFGGSSC VVRPDELFWPLSKIHPKINTPKNVRSAFHTYLQKDQPPTNSLDFNTTVKFTDIDVTLR TGEAKSPQVNLRFSTLDITVMRQASVTDIGLTISPIYGRLPAPLTGDLADSTFLCCGD VSLGIVRHFGLPPLRTLFYSASECVVDGISFYMTVEQVALLTELFTTLRKQFFKSDEG LLAEIEKARTVAAYSADNCVLTTPAQGGRRRVPTSGRPPACFRRVRTSSLLPRPRGEE NARCKAARLTLIRFLTHGGPFLKIPFSELVPAVVASGFQEFLEQLDEAEDSAKDYAIH TILVSIPSVQGCVAVGPDNYFEVKFPSGVQLVSTTKNDLNSNVRTTAALRGIELCGYC RSSNHNIKDETSPFAEVFRLETSLHVQRSVAYPFDKGLEIHRKKQRHFVFEHDTDRFF TSALAHVRRPCMRDESLQDSKGGADDPHLSFFLKGEEEEGDERELRSAGVLHKLPVYN QDGKNGGDSVEDCKNEDGPTEATHHQGCEGTAAPPQQLKCSGREAEQSAGNVSTLTNT RMGIPAGGSSLVESDESDGTSFNKSETNAFATCVSQTDELLSYSDEDDMMKELCESDD VFERGICSTSHTPDDEFSRRVDHLPDECNDLITNSLDCVSSVSASPRASEGNTTLSRK LITSTHFLRRFSFDRPDSQKDTPDGCDNTAHNKRVIARDRTHFRAPMPTVGFVPSKRP PTTPTLRMLQRTVDACNGNVGGEGESAFWSAEGAGMWAKRTADNRKRSQEGTTSKQMH VEFLAPLNCLVAQDFVEKLLFDANTRLQSVFATHNLYSSLDGGETADTAAAPMLPVRH MRQTSGLKGMRNLIKSSSTPAEKEMKNLFARKQPSRNRAHQKRWYSEVDIWSVCIPST RISVVTDFPLPSDNVVHDARARGVYSTQLCARSAQVVMQQTHPPPRATRQDETKTFSL STTCSSLAVITQIEHEPLLRSDNPFVRVPGIDYDRKDTLAVLYFTSIRGRMRHQNTQG IQNGIYHLSVDTIALHAARDFFFYLHSTLALWERLNGAWCAQEYPRWYRGRPSPETWS SHNDDNEAREGSHGAPGSSVAHFEHLHSAVPTTRNYGIEGSRVFQGVGSIRVVRLELL DVSRDGHYLRVDTRCPNVVEISRLQAKFVVRVPMKRAHDPLSVPSRPLVRQNSSVTLS SSEVCSGFVPSQEWKRRPPQLSTVQIQFIGEVEHAVARCFPSLLHIMGAVKGSEWVAD SPIEIISESKCSKTPNDEGGSPEVDCGFSSQPRADAANDCNQVTFRGSFALGNIDVCM IQSEMNFLQIKGTGLAGFGEARAEAINSRECVKTHVLTEAFSERIKRQLRAWADRART AAPKGHYPTPPSVTPQLRMKNSGFFAAETMRLQYVADVVAPCTDGFEVIDYEEVSEKT HRQDPRVFVASTKQLRVDMHHAEQEGPRGSAEGCKTDPPDTSWVADSSEKGEQVNVQV DIGSAVLSLPYRPKASEIVQPQLQQWVHEWLGPSVLQTMYTSQTVLAGGYHLGVRPQS KIYACCCSLRVRDALLQSDLPQNMTSNLTIPLASLFLNASSEGRLALKGHLHPTKLSS SSPTSGRHTMSLPNIFVFYNSDRLRRSGMCLTETVEVTVSPIIVSHLLFIFNRASTVR STLTKIFKPGEAEQVDPQQKVGNLNLQDNQRRKSNFLLLVEGLRISYLTSMTNMRFSA WRLKGRMYTTEDDDLCRSHMTLKVANVQVALVDRDDYDQLQTFLHQSEYLQKRAESSS GRSSKEKLMSSSLPNSLDQERKRSISTLNGFIWGLFETSLTLSTGRSDTNELHSALKS IAATAPVRTSVSLRSLGNVGNTATRWNVAIFSPLLIARVGLAQLLQQSIDEAKELADK MHRAARRESRKYHRQLAKSAVYQRLTRLERRESQQMLSTQRQQVERLIALTNNVIPGQ SGASQRRASIALSVEPSCEDVVEGSIFQTTSDHKFFVTMTNFLAVVPFGDAPYRTILE GTTDASHRGCPSDVLLNRKDFVPTMAMKVKVEGTTVVCRALMSQQRVPLLPTKSVGAL RSDGIGAFLGATDSSTGTRQIFTSKFMLSDAHFYCSDGSPLERGTSAKSVLSSTHVFG GIGTLTSPGKGEYRNSLSKVSFNSIEIPLHINRCGRSVSIGSVMDMSAPQISVSTQTA SILSQFTNEMSTKSLSSVFRHREGGADAKTKSAEVIAEPSGIHTASGGPERYRRERGR RTHHTDPECPVTSVLQQAELTPILYNFDVTARLEAGEMYVYSIQRGTATNTNASSQQL GSTVNKVNRTSKRQVKFKPIAPGGEAEFPGSPRVPSGLAAKKLMSVLLKIPLPEVTAM VMGSYGGSMADEERLIARVEIRENTIEIDPSIISLAHEIEEWEVIQARDSAEHVANTL KLVKGWERDEEIKHLARYSTVADVALPLPRAFLSVLSNAELQSKKAVAHQNLGRLGNS HQPKTTSPPSLQDQEKRLQHGHEDAPTNHSDGKRKLLSVQIRVTEFRLVLTTEPASNI SFTLSLDDRVGSVDFFIKRIQTPSTTWIEDVPVYSPPVVLLLLCVRRLRVECQAKMEV KSVEMYLQEVEAQAALQQHDNALVLTNVYVHLPRDASSSGKMELTVRAPYVSQMFIFQ ALWQRSLLESLASINRIFDRGAAIFREKMKANPYIRRRGVATKQAERSLVVAVTGSHG NVRVDLGSGNAHLVSVGSMSLALVIAQSSIDQAQKVCIDASVRSIMLRSEGVLSGVAR VDTIYLKGFSLSNSGGSTKVVRSPAGRTFRYLLCAQKLHAVFKERQLRDLLEGQVGEI ILNFMDSTGEGVATNVRLDVSLSRSNVVITPSTAPTFVRTFTDWCNIVAEQSAAAKMK LAKLGMETGHTSPEEDKGESGRLAEQEVGFHASGTRAGRGSSSREGRPPLHDNFNITP SFVAIPEEEEQGQDKVIKSKDGTCSSSNSDAESTSYIPFMGNKLTRIPCGSIEVVLDR SSILLGNASGGVDKAGCIIATFPHATLSFAECPSEEDTVVKRVLEINTNNMELYRPGA TKVLILGFHGINRYEFYTRQKVGSSEVGYVMTLHQVHPWTGNPGLQDFQELIQLVRSF KATKKTKPFQRFGEIDDMWNAPEGSMPMTPPGADNRAPTSTAVVEEEGERGGVDADIA ISSSSVTGLVATSLSDMSSRKGKKAVDKRYMKPLRNVQFAPQLRFGGAVAVNVDVILN WFGITKNMLPHIIHTKACDVLEGVLCFLEGVAAGRTRKIKES XP_847416.1 MLRITLLVVGRAPPVIGCTRKARYAGIDDNPTITYKPWDTTEPL MADYGWTRGKLPKFRARSPFHRQQIARRMVTEMIRKDYCIVGGARAPALRILADHVVE LAKAGDTDSRQQLAYFLHDPLMVDKAFDEYPRRFKDMNAKYAMMTRLKSRRRTDAVAM YFVEYKNRDMSDNHKGEDYSAGPERFFLPPRIVETEKGIQRPPHMQMAFDRWASKFKT EEFHHWWRLRHAKLRYWGVRNVPHPSDVDPLWTEKEEEEWHNEMLANTGEYEDLDLDD ESYTAAGEGGEPSPAGDGPQPQRVG XP_847417.1 MSPWKKEEEVCGKPTQYNLQTCEYNWRSLPDTHFTFEAQNRRRR HIGILPGLQGTEKYEARKIPPMESPRADPSPPVWDARPPALPLQPLSQPTLNILPWSH EGLRQMIMANNMEAQHSYLRGV XP_847418.1 MPKNMGKGGKSFKAGNAKGIMQNQKREIVLANPDENEEYAQVKK PLGNLRLELQLADGSKVIGVIRGAMVRKVWIGQGDVVLVSKREFNENDVVDVIHRYTP QEVRKLVKKEIIPRDFRSADERDANNAHSDYVFVAENDEDDDDDDDDDDAKVIDRHKV VLDDPLANFDDL XP_847419.1 MTTAGQSDAFTRVSLHSVTALGKCPAPFSDPIAVKVVLEVFGCP PKHSIDVKFTWKPIWDFPVDQVLDEFEVGPLATVGKHELVLHSDPPDVAKIPDPTGPT ALTIAFTYRGKQFLHIGYNAVVLCEGEMPDVFEDAAMLSRQLTQCFKKETTIAWDEDH EDVEEKTVINGKDNDISDVKEKDHNGHAAYDDEAKVPEGVSPTKKKARCEGSLSQEGE KEKHHR XP_847420.1 MVVGPDDSTLFECEKFSDNDSVNMSHQLTLYASMDLLDDALWKT GDFFLPSIDKPLDGKYSVSAYVGFAPIKLLLMKEHESDKETRLFLSEAYGLCVRYLMN PFSSLKTPVRAVLAENLRRLYQRFSPC XP_847421.1 MLPVSCALTAFVFTYVSALDAMVATSQLTGGQHRREVVKSNCTK ALKLAQLSKSAVALSGKASALASAANEVWTRTDAIKQSGEETLQDANDNAQIIAHHNV GGRGGGERAYAAFLRVDAAFEELRGAVKNVWLLKQKTVKHALAAEQRANVTQERAHAS LSTALSHIKATTTGGADPEEGQLANNCLKEVDLLLSKNTTTVIAASEFEADKIFKNCY PEVVNAFVETDGLLNNATEAKERLDAAENEARVKAKKVRDAARDAEVLAAGGNKSSNQ CNLVWRLGFMLFVVVCFTTLGLESEETA XP_847422.1 MVMFACVLHISIAASHRRFSGAAARQTAFDHFIYIIARRPPPPP PTHTHTHRQKLWIRQSPDVGLGSRHRRYSSHCSLALMIGAARFRLGSGAPSPMASLWL DPVSLFARSSEFEACTTLPSAPSERAGKAGHTSACVHPTPSTAGWFSLIRSPCRSRCF LPLPPLPFHAENEKPRGGWVTLSFGVSPRVSDAPTNTHR XP_847423.1 MWFQTKPVSYHSLDHAPVRCSLRRTARDGEPNARSSFFVRKVNA LRLPHHRTAVRRGKPAPPSPIFTKPLISYKSTNTSDRRPGEVPTTALRHHRRAVEARR RRHHRKKPPPRGSFQSSDGDGITPLSDISSPKPFKGMWHRGPKPKHFPPTNTTYWHLK NSPDLPAHVDS XP_847424.1 MDKARPFEYVYRLTANTIEELSYGEAVYDRQVLLNESWRSYATR KGRLDELERERVKWITRTWEEEEEVRVRQREVLDRAEAEGEKEIARREEKLRQRKQQS NKMVEHLMAHEERLHSSRIPCRHNLFVDFDDFQQLKFNLERNVVSTAENFLRVISCIG EEGLFEGLLIMGEYDNLYHSMRLADRLRREEEERRRLEEERLRAIEEQKRLEEMRQRE LEEAERLRKEAMDQAKLIERKRREEERRARARATREKSKQRASHENQAQEVSLEQTAT ITDAPRLAENSLIDEVKPVGECSDSRIPEGENITDMISSGCSMLTAAGDVCCVLSVDK ELMKSTCVCQNGIWGEAALEGSVSNMLGWEVGDETSLIFASEKLYRPFPDSLDDYCLL CVEELRGSDFGNFCTSLDSSSSLNESLIMLPLQTPDSEVPRYVFVICRQSGDLFDRKE LETAAKIVWELGKAIPSPHGGS XP_847425.1 MPPKRPKLESLCLTPSEKAVSITDTLTLVVKGDGGAEVRVKASG IASASPATSEAADVKLDPCVEVDKIKFEDLQTRHVLGKGSQGKVKLVRHRPTGKTYAL KYIHLDGDTDNMREALESELRQVRAVRHRNVVTSYEAYFREGRLYIVLEYMDAGSMAD ILKRRSNHFTEEMLAYVARELLYGVEHLHSLKMIHRDIKPVNVLANSYGEVKIADFGV AKKLSDGGEGTMSAQGSVIYMSPERINGELYSFSSDIWSVGLTIAECALGVYPFTSLK NNIFDLLQAIATRTASIDWKSTGREHSAQLIDFVNHCLLPAASRPSARELLQHPLIQV AEGVSASDAGRWFTTVS XP_847426.1 MPPKDNRKVTKTENDFAEEDQQINDEIVRAKLRLAALEAVHADR LEEIAMLKRSRDDLLSTFEKCEGDANAATEDRLDILTDFTRQYKNDEKFKIEIATRMD ATLNRLAEEKLKLVEELKKTKTEFEEAIAQVKAECETLYSRIADMEREFDTIMMETKS GDSTQ XP_847427.1 MLEEDLEAIRRMKADFEAIGELTDNEFRSDTTISKRMNHYSTVY NAATRITGYDDPRDPMGSGAEELLYVDFQEMLTRYLLKHSNLSAPSEMELFARILKVW ERYKVMMKWNVNAFAYLSRFYIVNFSKPPLRQVALRIFHEQVLKKYVPTVVRVTHELL TAERKGEGVNREDVREAIELLSSVTVEQRQEIYNEQFLKQYLELTKDYYVALVAEWGE SSSHTELLRQIEGAYNDEDVRCSYYFPPEDKRAVMVQVEEVLLDSSVVLDKLLKSKDG FIAKLKSREKNLLKLYYNLLSRRQKALACLADLTRDGITAEGSEKLRQYDNKERDIDF KSCVVDIMKLQDEFLTLSSECFDGDTAMLKAVKEGIERVYADGVRLTETVKRFVPMSE LLAHYADSIIQGSGCSSSQEEELDRVIAALSYVTDRDTFLAHSRDLLAQRILSPKKKF DDNIERSFAHRISHCCGVSSTCYLEGMLHDVDVAEGFRAADKLEAMGVKLPFAFDALV LKKGIWPPRIHSENFTPPPIISEALHSFEAAYLQGTRGRVLTWSYSNSSGDVHAAFKK GPKTLVMPGLQCWVLLAFNGANQLTVDDVVGRFGMTFDDAKPVLLSLVKASILIRESN FPTVSLHDIFIVNDNFTSKLKKMKIAMGRDQNIVAAQSEEVAREVEEDRKPAIDACLV RIMKSRRVLEHSMLVEECKERLLPTFSADPKLVKQRIEELIRKEFIERDANTPGLYRY AA XP_847428.1 MIVVVAVTKHCTSPAGMKLFIEDVPVLFPYEYIYPEQLEYMTEL KKGLDQGGHMVLEMPSGTGKTTTLLSLLIAYLHHHADEKRKVVYCTRTVGEVDKTASE LRKILGLWETEEVGSRPLRGVCLTAKKNLCIEPSVVSRRHLDDVDAGCRSLTAPWQTD QRCVYFDTLENQGFDLRPGAYSLSDLKRLGEENHVCPYYLARKALKVADIVIHSFLYI VDPIVAEVTKEYMDENTIVVMDEAHNVDDVCIEAMSLIVTKDDAFQAKDNVKKLNEAV DRMKATNRQKLQEEYDRLVNGLAMAELARSGESTAGVSVQALNIPQDIAEEAIPGSLR QANHFLAFMQRLVDFTHRVVCRISRTYVADPLTFLTKVKEECSVDVRHLRYLTERLKV LLNTLQITSAHSFHNVSLIAQMFMTLSTHYTDDRYEKPGFVVVCEASDPTRPTIPDPV IRLVCVDASLALREVFAKYRSVILTSGTLSPLDIYPKMLGFSPVIAKSFQMTLSRKCI APVVVTRSSESVNITAEEVTSSFVVRKNPEAQATVSAAYEDLLRGLAKTVPDGIVCFF TGYQYMSEVLLGWHRSGFLKELSRHKLIFVETQSVDDTATALESYRRACDIGRGAIFM SIARGKIAEGIDFDSHYGRAVVMFGVPFLPPIDEPLRQRIHWMEICLGIPGSEYRNFD AMRQASQCIGRVLRNKTDYGMMLLVDRRFALNDKRKKLPIWIQQCLKENTNLSVDAAV AVARGFFKEMAQPWEYKRDLGTTLFSVETLARKGFLKPSKSSVSRKTVDESRATTTVP PPAEEIIRPVREPDYRKRSRE XP_847429.1 MCTSFSLHEGDRDAVKPIFAHIGWVFVNSPPPTQYTLAYMQVCG LPSTPFAVVIIIIPFTKQQECKRFPYYLRVCGDTRAFFFRHFLASFFLLPSRNTFASY TNPDCVPRWSVSMPVRKKQRREVVVADADAGDSGDEDQKRNAVVMTRLVSETGEPVGT QILLPVNATPKQLDDLLNSMIGKEAEGTPYAFFIGGEQVNDSVRDTLFQKQRDDYVDT MLKEGRRVRPQDVEKLTFELPEEAVVEIQFKPQAKFKVRPVTRCSGKLDGHSEAVLIV SFSPDGELLATGGGDKEIRLWDVHTLTPTEELKGHTSWVQVLSWSPDGKYLASGSKDG SLIVWSGNGESGKYKGARHKAHSAYLTHVSWEPLHVNSSCNRFVSASKDTTLKVWHTV TGLQFSLSGHQAGVTCVKWGGEGRIYSSSQDRTIIIWDSSNGAPRSVLRGHAHWVNFI ALSTDLVIRTGAFDHECRKFDTREEMQQYATARYQDVLARLDGRERLVSCSDDNTMFL WSPQRQVTPLGRMTGHQGAIFHIQFSPDGTMIASSSADKSVKLWNASDGKFITTFRGH VAAVYHVSWSLDSRLLVSGSRDSTLKLWSVSKRELVEDLSGHSDEIFSTDWSPDGQRV ATGSKDKKVLIWVH XP_847430.1 MSEAMESKVCDAPAVKKTEYDIPQWSKGNYEINWVAVAVLALPP LMGIGALMAGVPLQTNTLIVGVLFYIFNGMIGITVGYHRLFSHRSFTAHPILQWICAF AGAGAFEGSAKWWCRNHRIHHRYVDTFKDPYDATRGFFFSHIGWMIMKQNYAIPARVD VSDFKYNNIIQFQHRHFFKMAIASGIILPTLVCGLGWGDWLGGYFYAAILKIVFVHHC TFFINSLAHTDLFGAVQTYSDRHTPHDSVVCALLTFGEGYHNFHHEFSQDYRNGIKWY HYDPTKWVIRLCEFVGLASRLVRTPNDIIKLNVNKLKYKRLLKQAAILEREMDECEPA ETKMYTWEDIHSLVEKGRKLIVVSNRVIDLDKPLNTGTSYTHDNETFQWYEVHPGGRQ VLDLYIGKDATKEFGSGMHKHSAGAESLLHHLQVGYLNE XP_847431.1 MALSEKACLRIRVTVYTIFLISYLVGAAVFVRKFSNFMAASGFV AASIFIGLAIAMHVMGTEGLQSRIQPRSLKRVVIMGVLVAADVALLTLSIYTLVVGIK RREKWTGESNFCSCTAMVCGLKWCSVCVYLLYRSGTPSNPPEFDSLRKGEEDGNGKEG PQTSML XP_847432.1 MGMGLTGICLALLVAVFSYTVNWAHFDFSLESKSPHNSGCLEKP LCDGQVSFMGRVKCQLFTYPVDVDRHDYKRDVMGRLARLLQKGLKGQPHVVEGVKGDI AVKLANPGRPLVLHFAGDNGVGKTTLAQLISLALAFRCRDMSCLVGDTSLVLSGVSYD GYSVTDFRSDVVQKIVEHAKRYPRNGVVIVNDLNGLHPDLVRVLLPLFGRATTFPEAS DAPLNYLTVIITTDFGRQGRTRGKSLSDMRRIVESDFKSLYSQLSSSMIQTYPFLPAS LSTAREIVRATVDGYRCDSRHGIGKLDVDDEVVEWFIDLVKDDLPAENGRCVSKAVVG VVGPAVLQHLSSRLPSLASLRVVLDSDGSVAVREN XP_847433.1 MPPKFDPNQEITVVVRAVGGEVPATASLAPKVGPLGLNAKKIGE DIAKSTKDWKGLKVTCQLRVKNRVATVVVTPSVASRLIRALKEPPRDRKKVKNIKHDG NIAFSEILKIAKESAPNSMGASMKSVVMEVLGTAVSIGCTIDGEHPRAIQEKVQEGKL KVPN XP_847434.1 MHPRLSGQGVFPRYGGGWMHQFGHVYALQQELLNAEANNWFRAM ELWHTARHEGVAMNVSHYTNILRQCVPPTAWEASLMVLKQMRRENIRPDVVGVGCALA ACADANRSEEVEKVFSCFSGKLKLDSICYLALIKAKMSRGGYAEALAVGREQDADGVP FLPHTYTHLLEAAEVADDGEMALELARRMSSEQWPVSDRGREALKKLSTRHHWEEVAE YKQFVAVEDHKLSLPPTLPRG XP_847435.1 MPPKRPPRARRTKESAKAVISPQEKPLTSSSSGEALGGSGNEVK RTNETPVARVARPLNSPSVRAVSEKAPSPTGFGPCHVIGVLLAVVLTCVWSIFPPWDA TDAPPCHCFPAGGEVQQAIASRMFGVTGIHLRGAGHSAVEESLRGLSSCGKLYTLENQ FDGVGSFMQRLQHDAKGTRCVVWLVKDLSVVKHVDNALKELLEDNTLRGNRILPDGAR GLFIVLSNQSREEIKGLLPHRVVHQFRTINLPAKK XP_847436.1 MFRTVPRYVSSMLREAAAAQLAAIKEAGTYKVERVITSKQSSTI NVSTAATPVLNFCANNYLGLADHPEVIQAAKDALDSHGYGLASVRFICGTTDIHKKLE QTMTEFLGMEDTILYPSCFDANAGVFEALLTSEDAIISDALNHASIIDGVRLCKAERH RYAHLDMKELETALQKTQHNRIRLIVTDGVFSMDGDVAPLDKIVQLAEKYNANVMVDD SHASGFMGPGGRGTPALFGVIDKVDILNTTLGKALGGASGGLSSGCKEIVDLQRQKGR PYLFSNTIAPAAVGGTLKVMELLQTTSSARKQLQDNTHLFRTEMKKAGFTLSGHEECP IAPVMLYEARIAAEFAAKMMAEGIYVTAFSYPVVPKGQARIRVQLSAAHTTEDVKLAV DAFTKIKKELNV XP_847437.1 MAQLDQLLEEYLRVINAKDGEIHELIRVLEELRCEGKKKDEAHK SHAQKISNELDKVMRKAKKLEQKNRTCEDQIDKLVKENEALSIDFQKVQHSLMDAEKI IEENKRAHETALEELQQTYSGVVSKFSTEREELKAHQSEIQKELEEALKSVDECRLKE EEIRKLEVRIAALTQSVEDARREMQSMVPAERVKQIVSEHEEELRTVRKACAEEFDEV SAQLSDAQKSGRKMKEKLKELKESYGQLKDKLDETTCELEEVRKLRQKEQETHNEVRS RQQEEIEQAIHAAKSSTEKLCAMTGQLRQCEVDAQTMEQRWKEVSATLEQERSRNTRD REQMNSQLEASQAQVTEIKAEMSRLRVQLEQGATKLKECQDALASSKEASSRAAADSR ESIALIASDRDRLKEDRDRVAFELKEAEHRLSMERDRASDARRELSRRLDDAAHTIER MRDQLKDKEHQLQLLSTAHEKKIQELAFEHNNKLGDCKSQKKNAIDDVRRQLEAANLR LTEEMSGNKALQCELNSAREALANVRDECERWAKEAKESARRQEAATSEASSLRASLA KQQELLAAAAECEKTLCKAAEHANAEKEMEIKRRELLERTLEDTKREVVARRDEVQEL RTRIDKENNNTLAKELMECEARFRESQRSLERTQREMVDVQRCGETLQATNKALEEKC RVAERSQREVEEELRRLKGEILSKETECARVAQHAREAEDAAKQSCEHMEREITQRET TIAALQQEISALSEERTKVALLEERMQHQVDMARRDSDNLQARVEFLEREVQDREEKI QQKHKEMLQTVDRLQTLQERAVELEEAMAPKEKKHTMRKEALRKALQQVDEVNKLRSE LERHLEKVKASREEESRIYKAQIHQQDERMRVLLEKHREMERQLVAQERDLKAAANEQ MTLQQRLAVIRDREQVNVGKHSEEQQKMQEKLDAMSSELARAHATIKSVEEEKNNSVC EASDVQRRTAVNSNRLLITYADDALMTKEMFGEFAISIATRLLRGVNSIANKGCDSAL LCMREYTEEAEKQQLRLKREIDDLKYAHAERVRKLEEENSKEMAKQAQHHAAELAKLR QELSDASARAGQEIENQLKDYRRKEEQFHREKTELECARVEMAHQMGQISSLKDQLRT LEQRMDTERIALEQEKRVIQQQYDRASRRLDECATVQSQSEVELRELRSELTNAQQAL HEKEKALLVEREKNSQAVYQLEAVNTAKEMLYTQLNDANRKALNIKQQLLSARQEAQQ ATAAATAERQRLEERISDLAKAVSAHDMENRRLDGQIRSDEKKFIALERELAESRKRE AEMSCQLQARRLENSSLRERCANLESLKNINDVTLAETRMREKDLFEKIEEMRSAQQL MQLCFDKQQEQLEAGRRMHEEDTGTNEFMFGGVD XP_847438.1 MKSVFVASSMAVAAGWAYVRHLQLPAGPTRLSWGSLVFGHRGCR GVLGVPENTLDAFKYALSRGAAGIEVDVRLTKDNELVVFHDAVANGQLKGVPATKRID ELTLLQLKELPFITDPTGQIRVPTLEDSVLFCRENNLKMLIEVKERNRSRLCVDRLLD LYKRYPDYMYEQTTVISFDPRVLYYVRQRDRNVAVGQIHSGQVLRTWIQTGGESVSWA VRVCPGILDRILHWVQQSISPWVAGVSMVCPYYKLYSEKYKRRWHTRKIGIAVWGFTN PTECTWEMRVPGVVVECDDNHEEFAAPKQPPDFDIFGDRAREREEEQQRRAAKLPSK XP_847439.1 MSTNGNSVTTRLMSELKELVSCGAEGISAFPVSDNLFHWIATLQ GAPNTFYEGLEYKLSIEFPQEYPYSAPDVRFITPCFHPNVDSHGAICLDILKEKWSAV YSLSKILLSIQSLLGNPNNQSPLNHRAATLWGDEQAFRAEVLAYREAKANASC XP_847440.1 MEKLQLERNELLLYVLQNPPPYVTIVLPEGVRSAAELDLTHTQS PLAVYAAATASNPIEVRVSAPPTLLGDSAEKKKKKCGLGTTGSGINSPSKGKRPRFRW KDLSNYFMSHLRGDSQTMCSPEKTPPATPSRLDAAMSNPERKHSLHAYVTEPSKSEGG HVIQLSKCTSARREPLPLEGKQGYSLAEYVTPFLSQTQVSVQNPLERPVLPVIELCPV LNSAMLPEFDEDERQLLFYEHVVLAASILLPARGAWFDSKVGSRYRKAMGISNEQHVR VLSNISPAIKEGQDTLNMPINLQTIERLVETSSDGDFTQRMNKILCMCSQYPEDPQQR RYPLCLRAFIYAGALSPLYGSNSSADTVLFEEEVRDVITAVRNRLEIPKSIEPFCRVH ARLLSIDDDDDVERRTAFLMGVASALHELSKSTGSLSREPLTPEVKYMLYLLQETFEM STAPISLLGVVRSDYAFLCVFDLFLESCLSLPTEFLSVYVQVNGRDLLPPMRPVKDFL LSLLLVFITCGVLRSFCNLKLEINPRNAPRDLLASVRHLGRSTREYCDLLSRSVQHAP ALMLPGVTTVASHLLATHWADTLSCERLPSDFSEGLRTMLSLLLTYSSPGMENDEDVS HTILACAGNLSWVVPVMERHNCAAKGRVLARLQAAKAVAKAGVPVQRLMLNRIEELME ELESFISPPPLVPAAANVVRQRLSLVVDTLSDIFADMKAPYTRLSSRSGSLATKAGGY FEEYKAQLELQEKVFSLSLSTEDAVARLKCLSVIDERLRKIAIQSQRDYQLVRQVTGA PAAGFEDLLGTLTNPLSESINMFRDVVAATIVRVELAGLLFDKFMKTDMRLYKVLKSD KAAVPDRRHIQLEFPEVTMVSVLKRLESVIVSVSAVINDPFVDAEVWSMTMLHFVSAL YYVYFEESDCRFFCPEDWKCILQDLRIVDMFMKESFPYPPRGEPARGVTERAVELLRW LQQIVLHCFPYSSTALVNGADGAPPFAQLPPSSDTTPWSKHVVRRVLRRRKDKVAKSA SGLGGFFRWDTSQ XP_847441.1 MRRTVRSLAGISGMSVYTPPCRVALKEWCNWTGNDWKKVSNVVG DSFRVPSNNENAYTMAASAVLRLILNYEVDPSRVGFLGFGTESSSDNSAGAVIVRGMV DKALKELGMPALSRHCEVPEFKHACLGGVYALKGAARYAEVDGGDDKLAIVVASDIAE YALGSTGEQTQGAGSVAMLVQKTPRLLELNTKAAGSASDYRGPDFRKPYRRHFIKEYG STAENGKIPDFPVFSGPYSTLVYLDEVTAAVENMLSRLNQEPADYYDSVSALFFHRPY HMMPVQAMSFLYTRGLARSTAETHKTFFEELCGKAKVDSSAVRAELDKVPNYFEVIDE GKEPKDAFTMTNKVAKVLRSEKVFADFLGSKMSLGSTTMANFGNLYTASLPCWLAAGC EDAYSRKIDITGKPMVMIGYGSGDASEAIPITPVKGWEEAAAKINLSEALGNSINLTE EQYKGLHTGSLKDDFAADKRQKEFVVDRIGTRNEAAFQDIGIEYYRFVK XP_847442.1 MSWFGWDRKSGDEKAEREETEKTIAAIFSPSHATVRDAPDSKDS ILRESTVRLFKKGEEPVPYTAVGGTFQTKHTVETMREDRMSGGEKPVPLDLLPPCPIA GYELRSDGLVDNIEKPPRTLTAQELRSLVAGLSSIEQRARYEVDTQLPQQVHNRGRRG LEVVVNPCLHMCGLYLMLWKAPRLYFNASPRGSAFFTRVMTLLRWNMPEIEKEKLARK HRRLLQATNARVTLAFLTGVFLTAVAVITRPPVDVLDVGPDVEVGKRSVGFQQHSEAA LRWLWLVYYHHPAYKPLAQGTRPPILLESSSR XP_847443.1 MDTTTSSDFTEELFSVLLESCTPSRSRVETLTQIALRNLPCATA TWSALRRAFVRQQELESDENKALWYVLDSLMKHAPHVFIPLVAPRLFDFVVQQLPWHL AGVVSTYGSGALWCDSMIRTWEGLLPPLLFRTVNSFVVQLRGGKELSQAMNPNDADEE IQTIDFPATREEMQQLQEAWDVFRTFAAEGAVNRAGATPTTPGDVVKTEQTTTAVVAT AEVCVKQEPSLTTAGVVKSEYGAHAGVNTDDEDDSDVEYIPVFVRGAQRRELPPLTNE NGTAPRPRRAARRRPRDDGE XP_847444.1 MALCNSPSRWRTVYCTGDKPPGRIGHTLCANAEETKVFLYGGVN DKFESTSNYLNDYYSFDVTTKRWTHIEMSGDTQSARAFHSAVFYGGSIYIFGGCNGRG RFNKLFSITENGVCKLISSQSAPATRYCHSAASFENCMYIFAGKCGGRNSNRRLSDLF CFNFSTEQWFECPQLGTRPTARSAHAAFTCGRNMIVFGGRNADGECCEDMYSYNYDTF MWRKIEVPNGGAFLGRARNSVVVHHGRVVVFGGWNGRKKLNDLFTYLVDANMVELSRD MEENCPSRRECHVAVVCKNTMIVFGGRFRGDFMSDTAELDLGPKSLKQMCRDWILDGT LCDDDDSTGLKPLTQGLRRFVIRWKELTTKTDPNYKKPQSTYGLHTEALSSDSNSQHE XP_847445.1 MGIVRSRLHKRKITGGKTKIHRKRMKAELGRLPANTKLGPRRVS PVRARGGNFKLRGLRLDTGNFAWGTEASAQRARILDVVYNATSNELVRTKTLVKNCIV VVDAAPFRLWYAKHYGIDLGASKSKKATQKSTEKKSKKSAHAAVEKYDVKKASSKLKR KWEYRRKHHKIEKALADQLREGRLLARITSRPGQTGRADGALLEGAELQFYLKKLDKK KR XP_847446.1 MGIVRSRLHKRKITGGKTKIHRKRMKAELGRLPANTKLGPRRVS PVRARGGNFKLRGLRLDTGNFAWGTEASAQRARILDVVYNATSNELVRTKTLVKNCIV VVDAAPFRLWYAKHYGIDLGASKSKKATQKSTEKKSKKSAHAAVEKYDVKKASSKLKR KWEYRRKHHKIEKALADQLREGRLLARITSRPGQTGRADGALLEGAELQFYLKKLDKK KR XP_847447.1 MSFNDNLATNSIRCLAADGVQQAKSGHPGAPMGMAPIAYVLWSE VMKFDSKDPEWIDRDRFVLSNGHASMLQYVMLHLSGYDLSMDDLKKFRQLNSRTPGHP ERGVTPGVEVTTGPLGQGIAQGVGLAIAEAQLAATYNRPGYNIIDHWTYVFCGDGCLM EGIGQEALSLAGHLGLEKLVVVYDSNRISIDGSTDIAFTEDAAKKYEALGFHVIPVSN GDSDFTALRAAFAECKQIKGRPKLVIVNTTIGYGCRLAGSEKAHGAPLGDDEVARVKE QFGLDPTKKFHVQPEVYGIFGKNAERGASRHEEWRVRMRKYTEEFPQEADALQNQLDF KLPPDWKSKLPLNDKSIATRKASENALGALLTLTPALVGGSADLSPSNLTRPGSAQMV DFQKDTPHGRYIRYGVREHAMCAVMNGMHAHGGFVPYGGTFLNFIGYALGAVRLASLA HHHVVYVATHDSIGVGEDGPTHQPVELLALLRATPNLLVFRPSDQTETSAAWALALEN TRGPSILCLSRQNTVPQPTSKLEGVAKGAYILIPAEKPQLIIVSSGSEVSIAVDAAKA LSSEVRTTVVSMPCQELFELQPVGYQQKVFPEGVPVISIEPFVSTGWERYSHYHIGME GFGASAPADQLYEYFNITVNHAVEVGRKLAKKYVGGTAPAKRSHL XP_847448.1 MVGIKCRNRRKARRAHFQAPSHVRRILMSAPLSKELRAKYNVRS MPVRKDDEVRVKRGKFKGREGRVTACYRLKWVIHIDKVSCEKANGTTVPVGVHTSNVE ITKLKLNTRRKAILERKDRSTKADKSKGKVTAAEKAMQQMD XP_847449.1 MFRMTRLRMAITAADIEAKLRNSEKLKPVHGVKVVDVSGGCGSF FNITVTSAAFRDRSLVQQHRLVNEVLKGEIPLIHGFSLTTKVE XP_847450.1 MDGEQLETDPLTAPLGGRNEDEDIPVEGTDKEGDNDCGPAFFEE EEECAQLLARVHEAWRNESKLEAPPHADEGGKTWNKTLPTAAEDPQGVAVALERFERI ISVYQESPHLLHSYLEELLGPLMVLLQDLLTGAATIWRAEQRTEVCTGPQAVGGEVVS HGLGRNYDEYDADAPKSFIHHVCRAIYVVVKTAGEKCCTSYFSNDVRLYEDVFYALRW WQESGEAQREWEVRYCLLLWLSNLVLVPFSLTIIDSSTSGSGSLSDTVLQTAVAFLRD TSKCREGAALLVARLLTRPDSEDHRVYFFAYAQKVVADPASTNLLVHGVLLALAKTMK LGQRGELAPHAPQLIPSVTAVFSRSGSDTLLCKAAVKVVQRLALSLLRSRSAPWKYYR HVASLYQNLSGSDGHEATGGNKNDEVNNNNMIHSNEEEGGEGCEEDDYLPEDCGLEEA IGLLLDAVGHKDTVVRWSAAKGIARVCGRLPRAMAGDVVDALLDVFSNENSDSGWHGG LLALAELCRRSLLPVQRLAMVVQFATRGLAFDLSKGTYSVGSHVRDAACYVCWSIARA YNAVDIEEHVHKLSTCLVVTSLFDREVHVRRAAAAAFQESVGRLGNFPDGIRLVTTMD FFSLASLQNAYLHVAPIVAENASYRGRMLEELVAVKLLHWDRRVRCFASQALGQIGVL ESRTTLDEVTLQLLGRVTNDTVAIRHGAILGIAELVNHLDVHSWSKELICQIAGIIPR LDAARLFRSRGGEYVRQACCHLLAAASRRHMPLPETVEVQKMGGMVGRANTLAKMQEF FEDTWKQILEWLQFDAVNAYEEFAAAYYTVFANPFHHQVLHKMLSGCEEGRNPMERRG NILATGALPWSVISKHSNQPKGDDDGVDESEKAYFMMILKTAMGATKLEKCKEMQDAE SRRNAVRSLRTTLTRIPEGTPQMTVGLYESVVQHIVATLDDYAADRRGDVGSFVRQEA IGSLPAVVEYGLKVKCCSSALVVRVIQALLKQAMEKLDRLRGRAVEALQQIVFLPGAL PSEGGNNTGLTHMDKQGTFISEEANSAMRNSEMILAEEGENLLNDTSVLAKVVTMDPA ADKCSPQNVFTAVGRPLLLTRLFASCVVEGLVVSAGSLSVHIMQPAVDALLHAFRAST EESVYLSWVLITVAAKHAHNERVVVPLCVTVSRLINACVFDEDRHIDVVEILRSELKF FATNIHALLPLIGVLGDLCRSPVTAARHAAWGLSLVMLASRYPKVRARMGTDMYTSLL VLSAADTTLNLDRAIQQLTATPWDGNDATKVRSARDELYGALGIEKPSKDAATGDAVE HEKKKTARMVASSYLHLVHEAGY XP_847451.1 MATNEDTVAYTLKEENCGTLRGAPPSNEFLLANDMEEPFMVHLC AVDGYARWCRRRNEETRRRCNGNGGEGEGRNNSSFNSAFTPYGQLVGSMSSLPLRGEA HYPSYSEDDTLCVTLQMFHMGMPITPILQSSHTYGCHQRLEEWIIFPIAIQDIPLDAL VHCHVYAPHGLVGRTSFHPFTACGELKTGRRRYELQAGTAAGTERADHDDSDSVNGNK RAPSRMGMNPQELMLRDLRRGLVPQIPWMDKMIKRQVGELQTKNDETTGSQSIALSVL FPSTNGDQRVFLLSAPRDKLPASIVSLIPPPDRVVTSRTSPFAALAATPKQPYVDLCV DDENLCEAKVAALSNPRLFLSSANTQPGPVERAQLKEIAQKPLIHLEELKLGERTLLC RFRHFLTRDPAYFVPFMRSVNWDDKIEKREALKVMQQWKPIGFTQALICLSFYFRKVV DVRIYAINVLDKSSDDRLFRFLIQLVQGVRYDVNGELETFLLRRAANCWEICSNLFWY VLTEASLGSGNSQGDGQRYGDGQEERYTTFLQNIKATLQRTKPCFLQRIHQQVKLMGT LRTLNKSLLKASDRVKRMELATSLIDSKECGIRELFFPSPISSLSEDPRETAAVQGRI VTLPTHPSAVVEDIISEGFYMFKSAMMPIKVPFVLHPEPLGSNTCHRSPVVEDGTNTG PSTVPVTVSRPEGGLLFKVGDDVRQDQLVVQLVQLIDMILRQDGLDLCLCPYRVIATG PTEGLVELIPNVVTLQSVQRDITGFIRSRNQSQEGYTAAMSRFTKSCAGYCVLTFILG IGDRHLENILLTHDGRLLHIDFGYILGNDPKPFPPPMKINKEMVEALGGPQSTGYMEF KSYCCSSYNIIREHAQVILSMLLLMVDASIPHISGDGKVDPRVNLLKVQEKLRLDLSN AEASQYIQNVIADSVGSIFTNLWDVLHAAAQARRA XP_847452.1 MKRGSDGCGPDASHPSLCFHREVLTCLQWSVIRRDFLEKLRFTP LLRFAEPNKLWRHSNEALGKWILSQVARDTSNGMTSNDIIASTSSSCITPSLSCAMSS FFPSDDALSRGTYDEQLIRDLSMKCTTPSNQETIKCITQLVKEFNLAERCKRATSEVQ RQMANVTLSSVCVPVIRTIAANDEKNRTAVQRRIQGAIATIDVVWSRNIPRDARSGCP QVSIPLAAYKKLDMSYRQFGGKSDEEKYPRLSYEKCFTLRAATLALRYECCLATGSLQ LCADLRLKQHLHANGYRVIDLCASPINAYMGEPKEGSYLVGESRAEEKSSSEVNDSFI GCENTPNHFCSAFPDTDCYFGSLGSVLKFDVVQAYNTPQINPDKRPLLLTLDVPYDED LCERIFLKLVSDMKRVETELATMEGSVNTDLVVADYVLVLPLWWEVPLEIKKRLFSCP NGSGEEASATGNIEGKEDEEEVLKRVIRERSALLKEGHVLPYEWPVKLAAAAGEKWPC FDGVFVGGSYEYFCTITNKRLSGVTATEVIGLEQPRSVRGGNSLPSLRTSLDSFYGRQ VTAAGR XP_847453.1 MVRWGGPRFEFYFTRDFLNHEYLIKKEEAQRAAFIHSKREHERA KEDARFAEAEQKKAQLQEEAQRLMSAEVEEAARRAAAEAAAQNTAHARRLRRLKELAV PVIRGSSAHSAGSHGCVMYPTPKGVPLPMGRDRAPYTPGVDRHRIVPPPPEPGAHKPW QGSGTAWMTDKNNDWQKQYYFTKSKRPAETLRERRACIDKMERCHTNEGAAKKPPVNQ DESPANDQREIPTFGTERQHDEMGSESESERPLSIFSHGSSNAPIRALGTQHMGDIST KEEVREFLRRYPTGVVPPRDRRAAPPNFNGMNLTELTSSLIKMQKCNLCGGHP XP_847454.1 MTTLHTISSLDYGDGRTCVCRICVCGKHRCTDPKLPFFGETTYR DEYVPKSTVRDIGRARPSTVFPMKADPGHFKTTKQEAWEPLEGKIVRPAESFKPRTVL GEPLPFNATTTHRNDFPGHLPDHHRARYKQEPLPKLKGTYETTNQAMQEPLNKHLEAG EMPKPPQPFRGDATLLRSLPFDAMATYTVDYPPKEALQQPRPPQKQPFESMPDVRDFG TTHSESYKVPPHRVRRVCPASQLAPRPPSVDGHYKLSVYAIPSGEIAD XP_847455.1 MRSNGAVHISNDTFAASTRDHTNGVFLVTSKIVEGRVCHRPLER HVCFGHCGDREEGKQKDSQYSFHASSDGSRVVSISANGSKHYCIGVEDGRVCEFTVQH PVVSVGWHPVSKSLLLLLLSGGKLLLLDTDKVTLGVGFQGDECVCLHSLVATCRQGSG KRDSQETAKAGTLVKAPFNLNRCGLSTPDPTSEGTVSKKLHGTIEGMRSGLGGQVQEV VTKNRRGKKGIIKRGESANERYALAQIDATQSPRVDGCSDIVGMCIIPPSRSLPVMLV LLCRNGDVFSVKLNKDGMPASLSKEYDGSVGAAFGEAQDMQMDSVLRPCVHYLVRRDS SSCGLAGVPLAVGTSLLDEDVGLRIIYVMYSSGTLGGYLFDEPDVLCRDPMRQQADVS VVLGAAVPCDHRLLHDHVNACRLVSIHSCGNATLIRYGDEVYLCVWPVWSRAAAGWVY RTENTEGFQRLPTIARDAKVPEPVALRVPYSTHGANIAVGVNDILIFPEMTETAAMCD FEKKVTIVKILSLVLIAIYARSEKFVLAPDSTEDAVDEGNDNEVDGSRSKGGNVVQTS LEELLGLIPTLCRQWLCGYPREVVDSSTAAVVKGVHNMHMRFRERQTKQLEREQRLWK RVEALQQKRDEVADAVAESTDTLCDAIVHRGGVSELYAANKRMGKIHEILSTLNSEVE RRRKEKSKV XP_847456.1 MIEVVKSTTNADRSVDNQKEVMSVDGASEVERERVSQLLEENMR LRAKQSQLQDQLADLKRQLISERSERQGLDVELDDVKKSLRLTRDQLDQHKREKSELS LKLCTMTAERDELEEKLSEAWSNVANLQESANAKEAINKSLQDTIHRERREQDAQKVL MQAMVSQLSTLEQQVITGACAEEQQRQTSDEVWRATEEEVFRFSSILEELADSLTFSG SFGPSVDRCRELLQLQPRPANGESMAAADIVCDQDGLNISHGDEPSQRVNGRLFAPAY YALRYLHQKLQEQRNEFFASQQEQRVLEAHVSSLKEEINQVSRDGDGVRERLMKSEAQ RARAEALLQDSRQTRDEEVAQALETRRRLAKLLKCMDDWFMIEHCISDSLQEVIQLRQ AVEENRRLHEQYVMRKEEEMDCLIETHQREMDEQRGQLEQIRRECERHKRAAASTTPS MFVPSPIPLSTGSTGDDSTVMELVRERDALKVKYDDLQRYVETELEPLMAEQDKAMQR EKQRVEELCRLSAEMKLEMKLFRGVCKVCSRNTDGEAEEKVMSTFEALVLTLRVLSGA RKDEQEMMQQRKALLQYISEYERRFGTLNSITESTRLRPLRYFRRAVVAVIAVNRLAA TYRTSWQHSCGNSLNIPLGDTIVPVTVRSTGPQRPMRIRLPRETRCIAHGGLMHIPLQ NVINTASEEEVTQRLESECQAIFVTEQELWQVMRPPHVPRTLVGEVALQSYKLRSLVD SLMLFITVPTAPQLVPDPIPLLWQGLERGLSALRATPRECPPLKEEQRRLFHTPQLSV RRGDDKSPHSCSWLNDDAVTPNQMTFSSEEWLYGGNRDGHAQANIATVPVLSPSYILG NGGLGGALSGNGPSAYPVPQINDECTHDDETLEDAVRQSLMRDVYECNGLREDQLGEG FAAHILNVIQALDQRVMGALERRSKIGERRPYR XP_847457.1 MRGISALYYVACEREGCLANSLFVHFCINLDSSDDCPKNIDLCS NYLGDAGCAAIIRTVAVMAWVHRVEMRGCGAGEKATRALVEVVADHPNLRCVDFRGEN STVFAVSGRLLLRLLARLKRLVVLVNYDDFPPTMANRLRAYNECHDQLQREEEEHEKA VQMGIANSYESLREEERIRNLLYIPTLDSDGNQLYSEGSDILHLVDGLNTHMEDYLEA YAYVGRAAAQLAIDLGPTILPCIRATAPAAPVCLSAPSRLVYPVGVASSLRAIDALFT GLRANPFLRQELEEARGSILGMYITKLQELRGEYESLVAKRMGPFPSYKEWKLEKEMR PLYNRIVAGITQGSMLDLPSMKHIEERLRELRQLVLDSLLEGRERALQVPLLQLRYFA YNHSTETKAWTVDYIKGTRAYMHQKQKEKEPKLRIRVDDDDDENEQRGKEDSGVESDE EQYDNEVVKRLGSGSPKHTRLCLKALRELREMISDPYIQARYAVTKALSDLLPPEFKF FLCDLVLRRAALLYTPRIFVLDGDDRRSSWHVQGVWNMGNESDDPYDIVGIFQRVLGR REFSEVLCAFEEWYRLHQVERYDITYVSRQELLAVMTDA XP_847458.1 MSGKHLLHREPLGTPHRGIALAHNSNPVVFLEIQFPKSEKVTVA GVQQQSQPVRLLFELFFDLAPTLATNMYGLCIGSCTRLVNHRVQAAGYKDTVLHDAFV GQYVVGGDVMGAAGSGFFSALGERQGPLQVPQNELESLSKMAPERCRQQLGIYLQYWN HTQQPEGGAPSTAKVASTFRIDTVARGAAAKMSDDGVLIGRLVARDAEQRAVAERQLA ELARSVYHARRVGSPQEQSQLFPVITLCGEM XP_847459.1 MEDAVEAHRTGLVVALCGSEKKHRQSFEKIRRYAEGIRNESGKN GTPTFGGGRTFVFVDMKYDAHNREMITDDDDMKVDVVLHKLSTLPPEAFGALLKWCEA TSAKRRQKRLPPVVVIDPPNAARVVMKRSTLAKALEGRPVPSLFLTPRSWFCSCGGGA LEPLGWRDTDSTVTPDTTEGSCEWWITKTDLSTGPSYTHRMVVWQGPVPTNGIPQAVM KLLPSESQTYIVQKFFLSALPFVLKVYCVREYVAIRAVSAFSLLRALLDGYSSINSNS EGFQPVCIDSQKIFANKDVWDQQNPQLAQHWQRYLIEGGEAHTQCKAIAAQLSQTLQL SLFGFDLLLLPKDLPSGNQPILESPITAEAVGALLFEEKGVGSPTILLRTAVPMVVDV NYFPGYAGMSEVERRITEMIESSVVSKDC XP_847460.1 MIAATQVPGLNEISRQAASGSPFPQVLPPRYPANKVSTAGLQSS NHGAAQLVHDVNNVAAEIHHPLITAADYSDRRQLLSHITVLSGQLQRAQLLLRNTPSC VVSDADIARFDPLIAQAEVERLDSALAQLSLLHEMERARSGSLEAEQQQLREERNQLV ARVDTLESRSVALETENVQLRSALEVAQQELQVLRVGESALGNKLHAIEQLQRDGGRF DGVNVVIAPPRAVCSTAAKELQLQENIQRLSLLLDMMMDPMYIAFDAGVVWITETEHH RAGGQVCGAALSNAPHDSEELTTTVGGNSPLGNASDATLPQDVVANPPHVVASEVDIS LLQQQQQELCRQLDAVRESCRVAERNQERLQDLLLEEQRRTEAMAQEHCKQLQCVHDR VVHERRQVVESLVAEVEEKMRNAFRDGRLYQKQLDERLIHRRSSSTFQSRSGHSSARS TPFASRHKAFGGNGTCKGDGDANSSRSARSPILGG XP_847461.1 MAAPLAALVLLGGAYYIFRLAPRITQRVSMAQGLTCAANRQLRP YRRYEGGFEKSMTKREALLLLGFTEDVASGGFLSLPSDEEIKTRYYGLMKQLHSDVDG SPYIAAKLNEARDILGKK XP_847462.1 MMSSSAFTAAAIGERLQVALEEATRHLECGCSIKCSLAILPDIA LQGMAHSWGIVDLIEHSQGSTYELAVGLGKDVLREALADFLREQQNSDRREEALPLIA PVVHNLPRFSVVLLLLIMPAHSSLWSARRRWIETCTANGEDHSRSFLFQEVLVTSLLL NFYYKVQEVWVYRWWVVERLLSHPGINLEVFNDHDRGVLMEAADKHPMNYNAWNYRRQ VFENILNITQNASRCRSCASTPSLLQQEVEIALRFFETHNGDTSAASYLIFLLHKAET IRSDSAEGKYNQNSGVCAGSLVHSVWKRLMLASTQELRRHWDKGHEAVWVLRLALIHW ALRIRPMCGWSLEDEMHLISVYMELPTLHDEWVGLSEVTWVAASGSPSWTSFYAARYG VQLLKLVTNACRGKGRKVIDSDGHK XP_847463.1 MSKAPELCYLLRAEGRIHTADVRHVSSSSGLLLTSSRDQTTFVI DAPGTTPWPSGDDFPTGLTLTGHTAFVNFAIMHSGIPLLGGEPCVITGGNDKHVALWN PQTAALEAVLDSHSHGVCCGLVVTSTVAEGAGHDGSVGDIVTGDWGGMCLVFDATTGD VKQCYRGHSTAVRSITQLPRTSSIVSASGDKTLHQWNVATGEEIAVYTGHTDVVQCVC AISSTRFASGSNDTTIIIWDTTVGTHPLRSLLMHHSLVYSLCFCNDRQLLFSASEDCT VKVISGASTVSAPTVGSSVDVGDVAVVQSINHPCVVWSVCTTEVGDIVTGAADGAVRV WTLNDELMASVGKLEALAEAVATQKLDIKITSIAGTNIADLPPVEQLHQKKGVQEGER CFVRTKGETVEVYAWDQGRWEKIGIVTEGTQGQPYTGAQSGSAAQKPKVYFNGVPYDY VFDVDVNGTMLKLPYNRGQNIFDAAQDFINKNSAVVSQTHKEEIQNFILNNIDPQDAL LLTGSQQGLSAAGGGTVSGGGSHEPVFSQFAREAMEMRQAGASHVPSWGEALRNMENA GVTANDVAFSGYAREEIELQRQQEKQLPQAPTAGGSDVVAWSSHRYFTSINLEGVQKK INELTGGTEFGELVQRVEAGARAAPSVGLLLNIMELHKRLPEASRFPALDLLSRLLAV GRHPYRWLLLLLGDSPGEWYCSPLKFMEECVLGVDRASDAECLVTVRLFLHAAAALDA LPASTSITPEQAAVLLQAFTQAPRSFIKKNMNNNMKKSVSALLQNVSLLLAHGAPMFG DEGRLNCTQECVRMASHMLLLEPMSSPVIAEVVATVLTLLQAESTANAAAAVGRGTLL HTMRLLKSGSEQRCRRAADIILRVLEADGS XP_847464.1 MKKALISDFFREGVNVAARGVSECNGATAPQLHSRCDSSIDDVD NRSVGCCHSRCDSAGSEWVCPELHEKRSEREILLLTPSVAAPQHYDKREKLNEADSLH LKHAAEYEIIVPAVARALQHVVEAHETWRLEMSSSAGGIRVRKSLISNSSAAHAATDV AAACGSEGTVREKKRNHYPQVFESAVPPPFTLLHYVQMLANHTFVSPSVLVAACLYTD RFIEQWSDLRLTLNNVFKIFLTAVRVANKILDIRVLNNEDFAAVGGVSNPELNAMEKI FTWGLRFDLYISSTEFDRYVTGLMSQSSTKPFKQVGCTCKITKSNIMIEVPAEAVEGA AV XP_847465.1 MTAQTPSGKAHCADHQTADMSLWELPVDEPFPLVQLVLSIHTLY NLPPELGRLDPRYEQLEIVMWPVVKYTYRERRIMHLPLPEHVFPVSVTAMVLRGDTYL IDFDGIKKDATCESSTSLSSSPSLEAPEGSSGEQPVIVKGNSATLQFPCPTGLRAVVR VSIGVRQRRLGFSAVRWITENANPRTTSDGIGVFSVATEEVYRTKLVTRVVGHSVGAM ICSLGVNPYDGRDVIVQRLLRDKERFTSTYVSQRPVLEKANVEAMLRDGYSEQLIYRH MLLLVEPNHYKMRLEALFTMYDLNRRPSWWRDSLLQTDYILREEELIRRVCVEIGPEC SATSPRYRLWAFRKKYSLSETSIVSCLRPIINSSVTEALLSEPGTIFAALTRRFGCEP LPTAYLFPPRSYEGEYRTFIFEALSLPLGPFMGKYTDVSHIARAKRAPFTSSPYEQPL AKVAAGSPHGGVMSEVDALRAKHAPEFVQIVPPIAAALRAVVEQSGKLPLEQQEKANA VTHIFCERQMSPQGPFHDFMHRLADLTFISPATLLGAAILLDRLCMRRPDILVTELNA PRLFLTSARVVSKVLELRSVSNRCFANAFGVNTKTLNLWEEFFIKMLKFDLCIKPQEF KEYTNLLFTSGAPPVRVAASPISRFRIHNDNLCTRMGSLTRKCEATTALSSRSLRSTA VEIPNMYTYNKRYGPRQGADTGLDGSNVVIGREDDARNASAARDIKAAAGGSGGSLST FEAGTMGCLSHVNDVGIRRGTEPTILPRKICNGRNLSPCLTVARRGS XP_847466.1 MFYSGWQFPTPQRLEGRGSDAQSGYLLQNSQNSSISFVHFLPSG DRRQLVRQRETGSRIHLKSDVSIHPNSGVFYFEVEVQFSGAAGGGGGGGGGGASEPAL VIGVCRRSLPSNGLPGEEANSVGLFTSQRMVYANGKREGEVVRFPTGTRARSIAGNNV DSINGDAAASGENGTAEGEEQEGRRFRGLRVGDTVGCIVNLLDGTVRFTFNGEILDFT AVLSRRTPKHGYYAAVGVFRRVPPVAVRVIFPSVARQTPIPQPISATGAEKREDDEQR KAEPAVGSVLNEAASRGGYFNFDIDGYCEDVTKKLVLAHLLGKAKGPVVELKENECTC RDTSIVAAICKHLAARGMTSALSAFEKEQQELTCAKCGCGAEPPEKADAAIPKHVDPT SEMLGAGTYNRSNGDSLTSGTCTESESRTVTYSAHIRRLREDVGNGRMSAAVERTLSF GLVSPGVLEKVRSCVENVDALLPDFWGLLFFAQRCDILFLLRVTHLVELSFDTMQRRL EILLRNVSGEGSIGRNATEDAHEAETTTACPFTTAAELMEFAHRFLLEPMRSVASTIR QHRNSTTKGPWTSEVLAFGSFESSADEGTDSFEELAAHLFVSGRDSSWSGPEAPATLL VRHSVPNVPAPKSQQQRRIVQTLATLISHQRAVCARAERYHKPGMSYKWAEEWLVLVE DTRRECRTRCTSLLLHAIEDFNGALEHRLVVWTQRQQQRTRGVAFGGSLEQHGAPVVP SKSGGKEGENAAVETREVLFPSLRSAWRHVHAAAALEPLLQVVTKAFSDQLNRVVVNA RLMAESISTKEPPSTFCKGPKRGILHSPELSVSGADKKVAESNGSSASSCEPSVGSRT APVESERECVSNNSVCQKRMACYTDDLRMSFLYMKSVYRAVFA XP_847467.1 MKEKKEVNSSRKGWMPPRGRLTATSRGPVPPPPSRTPTRCSSIC RVPKDRFRGPPAVAATTTPRSRESGSACHCVPLRRKNASNDNAFATRRTAIVDEKTPS AQKPVQPYVADERIEKMRRSMNGTNRRSCSESMRDAEPVSFHMAPYPRVPFVRQSSNR CESTPSSYRTRVSTAQQCSFGGHAARPTVAGTELLPRMPHLQGTHRTSKERGRATLER FLQTQPTDAQNEVRDLMKEYKGREEELCDALNIAFGESAGGVAMGLSTSTSGGATPVP RRYDIPQPYRGPTPLKQEVTDTSILNTSPKLHSRSAMSATVRVNSEANPIASSASPYR HGCSPRRTQPSRNRVNPRDGVYSQPPSGMISACTPISSPSRGRGTGWAKSLYNELREE GSLSPYLRYLPSDVSGGEWDKPDVGDVLCFQAKEPQRRRVLTSPVPDELLIK XP_847468.1 MIVRLLFFSYFLLLLTATQLTAQVTDESTTITFAHEIGPVEKLK QPQLLWFLTWRSSDEQTTSMASVNAPAGELAVTLNTEAQQLTKYVAGLQCEESRKLNA GFRSGRCTLLLTACEAEERADVFFNYRVTKHIEHDTTLGDTATHVSKLYEEGDNEDAV EVGTVTYSVENSSDDERDPSVAFILEFNLTVKAGGCKNWIPSWLKVEGLSPDEVEMRT WRRRAATSVVERWGYPLLLAAAVYGALHALTAIARRHQQAAAEKRKKMK XP_847469.1 MFGTPVENLTANQIKEELRTLYGVSDFSGCIEQKDLQEKLEKTR ETELITHGLKYGPLLQIGNRQSPSGIVTLTHGLGDSANGWESVAVELSRRLPHLLFLL PTASMQPVGINGGAVMNSWYDIRNVSSGNGVTEDAEAIIMSANYLKSLAYTASRRYQV PAGRVVYAGFSQGAVISLAAGLTARIAPAGVAALSGYFAAAEKILPQLCNKSLPVLLC HGTMDNIIPFSAAEKTKETLESLGVGPVTLYSYPMEHSSHPKEINDLEKFLQQVLPGP SSKS XP_847470.1 MRHSVKCLAGIMFTTRSPLRSGYKYFEDMFPKHEPAPRYNTYEE QQRGATVKSLEPRRQLSESEKLHHLHDWKKEQDGLTPPPEFGWPEEWGPEPGEEGHSE WYKKNRVYMSYEEKAKYDFRLGVPVEKNSMRHQEMPYHRRMKAAYHNLQEDKPQWFDP RQRKYWAQYSYEDQRDSVAKSRDDYLREWLDKPDVTQENVAKKIGDYNGTAKHQSVKP VQRRPEWELAPLQGKKSD XP_847471.1 MHLVRKGRVALGASIVGTLRFQADKVSIFRHHSTTTPSPRTTQP KMHTLSENKADASAYAFGDDLANYSSLRHNFYLLLHGRRMWLPLVMLGIYNVLAPLYL TTWITNALYWSGCILLQRYSVRGRMNRLRKDFTDHVCVVTGGTSGIGLYTAMQLWEMG AHVVVASRPGKETETREFIRKNCRLPKESEEDTPLERLTFVSVDLSDQLEVMAAAARI KGMFDNRVDLLVNSAAVWREVPNATRKGLEEHIATNFLGPFHLTEALLPSLRRSRRGG RIVYVTCASHNGVRRADVVRERMTLKPSEDTQQLTARCYSASKLGNIYHVQSLAARRY EGIPLNTPSADLRPVDVCAVDPGFCATGHTWRESPPFLGTGLLGRALRSLWMKDGYEG SQTVVNCCVREDLESGGFYAACMCMPSGLSRRAHDSKSCREVMQWAMAKAIARYYTVR PRADNKGDTSSSVSNNLSKVSSAN XP_847472.1 MPFQLSRRLVVASVRQIRVRIPCSRCYCLYESCRSYRQTPSLFP PRKISQAKQKPLFPGMYRTVRLFDGEHTYHYGRHTYTIMRESLTFAIIPLGCVAAYAA TYFDPFAAVPLELQGAYLVFLLIGSRLYARGRSCRTVKDLTGRHVVVTGGTSGIGKET AARLAAAGADVTVLARSSSHAEAAITYIRRSAHCEGQVVQFTPLDLSDFLAVRDYCRR LRHTNTRLDILVNCAGVMHQRQVMSRCGDDEQLAVNLLGPYLLTEGLLPLIAESGGRI VNVSCSAHVAVRGNIVQTYLSGRGVWSPRVRGKFDGLEQYGLTKLGCIYHAQELASRS YHSTTKGNASFCPTDTSHPSLGSSAINKGSNLNLYHYKHNSGNRASNNNDSGDGGGDD EAGAPNYTTCVAVPGGVVTGIYRYVPLAATFRWFRWIYLLFMRSAREGSQTVVDCCLR DDIVNGGYYQNCRYAPSGLSAAACNIEERKNVLTWVRRKMQPYMQWDR XP_847473.1 MVKLTHEDTQRIKTAFLSYSQGQPKVTEQMMDQLICGAIPGISW EELQAKKGPKAAQGYDRSAFFSLVTSDDRYVQFIVANFPPAPEEEKAPQVDALELKTA KGF XP_847474.1 MEDNLAAEIARIEAELEADRQQREKQQQQQQQQQQQQQHQMSMQ NMMPSFGVSAVPQPMQHQHQHQQSQQQSPQQMGVLHGQVSGSTSHAPGQPHHFSKDSD GRSIFVGNLPKGDNGGPTTTPEELAHLFADCGPILNCTLLRDRTTGELKGTAYVEFST YTGMGKAIDTKNNTMFKGSTLIVC XP_847475.1 MSHNLFTEEDNNKTIRMVIGETFTIELKSNPTTGYTWLRSGLAG TELSDCTFAIQSKFNNRAPHDNHKNHRRLLVGAGGTMVLEVKALKPGKHTLSLAYGRP WVGFNAAAKRYNIHVEATA XP_847476.1 MERIGEFTVMAFHNVRNAAALHDHFKKKKIDAAVIDAAYIVSHL HLSVALHRLSTEPLITESGAKSVASSSTACGTSLVPERKVTARDVFSSLSHTRNLDRV LERLTCASTTTAVVIVLHAPSSQHVEGVAAFVEGEPHRLSDNNRYCKEAAVRDFYGVG EAETGLEAAVVNRLATSGI XP_847477.1 MPGCLEVRYIGESHGVGSYTLKPAKAGDVLLQEYPVAFAKAQRQ DALEPHRICGGCGMILTSLKEECRRLALLALEATTGGSSQLDERVHGSSNACEEQKYE HEAVGNRAGVTECAVEAPELAVIVSPDKLYDTFKDHEMWEHFQKGVEASDDIPEGGAD SAEVRFCSMECKEHCLGGRGGRFVLPLLREPPCNELQLTSGVMEAQGIEDVDKLRTPS ADTIMYQSTTLTAEVAVEVWPTKAHALATLEFLAEKYNARLRLVLFILARFLSDILST GSVAANKQFEERLGERVQEFVQHYDEGASRGLSPEQRSFLRFSWKCVCRWITLCCVNE GKVATAVAGSEDKFCEGLCSAERVCSSTAEWFPLQLYLRCFWVTDANAHMYVVVSPLY SLLSERLPILSGIYRIQSETHFGKGRGENVCENEDSNVGSGREVDRLNRQMKILQFLF QTVDPNRAHSRGVALYDAAAKINHSCAPSVRFVPTHGGVKAVVVALRDIPSGEEVRTS YIEVGAYPTNKARREFLLSSYGFNCDCPLCVTECEPT XP_847478.1 MGFKCLLSSRALLQVTGSVAHEFLQGLFTNDLRQLQPGGSLWGC FLHHTGRVMCDAYLYQSTRTPEGQVTIMIDVHCGVADTLLEHLKEYRMRKKLEIRSAA EELVVVAAATIGNSISSCGDNAGSSPSSSSATYGGDQELSGPQGVDSFDTLAETFTDP RSFALPATLRKMIVPRKGAPPTLDSEKLYKKFLYAAGVGEGPEVFRPSKTLPFEANTD LLRGVSFHKGCYMGQELTHRTHVMLVTRKRTVPLFLQGELFDGKGGEKTPHVEGTLVI GNQKVGEVLTACGNVGLGLLRLNHVDITTRSFPGLSLSDGTTVDARIPEWWDEKELRK VLTKS XP_830028.1 MGFKCLLSSRALLQVTGSVAHEF XP_826136.1 LQGLFTNDLRQLQPGGSLWGCFLHHTGRVMCDAYLYQSTRTPEG QVTIMIDVHCGVADTLLEHLKEYRMRKKLEIRSAAEELVVVAAATIGNSISSCGDNAG SSPSSSSATYGGDQELSGPQGVDSFDTLAETFTDPRSFALPATLRKMIVPRKGAPPTL DSEKLYKKFLYAAGVGEGPEVFRPSKTLPFEANTDLLRGVSFHKGCYMGQELTHRTHV MLVTRKRTVPLFLQGELFDGKGGEKTPHVEGTLVIGNQKVGEVLTACGNVGLGLLRLN HVDITTRSFPGLSLSDGTTVDARIPEWWDEKELRKVLTKS XP_847479.1 MGCGASSRVVESDDRERHKSPHSAAECSPKLGAAEVSPNAKLKE VPSVSTSVIEGLKGHQGTFVMSKFSNDSMTTSASYLNQPNTNEALPPIQTAEASFAPS DAADSTRGNGRSPFASNFTDSNVATSFLRIPHLNSEVDSDARFSSNAFESRCRSGSVV STGVCNVPILPTFSHCNSRDSRFQSPCGVNRCDSYSPTARPHECSRRCGWGEYETLRR LSINNSMDGTNGTIADTATTASSVEHSNNYAGHRYSFIGTDCGCSSLFYDPCERCSRC ILDTEAVYRCDLCMLIFCTDCHAEIGAALHRHKLTSFRRAVNSNVTDSFLINKGRNRD GNKVINEYVVIKVLGRGSHAKVNLVQHHQDRTFYAVKILRCDRTKKIHHGIVSKSSTA SDDDLLREIAVMKFVSHPNLIKLKEVIDDVESHKVYVIMEYCAKGPVHVHGEPPLPPE KVRKYGCDILSGLLQLHAQYLYHWDIKPANCLVDDNDVAKIADFGACGSSTRTCKVGG TPAYSCPEQFAGTHIGGHVADSWAFAMTLYQMSHGTLAYSTTSISSLRDSMLDPTPLP IQEGVEPELKDLLRRMLNKDMSQRMMLHDAMKHSYFSGYVTQSYTTVEPSNESAGCIT EDLYARAQQAVLRGKRVGDFFHGVQFVRRIRRMMVRHINTHDVDDEDDFFPELPEASS LESTQRLVTNEADIHEVHKIVSSFVGDAVRGALRIDGILMTTLHSFVAEAAPLVSKLC CSNNKLTCVGTVDFSKFQHLRVLQICENSLRQFPLDVLHAPRLQFLDLSHNHIKDIPP ALTCARELQTLCMNHNQVAYVGCNECGASVLSGESMQKVVLTANPLRHLPAEITSCSK LELILDDAPSLLEEWYASINQSTSVSITWNDIYPCRVYPEVPLFVASKSIKLYNLTIL EALGTRNVVLTQFESWLPTGEVNSAAMEEHVRRRQKESVRVNGNDSECEIRSPVVRKT AMNVGDCLPIPNILSKSVCRFLHSYFILEEKTSYTVSAYGALKNYLTTCLGRNESVVV FLDERRDSQNTRDTIVAVLCEVLMAAKGGKRTLSGCISDVVDATRGLYA XP_847480.1 MNFELSINTPTRPTRWVSNETPSPPVNISFSTPDRFISDRNSQD NSISHFFLTTKENVVPLRTASGQRAHSSVMVTPPNRGTTGGGGGGASAPPVFGGGGGS SGGGSPSKSAKRAAQCSYTCAPELGTEPYTNKLARTLFPGTQSTVLGINSQVQPRPAP ETEQERYSNSLGVVFEENRARNFMSRTFRVISRAPERILDAVDMIDDFYLQLMDWSAK DVLAVGLQGSVYLWYEKTSNIAQLPCQRPANGIICGVSWSEDGNHLALGADDGSVEIW DVEAERITRRLHHHTDRVGALSWNGSVLSSGSKDTTIRINDLRDPLGTWTLQAHRQSV CGLRWSPDGLRLASGGNDNQLLLWDMRTLSMNSTPSMLLNKHTAAVKAIAWNPVQHNL LVSGGGSDDKMLRFWNTSTGECISNFNAESQVCGVLWNHGGTELVSSHGYSHNRLTIW KYPTMRRVADLAGHTSRVLHMCMSTDGEVVVSAAADETIRFWRCFSPCEQTNYCRTRH ESHRDPNCVPPGLGVNALQLDTSLRDELPLR XP_847481.1 MLSRIKRQQEEAQAATRSGGESAINQPGQKESMAVLRLKNDLDN LDGICSKVVIPDPDNMLRMKVTVRPSRPSIWHGGFFDFLLEFREEYPYEGPRVRYVGP NRVWHPNIEGSEFAEKEGMEKASRKTEWSVCLSFQTDWKPTFSLRDVIIMIELLFQDP NPDDPLHGTSKQAAQMMKDDPVRFRTKARYWMSGHYID XP_847482.1 MYQMNDTKEQKQTATISLSTMEEKMTKIDTVIGSALFGANRPKE TGTPYTSAGGGEVYFNPSNLLGYVWNYVKDERARGDSSGMGVSGPDLHMRPPQWMEDK NVSIDGTPLTEEELRAPNFWQTKYKRELDIGEAIQEVKRTRRTEATHDIVGSISWLGR KLGWSEPLDEQYARKKVEERYRLLGGQDTTGSVVDEATTGQKIGVATPWKVLLESVET GRPVAKVANERRLCVDFYGVDHFFDARLPLIWFTTKCGIAVGIIQGSLKAIQAVNVDV QFLKASGVGILSILNMSVFASVVKWGGNCALFSTAFCFGDSLATSLKYFLLPPHDARQ RSILNYSLGFAMSGSTVGILPWWILSDMSLAFRLSVSGAFVGGILGLAVGTVMRRLVI LNTTRLEATNRELRRYEALMRRQRCWVEEERLKATNQRLVWW XP_847483.1 MNRGSVAGAIALKRRCHHVIDALGARTPPEAFLFRGNAYMALGQ PYFALADYNTAAQVLQLSGQHQQRCQEALLRFPPHQVGVYPADNSHLHIHVHPYLNEE CEVKQIDAVIGRGIVARENLKKGTVVIQRAEPWMRYPVADGLCALCTKPLPERFFTCT NPRCHEEYCSRDCRTIALSRYHSRTCRIEGLQAIELDLFSQMKSSEAATDKNSAAAQL LMLRVLAASLQQQIVPSALSEVRILSGRLLFSPAALAGKFLEIYERFTRACSTSTSIP YEEMIGVLARVTTNCFHRENCVELSLPRSLLNHSCDANVAEDAETGEMRTTQDVARGT ELTINYYPQLKELNYKSRSRELERRGFVCCCSRCRRER XP_847484.1 MLKTVLLLDGSEAVNCSLDYLPTRLIALRPQLNKFVHTYLDANP LASLGVVVMRDGVAQRLIPCTTNATDIVQTLELKYFRFGGSGAMSLENGLRMALSELV DLRRIAKRLRENNSGSTGSDVQEDVTSRLRIVLASSSVTIVDPLDVFAVQKIVAQLRV RVDVISFCGAVHVLQEAAVLTGGSLHTPMNYEHLTEILRHLASPEGGSARHVDVQPAL IQIGFPMYVGDEAEGKRYLTCPQCGLIQTSIPSTCSLCKLLLFSVPLIHVTFITRNEL CAPSQKVEHVTKKKTTAAAGGAADTARCSLCQRKLAGEDGGGYWCCGCCLCERCEACE TYIRENLGLCPTCVATS XP_847485.1 MWRRTPTLASCLSAAQALVPSTWRSAPSGWFLVPQSNTTEGDEL CVAKLFLFLNHAAQYMFLGKVMALAEEIQCVPRLEWFYAELYFTLPPTPAAERLACYM NDQERLRCGLRNQSRGTSASGLLWSKELNKRVEERATEDFCWLLTDDQRKTEKFLQET YIQWHHRADYLVTRKSREKREFRQDLTLHQVIQEGQERKRRMQRRRSRDESREVNIAA GKESEWDNFTHDVLPRDLREALDRESDGMEDVRISRAGTATGGWNRGYRTHPNFR XP_847486.1 MNTVKVLLRKRVVRAGAFEDTALALTNAHSAGAEARGRPIVLQS LDEVAQVTPTISFSGRQLWNSTKLLRENGNVRRSHGACVVGGASAIRRVWRDYKIRPN VVYVPDTEPTVASWCLEDELPTCIVRCSPVEINRGLLSAELADGHAAEFPIPASPSVE TFLGEGKPSRLASMLVLVGLRIPSNVGTLIRAAVEMGFESVLLINCLDPFGEKALRAS EGTVFSPQFKIFEPGSDPVSALNSIAVEHNLLPLLALPSQKAETAFEVAKNLHKINAM RRSQEAEGDAAASHNHIGPLLILGSEAKGLRDLAGEWSVPRKFVSVPLPNSTVESLNV SVAGSILIHAFRPAAEKHFVELEESARPRTLGDGPLLLR XP_847487.1 MGSFVVRKCRLLALIFSIFSLALTGLTFLTPIFEAQGERPGIIT LWKYDMEDISESADGGEEKNINISNSINKNGTSRATKADDSVGKRKKDQPHENEAGDT DDIILYEDYFTCNYGRFHIQVVEGLSIVAASLNFMNFFMCIFFFGTHSFLRVPLVTYF LLAACCSGVVFGLLLDWYKNEWCDSQPCLSCLEEDGWQLGYGWMFLVASSSFSFVGAI TAIISS XP_847488.1 MLRRSFNRLASHLSKAYPVIDHTFDCVVVGAGGSGLRAAMGVAA SGYNVACVSKLYPSRSHTIAAQGGINAALGNCEEDDWRWHVYDTVKGSDWLGDQDAIQ YMCQEAPCVVSELESMGLPFLRTKDGFIYQRAFGGQSIHYGGKQARRTCAASDRTGHA MLHTLYGQSFQYGVNFFNEYYCLDLMVEDGCCRGIVAMSIDDGTIHRFRSKYTILATG GYGRCWFTTTSAKSCTGDGTAMVARAGLAAEDMEFVQFHPTGIYGPGVLITEGSRGEG GYLINSEGERFMERYAPKAKDLASRDVVSRAITLELLAGRGCGPKKDHVLLQLHHLPP EQLRQKLPGISESAHIFAGVDVTKESIPIVPTVHYSMGGVPTLWTGEVVNPRNGDDDA IVPGLLAAGECACASVHGANRLGANSLLDIVVFGKSCANTVIFNLTKEGRAQPELRSD AGESSIADLDKILHNKGDIPVARIRERMKETMALYAAVFRTEESMLKGQAIIEECYRD YSHVFVHDKSPVWNSNLIEALELRNLLYNALMTIASAAARRESRGAHARDDYPERDDH NWMKHTLAYINDKEGKAKLAYRRVHSEMLTSELDSIPPAKRVY XP_847489.1 MDVSAGVDVTCVIAVGVAVVASVYVCLMRPTHKRWNHTGNVKEV LQTGDNIIRLFIYPRWHLGPSISLPCVAVETFLRLANVPYKVQATYDVFPSPTRTLPY IIHEGKCVAGCTVIIQYLMDTFKLKMDDGLESMQHAVGTALRNMLLYEARFALHHTLA HQAVLYTAPCALRCWKFSAASIACFLLWFRRHVLGTSKLAQLNVLRERYDSSYLMDLE AVETLIGDKPFLFGDRPTSYDCVVYAALLPIVRMKEAKFVSEPFAFAARSLLLREYVN RMTNVAFRDLEHLCCGEEKLSTRGSCVS XP_847490.1 MNEGSSRALQGMYREHPLCCAAFAAAACGYAMYRCTKLLQALRK RVMTQVMLNSLEHGIVHLFIHPRWPHGPNCFLHCFKVETFLRLAKISYIVHFIDDPTV SPNGKLPFILYDGVAVGDSEVIIHYLMNEFDVDLDNQLSAESHAVGRVVTRMVETSLN YGLQRVVLIDHPELLEGVLEEEFTRDEESTHKKVEGMRRTLVEVLETTGDYRLPPLEY TRQFLQEVKCLDVLLQKSAFLLGDTPTSYDAVVYAWLHVAKTLMEGHEELIPALAVSE PFASYVARMTSAAFPDMKSISGCNQSHRFTPHTCRQGCS XP_847491.1 MDSLQSAVKSVLLFSPRTVKNYGDISAPRSSGREGELVYMAEVA ELLITELINVLSRGLCEGIDLWSVLETLRVVCGKIARHESSKSPLRKAMYRLKFSGQL VYVVKSSFMQSAADVRVRALLRLMLNQGVLLAALELIGRWNAAELTAFYAERGASILC DMEGKLWDAFLRICTPIGGVTLTQIVAGVSGTAATVKFDLMLVPPCADNTRRNANGPM HGNSGEAIKWLDNRHDTTTGHITPLFGGCKSTVGTAERRSDSHGVYGREMRGGNNSGE AVDVGDYCKSDGTIAEVRTNATTNFTGSNLERAKMLLDEAEVILFRKWRQLVSVMAEQ EALLFEAVDGV XP_847492.1 MALAHAPITGFLIGISVYYSSAAIRGHVVSQSSRTVLGWTATIK RFPILTFPLGTLGISIFDLGCALFIIIQMRKLERRWGSASFLAFVVTTAMISSFIINV CVVRGSSLSLPFEQLQVLSAAGSLMPLASLVTRFIMEVPSLDAWESFSFPLAIISKPL LTLSMLKLIVCPETELPMRTSRLRGSVIRADAGLYTRLVLTLLGVVFGLASGRQGPLA WFLGLFSRRVCRPVMRLLKPILNIVGGQSPTVELKPLKRAGNVRAATDGRYTVDNLVE GGYMNDDEAFGLWSSGGARHRRNARPMPQREGQNPTGNQQTTHRPVGGRVGDVEVDER VAQIMELGMGFSAEDIRHALSAAGGQVDVAVNVLVGA XP_847493.1 MNPCEDVSNSRHNCAHDISSSGSGPATATATAKGAANASRNSST SHRQPFYVLSSSDGTWFAHLPGATGPSCSGITRNPQHLPCGASWSSSSPQFMTPKGVS QSIFRENWGFMQDGTPADVPRTTEIPTATTTGKLPLPNFYVGEPARRGSCSTSQEFHQ HVMEEQRRQMGQLIHQHTPGKHLS XP_847494.1 MPLDVHEAFSRKNFFLTGGTGFMGKVLIYKIMKEFPDVGYIYVL ARGKNSRRLKRYLNPQERVKLEVLSSPCFDPLRKSMGEAAFNALGSRVVAIEGNIVDN RIGLSDKDRQTLINHTHFIVHMAATVNFDERLNIAVETNTLGSLRVLTLAKECKNLEA MVHVSTCYVNYSVQGRPVEECLYSPPFDPQGMCKHILALNDKEIDTVGRDLLKKYGFP NTYTFTKFIGEQLLNENKGNCPLVIVRPSIVGCSLKEPFPGWVDALTAAGGLILTCGL GLVRELVCRQGAIADIVPVDFVVNVILKALFQAKTHFKGNTPKVVNVDEHARERSTAA STAQGLLNPLTAAKQLNGTGKGALKGVYEGNPASIFQQQQQQQNHASNNGGGHINNDN DQGQQQQQQRDTGGPVVAMAGSDVYGTSVPFIYQASTSHSHNHITWRRMSDASIEYWS AKKRHPKALGPVSVQFMESRLLYAMKFFLCREVPSHALAFLADLPEPIGSKSKRENVK KLKRALFRAKDLNRQFVAFTCTEWVFAATNTMSLDEGLSERSRSAFYYDPYLINWWSY CHWYSYGLLKHIVRDTGSFEEPEQPETAAELFRRASSL XP_847495.1 MTDHQVICKDLPSLESNAVGIEDFCWYPSMNPSRSGERYMSPST AGGSPSLPILTPSDLLMMRDSWDAPNQTDSPSTQCTRSHAATKPPFTTPETPIPTAVG VPKPATTVSNHAIAAGCVPLAGTATLSPCGSDVSLGHRMEFAVPVGGVPSVNPPSPFA HQCQVSELLSGPDSQNVFACLRWKDGPLDINDAAESEGADDDQSSHYLWSSGCVTYPT FGNGELMSSSVDESLTCPPKKQHHGGQPQHQDVQGTKDNNHQRVPDCSEDAIRSNLFI SGLRHSVTDSGLHELFSPFGSIESAKVMLDIHTGRSRGIAFVKFVRLCDAQRAVEALN GSIFCGETITVRVAKPNAAYRPGAPTNKTFVRNVPLSAKKEDLVSHFSKYGQVVEVSI HGDTAQCSTNKKRNVVFITYTTKEAAAWAAQQTHTTMPFPDCEGIPLLAKVAEDSAHR IERLARRGSSGKQASNSSKAVSGDASPQTAHLPPTPPLAVSQQHPHQQSLLQFQQQQQ QHDLQQQLFLQQQQQQQALQQQLFMQQQQQQQQAYTSGFISSKLPQAFGTCAGAPSLV MSPPGLYSSEPYTAPTPSFGVQQGLYATPLFPLPAGPTAGGQVVILGPNRQLQPAVMC PPTPQPQSTVMYYMPGAQVPMQVPITAERLQHVTPTPTMRVG XP_847496.1 MTTMQRVDAMLGRFDRKSPRASYAQICEEMGINRQRHVSLLLSD APRDWDKHRVIDCSKCVLGAKGCLAVLPLVLCSRSLRRVSFSGCHVTDEFVSELAEIL QDHQSIRSIDVSNNPLVTVCSASPIIRMLRANKNVVRFCVEDTHIGTNVMNIIDDLCD KNQNEVANYYADDYFRMKYLFNYLDGDASGWVSMRSLVLNAPFPVLQEQLIERIAAKR PKKRSNGTISVNTFLELVYFNYKTDVEIARRMAETDVEYRNIILNWKHLLDAMDSPEG EPEGKKQNDDEEDEEEFAELEDPEEENEKVPLPGKLPIVPPADFHRLRIRSVELTPAE ARGIIALAVKLQIDADARNEEEESTTEPRKEIKLTYQCLRRAYRTISQPQPLPRRFRF LKEHGEDYVPPMMRAGSRLISISKLSFLDSHSSNASFDANETMEESVLDGDENRTRWW ALPPAMVRLISKFFNDRAAELPKRRQTTLAISPRTQRDLAMEKTTIPVPLFLSAEFTT DLETLKPRLLTDRFLQYGIPIEESTITLQEMVNCLNEYYLEASVDKRLSVKAIRMMTM PDADGAADSNKGSLDTGSVPKITLDKSQISKASTDTAAFAP XP_847497.1 MAAAPAELILQELDAVLHRANKTQSELYQRVAVIGERIRSRQVL TRADELTQLARADILRRCRAIQQGRNKLLQTLRHAASLLATGDVNNLCGRSNTDAVLS TINEAAQVEHSLSRWQQPSLNIEAWRNVVSSGPQDDMAMERLLHPSGPRSGGDGNELP GWIYVPCICSIVDYCRVKKMPSAPGGSCGGSSGNGKRKAKGDNSLEEGHRGGEEASDV DGFGSNSEDVAKESKIALLMLQAAATAVDELIQRTEDEQTAAVGNGTSKCTDEEEEEA KEKAVEEKRLRVCIEIAAKAAAYTHAVKALSAGEDSALFDGVSDAAATVASLVSLPSS SAASLTHGVPVELLVLPPMESLFYCIAYTVGAAILRDEQNELRLTAPGTAGLGGGGEV GNYTESDGGDRGGSCATASAVACNEEEEVAPLDGITAGWRGDWEMWLQRSSGADCSFL GPRLLQYLLEYVFFPTGSAGHVGTVSVAPEAMRRWLMLACGGEAGVDGTVDTMMSLFM GQ XP_847498.1 MIKAVIIINMVGKIRLLNVYEKEIPLSVQQELVQRVHSLISRRG ADLCNFVDNFREWPTPDTRVVYRHYATLCFVFVVDSSESQLAILDLIQVFVEVLDRTF ENVCELDLIFHSDKVQLLLMEMVMGGMVLETSREEVLRAVCDMQRLGNTKTGMGSSSS GYHY XP_847499.1 MDKDLSCVLDKWRPLVVSATAALVKNDPLPRGLPPVQSSSESNP QPREEGARKLFAAGPGAFPAPTNHACDMTQSVCVYPDPNTTVDPVNTNSNAIAATAGS ATITAARTTSVVAALATASTSVEVAGRPTVPHDVKRCVATANTQKQSFNSGGGNAERF TVQTDVALQGSDGGRPVASSSLPSFPAPSVGSSAQPLSELKANLSEVIEEIHRQRRYR DDMLLRENEECDTSEVVEEADRKLAALEVLFANLRQRQRELLGRNPNVSLPHVVATSS KPPGSVSGTLSSASGANGTRVPLDSNGFYSAAVPTQVSAPVFVSPSPGYAGAAFTHSG ERGVAPHQLPSVVESSGGAFGEGNGAHDAFAAAGRGGEVFSGVGALRTATEEVVEGKV AVYSAQFKGGNNPNRFTWESCERELLDASLHGSNQDPVSIAKASTGEFSGEHYPWSEE LRRTMVDVFGLHNFRFLQLEIMNACMANRDVFVLLPTGGGKSLCYQLPALLPNPAKVT IVISPLVSLIQDQVYALRAYDLPAMALTGQTLDAPRRDLFNEWSSGRIVCTLVYVTPE YFGRSDHFVQSLKSLENRGLLNRFVVDEAHCVSQWGHDFRPDYRKLAALKHHFPQVPI SALTATATDTVQRDIIQTLGLHNAVSFKGSFNRHNLKYSVQRITSKAGSTVAEIIKKN FPPRSCGIVYCISKKDCEEMAAVLRKEGIRASYYHADASEKNEKQEQWTRDELQVLCA TVAFGMGINKPDVRFVIHAAMPKSIEGYYQESGRAGRDGLSSKCFLLFAAGDRQRHEQ MICGSKDSQTSMLSLCHMVGYTLNDVHCRRMQQLSYFGEHVSDHFCLTAPGDVEICDN CASRKEEGWEPEMIDVTSILIDFFNITIYIGSLTQKQLIAVYRGTSDVGNIVERRLRQ KGAPPEYRKGSRHSKVLLGRVLNEGITLGVFKARFERLTEFGVCAYLDPGDSEGIKIL NSMKTGQRKIQLVVRGEKRVRANRERESTIASDAVAVGERKGKSNKKDAGRNRKSAST RRGSGEQFEDDEDDDDVADDQARRPQLRSSRHAVARTSSKRKGVRDNELILGDCEGLA STSLTGRSSNNTVVIDDVTDGDASSLSEANSSSSQASPSCVEVIQQLGRKRSRANNNS SDRSTESPPALPSRVTGAAAGPLRDKGKRRTSKSKSANALGSKSFTLADAQLENIKAS FLLELEALLRKLAENSDGGRKYHVMSRKTMETLAATLTEQGWGSVSQFSDLEGFGKNK VKKFGVDILRLYRKFRNEHIGDVGLLTGLEEDMLLQTTTVIADRHRLSRGSPSAGRTV DESEENTGEHTPWKGNKPPLLQGSVTPSDSDVTPQKTSVTTPYQQGSPGGDPVREGSL PTGSAPPVPMGRPSAPKKTMFKMMAAAPTNAEPQPSQQLLNNPTLEGNTLNECELPIR RTPLAESPELLSSYTQMATPLPLPGQSNRQSPSATAFECASRGGNACLPHPEEQQPKV DIMSVDYLMQMCDESLKLNALGPPAGVVPWASPDPVLQKPRRDTPPEVFTVDSQ XP_847500.1 MSSVTTGSSFYAAVLLVLLLTVTQCGNSKFPNLHCDNVWDGPSA RNDPLTCIMDTDRILAQWRMLAMPALCAFLFVAVLIAFPISCFLTCLCSSRCKPSSKD GGKEQRCCLWMWIMFALIWAFGVAAFVFFGVKQLWATSNYFLDVTLMNPLNVVNCTAE KVIDFASNWTSGNREPYADGVDVSFFYDISENAVRVVEMLRGRAGDYIKLLPVVSYAV GSVCIALMAPMVILACCRRGPLIVPECFACAYFVFGLVFSVGGAVLFLLSYASSSVCG EIALHRERKPGIIQWYGIPLCNSKFRPDAINKKVTDAEIGICREACNYLLDNCDNLDM RGPSMSRFSGSSVSYDGYVPSGYLKDRNGKPNTRSSDISPDAPASFIASGFVSHAAAR NVGGTFPVKVLTCGKNITSSDECPNFGITATVLEDTRVKAFVGSCPTPGNSCTVVECA ANCTEGRAKNVSIEVVRVAARSRNVSVALSIGRPLLECNFMLDIALTAMPDCEDITPG VFMLSVGFLLGSLMFAVGIYVMLRGSCVWGSAKTSPEAS XP_847501.1 MSSVTTGSSSYAAVLLVLLLTVTQCGNSKFPNLHCDNVWDGPSA QNDPLTCIKDKKRILSHWEDIFVPALAALLLVATLVAFPISWFFTCLCSSRCKSSSKD GGKEQRCCLWMWIMFASIWAFGVAAFVFFGARQLETAATTLIMKTVENPINFLDCTAD KILKLAFDWGKNQSLQEGISRETIDSVLGLARGYIDEGRAAYKQYMRWIPTVSFCIGT FAILVMVPMFVFAYFRCCSKWLPRLLSCVYWLFAILFMALGFLMILLSYVIGTICGEV ALHYDRSPGLIQLYALPMCQEKFNFANLNEMIFNAQKDVSQKACTKILEYCDNDTSQL GDITGVGGVAALLGRNLPPGLDPKALPPDMKPEDFENEKELEAKFGPNIPGVTSNVSN KANVTLGAAGADALQSRFPGSGNLIPATTSQFLGLPLGPNNKPLKCGKGLQDATECSS FGFTAAVISDTKVKGGYLICPGDGRACTLQECAEKCRGDEAKGMAGTLVTVAGLATNV SIALSIGRPLLECNFIFDTLLTALPHCGDFFGSAMMLSAALFLGGMMFALSIYILLRG SCIWSDPEGCNKLKDEGDLRENKEYVRE XP_847502.1 MSSVTTGSSFYAAVLLVLLLTVTQCGNSKFPNLHCDNVWDGPSA QNEIMKCLKDADLMKGQWQKIAFPVAAAFIIVATLVAFPISCFLTCLCSSRCKPSSKD GGKEQRCCLWMWIMFALIWAFGVAAFVFFGARQLETAATTLIMKTVENPINFLDCTAD KILDLAYDWSAKRPLQNGISRETIDSVVGLARGYVREGRATYEQYVHWVPTVSFCIGT FAVSLIVPMFVFAYFHCCSKWLPRLLSCVYWLFAILFMALGFLMILLSYAVGSICGEI ALHYDRSPGLIQWYALPMCQEKFNFANLNEMVFNAQKDVSQKACEQILEYCDGDASEL GDITGVGGVAALLGRNLPPGLDPKSLPANLKPEDFENERELEAKFGPNIPGVAGNPNT RRDFPLVAAGTGGLRYPREGNGDLAHAKLSELYRALPHSSEKYLKCGKDLKNATECSS FGFTAAVISDTKVKGKLFVCPEVGRACTLQECATSCGRNEAKEMANKLVTIAGLATNV SIALSIGRPLLECNFIFDTVLTALPNCADIFGSTMMLAVGFFLGGMMFALSIYILLRG SCIWTNLKSQDEDEEEEYEDEDEEDCGKKNNNNHEETNDCEGYGKKEEQ XP_847503.1 MSSVTTGSSSYAAVLLVLLLTVTQCGNSKFPNLGCQKLWTTRSA QNDPLTCIKDKTRILSHWEDILLPVLAGLLLALIVVIFPLTFFSTCLCSSCCKPSSKD RGRKQRCCLWMWIAFAFIWAFGVAVFVLFGAQQLKITLDDLLSHRVNRPLNSLRCTAD KILGLAYDWRTGEPLREGIGRETIDSTVDMARSYITMAKGYYDQYVHWVPTVSFCIGA FAVLVMVPMFMFAYFRCCSKWLPRLLSCVYWLFAILFTALGLVILLLAYAFGLVCGEI TLHYDRSPGLIQWYALPMCEEKFNFANLNKMILDAQKDVSQKACEEILKYCDNDTSQL GDQTGTGGLAALLGRNLPPGLDPKALPPGVKPEDFEDEKGLDSLLESHIPGVNDKEKI PRRYEGSVPPDGSLPGNMRDVIGAKATQFPQLPFGPSNKMLTCGQGVGSKEECSTFGF TAAVISGTKVKGGYMVCPDGGRPCSLQVCADKCKVPDAQNIAKTLVGAAQLAVNVSIG LSIGRPLLECNFIFDTVLTALPNCDGLYWGTMMLGLGFFLGGMMFALSIYILLRGSCV WSDLKRWDEDEEKDSENKRESDKSPARK XP_847504.1 MPNSHNERIASYIERRWPQRFPEFCAALRRYPLPISSGAAGTQL HGSCPEAVRATEAFCAMLAPRHGREVLGDDNSTNDEMLARDGEVSVAALRRSVSFPFS PFRCFKMQRTEGGPPLLSGQPAVWSGNSDWHFDQLHNSRGEAGTSLMHSSTTGCQSGE PLPEEKLSWRELRDRCLSKGLLGSGTKVHLIARLRKHGASKLAETSPKPRYVPDSQAE VLSHLREDSERCSRSMSSAGHTSTVSDMIRHGQRLRTLFRSPNTDIAPTTSLAEGGSE PQGRLLGTPKEFVASQQRERKLVHSTPSMPTNTTDIDADENETPKEEGCSNNYSWHLL VDNRERIKGAHENLIEVCSRAGAPSVSCTLPCGDFMIGINSPNVGCSANSANVPDYQC RNAAGCTVLREGAMYGQTQKISFLVVERKTVKDLCASITSSRYYEQRQLLSSSPFRSV VWVVEGTMESITVEERRRVLSACASLASLPRFRVVWTRHLSETATFLRSLGKSVGSIL CKTKMEQCPETLVTNCTECLQYINKIRKDIQARTTFPRMLMCIRGCSAPLAIQLASKY GSLLGLWRRLKYQGVDACDADPDIHRLTKPQKEVYLCLTKFILTRSYC XP_847505.1 MKIFRDILTNAEVVCDNDKPMDLLDEIVYAVQGRYIEIGGEDYG ISANADEDAGEGAAGDVDDGKQRVIDVVHNNRYTETNYDKGSYMAHIRGYMKQLLEKI EDEGAKKAFQTNAAAFVKKVIKEIDEYQFFIPEGNEEDPDNGMIVLCKWDGETPTFYF WKDGLKGERV XP_847506.1 MKIFRDILTNAEVVCDNDKPMDVLDEIVYAVQGRYIEIGGEDYG ISANADEDAAEGAAGDVADEKQRVIDVVHNNRYTETNYDKNSYMAHIRGYMKQLLEKI EDEGAKKAFQTNAAAFVKKVIKEIDEYQFFIPEGNEEDPDNGMIVLCKWDGETPTFYF WKDGLKGERV XP_847507.1 MLTSLSWVPKGGMKPIPIRTGDDIDTVRAKLRRRNPELGVEEDE TIPHEGTNLADSGDASSDDAGDTGGMCFGGGADTILEQVESDDEDEINDTNFKETDLV FATALADAAQPRLELYVYDEPENNIYVHHDMEVAAFPLSTAWLTDGTMSICAVGTMRP FVELWNLDVMDAVEPACLLGGCVKWEDNYRKRVKSSLLQEGSHKDSVICVRWNTCAQH ILASGSADTTIKMWDLNTSSCIGTYTEPEKVQSLDWHRSEANLLLSGGFDATMVLRDC RSPSSAALRFATGSTVEHVEFDPHSGGRVLYASTSTGNWAAFEARMNAEALWQMQVHE ADTTFSASPHVPGLLAAGGKDNMISLWDARDTTCPPKEIVKRSYRTGAVMSLSFHPNS PHVLGACGSKGEPLVYIITDDVQRVFC XP_847508.1 MLRGRAMPVAQLAPVVHTHPQPTVGADPATIAGRASQNNASRSK DVDVYCAAVDFTDVAQLLMQDPVSSGFRPIAHDSDSNTSLYSRPTEESPMDMIFVRTH LPCPPSALPLYMHASKRCQWDDCASKLRFVRTLPRPATAPVSTLTDVADANLLQLQPG QRRVGLYYMVIRSPVPFVRSRDLEMAVAEEVQPDGTVWLKGLSTPPDRVDLCNERRAK YIRARLIFFAMLAKPTKVTGGCEGCQMSCVSLVHPMGHLPRLVRRLVLAAQMRMAKRL RDFIIRHPPASLTDCTAQLHSEGKVSSTSTGSGGKSGMVGKTNGKGEPSPHSYVTGVD ASECSNLGEWGEDDSDGGAARFVVGSKSSQMCRMCRECCGRLIALGRRVAERLPLLSS NL XP_847509.1 MVTMRMESSKDEEEEVSIRLGARLAARYAPNQILTSTQSWGSLM GVEQFRRLFQCFSKYSISDDGDPALTNEWTIHFVVGIIDARLNVANCSGDPDEDVNMD LTLTMSYDSNWKEYIKEVEEWVNSLSIRLDVHDALWDACELSNKELLKLLLNDSKESR SGSLHSQDEEDEHSSEGSESATIMRVRRKGRHTQMVEQASAGLIELLQKKTRTHSLVR WSDITNNFVRDYEHSHDFGDETISYTQICDKVSSGRYSKDSRKDNEGWPDWARARKDK KRDGKALWKYEIHLQSPDHVLVAPLSAFIITSSKDGFVKVWSAATGAFVRNLFNTGSS WVIGMYLLMDEDYILISTTNNQLTLLDFSSGDIIQKYVGCESLLTACNEVNQPSAQDV QRYGMRPGECGLYRIRLRENEQSIMFHRRRRDAQKDVLPKKVLAKPVVGFLNPTATWF EPHLGMFCFGTAEGKVGAFDVSADVRASALLSGANTKPVRLEFLVTMHKEMVSGLFYS SYATSIFTAGADGFVYRLPVGPSFEPAGEPRRIGHQMRAIRSMEWIPPSKYFITVHVN RRVSVWVIGRHGDPFRSFPPESQEILSASMHPHRGRLAVLLADKTIKVYEVHASKSIA TIQQPEPQSNFTASDFSRQMMERSERDSDGVVAWHPFHSTLICALRGPVLYAASKSKD SSSSASRTRRQRCEGATAQSTGAEFGNMVEEDDGEVAEHRGAAVRDSRQPCSHSGGVV AAVIHKASLMVHTFDEGTWRAWKLTTGEMKRHVVVSHAVRMGDISRSHATVASCSWST SSQVRLVTGGQDCSLITWDPETYAPLESEMLVQDASDQLDSDVFTFSHQSKLIAWAAR TCRVTTYSRKVLPSGVEESKSVVFRVPGLTSITACCVARDTYLCIGTGDGTLYVYSIG GGHPIAECRLGEQSEARRSAVLQLAYVNEQGQNLIVAVLDTGVLCIYSFVTQTVISHL RLIRRFDCLIRKALFISDDGLMIYGDSRGRVCGFDLHAVTTPVAELQQFVVRRFAFSG ASDEVTTLEVFSFQGHRFIIVGSLDRQVRLFRIEDALRKPSLSNSGLQPAGWSFNKVL LVGVFGRDRWDLEDVTTYAGEPSFVEGKQNCNTMEEVLVESIMKPENSFQSIMNVGAA AAEDGASVPLSRGKRVSVVATTIMPPGTPSVPSFFLTEATAVQGDVACPTTPSSSIQD SMEQLAGQPTCPQTVPVISEGASCSGAPLGSEQTALPQGQTRRSHSHPRSRAASSTTS SAGDESPTNAGNHSLGCSSALELRGSLSGKGSARSHFSSEVHDASRAKAVLVSLTSRL DKVRPYGYARGAFRRKLQGLLPGDGDLSMELDQQALGPSTSSTLRLALETRRRLSERM DEYKRRQEEMKKRRKFDYSSCFARSVLPPVVIPKPSMISPDLMPTSDWMVDGMRKQTQ LQPSVTASTVGRTV XP_847510.1 MCSSGCFRLILFVTFFVYRLATLPASVPLVCLLVCVCVCVCVCV CVCVCVCVCVCVCVCAYKGKQKDNQMSWRLFLARTVTLPTSRFTHGMSKLRSSLPLKL SQCGISFDGSGVGKGGVTSADGDIRDSGVSGTSSSGDVASATAAAPSGEGWCYEEVDP TTGRTPLDILYDQRLQRIKAVFDSPLPPLPCDGSVNPDLLVGFSHYDYRLRDQYRGVV AQGLQVPVAAVRLSVAWSGRFDVSRFNRVQKVCGVCVDIKSLTTSGTAASGQYRVGDE LCGKVEERGENEVRGELQHRIARFVRSVNERQSETLLRFQITQASEAVPDVDFVAAER ELVAVECVQRWFRECILKPHLAVVIYGRNYNLSQGSYERGGSRSNNGNEAECDIYGRG PPGQFRCEEDVERQRAFIERKWLKAFAHRRIVPYVLSVDDLTSMMCTAVQNGEVESGL AVLQGRAAGCDNSSNEGHSSCSCADRSDAVTDTGECSAKDGSRPPLKLPLDDFHSWQR LLRKAVFRRHEEHAVFPVFFVHGEWAGGVEEMRYLLQNREAVDALLLHPNDIVFKKKF MSRLQKSHSRLRMAEEISD XP_847511.1 MSCTPATLGKPPVCDHCGAPFTKSTAKFCSKCGTKKKGTSSPQK NLTANVGQASKPSGANATQRSARASSTLKPSPNTSHNPQEPLGTSSTRFPPIQSPTPL STVKHEFSTQESLDEGTLRASPEEEAKYTALTTLNPEGITPEMLQTANSSELGTWRKG LLIGRGTYGSVFLGLLDSGAFYAVKCVEVGNKTGTFSVKELVSLSREINMMQRLSHKN LCTFKGVYFDPTNSAICMFMEYIGGGSLSALVKKFKPLPPNVIRRWTRQLLCGLLYLH SQRIIHRDIKGDNILVDTSCDPEREAQIKLVDFGAARRLSDAVAQSRTVIGTPYWMAP EVVDVTGEAGGYSYKADVWSVGCTVAEMHTGKPPWPSQANAAAAIMMIAQSPEGPTEL PVTEATSGCLAFMRRCLVRDPIQRPTVEELLQDPWILGETE XP_847512.1 MWKCYPLRCPAATGVFGCFKRLQDGYSAWCAAVSNQETASAKTS SRGSGAENTENLQLPIHTNPNACPRSVLVVPAGDIKDALRRQKRYDVVADVVMLDLTR GVFGGSDRSGGASEARDRLLRFLRESVRGDHMEGCSDDGEWKTQSGEGTVGQIFNGGR ARWVVRVNSPEFDAARGFLDMELVGVLGDLIEGVVLPSVTANTYELVQEFIHPSHKLW AAFNTPLSVLQASAVCAQGHYRYAIVDREELAYEMQYPSVNSEKRSGSLNFSEDVEAT QLKHVRSLPALHSACQVMTAAKAHGMYLFDGGFSDVSDSIGFRHDMQRCRAFGFDGRV VLRPSQIAACHEAFVPTSEEVEWAKAVQRTHCMDQYNVNGDNATSVGGDATCRRQRAA LILERHAAVQWYNRNPQDDGMDMQRKGAGGNVPQGSVV XP_847513.1 MRRGANTTDDSAAAPSSVAVTPREFNRGRYGTEGKQRRIVEPVM HVRTRPVLEYLGESNEKRCVWCLDQQNIVVSIGKSVREPLYGRTVISPTRTVGSMEGT PTSPCVLFGSRCRSTPCTPKRTQHRPPRFLKSCDQVQKSPSRPRTSPWLLPPMSLSTP VELLSDEQLAADRARGVECNSPVPSFHNISGFTFSTAQPTRRAVTPDVSMHRSARAAR EDHFRFDFIHNEESTQEEVFEESVLEFVDMALLAQNISIVCYGPTGSGKTYSVIGSAS GKRPSPSPLRSAVSPLGKQRTARTVKKPLLPLSGRDVKSTPRPSASAYSGGRPTGTTR LDASSTPTSVDVSSQRITSKALGLECVGEGTASSQLSSSSQAEVDSSNVRELSPQTSH RPKNFASFGEATSCGKGAGEGGGREFVCSEGIGLLPRLVLSLLDRCGSVVQIDRNEGL MAKHPFVTKRATNPTGTFREKSVDKSAHGSLLTLKDLTFYGVELYLDEFRDLLHPTKR RIPILGDIAGLDAFCQKLNEPAFYAGRRGGYGGGCPDAEGNDGASRVGGGVRINSVSD FHRCYAMAARNRVTKAHQCNDVSSRSHAIFILQLHFELTEVLDGFTTALPSPLATGAD GGCSNTTTRGHSTSSASSTYLTSAYSYVAVVDLAGSECVKQSKVEGTELREAQYINKS LSAFSAVLLALYQHSNHVPYRDSKLTRLLRPCLEHGRVLVLAHVSPCASKETLGALKF AEQLRHASVRSRNFLNATDDLVAVFEDLKDPDVEERAIKYRKSMEEYMLLCKEVRLAH FSKDSTDRTEGLLSETSSTRPSDGSLVEYSLEEASNFRLLPLGRKKRTRIRDHIISKL TERHLQNHYQAHDDYLQRVKEDIKREQTEYINSVVERRKKDIEEMKLTVEKMKCCNAQ LAEENSQPVLRDAHAMEIKQRLKDLAAEVTKCAGEKLLLLEGIRAIRQRTMIQEDLEQ CLDEQLHAPPDGSALSGTSLQSMADSNFDDGMESIFNMQLLLSKEMSHLRRESTCFVR CDEIWEGLWARVMRQELMLAVALELEMMEGILLRPESIRWALESVGFDADTVKNVITP ASADSRAVKQYEGIDKGVKEHVVNVSKLLGAIDKSLEQSPDCWNSLTKTLHTSGSFNT TSNNSASGSGEGTSMLVAPIPLVMSSGDEVKSVRNLTGCYGDEGKLQEACMEKLLCEG VYCELTFLPFGFDMRELFAAQRSLPPQTSKAKHTLPVGQWGVLRLVRPPNDASSYCLE FMQRTYLTGGKGRDRRLISIPLDEPQLRMSLHVMELDNHVCSGALHDDNPPLPTFPLI VLELKGVPPTPAQPHREAHQVQQQQVQEEWSSYLRGAAQLGTSRQEGTPSNGAALLNN NSDTTSTQGAILTKRLDCGGDLVLPDVAMSSCERGNGIFLLQFSDPVVAMPNRTESVE CVVAALAGLTLPSLIVPAAAGAAGACPGRGKTVNSDVKPIDFGFQGIDKACDVHVATY NHMPHILLSAYGGPLRNGPSMKDITKPLTVPVGVGVSPLVYASTMGIFFYFWKDSDVG SSLGSSVATVAATVAATPQTAEATAAPFPPVPINRPSPRGQPSGPFSGAINALVRLTS LTVPNSSGASAGNGNNVSGGSPPERKESASSDVEDFLLHIQKLQSMRKKVRNAVRQQI FDAEDIKAELLYSYSENEFMRGSHIKDVMKEARELIDFQQQFRGNMGIPARVPVQASP ALCREMCKFFIPWTLWQWAHRWQTLQDAYRQQQQQLGLFGLGFGGASDTDSEPSAQLS PEATAASMGSLKILDGFCGPTICFGEIPCFLSGMV XP_847514.1 MRGIERLPEDVINRIAAGEVVQRPSAALKELLENSLDAGSTCIQ VVVQDGGLELLQVTDDGHGIRFGDLPLLCERYATSKLRAFDELNNIRSFGFRGEALCS ISYVARVTVTTMRHNDTVAWRCHYVDGRMQEEPKPCAGNPGTCIRAEKMFYNAAVRRR AFSRPSEECSRVEDVVSRYALAFPSVAFSCRRSDGSVAGVTKNCVCFPKDSNTLANIR QHWGGEVASRLCEVRCTGESPSEDNCTPESVLATSGPSGEGRFLITGYTSDITLASRK SYLCVFVNNRLVDSTAIRRALDAVYSGVLVRGNRPFTVLFVTVPPDRVDVNIHPTKHE VCLLDEEIIVSQLSECVQGALQASAARRQMDIRQIHSKAVMLGDRESQRSNQPMQPHS STSPFNPLPTGARGGVAAVAPCSLVRVEPQRGALDAFVRRPKPTAEGNGDAPLRSESI EERAGGGGDAQVRSAGTGSSMESQDTATGGNFQVARTADDGKAPSGLTCAASSSPAVT TVTDAEASSGSGARGWSENQSTGTLSMTPVLLLDTTDEDGEEVEYTIEHFKKHRKEVQ DVVSSVIDTAGVGVGCGASDYIAAEDNKAAASTGDAAVRMVEGADSAGSQEEAGFLLL TSVSTIVSNIRAGTSQTAQSLFQNLAYVGVLKGHLFFAQSGTTLYVVDSLRLVRHVVY QRIFLRWATPSLSAVPQLSFEEPIHLSDLLSFALQNDVQLPPSQKRADGGPGSLLSRL GRRLCNWRYMLQDYFAVEISADGHLIALPLSMGTSWPPPLRAVPLFIWRLAAEVPYNA GEIECFTAIARHIAETLYGVQLHSSWLPNVIKDGIRQDDVPPFCDAIRFGLLPCATNS TFFVPPCDALVDGTVQAVVSVDELYKVFERC XP_847515.1 MTSFMLATNCPDELCCALCLDSWKKPVELQPCGHIFCQECIGAP RVCPVCRAPVNCTKQPHRSLVNLAQNLPVRCSSCGWTGRREGSDSHTCPTASWSGSTE APSTTNAQSTCQGSRQQSVADTPWLRYGLTQEEYDSIVALFVFFDSDESGHLCREEMG RLARWLNFARTDDDIDRMFREMDEDGTGTLCLEEFLSWLSKNRPDPSVLYGMSQQQYN CVMMQFRLYDRDRDGFLDADDFSKLAVRIGDVPDIESGMQLFRSITTGHCEKIGLHQF LLYCVGRMFR XP_847516.1 MGTSGSTIDVARALRTMTSDVPISDGVLQLLLDTPLSHEELQRA LPFHTLRTMRHCYTRNFALLLLKCVEVLANTAASCRSSNSKGQVVGVQPFLNALRVMR RILPIAMEDGGTPCEEVDGSSAEVTGSSDNSKADGGDAVPMQASSKALRDKRKRTAFT EMFVQSFFARGCVCNDEQPEETFPPLPGQSEPLGKFLVRLLLDCCFLEGLGAGVGTTP TETSGCTHSDVSLLWYSGVAGQRVAAESKAVTATTHAVRHELLGTLTVLLSYPLLLPP NTPDVFFTEVLLSPENASLLKPLVASTLNALLSYVPYGLLPYTSYWVGEEEDVVLMSA RFLSSVICYPSAPVGNTGASCECDQLEDGSAASGVAVSQGARDFFRCLTREEATHIVH NLQNIVGLRLYAKRTYLPDSQRRFAASNESMMLLWRLIDLSPACSQAFGCEQVTLKYI LPLVDYALDARRSPRLSSRLQLVLFILMRLTGSSSFCLQCNTPFRESIPFSFDTFVGT YNDLIVITLCYFLLMPHHSIRLLSPMCSAVISNMAPFVTTVSPVTAEKLSLVFTSVAT RCLAYESVLSASSAAVENNVVVADEVTMVNVVETVCDVVQRRATGAAGLLSAFVPKRG LIENVAEVFGTRPNAEKEDGQQQHPSFHFTLTSPFMVNTLLAAVATANEAAHRAECEG GDRLAAIQGTVLEDHTPRCQRTAVKRLDPSWDMEIWSFVNHWYSMYQYSAPGSYGDSK SIKMLRFR XP_847517.1 MASSVPEGDAPTEEDYMQKAVQLYTGSKYLLAMKAYERALSTSQ KELLVAPPYTPLESCDPVPQDVLFRYFILYCNMFGANAASANDKQAEDFLLYSLRKLE ERGYVRGGLLTARIEDVAKCSMGSGSNSSIIEDEGMSFLVAVTLNNWGCLLIRRGDLD RAFYFLQLALNSALTEQLARIALLNMCVVHISKCSFQEARLTAIEVCKQEDNAEDNGI TLCSGDGVNKDLDDLLIACAYFNLGIAGEYSSLPEAEKYYTDAECTIVGNPYQQWSKI IEDSHKRFQEIVQQRRNEAIARQAAAALDLDAILGLDFPMRQRVSGVSRKRASHRASR SLNMRGKAKSGASSATGDDKSDLFEPPPINDEVRWAILNKGLENLIVPLMGPREAQER VFGKDETYESPFSFVTFDSLRGVAMLSLLKETPLQIADEAERGCLTFAKRSVWSPFAP RHNQGFIIPQGKGKPNLVPSPVMAGIVKTIVPLPPMSEKTINDALRNVAGLKKVLNSR LSALVQAENAFEERWRATHMIKEALIAFNFVQDFVKLKEAMKTKRLVQQTLEKLCAKR IIRFLRMVVAAKKCSEVSALPLMRARHFEGAAVITLQKNARIWLAKRELQRRRAEKQA YIQRIARMQGMYRARVARASFLQYREERRNELLERAEHERREFAARQIQVAYRRHAFL LAKWRDTGQFKRYVLHHYRYSREHSATVIQKTFRGFLVRRVHGREVHVRRCYGRNCYR AAALRELATRIQAAFRGYLVRKRMKRAVKHHRVKLLAEEVGRLESHRHSAAVVIQCAY RVYAARRRAVELRALRDKERLLRRNRVYPEFRLEEQVY XP_847518.1 MRHTRFFQKRPCNAFYSLPSVMDVTSFVGFVRSCTNRLANFCEE DFLARNSSARCKSFDCNTRGKVREDLAPLLNLREKEVERAVWEEYGLRNERLATCIVP YQIATNIILKRLPDYVRRESAMELKREELRISRDATGFGSNRFIQWVEKDVYVSTGID YLKRLHPRTFTRVPVIAVMGHTQHGKTTFLDTLQETNLRGEESRGSTQCLRAFTVPYI EGRRPTVTLLDTPGERTFTETRLHAQNVADFIALVVSVVDGVGSQTYETIKVALNVDN PVVVVLNKMDLFSNARKAAEAVSKTLIDLRGAGLNVTLVRSHRDLERLRADQSATEVL TDACDGAANLLQLFAPMKTIDHTYRGSRKNPCVDLSRSCVGVCVSAKGDRNIDLFWSV VELMTSAFPPKCMSRAADYTSHCCSIQGVVLESSKHLFDEEGFRTKKGVQDIVKRKDM TSRKQQSRFERNSVSVRINSSVNAVRNKMNSNNPTSSNCLVLTVVVREGCITKGMPFI VDQSKGYVDYMVDAYGNFVDRALPGTAVTLVDAHSTSGCPGAGTHVLSIPSVGERDRI FGYRRLLQWFVECFPSKLHLLRPRGMDVSFPHLGDYGQLKDTTSLEYQLLYGHAPKTH SPQLEVSENAKEHLPSVSHLGVRSIAEYMLEKNAEGESDKGRLPSQTASHVGVMSVGS KLHVERMWSQLQLESQPQSQEAYDEFVNSCIQVGVVFKVDSWHSARMLHREASKLGTR RIVFQVVGMRFGELLVDDVLFFGRAMKIVVCYRTPIGASAELDQYIEANDTWVLQTDD VSDIVSFLKWCAVALHKEHAADEFGSLGGASGSSYMLLSKDTSKGGRNTINGEGRRRR QLLLTPST XP_847519.1 MIRSLGYRLSNPVVTRYARSISLQVEKSCATLADKIVRGRATNV FYTHPSYVMAREKMLYTLWVDSGVFLLSLRAMLPFFCVALFFKA XP_847520.1 MSQHGLVSESVVSELSLELVSYALRGNSQKKEINFCRNKEVDTE FGSKGIERLGLLVGLRSAERLLYREATFGGSTPNDVARFVGQHLWKTVFGKKVDRMKH MDKIYFCLIDNNFRWLQGFSDAKSDQIVSAVDGYPYDSSEKYCGGDGPTDQGKESGSV LPPDSDVLRYAVSILRGFVQVMYPSGPIKIQASRNEKGETQFVLDFRSVAT XP_847521.1 MPVKRSNKVHKFHEGTGDDNMPVVSMFVVKDGDEPFTRIDVELF VNKVPKACDLFLQTCAFPTVGDKRNAKRGSYKGCRFVRLTKEALQVADGSGPRAVPLS ELEAEIGRVNHGVGIASLCRSSTSFDESFFFCLTDNRLELDSLDKRHVAFGRVTGGLD ALMGLRDALVPYVQEGCVIVGSPYAVSEVVPKAKQ XP_847522.1 MTKGGSSVAEDAQLRAADTFEEAQTLLDVMLKQFHTMERNRTDP FISKVVIAGELKEDSSRPFSQVFQELIDAATVKSRNPLSEQSCPPSPPSGIFLDYGTH FVGLFEAVDRYVAELLILMDAECKSTEEPIFSDVRVLYLNDDVVPLGGQYVCFVDKIP GVVAQSEKVDVPEDVIAEMVADDVRKLTKLLSLVLSEGVSKRKNFLDNARTSHPTLFP NTSSLKMYVKSDLFLTLDEYHRVFGKLPELVRDVEVNHPVDQPLKY XP_847523.1 MAEPFSTILGTDGSGGRCKYLNKGIVGLGSYGEAYVAESVEDGS LCVAKVMDLSKMSQRDKRYAQSEIKCLANCNHPNIIRYIEDHEENDRLLIVMEFADSG NLDEQIKLRGTGDARYFQEHEALFLFLQLCLALDYIHSHKMLHRDIKSANVLLTSTGL VKLGDFGFSHQYEDTVSGVVASTFCGTPYYLAPELWNNKRYNKKADVWSLGVLLYEIM GMKKPFSASNLKGLMSKVLAGTYAPLPDSFSSEFKRVVDGVLVADPNDRPSVREIFQI PYINKGLKLFVQALKKNERISDSVKEVLVTQVSEILSSEVSPDAHRFLVSQINYDVTH RGHVNKLGGGNGKSWKPRFLQIVRGQLILTDDEEGNNPKGLNLEQVQGACPVPHSTAK RDFVFALNTVGGKGMWFQAVSHGDMEMWVHAIQRGIGVA XP_847524.1 MATNARILGFNSPSALVAAGDDFLLGAGGGIVIRRKEESSQWIP CEGRYAIGALAFSPSAGLLCVTEVKLDVSLHVFRFPERHHLQCIDNVATVDVQHMLFS SDGEMLALLTCIPTTCVTFYSAARGNRLVKCASTELGGVFCKHLTFPLHRHDCIAVLE PHGVRIACNMDSATFVPSILTLSSKGHYFHSCVWGTEGLYCGAGRGQVVLLDELRTDM KNCINCETPHNVTALLQNGTLLFIGTECGDVFTYNIDQKAQRLLVRLGRSVVRLLTLP DVNDVLVATSTDVTKISVDTAQSVFVRRRSASDTVKLLVLGGLVVIVCLDGSLVTYDQ DTNTAVHNPVRFPEKVVDACVVDSVVVVVYDSGFVRSFTVENTVSVVSQMKVSDCPLT ACTSDGVSLLAVCDKNVVHFIEVADGLLETAASSDIFACAVTNLRWAVNGGQSVLAAC NNGEVHNLRFTGKCDSASAGVTVDMTWRLDFPVNDFLPLYGDGDVINVFVHSVDKDTK MYALERQRVKESKPLRPYFLMRDHECGGNVLQRLGGDSIISAGGDGRVVVRDISHYLM KLPPVPPTKEKKHPLKEFLLRPFGRGGITCLSVWNAAGGFVCGGNDSVVHLVPVGKSP IHYSWSEPFWHQRAISTSPSRASSPSDAETLSAERSRCRIISALADLRMEVEKLLQER TPTVRAEDFLLPEQRQAFNEECEVEIHKAREDDYYSLVHNEFVQHTIKTECWDVMEVQ RSKIVSMTDPETEVHNFHLRKPCAQRAKIQKKIKLMRAIQIKTEECFTLSSLVKRAKE GNLCTEQQVCGPPSDVDELLYDTLDVYTGPRATIQLILLECKILHEKKSFNIRFDTLR ERKSRELNLIAERNGRCVRIMQQLGEHTCPPNVLFTPVFDIEEDPQTVFEVFDSEIDP ELLKLAVKSDDGELVVSPSDEAALKTWMDGLEKVTEVLRVNVPIPPFADNSLEQYVPP EERSDEQQRIFEEYEKEVAEQTVLINEKKELLRGEVAALVKANMTSAKAIDDEIDVLR TDRMLVAQLVDELELHQVNALCLFLLKKTIRNKFLGVKREEEDLLCRLRQLDSLYEYR LKLYLASEARVQDCIEEEKNMITDMRCLPPFTDPDWGERLNRRFTTWRSKYEDGLAKV PEPTRSGVVPIPLWEQYCQCCRAVVEARDKIIHLRGEADALNDEVVEVETEKKKAQFA LDDKEKAEEACRKEVIEKVLDIQNLYTLQQGQVQDENAMVSDDFTDFSIRWVKNITDY NDLIFASFDEIRSLMSRSSQLRQSMKTCSWETERLLYCIGTLEMELRQLHTLRVTRQM QETIHTGAVTSLEREINKMDARIEAVRSVMSKKVEERNRVISKLKMQINDRRAENQYL NNQVQALTNSVEDKKAVWGMLGEHNNDKDRLRERMRELYENSELEELARCQQEELVRL KNEVDRLREATFPSFAVVTRRTAR XP_847525.1 MEFNASTTNERERRIKSVEEVLLRIAKHEGVVGYLVLNPADGRV MRYSGFSSDERKVKKYADKINGFTALAASTIRTIDWKDDLTFLRMGLGLTEILIAPDV NKQYVLIVVQEIRS XP_847526.1 MFMCVHVCARAYVLSLSFFLSLRVVMYAVKPWGKVLLVGSGVGA YAMGIGMRWRESNVQLVEKRRDPSHELSNRRRCVITSQTLKLLRDLGCTNAKVERILQ KAKGWRFVRPDLETIREGSIFPGCADGESVYHCAEGALQRTLRTEYLRFGGDISWETE AFDAFETGDGSGAWSLQKMYGLETEAEAIITTAKGTSLASLVIADDPDRLAVLFDEET GVSPGGRQTTERIFGAADVVIVVGSGLVIHMWHADNVITWRLIRKARREIQDLHSIAL HPAIQEVLGSSTSKSSRVLIVPATTPAIKDSARDVKVSVLGDGLLPVDPFEWRGDRAR CGVEEASALCRAFYGKKYHRGNVPRLLREVEQDALAKRAAILARDLRDAEHFLAVLPI IDGEDHAHTESTFSQLPK XP_847527.1 MLRYNVFYHGDFKKVLVANRGEIACRVFRTCREMNIRTVAVCCE GEPNAKHVLEADEAFVLGPPPASTSYLRGDRIICAAKKLQADAVHPGYGFLSENAEFA SAVLAAGLKFVGPPPAAMLSMGSKSESKRIMEAAGVPIVPGYYGEDQNPDRLLHEAKT IGFPVLIKAVSGGGGKGMKIVMEETEFHLMLESAKREAINFFKDDRVILERYVMHPRH IECQIFFDSFGNGVFFFERDCSVQRRHQKVIEEAPAPGLSVDMRRRIGDVALTAARAV GYVGAGTVEFIFDTEKDEFFFMEMNTRLQVEHPVTEQVCQVRGRPLDLVRLQLQTAMG LPLGFRQEDISMSGASVEARIYAESPRNGFLPVGGRLRYLKEPPQGNRGTVKVRLDTG FRAGDDVLVHYDPMIAKLVVWGDNRATALEGLRTALASYHIVGVETNIDFLQCCLSNP GFVEGGVTTRFIEDNSVNLLQPREIPNNVLALAAVSYLCSQRGTSTLFWPNRQISQGV CFTVGGNPVVVRVTVSTKMCFTCDFDSSSVTVYVESTTNMPDSSTFIRVTVDGETRFG FTSFVTDSEVAVALPQGFYTLALQPLATDFGSTSAQANGSASVLSPMPGKVTKLLVAD GTLVQQGQAILILEAMKMEHVVKASCDGEVKFCVHADGIVGGSTLLAHIASAAV XP_847528.1 MEVDYSVVVSPSTMADLNASPRSSTGAASRSRRQSVGFNERTSS HNSRHSGRISGRSSVRDEAQTSHELLRKQRVVRCMQIERERAARSKMERAEHDDWLRL RSMERSEVVHRMTDEQIVEMLQREAREIERERLEAEEAIRRGAEEAAARQRLRTRQNV NNRNSAKVSQYAKQNSKACERLDQAATEGAASSDCRLEVSGETKSEPGQSLMPQMTET ESCREGASESLFAMVKGTPESLRMSVEARSTPGGKFTPKGSRTYAQRIGSSEATSPAV TAMASVIDSPISVADRSNDIMARLRRAQEDIVALRDEVRNAKQSQQEAEAKLRMEAER ADNEAQAKRMFAKRADTYKASVEELQRRTAEVEEELQTLRQREKNDQTVQKVKDELKM ATEQIRALEEENKDLKDRQQELYNENARLSANARRRASGISQSELLPEFDAVSEGGSG DMNASDTEMRNRGQDREALGSFVVKYNMLVDQFEELQRQSAEQQLELQRIISENKELK NRSHGQPPAASKRQPVSEATVRSEGTSGDGKEIEHLRWEAKKYKQEAIETRKELKALK ESSENEISSLKEWCERLKVKCKRQTDDIKKYMSQNKAVDATKGETKKKSPDTAEDVDG AKAACAAEVGRLQDEILQLRSRLSESTKKEQAAISLAESLKREKVDPYNRDKRKEEEL QRQVDTLTRTVKERREAEARWKESQQATENELKSLLAELTASRKTIENLKHEVSSLRE EQEACTPLKQEHSDENAELRLTVTAINTENEELREKIATLQKELEELRRRYIDLEREA EERNAKAQKEINELRRENENLRRPPATKVNSKKCC XP_847529.1 MQGSTEKTTLEERMIQRRSSLPSLQLPSNSSIPPLSKNSSFAEG RVVSDPKLWTERFNDVFHVPPAHTSPEANCPRGPNKSEEKSPTPEVTCCGLFF XP_847530.1 MNTPSVQCTRDEFDEMAATLVRSNGLWRLHRKKDSFERSVVWLE AVHIMERMGSVGNVERLLVTFFVSYSECYSQPQLHLAPEHPLDAERLSTYVAGACFHP RESCGCYEAPLVTLGFCEELEMTLWGLHPCDTAQLALMASENGVRGNCLELFLLSVAP FVSMTEDLLPTHATGMANHSGCPCDSG XP_847531.1 MYVCVLASGSQFPLTFLLLSLTTKELLTMMRRACRLLQCAERGP FDPYRILGLQHTASKDDIKKAYRRLALRFHPDGGPEGNKERFQAVQEAYEALKDGKWS PPASAKSAEGGNGTGAWDAKVGMYVYERPGSTTENYVNGRTQTLLRMCVVWTTAFILV RSFLLWVFPYRRTSPTVDWIDGVLDGKEYGDVGAVSALNMHGGRVPPQSHSVHADGDE RIGSFYSYGSSS XP_847532.1 MTREEVRIVTPSVLRVQHFGYIFKNGVKCIVVQDPNARVPAAAM NIRAGQLNDPEVLPGLAHFCEHMLFMGTEKYPSEGEYSDYITKNGGYCNAWTADRGTT YYFTVAQDALQGALERFVEFFIAPSFDASSISREVKAVHSEDEKNHSVDFWRQDELLR SLCDPRHPRSRYGNGNMTTLWDEPLQKQVDIREQLLKFFEAHYVSGAACIAVYSAFPP EWVLSIIEEPLSKMRVGEPSPFRFMQPSDPLLRSTASGGLWLNVRTVRKTRSIAMIWP VKSHSSLWRSSPSGYVSYILGHECDSSVFGILRQQGLAVAMSVGPRRIDDDNELFCVD ISLTLDGVRCIPDVIDMVYQGIGQTAHVDTSVYEHMKSEELLSFESCDISGYADHCVE LAYSANETDLPNCWVSGNRVLEDDIHATEEYVAQLTPEKCVITFMWGDMPCSTDDDTP REEGPESAIEEEEEDAEESEEEQCASSVFHTLPNFAQIPCNCATRFHKTKFSLCRVPD DLLRRWAASLHKPTQAGLSLPPPNPFLATDFTLYNEGSSDGHCEPAVETFNTMYGVTL MRKNVWHYQTFKSSIHWCALSPCVYATPKNRFYARVMRSILKDALAEVSYFGVLASLE NSVELSTGGISLSVTGPQQRIVDFFFSLFEKFFSPNVLRGTAEKYNTYSEVALRHLVG SAAKQPYELVNDAFVKVGKVVMYTFDEILNAASSISYKEYLSFVDEYLRSGIYFECFI AGNIPSASYMRECLLDTMEKKLSCMNVPPAPKESIPRFRDAYAFSRDSSKLGTPVVSV MSYPPFNPENPNVAVLLDIYVGEETAMVRALCDCMNKLLSSSFFNELRTKEALGYIVF SRSLRLQGTAHLQFGVQSAVEGVDGLYLFSRIIAFLAAVEEKLVAVCSETDVQTVVSG LIEARKKLPDSADHDCDDLSGRYLNPLGIQGKEAVVAALEQVSPQMVREFFQTYVANS NPHRKAVLTIVNSSASAATDAFNTDQEEVALPSRRPCEGAQPIDGDDVAAANRGCVLN LPDFTAVPMRIAIKRYGSPQEFQANLPVIRCRTF XP_847533.1 MYVDSDEEAAAVSTVVEQVAPYAAAVTPTSNAWSERVVARLRSL QRELCNFNVALPDVVSFRVDGKDFCVRRELLLKDPQSVLFLMAVKHFQQHTADRGVEN AIEVPCRNPMLFGMLLNLLRGYKNPIPEAYRDACYAEARFYGLLRSWSSRYAVVVEGP FCPLPCSGRLFSDVVCATAGSYCRRGKYRITFNVLRCDTMAVGVISRDKEKMWADSVD QWEGFALCWNDGRTVHNFGEAVMERTGVTYAANARIRVELDCDEGILSWCFLERQQMS VVRLPPMSFAFVVVLARSSEVQIVGSD XP_847534.1 MRKSFLGARFSPLVVWNTSYRFTSSTNPGPNQPVTGSANPTATT PKAVGKDEEKEEYSIPTAEILDAVRRRDFSAVQSHAVGIAQHKWKEEHNIPAACVVLT LFTWFFASGSRRRAERACRATEARVEEEAEQTLELVNTLIRKWRQNVQRAEQQLQLIL DKNGELTKDIDRMTSGLRQCYVDTRAAAK XP_847535.1 MPISQVFILSPRGERIVFKDYKRDAPSNTDETFFRTYKFWDGTH RRLIRHSAPEGDCPPFFTEKGVHFCFVKRNELLFVCTSLTNTSPSLTLDMLLRILEVI RDYLGSISEKAVRQNFTLVYELLDEVLDLGIPQELSTKRLRPYIFNDIVPVMRDNFIS MDYLVDSLGIGDILEQTRCSDATETSVMKASAEQRNEIYVDLIERLHAVFDAAGQVVV VGVDGSIVMKSFLVGTPVLNLFLSGGFGVRGYELSSSLLDYVNFHDEADYNKFESERL LSIHPPEGEFTLMSYHCSISATTMPLHLAHSLVELSEHQLELELRVRAAIPAGRYAIN VTVTVPTPPFCTAAAAELKADVSGQAFEHRKEECCAVWSIEKLLGTAEEVCSIRLSTG TAVKPDVHRKLGPISVEFEVPQYSLTGLSVKALDITERSDAYNPSRWIRNIVLADSYV FRTH XP_847536.1 MKGSTSNSALWVTADQRSSERLEEKDVDYSKEPLFFDIPPIQQA DAAVRPVKSTRGIPWRRIRESGVIAPDLDLKPPSPIRVVIDIRDKRERKGSKDDGVFA ALPPRSGSFSLKSSRDVPGPPKTVEELLGAQWGPQCTGRASSDSETGYVSPSLVEVAT TAVTTAAGNKHVNPRFTGDALILRSPRQTRAALLRREFNARTAREKVLSAFAQSTPRS LPTSKRPSIDLSEEYNAVARRRGAWVGVKGFPAAAATCVGSASCLGWPTGLPPRGKSS ASPRTMKSATSLPFDPHSVIERNRECDDKRKVQGSSPYLSAVPSPALRGNCSSKKNTG TATNEHVGGPAPRIASCSIASFDDHFSSTSPRKGTVNNENTGKSLTDWPMTSVARRTN VPYELTSSVDSIDTEEFDYEKCLLQKNDHYATQSHGHPGKNRCKHSLGHDNDSCQLNI VEVSDVTEVDGPTKAGQAGHCRHKMNLSTGSCVPFFRELLDGRGKLQDDTSSIAFETL LEPNAIVGATLSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTA RRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRAEPQTTNERLRDDTS SIAFETLLEPNAIVGATPSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGAT LSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRAEPQTTNE RLRDDTSSIAFETLLEPNAIVGATLSGLQTARRAEPQTTNERLRDDTSSIAFETLLEP NAIVGATLSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRA EPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRAEPQTTNERLRDDTSSIA FETLLEPNAIVGATLSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSG LQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRAEPQTTNERLR DDTSSIAFETLLEPNAIVGATLSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAI VGATPSGLQTARRAEPQTTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRAEPQ TTNERLRDDTSSIAFETLLEPNAIVGATPSGLQTARRAEPQTTNERLRDDTSSIAFET LLEPNAIVGATPSGLQTARIITADISEDRCSWVNSTVSLESLSDAGLGTNNIVGDFMT SDVIVDSLGESPQGNSGVRDEVQSESRDTFTGESSLTPRSLKSCFDNGETDSLPPILR SGARSVIVPNSSRVSFSGAGTRKTLSEVRRPSSDNGDGCVISTRSSSPRLWTPSKIRI RGEDILVPRHHRTENKGVRVDSPAGRSVLSTYATLRNRNYLGLMRRYFLTWKGLAKHC TTRTASTIGQSPTTCGSCVDDSSCGFVPTTAVVASLAAAAAAAAPTSSRAHGVNNPHS DPSKIRNLTARSLKLLLGDNYHSADYVYNHLRRKRLGQPRSHYRMVPPDEDDYVSSGS RHRSICSAETSFSYPSDDVTTPISMA XP_847537.1 MWEAACLDGSLKGVQQETLRFEEDSGLHERDSPTIWSKNSLRYH DTTQPYVRDGEEKQRQHTNVHSIVLSDLDNSGEDAPQMQLNEHSVNTSRVLFTSRADF TSFCSVEEKNPENAEVATRRALTIHNNARQILQAYRALKFTIEQQVYRRYFLKWLQLH WSRGPVGGPIQTSAALPLCSTPQVVKRQLEDGMEGLLWDTASKSTPLSVPSSIKYGLS STGHSGSNRRQELQSDGQPYESTPRNSPWSATKEPSCKLAYGEKHNTPSTSATPSNKD SSLYSCNVSSIHPDRGTPQREEFPCLRLPALGTPRSQSVN XP_847538.1 MEELVDVLKRAQSAVLHAQYDLIQLRHLQDEGKEAIKTSADYVN NDALLHQLRAQSKQEDAVQHLERGVRLMRNLLKDPIQQKPNNNAVPQEAPQQQQQTER SEQELKINAVKQAMQRYIEGQRNLKQQWEWELHAKLTAKDDCIDEVRHNAADLVRRVT ERRVLARALHKWRRRTASLVAGCERNIVAQELGRMRTTLAKSFAAARAVVVLRQRCFH RWHLQMMRSLMRKEQQKHEELSMKLQHAEEELQRQHDVLIEALDAKRPDAGLKLQRQQ HEEILRLRSVVDGMVREFKERTDTYIRQQEELSQYYEERQAMLMEEVVIAEVRRQQQD EVLRCRVFETSKHKQWTEALCGLVADCVKEKALALYNEALAANNDSNQYCNELEALLL QQRHEVIESLKARVQELERRCAEAGELLDGCNNELHERNIVIRSLKETFSQRLLRVTT TQRMHGYWVLWQRHAEVRRQNRRLHDERIVAQQQRLVVMEEMDRRCIIQLEDSALKLV GSWRSCSQAEVLSEETLAELSETSTLCASLQEEVGQLRSYNAELLDTQNAQVSAWFAS KLECLLEAEHARRMELRYSELRTRKALDRMTADHNTAVMRQKCAAMQVQLDNSLKQLH HVGALVAEYCARSAFNCWLLSTERRRHKRVADKTRSCITIGSVRSLDESTQHTISAPS KESGSLQMQPHHSGWDNASEVLLQRGQGVDQQSNVTNMERLRYSTTSGVSSSPTETCV RVGDVEQLMGVCHPPWTPLVAVSGDPHKSPGGIQLNSVMHFILAERDTVIEYTHNVAS AVWSAAADAIVAQQCAHKAIVEELQQYQSFLEKRIAVSGAADAEAPYQQQEAADRIKF LQEQWEKSESNTNNLRLQLEQLQAQQEKERATQKRIESQLASVRESRDRYEKEVEVLQ RRVGEQWEHNELVKEKLLASQQDIKSLQAKIEEEKNRYKEDVGALRRRIDEYKVQDEK AHQKLLDAQKQIESLLATLEEERYHHQVEVMKLEEQVEDQKLQEEKAQRAVDIASASQ SREICMLRAETARLSAQLEEAQKENELNSALRDTIRQYERTLASLEKDVVVRTGEFSA SLQTLADLDGFSNTLLLRHKQDVEQLFELSKVVGGSGGGYLIESAKAASEGQQAEEVD ASTAEGTVKKQEQQNSGELQQRLSESQQLVDSLLSTLEKINEQHKKEVTELQQHLKEH RRREEKMQKAAAAARANYEREMCVLRAEGKRLTAQVEELMASTSTAEQKRELVGLETQ KQLEEAHNEVKGLKTELKTMAMHHAIECDRLRTTVDEQRTTIESLKKGICGSHKDGTG YGLATGDLLQLMEEMVGLACEHTNEKAQLYLTCCAGEWFRQECIEGERRYSRGASELR ECMELLLTTLGRTNAQYQKEKDDNEKQMEALQQLIRQQQQQNDAEQEAGAEEGCKRPT ECPLRSSAGAEGGVTSSQPWLCCRCDSPLQRERSKAEVVQSIARYSDMLQQQHDKNRS RLEQLNKLVAQVDELMEYGRSLSLPEQ XP_847539.1 MDDGPDPLTKAKLYTAMSDEESWAEMGVGIVFIVMRTVANEAED SYEGGCGDRAEQTVGCLEMVDIGNPRELLISTPISLEDIYVVQQETILLWSDVALGRL LSCSFNTKEGCDKIYSEILDYQRSRRPPTEVGGMNNGGDDGGGLVVAGSEAEGYIDGC GGPLALCRNWLVCRENLPTIISVMQTNPQRFGLFVRSHETYIKELVGLFEYCKDDNDQ RGMNLIGTITTTLLHQPFCTDGKIISQFIDREVIDRVLHVVQFAIGRCDSQSGFVDIA QRRATFRNPLMLPESTVLKIHELHACSCLKDMLPVSLDEADASPSSLLNNYIMTNKGQ LVDDICRSRRFLPEAFGRAYDDVKLAFEVVAFLHDMCRTVRMAAMPMEYKFSIFESIV TSSLMPFLRFVVSEAVALYGTTTWEERQQQRPIPADISPGMALSMVCDIISNCLIFYP PGRAALIEESRSEPEGCLLELLLQCVVVSCNNAELQAAVDVVVSCVTCAYPQSAVNVT MSTSSKCDIIRFWLGGEDGKRRAPLLLLTDWLMDALHSIDHVARGSQLEARILHILRV LTALVGAVDGPLSRSLATVLQRCGLLQRLNVALQSSVRSAANVQASVASFIASLLQCG KQWIVSLLLLDDGGALLDAIMVRYLACSPRSNSVLSSSLAHIIECVCRAIRSEKGDML RHPPFHGGVNNPFVHLLGSGEDGSFPITNVSEEVSDTYANSKSICQDTGNRLWQTYGK RMRQRCPALAARLEHSLLETPEEAASVDADTSSVASTLDRGLWGGKDTEFESLMAEFG VDIPQMSQQTPPVVFGAFADQSPPETHSTATAQQNTSRNGFQSNDRCDQENDNEEGDP SSEPPSKRSRSESPSATAVE XP_847540.1 MSLSSPVTTMRPQLHGMKELCRFLGGKRPIERLLIANNGLAAVK GIDSVRSWLYVHTGNTEAVEFTVMATPEDLHANAEFISLSDRHVAVPGGPNRNNYANV DIIMQTAVQNSCNAIYPGWGHASENPALPRECVKTGERVIFLGPSAKAMFALGDKIAS TIVAQSNGVPTVPWSGDEILLPPGVFEVDPLVYEKAYISTAEECEELCGRLGFPVMIK ASEGGGGKGIRRCLRKEDVRDMFFAVAEEVKGCHIFVMRMLENVRHLEVQLLADDYGD CIAVHTRDCSVQRRHQKIIEEGPVFGVDASIINDMEAAAVRLAKAVKYRGLGTVEYMY DKSTQKFFFLELNPRIQVEHPVSELVSGVNLPAALLCVGMGVPLHRIPEVRTFFGEQP YDTSPIDFTRRRCLAAKGHTIAVRVTAEDTDEGFRPTSGRVEEIAFKNSKECWGYFSV GAGGEIHQFADSQFGHIFSSAETREEARRGMVMALRNLVIRGEIHTSVSYVLGLLERP EFCNCDVSTDWLDRLISARILQSAQHNQQDVYIALTAACTLRMLSKRDENHGRYVSFL SAGHVPTTEFLSNYESESYVNRSTNFNVTMGLTSPTEISISLNGSVISVPFRKLKSGA LQLRVGGKTAIAYAEKEPSSLRISIGGKETTFTGDIDPTKLFAAVPGRFVRYLVCDGG HVEEGTIVAEVEVMKMILPLRASTVGALHHKVAPGSTIALGTLVAEITPDDPSKVARP REATEPWPPELLDAADKEDQMERLDSLARARRGAEALWNMLRGYHFSGIPLDRRLKSA FSDLGALSLSSVSLTALNLPFISERVVGSDHATPNYKLRVVLETLISEYVEVERSFVR CNRQEAIHQVRETTGDTRKVFEIDFAHNQPSHHGVIKAVLNTLENNMVLLKSLMPSLS TLVNLRSTGDGTLQMQARYLLRQCSLPSFVERKIAFTRELEEGSMMDLIQGSYGTDLL CATMFDRQVPHLIQLCLEFHVQREYFGQSRITNLDVCTRDGCWYCYYEYEPLEEHDPL LAGVLHCHAPDATAGSAENQGAGVVLMLPDVKVLQKTWAETLNLNLQQTSRCPSVCTV FVSVCRQFTEEEVARLCEGALRDNAEALRQHVKLERVTFIVHGVDRGPRTFTYRSAHD WREDTLIRNVAPLSARRLELQRLENYDVVMYPTPFKEIHVFHATPKKKSVSFLEHRIF ARACVTPRDLGVAPWTVMNEIDAGHMFDICLDALDVIRSDSTIKYPKHNHLFIKMVEL TFDLSSLRKVLSQVGKSYKWRTMHLGVAEVELSFLLPVSSGYVPFRVIVSSSSGASAA MRIYHEWNEGGKPCLRRAQNSEDILMNSLYTSSDSVQEQAADAQKVEPPSGCPAGAVG GTLTRALAKLEALRSLLPSRGDEGDAIDDGEECIPLQPYPLLNAKQLKRLQAWMIHTV YVHDWPELLQYALREEWKQHARGRRFPLSRIPPSVLKATELYLDPADKKTLLEEKPQG HVPCGVIVWLVDINPPSYYDSESNIAGSRRFVMVANDITFQSGSFAVPEDDVFSAASV LARQLRIPFVYISANSGARIGLSAEVKKRFRVAFNDAEEAEYLYLVQSDYDELVSRGV RLAVEKLEPRQVEGDEGGEVRYVIRGVVGGTEEYLGVENLRGSGLIAGHMSKNYSNVP TISIVTGRSVGIGAYLNRIGRRVIQTGDAPLILTGAAALNRLLGKEVYSDNSQLGGRQ VMVPNGVTHWYAKNNRLAAETLLRWLDYVPPVVHPLRCSPRILALRQPDPIDRDVTYE PSGVESYDPRGLVRGVGDKLGLFDRDSWVESLEGWAKTVVTGRATLGGIPCGIVLVET RPTRKCKPADPADPTSSEAFVAQAGQVWFPDSARKTADALDDFHRERLPCFIFANWRG FSGGMRDMFEEVLKFGASIVDNLRVYNCPVFIYIPPRGELRGGAWVVVDPSINHCGAV EMYCDGSARGGVLEAAGIAEIKFREADVRELIRRNEPRLRSLSPDHRHAEENRLLPRY NDVALRFADLHDTHVRMEATGVVRGVIPWKDSRRRFYEKLQRKLKELSLAATLVERRM AGDLADGVRYLEQAFAQKHPGVLWGSDDALQLQWLIEYESELNVSTHNIVSPTSASAE ILEALRRHVPLHGEQNEAGEGLEGCFEELFKDERMRRAAMQALERTTAK XP_847541.1 MTAHKRATNGDNGLPVPPVDDDSEIEDPLEGILGSRTGCRYVKK KLLGQGSFGSVWRVEETATGSIFAAKVMDTNNMSAKDRGFVINEVKCLSRCNNANIIR HHASFNRGGMLLIIAEYADGGDLCRQIKVRQHAGRHFKEHEVLYIFLQLCLALDHIHG NNMMHRDLKSANVLLTTMGLVKLGDFGFSRQYEDSLSNPVGTTFCGTPYYLSPELWRR APYSKKSEMWALGVVLYEVMMLKRPFGGRNMDELINNIVHARRAPLPNMYSDELRRVC DQLLSLDPAKRPSLRQLFQQPFIRRGLDSLRRSVETHKKIPPRTFSEIARNIDEVLRP GLPEYRGSSVTPHRGMLQRHTADRGWKDCELSLNAGGVSMRDVETGGVETVNLETLTS VCPVDAATAQEKYVFALKNQTGKAYWFKDLAEDSYDYWISALQKAISH XP_847542.1 MDYVSELYAMIRVRWQMRRMAGELQADDEDLRFCYDVLRDVSRS FALVIMQLKAALRDAVCVFYLVLRALDTVEDDMQLPLEFKLRELPLFHTRLHDHTWRL DGVGEGRERELLQKFPHVSAAFSRLDPSFQSVIEDICRRMADGMCDFLQRTDTCKANN KEISEDNCHDGKSEKSAVETREDFDLYCHYVAGLVGLGLTQLFVRSGLEKAALEENMT RANHMGLLLQKTNIIRDFYEDICESPPRVFWPREIWGQYTDDLHAFKGITRPTNGSND TACGEGRKYSDEEKEIIKSKAVDCLNAMVADALVHLPPVIEYLAELRDPTVFAFCAIP QVMAVATLALVFDNPDVFHSRVKLTRGATCKIIHNATELSAALKLVRTYAQKLLASAH AGVASHEAVAQSLQVAIKTMDEQVLRHNTKLVEGPTRRVLAQYSALGGGLLLKVVDGV FGYLGR XP_847543.1 MNALSGKFTCAVLLTSDPSAVGSSTKVSVGVQNIAVVLQHQEND IPLIESVASHIQRFCAIYNISCVDCGRDIASLLKESAHVVVVRCEDRAATGEVSTQLL QQTSEPIVIVLEIDCSSPSKWDIRDSESNSGWKWLQPTPSCLAELQKHGGCSSGVWLQ AAMRCSKPVVRVQLPPSSQTSVPWASAVTLDGLMREVGYKVGCLPKYGS XP_847544.1 MRTRRRRSQVYAFTLAVLIAISCLVVCCDLFFLSVIHLPLEEEK ASAPINVDECLRLTAPSTVSIWQEREFLVIVGIPSVDRDEWQKRRNLQRRTCWQYAGV ATLENNFTGELLPLYLLAPHQLNGYEISESLRDEASRTNDVVMLPTNDVCSFSRRKIG EGGSWGVESELVMSRKTFLWLQFAVTAFPNVSYIVKGDDDVFVRVPQYLADLRVMPRN GLYMGRVYGATFFWRSGGIPFAAGYFTTFSRDVAEAVASYRPLERLLKAPYSIWRMRQ YLSMSVLHEDVMTALVLQDKIRYKGLIIVDAAPCHFHNAGKKGIRASLIDEYVVVHHI KENDYEVLMNHFADIAVQPKPSKVMWRSENYAVMQCFATLRLRRSALLALLSALGTTF RRHP XP_847545.1 MTQRKVYPPYSWRKPRASVLVLTTTFTMALIIIMWDIYHISEVH SNSSYDLLGTFENDYYLTLTPPSTVSIWQEREFLVIVGIPSVDRDEWQKRRNLQRRTC WQYAGVATLENNFTGELLPLYLLAPHQLNGYEISESLRDEASRTNDVVMLPTNDVCSL ARRKIGEGGSWGVESELVMSRKTFLWLQFAVTAFPNVSYIVKGDDDVFVRVPQYLADL RVMPRNGLYMGRVYGATFFWRSGGIPFAAGYFTTFSRDVAEAVASYRPLERLLKAPYS IWRMRQYLSMSVLHEDVMSALVLQDKIRYKGLIIANAAGCHFHNKAKEDIREAVSNRS VVVHHIREEDYEVLMDHFSNISERPQPYGVRWLRNDRAMVLC XP_847546.1 MPQVDLVKLLQICVNGALAAQQYILLDLIQLRSLEVSRRDVCIA DLDQQEIARLRARLKAAVSVDVKGKLEYKEGGSVDDLVTTADVVTQGLMERLLAEAFP DTPFTIIGEEEATTTDAIKIQVERCVEAFRDVNAVAPLQKELEAHASSDSRHVSASTV EELRARVGVFIDPIDATSCFVDGTWGAPMTLVGITVDGVPVAGVSNRFFYSTVDDLTS GGNAGCTGLSYVWNDPSAGPFIVHEGRLATPLWSLGRKTTSNLAMLRVIRSGTTSNKR FEQLLARLQPVEPRSARGAGNKLMLLVVSMLAASDGAAAAACDVFLAPPNSISKWDTC AAHAFLLALGGDMRTLRGELIRYPLRGTTNLKTLPDGVVGLTRWSMTEGLRRLGWQ XP_847547.1 MPTPQQSEGKDLHRRFAEAAKGVTELYRNATLSYNAGYRDALLL VERYALIAAQSGEATPHGALRRDDRTSDGGTDRNSHLSDQSISNGDSISISSSQDSAI PASRTSFAQWGTQSPQLLNIKQLLWFIQNIVKSHDALTGAPRTLKRRRRRSCSSAGVR GCAVGNDNEDNTSSGEGGGSGGGFGGLPIPRFRSPQHRAENWNELLLLGEGRATPHTD ADGINSEGEL XP_847548.1 MLILIFTFSLVSFFIPANNTLYVESAQAIDHECKARAAKHRESK GRKTHSDMPPHRKHPARGRSPRNKRYNGEGKPATKRGRSWSKQPSGPLTTNCDGEASS YTTAGTPPVASDHKFCEKTRSTKPSLEVTRTHIAPNTTTAAEVIPNASVTSAVGSAGG TETAATPTAKGADNLSDTDMEKYNDLQRLLRRIIALEFSERCTQRSITVVTMICETLC TDPVAIVKVMEVVDQCFGEAVRSQEPRLLMHYWYILDAILKHFNGKPHLLKAVLVAIP HFVRQYLPWRGSNLAGQPWSEYDKYRPAYEDMLGTWKVVLEERALEEIMKLWREGMGN HYADKVGNVGARVPLGNATADSQNSDAAH XP_847549.1 MAAVWRSFKFFNAELVKNPLCRMEMTCCCCGEGLVFAGGSDGAV WAVDRSSNNEGVKCEFGAYKGPVLYMKYVQSRNVLVTIGDDDGEDVCVIRVWNLEAAA APGRISPPPCNEHRLFSSKHPPPRERVPLCPIYDTGSTSSPTSNKRRDATDCAPTTSI NTVVASFDVADDLQCMAVALVSGDVILLHDDLERKKALRLQRLRSNIAKGPIAFVGFT RATYTPDATTKKTKNQQLFTLSASRRGEEMVTHIMYSVYADCVTVWQVSSAGCEEHSC DSFGGALRGRCCMTDDGQLVVASNASDHITVFGNKETLSPRKQSQGSFVSSHFSSSQA VEVAGRKCLLLSHRGYVVVLAQSDAWSDRFVLQCYDLPNRLRCLSRSQENYCTHVEWM LADSSDILVFCREPIRHVEASNSGSVTGYESKNIRTAAHACYQVVRLVEVGLEKRLQQ LFQKECYEIAQSIACRSQSTPGGRHRKNQQLLDIKKHYGDYLVSKRDYTGAMRQYVDI IGHVEPSYVIRVFVDAQQIVPLTGYLEELHNTRDNQTAQRSHTTLLLCCYIQLHDEEK LNNFIRRSDVRFDPRIAIDVCTEARYYEAALYLAEKYAKPHDYVTVQLDHLNNPKKAL EFIQALCLDDAEAILRQQHGKHLVAALPRRATEVLINLCVGWSGPARRLVGNEVATSK EGGPKHHNRGDAKDFLHILSDFPVCLLHFLRAVVNSGVLDDADPKREMVIYNTLLEMY VTRELKHATRGNIIPMEEESFVAESCEERRKQAYGFFTVHSGRYDPYHALLLAEQHGF EEGVFVLLRRLNCSTELMQYHAKGLAHGVPTLVRQIAKTRLIEICLNSTNDGVEQSND GSGNGPKSGGSARELWLSLLSMLAHTPESDAQDISQVLGHIAAQDALSPLSVLTTLNS SNPELPLHIFRDYVVRMVQREVKRSESIKARTEARLKELHELHGKLDALQTRVTTLQA FNCNHCGSPLDLPAVYFSCQHAFHQRCLNDTARCNVCSPANFEFGCVDQPQYDGEDES DKFFHCLGGFSYEKGFDVVVERFSNGVTGLQGPRKMDAPCDSSSIVHGLLNSSIDLTS SVNRSRGPSETVLLSDDDTYDESGELMKPEAVELW XP_847550.1 MSKKQGAQGLETSPELSFIKQGHLNLLIHTKDGEQRLVPVDSLA FIDDPQLVRSRTMDQVNFNSECIFKVTLDFSEPIPCIEETAVREMTDWVLCSCKGNNA FYSPVEKRLILQSCTVCLQSNVRALVDPFVVMLLYNEEGWVVDRVLK XP_847551.1 MKNNDANHMRKRSREDPTNAEAAEFSEDQGVGGQQTEVKGTKSS STSRVSPEIPDESACIYEYVSGPTAVSTSGGNSGNSPGSTHPSERKLKQATLPSYGLV NDTAVFRKELADRDAQIDELREKLAAVETRMSERETTLVNTQAQLQEAVDRNNRYQVV LREEMLRAARQERCDARRALHLKQFELGQIAVWHSNGREVWVEGNKMRQLTMQLEELS VRRDEVEELKKTAEKRARQILRSNDEDSMAPEAQAALMELQEAALLYTAEFAALGSAI QSLKQQQQDLNHEKKVFLKEIRRVNDEDASAFVAVPALGHNGRYVMMHLLGKGGFSEV WKAFDLQEARYVACKIHRVQREWSQQVRQHYRDRAVRELKIMRMLEHPHLTRLFDAFD HGTATFVSVMEFSAGTDLDTHLKRCGTLREVEARLIIMQVVSALRYFAAQHQPVIHYD LKPANILLHSSNQSSLLIKITDFGLSKLIPKRDGASDNPTIELTSQGAGTYWYLPPEC FDTTATPRISNKVDVWSCGVIFYQMLFGRRPFAEGESQQQIWQNKLIVSSAHTLTFPD TPRVSQEAKDLIQKCLEYHPADRYDVMQLSQDPYLQRNTRRLSRTERTLPAATQNSST TVVTTAAAASGVTLTGSVEEKLSNIP XP_847552.1 MELSFPFLLSTVVLIVFYTVDFFFARLLNVLKQDHLELDECSPE ESPDLDPAFVVGSLKQVAVEMLEYQRRRFHMGETGVPSTAADSDPVPSATVDFCNLPT AASSHPSENVVHVVVIICGLVGPFATVCQALFPNAFGWCRFNFAYFVQYIGAFSGVAS VSVYTGRVWHCSSYSEVSRKQWLELMWFRLDFMCLMSVAAFFGAGSWVVTICLLAIGF YLAYRVMRIEKWMQSLQVETQLVRGEVDVDRVYVPGTVEAAKALGTSEKQGYNAVV XP_847553.1 MLRRTLWYLLEKDEPIVIRRAVMAKARPHIGGGVTSNATSSTGG TVSTSMMTSDVLSRRNGFIGSEPRSIIDRISSPNGSSVPSTSSRRSALLPSEREGGKA SGDASDDAYKFLLHHIDSEIAALKRRVTTVMDQRKNVEDSQNRRIRDLFECIERPWLL LASIPPPQLPNKALDVFAKEFYTRQNGTSTSGWEEDAIFLSTCKRNWRVLTTLQRKPY EIAARRNEQTRKELKKKMSNGCSYFEKLCEQTKEWTAEMVRDEMRKAVAAKTRSSPVA SKSRASSVAKKPVARRQGANKTEPKAAASKKEDKIVEVLKFEPVAKKEPKGAAGRSAR GRPLKGQIKKAAKGKAPVVAKKGKTSPRPVAAKLKKVKKK XP_847554.1 MEFVPLESFEFLNSLLQGVEAQGCLMTVRLEAFTCRCTRKKKLL AASIAEYANKTTPPLRPLCSANAPVPPLLNLSDDSVHVVPTEPVEPSDIDDRLVFLVA ALNSIYGEDGYDFSVLTEEDFVPCDEAHVRAEVNNTLRSFPSSCGPAVGQFWVRVTEH ASDASQGCEYFRFASPSCDPMASRALFSQHYFLYNKRTRLLVSLLIFAEGNLYRGDDG TMIENSLYYETDDNSNHQRPWLSSPDGASEDDEQVLVGKNVHYYYRP XP_847555.1 MTARRRHRQRQANGHPHKHGVSHPRVTSQGEEQQQCGDSYGAQT TQQGVDYSYPTSPNAQPVSINHSELRPVKGEGERLEMSVSEEVKQQQSAEQREAASSA FDNNIEQHMESQTVTKHGAHDTSTRSRRGRTTRSARGRAQVGGRLSKPKAKTAALEAG GSFAPLTRAAAPQTVVSALEEQRRLRNIVAAPPAPLVYEDPAECDDSEVQDTAHDEAV EVKRQVGSFSRSFSTNYPKGTTEVSAPAAELNDTKPIFGNSERDSSQAATKGPEALGT GSHSGSETQSGTQAEEYKYVGGSAYGRQRTANVGRAFGGKGTPKRNHIGDRTRGSALP SSANAEIYQTGEEEEGTARKQTEGQRNQVETGAVAQGEAEGEHRRVRVESTKKAVMRG GADEEVVYKGAGEARTDVEEEAARRRAEEVARRRAAAKDVLMRRAEEAARRRAEKVAQ RRAEHAARRKVEEEARKRVEGGAQKAAEEVARKNAEEAARKKAEEEARKKAEEAARKK AEEAARKKAEEAARKKAEEAARKKAEEAARKKAEEAARKKAEEAARKKAEEAARKKAE EAARKKAEEAARKKAEEAARKKAEEAARKKAEEAARKKAEEAARKKAEEAARKKAEEA ARKKAEEEARKKAEEEARKRAEEEARKKAEEEARKRAEEAARKKAEEEARKKAEEEAR KKAEEAARKKAEEAARKRAEEAARKKAEEAARKKAEEAARKKAEEAARKRAEEAARKK AEEEARRMAEEEEEARRMAEEEAVRKRVEREVARKKAEEVARRRAEQAVRKKAEEVAV RKRAEEEAARRMAEEEEARRMAEEENLERGRAVDGAARTATGNETTLTEQQRRERAKK KLERYRKQALARNQRPAWRESDAAAAAAAAVAQGAADVPFVPTRGNTILPSEARAAST SEEELIAGALTFPNSNVAIEKFDENQLVVRRQSLDDPWDIGLRFDWTIKTLAIGSLPT YRLTDPRRMHPFMRMYQSKPVWFLEEVNGTKANNIREVMEVLKKSLLAKFVFRKPH XP_847556.1 MKGLSRLDVFPKFDTRFEQDARQRTALGGVLSMASILIITFLVV GEIRYFLSTVEQHEMYVDPHIGGIMHMKVNITFPRVPCDLMTADAIDAFGEYVENVVT DTAKVRVDSSTLKPLGKARQLVDLKKQPTNGNETGNENCPTCYGAEKNPGECCHTCDD VRRAFAERQWEFHEDDVSIAQCAHERLKVAADSASAEGCNLHASFSVPRVTGNIHFVP GRMFNFFGQHLHSFKGETIRKLNLSHIVHALEFGERFPGQNNPMDGMVNARGVKDPSE PLIGRFTYFVKVVPTLYQVVSMANTGNLVESNQYSVTHHFTPSWAAPKEGETDNPNSD PLVVPGVFISYDISPIRVSVTRTHPYPSIVHLVLQLCAVGGGVYTVTGLIDSLFFHGI KRVQEKINRGKQF XP_847557.1 MIVPSLNGRLFSFLFIFLTVGQLSHPSPAEAFATKIEPHSRECF VESVPAGASLAFLFRVTDGGSFDIDAVMTATTVAPLETLDDTSRLHFSEKLRPLRDNA QTTVINEWRRATEGSQTYTAPTVSETKHGLPAEVTVCFDNSFARRSPKWVSFQFLRHE GLEEDPQASMTAEAKVEAELHKHGSVLFELATATERLRLVGESDRVKHESLARIIQAG LIGNIVLLAVMAVYQYRTLTRFLLRCKPQ XP_847558.1 MLRRLHTRILGMGSVCGMPPSPMAEVFLKSLPPPAQVDLVKYHR FEQEEANRMRVPRCTTSPEPFYDIQSGAAGHKHVVLITREGNLITFGENRYGQSAAPG ESVRGGGRLGENRQQPLAKHSDQHVSAAPMYVDLDGAFAGGNKSVACGSNYTIVYQPG GRRAIGFGNNHMGQLGIGHKKQVDASRGFAEWDPTAAWWGGNTNVIRTITCGFNHTIL QLSSGELLSFGSNTWGELGIGSTVSPMQPTPIRFFEEKGIVVVKTVAGNSFTLFLTAD GRVYGCGATNAGQLPANVFEPAPVLLTRSFQQGCKVVPGRVHGGPTQPKLIRIKDIAC VGSMAVYASRKNELFVQGALPDYGYQVPSPRFQLVDQQPAIDYLTEMMEHNKKKGGTR WMDDKGSEAHTGFDIVRLVQGPSTLLVIYKNGCVAGLGANAEGQLQNIRRSQKGKEVN LAKAFTANGLLPVCFPSKPYEAGGKQTAALPWFTCGAGFTLLFDNNEVYDDGGQVKPI ELPPPAPTSRRGAAAALRSMR XP_847559.1 MCGIKYCVVLFALVLRTSIFGEGKIVNEDEFGALCGMINFAKGA LQRVEEAQEVNKSLTKIGSRCLELAGDVKLSNTCIDNNDESCEYSKVFWDAAKLSMSE GNAGKESLDDEKLEKVKQVASAAETIYGNMTKRHWVLNGDIIKQMLNRALYGIPHQPK KMRERSATRKRVCEGDVSQPEVNQGPVSLSRDLLCLCATDRKSRRKTKLCCENCVSGE NRRVWRPRNDAQKRWNFLVSQCSNVEGHKEGFAKLVEKFRRSLNHRVDGCSGTMYVLG KHKKQLFWPLEFLSGYVPGPGVHYIINTRAGMVEDIPWLGELREIATLMGDLSEDNVE GRGLKSAIEKLEGDLEELFPTGGVRRG XP_847560.1 MRRVLLFLCVFSARSNLALGPDFVEIELQWPTDEVCRKDGVHRA VCKKLAKYVGDSLSRHCKLGSEEVRLVAVGNSCPLRFTTGGRTTHYLCTHDRVDQIIK KEEEAHREAGPDCSNLPDINVGESNHESQRTATVSAPARASTEKESKEKPKEKPATET SDNVTEKVGQEKPTIKGPQTKTEKAVDMKSTEPQSNQNNGSIPTIFGGRCFFIPFLYS TLL XP_847561.1 MCGIKYCVVLFALVLRTSISGEGKIVNEDEFGALCGMINFAKGA LQRVEEAQEVNKSLTKIGSRCLELAGDVKLNNTCIDNNDESCEYSKVFWDAAKLSMSE GNAGKESLDDEKLEKVKQVASAAETIYGNMTKRHWVLNGDIIKQMLNRALYGIPHQPK KMRERSATRKRVCEEDVSQPEVNQGPVSLSRDLLCLCATDRKSRRKTKLCCENCVSGE NRRVWRPRNDAQKRWNFLVSQCSNVEGHKEGFAKLVEKFRRSLNHRVDGCSGTMYVLG KHKKQLFWPLEFLSGYVPGPGVHYIINTRAGMVEDIPWLGELREIATLMGDSSEDNVE GRELKSAIEKLEGDLEELFPTGGVRRG XP_847562.1 MMGKVLLFLCVFSTCSMLSAGEDLVEVTVLVPYGVPCRDRHSTE HLCPRLASSVKDAMKKGCKESSEDDAKKTAGDSCPVQLNITGETPTYYTCTRGTLEEI IKKQEELQKSVLSAPGHDCSKLPLHIVLVSSQEHKQAATVSAPARASTEKESKEKPKE KPTTETSDNVTEKVGQEKPTIKGPQTKTEKAVDMKSTEPQSNQNSGSIPTIFGGRCFF IPFLYSTLL XP_847563.1 MASYMLLLVAIVWHCCSVLVVDAKEGTTREMFLGGGLWVVNEGC LSVGKDPKSTYRGSRRCILEPKDTSPNIRKEGMKDVHSFRIPSLIEVDGVIIGIADCR YTSSEDFAFIDTVARYSADGGRTWKTEIIFENARVNKDHSRVVDPTVVVKNNTVFVLV GRYNNSKTWWTGQANGDDWDILMYKGTVQKTVDESGNASATIVWKDPQYLKSLLGTVG KINGRSPIQYLGGVGNGIVTPNGTVVFPVQILDAENYLTAMILYSDDDGKTWEFSKGA TPIGTTESSIVWWGERLLLNGRTDKVGRYVDAGYRKVFESSDMGATWVESLGTISRVI GNSPERNQPGSSGSSIKVTVDDVPVMLVTQPKNIHGKWIRDRLQLWLTDGNRVFFVGQ ISVGDDSSPYSSLLYTKTGELHCLHEESIVGVVSLHLVHLVDELELIRSTVRLWKAQD RLLAGTCSSDVTDETTCTGIPTAGLVGLLAGPAVGTVWSDAYQCVNASVGGAAVIDDG LQLSGKNDSSVSWPVSEQGQDQRYHFANTHFTLVVTVQLAEITQNETSLVGFVTHDGQ TSKYITLSLVKDVCRHGAGQVSGAVEDEQSPGTNGIHQVALTLSAGKVFAHLDGKHLP DMDTIVTGAGKLLNISRFFVGHPGVQDVAAGDGVVVKNVLLYNRQLSGSELRSLYLNN NVIAVSQLSPEGRSPSLLKDIAEGIGNEMNENCASLKLVVISDGGVRVHRLLYLLMGL GLLQLLSA XP_847564.1 MASYMLLLVAIVWHCCSVLVVDAKEGTTREMFLGGGLWVVNEGC LSVGKDPKSTYRGSRRCILEPKDTSPNIRKEGMKDVHSFRIPSLIEVDGVIIGIADCR YTSSEDFAFIDTVARYSADGGRTWKTEIIFENARVNKDHSRVVDPTVVVKNNTVFVLV GRYNNSKTWWPLMNDGDDWDILMYKGTVQKTVDESGNASATIVWKDPQYLKSLLGTVG KINGRSLIQYLGGVGNGIVTPNGTVVFPVQILNTENYLAAMILYSDDDGKTWEFSKGA TPIGTTESSIVWWGERLLLNGRTDRPQSNVDAGYRKVFESSDMGATWVESLGTISRVI GNSPERNQPGSSGSSIKVTFDDVPVMLVTQPKNIHGKWIRDRLQLWLTDGNRVFFVGQ ISVGDDSSPYSSLLYTKTGELHCLYEQIVDSGVNIYLTHLVDELELIRSTVRLWKAQD RLLAGTCSSDVTDETTCTGIPTAGLVGLLAGPAVGTVWSDAYQCVNASVGGAAVIDDG LQLSGKNDSSVSWPVSEQGQDQRYHFANTHFTLVVTVQLAEITQNETSLVGFVTHDGQ TSKYITLSLVKDVCRHGAGQVSGAVEDEQSPGTNGIHQVALTLSAGKVFAHLDGKHLP DMDTIVTGAGKLLNISRFFVGHPGVQDVAAGDGVVVKNVLLYNRQLSGSELRSLYLNN NVIAVSQLSPEGRSPSLLKDIAEGIGNEMNENCASLKLVVISDGGVRVHRLLYLLMGL GLLQLLSA XP_847565.1 MLLCRPERCFTSPPEKSQGDRDVATTAFPGPYPKVKRSHANRHH GTTRCGGTSALDAAELSRLCLTLPVLNAEEHHAARKEIKRVPLVPGRKGYSSDAEEKA ITYLLNHCRFPTEDWWTSLSLLARWRELPQQKLLKESPLGTSALFPRHMSMAASSQLM RCLSYTKHAWVDVLTLYETTTRNSAVLGEVHDVKEDDKGKSCCSDVSHQALRWMRHVA LTSLLSIGRWKESLQFYRHMLYQREMPSYICTGHLIQRLGEVGRWEPVCNIFSISLKI LKDARERAPAKKYPEVVTYSSQQMMSRGTTSKRRSEWGTMFSMALDVVCRLCHQPYVA KLMFDQAYSMNGSGVLFQWDGNFLSAVQALHSERERADMLCRARAAGQLDSFKLVRGL NHHQKWLDAIAIFAEAVETGQLTKREVGQCRLNILHASNVTNIQGIVSRIQEICKRSA DSLLLNDAEVECVFSKHYNFKTFSNASVPMRVYTVVPHWLFCLRLLSYNYPRYLLEDD KVPRTGQKRLPNARMMSLLLRHSMPWTVAIRLLTIALRLGEHSNTKAMEATSDSPSAA LMVNHVAEILYAQGQRARAISLLDSVSHRQSLSPSAKMLECIPLTMLTAGGKTKGGTG LKVDNKVIYHYIQTTTNWMRALSVIEAVVYQRSSSTNPLASLPASVHCSVLQMLQRCS LRNAWLLSFFYFKYSMSAVRLLDKKQGTVLCHDQPHLLQKEDLRNTVYSVMYETLLNI LKALIDESDKCKENACFELVSAVMTFCGGRVPAHMLLPNQMDRLLPRAAGAFNTVHNV ELRVRIGLKLVQSVIELLSKEVKKQRGNCRDSDVLSLAVMFHGLQKLLCRGTEHNRRI RYTDELLQLGSPQPTPHMGGRGTIMQGKPTTCGVEDSVLWRYSLELLALQCEWCGTCT MAPGTLKLVYRTCAYSGGQWGAALLATQYILMEQQRDTAMKGPVGPDNCSLYCSLFGW EKALGFWSLHFPHRALSEVLPYPKGVDYCMSDTER XP_847566.1 MGSGVGRLGEKSHGHEGGVFRGENGNKTDNTIAAAGDSVGENGP RLLDGLPAVSTARGSKIAEDDVDADDEESDCDANQDDNSRTQRKKLALIVNNGDCAIA PESSQQFNAVPCTDHLPQSTHPAGGEEMMRVQQTSNSVPNPAEAVGTETIGDRERTRD SADCQLRLSASRRRITHGRDDKSNSTKTAPSSEFILEMDKTANGLSDYPSDGPARSST QVSLRERGLKDMTSVHNPQATPTSILRKNSCETRELSHNLQSNASDTNTIDGSDIPSR SPRMGPSLPVSTNINDADMLSSHEEMEDDLQRISALIRRMHQQGNDPLPGEAGGASVG YYP XP_847567.1 MLRHTRFSFTHQRPHLVHWQSLGKTHFDVCVIGAGPAGIAAALR AVDYNKRVCLVEAKRIGGCDLWNGTLQSKTLWEMSNFLGRARGSSAERVYGTTISNFM ELDDERMLQTLQEVSETREKQVLSALSASNVALLYGRAAFASPHELEVSSREAKEYRT VTADYFIIATGSVPVTQPHVPVDHKNVVTSDDLMTLPLPKSMVVVGGGALGSEFATTY GRLGKTKVFLLDKKERIMPKEDDDVAATIQKGMEKHGVEVHQDCLLYSLQSLTKSESD GQETPNDGTSGNGVRYTIMHRKTHELQTYEVERALIVTGRRPNYFGLGLRNTNCEVRD GVLVLDEFGRCVNQKHIYAVGDATGRDRSVSMGEAKGRLAVDHIYSPHITEPLHPDHS RIVFLDTAVASVGKNEKQCREKNVSYVVAKYGFELCSRNVAASNTEGFVKILASNDSK KTLLGVHVVGWSASTIVEFATAAIQRKQSAYELSEMLTAYPSVSQAFLECLRVILGTS MLKPGTFPGLVCNTWTPSDCERGRGYCSLGNAQGGGKQ XP_847568.1 MNCREIIRKLLLNPAHNNAATRTAQGDNGDSNQRAYTRISRLAA FQSAQTQESTPKTNGTGRATTEGLTEAEVRWLVMESRALFMSQPMLVEIAAPVRICGD VHGQYTDLLRLFDLGGFPPDANYIFLGDYVDRGDQSLETICLLLAYKLSFPETFFLLR GNHECSSINRIYGFFDECKRRYSVRLWKQFTDTFNCMPVAGLVEGRILCMHGGLSPEL TDLDQIRRILRPTDVPDSGLICDLLWSDPSTNMESNWSENDRGVSWTFSESVVKSFNK KFDLDLICRAHQVVDAGYEFFAARQLVTVFSAPNYCDEFDNAGAFMCVDENLMCSFVQ IEPTRTLLRYFF XP_847569.1 MSGGAALPVSQMELHKVNEVQFEIFKERQIKSYAVCLVEHAKSY ERGRPVRGGINDLRMGTTDFEFACETCHRKHPECPGHFGYIELAEPVFNIGVFDLVLQ VLKCVCKTCGALLLNTREQDVHKKLQHMTGLNRLRQVAKMAEAKCRVSTSTEDDMGID GFDSAPFNGGSGMGPGATRGCGASQPRVSRFYGIYPTLVIKAVHEEQDAEWHADKVRQ VLDRVSDDDARLMGFDPQRCHPRDLVLTVLPVPPPQVRPAISFGGLRSDDELTHQIMS IVKRNNQLRRDKESDVQAAIDRSRALLQEHVATYFNNASTYYKPTKVNDTKKLKSLTE RLKGKYGRLRGNLMGKRVDFSARTVITGDPNIDVDEVGVPFSVAMTLTFPERVNTVNK KRLTEFARRTVYPSANYIHHPNGTITKLALLRDRSKVTLNIGDVVERHVINGDVVLFN RQPTLHRMSMMGHRVRVLNYNTFRLNLSCTTPYNADFDGDEMNLHVPQSLLTKAELIE MMMVPKNFVSPNKSAPCMGIVQDSLLGSYRLTDKDTFLDKYFVQSVALWLDLWQLPIP AILKPRPLWTGKQVFSLILPEVNHPATPQDRPPFPHNDSVVMIRRGQLLCGPITKSIV GAAPGSLIHVIFNEHGSDEVARFINGVQRVTTFFLLNFGFSVGVQDTVADSDTLRQMN DVLVKTRRNVEKIGAAANNRTLNRKAGMTLLQSFEADVNSALNKCREEAAKKALSNVR RTNSFKVMIEAGSKGTDLNICQIAVFVGQQNVAGSRIPFGFRRRTLPHFMLDDYGETS RGMANRGYVEGLKPHEFFFHTMAGREGLIDTAVKTSDTGYLQRKLIKALEDVHAAYDG TVRNANDELIQFMYGEDGLDGARIEGGQLFPLPFRDDKEMEDTYKYEYDVDGTFSGKV GGNYMDPHVRKMLRADPQNVRKLQEEYEQLTADREWSRKMLDLEDRDKLKLNLPVNPG RLIQNARSTMGKRSQVSNLSPITIIDHVRKLQEDLMKLFPSYHRGGDGYIRNTLSRER IESALTLFNVHLRQLLASKRVLKEYKLNDRAFEYLLKEIRTKYHQSLTTPGENIGAIA AQSCGEPATQMTLNTFHNAGISSKNVTLGVPRLLELLNVSRNQKHASMTVSLFPPYDE KRNAQKAQHLIEYCTLESITRRIQFIYDPDPRHTVVEADRDILELEWNVMDESDAELR IQEVVAGSPWVVRLELDVDMVTDKALDMKDVKQAILRVDESYIIETGMANNVRQRTIR MRSRYNEGADSIPQLKREIPALLARVHLRGIPGVRRALLKDTTEFTVDQATGKMSGNK IWAIDTDGTALRRAFIGVVGEDGKNIINAVKTSSNKVPEVCSLLGIEAARSKMLTELR EAYLAYGLNINYRHYTILVDTICQHGYLMAVSRSGINRSDTSGPLMRCSFEETVKVLM AAASFGECDPVRGVSANLVLGNQARVGTGLFDLVLNMAALQQAVPQAEAVAPGKDVNV YHSLGSTLQQNIQSSIAYRPRDHDATPFVNNASLFLRQGFGGGSSSAPVTASAPYNPS TTYHGGRLEASAVHRSQAYSTSPALEYGGREASASQMYSVMSSASAFNPVSTRMSSVA HSYSEYSEASSYHLQHSVAPTSMQASLPRTDNSMTMQGIGSVSVPYTPHAMSSAAPPS QVYASTEVGRSHSEDSRSQSALYVPTLSPTHAGYAIRGDEPSTHRSDSNVMWREAGGG REQDEEDDLSTNYMPTAKTPQQVAPPTAAEFGDEEEEEQ XP_847570.1 MLMCMRPVAVACVFVALATVATVHGAIHFHEKFSSIDHWTASKA RSDYGKVELSAGKFYADAEKSKGLRLTEDARFYALSTPLPTPITNEKKDFVVSFSVKH EQDLRCGGGYIKLLPQMDPAELKGETKYWLMFGPDRCGYDKKIHIIISYNGANREWKK RPSYPDDKLTHVYTLHITPSNSYEFFLDGVSKEKGTLEADWDFLPEKEIDDPEDKKPA DWVDVPTIDDPEDKKPEDWDSEPEKIVDPEAKKPEDWNDAEDGAWEAPMIPNPKSKGP WAPRKIPNPAYKGPWAPRRIPNPAYKNDEELYKIPEPLTHVGIDVWQVESGSIFKDII IGDDVKEVLDIVKSTYDGMKKAEEDALAAFEKKKEQDKKEENKKETDGEEDKKKKEDK SDL XP_847571.1 MSAQLQQKLFRIPGNIAVSVGLHWDFVGADPVDLDLSAVAFSSE GVLLDVVFFNHPFPVGTDEEALRDCGFLVDPQQLPYMFISGDSRIGGEEENRLPGLAL AARRRALQMRGGRDDELKRFGVIESIFSRIYNESELEMVEEVLNDKRGGGHIFDEDGR AFRSENSREMCDESVTFVMHKIPSEAAVIFLVVTSYTGADFSVLPTVKLVVVNEMTNE QVGTIDLKHATGNGTANLACMLCRVPTRLSGSTAPGSANGTITMDGGSRQLWDLRELN IRTFGYTFVDTLPTMMDVLGVEVNSRTNAVWQLPDYSLSKDSYGALRQPLSDVRFGVG WGGDHDLDSFMVFLDEKNNYVDHINPKPVKLQSRFPHTARHSGDAINGYSAVGDEEFI DLVTYRLPLEVHTIIFGVCYVEGGRSTRSIMDVPKFYMRLQNRTAAWPNAIEVDRWNV HEEIKRADEERKRLKEAQLQGSKSADNLPKVSELSSRLLHTYKGADGKMFPVRALVLG MMVKTAEAPLRELYPEACAQKPEGELGEVERRNSSGSPRDSDAQVATEEEDGNAAGAD PLPESDTVVSVFQYLPIHEYVPISNAKGFVEMMPYMRCIAKYCRNKPSSNLATRSSAD QNINNPLRLQTFQPQLSTQTSMWDQVRASSGVLSYHAVRVQFLEVRHLQPELPHVFKC HGEVWVCEKTPFTEKRSLTVYDQPTFRTPYLMHRQNMQWDESNPATAALLFVREFDRI RVVIYERAAFGYVDIDLMDINELWSQNPQPDLFVPNATQPASLMSATPAQDRWFQLSG GPLSDGLVRLRISRAPIGKLLEESERTIAAAKKKRRDHARAVEEEKHEAARERYSGPC CIM XP_847572.1 MADEEPRDIKLDLEKDCLANNCQHKVLAYSACLERIKDIPSEKE PHCYHQYFDIVHCVDVCVDPKLWPTLV XP_847573.1 MSERKGNHMARPYSSFRNSLSNLAPIVIVCLFSFPVHFKYAFAD YSEETALSALNFSKVSYCNADLIRNWTCAACRNESAFVLKGLFENKTEGTLAFAGTSE GKIVVAFRGSLNIANWVDDIKYWGTPYPNASCENCLVHRGFFDAFESLRAQVRQALHE LIVSEPNFPVLITGHSLGGALALLTAVDLMSSPPVVPSLQGGNYPSVQLYTFGKPRVG NPAFVQWVKTLFRSGSHEPYRAVHRKDIVPHLPPLFMGYVHAPHELWFKYDDPLECLN CSDMDDINFSTGSVGEDYCCSDSLDYPSVADHLMYLGVCTGCACDGPTTASIPGLNIS WETRQMLAKDRAYAQRKRPRKSCSVLRAVDKPAD XP_847574.1 MQPAGGNSSPKSTGESCICSATKYIYSFRKKEWVVLATNVEIIT PLKPFAKGGMRVCYEVEEIEDDGSRTRCIAKLFLKVVSDVKEEDYFCEGEAQCLCEEF ASNFNKAPFNGPNKPRISFLQCQVLRISRNIIPREYRQLKDGFFSHRTVDTGDVLFVM EPKLGGHFTKYNSNYGDVYEDDKHCKTDSQKRKRQHMLHVAEAFSHFTLVDSLGSMLL CDLQGVNDLLTDPQIHTEDGRGLGLGNMGTEGITRFVANHKCNEICEGLDLKPLTGVV PESSDEAKKSNVYAYLRAQLRQDFIPPPKPISEMTEEEKFEHALQLSRVTY XP_847575.1 MEEASSEHQRLLPCSSGPHSNYNAAAVEVGTPAAPSPWGGLEDA DQQSLNSTEVRRRHESKVLLAALMFCFVFMLVELMFGVVAHSLALLTDASHLLIDVGA YALSIMSLRAASRTSCGKYSYGWHRAEVIGTLVSVFSIWALVVWIVMEGLDRSWNVVK CSRIHAMLATTAQQYKRNNSTSYYGFGNISQRPTVDKDGALTEATHMEMCTSIDSPIM VVVGVLGMVVNVVCAAILYFGGSHGHSHFGGSHHHSHSGNGEEEDSLCEENTGHNHSH DHGHGHGHSGSEGEGHDHSHSHSGRGFAVHAALLHALGDCVQSLGVILAGIFIYVANR YSYGVPSYRYSIYNLADPLCSLLFAVITLNMTRPLLRDLLGILMESTPPGINYSELLS ALRSIKGVEGVHDLHVWSIASDYAALSVHLEADDKDAALQEAQEVCKRFGITHTTIQV DTVENGAGLCHSLCASAQTIA XP_847576.1 MQSGSEKRNGQKRPRHAGEAAASSPAVSLKQKKLKPKKKTDNAG EGNEDQLQQRRRQQEEKRNLPIASSSPAAAQQVKSPVLDQVQAKVPTEECRSALLVIG TYHSVMAGLLYRRRRFGLLFSIKHHVGCINAVTAGGGAKYMASAGTDERVFLFTNKSH SVQKQLKLLKKKQKRQAKRLKKGGEPCENGGEMEETLAFAGPSAETNSGSSAEPLALR LTDLGHVSPPSEVRCMKITSNSQLMLCGCTDGQLITYRTRDWSINSAIPLHEKCISSI ALHPGSNNDGGSGAALAITCSAEDHHIVVVDLLRGRLLSKWRYSTSLATAISRYSANK DNGGRDNVASPAAVQTTSNSDEPGRPVKLAKWERHDEPREVHFSPVGSYFAVLSSHAL LVYETATMRAVAHYRAPSPLQPHNEMHTFCFMDEQTIMIGDESGNIRCCCGPWQGACV PGIVERRIPHGSEGGNVGVCGTNNSSGDTTGSFAAGSDASQHSGRHPTKHSTRVKALH CAGRTLFSLDAAGVAIAWNVDKGGISSNNSISASGKSSDLMLHYICSANCRGRVTTMD VLRL XP_847577.1 MKSRGFTRQPSDSHDGVSGSSPYFARWGVYDAHEDKSHFSSHDD RRSSSGNINDSYGSRYVRNNNSNDEEPNRWWQSSEDDMTSRSSALNIHVREKQWRSST NHWAPMQRLVPGVGVAKQTHQMVNTLFMGDGGDEDGDVGDRIDGDTVVVDDDVIYGSN IGDINGANNSKEGDGESRQNWLDYCYHYNCQNNNSYTYNGDNSSNGNNYNRNDRHNGQ SYGVYSALSRLMVTGNGEAEERRDGRKRSPATTPIMAGTGGELTQPCRVASSGGAPLV SSGTAVYTANRVRYSNGRGTDSVYTSNTYSITVGDGSINATNKNTVFAGQAPTASAGT SSTPASTAGFEAAAASIIAAAGLPLGTFSLANYIVEGQPRFPSMELRRQQQQTVFDQN QHETILGEYPVSVASPPSYCGSPRSNGSSLNTAHLLNTATTDVHTSAWSGHTRDNQRN LLTTYNQHAQQQQAKAYSEYLSDLLYFHADFFPPPPPPRGLSFPSYSHFFTKLSLPPA YSLPRTLSLVASNCRRRAALWYHYANKWNITRRLPPPPRTPLPEMMEEEEESRRLRQL QHPQWSHKGNGTLGHHNQQSPPRTPYDISEWMKMCERWFDVAQRQFEYPPENVPTRVP PSFILRHVVQY XP_847578.1 MEAFIEAARQAVTVAAVDFSPVQQLAEDAAKGVADGEALRETIT TLVDTYSAADSSQVVLQCSLMKAIGSILCATASNVGGGNCQLVVDDYILLALFFNEIS TVGDVQLFSLLTEAVTALFRQTAAVAFKSVLPPSSNETEDCSSITSLRGELQSLRLVM AKRLVGPSNASEFVSKQHQRHDTAMQLKVIDCLRVLLLSLLEVSNSEDATLAAVKEMQ KDGTGNEAALQAARQVVEGLLLPLSTAVDALRPHVTNNSSGKGRLLVTPQPNGAHGTA VSPLVLVSTFRVVEELLWHCAGRGTDDSIAVVGRFAANRLLTAVQQYLVLQQQKSVES TGTESQEKPAAAFDVLRAAGPQRAIRRLLSVVHRQLPVFPSIESAVTAAIGVFGGQLD VDDPLKLTNLQQDGVGAENRCNNATDVSGDNRICAAKWEEAPSSSATSRLRECEQILP APSSDAVVNEGAAADFDDPTLMEDGADGGPRETLLKSTVGPLPANALVEMVFLSISRL DIMNEQGIQLLHMQGLQQQQYEAVRRAQRAELQRLRRIEQQGVENIPVGRLLEHLKES TAMYAAGAQLLSNESGLATMQRAALFSLLDAYDLLVDAQEGRVREAQALIARTIAQMP SSMMDSALDAVCARLKKELAAASSFQKTRGGSPVAKVPPLTSAYQLALQVLFMLYSAQ APVHEHDGKALMFAVSPSSAFANMGGEMQLQSRAVLTIDTENPVDWLQAPDMQSSLTD RKRPRDDGSSFGGNDLDSFHRERVSGSEEAQSATDGPSRFFREDSIQNPCVYSHFLCR MMEVLTEGEMPVLLLDLLLQCPRITRYVWHCLHKHYCLSPEKARCLLGMWLLKSVAAR RAVCRRYALNSLLYMTTSRSEYPRRLAVKQLGALLASSVGLDGRRVIDDNVETLLVRH AKRQIAAIPVTRLPTAVTSSGSAVAKQEEGETTMTGGDAAETRAKELQKLTEALDRHL GPFLMLCARQPRELIPALLDVFKECVERQNTAMVQLLADHVDVRRMCQRLFQTDALSF MSNVMPYLRRYSNSATMLVQRILWAVSAELRSMGKGGEVSAADLEGIAAALLGHARVM YENSEIPFVYHSALASNGEPADVVSVQESPASLHDVRFIAPFMSLIPMEELKRTYLRS FLHFVEQQLQQRAEETMDNDTAPESMRMSEEEMSQLIRDVAQEVLVRSPVQFSDGSPR GVSRVDLLVYLHHATRGVRDGDAYQSKAHQSSPHSTVVETPMRTHAETRGILSSSGPQ SPDGSVVGNNAKARTAEGETSLIAENLPLSALTTKEVICVLLKLRRTFDESTTEYLYG PAEIKGAVRQLMKNTGATKVPSQLMATLIFACGLHPPRAAVDLVRFVHQEVLLPLAKD GTWEKDVQLWRGVLLFAEMHYRECSSFLVNLPDQVLIEALRVRPQLCEYFREEHGNNA YFGHILGSL XP_847579.1 MERVGVAMLLFILFVVILTCIVFIIFVCLIRNNHIVLRLFTNGH RPPDALPPPAVLCETRRLRALGGGVNGAVLIGDENRQLLSTLDIPLTPVPSLCEDCKE LCRSSSNCSTLDIANNDGCLATRECAKESAVLGAHDYGKCQLGYSKRVRDLSTDRVFE LYVSRQLLIACSTVVHMGSGEETSRVHYSAVGGELPTNASAWMSID XP_847580.1 MASVSSGVPLTAPSAQKLLQSVKYILLDVDGVVWSGPRVLPNIP QTLQYLRSLGKEIRFLTNNASVSRSSLAQLFRQRGIEGVKESEVYNSGYAAALRLRRI CGAAEGNQERQEGNEPTSDKRLVRGNVFVIGEEGLHEELQRVLAPGYITYGMELHDAE KVGGYNSANAATAWKERILPAPLRQLKPPDGITDYGRGISLTDLSPVAVVVGLDLHFN MLKLAYASLCLHRGAGSNTDSEGDRIGGDRDRTPDKGLQKPVCFIATNEDPQIPIGEE GLLLPGAGGMVSALRTVSGRNPDAVCGKPHVDMARIMFEAEGITDARQCLMVGDRLTT DIAFGNAAGCRTLFVLSGAESMADIARAKSTGDSQLLPEFVAPSLASLMPDARDD XP_847581.1 MHRWRPTHCTLQLNFLRPSECGEGQPQVLRAGDAYSGTSTIRYL RCDSKKDEGSTSPAVGSPTRNEPNVAIPRKEDGLHFHAITNIPHSLPKALPLTLQSMK VQMASQRSGAASLKVLKVVDESNSISDGNKNNSGTGPTGASRSDKHVKNCEARKREKQ FLKKKQRCKNSDEHNSMGGDGSCEQQADDPGSKYVYFEGGADMPAGSTVLLSIAFTGR VQAWDQGGIYAGEQREGGAGSRVLLTHFEVALARLAFPCPDDPQEYRIVWQLQLLQLP AEYNMVVSNTTEITKKAVGSRGMQYSFAPIGPLPAYVIAFAAFAGAVEVLEEDLQLRG LPAVEYIEQTTNGKSLSGHDGKGNHAMRTVTMRVVTHATSGVAPPVLAQVAHTARDAI RLLEDFFDSPIPLQQLPFCSSHSSNDHWGSDYYCCEWQQYGGGETLTIVVAPTMPYIS GMEHHGCIFLNESIYSLTQSVGGTGARGASRSNAPGSETVVEVERVELIVHELVHHWV GNALGMPFVLKEGVCQLMEQCLGDVIMGRPMRKVRPAATGANTTASNINTDSAKTRAD DANLVIVDAEKGKEFTFHSYQKALNTLRNVVSVMGFNVFKERMQRMYASEVLEDARSK TSSLPPYVSATKFMAYMNPQDAALYCT XP_847582.1 MRRSVVTSLHTASFASLTRVGVFNVAAVAHLAIRSQTTMHGAPG NTSPGPRPPVQQGTVPMQHHQQMHPSAVGAEAEDDFEPPCKPSEPPKMLKVDTSDRGI TTVALNRAPVNSLSLELFEELNSWMLWLGSDESCRAVILTSSIPTVFSAGLDINELHN PEPERLRRFWKSFQEAWLILNSFPKPIIGAVSGNSPAGGCVLALGCDFRVMLRHPTDK PDRPFRIGLNETKLGITTPPWVVPAYAYVLGSRRAERMLQLGDTPTADEALRVGLVDL VVDGEQQLREAVLKEAERFLSVPQQSRWMLRDMLRREYLQVIGSEEDREYDTQFFVEL MMNPDVQKSLEAFTARLKGVAAKK XP_847583.1 MCVGTVPTLSLALRLPHAHGYSPFIFSGIYALSYTISDRRTTKL IGMLDTNGTAELTVHCASPLQPSSNSRNSKQLSPITPIASTIHSASANSPLSQCQQNT AEVGIGGPHFALALTAVGADTAAGEAVSIANGTFSGNGLSAYVSKLSEIVGEPPFTEE EILEELEKLRTRSQLTSAPFNRRLLPILARYQLREKWGPAAEPYAERLVTDDGSVVPS FLPELHRIRERRQQLDKVQKCRSFHCYKIPPPPLRPQGETSTTRPLLEEELVAAKQFT RSWLGPSHKATPDTNDYKHTFNNEGQQTPRGKRIPDVFSSPQTPQTPTTEKDHTAPQR LQTPTQIHTAMQSSRTKTMLVNSTIDIPNNQGNDANESRIRGMSASSLLVNSTIDIPN NQGNDANESRIRGMSASSLLVNSTIDIPNNQGNDANESRIRGMSASSLLVNSTMGIPN NQGNDANESRIRGMSASSLLVNSTIDIPNNQGNDANESRIRGMSASSLLVNSTIDIPN NQGNDANESRIRGMSASSLLVNSTMGIPNNQRNDANESRIRGMSASSLLVNSTMGIPN NQGNDANESRIRGLSASSLLVNSTMDIPNNQGNDANESRIRGMSASSLLVNSTMGIPN NQGNDANESRIRGMSASSLLVNSTIDIPNNQGNDANESRIRGMSASSLLVNSTMGIPN NQGNDANESRIRGLSTSSLLVNSTMDIPNNQGNDANESRIRGLSTSSLLVNSTIDIPN NQGNDANESRIRGMSASSLLVNSTMGIPNNQGNDANESRIRGMSASSLLVNSTMGIPN NQGNDANESRIRGMSASSLLVNSTMGIPNNQGNDANESRIRGLSASSLLVNSTIDIPN NQGNDANESRIRGLSASSLLVNSTMGIPNNQGNDANESRIRGMSASSLLVNSTIDIPN NQGNDANESRIRGLSASSLLVNSTIDIPNNQGNDANESRIRGLSASSLLVNSTMDIPN NQGNDANESRIRGLSTSSLLVNSTMGIPNNQGNDANESRIRGLSASSLLVNSTMGIPN NQGNDANESRIRGMSASSLLVNSTMDIPNNQGNDANESRIRGLSTSSLLVNSTIDIPN NQGNDANESRIRGLSASSLLVNSTMGIPNNQGNDANESRIRGLSASSLLVNSTMGIPN NHGRSAKNI XP_847584.1 MPQTVQKRQTHGRSRRGYDFVLEGVPMYDSPDISSDSSDIERTR TMAPPHGQNWGPAAYPKPSAAGAVAPPYRHQKQQQQQQQQQQEHARQQLLLQQKWLEQ QQAAFHQRQQELSRAEYQRSHKTQQQSQQSSHNHTETRDARRTIMGDSTGRGSRERCP PVDDIDYVKYFPERGPRVMEYTSPGFNTNPSTTVAQHIQSGHNAQFTTMRPSARSQQH LECPGNHNNTVVGAYGTGETADTRNTGARETAIVGGGVHYGAQSLQATGKCAARYAST LYLENDHPSAQVPNGYTVEMGNSGLFTLANYPDADKGAVTNENRFSGGAVKPRWTSVS TTPMECRTPPSVATAPQYRNTAACDSTGGDIFSGDYEFMPVELGQCDFRTLHAVTLST EPTPPFTPSHASVESRGGKRNALLPPVGHRDLSYFESLPQKQQKQQRRTSKQGDVQDV SEASLPFAVPQEYQYLNNDLTDPNSSLPAPAMSVETTHKSEKAQTRKVIDSSNPRRWI VL XP_847585.1 MIPNGGEAAGASREHTQGQNAGSCALNVGTNGKWEPYGYNYRER EQSHLNSSRGAPLQVRVVVQLPNGTVREWKDFAQLQALPQILREVYTTTPDQGRSSAG SLSPLKFFWIDMSGMPQREDLSALFELLHVCKHTERRWRAMAAAPPGVGLGGFPLNNG TCEEGAERSHYDEDDYYDNATELDYLRAFVAEQYVQISLTVLESPNVTVYGLPMEKDT EREMGTEKHQRQREGSRPNLLDACESGTLASVQVLCFVNGVVTWRPQPAVEGWEYIPH GLERRLSNSTNIGDGRAVGDGNEGPRGALTTSLLVLTILDELYMAFLPDTTIVLSEVD TIDSMLPLVRQRMSDQADILRRIQMLRRSLSVHRRVLMSKVSVLELLSRPTVRVLLPF MNPITDAVNEKEREHCREPFDPRVYQPRHRHGELHYDQSEAMARRAPSSGQQSDTYAD IACRILHVLSKLEDARRILTNSIIIHSSGVAAVNNYNSNQSDTLSITLGYVALMSLPP TIVASQWGMNVYVPWVDTNSTVPFWGIVGAVTAYAALVLSYPIFCWIRGRPDKLVF XP_847586.1 MTYNEAALSKYRGLLDSGEPFRSRTCRYCSSGNTAVKHINSKKK PNSPWDFWNGIACSPTASHARAEGRKRTPMYVVGPMVDQSELPFRLLCRRYGATLAYT PMFHAKSFAQSAHYRQRYFSTTTFPPHSAMESGGNVAANDSTSNDGALDNDHPLFAQF CGNDPETVLAAARHVEDYCEAVDFNIGCPQGIARRGHYGSFLMEDWELLHNILHALAV ELRVPVTAKMRIFDDEALTLKYAEMLRDTGIYVLCVHGRTRENKGQQQQPADLRMIRR VHEHLRGSIPIIANGNVLTFEDVPRNLAITGCEGYMCAEPLLWDPKLFAPLASSSTAE FAPNITDSTLSTSPAPSTVRSGRLFAESRPTRLKALATASEYLELVRRFPVDIGFVKA HFFKMLYHSYEMHPAHQQWLANFSINGSNVGNDVVENCATSHCGEQRETTVVGRDIFT AALDALTDHLHSLQKAELSLEHDGPQPKRQRELKVGEEGKERQQLKNGRRVDALTDTF ADDETLGIDFLM XP_847587.1 MEHLKIFPRLGRTLLVITVFLMAFPPGVAKCQKQRYQKPQLEKV GEGNQKTPKVRNRLSGTLSSGDVRTFSGIRSVLHKGGDGIKYKTQRRDYTTTSKPTGA QFLTAQTAQLEEGTQQDKANQTDINIRVYDMRKQKEINKFRQSTGVYLVNAVPGSTKY LEFESSTRDEISVVTQLLSNRYDTDVPADNCVGYFVNVEIRNSSEVNLTALTTPKDPP AVGEEDKNGSSTSTHGGEGENYELEGGWKFLWSVIATMLDTSSFCDLLPKVMLRVEPD PEPQSYVVLFQSHIGMGLGSQRRGKDCLLRVFVTRTPKERERRMQNTFALGVPLLILL LMAPMTFMHAHLLPDFMADIDVVFWLIYPAVAMRNGLACLFVYLYRVSREAYVARREE RRQRQLEEQHRQIMEQEALVLADVTMNNVDKNNKGSSSNAKLSHQPLAHMSQTPQGAE EGFDGAEGGAHAEKHKKQEGWNANADQRNREGPSGAAVAQVEHAPLLSSRKALTAEDV VVMDDMVDMDLDGDDEAHGGLRRPLITGQKKVKKATGSVEGEFAGSTVGEGSAPATRS EEADGSNDAEPAAVVGQGKAVSTRSAVSGGAPAKSDCEEEDDERICRICRDDETDEKL ISACECIGSVRWIHVSCLDRWRIESTKRNLHNVNCCEICKKPFHVPISRHAQIMRNLK SVSRGLLLVFSIIFTFITATIGQRVTLGEMTCRTPWHTVSYSTMFEIDGVVLTVFMQF TLTMLAVYAFALVSARFHADPETLEHLLTFQTFPPFWTMRNTGMIVLIFAGGVLQALA LGLLTKLFIYRTSNIVWSWEASPCIGAVLFLGYVAMGTLVSVKVHSWAQRRRLRQARR VQVRNVEEQGDQQRQLP XP_847588.1 MGFRAGSMCARGQQRIGAPLLLCFLLLSGPWEGVEGKGNITVKV YSFLRNKHIAKRRLESVTAGFNASIQSRSSTLPQNVVLEIVTPPDDGVPLQKFLEEGL KKGKGELPIVLGPVGDRNTLSVTSYLHKEKIVAFSPITGSLLVRKWRQNLYYLTASPA AEVLALIRYAVSQLRLRRLGFMYLKGVSFGDKEYKMTVKAMSMMGRKLCGVFEIDGST NGKLSNDDFLVVWNSFARTLPQGVIVFGSPIDETQKFVACVASDYRTRHAYLLLPSTL QFLVADTWSQTLDIAGRAYVPRQIILTGVTPLPTDTEYKAIRRFQEDIKKYMGKEFGN NTAQTKKGSRHVDDDIDGEMMVFGWIAGEVLSRALSSREWLRSREAFIKSLFSQRRYV IDDLVIGDFGGKCIGRAGESGAACKCNQGGNVVYMKEMVEDYRLQPVNGGKMLLNAAK CQRRILQLYAPLNGIMFRLEDNPLAQRITEEYRDGASPAVGKGQLGQGDRFFLHELNS TSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHPGRNVLHLS PTIEQQIFVMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSLGAFDEVTD GESVKSLLPHSGFVLVIGLTEADITAIAEHLDNHREVRVFVLFFDVALLYSEFVKVFK KHPQAAERLLFATSLPHWADNNTTSETVQGFQDDVGNESKWTPLALLGYVTARAVSTV LPRAGYLSPEILANAIYTQSVIVVDGIRFRTFSATECQADSGFAAKGCAINYGATRIS VWSMARVLNPSVPPVTKAATPSMQYTHPNNGLSARKLAGIIVGSLFLVLLTVLLVVLL SFFRRHARDNENAPKELTDPVTLIFTDIDSSTAQWAAHPELMPDAVATHHRIIRTLIS KYGCYEVKTVGDSFMIACKSPFAATQLACDMQRCFLEHDWKTDVFDTSYREFERQRAE GDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTSNMAAR TGSVANGGQVLLTRSTYLAVTGGEREQLNVTALGDVPLRGVPKPVEMYQLNAVPERTF AALLLDCQIIDGTDEEMSTSASDVGSVAVELPESAQHVATSLETLLGTFTPAQRQALL MSVCERWRVTSPRKVHEGWDNSVCHDVICHIAARVGHVVNFGARNATATASSTTRQSS LCSYRSLGLCGYGSHGQPSSTNQENTRRDVPQPAGSPHGSPEPSTSSSNSIHVLPFNQ CKGPF XP_847589.1 MHSNNYVPSRHLTIRLVPRKSTRCQQKRLSQNTRKQQCWYAIFP LTLLLSPYLIFSISIKCVTTKTLNHQKKMTSINAQPPNSATYSQDDHGSAEVVNLNAE VERPQPEERKDGGGCFARVSLFMATIIPPGGIAASAFNIASSTIGAGIVGLPSAANSS GLVMAMIYLIIITAMSVFTMHNLAVVADKSSARTFEEITGKLLGRGASYCLAGVRAFH GFSGCVAYVISVGDILSATLKGTNAPDFLKQKSGNHLLTSLMWLCFMLPLVIPRHIDS LRHVSTIAVSFIIYLVIVIVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGL GVFMFAYVCQVVAVEIYMDMTDRSPRRFVLASAIALGICFALYVMTAFFGYMDFGRAV TGSVLLMYDPVNEPAIMVGFVGVLVKLCASYALLGMACRNGLYSIVGWDADKVAFWKH CIAVVTLSVVMLLCGLFIPNINTVLGFAGSISGGSLGFLFPALLVMYSGGFTWQKVGP FYYLTTYAVLLTGVIAIVFGTGATIWGTATG XP_847590.1 MISINAQPPNSATYPRDDHGSAEAGQANAEVERPQTKKQKDGGG CFARVSLFMATIIPPGGIAASAFNIGSTTIGAGIFGLPAAANSSGLVMAMIYLIIITA MTIFSIYALGVAAERTNIRTYEGVARALLGPWGAFCTAAARTFFCFSACVAYVISVGD ILSATLKGTNAPDFLKQKSGNRLLTSLMWLCFMLPLVIPRHIDSLRYVSTIAFSLMIY VVVVVVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGLGVFIFSYLFHITAY EVYMDMTNRSVGKFVLVVTIAMGMCLPIYALTAFFGYMDFGRNVTGSVLLQYDPVNYP AIMVGFVGVLVKLCVSYALLGLACRNALYDVIGWDFREVAFWKHCIAVVTLSVVMLLC GLFIPKITTVFGFAGSISGGLLGFILPALFFMYSGGFTWQKVGPFYYISTYVLLITGV IAAVFGTGATIWAVTVG XP_847591.1 MTSINAQPPNSATYPQDDHGSAEVVNLNAEVERPQPEEQKDGGG CFARVSLFMATIIPPGGIAASAFNIGSTTVGAGIFGLPAAANSSGLVMAMIYLIIITA MTIFSIYALGVAAERTNIRTYEGVARALLGPWGAYYTAATRAFFSFSACVAYVISVGD ILSATLKGTNAPDFLKQKSGNRLLTSLMWLCFMLPLVIPRHIDSLRHVSTIAFILMIY MVLVVVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGLGVFIFSYLFQITAY EVYMDMTNRSVGKFVLVVTIAMGMCLPMYALTAFFGYMDFGRNVTGSVLLQYDPVNYP AVMVGFVGVLVMLFVSYALLGLACRNALYDVIGWDFREVAFWKHCIAVVTLSIVMLLC GLFIPKITTVLGFAGSFCGGSLGFILPALFFMYSGGFTWQKVGPFYYISTYVLLITGV IAAVFGTGATIWAVTVG XP_847592.1 MTSINAQPPNSATYPQDDHGSAEVVNLNAEVERPQPEEQKDGGG CFARVSLFMATIIPPGGIAASAFNIGSTTVGAGIFGLPAAANSSGLVMAMIYLIIITA MTIFSIYALGVAAERTNIRTYEGVARALLGPWGAYYTAATRAFFSFSACVAYVISVGD ILSATLKGTNAPDFLKQKSGNRLLTSLMWLCFMLPLVIPRHIDSLRHVSTIAFILMIY MVLVVVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGLGVFIFSYLFQITAY EVYMDMTNRSVGKFVLVVTIAMGMCLPMYALTAFFGYMDFGRNVTGSVLLQYDPVNYP AVMVGFVGVLVMLFVSYALLGLACRNALYDVIGWDFREVAFWKHCIAVVTLSVVMLLC GLFIPKITTVLGFAGSFCGGSLGFILPALFFMYSGGFTWQKVGPFYYISTYVLLITGV IAAVFGTGATIWAVTVG XP_847593.1 MTSIKTKSPTSVTYPQDDHGSAEAGQANAEVERPQPEERKDGGG CFARVSLFMATIIPPGGIAASAFNIGSTTIGAGIFGLPAAANSSGLVMAMIYLIIITA MTIFSIYALGVAAERTNIRTYEGVARALLGPWGAFCTAAARTFFSFSACVAYVISVGD ILSATLKGTNAPDFLKQKSGNRLLTSLMWLCFMLPLVIPRHIDSLRHVSTIAFILMIY TVVVVVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGLGVFIFSYLFHITAY EVYMDMTNRSVGKFVLVVIIAMGMCLPMYALTAFFGYMDFGRNVTGSVLLQYDPVNYP AIMVGFVGVLVMLFVSYALLGLACRNALYDVIGWDFREVAFWKHCIAVVTLSVVMLLC GLFIPKITTVLGFAGSFCGGLLGFILPALFFMYSGGFTWQKVGPFYYISTYVLLITGV IAAVFGTGATIWAVTVG XP_847594.1 MTSIKTKSPTSVTYPRNDHGSAEVVNPNPEAPLSQNELKNSGGC FARVSLFMATIIPPGGIAASAFNIAASSVGAGIIGLPSAANSSGLVMAMIYLIIITAM SVFTMHNLAVVADKTNVYTYEGVARVLLGRWGKYYVAVVRAFHGFSACVAYVISVGDI LSATLKGTNAPDFLKQKSGNRLLTIGMWLCFMLPLVIPRRIDSLRYVSTFAVSFMVYL VIAIVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGLGVIMFAYVCQVVALE VYENMTNRSVGRFVIASAIALGICFTLYVMTSFFGYMDFGRAVTGSVLLMYDPVNEPA IMVGFVGVLVKLCVSYAILAMACRNALYDVVGWDADKVAFWKHCIAVVTLSVVMLLCG LFIPKINTVLGFAGSISGGSLGFILPSLLVMYSGGFTWQKVGPFYYLTTYAVLLTGVI AIVFGTGCAIWGTFTG XP_847595.1 MTSINAQPPNSATYPQDDHGSAEVVNPNPEAPLSQNELKNSGGC FARVSLFMATIIPPGGIAASAFNIAASSVGAGIIGLPSAANSSGLVMAMIYLIIITAM SVFTMHNLAVVADKTNVYTYEGVARVLLGRWGEYYVAVVRAFHGFSACVAYVISVGDI LSATLKGTNAPDFLKQKSGNRLLTIGMWLCFMLPLVIPRHIDSLRYVSTIAVSFMVYL VIAIVVHSCMNGLPENIKNVSVGKDDNAEIILFNSGNRAIEGLGVIMFAYVCQVVALE VYENMTNRSVGRFVIASAIALGICFTLYVMTAFFGYMDFGRAVTGSVLLMYDPVNEPA IMVGFVGVLVKLCVSYAILAMACRNALYDVVGWDADKVAFWKHCIAVVTLSVVMLLCG LFIPKITTVLGFAGSISGGSLGFILPSLLVMYSGGFTWQKVGPFYYLTTYAVLLTGVI AIVFGTGATIWGTATG XP_847596.1 MFKLLRIITPSLALWFLTPGQSTSFTLRGHLPQSHSLYLFSPIS SRLSKGTKAGQTAPLMHPDGTTAPDSWRLTNPPDSVEAGATEGAATGSSIPHGSPEAT GDKVGENNDRDQLTASGGERSQPFMECVKKVIPPGGLVSTVFNLAAMCIGAGILGLPA AANSSGLVMMFVYPTVIVFLSIYSLYCLATQIERHGLKSYEGMSRALLGPWSAYLTGV LRALNTFGACVAFIIAVGDILSAILKGTNAPDFLKQKSGNRLLTSIIWLCFMLPLVIP RSVNTLRYISTIGITSICYLVVVIVVHSYMNGLPDNIKKVHLTGAPGDEGIHLFGTGN KAVEGPGVFMFAFLCQANSFEVYLGMPQPNVHRFTAYTAIAMAVCFVLCIFAAFFGYL DFGGAVTGSVLLMYDPVNEPAIMVGFVGVLVKLCASYALLAMACRNALYSFVGWDADE VAFWKHCVFVISLSAVILLCGLFIPTINTVFGFVGAVCGGFLAFILPSLFIMYGGGWS LKTVGWCHYLATYAVLFAGVALCVFGTGATVYSVAVEW XP_847597.1 MFKLLRIITPSLALWFLTPGQSTSFTLRGHLPQSHSLYLFSPIS SRLSKGTKAGQTAPLMHPDGTTAPDSWRLTNPPDSVEAGATEGAATGSSIPHGSSEAT GDKVGENNDRDQLTASGGERSQPFMECVKKVIPPGGLVSTVFNLAAMCIGAGILGLPA AANSSGLVMMFVYPTVIVFLSIYSLYCLATQIERHGLKSYEGMSRALLGPWSAYLTGV LRALNTFGACVAFIISVGDILSAILKGTNAPDFLKQKSGNRLLTSIIWLCFMLPLVIP RSVNTLRYMSAIGITSICYLVVVIVVHSYMNGLPDNIKKVHLTGAPGDEGIHLFGTGN KAVEGPGVFMFAFLCQANSFEVYLGMPKPNVHRFTAYTAIAMAVCFVLCIFAAFFGYL DFGGAVTGSVLLMYDPVNEPAIMVGFVGVLVKLCVSYALVAMACRNALYSFVGWDADD VAFWKHCVFVISLSAVILLCGLFIPTINTVFGFVGAVCGGFLAFILPSLFIMYGGGWS LKAVGWCHYLATYAVLFAGVALCVFGTGATVYSVAVEW XP_847598.1 METTHIINVVSFSVLFFCLLTITIEYVVSSRRQRRLANARSRER EHLEVTRRNTTDHMRRKLTRQAIELLLANGYVTEGIALASMVGTTEVPVDRELRPNRP RRRPNLVTVADGSRMSISRVVQRARANGQAPDAPPGPVLMELLEKLQQQMQRENESQP FSGPVHTPVEELVVRLVKLEGDAKGLEKPSAADETYGKPVEYTINPANSSIWYVGNNC W XP_847599.1 MATNKGITFQVIVGIAALLSAFIIIMICIIVIERRRLRNARARQ NVQLDPAALNLTGEQLRHRLIRHAVDLLLADNYVCEGITLTSTVGVTEVLADRELRST RGRPYFITTANGRRATISQVVQQTRASGQAPDSPPGPALMELLEKLQHARRAEPVPNE ALCKQVEELMERLIKLEEANQRKDMKTDADEVYGKAAQYATNPANKCVRHVMNAVGVG RSLVASR XP_847600.1 MARHPLGNMYAMTAERAREYGFVSHYNHTGSEASDKLANNITLT SEEWVQLLTGPVQFITQSGGWGGAGFDATVLPQLLLCFPWVIAFLMFRVFTQRQLIRV GLWLQVVVPKGGTAATLSNSQRRKLKKFQNQVWLATYYIVSTIFGYAVQIGKPWFGLP VSKANRVALLTPHPYKPGNGLLCYYQYGLGFYIAEMLALLTEYDIKRSDFVEYFIHHI VTVALIVVSHCSYEHRFGVYVLLIHDASDIMLALSKILNYVLGAQAKRTRQRKAGKKV DVVEAKSSFLYRMIFCETTMNIVFVAFTAVFVFFRLVCLPYLALSNIVYGVKIRMFTW SYCLLIFLLQVALQGLHIYWFTIIVKVLINTALGSRVDDIRSEDDEDDGNVRERASFK HSKTS XP_847601.1 MTSGAAAQAPNKCPTNEAAAEPLRVPNVLTGEPFVSPNSNEGEA LEKPERPTNALARCFHFILPRGGALSGIFNLASVTLGAGIMSIPSAFNTSGMIMAIIY LVLVTVFTVFSIFLIVSAAEKTGYRSFESMARNLLGRRADIAVGFLLWLLCFGGASGY VVAIGDVLRGLLSHEKVPAYLKTDRARRLLMAAIWFVFIFPLALPKRVNSLRYASAIG VSFILFFAICVVEHSAEKMVADGGIKQELVMFRSGNDAVAGLSLFIFAYLCHVNSFSI FFEMKKRSVTRMTRDAAVSCSVCCFVYLLTGFFGYAEFGTTVEGSVLKLYDPYANPVF FVCFVGIIVKLCAGFSLNMLACRTALFQVLRWDLDTMSYVRHSIVSVSFAVGSLVLGL FVPDINVIFGLVGAFCGGFIGFIFPALFIMYAGGWTRQSVGWVQYILTYVLLILGVVA IVFGTSTSIYYTIKKYY XP_847602.1 MGAVPSRESLGRGLCQIPDYGQKHKMVLIPLTREFFPSPDCLLL RQLFEARRDPFVHYYHTFDEGFAKAQAPDPAVDADAAKLYDLQGLVAPSTSASATAHL VEGKAWRADDFVPFSCTLEEFYDSYVCRMGERRIYDTDEQMLRLCTRDPNAIRPVPCA VAFAYNSAPTPSVLGNKEALGGEVMLREDGSNSELGNSGGESRAEVGDAKGSTLEESS MLFGLYVRIVGFVGGVSDICPHGCNDVLLEDTFQLQVFLNKSVGDHNFVHAFITAVYT YVRQWREAAVMHIGSIEDQRQPTGRGSVEVGEGGHSKVSSTMSGEKPLMTPPAASVVI ACKRTNVPMLCFQRGLRAMLSRLLGIVESEGISNPGGPCCCRCRRKNDCILQTHKLAA GVPLGTPQDGDTKVVSECSSAPSLEKTTMLENVFDMWLNGDVVFACIPKQIVARALEC VGMRMGCPECIMDIVRPKRTETRAGCNTEVRTAQSNPHVGVARDVCSLHSTADAFCPQ DAVNALATTAAKGSSSKGYGGGLKVCDSEAAATELPAHQKLHDVLGETVVVEEWPVDG IDLVSFTNAKGDTIDRPAHLYWVVGRSVTAQETAASAFFSWWAGGRLTVMGGGCDVSN ESEHERSNSGKGKVDERLSVGELLYLNMNPCQSNAMRQWVLQRTTLPLEELLLSELHD SCKLARQAPVDAENWLRHTDISGPRERDRSLLLPLGAEDTNGEDLSEFAVGVRQLVGE GSLCLWRVTLVTGAVRAIAVTPHFLRPPRKKRARRKPTRRPYAPEQANATSTAVDGAA PVNSFGPSAETQASKGRRATRSKMVTRRSVPLPTAVVSEGVLRSDERSAMSPSLSQGL CREGGVPPMAPPNTTPSVGFGRVRTRQQPCCYGNPALASHMEVPAFPQGQQSGFVAFN QPVVDSSHSPVSDASYANRRTSTPFLSRRTSREDQLGFMPYDSYNVSRRISSDVTSQR LSTASFTHFPRWDGCGTIWPYTGSEERPSVTRHSSAVGGGCTPFFQPADGWSNSMHSR SGTREDFHPSCALHRTGGGFSSSGGCSSESVAGCARTQFDFISASGLGTDEVYADGAE VRTPTLNVTVRRGLQH XP_824704.1 MGAVPSRESLGRGLCQIPDYGQKHKMVLIPLTREFFPSPDCLLL RQLFEARRDPFVHYYHTFDEGFAKAQAPDPAVDADAAKLYDLQGLVAPSTSASATAHL VEGKAWRADDFVPFSCTLEEFYDSYVCRMGERRIYDTDEQMLRLCTRDPNAIRPVPCA VAFAYNSAPTPSVLGNKEALGGEVMLREDGSNSELGNSGGESRAEVGDAKGSTLEESS MLFGLYVRIVGFVGGVSDICPHGCNDVLLEDTFQLQVFLNKSVGDHNFVHAFITAVYT YVRQWREAAVMHIGSIEDQRQPTGRGSVEVGEGGHSKVSSTMSGEKPLMTPPAASVVI ACKRTNVPMLCFQRGLRAMLSRLLGIVESEGISNPGGPCCCRCRRKNDCILQTHKLAA GVPLGTPQDGDTKVVSECSSAPSLEKTTMLENVFDMWLNGDVVFACIPKQIVARALEC VGMRMGCPECIMDIVRPKRTETRAGCNTEVRTAQSNPHVGVARDVCSLHSTADAFCPQ DAVNALATTAAKGSSSKGYGGGLKVCDSEAAATELPAHQKLHDVLGETVVVEEWPVDG IDLVSFTNAKGDTIDRPAHLYWVVGRSVTAQETAASAFFSWWAGGRLTVMGGGCDVSN ESEHERSNSGKGKVDERLSVGELLYLNMNPCQSNAMRQWVLQRTTLPLEELLLSELHD SCKLARQAPVDAENWLRHTDISGPRERDRSLLLPLGAEDTNGEDLSEFAVGVRQLVGE GSLCLWRVTLVTGAVRAIAVTPHFLRPPRKKRARRKPTRRPYAPEQANATSTAVDGAA PVNSFGPSAETQASKGRRATRSKMVTRRSVPLPTAVVSEGVLRSDERSAMSPSLSQGL CREGGVPPMAPPNTTPSVGFGRVRTRQQPCCYGNPALASHMEVPAFPQGQQSGFVAFN QPVVDSSHSPVSDASYANRRTSTPFLSRRTSR XP_847603.1 MGAAPSRETLRRGLYNVPTQMKNNVDSSRSQSKPDIVLIPLIKE YFPSADCPLFVQFHKMRCDPGVNYYFRHPLYPGDLSRIPNVHSNDARSSNRSRDALYS EFVEQTEERLFDTDNEMRQAHELSMSDRSAGGSPSFHMEGAKTANVGKKVLPIICAVA FLHDREKFEQLQQTQGTPGGSRVPHVLMGKENSDILEGKVVNIIGFFGMLPREIERKP LTSPVIFSPVSPGLSATGKGIAAPSMLKNSLSLPCTARGPSHGSSQDLQQLIAPLSSQ ASVASGNELRNRPSFVPTPHVPPERCPLTASAGAFSLVSSLGGTPAREPSARQRPFLQ SEGLLREMDQALSYRCTALEERLSRFGLPAPAAPEPLTAVVFMTKSAGEQNLCRVTFV AAKTYHGQLVEAGVLKQRGNGPSPPLESERRYLPPLETFSFSSLMTNVPFISFVYEMR CRWGRFGFFSRRVDSPSLRMGSLNASSSFTYGFTGGAHSEAPNPEETHRGFAMWLTKN GVFHGRIERELAEHLCFCMAEQPDFMSVPAATLPIGGGYWRFRGLVDRNNPRPIQLCG DGAEAADPLDVYVMGSPAVGGFDEGDVLKYDQTSRSWVANRDIPLDAVVLAAMRPFCK AARTAPPDDIGWVTIEVEEQDEMGVEDQDHLETAGKRDMDTGAVVRQEDRDGTVVRKR VHVREGNFYIYRFELNGEVHYHGTVPDFANPNKKRASHSSSVGARSVAPMGPAVVARR FDNTNERGRQHSVGLTADRSTNEHSLSVTSTFSPPFVESFMGSERTSATFLASPLQPV REAISRSVGTVSYSNRGYESTGSQSSFPVVPVTPDTMFPPSRGLTTPVARRRSTFVPV GSEVEVDESQRDTNESDGGPTAFVRRFIDSTVYIWDWRYCADR XP_847604.1 MQFCGGLVCRTPPRRFAWKQTYSQLLPSHSMVPSAYICGRLRQA HHYSGRFACSAASVVVESPSDGAGILTFTANTVDGAARALSEIMHEAQALSHSVELRA LERLEAILRQNADLLALAEALATGICLREARNSIGLAANVVGSCAERLRGCPASVAAS ETIDVEDLLRSLGCSDRGINCHGIRCATGGGSSVALCYTSANYPLQRGVEAIAAALLR GHVVVWLPSLEAPLSALWLMQLLCRSFSCQADENDSRSATSDDKGCDPGVGMHTVDPI NIILYRGENSILLEKAFGVRGAREGLELVGLTNGFTEGQTNQFSVHLSDSQVRRSDIS ALYAIDWHHRLTKPAVAIVSVSQGESQSFSPSIPLTACAAVAKTDSAPTHVECVAENF FHHAFHCNGRTLHPLHVAFIPHEDVLPILRYLRERIRQVRIGHSLDSSVELGPLPTSK HLSLVKELIESATSGDDDAGVCIQQVCGGFEVAMPAGFFCLPCVLYARLPNEAPGAVS SMVERVMKLRTELDELGGGPVALVCAYDLRHQLDELHVWKDNAQHYVVHDW XP_847605.1 MGALPSRETQHRGLYSHRHGRNRRSEVILVPLTSEFFPSESCPL FTHLFQAKHHRTAKYYYRATRPADTDWESDYYCQTTAHVRNINITDSDDSAKVGHFET FFTDDISGLYHRLVRETEYCMCETDEELRTRYEEQIRQQQHHQRKQRLQRPEKSSDYG TAGGRSYEEYFGFASGAYTSGYTDALAFMKGREQHTHRNACGTHVTSSSAYYLRPIPC AIVSRSEAHKSASETGVEFLGSTVKVLGMFGLLPSIIPVTVHGEVMEQHMLKATMFVD KDVGDQILGNVVMLAVHMYVRQCIEARIRAPYIPMVPMILRTPISNIPMLQFVREMRV RLRGLLEAGAPRRGGGAGRRVGSFHGTEGTREAVEASSRCEADILYLFEEDVPNAISA HQLFLDPAEAQSLRLHPAQNTNSDGTIPILLMSGVNVQAAPLTHPSVTSMSHVRVPPW ALEGASDSAGGGPSEASPQREAFQATSLLATRRNRFRIWVEGGRLCIWASAAYARRGC LMLAQKLTQTVDNNLLPVPRPWNYRGLLRGGMPMPEPELANDVFIVAEDMPSMGLYQG DVLRCSTPVEIADQQQRLSLHGTSATSSSTLLEAICVHSKKSVTSASTPMEPTTPGGQ QKSQESFASSHPTNLLLQDPGEAPPNAFWVCIPFDRDCVSAGPNERRQQQHQLDTVSH RATNVEEVLLSWIKIISLKTREASEEDVYWVRDPTTGAPLRVDRYVIRRVEHDGMRYF IGVTPRFVGQQRRLERMLEDIKAQDAGSPDLDVEREGFHMSPTHAGTALEGNDDDSED DDADDGCAAMAQMLSGQSNIFYRE XP_847606.1 MPPAPRCTGQIICQSCQVTLAYPIGAPSVRCPLCASVTPVRQFS VSCVQCRSVLILPQNTSLAMCPRCRAVMSIPACIRDGQSSLFPPKECVYIERPIKSEA SRAPPRIAVGTKLDDDDDL XP_847607.1 MSTPIGSRSRTHSIRRESAASLPQSTTCSSDSTSPPRAVPTDGT VMWSGSDRTVIGNEVAVGDVSACSGDSCKKSGGDRSFASYASGTSYVDCSGIGAVAKR SCVEEEAFQRGRIYLQQLESWKNLRVQALQEQPGSLACSRSASLRSLSTATRQEVVLF SPPRRQRQQQLLSTSPQLQQEATSPQPQPQPQLSPPPSPAKQAQPQLARPQLQQQPKS QSQSQSQSQSQSPQLSQPQRPFNSRRPGDSEWGGAHLLEKYYEGEVRRVAQRGQRLQE REWRLMERQLQDARAAETRAVEEARATAERVRALEGQLHAAQQALAGVSASGREALED LQLRDRQVEVLSIEVERLRMENMTIAVERRKVESLMKERVGELEAAAEASRQTEATLA ARLQEAQYELSGHHQVLRERDEALCRESQSHQLVEERVAEMERQLKEATSLVDGERQE ARRQAKRQAKALQQSQARCEELQRRYKEKERELTEQAERYEEILAARDASAASTTAQI EKEVDGYRRQYRELQQQLLQERVAMAAVAETQRAEVLRLRRTLNALQEELLLEAEDSR GSLAASRKHLQGELARGRHAEALLREASAEVARLRTTVADLQQKQHGSEGVLHDSPAQ LSPKQKQTKKQRQKQRQRHDPPLPEDEPVPLPGDTTSLLLNECDDVNNDDRSTHHHDS YHCNGGGHNPQQFLFPCKKGDDGEERSPSVRNGTVPHAVDRPSSSLSRGEQENAQANG ETAPSPEPSYTCTESATSDLVKCIEERLEPHLAALQTKVVDAVSALTSAGGYGVPEEQ PQQPHDDKYQLTHLVNSPPTRRGTAPQVADAALTLGCVVKLLEAVSTLNVVLPYFESL SYQAAADGVLRGMDKRLVECLQQQQRLLRFAMQKIDEGRGQGGSPPASNVLSASNVAI GDPLIPALTVALEERLETLLAMADLSNWAGPSSDPATVSTHPQFEEAGNASVSAEPMA LRQVTPSSRDEDHALQQLGPAQSPLSPPRRSRTGDVRSRHTFGAVGGRLEVSESGAGI RRLPLPSVVDIISCSALGALNHKSFSMDHRAAPASALSSCSPPCFTYTIRAVAPCTNL LVGLSDAQLPLEVFSPALNSLSYPNCYFLHLGRGTLYCPRLSMADVPYPSFMCSGPIL VDEEVTCVLDVAARTIRFVRSGVDCGVAFNDVEVSLPLCPAFEFDSSGGAIEFR XP_847608.1 MLSSLDHTATDALHNFQVALRRSQEALQQEEARFNQLTQAIHQQ QEVNSTHREFIRGQQQPRLDALHREITRLRSLLDQQREEAGSQQSHLEAVRRPRREGE ARDRELRRKIEGQRREYSRRAAAVQAALLQWWNPQNSLENLSAVAEQRVLEAEALRCQ VAGLQTRLQDLTATVVGEANQNRNGAGTDSVEKESNTDNVAGKGGEASAEDQCDGEGC VESLRLRLRQQQDQREAFVRSSSENISFRRRELQSLKADLQKLCAAVETADAARQEVQ QKLRGALNQQSNTRVVCCRCGLDVVGSFVA XP_847609.1 MLFHTTPCSVNGVPLVSFNAVAPFNASMGGPPVATPPGQRTLTT FYSDPTASWQAAVPLGKIASPPPPSRGSAASTPPSLTGSSPSVQHSSPNSQLGFRGHS GIIITSGGGGNVHQFHPHPQQHPTMSGNSSINSTSNMSPLPPGAMPACAWNLSMSPPP PSSQPIYVVLPHGPAPTAGGPMPPGAALTAARAPSSLPTTLPSRRGNGMMYQLGEWYE GVVKRYNPMRGFGFLTATHHLQVIPPDVDNRAGSVSDADGQNSQQTASPPQATLLRTP VTVGDVFVHQSYIRMQGFRALSTGDRVAFRVGKLPGKDANQAVSVQLLSTAKPATGAT PTEEEEEDVQGSSSTTATTVLSGFSLTQHSSKDHEGDAQNSAPGVTEHDVTDESVTHS DITVERLLAHITRHAIPSSVASTAEPLDGTDATNDDNIGGNDNMKCSRDGWVENAPTQ RRHLPFCPRLEEVAAALEATDFLGEAELTSPPAQWGLLSPSTDARGLFGGFDGK XP_847610.1 MSGRLSSCELPEPRRSEIMSAAGRRSQLALAVNRTLDRKSLFYT SSNTHNTAVDAAGSSRISGINEHKLDTCTNTTIRDVVKCAINRGSGITDSATAVRALS VLPSETLMSSSRPSELLSHCPLSGSLRERGADPLRSMQPTSQLSFMTCSSAGPRISAG KRVSAPPRVEPTVSCRISTMQISPRLSCIADRSGAVARSDIAVGGRSALVGVHSPQPR VINSGRVAYGTYTRRSTENDGVAPARCAPAISSTSSSARIAAIRSEKSVLPPAAIATT TATPTKTTPVTPVSASSSASIHFAVSRTAAPRNGAAADNSGSGTRAAPDVSAFRNSRL SDHEGAQQLISPEKQARDADGCTGAKGVSRRLSFDDGAVKMGSNSLDFSKDSTKASSR VNGADKPEQTTVSLSANTQQWREESRTTLTPVRRPTAPPTFISTLDPCPVNVNEGVVT TTKSSADEVVLGKHVQENDVRAHGRERQTVNVSRLHSYAGAPVHETGQKPFIRESVCG TDSDRSQSNVVSSRISQGDIRFCSALRPKFDMCSGRYGDKVAQVKMSRCHSAGSRLLP ASRESGGTLFNDERNTSFGARSRARASDQVGCNSHKEANGSSHAASLVSTTAGGRLER AERRPIPPASQWKGTCFPASLTAVDRASGSNTYAATTAKRASSTDAQTSEGCGAFLRG LKLASSGAADSMEPQVIPPTFSKDTETTLTFTRCVTEFCTTILQERDRRHDAEAGAEV KACHPTRKSGSMDVTSLCFSRCASGRIVPQSREWPRRADPTSQKRLSCPSVPLRRSAT APSWRCRMSTREETTCPIRAPSPTNTTTSSTASVLLRVRNVLDERRARIQASLQEWRN GTSEAGGNAAKAVVLARVDQSNHQQLKFNRGGGSGSGSSGGCYGISSSNTPGEMTERV DKSHERSEEEEHTPLQAPLHGDESHMQQKRYVLLASESVASPKRINSSDHHGTDDSEG NDTNHISVGGDAARRGEQHVDCDNCVSDGYEADYCDDDDDDDCGESSHSDEDPWWFFE YFWSGPAIVVVPPAFVPPLNFAKLLIPDDGTALKKYAIPLPTPMPLSPTRANRTVPTD FVAPPAFNPPHSSAACSGSRRRRLAEVKQTETSVEAKRERGVSVKILLLETAERRSRR LRHQEEIASRWALQEQRAEELRCQGL XP_847611.1 MLEEPASDPSTPVEHKAISVPPPPPPNCDPTKMIISDRSFVDSK CNDFSAGKVTGVDISCGVVPIHVDDVVAYEYIDNCRRWRWGLATVVALPAPWVVQLLL WRSDGELLLPSSRYCNGQHSSHAHNLASDYTADVGIKSCHLPDSISKHRRQLLMHQLE RLKEERDYVQEAMDGLVRRLAVHRLTYRTQRQRVQDAATAAEHLLAEAQARVKSINQR DWREIRCYRNPPAIVRLVVEAVFAVLGEHDCGKWRWSRLQKAMRRSSFLHAVERFKPC HLSESAKQHIRKKFMEDSRFTYEAAVKGSQALGYLEQWVVVQVESAAAKEGLAAYDEA HGKERGAIVMLEGEVNALRDKLDQYMKEEENIQTALHRVTGEFPNNFSEVGDGDDTTS SAVDAGFGVTDGWDGCGCGCGDVNGGSALNCDDCSGCFINCDNVRVMEGTPRDVVSAS VAIDICKGHIVNNINSALREDAGCVQEAGAVWTGTEELLLVLRTTILCNFNRAEQTII HLTHEQMSALGEALRRRGHSLINNSWEVDRRAGEMERDLQDALEELRGHHGRTLHDLR QLEIENYELTRKLERREQELDKLNRAIQDGIGLFCTPRGSQLGSTRGTPRSYEMDGRM STTGNGSNVSNRSRRWNSSTHFLSTAVSCADESQSNRLSPVDQRDASKATASTTSSRP LPTAAYVQLLEDDLRFVQEELQRSKDELNNFRKMVTPGYYTSTALWLDRESVMEVQAK KIAALVEALAHSDEGRRHVEERMMTEHRQLMARMEALQRDMEQQRGAGKNSLPIPQNV XP_847612.1 MNRFQRSDETLSSSASGQRARRSTTGGLENSISISYGTDITRST SSKAIRRRRSNLTVPSGTGHFSCTRTRIPNNTCLRRSSFPSGNTPLRADRTPSPKSLK RQKVRLHVVKPLLQSSHNTRLIVNDSVCTGTDTKANGDSDTKCDVNDISLCSTSVVDA PPIGPEEGTAYQQQENLTLNDVEELRRRREQLRYGVDTSLARIAELKLLTQAFRLDQR GTDGGDGEALNAASSAEISNSALLNSTENEASVTSKSLAIEGEEWGREEDTLLLLKVA EEEYEELRLQLTLACASLSAVPQTLWTDIRRFRQPPPAVAAVVDAVLILLGLPQSRHR RLGLGNGGWPNFPQQLIQCDPLATYQKIMGIADCESWMNGVSRMGELEHFLCHWTHLR VSRVHPTLGPLHQWVKTMVDASRAAGRLEALRDASSAKQIATVAGRGILLRELEENEE YVQLAQEEIAAIDALVADVVAFGVAGPAISSGAAVSEEKRNLAEPAEAYGEPSAQRSG GRGRAGKGVSWSAEVSNANSLPLVSGTEQRVTTAGTGRRRKDIVIPALNFADCLPSFP HAEQGPLSLRERFERIRTSSLCHSPRAGPISHVEGSPSRGNFGSVTEIANSIGNVSFL TTARALRDRENLLMRVHQLEEQLNEICGSKPKEVELVLLRDELAAAQEEISRLRAERD ELEQRAKRRDTYYKPSSPRGGCIKGLDEELAGADEISSAGASKEKAADGSGDAMTVMM LEQQLLTAHARIQHLEATVVGLTSDEGTQCTSVSGSGNDSPEAPYDNSNRPSAFAASG LGITIAGGPGEVPFLQRRIDEMQRILEMQERAVNDAEERLNNEMHAKNEAYEQVRQLQ AELRSVWQQLENSEYQLKVAMEGSRCYYCRADLDDRDAGHGGEDMRQQRRREAVCQEE IERLRMQLRDQKQRSVEERRRRLELRKVRSALLLKLENTFTEALRLQENGSERAAAIL QPTRTDMGDNVNSQEL XP_847613.1 MLQFLLISPCCLQYCCLLSLLSLFSHFSSLRLTNSSSQVTSVMA SFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSSVTVRVYNLLYSSDISK TTYEPVIAGFNASLHAHNDDLPANVCIEVEHVHARSDEDYVSYLKNKIDGDAAVQNKS ELPIVLGPVGDETTLHLTRELEKFEIVAFSPFTGSSDVRVWKKSLYFLTASPIAQVLA LIRYAVSQLRLQRLGFMYLKGVSFGDKEYKLTLKVMSIMGRKLCGVFELDSSTDGRAS DDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLMKFLGSNELRGAYILIPSMLQYVII NSWMKELAVKNFVPERVILTGPSPLANDNEYIAVRKFQTVMGKYLETNGKLNGHNYEK GHFYDHRTDGELMVHGWIVGEVLWRTLGSRESLKNRTTYINSLYNQRRYVIDDLVIGD FGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEGVVTLASSRCYTNLLQL YAPLNGIMFRLEDNPLAQRITEEYRDGASLVVGKGQLGQGDRFFLHELNSTSSATKHN MLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHPGRNALHLSPTIEQQIF VMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSLGAFDEVTDGESVKSLL PHSGFVLVIGLTEADITAIAEHLDNHREVRVFALFFDVALLYSEFVKVFKKHPQAAER LLFATSLPHWADNNTTSETVQEFHRDVGNESKWTPLALLGYATARAMESVVLQMGRVN SEELINTIFSQSVIVADDMWYGPFEDSCSNSRWSSAKDCIVNYGATHISVWSMARVLN PSVPPVRGVATPSIRYYKDGLNMTEEEFIGTIVGTILCLIALVLIVMLMRKCMRGDTR DNENAPRELTDPVTLIFTDIESSTAQWAAHPELMPDAVATHHRLIRTLISKYGCYEVK TVGDSFMIACKSPFAATQLACDMQRCFLEHDWKTDVFDTSYREFERQRAEDDGDYVPP TGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDFYGRTSNMAARTESIANGG QVLLTRPTYLSLSASEREQLNVTALGDVPLRGVPKPVEMYQLNAVPGRTFTTLRLDHE VADDEDTSVSCSDGSSIGAVLSDAAYQVVACVEALLGAFPTAQRKKLLMPFCNRWGVS LPYNVSDTWDATTCRNVTRLLAARVGRVVDFGTKNTHDSVQFSERRSGTFPSRLAAAV DLVSIPSTSTCIQSSCSIIYMNVPDDDDTSKYSPKH XP_847614.1 MASFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSS VTVRVYNLLYSSDISKTTYEPVIAGFNASLHAHNDDLPANVCIEVEHVHARSDEDYVS YLKNKIDGDAAVQNKSELPIVLGPVGDETTLHLTRELEKFEIVAFSPFTGSSDVRVWK KSLYFLTASPVAEVLALIRYAVSQLRLQRLGFMYLKGVSFGDKEYKLTLKVMSIMGRK LCGVFELDSSTDGRASDDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLLKFLGSNEL RGAYILIPSMLQYVIINSWMKELAVKNFVPERVILTGPSPLANDNEYIAVRKFQTDME KYLETNGKLNGHNYEKGHFYDHSTDGELMVHGWIVGEVLWRTLGSRESLKNRTTYMNS LYNQRRYVIDDLVIGDFGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEG VVTLASSRCYTNLLQLYAPLNGIMFRLEDNPLAQRITEEYRDGASLVVGKGQLGQGDR FFLHELNSTSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHP GRNALHLSPTIEQQIFVMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSL GAFDEVTDGESVKSLLPHSGFVLVIGLTEADITAIAEHLDNHREVRVFALFFDVALLY SEFVKVFKKHPQAAERLLFATSLPHWADNNTTSETVQEFHRDVGNESKWTPLALLGYA TARAMESVVLQTGRVNSEELINTIFSQSVIVADDMWYGPFEDSCSNSRWSSAKDCIVN YGATHISVWSMARVLNPSVPPVSGVATPSIRYYKDGLNMTEEEFIGTIVGTILCLIAL VLIVMLMRKCMRGDTRDNENAPRELTDPVTLIFTDIESSTAQWAAHPELMPDAVATHH RLIRSLISKYGCYEVKTVGDSFMIACKSPFAATQLACDMQRCFLEHDWKTDVFDTSYR EFERQRAEDDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDFYG RTSNMAARTESIANGGQVLLTRPTYLSLSTSEREQLNVTALGDVPLRGVPKPVEMYQL NAVPGRTFTTLRLDHEVADDEDTSVSCSDGSSIGAVLSDAAQQVVACVEALLGAFPTA QHKKLLMPFCNRWGVSLPYNVSDTWDATTCRNVTRLLAARVGRVVDFGTKNTHDSVQF SERRSGTFPSRLAAAVDLVSIPSTSTCIQSSCSIIYMNVPDDDDTSKYSPKH XP_847615.1 MASFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSS VTVRVYNLLYSSKISSKIYEPVIAGFNASLHAHNDDLPANVCIEVEHVHARSDEDYVS YLKDKIDGDAAVHNKSELPIVLGPVGDVTTLHLTRELEKFEIVAFSPFTGSSDVRVWK KNLYFLTASPVAEVLALIRYAVSQLRFQRLGFMYLKGVFYGDKEYKLTLKVMSIMGRK LCGVFELDSSTDGRASDDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLMKFLGSNEL RGAYILIPSMLQYVIKNSWVKELAVKNFVPERVILTGPSPLANDNEYIAVRKFQPVME KYLEKNGKLNGHNYEKGHFYQHSTDGELMLHGWITGEVLWRTLGSRELLKNRTTYMNS LYNQRRYVIDDLVIGDFGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEG VVTLASSRCYTNLLQLYAPLNGIMFRLEDNPLAQRITEEYRDGASPVVGKGQLGQGDR LFLHELNSTSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHP GRNVLHLSPTIEQQIFVMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSL GAFDEVTDSESVKSLLPNSGFVLVIGLTEADITAIAEHLDNHREVRVFVLFFDVALLY SEFVKVFKKHPQAAERLLFATSLPHWADNNTTSETVQEFHRDVGNESKWTPLALLGYA TARAMESVVLQMGRVNSEELINTIFSQSVIVADDMWYGPFEDSCSNSRWSSAKDCIVN YGATHISVWSMARVLNPSVPPVSGVAAPSIRYYKDGLNMTEEEFIGTIVGTILCLIAL VLIVMLMRKCMRGDTRDNENAPKELTDPVTLIFTDIESSTAQWAAHPELMPDAVATHH RLIRTLISKYGCYEVKTVGDSFMIACKSPFAATQLACDLQRCFLEHDWKTDVFDTSYR EFERQRAEDDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDFYG RTSNMAARTESIANGGQVLLTRSTYLSLSTSEREQLNVTALGDVPLRGVPKPVEMYQL NAVPGRTFTTLRLDHEVADDEDTSVSCSDGSSIGAVLSDAAHQAVAFIEALLGAFPTA QHKKLLMPFCNRWGVSLPYNVSDTWDATTCRNVTRLLAARVGRVVDFGTKNTHDSVQS FDRRSGTFPPGLVASVPEEGSLASTSTCIQSSCSVVYMMNGPDDDDTSKCSPKHCNMR PLLPE XP_847616.1 MASFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSS VTVRVYNLLYGSDVSKAIYEPVIAGFNASIEAHKGDLPANVCIEVKYVHHDGNVIEVG NINRIVEEDKGNMNELPIVLGPFGDWETRNISSELEELKVVAFSPFTGSSDVRVWKKN LYFLTASPVAQVLALIRYAVSQLRLQRLGFMYLKGVFYGDKEYKLTLKVMSIMGRKLC GVFELDSSTDGRASDDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLLKFLGSNELRG AYILIPSMLQYVIKNSWMKKLAVKNFVPERVILTGLTPLANDNEYIAVRKFQTDMEKY LEKNGKLNGHNYEKGHFYDHSTDGELMVHGWITGEVLWRTLGSRELLCNRTAYMNSLY NQRRYVIDDLVIGDFGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEGVV TLASSRCYTNLLQLYAPLNGIMFRLEDNPLAQRITEEYRDGASXVVGKGQLGQGDRFF LHELNSTSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMXFIDPIPLSPRLKHPGR NVLHLSPTIEQQIFVMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSLGA FDEVTDGESVKSLLPHSGFVLVIGLTEAYITAIAEHLDNHREVRVFVLFFDVALLYSE FVKVFKKHPQAAERLLFATSLPHWADNNTTSETVQEFHRDVGNESKWTPLALLGYATA RAMESVVLQMGRVNSEELINTIFSQSVIVADDMWYGPFEDSCSNSRWSSAKDCIVNYG ATHISVWSMARVLNPSVPPVSGVATPSIRYYKDGLNMTEEEFIGTIVGTILCLIALVL IVMLMRKCMRGDTRDNENAPRELTDPVTLIFTDIESSTAQWAAHPEIMPDAVATHHRL IRTLISKYGCYEVKTVGDSFMIACKSPFAATQLACDLQRCFLEHDWKTDVFDTSYREF ERQRAEDDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDFYGRT SNMAARTESIANGGQVLLTRSTYLSLSTSEREQLNVTALGDVPLRGVPKPVEMYQLNA VPGRTFTTLRLDHEVADDEDTSVSCSDGSSIGAVLSDAAHQVVAFIEALLGAFPTAQR KKLLMPFCNRWGVSLPYNVSDTWDATTCHNVTRLLAAKVGRVVDFGTKNTHDSVQFSE RRSGTFPPGLVASVSEEGSLASTSTCIQSSCSVVYMMNGPDDDDTSKYSPRHCNMCPL LPE XP_847617.1 MASFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSS VTVRVYNLLYGSDVSKAIYEPVIAGFNASLHAHNDDLPANVCIEVEHVHARSDEDYVS YLKNKIDGDAAVQNKSELPIVLGPFGDWETRNISSELEELKVVAFSPFTGSSDVRVWK KNLYFLTASPIAQVLALIRYAVSQLRLQRLGFMYLKGVFYGDKEYKLTLKVMSIMGRK LCGVFELDSSTDGRASDDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLLKFLGSNEL RGAYILIPSMLQYVIKNSWMKKLAVKNFVPERVILTGLTPLANDNEYIAVRKFQTDME KYLETNGKLNGHNYEKGHFYQHSTDGELMVHGWITGEVLWRTLGSRELLCNRTAYMNS LYNQRRYVIDDLVIGDFGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEG VVTLASSRCYTNLLQLYAPLNGIMFRLEDNPLAQRITEEYRDGASLVVGKGQLGQGDR FFLHELNSTSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHP GRNVLHLSPTIEQQIFVMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSL GAFDEVTDGESVKSLLPHSGFVLVIGLTEADITAIAEHLDNHREVRVFVLFFDVALLY SEFVKVFKKHPQAAERLLFATSLPHWADNNTTSETVQEFHRDVGNESKWTPLALLGYA TARAMESVVLQMGRVNSEELINTIFSQSVIVADDMWYGPFEDSCSNSRWSSAKDCIVN YGATHISVWSMARVLNPSVPPVSGVATPSIRYYKDGLNMTEEEFIGTIVGTILCLIAL VLIVMLMRKCMRGDTRDNENAPKELTDPVTLIFTDIESSTAQWAAHPELMPDAVATHH RLIRTLISKYGCYEVKTVGDSFMIACKSPFAATQLACDLQRCFLEHDWKTDVFDTSYR EFERQRAEDDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDFYG RTSNMAARAESIANGGQVLLTRSTYLSLSTSEREQLNVTALGDVPLRGVPKPVEMYQL NAVPGRTFTTLRLDRRIIDEDTSVSCSDGSSIGAVLSDAAYQVVACVEALLGAFPTAQ RKKLLMPFCNRWGVSAPRNVSDTWDATTCRNVTRLLAAKVGRVVDFGTKNTHDSVQSF DRRSSTSRPLLNAVSEECSLASTSSCIQSSCSVVYMMNGSDDDTSKCSPKHCNMCPLL PE XP_847618.1 MASFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSS VTVRVYNLLYSFKISSKIYEPVIAGFNASLHAHNDDLPANVCIEVEHVHARSDEDYVS YLKNKIDGDAAVQNKSELPIVLGPFGDVTTLHLTRELEKFEIVAFSPFTGSSDVRVWK KNLYYLTASPVAQVLALIRYAVSQLRFQRLGFMYLKGVFYGDKEYKLTLKVMSIMGRK LCGVFELDSSTDGRASDDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLMKFLGSNEL RGAYILIPSMLQYVIKNSWVKELAVKNFVPERVILTGPSPLANDNEYIAVRKFQTDME KYLETNGKLNGHNYEKGHFYQHSTDGELMVHGWITGEVLWRTLGSRELLCNRTAYMNS LYNQRRYVIDDLVIGDFGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEG VVTLASSRCYTNLLQLYAPLNGIMFRLEDNPLAQRITEEYRDGASPVVGKGQLGQGDR FFLHELNSTSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHP GRNVLHLSPTIEQQIFVMVERVVVPNSWGSVHAIVRSSDARGIKSVLRKTFWALGGSL GAFDEVTDGESVKSLLPHSGFVLVIGLTEADITAIAEHLDNHREVRVFVLFFDVALLY SEFVKVFKKHPQAAERLLFATSLPHWADNNTTSETVQEFHRDVGNESKWTPLALLGYA TARAMESVVLQMGRVNSEELINTIFSQSVIVADDMWYGPFEDSCSNSRWSSAKDCIVN YGATHISVWSMARVLNPSVPPVSGVATPSIRYYKDGLNMTEEEFIGTIVGTILCLIAL VLIVMLMRKCMRGDTRDNENAPKELTDPVTLIFTDIESSTAQWAAHPELMPDAVATHH RLIRTLISKYGCYEVKTVGDSFMIACKSPFAAAQLACDLQRCFLEHDWKTDVFDTSYR EFERQRAEDDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDFYG RTSNMAARTESIANGGQVLLTRSTYLSLSTSEREQLNVTALGDVPLRGVPKPVEMYQL NAVPGRTFTTLRLDHEVADDEDTSVSCSDGSSIGAVLSDAAHQVVAFIEALLGAFPTA QRKKLLMPFCTRWGVSLPYNVSDTWDATTCRNVTRLLAARVGRVVDFGTKNTHDSVQS FDRRSGTFPPGLVASVPEECSLASTSSCIQSSCSVVYMMNGSDDDDTSKYSPKHCNMC PLLPE XP_847619.1 MASFMRLVTPLGAAVACSHLLMVVLPLLLLIPGLCADKDCNSSG KVSVKVHSLLYSSKISSKIYEPVIAGFNASLHAHNDDLPANVCTKVELVHVVSGGNHM NTIETMIGEGEENSNELPIVLGPFGDETTLHLTSKLEKLKVVAFSPFTGSSDVRVWKK NLYFLTASPVAEVLALIRYAVSQLRLQRLGFMYLKGVFYGDKEYELTLKVMSIMGRKL CGVFELDSSTDRRASDDDFEAAWKRFAPTMPQGVIVFGSPIEDTKRFLNRLLGSNELR GAYILIPSMLQYVIKNSWLDDLQLFNLNFSKVIVAGLTPLANDNEYIAVQKFQTDMGK YLGNNGKLNGHNYEKGHFYQHSTDGELMLHGWIVGEVLWRTLGSRELLCNRTTYMNSL YNQRRYVIDDLVIGDFGGECEGKAGQRGAACKCNQGGNVVYMKRMGTDRNLHPVKEGV VTLASSRCYTNLLQLYAPLNGIMFRLEDNPLAQRITEEYRDGASLVVGKGQLGQGDRF FLHELNSTSSATKHNMLEEVKERVVTAVFGVVDDALLSMTDMTFIDPIPLSPRLKHPG RNALHLSPTIEQQIFVIVKNFVVKNSSRRVRAIVRGGDARGIKSVLRRTLWVFGKFLR AVDTINDGESVRGMLHRSRDCFVFGLTEADITAIAEHLDNHRKVRVFVSFFDVALLYS EFVKVFKKHPQAAERLLFATSLPHWADNNTTSETVQEFHRDVGIQSKWTPLALLGYAT ARAMESVVSRMGRVNSEELINAIFSQSVIVADDMWYGPFEDSCASGSSVKDCAVNYGA THISVWSMARVLNPSVPPVSQPESPSIHYYSNGLNMTEEEFIGTIVGTILCLIALVLI VTVIRRLMRLNARDNENAPKELTDPVTLIFTDIESSTAQWAAHPELMPDAVATHHRLI RTLISKYGCYEVKTVGDSFMIACKSPFAATQLACDLQRCFLEHDWKTDVFDTSYREFE RQRAEDDGDYVPPTGHLDPDVYSRLWNGLRVRVGIHTGLCDIRHDEVTKGYDYYGRTS NMAARTESIANGGQVLFTRSTYLSLSTSEREQLNVTALGDVPLRGVPEPVEMYQLNAV PGRTFAALRLDCQIIDEDDTSGACSEASSVGIGLGDAAQQVAACIEALLGAFPTAQRK KLLMPFCNRWGVSAPRNVSDTWDDTTCHAVTRLLAAKVGRVVDFGTRTGIGSLGASIR RGSFISPMASRIDIRGSSFAPSDIDVGVRTVHFIGLPDDDAGRCSH XP_847620.1 MNPIYTLVELRGWSDIHEVRQRCSGRDVAQPLLGLQLSDAEMLK WVESKERQIYSALARRFLHLIRENPSSTEFVEISGEFTQVVMDSVIDPLAKICKLLPA RGEPLQGNDSKFCVSSMNKMSSPSLSEGGSPLVVKRVGIKGGIKFYSGVTRWEWMDLF SNKEAAVGKGCVLKTLLGETPSFEQWNASTLAALRRVGDVVSEVQKDLMSALNRLAAG RFGRVIDEVSGKGCNSLWTELVFMVEDAFDDVVTDAVELILWSIHQCFLEGGVFAGHP MFSLKAFWQYSSCSAALSPAPSEVHERLMNRVKKELIWNIVPEFDLNQMIKRQVSGGP RLRRAALRNRLLEEGKMKRRWDAIHFAASQRCDELQESLQALTESYSFYFSSENEFPQ MNYQRLWEVREGLRSSLTHPVLPVGSGVFVVHRDSLHQVAADKLEVAFKKVVDESKCA DALSRETITAARMKALAAESDLEFYSNSLRARMDAFSRRRKVQGKYDRGLDPFAHVTR LINEQRNDEDRLRGQFTILVKKNPIQVDSAVVAPTDTATDNGLASFSVTDAASTASSA NTERKRSLPKHVSYRDARTLKPPVSLNDANNVDSGGSGALPPAKPSAVYAAGIQRPQS NEHVAKVGGKNCRFKPGQGILESSKESASFQRADQRTVKAPNENDTIVTPLELIKEEH HTAIGDAGHDVELLEPTDSAAAPVCPFPSHEEKEAPRPISEFFPLFPKQCPPVSQQDA DVDAAEAPLQSQRREDHMISSPEVAQPIIQHTCPDGAEECSAASEKSPLAVKGAVASA PQEGCDAPEVAASALKDEERKEYLRKLELLHFNVGAVEASEGRVRAIKKLLPFLPDMP CEGVFIEDINLSDSRIETSMNRLRAVRGSATVLRNYRDIALVEDSVSAIIESVAEELA TLTLRMLLRFPFLEGRICGVLLGNLQVLEVDDEFQSLITLYRSSQKGQGPTESIEHCL RVRARALANECPYVVTPDRYEGHMITLQKDAADAVTGERPHNDSLQETTRQGSAEGAL KRQQGSRASVSTAEVPKLTQRTISPEVPVPSPPSLPVEKTAETAPSLRFVRNIHVHEQ PPLRCEDEVPLATDSNSRSHKAVPSFTSVVQTRRVLSPGNPQSSVGEQSCKTDQSVMK SNVSVEGDANTRKNSAQTRAAVSSTSSMRENRVLTNAQSHGWSVHSMGSAKSDNLNST ALSKERHTPDAQLLAVRKECTVPTRDSRGSGPPREKGECKDSGESESTKTSFFDTIEK GLMDERMHLQELETILRQVVKGCEAVPNIAKPKSPGLRAAKSTSVLPCVSAAPGVVEC GPESSNTSPLTEKTSASSQSKWASPSPALLGKVKTVPRPPSTGRFVSRAPVSSPRPGL EPDGSMTSNPPQGTTATRGEREQDARMTGRRAGSDPTWCNVRDTSNACGDEFEKHKQR GDAFEAAGDTNHTLSGDSNQTQPWPRRRPVPDSNGSCFKSITDAVVKSSWGTLGSEME PESDKAKLPSVSNTAQRGKLNGLFHKSQTSQINKMPWGRFTISHNPPSNVSLPNAFSS SYSADSSRMDHGNSPPFPQLSPTAKSDSPPCRTHENEVDGQLMNSVGLRQQYVIFCRR ACVKPNSMLMRLFPDKPGAFVSRIDTSVNYVGPKGFLPIMQVLRLNGGLEYLNLSHNN LENDEVVELVQVLLTECGASLCFLDLSNNPISLVGGAALLRLTQMRPLLLTVHVKGTL IPQQLCRSIQEACEANAAAGA XP_847621.1 MTNEPSEAATAPTPADLRHISPSPPRVRPQSSADMTSQLSFPCA YAARVYHVCTENSFCHPQPPDDVKLPMRPHSSGATYLGWIRNSLSGRLTANCYGQTNI CSSKGCGINCGNQTIQRSSSSLPCTTATHPANSPAAFEEGNGDDVRDASAPVRRTNFS LARRLRLRGPLHYQRVVDVVRRLRVEGHLSNKECDESFNRLQHNGTNPCAVTNGIQDG RGVHHNILNCSEAATSTMFSPGQTQRESNSLNHARNSNSEPTGGENTGNNQKTECTYN LPNCVTGVIDGDAEVLDATADSSVTSGASVVDIVVLSNADGGDTAVMKMTRDVVVVAT DVGVGVGAGLSNDVTTANVEARRRISPSTASLEKERRGGDDVVDAVGTAVDRSAGSVK GHNFNCTEFLPFNVVEGELLRNRRALEQLVTIFEGTLHEIRSACVAEDDGDDVG XP_847622.1 MEDATKETSAPATPETHDAMEEHVTALEDTNTPQTGSLARLKSC TLETNNQTVPAPFEATFNDEQPHGAALPLEGIPEVKEDVQCGAYGEGENNNNNNNNDS VELRGEAEKEEVDVGCSEECEEEFSSGGDDASAAEEEGGETKRARRGKSRRSRKSDGG DAYNPIAESEYKAISKDVINCVWGNTFLQASSSVEKDLLPPELLEPMERRRVFITSSQ ARRLKALRAAQMIQEKDDTHVQFEANVDLPQVTLPILHNTLRVEGDGFGKANKSVDSA SDKTEYHGSNRCDTDSDDEVDGAGQQGEKSSEQIGHAVGEAQLPLISGCGSHPQVPGA DANGAPSSTLHPKPPCSNTFPCSVAEDNAGAGGNPVDGGTRVLPFSFFTMVPIKDKQR DVVPGSRNSASLSKPRLSTQAGRRMSRRLSEVPPPSARYPTPPRQDGGALPQKSATST LYASAAEKLRSQNGIWEEMYEIIEETRDSVLYAEAMEASGEMIE XP_847623.1 MIKLSPLLLKAAVVTACLCSLAVASRVEKQTTLKPIENATTYQQ ELGGRGKVDSPIAPGDAVSITSGIKVMSVTTATAIIFLASAFGFSFAMYWWYVASDIK ITPGKGNIMRNAHLTDEVMRNVYVISKRVSDGANAFLFAEYRYMGIFMLGFGALLYFL LGVAMSSPQGEGKDGRPPVAVEAPWVNAAFSLYAFVIGAFTSVLAGWIGMRIAVYTNS RTAVMATVGSGGSDDDVLANGSQSRGYALAFQTAFRGGITMGFALTSIGLFALFCTVK LMQTYFGDSAERLPELFECVAAFGLGGSSVACFGRVGGGIYTKAADVGADLVGKVEKN IPEDDARNPGVIADCIGDNVGDIAGMGSDLFGSFGEATCAALVIAASSAELSADFTCM MYPLLITAGGIFVCIGTALLAATNSGVKWAEDIEPTLKHQLLVSTIGATVVLVFITAY SLPDAFTVGAVETTKWRAMVCVLCGLWSGLLIGYSTEYFTSNSYRPVQEIAESCETGA ATNIIYGLSLGYISVLPPILAMAFTIYLSHHCAGLYGYALAALGILSTMSIALTIDAY GPISDNAGGIAEMAHMGHEIREITDALDAAGNTTAAIGKGFAIGSAAFVALALYGAYV SRVGISTVNLLDARVMAGLLLGAMLPYWFSALTMKSVGVAAMDMVNEIRRQFQDPAVA AGTKEPDYESCVNIATGAALQQMVAPACLVMLAPIVTGILFGRYTLAGLLPGALVSGV QVAISASNTGGAWDNAKKYIEKGGLRDKSKGKGSPQHAAAVIGDTVGDPLKDTSGPAL NILVKLMAIISVVFAPVVQSKLGGLLVK XP_847624.1 MRIEVKFARRTIVICLDDGPPPPLLRDLKQELYTQTGVQPAMQK LLGKPKLNNPANDSASLDSLGVGDITKLMLVGSTAKDIVAANSASTAEDRRQEEVHGQ MLHNVVRNTWLTCSFSGFMADPYVGGKGPDFEHGTVEAALLLLDVAVTRINTLGVNSM ASNGAGDGSTGGPVGLQLLQTIGTEGQSAISHPLLRHLNALERQAIQRAHGVLRRCFH LTVMAKSAVNPPEVVKVVAKVVSIVNGLSVGEWVMLPGGWTGMKSHTVIYLLIQRESA EEFNVVVVNRAADGSEYHPSWQTREKIVACPFLRFDHVAGARLLDRAFWLLLLSLWMR SNAPGPRSEFVRAEVFYDVLLPWLVERPPTRSNRQSSTVSSGSLRSATEMILRSSSNE GVDAAEAEGSGSQTTLPSAVQSYGATVARNCSGSIKGAVTSLLYLLEQYGMKERAVQK SVKYALKYEFMMRAAEDLAALADRFLKSAGNWEGSDTGERQGLTTDKNFECSRVERAL NELRSAGVGHTVSPISTKELFRTAHEKNIIFTNDKNIILSQEHFSNKPLLVYCGGLQD PASARFSTLLAEAVDQFRLSSPQVELLFLSCDDTKERYERHIAMFSFARAAYPCDVLV RKLYVTEVPKLLLFGPDGRLLHRRGVDALRADPSATLFPHGGLWNGAMPLTATDVAIL KSGAAVLAHHTRKRLAITNSAISERDGFIPVGEARRVLRILSAVCTMVELIPKEPNIA EGFLIQNTTKNDVHNNMIEGVATPQTQKDAALVGQLFTLSDIVEGNNSGGGDSSGCGG SCGRHECGVPMEAVAFLNGSLLPATSTEAYKGQPLRTAVPEVQSLTDLRPVTSWAQLH FTLKRAEAIIDALWLRARHSGTTSRVAIQMHIIEVISWLFLFIIPLPLPRGMDVRSVP EEERSTVAEFYTVDAFPSAPTGDGSGANGGRATDVQGGLQESIYSLMLSFTNAWQAVE VPTRAFDAERGITAMEMLVVYDAVARHTANSASSVNSRLLASMLNCDGGYYLSTSMGG RSLSFAQVSASMELVRPQMLIRRSALLRYITEQQRRCAHELFDLPMTDKLEVRKNSVT VGFLRKLLDNCGYRLAETSGPSMFTAAGGSGGSEMEQLMQWFCSARSPLARDHPEFGQ LRDMVLLTKFLVTMEMRDAQLLRRRRQPDGDGGGRGATTWRLTFDADTPGSQMSAGWR TKPMPPHWECMLVRGRDSDIADIVVTGFGGRELLYGEGLVLHSPIDVGVMLGIESATE DDVLHAVASKLPTFGGAMSAEESEVLLSCLTAPYLRLPLVLNFFASQSHHTYLFNAAV QSLFRAALFEPGAFTTQQQQSQLEPELVPMRLNRRQREEIEQRRLIGDLSAVNYEACL GTPYGLLLNELQHSPDAVLVPLHRILVGIEDIGPSSVYSANASYVLFIVGVVCDVLAF CNLARELRDRELSGDGAAPGAVRDVLTSFVTTVLQFLVEIVRPMLLLWCTEGEENDDT PTQCVVHSYMASIHRAAWKYIVPTTSSNSPLSPTALSSSNHLTSLLQSCCFVRARHSF GLGMQRTQVAMQEGDKLLTPREQLLRFLQAQGLDTADISHDALEESQRLMLSGGRRRA VFVQIRSAYHRDTIRVPNLYRSDARNTTESKQLKLPPADVPENVIFGYLLEDHKDVLH FFSSIGAEQMSVVFKLIVHTVLRDHTQGRGSRRMEEKKVRSNNCENAVGTEGNAEVSG GIAPTPTFPRHGTKNEVVPQPVNEDHAELSAVSVVRGGDGHLGESWKQTSPGVYRGPV STGLVFHAHTCELFWRNDELKPVPDSMSHFSDFKTIIGNDVLQCGLVSRSRNYHWVHI VGTPFDVVEWTPHDPLDQGVGAPLVLSGELPKEPVTSASFDGLMFNRPVDVYDEVPWL NQSERWAVDLLRAVLRYKYSANDMKYFPVAQAESPSAPLHQNNDGKEVRKGKGDGVRV MRFIMNDGPQYTDEDNRATWKEIVAYRLPSPHLHVFNLVPHARRVYRSLVFTSNQRWC LHSLPPITGPRPHDQLHLLAFQAGELDRRVQCEGSIEIHRYNSELGMREMYVPAQLLQ GVLPSVLLEVFLFWQDEEDNIRGYSTADAQDYWFNYTLFVRLRSGKGVGAKGGILHNF RGGEWECVVTRRNNTQKYCANARKRPRSRSTAASEVDGKHQKQLSPQWENEEEAGTAA AEQHITDAQVSLMHSSLTFLTATACRTLLQRTKGDIHSAISYALDPANQDDVMLLVST EQRKESESEGDEEETEQPCISKERRHRGHSGNNAGEELTLLNLTQSARLFPLLHLLTR LEDCSHILLWGRVNSKDGSSRRCQRGREDRRRCEENEEEGIDKCSVADVVLIELPRLC ITFYPHVDTATGSLRLHLADHPGWYIAEATDYISGVQNFLPQLQEPFQQYVTLCNSTA GFALMVPNHDFTPMVVSDDPFNKLLLFDRSSLRWKERVASTFYLYTVHPCRSFLVPPS LAASLYYVVLQCATGHYAAAMRTLESCYTDSTFTTEECFMFTLMGRTLDDQHPDAHAV RLKLAHAVLYGPQKPQWPLHTEVAAYLQKKRHVSRACQLTREELIDLMRRCNRSLPIV HAQLQLWAALEKEEEKLRLSNDTDADTNVVPSPETVVRLDTPLMHRCGQPWERLLLYQ WTHISSQRPRRITYKVLDEKALEDEELVKFIWKDTLLSDEESGGNSRLGFYFLYSLKQ GGTAQPKLAGEDVRVTLCQLLTRWFHLRHSRWGREEQQDGEAESRPSWCGAVLQLMDI HPEAGWPAPPNSRHLLHRMNNGVSVVETREVDESESVVALMDRPSPELVEFYRKVEQV ACNLHGNSQVVQQRRGDLLLSIAVTSARRVAHVHMRDHLQPRIVPSNTAMECLQVSFG SLLSAVPNVSRDDGVGKDAEEEHDKLMSAGNPWYRRLTALFGAPLSDMPCSAHLICTE QLTHEDDPGDGRCGDCLPQLPFDLRKLPRCNTPLAKNMLDRLEADVGNFAMKQRQCLS RTLTAFSQERLYAILRSRSTIFATRQVYAEVTCELQRCIDELSALAASDEALVHELTA SILHSANDVCRSDCADEDAPGDEEDLLRACDYQLQRIKGDQCPVPLEWLLCSLLSTEM DADLQAVNPFHGGTKLLQAQLLLLTVLTNRCHIAQQAKQAVRQVVLFLELCALLRGMD DGCKYEASATRSFPVSHCGDDMGVMECHDASISWLQELLQLFSIGVDVDMLFKGEGQS TDGNLQLNKGSNTSSHNGLCCRCLPEDLLQVLESRLQHLSNEVIEALTVRRYCTDLSC DGCGDSSTVVTLDPRYLMFEFIHNILLRARQVEMVRWFVQNINAGVSRVQQMIMGQGK TTVVGPLLALILADGQQLVTQVMPTALLEQTSVILRRCFSVVIPKQIYTLKFDRSFDD SDSSQVNLLKQKLTAATRKRGILISAPECVKSVFLKMIEQLHLVESVMTEYIDAEDGK VAACDTADERVAVRKQELMHRTRQRSSMADAIVPILQLWQRGVLIMDEVDVLLHPLRS ELNFPIGLKHPIELSGPRWVLPMHLLDAIFFYQRRRTTTADGDAHGAFGDGHVKATAI RLEGHPAGVGEDVQNEILGRICDVIAMGYETRALQREPHLVLLDSLYYNDQLLPALLP WAQLWLYRHFQLEKHACLLGHATGLAASLGWRQFLEITTLFLKLRERPSRDSSIACVI NSTFSPLGIQLLCLSHDWLHRIMPHVLSKIDRVGFGLLQERELALLSSEARDRMPLSR RTMAVPFVAKDVPSLSSEFAHPDVVIGLTVLAYRYEGMRFADMRDLLLQLKQDFVRQS GPEDHRPAAKLYTQWLRLSLSCSAETEPTSHGGGILPRVVPSEITTMGTTCVEATNDR SGIPLSQLQVTDEVALHALYLRLRRLPDVIHYYLSSHIFPRTMSFQALKISACGHELG SSMLFTRRIGFSGTPSNLLPLDLGDCFYEPGSDGRVLSVLTNPQVVTVLPLQSDWTPL RLLDQIASSRSQPFHALIDAGALITNMDNETVARYLLSRLSADLFDGVVFLDAKDRQM ILQRSNGLLVPVAQSGIALNRRFTFFDQVHTTGTDIKQAATATAVLTLGKDLVFRDYA QGAYRMRGIGRGQRLCLFVIPEVLTRLNNSLGSVQTGDLLLDIPAWLLLNSVRMEGLQ FIKLTTQEIANVWRKKALKYLVTDAIHADAHPDAHTEAGRCYRFDSRTRNLRPQEFLS SDSGACGGVSLPDGPLLRSSIREFREPVDFPVDSTVDTAVPFLQRLKQQMNERPQELI RAEAGVPSDGCDPQRVLTELMERLELSLTLRADGANVAVQDMVGHLMNFDSEVVHEQE AEEEQEQEAEQEEQRVSVASRDDEFQIPWRVQTLQAYGNLTNEELGNVGSKLVVKGGC FYSMQRFRLRPEQPAIMVDWKVQLSDNYFREEWHGVGERRLKNAFLFLEWSPSDTAQS DVSTGAGGCESGGSTLVPLQRVHCGLVTLAEGESLRWMMHHPSRHSGSVRMALRMVSN GCYMDASAAFAEVFPYLTAQQEGNRWELLNVPPSAPHMNSQQRCVGVLETPSAERDGA ALLYRFFNNDMFFSVEELAVLGVMLKDVTHVDRLRFFTDCLRARRRHRNHWDDTPVAV LFVPPGEQMNARLLAILSIMQVRFEELQSRLCNVPPPPQLVDQLQSFHTRLNRLHLEH VVDHHHHRERVQPRDTENVLSVSAIARVLFDVFSGILKPYNLNDVLQALQYFVHRKGS TVVLTEKLHNTTVDAAAAESPFVRCVTEAFPVLNLEFLDRCLVALCAHNPAVEGPWHC SACTLLNDPTVKRCLACNTARATDTAGATGGISSDDPHGNESHGGEAEESWACQQCTF INESRRSPLCAVCLGPNPNPLPQSQPVHSSGDFMGADAGNVRGCPEGYWACLVEQGGC SKFNPNTVFYCQVCDKARPGLATLRF XP_847625.1 MKSNRKKEKSWMKNHPMEGDDIITSFYDLLCKRDPKTMKPCRNV FVPDTVVYEHNFPRGWYTTDVKAREVMRRQGKDLDAATIEFGFSRDVAENFPIVATYL SIHEENLGNGVTETTTRVQVLNKEDIGAFVARKSKNNGILQRFVFPKGYHNNVIKVVW SPRIAIIQRRKNKYPIKDRKRAEIDPFAITVTYEGPEYLSEESGVSAHVAFEVKNICA EIIKHFFHTEHKYITRMVLYFKGDGKDRLWLLWCGSLRVAERIVPSNMPMNLAPRFGE PAREGALSEREMLRRVDKAYYVITRDGPFYETYIRGGDSHSNVSSGKRSFRGVDTRDS LFADGGELDSFYAKKVNEEENLPTELKDHLEDMKNVEEMVLETFRDIFYNAYSHFLSA TDRPFDVVVPPNVVNVLSPASTSELMKFLKLGRKLIAEPLMQGENGIPSDAAAEGTEA AHASSGQMGPVAGEQPMVFAIPEKFYTPLSMLSNKAEEWIRAHFNGRREEARKGHGGQ AEGEQPPDGGSAERDERKTDSSSAQRPSVSYVNM XP_847626.1 MMSANNCTPDGSSNSLLPLKPTISNDDADMRRRWTPLLNLLAVD SEAADGTPASLMIVAHVTYRSSLLRRALRLWVKHLEDFYRKEQWREHLASEWYGRRRL FLFFRAWQWRYNTRLQLVAKGEKAQTHCLMAAQRRAWRAWATWLFAQRAVRKAVSKLL DEIRKGTRLRFWLLWRRFSTRRVAYGRVVQQMRHQHLLRGWMQWRRLLLRGETRRLLS AVITLYTPCSWMHDVMASFQPALARQPVRLHSSSSTSRGNTLGEWYSFKLIALHFYFI QWMGETASRRGRRLLRYESAVQTVKRHVDMSSIAGRYHTWLLYTANNIHRRRILSMLC RRYFRKWTLFVSLETLFRQCQQTVYRRVKLLTLLTWRGRAQHQQEHKNLLHVADAFCA RTLQEHQRRECFVCWRARLHSREEFRRLEAEVRDRRMELLRDLAVNRLLHGVLLNFCE PPNVKAADPVGGRHSPSSGVEEKTANSRKVSERYITITPNKRDGCNASVEFVEDGSNS PRNPVDAFRRKLMNQPPAIPLGFGSVAPRAAVVAQPPAHRLDSAPSVEIQSMLSTSST LAPADLTKASPPSSHLLSFDPLPATSEDSNCTTSRPDVAEGRRLLTEYRVMLATAPSE REEMRIVRSKLDLYKLQRQRGGGLLNADDEQAEKKQVQRLISLKQRELDRQALRARVE QLAKHLEVVLRSSSVNSTAANPT XP_847627.1 MGCFCCCCCCAVRDSHDLKYATPDRVPPDGELFPWYIQNRQGLW LHFRDWPPPRDVPNVRGVLFIVSGLGEHTARYGGVGRYFSREGFHVFCMDNQGAGASE GARLYVSDFDDFIVDFFLFKRHVFSLYPEYEALPRFLLGHSMGGLIATHVSLRDPTGF TGFIFSGPALKPHPKLASCFKQCCVGLMSSCVPKFGVGSIDPKSVSTNRQVVELLEQD PLNFDAKLTARWGKTMLDAMESVWTQVERATYPVLILHGAKDALCPISGSRKFLESVP TTDKQLIEYPGLGHEVLTEVRWREVLGDILKFINAHCK XP_847628.1 MNKMHILPPLLVVTALLFAYNTANAVTTAVAPREVFCVREVAPP HTRVTFQFNVMDADGREIRATLHDQNGTELTRWDSASTGNYDVPATVGATVAHACFEN TESGGTPKRISFHFRFHVDYQSVAGPEALDPVESLVESVAKRMRDVEVKQKDLQAIQH THLEIIGAAEWWLMLWSLFQVASLLLVSVFQLLFLKRFLERKSFV XP_847629.1 MKVQGYANVVTLPNVTGRVIIVGDIHGCRAQLEDLLRAVSFKQG SDTLVAVGDLVNKGPDSFGVVRLLKRLGAYSVLGNHDAKLLKLVKKLGKKECLKGRDA KSSLAPLAQSIPTDVETYLSQLPHIIRIPAHNVMVAHAGLHPQRPVDRQYEDEVTTMR NLIEKEQEATGGVTLTATEETNDGGKPWASMWRGPETVVFGHDARRGLQEQYKPLAIG LDSRCVYGGRLSAAVFPGGCIISVPGWNGASAAA XP_847630.1 MHRKSIVSNYFISPEYSVAGSRVFTPNASAEEVTLSDAPTQLSL QITSPKTSIRPSAVSSLRFKRGREASWHGRYHNGSAGENTMGFMEAVAASVSRRGDVV AHSSCESHQNFMSRAGNFVESTTHSDSSDGYRYAKLPRKELIMIAGGEDSGEKEDLAS FRKDLRRLQERHEQLNKVVEETREELRRSWEENDRLKMRADQSVKELRLEVAQLSKHV QLLEGRSTSVERSLASLNGMESLVECVQGELPSLRKEIGRNTTSIAVLERLLEDMKHQ PRVSQVAVNSVSASASGQVTSLGAPPPALLNDERATSHSSTTPFSFGGAKPNANLSGQ LTSSPAASNSVAGSKPEPASASAPSFTGVSATAGSVDKPSNPFGVSAASATTAGGSAP APASNGTPSFGSAVTTTAPGGNASSPFDSSKNAGVSATATASSASYTFGGASTPASST NKPPNPFAATVSFSPADGKGATPALAGTFSFSATGAADTLSDKPPTTPGAAPPVASPA TSALPTNPFSPGSTTATAPTAGKPTTLFGGYATGDSSAATSAPAPGSAFSFGGIGTTA PASTVGFSFGGMPKVAETSTAGVNTTISPFSRAGSTAVTGATGSLGGFLFPSSSSATA APLARGSEVKNDVFSNAKAVASSGASSVFGTGALMSAGVLSPPTPFGASAGVTNPFAS SQRDGENASNPFGQGVQGLAAASTGTALPLLSQPPIGFSAPTVNANLGARRRTPKRF XP_847631.1 MCVYCAFDNEWLLLFHFYSSFTKEMRTRTTMTSGAPARRMTSQG HVTACVSNNNDSMAAKLRRCPSCQCYGCSAFTDRLIFCVGECRNCGEEVDLHDLAAHE AQCMGLGAVEGTLIICAKCCRGLKPGNIPTTSETNHDKEQKEKNVLSFSKGKCCKGPY THQTHAVCRGECRKKNDGSNVSSVRCGGSCNGHRKDGPCAVCCDTLPHLTQREEGHVH CKGHVFECTTCGQVFMTAVKYAMHLCCGRTDPEPKSSTCGLSEDTVKDRGGCSYPTWE TSCLSVSPLKGPAPHTPVATRPQSATTTSLPSYAECPSPSPSLLRAAAVKPPSRSFEK SSGASSGVLGGNQAKKSKSRLRGDSSTEARDDLGRRQPNKGIHAAEGTASSNFRVSGR AESVPRNRVSEEGGCSTGLVGRNNVWRPLPLFAGDGGRRMGPSRMSSAAGSLRSSVMD SRERDGEHGTTIWRTREAQPDSFIPIVEKTSPSHHQSHSATVVDCMGSSVSVTTINSR VAAPLILVSPCRKREAVSDTVSIEVITRDTVGVDAAVMKRIC XP_847632.1 MSLSEPRKREFRQRSIARRSICATVLLLSLCTVAIYLTPRRDNG MKHREKAVMQVPSVRSHVVNVPSKYPLWIREALAYVPSTVLRQWEDAEYLVVFGVPSV DINVRRRRRHLQRMTCWAYGGVARRANDFVGSMLPLYILARHPDNNYVFTSAALEEAE KWNDVITLPMNEGRVSTKKQVGQDGKWGVEAEVGMSRKTFMWFDLSLIVFSRAAYIAK GDDDMFLRVPQYLVDLRDLPRRGVYWGTIDRYTVGRTRFNYAFGWCYTLARDVAKQFV SYGPLRKVVNSSSSVLSMFTIKRFYLLAEDAMVGLTLQRAGYASKILYIREKKCSFHN VHAGPTVVAVTDSSVMVHHVREDEYEKLKQRFANERDVAPRFIYSIYEGMKGFSCR XP_847633.1 MSLSEPRKREFRQRSIARRSICATVLLLSLCTVAIYLTPRRDNG MKHREKAVMQVPSVRSHVVNVPSKYPLWIREALAYVPSTVLRQWEDAEYLVVFGVPSV DINVRRRRRHLQRMTCWAYGGVARRANDFVGSMLPLYILARHPDNNYVFTSAALEEAE KWNDVITLPMNEGRVSTKKQVGQDGKWGVEAEVGMSRKTFMWFDLSLIVFSRAAYIAK GDDDMFLRVPQYLVDLRDLPRRGVYWGTIDRYTVGRTRFNYAFGWCYTLARDVAKQFV SYGPLRKVVNSSSSVLSMFTIKRFYLLAEDAMVGLTLQRAGYASKILYIREKKCSFHS VRDGPDMFPVTDSSVMVHDIEEDSYSELKERFANERDVFSRFLYDPGDGVKTFDCS XP_847634.1 MCVYCAFDNEWLLLFHFYSSFTKEMRTRTTMTSGAPRVYCGAFV RNRKQRKILCSLNRKLKLCPYCGRLGCSRHVRRCGGQLVNCSVCGSNVVIRDLDDHFF RCGEQCSPRVTVGGEEGCCEERKVCETYDAYYSECSNGGCSGDCAHPAWNEVPQGRQD KGDVCVVCDLLLVHPGGEEGHVHCKGHVFECTTCGQVFMTAVEYAMHLCCGRTDPEPK SSTCGLSEDTVKDRGGCSYPTWETSCLSVSPLKGPAPHTPVATRSQSPSSMLVSPEIS FSCPRFMQLTTVKPPSRSFEKSSGASSGVLGGNQAKKSKSRLRGDSSTEARDDLGRRQ PNKGIHAAEGTASSNFRVSGRAESVPRNRVSEEGGCSTGLVGRNNVWRPLPLFAGDGG RRMGPSRMSSAAGSLRSSVMDSRERDGEHGTTIWRTREAQPDSFIPIVEKTSPSHHQS HSATVVDCMGSSVSVTTINNREAAPLSTVNPQCRKNASRCQPRDVASMLSGTRCTVCN VASRFGDGSGAGLRRAASFSGCGRPRMRSARRCGSPSGDVGCCVPTSDDTYRQKSLEM VLGQRAREVLSQHSQTNGGPRGDATVLSFYLRE XP_847635.1 MLFIRILNPLLLMLLLPIFSHFAATTSEKDGYTKLSEEEEQQLD NCRACMALTAVFMEEVMKPTEKLQHQLHQQQQQEGSAPRTPKNSDAVRSGERQRLVTN VHDAIDKLCDRVKERNNQVDASYDKGKEERDEKSESTEGGPIWYVSVNTAACEVALEE VSEALAREAFAQLLPERVAGTRGGGPSAVCEQENLCTARLSQHVKSEEEKAKAELEKE MRRQRELSRKNPKETLALQRAVAVGVGIMVIALWLRGRQSAKPEGDVKKD XP_847636.1 MVTQQRMILKWLSFKRPQAQGEPASDAETYPKWKRHDRDSLPFW RRLLCAPEVGGYSAPTTNLSEADTCVSSQTFAGEMEIDDNCGPKLKSVFAEPRRQSRS LSHDGYQPLAAADKFRSCLNSKEQCSSLGSCARWVVTDTRRMHFTLDGVSTKTLTPSS SGSTIPSIPLTSTVSSSAPSPSQSPLPPDDDSLRQQRKEEQKAQLVAEQRRRRELQRD EQMLLEEERNRISRDTLQTPGQLTEENLSRHVRASANMAPSGDRSAGWNDTINPLKSL SSYNDDSSTYIDCDDVIDLHELDRLFEYCKRREKTAAPAEEAEVPLGYSNADHSVAHD SGCSTISTVVQPLHKPKQQQRYPLGSRRYIERIVLAEHSQQVVALMWLLAESMRHAWR LQLRLAQLYTYYVPTYLQAESARRAASAGAVGVNAVDDGHVGGCGCGNPNDEVFGITR NKYYAYFCSGSFDYEYYVYQFNQEWGAIFAAVKNYLEPTGSTAGTTTVNGVESVGCEG PAPRGSVCFVAALLYSMSLFERQWELQVAVREVEQWAKKCWSRLNQALATESDGKKIS SSPFGETQILDGGNDDGEEIEVAWSKCQKEPKDDEAKDEEEEHEDEESQRIYLPMDWW RAQDTDVQVQVSWNRRRRKMRQIRISTAALQKVRCLENAPPVATSLYHAPSVAEPLPV TLEALPNGRSDEEPDSHHVVNVGCFGFSFFSPWD XP_847637.1 MRVEDPKSLDAVADAEESVKVEAPLEGRNTREEKDEQMFVFKIA VVGDYNVGKTSIVKRLLDIPYENISPLPQAENKQTRANGAEKGDDKSGNSANVSAEGA NKYEAEVNSAQNGANTRTDCNDKADVALMTKNVLEPLPATTPTVGTDFFSRVVRSVRP GQHVRLQFWDTAGLERYASVHDSTFRRASALIVVFDVRNRESFAHVTSQHLKRAMQYN PDISGRHIFIVGNKVDLVDNSEPEDMDRFVTQSELQSGLFSAFPDVHYYETSAQTNYG VWEVLHGLCQSLPDNYAATTSGEAKEEKAAVTERDPLHSLLPLCYEPETTLDEGTVAE DPALTADGTLASLSSPSSIKSRDVRKDTKASEDELFSPRECADEDDVCHGGADAADNL KSARGRGSNGTVATVMADPIDGDGIDVDVDVDVEGEDEDVIADAAAEPECTVNSSKHV DPNKSSPVKEEGEEDAVAVHSERSAPGDGVVDKKVKCNGSDGEVETEDATDVECFPQE DNAAPPSVQNTARFGGNSLQARASSFANGGDGVLSCSRAARGKSGEDSKADENPQVTS HRKRIDDMLKRIDEDAGVEDFSEGGRRVEQMQEEASDQRKKEKSPVKLSRCFCFGSKG GGSKRSGC XP_847638.1 MGKLQVCICAARSLHDRRTLCTPDPYCCVQVGDTIHKTKVVNNT CNPVWNQTFRFHVANEANAQVCVELWNRDIVADEILGSLCLPLTDLTMGIAQDSWYLL SHSATNAELRIRVLACDFGKKPQLSERWKVTNDINNCPVLAAGGKPPASADPVTVPTN SNNTPFASKMGPAVVPEAVASA XP_847639.1 MSDSESIQFNVMSELESPVVGREGRNACRGESRKPSVKDLKRPP LHGAPSPTSHKSLRRSSGGSSLQFVVCGEAGGDDSDNTDTIQFNVMSELESPVAGREG RNASRGESRKPSVKDLKRPPLHGAPSPTSRKSLRRSSEGSSLQFVVCGEAGGDDSDNT DTIQFQTEGGSRNISLKAASPTSIAGNRRNDSNGRSLRRSSTESGDESVVFVIEDTQS TGGKKPLSRREASNDDTISFVVGDSGGGDEEGADGSSMQKSLSFTVVGSGELPVAPPV FTGKQGSSSPQLMSPLEAPSKPGVGSKDMQRPPKAVSRPKEANNLKGKYRSSSRENTG DGGMTRSDSYDVVEEGGTLKICGTASKHTRAGRLDIPHTTTRGKDVLPPTASEAGKLS PGETRTSTALAPSASALVPLPFPHPSQKKFSLKAADIEEMFLQAKVYTEHWRMFNDIQ REEVARLAQRIVEIRDGGSNTAGKMKGKSGEEGKDKPLCFADLFRVKSFLKETDLPWN TERLGNQKKRLPPGRRPAAPAHLVEGGKLPAGRGMSRRDDSGANRRQRPSPQGNKGTR VPKGAKLGVPHFENVAKEMECDAVAQFAEIYGVAWPPSSKNELFFLTGVRLTPKQCEE FYDSMRLYVVASSKVNANMNLLNANERDLSPSRLSDAKRKVRVTRTTLLRKAFTVMDV EKNGVINAALLPSIQRLLEEERRHLQSVLGGDVTPENFLSRSRGEKAKLNGNVETVVS PKVPQNSTAVNAVGTLRIYSLVLDVLLPLLCASGLLTFDFTTVGLLVFGTLSLSTAGA SPSFLKWREAAQRCFESLLKNPV XP_847640.1 MRRLSLLPRRSAAVVGSAYARFATPAAPTNLKASTLELNNPVVT HTAHTPVTLTATTDAASGNIYSSKEVEAEVAVPAAGAKEKIQASRRKHVNTVELLISM AVTLQKKSSGAAAAAVTDSACGDEKKEATDGDATVGIAPRPVDPLQLLKALRAYIRSE DILAELCRSKVQAVRQRYVAIRARRQRVPAFHRDILLSEVTRVVERREVPYIEVARLL RPHWPAQLMDASGGRYHSFLQRSLLAWIAELLREGKLSPEEARSVLSQCPRLFHGHLS LMSSIVECALLDINTIQSPDPIIGLMWSVNEAGTHAPSHFWQRTVGRLAQLNRSLRDT LGDAMQLGKCGNGPKDGTTIHSGPGGREKKGEKGNIKSNNDNKGGNINDTKQNYTVGH VFSGLTTRQLFRVLRVLRKECWCNDVSTVYDFVDKALKNIAFEVEAIGSCEATSQKRP MSRQTITQRVKKSADLTPKELLSLLSIAGELGVDFHASLARTSDFLLAPMVHYLDREE LLQLSLFVRKTRCDSLTLVQSIADEIVRRGVNYPLSVSLSKAALRTALQKPVLLSQLT LTPLVDHVISLCRTYGWYMRASQLLGWAEVLYDLSRRYAPSSSVGVNVRSCVEALAAP LRAMLEAGVVPMPVVSRFIELTVILGMRAKPHQYTQSIKLWEERNAAANARITFAKSV LNKESDMLIPMSELLYDAAELEDSGEVGEVTSRPTAELCRAARQVYDELIYLFEMQMI MRSPITQKEEDRLNDTFSHVGLYNIVVGARAMWRVHLQPSSFPSANASCVEGVVPLSS PHRISSTPPRALPVWVERRINATIEGRIRRANISPTSTDEDVLRLFGQRHCNAAKVRH FMQLLMEESSLVLVKQQRFVWIFTAELARRFGGGQEQQMAQKMLAKVFC XP_847641.1 MYRLYRRTVGYQSLHQRLSACHVMCRHVSTDNSDGTTPPKPRRS GIRRVVPSDEEMAELHDLEQEVASTTSSRSKQSALSGVMVEPMRFSTSGGSGMEGDGD DLGELEAEGDEEGVGTNSLAEAENVYKRHNDGGALEKQGLAIPPSGKPTDPLLANRDD EGEGGAVPLSQAEEMTVSRSTLERQACVRSLSLEELVEAVTLYLRATKNPRLVSADEE HIFFPVLMERLNEFHVSQLLDVVECHWARSTLVRYGTTFKDMVRDRIALIATAAAKSA SKRPAAAGKSGNDNRDGGAVEEEADDYDEQGDAVYVHEAEEKTSDLIILRAAEEMSPE TVLRCIIVMGMSAGRRKRDLQFFQAMGMFLVHHINHYKDPHELVRVLTAFARAKIVPP KRFLALLGRRFAVLNKRKKLGSLPSYRAFVNLYKMGHDQMNTFRFLADCILETIDSNI KAEKKRLRLAQLQSSSNITAATTNENGATNESGCGGSTSSSNPTVTNITGAGDLKATH TSEGASDVAFIGDLDPHLLQNLRARERFKRLTELKPSMFTKLLLVLARFGAPHQQYLR PTTVPLILPTLRAFPPPSFTRLLRAMSLFRTTDLDLIEPVIDFMADSLGPTNVVPADV LQMVRLVAPPDVPVPRNLVKLISLCEAVYSSSASFSHSDGKSSDSADAAACAMTTLSP IRPGDMCAVAVVLLKIQMKDDVPLEALDPLTRLMEFFAERMYLLMKLHIVSLTHVDVF TDLCRQQQHPDVSGHIERLCAERRRVNDAEGDDEYYSQLDIDVRETLHRILIVNDYNT YGQYRPTPGVLQVDFKQALTEVSAFDVLEAADLFAQAFSNALKPAVERHLSRSIIAKL DGGGEEVITEGNSIVLRPPRELLLTREDLGKFVCLLQRTPLRRVRASPVVWRFVEEKA KKLGMDDVLRVVENKLATAV XP_847642.1 MTAVKEPRVDFELHNSSIARDDACLQWLFSRYRAHTSTTLPSRS DTSAAPTTKGNDNIKNATEALLVQLENDVTACKALRWEKFRYSSPIYEAASPTYPLRR GSTIETVVEEVEDSAATTGTVGKPHRVLRVKLQESSPKHDHEARVRSVQEEPSRHVFA EMLRKKNRENLVRSIEEAEAARGHNGHSGWLRPYFGGYFHMPLPRGPTRFLVFPQWHH DVTVATEKQQWFPYFLRGSCYQKVPHARVPIVGDAVGPLRMPWNDLVLAVSFAREFPR ELSEDFGDRVRLWRDVDAIYLDGHANQVRTERLVLCKTRSKSPEMSHLSAGETAVVGM TEEAEAEGKETETEIITTKLRESGFRASLQFRNPRYSLTVKGTTCIPERAPNQRITSL LVLDEYDSFVQHFTSPFLRWLFPRATYCLRWAGGPGVDFNSDERSLTFFGKLWSEWWV ELPLRADRFFLRLRNKSSLVQPLTAGQWKQTFSDVQVNGSHGNHAVRSGEHSLFWATQ GPVWDAGLIRGFRDIYPGMHYASRWYTVLSMELGFGGKLRDESKMLVDGASQTGWRKP GGMIYANACLMDSFKNPPRASMGFSLTSGPRAKEDAFNTLAPSSFECNFNWWLKFGRD GMKLLSGLVEGQSVDKAVLRPSATEAFHHLRCGLTWNL XP_847643.1 MNLEVVDATAEALPLELLNAVNKELTAQLSRHEEIHDGHVREVQ DQRRRLEFVKEHLGNVRGEIVNTQALADSKKREMESELHMYRLLLRECGRLKQRQTQM QAEQADVQERLQVVQDRLFTENLRMAELKNSMAYNQEALEQWDAARQQKESDEAAVAR YAKDDAVKLRQLDNAVERHEAQLRERRRQLQDEVAVMYSVQLELNRVATDYRRQHKER GDLINEWERVVKEIRERDNSIRAAAQQYAEGAEWIEQRRVALKKLHDDYDAARAEEAL MQAGIEEREHRAEKSRQTRSSLETHVTGLENEVETIREELGRSIKERNNARIRLEQSK AAVRDKTAAHQRLTAKRDDLKEQKSSVYSKGADLSTQLATIGRLFKEAQDAEKQMDKE TEMLKKENFTMSERLKEVRREQSDLLAEISGGQLQAQNLRTKIGQLDGQYFAQQQVLY GVEFSVQQMQRKVNRAKGERSLDERNKLHEKIAALQNTLNDLTKQQRAMETQVKRVRE ETWHANVELERLTSEKKVAGEKLLQLSLGCDSCTAELTKLRKQHEEKLVLVDTQELQL QDLKRTLHQRNGELGTLAERKRQLTCDIAERLSEIAVHHDMMKMEAKLVEEQRRRLVS DLRERQKALVGLRNRYDVQLVRLDPEKANWTPAQVVMEAAREREDLQLRGDTLDARVS RMEREMAKLKRTLDVIRASNSNYRHMFDPVPESHDMVKMRIALQQQQRDLKAAVSRRV MELNDYQQVMESKEQDLRQTLEKKERARATVENLQQACEQVHEGIMQARETVIRYTQT IQKASANVAPEVVADVELQENREMFNSALGRLLQLAQQHGDEVRHHVQTLLASRFVVE XP_847644.1 MYFEEEENNDDYNKAEGDESPRSRASSNVSDMPMPPLPPPTPPA DVYGLATEEIYSIIKELRRQIDDPTTHHDIKAMLKKEPSILLAVTRVLHESGLLRRGV VNAHGQVEEQIVPLPEAPAPPLPTGYSSWV XP_847645.1 MRATKKHPNDGKGATTDPFVDGSDPIPSEVAAFDPLQQEHVDVV KEVKPSLFAVLLEKFIPHGGLWSCALNLASATLGAGICSLPAGFNLSGIVMSCIYLVC VAVGTVYSLNLLAKVAVKTGSRNYGEAAKAVMGPLAGYYTAALMIVMCFGGSVAYIII IGIILKAVLNRDGVPEYLKSESGNRLMTSMVWLVIILPMCIPKQVNSLRHLSFVGVMF IVYFSCVVIGHSINKIINEGVADGIVYMRTGNSALDGLSLFLFSFICQPNAFEIFREM KHRSPQRFTIYGTVGMSMCAVLYFLVGLFGYLEFGGDAIDTVLSLYDPGENVAVAIAY IGVAAKVCVAFALHIIPMRDALYHCTGWHVDTVPYWKHSLIVTSITLAALLMGLFIPK ASTVFGLVGAFCGGHIGLVLPPLFYMYSGGFTREKVGNIDFFGTYLLLFVGVVAVVFG TVSTIYNTVP XP_847646.1 MTNQSSGGNDRGRRDTDPFAHAGDVKLSSDAIALDVTQKGQDDV VKEVKPSLFAVLLEKFIPHGGLWSCALNLASATLGAGICSLPTGFNLSGIVMSCIYLV CVAVGTVYSLNLLAKVAVKTGSRNYGEAARMVMGPLTGYYAAALMIAMCFGGNVAYII IIGIILKAVLNRDSVPEYLKSESGNRLMTFMVWLVIILPMCIPKRVNSLRHLSFVGVI AIAYFAFFIVGHSINKIINEGVADDIVYMRTGNSALDGLSLFLFSFICQSNAFEIFRE MKHRSPQRFTIYGIVGVVMCAVLYFLVGLFGYLEFGGKSVDTVLSLYDPGENVALAIA YIGIAIKITVAHALHSIPIRDGLYHCVGWHVDTVPYWKHVVVVVTINFTSLIIGLFIP KASTVFGLVGAFCGGHIGLVLPPLFYMYSGGFTREKVGNIDFFGTYLLLFVGVVAVVF GTVTTIAGALS XP_847647.1 MRATKKHPNDGKGATTDPFVDGSDPIPSEVAAFDPSQQEHVDVV KEVKPSLFTVLLEKFIPHGGLWSCALNLASATLGAGICSLPAGFNLSGIVMSCIYLVC VAVGTVYSLNLLAKVAVKTGSRNYGEAAKAVMGPLAGYYTAALMIVMCFGGSVAYIII IGIILKAVLNRDGVPEYLKSESGNRLMTSMVWLVIILPMCIPKQVNSLRHLSFVGVMF IVYFSCVVIGHSINKIINEGVADGIVYMRTGNSALDGLSLFLFSFICQPNAFEIFREM KHRSPQRFTIYGTVGMSMCAVLYFLVGLFGYLEFGGDAIDTVLSLYDPGENVAVAIAY IGVAAKVCVAFALHIIPMRDALYHCTGWHVDTVPYWKHSLIVTSITLAALLMGLFIPK ASTVFGLVGAFCGGHIGLVLPPLFYMYSGGFTREKVGNIDFFGTYLLLFVGVVAVVFG TVSTIYNTVP XP_847648.1 MTNQSSGGNDRGRRDTDPFAHAGDVKLSSDAIALDVTQKGQDDV VKEVKPSLFTVLLEKFIPHGGLWSCALNLASATLGAGICSLPTGFNLSGIVMSCIYLV CVAVGTVYSLNLLAKVAVKTGSRNYGEAARMVMGPLTGYYAAALMIAMCFGGNVAYII IIGIILKAVLNRDGVPEYLKSESGNRLMTSMVWLVIILPMCIPKQVNSLRHLSFVGVM FIVYFSCVVIGHSINKIINEGVADGIVYMRTGNSALDGLSLFLFSFICQSNAFEIFRE MKHRSPQRFTIYGTVGMSICAVLYFLVGLFGYLEFGGDAIDTVLSLYDPGENVALAIA YIGVAIKITVAHALHAIPIRDGLYHCVGWHVDTVPYWKHVVVVVTINFTSLIIGLFIP KASTVFGLVGAFCGGHIGLVLPPLFYMYSGGFTREKVGNIDFFGAYLLLFVGVVAVVF GTVSTIYNTVP XP_847649.1 MTNQSSGGNDRGRRDTDPFAHAGDVKLSSDAIALDVTQKGQDDV VKEVKPSLFTVLLEKFIPHGGLWSCALNLASATLGAGICSLPTGFNLSGIVMSCIYLV CVAVGTVYSLNLLAKVAVKTGSRNYGEAARMVMGPLAGYYAAALMIAMCFGGNVAYII IIGIILRALFSRDGVPEYLKSESGNRLMTSMVWLVIILPMCIPKQVNSLRHLSFVGVM FIVYFSCVVIGHSINKIINEGVADGIVYMRTGNSALDGLSLFLFSFICQSNAFEIFRE MKHRSPQRFTIYGTVGMSMCAVLYFLVGLFGYLEFGGDAIDTVLSLYDPGENVAVAIA YIGVAAKVCVAFALHIIPMRDALYHCTGWHVDTVPYWKHSLIVTSITLAALLVGLFIP KASTVFGLVGAFCGGHIGLVLPPLFYMYSGGFTREKVGNIDFFGTYLLLFVGVVAVVL GTGSAVYYAV XP_847650.1 MPCYTSTGMTGICATSPTPATLPLDALQRISSLRQSLSSVVASQ APSLDRPDTLSLHLLQNSGVSKESTVMFLLTSSQRRMKELLNENHRLELLCIKQEDTI KEIRAEMSINKRHQHATVADDVVAEKPATSCVRSTPFGARRMGLRDRTDRGSKNEELE SPGSFIGAFRSSSIAAELDDVVQQLVSTAIALFPELEVRMESACHNGADEWSTARDIL YFIESHLEEWSGCASRENVSFRETSMLLSRVLTCIDTDQREWCECLFDIAYRLQAAWR GQKHAMERRDALICKLEDDVKSLATELSDAVEVRMQCECRCAELEETLSRMMDKRAVH DGSVQSLQEERRIVRDEANSPLALADQEGITVIEASGVKRDVPGMSDGTMPKMSEDSL RRAVVEHDAEALRLSLDRITKERDELRAQLRVVNDALDEAELRVKVVGTEREMLQSEV GELKYCGGMLTVGSTAAPQPSLSSTSEAMRPWCGPLLSRGCDHDLAEGGDAASNVSIT GLLHVISDTTVDIFSVAHEHSSLRSPGLLFHVGCAIALDSGAFNNMPKPDLSLTAWKR LLLHFQNGFVDVPFHNADRAADSLQFFYSLLFHSGMVEHMADVEIIASITSALCAMYV YVTPSSEGCRSLDVYSNSCAGGSSDSCEPLLLEHSCSYETICRLMERSRFDFAALWEA EDRALLFQTMKALLLPQVSLYQLESLMYTSGIEAVSNISSRLHDPPVRHQLIRLLVAL AHHAFTMRSASVNKYWANERFSLYQHQMRFRNEFGEESIEVPYNMRAVAEAQLLLIST TVLPLCEAVVGVFPRLQPCLVALRGNLHMWTLCGSNGGSTSPSSIASVLEQVTTPSTS EEKLPPGAANFKLVSSEEIKRGSLVVDTGGFISMQEEISALYKENAVLRDMLQKLFHE MDSARQHVRVVGDE XP_847651.1 MEYEGCASEVINHSAVEETAMLGGILVQEEDPLFAVVSRLAAGE TFARENLCQRWYRGITKLKWAEELQRSGILLVQKNAAGGSNPEGNKEQKKDENDDADG ENVEQGEEEYINADECVARLRREFNFQRVLNVVIYGEDDERAEILKEEHDNISQIVMM MEECMDRQSLIEEAQSRAALVLEVMKPRITSRYSYAWGVKTYSTFKPVKAEMDRLRPA DRHKPAYMRSKQPTCRVPFEHAHYLPNGEQKMVRVSELNFEKGN XP_847652.1 MSNVRGNITPAVVYASNEPNALNASDDGIKNYEAYEDIQSNNSE SKFAPFSKLSKKIAAVIPPGGIFASAFNIAATTLGAGIFGLPSTANGSGLVMGILYLV IINCMTIYSMYNLALAAERSKALTYEGVTFVVLGRWAAYAIAAVRAFDGFTSCIAYVI SVGDIFSSILKGTDAPEFWKGNTGNRLLTALLWLCCMLPLVIPRHVDSLRHVSTCAVT FMVYFVIVIVVHSCLNGLPENIKDVSVGKSDTAAIILFNSGNAAVEGLGVFMFSYTCQ DTAYEIYMDMKDRSVRKFVISSAIAMCMCTVLYILTVFFGYMDFGRDVTGSILLMYDP VNEPAVMVGMIGVLVKLIASYALLAMACRNALYSIAGKNADVLPFWKHCASVVTLSVA ALVLGLFIPKVNTVLGFAGSITGGSLGYIFPSLLLMYSGGFTWQRVGPFHYLVAYGLL ISGVVGVVFGTGATIWGTIVG XP_847653.1 MDRSGSQSAASAASELADSRGFVGPISDAHDHGNTDEKNTSKAK DSNGFFSKVSLCIATVLPPGGIAASAFNMASTTLGGGIIGMPAATNSSGLVMGLFYLM LISSVTVFTMHNLSIAAERTNTHTFEEVTRVLLGRGAAYILAAIRAFLGFSACVAFVI SLGDIMSSILNGTNAPDFWKEKSGNRVLTVIVWACCMLPLVIPRHVDSLRHVSTCAVT FMVYFVIVIVVHSCLNGLPENIKSVSVGKSDTAEIILFNTGNKAIEGLGVFMFAFISQ VTAYEVYVDMKDRSVRKFVIAATVANALCFVLYALTAFFGYMDFGRDVTDSILLMYDP VNEPEMMVAMVGILVKLCVSYALLAMALRNSLYSIVGVTADKLPFWKHCVTVLVLSGI ILLLGLFIPKINTVFGFAGSITGGSLGFIFPALLVMYSGDFTWQKVGPAYYIATYLLL AGGVFVIVFGTGATIWGAAVG XP_847654.1 MKKESVPSKSENTTDLQPEGVDMPGEPLDVIGRAFSNRQPKHIG QGLADAVRNVAVGLGVGISSFIALPIAGGKREGATGVAKGIGFGLLGLAGGAAAGLVT GARQLGRGVVNTKAAVEETIRRERYWCSITGGWIEVRLNEMLADIPVTDDDIYCKARE EYRKVSSSSWDGAASPSGSEGNDPSEEGCEGSCGKEDYYSLIGVERTATTSQIRAAFH RKALTLHPDKNTGDAEATQRFQAILEAYNVLSNDAQRSEYDARGSVDINAGEGGLTSP IEQSLGATQLEPFIGRVEWAVHLTPYVYFDSELRKELKKRRVLRLAQNLVRFVDGDES TLESVRPLIIDAVSTRGGARLMPVVAQQYAAAARQHLTSSSLLREVDNFGTSKLAFLG GVADATVACLTTAVKAARKRLDGDEFLDTVLALCECDVQKNVLRAARLLFYDLSASAE QRNTRAYNLLKLSNMIKDICLSCSSVVIEAQ XP_847655.1 MNVLSDIAHSDSSFGSPTERGEIAQRKPADGKRGNDRLTIMKDS IRARSRFHAAGNLRAAKLADDDSSYGTKCKGTQADGNRSGRGTPLLNNAFFNLCPFYE KEASDGAELQEQSKHEAAIARNDLAEGDAAFDVQSLNSLSDMLNNDAKEDYNRVCTVR RHHHVEPHFGLLPQRSHRLSTVSTGKISRDEKLQAHPERVVDCRFLPMEEKSRNWLQS CSAKEACNSVTLLVNRAVDNFVLKEASISFAETASSHSILSLDDELVFHNPLTFPVFS EPGESDDQSEALMRSNFVALLPTFESQKASSCMSGSAFLQINGELLTPDASNAVELAP ETSPAIPKKHQRPPLSVEGEGMELSLQVAPSASLYSNGADELNANNSWSSSANCTSSL LDSLAALPLEWSLAVIQLVYREELTRSALVSAERGARACMISLK XP_847656.1 MGCGGSKPRPQPPQMPPPPPPPVVAPPPPPPPPPPEPVAPPTPP PKSPTVQSEESVVEEVEEVPLTPRAARLQNVIAAKQSTFMYNKCTVTGEVTPFFPPKG RCFRIIDEEGRWFFYNDTMNYEMCVQAFFSPDSDVKHCGTTTIKSIRNGLTVISAFVY PLETLEFLSGSVELGAMYVYAQQLSKRYYSHLRVFSVDGKKEMETVMTLPWEEVDDEE LLKLCSDSFMRYADVKFLPSTGMFSRLDIDGRFIQPVEVRRPSEFAQCDEENIDAVRG VVLSSCVEAGTLGDSWFVSALSLLATDEERVKAMFASTTPAEKQMGAYRVLLNKDGWW KNILVDDFLPTVGGVPCYARCIDDPGELWPSLLQKAYAKLYGSYASITGGDTLLALQN FTGAPVYRFDKAWRDAATDEEKKNALIQKIMGYVEAHNPVILSVPTGKEAKTAVANGL GEGYSYALLSVHNFPEENITLLKMFNPWEPAMPWSGQWREGSDKWTEHSEIQSSCEPC FEAHDGIFFIEWSEAVEVFNGCGVLYLDEKPVYDYRVAGEFDNEQPNLALMIRAKETV EVMLTLSQRDKRGLPIESPDAKLSPVLLCVSRAERKRQVVYQCTSSDPETPAEGFNFV VGRDLAMKCTFEAREAPYFVIPRIHRRGTCEGRRRGFVIGIRSSTPLDEKLEIHFTTL EPTCRVLHNCITFTANRMPGAVREWQIKTPDAEPATYRGWGLSPAEEFHEDDEGALTR EYVVSQPEESPTPQSEAHVSEEEAKPEEECQQPSDEKPHELTEEPEAEAAAPEPMGEG DVVAEAQPELAVEELSEGDVEAREAPAPPEEPQVTAEPESPQQSAEPEPEAAPPAPTD DGSASESSDDGLGRFAADRIGAFALSDDSDTD XP_847657.1 MTSSSFLEELGPVAVSHRNELEEVKRQLGIERNELDCWIYAFLE NKKFDIKETVAKLQRRFAMEVSEMAKYEVTESMRSSLRSGIIQAIGEDKCGRTVFYVT VSRDTSSEGTREEKKRTFDLIVSYGTRLRADNKRCQMVLLVNYENASMLSNVDMSLQM DVATRVSKFFPGCISKVLLCNMGSILCTFMKPVLGTMPASFSDTISLFSSNDVTNGSL LEYIDKSVLPVQLGGTNDCDDQVHWDRYADIIENYYRDMRIAIVERGLKVKDWELECL SANE XP_847658.1 MQKSIQDEVRFSSSPRVLRSASRDTHKEMEELPNASSPLLMRRV ASLDALPPRPSSRHPRSEGDSVPSVLNPNRQLEDLSRDTQLLNAYGGSDSMPGINKIP VKSFQQHLQGRQFHFAKHRLPQLSNVQSARSVSTPARKGRQSPRCRYNALPALSSSPP RTRRGASFARPYPNKPGTPQSSRAPPRRASARPIASPSSPLAAPLHRADSVIPAAVAE DSSADGKQSAVARTRGGRIRVVVRKRPLPPDEEGCDCVSMDPPNVKVAVRKQRVDLTE YADVNDFTFDDAFGEDKHNEHVFDSCCKELLETTLQGGSASCFAYGQTGSGKTHTMLG NSGERGLYILAAAAIFSSLEKDQEVYASLYEIYCNSLFDLLNNRSPVVVREDHNRRMH ITGLTWHAVTSAEELQLLINSGADRRSTGSTTANERSSRSHAVLTIQVRDREDNRFCG TLNLVDLAGSERAADTATNDRQTRQEGAEINKSLLALKECIRALDEKKKHVPFRGSKL TEILRDSFIGNSRTVMIANISASSQNYEHTLNTLRYAFRVKGLSVVNFEPSRARNAPR PLKPVVSDMNPTQGVPQVNAGPLKTTPGRWRSVSSNAFLANRRNVSSGNALVQQRNHV TSSPLRSNNTQGANIASGAGENNFAVYVRQIAGEVVGNIIPQLRADGGRDSSLPSNSD YLQEEGSGVSFNDELIRQLEDRVVARMKLDVIRLVRDTMLRRERAISKLRSENTMLSK LNGALERRAAECAHCRGQNTRQIQQQQN XP_847659.1 MASVGQSTGFGGFAGVITGRHITTYSTGGTMRRRPPAITFFLIP LLLVLPHIVRPLAAKKSIKVKVYNLLFTNRAPRRSIETMGAGLNASFAARHWATAENV TVEIIPPPSRSVSTLKLLEAAADQNKGEFFVVVGPMGDTRTLAVLPLLRREDLVAFAP LTGSSAVRGWDPHFYFLTPAPNAELIALLRYAINRLRLLRVGFMYLQGVHFGDREYQE TLKLMTRMGRRLCGVFTKMHAATVMRADEEFDSLWEEFVMTHPQGVIVFTPPIREALK FVRRVAMDARTRGVYVLAPSAMQFVIGATWRTAVEEAAVPYIPDRVIVAAPNPLASET KYHAVRRFQEDARSYLRYHPGVTAFNASDDFDHDDADGALMVSGWVIGEVLSQALSSR TWLESREAFIKSLYNQRRYVVDDLVFGNFGGECHGMAGERGASCLCNQGGNVVYMNSL GDDHRMIPLRDGITVFNIDQCSTSGVKMPTPLIVVVVLVVDDSAAVSAFFSLV XP_824230.1 MASVGQSTGFGGFAGVITGRHITTYSTGGTMRRRPPAITFFLIP LLLVLPHIVRPLAAKKSIKVKVYNLLFTNRAPRRSIETMGAGLNASFAARHWATAENV TVEIIPPPSRSVSTLKLLEAAADQNKGEFFVVVGPMGDTRTLAVLPLLRREDLVAFAP LTGSSAVRGWDPHFYFLTPAPNAELIALLRYAINRLRLLRVGFMYLQGVHFGDREYQE TLKLMTRMGRRLCGVFTKMHAATVMRADEEFDSLWEEFVMTHPQGVIVFTPPIREALK FVRRVAMDARTRGVYVLAPSAMQFVIGATWRTAVEEAAVPYIPDRVIVAAPNPLASET KYHAVRRFQEDARSYLRYHPGVTAFNASDDFDHDDADGALMVSGWVIGEVLSQALSSR TWLESREAFIKSLYNQRRYVVDDLVFGNFGGECHGMAGERGASCLCNQGGNVVYMNSL GDDHRMIPLRDGITVFNIDQCSTSGVKMPTPLIVVVVLVVDDSAAVSAFFSLV XP_803368.1 MSTHFTRHYLPLLTYPAVGKTCKCFTAILICPSSASVLIALKRH QIKHNLRKNIFLCTKIFSNKIFGAIGSFSLISIFRPVLLNCFTLSFYSLFFIFFACCR FFC XP_803369.1 MPPGSSTRREIDWEAECSIQTAAATQDLTRPLRGERTITKYSTV QRPAQVEEPKLLMQPPSGEADGYGKHGSDAMQESMESNGRQMEDATLNKVAGSLPPVG IDSSKKNRGF XP_803371.1 MQSSQWLQPLVLFVMTVILATALKRVDAATGVGIKKSTWEPLCQ VSEELDGIAGHVLQEATEMIAATIDFDAAAKRARIFSLKNPMHKWTKAAITIATAYEA KAAAAVRQLKDTYIKQQVEAASRSAYVKGRVDDFLKLLEQTVDGSNNACLLADENADT PVTRSATTKLGQTECKLTQSSITATRRTPTHITTAGYINLVEGTGGDKHQPTAASKEC HLTTAHTSKGFAKGEGTAAAVTVMAGYLTIPNSAAELTAATKANLITASEGGIAAWSH AHAAIKLLDRTLPTEYANESGDLTERAALKEAAQNLFGEAKDHQGSDGKKAIEAVFSN TKADTINTIITLIEKEDIPKGAAARPTPAKLGEIKNSIELTNLLSYYQQRLSQDFETL DKRLEESTKHQDPKATEKICGEAKDDEDKCKGLKDKGCSFNEQDKKCELKKDVKEKLE KSNQETEGNDGKRTNTTGSNSILIHKSPLLLVVLLLK XP_803373.1 MRSLIANLLTALVALTSVAHSARENAQEYEALCAAYQAATGTIE PADFNTAQADAEVPTAVKALYAATIPDEHYNNKTFGIFTTDTEFTAVKDTVKTEKNID KSPVYTRPPESPGKRAALTALERLYNSTKATAGQAAEKQKELQSLKEKAEKFLKAAVF GHETATEAKEENFDTRANACNGGGNAKAASQNLAQALACVCGTASTNNGICVQGEDQA NYGTGSGASAGVSAYKAIVAKCQPATEPKKVTAELLTAAVSQVESVLGNKAFTGHTNN GRYVLGNGAAANCNGGDSSATCIDYTTTVGTGTFHKVKWVENMLQATKELKKAYTIRA EQAKMQAQLEAAEAQAWQLRDTLAIATITAAHAAETIKPSKRQRQETIDSKEAECNTK DKDTDCKPPCAWNAEAKDEKKRCTLSEKGKEAAEKVAANQAGTDGKNESKCGEAKTED ECKKVPGKTPEGKKAVCGWIEGKCQDSSILASKQFALSVVSAAFVALLF XP_803375.1 MIYIVLLALFALPKAVSPAANQNAAEYRALCDLIALKESISTLT EPTADTAVTDIVNDIEMLNISTATDSFIADRDGELKETESGVKKSEKEAWQEKIKKLD QETGEPKTVKYKRLKDKTTRGPANQNINKLLQRAQQLKIAYEQQISEAKSKKTAITTS IKNAIYGKGQEDFQEKALDTNDAKNNCGKAAGSENVGQSLSNDLVCLCIPDQGANGND LCEHGLQPTAVAVANRGSQTTPQYTTMVNGCKLQHRKRQLTPYLIIQRLAAFDSLLGR QAQTATTAAATRTLGKPHSDGNCDNSATQGMCVNYKQQIETATTGIPWVAQLTQAAHD LTAMNKATEHAAAIKTQLESIQASAWAVYAAAVNTELNQRHPKQNEQPIQATTVNDNK CKPTNATPAECPSDHCVYDDKATDGNKCKPKPGTETTAAAGTGETPKEGAAATGCVAH KDKTTCENDKTGDKQNCAWRRGKDSEPDREKEMCLDSSSLVNKKLDLMAAAFVSLVKF XP_803376.1 MLLLLALTATIIRNDAQAQIHEAPKIIASACDSTRQTEHVLTQI KSKIETAFQQIVLGKKTSAKLSVAAAASTGEKAVAYAALAASAAVKLQAAEQNLQSNL DALLKGAAAAAELTATEELVSEAADLSLEARSGARTSTASGTQGGLPLRFARFGGGKS KCDTAKEAADQRKAAPGTKGGDKISFAHLQNRPKIGNDKKLAALCGDDNTPPNNCDGS ADADLTYFEITTGTLLTEKMKQYNKGPQPTDGYTPDTPEPTALLPRETYVTGRLNIIK QAGHAYDSITFTADSLKNSALVTDGTTQALLETTLLTQKEREQTDIRQNKLEEITARL YGKAGTDVKARIWEPIEIIPIQAEATEDNKATELKHLTDIGKLRRAAAFYLAKQATKA ETAAVQKATESTEKTERAKTTENRKYGDKKDEVCKATDEKDCDKNKCEWNKEKNECKV KESAFIISVSIKAILLLKYFGFSVKYLIFFQINSIKLIGFFDFERILYFERLW XP_803377.1 MCIMMPTVVIVLYLRQLYHILSHLRPLFKTFIVSSASLPSVYSV SATTLIIIFVYTHSHHTSIIIIIMIIIIITITIIIIITIILVYAY XP_803378.1 MKARQIITHLAVLLLLVTPQARAAAPADGDNLATLAYLCPALQL ADGDITLHPEQSNLDGSLQDLLALNMSLADAAWAAQFKASPPGATPVAATEQQQKQQA GTPEQIAAWTAAKANVDNTAKFKDVLAKYGYTQENASKLIPLKGPIAQYADAAFGIQQ ATKTSEKDDKTDSDLKALIKTAIYGHDGGYDATKKEEMGLQGTQNRENHCTAQSNSNP IQTISTMVACLCAVKDDMVSGKAPCGPHADATLKWQADGPPQTPLWPKIRSICPKADG GTVTADRIAAAIAAAKMAFFSKGNDLYVGKFDMAGCDGSNNGACIKYTDQASNSVPSF KQAAWIDKLETVETSLRTRQQNQDIQKQAQTKIETLKQLVKAAAKHAEKLTAPTTQHH SNPKLATTNDKNSQAQKTADCSKLEKAECKPDVGCRYNETTNKCEEDPKSPVVQANKE AKGGATNSEGKNYSGKKTEGECKDSCKWDGKECKDSIFLFRKKFFLIVFAFLVLLF XP_803379.1 MTAPVLGATMLTIALHLVTSGSLATIPEGANKHEHAVLCEVLAI VDADLDIPPQESVDEASYNKIRNLNFSTSTTDWRKTFYSDDALTKPHPEAQPAHKKIA DYAKYWPDWHGAATALAATKKPDEVEKAKLDELTHQSRQIAHARVRAIAETARAAKEK ALQTAGEAEVIDKAEELKALKTVFFGADSAAAATVTTTNAFGTGTINARQTACEADPA DGKAKTLVAQLMCVCTKATQGSALSKACTAAADGSQGWNSGSGSPADTDASAVAKTCA NTGESKITATRLRQAITNVAKLVHLTSDGTGYIGVYQATGCTGADNAGMCVKIPNYKS NPTDGIKKLQWTSTLENLANKLEARTRYNTIISKLNEQLRSSSEQAEETIQLTKREEA ARSTATKEQTQKSTTATQAQNTLINECEKITKAAQCKEKKPACEWQNKAAEDGPHCKL NATNVEQQATQAGTGDGAAATTTDKCGAAKTPEECAAVKGEIPKDKKAVCGWIEGKCQ DSSIIINKKFALSVVSAAFMALLF XP_803380.1 MKVIVERCLAFSLFVICIGGAEEEGPCTLVDDYYKKNLKETLCY LRCLSNALNKLYTDGERKMLVNEEVYANASRILDDMEGKTGESTKYLSVVSSAMGDQR KKMEKLISYGNEMGDLVAKVGGLFSEVNESVRAVRKYLPDALTTANKYYASIAEITRT AWDDVKAVEAGGTAKCEERTFQRVKGLLTPCADQTCPLAKTVNESTLKTYKDGCLAVT VQNGSVRECFNLPRDNLYRSGAVKNSNDALEWKEVRDRGASFQLKVKVQEIFGPLITP FAAGQPPSMLLGMVSNITSLHSQFNKVHNNFTSLLFDIDVAGNVTTANSSI XP_803383.1 MWCAAPRQESPTTSLVKGTGIGCMTLGSAVATEGMIFLIEAIEV CSNRKGGIIGKFFCSCAAQEVEEGTRKVEKRHNLYQVWAGSFFKGCCQQPLSTNITIS NTGLRGNRNNS XP_803385.1 MYNLYIYFVRKRVGPVIHSGPKHCSESLLVLTAQQSQMGMLVQC EAQQCGTNGKIGADAGTTTRSCAVKYRGGGFTSRSEEFLAIQQELIHGSTVPPRYVNN VKGMCDTGEKTGNGIRSCGTTTCSTLPGNERNTMACSLQRIRCSFLTELLGELTSCAG ARCYGKKTTKCSTGGDDKHFRLVTQG XP_803386.1 MCRRNPFHSNTITGNTSRFLSSPPFGCHQTLKSGISLRKYPIQN ASFIISIISCIRFCSETCLWIKFPEAPSCCCCFRSVVEQCEVVRWHLRKRSVIRVRWF VDDCVATETLQFHTISLYPDCYKPLSSDCHSDKLGATHHFFLCTKSRFDNIFVHHMCY GIIAYLIPSIIPTCKVANGLLVLAQLALTTFPFILFHVVNGFPFHPHNCHHSC XP_803387.1 MLKIAAVILLTLRTCTPSVVFTRQPDVQVTYGDEGPREYFAPTA EQLARGKITPKHKTQPMVGPLTHGSIPDERSEGGESEKKSSEKVSPKASDVENEDGTV VEVLHQPEASGAPVVAGEESTYIAAQKSKRTKKQ XP_803388.1 MQAKNRNYSHDAHPAPRGAVSVVDQGSTQGAKTVSKRSVDEGGK EISQQQVSGQYESYVALPLRNGMSEHEVSRPSEVALDDVGEPSSLSESVPRRNGASRK RCAILLSTGLSVVFS XP_803389.1 MQGALSGEGGADSNIQNNLFSHMAERTETVVKHTLQSEKSISPG ADTLQRCEHNPLIYEQEASVCLFVCLFLNPFLTSASNIKYREFRTFITTIIVVSCEMI HIL XP_803390.1 MFAHVEDHTDAFHHPENRRFRRFSFSPWQSVTVRLPQSLRRDAR EHLISSLSKMHRIQTSQ XP_803391.1 MRLVTESSAGVSRACELSPMVSTPSRFSTILISLHLQVAVGSLS HSTSLQQWLSSALAYSLCTASLFLLISSVCRENASVGIDVPELSKLLRSLTFVSSISR NCCALEFGIVLSLA XP_803393.1 MFFGGEGKGKGGVVVSRTAFSLLEVVKGGKNKPTCQMGVAFRKT CTVETGEGKVTEG XP_803394.1 MNHGIGMCVAIPAIFFKTIICTIVVLTTHTCTRLFNLFHKANIQ KWTAVSQVALAVKNFSSELINNKTTPIIFAWKRPLPSWPQFLQLATCSLVFSLQKCTS IVVSAVAHMCVCVSVCGEMVKSLGRIGTILHHDKYHATI XP_803395.1 MCFPNCINNMLSMLCSIATTAAARVSSALLFMGCSIITYGRFSM VSLFPFVVIVMNFFPFPPEVPPYDFSHTGNILFPVDNYASCESVGIAFEFLWKVPSQK NPLLRLACHVSVV XP_803396.1 MYFCLYTACLVRVTLFHLKLLKMSIRFVSQDVRRIWEIEDYLVV AGIPSIDNDERFRRKNLQRQTCWSYPEVARKSNNFTGKLLIIYALSAHTNNNCELKEI VEEEAELNQDVIILPVCDVNPTTSKKVGEAGNWGWEPEITMSRKTYLWFKFAVEIFKP TNPYIMKADDDIFMRVPLYLKYLYGLPREKLNVARAVGELDESIAKLTWYVVGYANTI SRGVVKEIVGFSQISKLLTSRISFRNFDKYIEYAALNEDIMVGEVIRHKMKLEGLLTV GMQDCHYVMHVKRPLDRFVKENPKLIVFHHIAEEEYKWLMTNPQTTIERNAEPLLRTQ QIVPFWHEASC XP_803398.1 MVDGRASGGKLSRRHEENSKKKIAVAALAFTKPTEAENSAAAEG IKTRRDELKFNHALAEALRKNVRQAQGNLQNIRTETRALLLAAMLADTIKQRQAYSVI AAYSDAKATALKATIDTKSKQIIDAADVLEKRSAQLRGAIFAAKTKAVTVSGSIAGAL ANDPDFGGTAKTCTLKLSVHDTADTACDTSANYDDTLATLATQINNLKTIYTVCDETP ITEQLTAVITSKGDFASSGDTTADGTAYCGNHATRSSATHAISIKELHGESNPTRTIP QNLENDGTCVKPGAGRKDGLITIRETAASKCNAKKNLISPVMPVSSPSATQLIDDPTF QKLAALASGQNTPQTTGGKNDKAAAIQLLGSDETNLKDKYFTPLENQHVEFNLGEELI RTSIKSAASGDNYGAVLAFSAGKAAIQAVQKDIPKGVETEANDDCTGKGKDDCNNKTG CKYNDKYRNAKKTLQKLQRREHQ XP_803400.1 MCKTKSSSSLGAYTFLTLITLIAVSGTDTPEEITKDACGSAAFL RIVADTVKSGLLAAVKRNNELNKRLAQATAAVRNQQRPDLGKAAMALLPILAKKAAEE TSAALAMPTRALPGLVAAANYSGQQQALAALLTTEVADSTTGQSDVGSAASGTANIKF KLSQTNGLTSCASSVNKAADKHASWATVPGLKKFSIHSPEPEVSASDNRFLAVGKGSG NGQQCDVNGGTNKQFTVTSSHVCIAGGPVFSAAQKQLDAGTSGDYGRGHISSYSETDE DHFAKQAATDIKTAVNALASRAGAFDPNELSSYDADPDFQAAVGAIYGNLPRDKATGE AKNTVSNLITQHFGKAQNFKSKIWDEIEKLKVPATVLGDKAEMTLKDVDDIGLATHIM VQHITASFQKSAPQAEKQPDTTEVKPGKAEETKKTADECKKHTTSEDCKKEKGCDFVE KKPEGEKCFPKVETDKKDEENNGKESSDRKDKP XP_803401.1 MSPKLGIAPTLLIASLTSPRPGEATAGNAIKKANWQAICKVTAD AGNLAGIALTNIRAPALQVAADIKALLRTLIYIEGNSTNAATKAQRTATAFLGGQTAE NLEYYSSTSVATDVTTARNAGRLQGATHEFMSVQADGSTSANGCIGDDESGTNALAGF SAVVAADPNCQLSWETVTPYDGAVTAITKTGLSGKFANAIAHNEFTSGDRKCNINSDA SGFKLSNDGTGVDTAGHNPKMAAGIISLDGTNGIHTVALANVMTNDEHPYLKAAATAA KRGKQTPKAADLTTADTALASTGFKKAARRHILGKKETADDSDTTLAAKVKEAFGSDE SINKLISTNVNDMPITGILKYNTDAKSLGQITDISELLRLYFYYSDLNKQKLAETAKK LQEAEFKKETKSAKEKEKEGNTKGKDKQDGCDKLKDQGCVFNKDGNDGEKCTLKKEAK EKLKKAGQETGEKDSKTRTTNTTGINSFVINKAPLLLPVLLLA XP_803402.1 MKLAIALATTLVLHADVVVTDDSTVEGALSGPALGALCDIAHDL KLSPNKLKQQLLSKTEQLLDLNLKLRILDTYDTFDDNTKAAIQALHTILTNEILNHCS DFEAAAGKEVVAAEAGAYWAGHMDELAHVAHQLTTDTSDTAGTNCLSATSTGDNQGNG IKHDAPLTAGGL XP_803403.1 MARTDKCPLTKYDTDSFYQNGASLTKLTFAGGAISMNNPTACAV PTAQTLGITETGTRYEPILSTALHTALTEVDSATKQLGGIELGATALLKEDGFEEAKT NQEIQAALHPSEDVKTKYSSGMPQTSKPAFDRLVKLYKELEDKVEKIKQDTNQDAAIR RLYKPLISCSRGPEAQKKVETTSVCEDKEQNAC XP_803406.1 MTIVATMFQRRGRNAFMQAVIHDIRLLESAFENEPLPTKTLLSE YYFQPSSPVHGDHDSHVGLKGRYPVQPAIPSYIHCGISPCEFTTSYTANGVYTTSMGS PWTEY XP_803407.1 MMSYYVFCCFTLYFLPLFSISVTTCTNDIKKLFRLFTAFCLFIL ANQLSSRIMIPILTLIYVNTHVPSSSLFNLCYTMPIVLSSYIFLIHLVFCAIIIIILL YTHSKNSPIIIITFITIIIITLPSIHKVNKIKQIEKFPSDTNFSYVITLQSFIHRPQS YKKLKNFKFSIFTHFIKKF XP_803409.1 MCAPCHYSKASIDCRGMTSKRAVSLLLLALASASSSSASHVAVK SELWKPQCELAKELRKTAGITISKLSAKLTAIDNLNKMSMRLLLFGQRTSPLDNQVTA LALALAAQKKAAKIQSTVSDSVKTGLLATQYSGELAGGIVSAVHFLKHASDGAHYCLN SNGKKGDGRPAVDTTGCATLTATEVSTETGFNKGDINDDGFVKLTALTTTDGAGQTGT CGVFETASGSGSTTAGIQISAGAKVHLAFGAIEGTTSQQPTRPALNKFEGANLEAEQT FFGKAHKSLKALTLDTTENALEDPKAMLKQLATEAEAMEALYTVLSGASPNKKPTEFS AQNQQLKIRYFGADGEKTEKLWEQITAGKTIGAGEDPHKFSNLSEVSTADDLSKALFF YTAATLTATRELKSENSRLKETTAKAEKTQEQICNAIQNEKDCGNNENCKYDKDKTEG PKCILSDKGKKAAEKANQEPGGKDGKTTTNTTGSNSFVINKAPLLLAVFLL XP_803411.1 MKLSDKLKGHTFSMDKHTFVNLLLNGRWRHHIKNHSVNHFWCCI YTSLSYLPQILSSCILFICAVISHTLLFYSIFLFL XP_803413.1 MFLGTVMKATTITCFALKLLSMAVLGSQDPQSTAAITDACTEIR YMLNVRQHFDQQIRNLAQAAEELHSQSKVLRLAAAKHVGTKKGLAYTLLAGLAAARES QAQKHLTEWTPKFTNAIQELSARIAVTDSGRTSGGAPTEKYGSAETDATTDQRHGATQ RCKVSLTLTPSAPAKCSTASSEEKLKKAASELPALDKLKLIQTKLFGQYKAEVNLQSK GSSAVTRPIAGNAGCESASGASTDSVDMKFLTLSKPAPEFDTPKIEEDAGCREPNQQN DIHVHTAETLIHALCRARKFPTTNQQKLGPESLDSISSDSTAQEIALRLSNKYKKDQP ENQRQEAVKDLLGKGTDSIQTKWLNDLSKTDSTLKLQDDAQPISIDAASQTDFDKALA LFTAQAYQRAVKQEKTSVTENPSKKTDTEDKTEEKKDGDNKTTAADCKASSETNCDKT KCDWNAEKKQCKVKEGAAVISAVIKSPLLLEFLLLA XP_803415.1 MGISFCVSLHSLFEDDLISLEVVENKYMQFVSKDMRNICKTENY LVVAGIPSLDNDERFRRRNLQRDTCWSYPEVGRNSNNFSVKLLIIYVISPHINYNCEL REIVGEEDI XP_803417.1 MMFGKATGEKIELASTSAAITLLICMLGTPSEVDATAGHAIKRQ HWVAIFELGQDAEKIPALALTNLRSAASVAEDAFTKLLRALIYAQANLTRRTLPKEKA AWFFLGEEVAAGLAYFKGGKAAADITAARNAGRLQGAITEFIELHAEGSDGTNGCLSA DDDGGNPISGKATFSGATTACKANWDDVKAASTRPTVIAANGLVGKLQNGVDHDNLVN GAKQCDINSAKTTFKLSDGASDGTNVGTHIPKMAAGIITVGTTGLEIPSMASVGPTTG HHDFLKSIVQAAKCDQHRLQKAAVDTLAEAQKEPRFKKATKIHLQNKKSDDPDDEDSR ERAIKTAYGDDDGMRKVLYAHPDGQPIPKAALGTDADTTLGQITDVGELMRLYFYYSD LNKQKLLEAEKKLQEVETKTATKSAEDKEKECNTKGKDKKEECEKLAKEGCVFNTEAK KCELKKEVKPELEKANQETGKTTTDKCGIEKPPEDCEKVQGTKPKGKNVVCGWIDYID GKGKVEPACRSSSFLVDKKLALISAAFVSLLF XP_803419.1 MGRSPEKLDIPSHPAQPLVIQTVSHMEGRKRPSKMFPPYRQRGV QFRWKKRGLNRGYINIFQRCAENRK XP_803420.1 MQNQTATKVISHTAVAAGVPALLMLLSRCCQAQAVTEPSSDKVT NLCTEAKYTEYLANRIVAAAERQEREISDMQIYAEAWDLLAAVTNQTEKRAATYALAA YMSTAAATARRVHNGKYKAAVATAKFLHRRAALTRTLLAINIRGKTIVGVSKIQGYSV SIGECPGDETPILRGDGSCAHRTNDNSQPEGISVNLKTATHIKLLNSSKLRPLGITHL VEIKKTKGPESAEALVERCGHLLKGDHYVKFEASTTEKDSLITVEPIRIFEGSDSSTN CAVIQNESTKSEKDNDLLINKLCKYIKEPDPQIITISSLTPVTLANTTEVQLAFKSFF HETRGKSSAGSSVEAQLQKLYGGDKQNFTRDFVKVLWTKNVTYHIGSGFVTETLLDAV RNKNAQKALIYLEDQRPTEEAKQAQSDETEITKTGCSGKGKQDCSGECTWEGNEEKGR CESDERKDTKKQNETQMRKWPRALQWMMARQEGNCKAIQQEDCKEDCTWEDGVCDGAV GISALMSIPLFMAFLLLA XP_803421.1 MSLGSNSFPSIIIPDTSLRPYIISEHGTTPLQPFTSSCIPLPPL EGLVISMCRYPNPNSNGRIRKHKI XP_803422.1 MSPPPALVGFVFVFGFFFFCLEAAAFATFSLSEICILSCGVRFL LNCNLLFGCLCTSWCLCSVMYLEYILVRLCWKLEDVHSNSCGKLISVEICCCCSLGCF RGLRELECLSSCAI XP_803423.1 MAGSLMFRLGNMLCRTKYVWCGILCVFFITSIAAVTYCWNRGLC KSSPQPYCESGLFGSLCLCAFAVFAFASVFCSVLAGWQAWQQQDVRDCFFSFFSCFQC RDYSAPFG XP_803424.1 MSGPSFPQFINNTVGIFSNPQHNTLQLESGINTTSSSLKNALHS SRSNSSNPFKVSRLLKPPNISQLRGFSFFNLVKFLVTSTFEIPLQPDRSSSSRATEPR NTTKLFKFTPHPDKSSLLQHPLATPQTVYKSTTARLFNHPQVLSLSDPC XP_803425.1 MWWMAWQRKTSSAQLRCCTFFMCLSFSQIATSAKLPLLRPWNVI INVLCLVDIRSLTLKLSHASATVEVVYVQRVCEIYRLGYDLTTVEE XP_803426.1 MSISESDSLWLQKSIHLADPIPEATGGGVETDENSRPGELLMVG EGMAKEVEELGGSSGPSSARFIHSTSQRASCRQSVSNASFASLHEIDELRARIAQLKR EQLRWSGNQRELEIVRFELVQTQQELQTTREYARTLKEQLDEAEAKAEKEAKARRNSE AQLEKSISQSSRDKEFLKQQLEAMKEEHCRQLAEVEGRSEVEGSGRTEFMREQITKLT EDIEALDESNVKSREEACRLGRENELLRANIKSTQAKCDELQRSLESCTTRYAELENM HKIFVEKTERSQSEALDSLMATNNDRMKKIISSKEEIIDELREELKVFKGKSGSFSEE ITNLQHRVRMLEAEAKQMTQEHEKELRSIRDQHHLALCQQQQESEILIREAKNGRVSL EEETSFLKRQAVKAAEELSAAAALIVQREEQLSHLESENITMRNALQAAERDRASAVD KLETARSELLQAEERTQHLQQQYDLTETVYSQDMLELKEKLAAAQVKLMQTESELSAV RQEKMKYETEAITNMSDLRSKLEEAECDRKRLQHAVEQASQTDKQLEQLRVKYLTMCK RAENMEIELAAVTSRCSLLEKRLDEEFRRSVRNASHSPVSLHFGGGNVCLRGIQSANV PPSASKQAKRSRSVEQRVFTISGFDGTELLEKIHKLPYATVAECKSNSPVPTNLTHLV TNGQLTVKLLTALVRGCWILPEAYVHESTKQKMWLDELSYGFRHVKLPIARKRIGFSE GFVSSRHLNTANLIIVEGGATVESNLTEADMILCTRSEYGNMENTRAVTWDKLVELIY PVKIGVVAEEVKQPQ XP_803427.1 MVFRDASQPRRMLVIEDIVTENRKRMELMGINANNESLCRLLLQ MMSGVGELSNLAEQGEGALSLSRFEQEEVRTLIGRLAVSLFTVADICEVNLGQTAMDF ISDQIQRKNSAPSLSFKEASKRSAPVPIVGDKGTGNHALYATEQPQVLLSPTSNRDFF SQLDALLTHNREDFEKADLKWVAPLPDGTVHELIENGSTINVSYDDIPMYLEKIQRYR NARVTEISTMARHCDVNTRHGSRPKGAAVAVCASDAKKERFDQLFSPPSTADSDLLNS NIGAVKKYPSPSEIMLQQPNPVDATLIGVVVSEAEFQAKVEAIKNGHIFGPAIAQQNL TFSVPRGGKLVELVPNGIHMKVTSANVSEFLRLLNDKSAALGGRVRRLESIKKLEVAG VGSQDLSREKGKFSPTHFSKDIFAPYDERTSFFVDTDASEEILPLYLRDQEELQRRAD TYYVHVIRQGDIKTWNAIFEQVQADPSMLKKYGVTFCVPSSFSSHGSENEQRPRIHEL ITRGSTTPVEESQLWLFTKMVKQVMHPSA XP_803429.1 MAAALRQYVHQWVESQGGVRFVLSRLNLVEDLAELIRTATEEYS KAVSTTASTESEALLLSALTDVCRYCIQVASTGTPQEDFFLDRNAMQSTMRHNYSVIV GSEKEMQNHEKVMRLLVVARSTLHHRCAEDLQVSVSPLMVELLSFFDNLSSTCIIPPD NRRDDVPRCRLSGLRLECGAGSSAVDDFVQQYWDAAIGNSVPTP XP_803430.1 MLFRRLKRGDALRSYCCSLRLLNLASEARDTLTKQLGALKEECK GQGLDLADMNDASRTAMRSLQEGLKRANIDDESFKGKCTTLSASLKAYTSRLTNLQNE ARSIQAEADALLRLIWGTEAATKPASFASPPCSTETPVMESEKDETRGEGSDFDVEPP KIVEVLSGGAPAVEKVEAERVMPTEDMASRNHETQGKCVEEIEVETIEVEVEPQESPA DTMKITDITKELYEKGVNFSDCLDAQSLRQRYRDVLSGRIPPGIQAGSTSKKPLDLEM NKHQPRMPSNNSHTNQRQYQQQNNTTESGIAHDPYPNAYRKMVDPMKHVWELKNELAA EKGIDPSSVDLWSGKTKLEDHKMLYDYPSVQSYPIEVRQRGDIPR XP_803431.1 MHAAPYEEVALSDMLLEDGKLRYPCPCGDLFELSLSEFAKGSVV AECPTCSLTVRIICNEEERHAFLLRCNALNGVRDVVIA XP_803433.1 MRVVIVGAGLSGLSLAAFLRRINIDCVVLEQSPFLRATYQPPYT LYANALSCFKAFELDDGFYTGDAISESHFGIQNERLQWLLRVRNRVVQLQALGQEDCV PLSTAPPANSDSTVSRRLAEELKQDLGCVPLRTTFSADYLRSILRKYVQEIRFNANVV DLRPHDGIKGGVHVVLDNGQTEWGDVVVGADGGHSTVRKLLYPDEYIGTSCRTLGMTQ VDGYVELGDEPWPTGESPAEVWGKRRVLSCIPLQWDGRRHFAFSATLYDPPTEIVDVS KEMDPIELREVYRSLLRREFASFGGDITNTLSRAELAIPSELVEVPVMPRWYNKRAVL IGEAAHASLPSFLAQDASLCVEDAALLSTSLVDVPLCNDAGFEYAFRQFETVRRDRIE GYIRQSRRARRFTSLSHTWLRNGMLSVIPSLSLVWFQRWLANWSYSAQQLEVDPKIKM ETAYRN XP_803434.1 MSCFPACLRVLSTTSRFCCCIPFYCKKKMCVKKFTIRKGKEKVR SMSSNSSDVYVIEDSHSSSSPRDSSYSSTAGSGADIVGNQAGLTRTSDANACSFAQAS RMQIDTGTNTEEILESDAIGRLTGVVAELFHSVTGSTYQNKLSQGACNDVEGQITSSG NLTLLNLSQRLLELARRPPPSGHVKEALTDSSAAAYMDDEPEWLGAIQHSMERTRQVF SELDLTGAKIGLNTAFVATPTSSEADTTYRAPSGRPLSLAPEPLQCETEAVGNIKEEL CKLQDSTAEVRLVLNKEGVDSIIPLYNELLALRSERRQNVVSSAEALRAYLITQEECF NQRLVVAEGRELLLSREINRNCAGGDGSTIETLARDVEKLSELSRELKRLRDEHQRHA EGQGLFYHSVSETGPERKRQRTSDEGFKTLLARQLQADEQVASLRRAAESYKSEVLEW KRRYELAVRSIDRPQDSAVFNSLLEEVRRSLLQETMQRSSSLCQLFGWKLISLDGNSA SIALIGNPGIQLTLPVSESLSDERSSLATGIVLARKVMESCSLVRESQQGCCGVQQGS NRAEAGAQQESTQAELSGDADNCETAINVADEVCEGLDKASDVDVCEEAKPAETVEVT EVEAELADSKVDEAPDKHTMSEAGAFYSGGLWEE XP_803435.1 MTQRWIKRGLTNVGRCFFRHVGHLHSLQPLQAIGVVEKVFPQRG YGFLLMLSPPASWFRASFTDKSGTSQLPMDAAAPLSPSNEECVSVWFPLTSSGGTGPQ VSDVCRKEKSSNTLKVSPGCYVKFSATAHYDDAKALYVWRTREVVPCDPFEELKVVLQ RARDSRWDNITLEDVERDKRRVVKWHAQSSAQLVDVHGTHEGNKVHHSPPWNISETHQ QFIVRQSDLQRPFDQSLSHKLGRGDGGDEVITELGSSGLRSQADENRKRWERVMQLLN EQSEFRCSTAQGISGVDDKLHVGDVSQSHAPP XP_803436.1 MGMVCLGATKELPSHAPYSASLPLKKIPHDLLVVDGGMCGLPHY FSNALQEKALTYCILRSLDADQASVDADIFRLLGRYVVTEAEVNTSCQEVKSLYAGYF DGAAAQQKSRLGCCSWLRLDAV XP_803437.1 MSAACPLFAVWWSSVLLTGLEFPGLSTSFLTVKLDNASCSRVSI GEGSGTLNSSQLSVKIDQPSNVVCSAGIQLGAASTNITVDLAVHLNEITVGRELDDLC FTTNFIVDRCSLNVKVNDINMVPKFELFEMFKPIAQYIIEEKSETLLCNAHLPLLGNK FVISEVKPEKPAPPPVDGAVVLNTTPVFRGVTNVVNNIPVVFGVRLGAKMHEDTATRT NFTLPNGVNMTLYEDGALSPAISSLLASVEDALKALSLDGLVANISQMIPAVNVTNAV VDIPSSFTMSMDVVVTDVRCSDEAKLNCTIPCSDAVLLQNLRTVGLGDINKVAGNNME DLLLPHVESLINSVLASNCTAGEERRPLPLLHAAEVRDVPPLSLWLTALCMSVVAFAL GICWSIYRHRKDPATLADGSPISQCRALTEDGLLLLLTLSATFLLVWSNSTTAAAVVV GGEFNMLQLSLMEITSKIFKAGHVDLAIGFFFFSGVYPYVKLLCILVCTLGFRKPQHA FVRLLDCTGKFSFIDTFAMVVMVGGLELSGIAEVHIRPGFYCFLFATILTIFVGNYAL YLWRRNTSVRLDQKCSPSEPSHSENDVVEAERDDELDTNSSKGKKCDLMRFVLRGLNG AATAVCVLLMWFIPCLRYNVGGLASVVQPDSRDMAMFELTSGSFTILTVCFLTTVLVP FLFAITYPNCSVTASWCAADALSVACIAGLVQLGKFVSYIIGEGMEAIYTAEAKLLWP MYPVFAFVIWQWILVIEREFKPVRWVWQHLTRPRSTLSSDVAADSREPIGEDKRHNRL FGFFFALFSTQFFHGSVKA XP_803438.1 MALRDIVGKQMLRQTVLQPRLKLPAGRVVSIEEGWRLARLVREG EEHASAVAVEAAEGMVAPSSVVLEDDVSKRILLLRHKKRKEGDTKAAVRYAQRSRAVP VVGKVAPEVRDIALNVLRKNPIGRAELRARHERSKAKRATKGSDSTRAKRAGRL XP_803439.1 MDGVCERCLLGREVVLAELLGSGANGSVFLARPVCTTGTASAFQ YPQRFVVKVMRRGILPADGAAMILKEIDAIRSLNHPFIVRYVGAWVESGVGEHCGSVC LAMTHCDGGDLHGLIREYSLREEFVPNELAMMIMLQILSALNHSHAQHIIHRDIKPAN LLLVRNDNKEGSVSKALVGDYGLARPLQQTTELAQTRVGTPCYCSPEIVAGEAYSSKT DIFSAGATFFELLTRQRAFWKKHYTEQQSFHAILNMDPMPCLRQIARGRYETCLVRAV EACLCKNERGRPTAYDLLVGFASRLTSYVREKGIPVCREAPKVSPLRAIMESPIRRIS PVTPVRRPQPRPDSGSPKHNVSPKCRQSLRATPATPRVAAASVDSEKLGSNLLEQLLQ VAEKGVGDKAWVAKLRQLLDGDLETLLLVHVLIAKRRHDKELLENGLFKVLLSLKPHV DVEQVVSWVSQKIVK XP_803440.1 MKQIAHYFRIKTAKHAVLEAGDNIRELCSVLSFPLIIKHVSGYS SVGMDKSCKVYDMDSLHSRVTRFIEQYQIALVEEFVVGDEATILVCADSTQPDGIRVF PPVMVQFPEGEDFKHFHLKWETYEGMEWRLMPEDDPALSQVLSTARTSFMHMMGGVGY GRVDVRIDRSTHDVVFLEINPNCGVMYPPGQEGSADWILRLTPGFNQREFTLLQIKEA LRRNERMQPLFRCVYNPAQGYRMCATRDISAGSPIFEAEGQSVRLYTKPHVKATRGKE EYDQFAQKAWPLGGDGHYYALWDNEPTNWRTFSHSCVPNMNFGPNRSLNLYATRDIAR GEELTMDYRLFRDETTPPFQCCCGSECCEGWIAMNGGKLTKEENGNNY XP_803441.1 MAPAVIEIHIPLDRIRNEEYATDDLLLNCLSKIGDTPEEDGLPL RTWILREAHQALIKSPKLRTVLVKPQTVKDKPTHFQICFDE XP_803442.1 MPPRVTEKLSRALRRRTQVQAWHRALAATSQTSKKGSLTAVWPH DSFIQSNATLRVSLSNGEMETPLTRQVKRAIVRSETKGDAMNALQVLLNADGESPTAS TFKTLACILLQRHVEERVGRTEFQKLMEASTGTTDERWSTFTEEERTSFTNYLARATE RWAERGSSFNKLLGFTQEGADNELVARVEFQMRKSGVAVLATSLTDLMHLDVSWSAAL HLRNFAKSMHPSIIPPVEMTNRVMGLMTGYKTGLGGPRPWIHALSLYQETLASGYDTT LTTHTHALDALWRSADTFHRIQCTVGSAHRQFVWEKALSVCGEVSKTKLVVSGEEGCA YAEGVLKAVAAAGRWSTAVSLLANLDTTITQMSFRSLVPTAESYAFVIAACHATGHSA HGEALWTIFRGTYTPRSLHSEVLTILLQSFRNVVKISPVAGPLVEELVTDGKGLERTA VVACLQLVSSRYLVTKEPRWRLVSNLLRLYEANPWPQQPLARKAELQTVFRCCHLVAA VEGFNGKRVLTELRQRLVKVFGSSSAEVEWMDDAAIYALQTTTDLKEAIKTYDTVVGQ RNPENVPYLPIPLRQAKVMLVEALLRCCKILQDGGELFLLDEDTQEVERDAAVSAMKE SVLRAKQIYRCDDTFPHHLYAELLLIQVQSSGGKGLALEAMQHFSRGSADVINHRNIS NLAQLLSLTELHIENALLMGHAALRYATFWQQEGAESPAYRSRSCSVDRAVW XP_803443.1 MSSGASHHELTRGRDGLKEREYVWKKSHADESPGSNPQILPTLV LPHHLVFDNDGAPLADNIKVHFGRGWRLHVEDALNIVHRCALIMKEEPNVVRLKGSAV VCGDLHGQFHDLLTLLEVNGHPSVQQYVFLGDYVDRGDFSAEIVLLCMSFKLLYPRSF ILLRGNHESRQLTSCFNFKQEIESKYSSMVYEEIMAAFDCFPLSCVVNDRFFCVHGGL SPLLTYLGEIDTVNRFRETPSTGPMCDLLWSDPMFGDDTDCATPSEELFVFNTKRGCS YNYSYEAVCRFLEANNLCTVIRGHETQPGGYKLYRHTPKGVPAVVCVFSASNYCGTYG NMAAVVAIDGDVMNIRQYMATSHDSCTPNHFNAISRMQPLAIHEAVEKWCVASHGGSS GDAKAEKVEVEKNLSEDDSSVVLREKLGDMICAMHHIVT XP_803444.1 MDVVVLAQSVLDCPDEAVLQLLSLAPELPVVTIETSVADARRNY IRLAGILHPDKLKNRFEKATEVFQKLVRAFEKVADPKYRKTLQAQKAKEEKQKNKRKT VEGGVKKAKPKQLVEVAARGTTHTSTLQKQEAVKKIIPQRTKPIVEDDFVLRDDEGDM SSDKDEDTNGEDTADSDAGNEDFMFLASAVPPSVSTNRALIGTPRVGGIYNRTVVRCP QCRTSWEPDSKQHYTLFMGPAGKKVHCETCLCRFGCATALHACPNCQRGFDYDVTMYD TEVKCGSCKKAFGFPYYPVNQHLIDLVGLEEWRERAEREKAVERTQRAARRRAGESDA QDELHTLVGTCIVEEQCPICKKAVVSRHRQHVEACLKISPADRKASQSKPSRSGGPGK PTLKPSVSKSSISKKAPTTSGSAKKAPTKRVVKPVKKAGKKRPRRGSSDSNSEEEEEE EEPSFEETSSDDDD XP_803446.1 MRGEHELVIPGEQRRDWCSTDACENRLCRKKFSLLVSKQHCQWC GRIFCDNCAPCTATYGGRRLRRCNSCKFPAVFRSLRNLRTGKRDGSVARLIMSFLDSR SINALMHSCYTSLSEFNVGDYVYYESITDRFPTFFEGAMIGKGGFGSVYKCEDRSYPC RRRVALKVITKASVVTYRSWKKIVTELEIMQDVDHHNVAKLLEVLQTPHHLVIVMEAG DGGSLMQAWRLAKEYKYDVEVLVANVVLQVAEGLDYLYHKKGIVHRDIKLENIVLSHD FKRVMIIDFGLAEYVKQCETQLFVPCGTAGYTSPENIRAVVERRRVYEALGETMHLAD MYSLGVVAYVLLCGHMPVATRCFSSLYQNMLKGIRCVGPHWANVSDDAKSLVESLVKT NSFERATVAVIREHPFIQAKAPLIVEIVQRHMHKQTETERREVKEWVYVEPLSDHWDM IEVETVSDEENRGLALRKGSSTFGRVGFMNRLKSVVWD XP_803447.1 MTVNYRKRGKKPYPGEGGQTGAMRGLCVLSICVIIYYYYYFLSR RCHFVANFQVMQTFQCKIRLLYSLSCWNRWSVIGLCEFRLCYATLENAHDISKKKDGG YLCHTLSFVKGYRLGFLFFLFLSVSINLSFINYSCLGCLLCILSRGKGRVSVKRVVSF GLCRCRVVNL XP_803448.1 MPVPVVDPAPCAVEATLWRSCLKEFDYGPDRPKGACENQRLGYY SCIKDWTAKQGEGLYDYRKFNLIGACAGEAEKLHQCMMVGMFEISNCKEPMAELKRCG ARHDMAVRQALEGDSALQAVDEEPQGIKRMWYHAIGKL XP_803449.1 MEASGHCDVDNGPTAQQPVKAIQIVQSTEEADALVKRVKQLERE NKSLTDVLTKVCDENAKLSGTVAEMQVRCETYSTNIFSETPVLKALYERDKFKLFGSS KTATPENLQKLLDNLCKEFTDFRAQHTSSNGEVQKLLMEVQQGHANVQKLSAVVRDQQ EVISRSPLAVTVRIAQDEDESKNVMFRDLRSEVDRHRIREDELRDQLQRLQAELQAKT AELTQQQWELQASREECDSASVAEQKQQELHDKITRYEQELEDLRENLATESSMHKDV EAENRALTEKLRELEDRIRQNESCSAEAKLTAPSYDVDTLLTASMNEPKSCKNCEDAQ HQHEAAMEKISILESRLAQVTEENGKLTDLSSAMKEEATYFATTKEHDEERLRGLEAQ CETLTTDINNLRVERDQLSRDYEAAQLELDRARMQAEKIPQFETSVAELQQEVSTLRE RLSCAEESEKALLKAREEITQLEEAQRQNTGSDTEARCTALEFSLQAVKVQLGETQAD LQRVGSERASLQDTVDNQRQTLKSREDEVAALVKESAELRVEVCRLMEENNKLCGQNT TLEGQLNELQLRMEELEANSLVFNDLRSEVDRHRIREDELRDQLQRLQAELQAKTAEL TQQQWELQASREECDSASVAEQKQQELHDKITRYEQELEDLRENLATESSMHKDVEAE NRALTEKLRELEDRIRQNESCSAEAKLTAPSYDVDTLLTASMNEPKSCKNCEDAQHQH EAAMEKISILESRLAQVTEENGKLTDLSSAMKEEATYFATTKEHDEERLRGLEAQCET LTTDINNLRVERDQLSRDYEAAQLELDRARMQAEKIPQFETSVAELQQEVSTLRERLS CAEESEKALLKAREEITQLEEAQRQNTGSDTEARCTALEFSLQAVKVQLGETQADLQR VGSERASLQDTVDNQRQTLKSREDEVAALVKESAELRVEVCRLMEENNKLCGQNTTLE GQLNELQLRMEEVMRQCGGANDTKGVGACVEQRTSVGGVECERAVASEGTVAAQLESN SFVPDDIRSVMVENTRLVSENQQMVSDIDRLTSELLVYRQTIDELEAENEEQLRQNEQ IRSAHSAELGLIAERLASETAMCTNTNEKMAKLTDEYRALELERNKYQCLVEEKSNQE EKLLSTIVATSSELEAVKEELRRVMVTVNDQLEAVRHEKELEMEVRIAEERQRYENES GRLCAQLHQVEEERLHIESELDKLRQSTEAAGGVAARASSAAAEDSDVTALRVQVDQL RVTLKEAHRQLEEQERSSAEVNERFEEERKKLDDDAAAMRRRIEQLEEKLQTARAEQI VSGAVTLPVRRKKKKQPNTDLLSLVRFDDGPAVDVLAHGADSPSYRSVGNEREAESLA AQLKESNDKLSVLQEENGKLKESIADLTEKLRIMQDYTTNDLERQVEELQEKIQQLEQ QLESAAEPVASILPVDEKTVNGDGADKKLQLLTTENKRLRSKLRQAREALRKKEGGDD ASVEQLKAELQMLAGEVVPMKNKLAEYMAMADRIGIQYPFSEEMEKAALLNLNAVQTK MSHKKSRNSATKIEIGADEKDRGSSGHTKKRTSSKKIKDRHSHTVAEVSEDLR XP_803450.1 MPKAKTTTPLQVCLFYPNLIGYTRVILSLISFCLLGHFPIAFLF CYIIGFVLDAVDGMVARRFGQCTQFGAILDMLTDRASTAGLIVVVVQVLQPLPHWGAT SLACLVFLDISSHFCVMYVSLYAGRTSHKDVSSSIFSLLRLYYTNRPFMCALCVGQEL FYLNLYMYGVYGIAEPAFLFFMALTAALSAFKQVVNVQQLLDSMYHLAVLDAAGSRQ XP_803451.1 MWRTAVFCTIRTGALLVSRKHLRLRCNSCTRLLPAAHFSKATAP AQSLVCIDCKRLCILCGVHRTLDNFSGADAELCDNCLAKKHVARENVYFRYPVLKYRA CPFSVEAMREEIRREGTSIDEEERMDDM XP_803452.1 MWGLTRRCPPMGLARISAAWMCGARSVSSNSAASGGDDTKERLP WSTTPLTSSTSAATAAERTGVYGVKGYGFMEGFRVLGPTAALGRLKAMLSLYAVLSAA AFGGTVWFMTTKSYVLTTDPDPKSDARRKTFSCDYAVVRNRWTGRRCVIDVATCSSSS NAIASDACSNDSGTSAVPTRGINFTQQHIKVNWLIHSIRLYLHATDSVVVVDLAPLLH PYRFTLDRQRLLSATPSFSKYDEPVSGRDGKNCVEKPRLLFRSRVRPALVRNYSQNGT FPQYELTLQSVAEELLTERYRRAILSRGYSCTHPAVLEELLRNGQLNGEGLAWEDVVG DSVSFAKEVQRRVEQRMKDQVVLLHCDIAFVR XP_803453.1 MTLDLVGKLHRTIYVDGCPSAFYEDFVRLLATKCGPIEGWDVAD RLIVIFSSLNSVSTALTFSGTAFGDLTSSVTVWVANQPPPPNVVQQVAITSGGNGKAI EEAKAAKEARERRLATIRAELAEDIERDKEEENVSVKLRRLCLRQLRALCVLTSHALR EVEESLEQSTLHLNSMKQLVDKLKTKGSSHGPADPDAHQSTSVPTSFTRDAANGGEKR TVTLHVGTADATVEDVGKGQKRPRSE XP_803454.1 MSTRLCEPSLRDDGAQRGTPASHGKWCDTGAFKLDETPSPVVAN VSSTSDASFQFNRTPSPGKHQNHAADRSMWSLDDFVIIRKLDEGRFGKVYLAREKQSK CAVVLKCISKDMIRFHSLAHQLQREVELQEYAGRYHKNVLRLFAYFWDDVRIVLVLEY ADSGTLQDLLDCYREKEQRSSLPDDKVRTILLQLLSALAFLHERDIIHRDVKPDNILF HGEKLLLADFSWAVRVNNSDPRYCRRHTVCGTLDYLAPEQVLKRGCTTKADLWAVGVV AYRMLCGFLPFEMLDARDVCSCIASGAIRYPSQLSPTARHFLQGLLRVDEALRFSCQE ALNHPFMRGDCNCRISNRYIESKHRARAEEPVLQHQGSFDTTKNGTRWIQQKKESWCC SRAPTLNVPEPVHHTGTNCVANHSHNHKDMDKSTTLSCPSASDIVRVRATTERVRCPV DDYSGTSASLITISPLRSTECMQSLSNVSTPSIVSQTHSEWLHSASPISHTPVVAAAA TSKCSRDDVGTSSYGVSYSGIRLHNSASDGSGTTTGQHHAQLGTSTHSSFAFAPPTSA RYRRDISGYHRINGRGSSPSDHEEYEVASCALRLCFDDEDDADNNCSGALHAAASNRP KTLKSGVMMNGTAPPSHHKGSSYDVR XP_803455.1 MEAKHAPLKKVRVADTVVQACYAHAFSTEQEEVMGLLLGEICVA KDSDPYSDVGSEDFRSSPILYKEANVWDSWVVQRSVRRSDRVETAPEVLSGASEEAER CTELVGTHTRVIGWYHSHPRITPYPSHVDLRSQLSYQMLESGWVGLIFSVFYCDSTQR NATSIHCFRTGPGETHEMVELEVVPISQMPLKSPPVIDITYRLLQTFRTEVEAAVELV RQRCGGMADAVEAARGLQDAQFYTLNKLIAEPALLYLLRANDELETKVVALENRLRIS K XP_803456.1 MHTSMLYKYLCLRIRICVTGLYIFVLVVVRVGDFSLLFLLIYLS SKLPVTADIISIISHEETKEKGVKVGFPIVIYIYIFRINFRVCLHVHALVCRCHFVGF PSAVLSCLILLVSSSAQCA XP_803458.1 MELLETVEVLANNSVRFRYSCPVQILQTIARTDTVHCSPKFGIG DHLWRLHLQQRCAPPSNEPYLSVHLQLCTQQHVVAQFKLTLVCYMDTRLSKSSTFRCT FQKTGSAWGVNHFVPLRQLTGAQSEFLYRDEASNAYFIDIEVLLMVCDTNESQRRRSL SISSNPCPANVDRHRDADSSARRGHAGDRVNGSVHRSPSNNQRFTQAPGNSFIPSPLT IPLRAPLLYPFEHLEGLADMTFEVEGGCFKAHRCIVAARMRPILPAAILPLQPGCSVA ISVSSAVFSAFLRYIYTEELPEQGVMSAEALLDLYLLAAACEFYDLCSLCVRFVEPLL TSENILNIALTRFNAADEVLNALYLSALLENYDTLIQDPKFEEIPGHLFRRLSLILRS QETVPPICIPAVKNTLSKQLTWLAESGEYSDINLTVGPQKFVLKAHRFVLASRCILFS QAMGSKLPSSQLSFTSEEFDFSQRAWHKLLAAIYSHHIDSQHDFSAEDVAIVLKMHAV FGMDGQLKKEADEAFNYQNALRMLMYSTKHQVPELHERAIKYVGGNFFTLLQEEPQVW ELVGELPQHTVVSLFRTVIENQGFSKCQSIGQTQL XP_803459.1 MTGYNLKTIAKAEIGSLCHDIYLLDPASGESLEAHVRLAEAEMQ ALRRQKQFVEHFVSQTVEERRAAAKARCAEAQRRREELTQKIQQLRGKTLGESHHNGL ARRWAAAYNELAHYRERVSNALMCSPYTIVTTVQQEAGINSSFDLWPPLSSSATSVIM ELDNAGEDSTSLSPSVLRDQLELIAKMQLRVNALLNRERHRRQLQRECVSDLLRDQKK LLLWCQEQIATLKELKSLEDLREFCASFASNVNVMDTNFLVLLERSELLEPNQTIRDA LMEVNREWIELAVSTYQKTREALRQTHSLSGVEEGCRRWANVFELRVKRILTKACAVS SHPVAGHEHLPERLQENCASLLKEFSNVSIVMHHICKLSLSEEFVAHLEGALRRTLLT PLSLLTHTFAGDAQFVAQREYADRLREVSEWLDGRATGNAYSRIMKQVEHLRTIAENL LESLPEKDNCADVTS XP_803460.1 MRPFPTPRPAAICDLWHLSATGYCFPVTPSCNVHIRYSAWTPFF GLSILFFVSVTVSCVSALVGTGSISTSHSVAKGRPQLRVVMAAEPADRGCGPISAAKK VTLLIDDMIRSGKYKILFDALKSFRNGFVYGARIRAPHALVLNLVWSSAPYSVIARRV FDATRQHALRLGATAFTFSLLRSLMALVEGRQRPWHSVVAGFIIGCLYWGEQGAVTVQ MSMYILSRILSALFFILMERLAVTTSVHPPPWAFRLYSGVLWMFVMPLFLYHREALQP TMRTSMQYIYEDCTRYSNWYNLLCFNSDTSF XP_803462.1 MARSEGKRSPSDSDDRSDEDLFAVFHDPSGNVSGSVDSTIGVAP NSQASRLSQVTAAMEDPVVSRLALHDLGTSVFPANKTFSGAEGSTNPSASFMSVVTAT PTAKGGVDDAPIRKPQLGIVREPNSNIKVSRPTRSCEQLAVILAQHPFTTFEGLRRSV KSAGGNSLPPSTVVGVVVHKTEPKRASTGRGYGVVYLWDMKGPFVSPAGEVAILLGGS AFDTHYTRILSGLVLAVSGMQRMENQSVGKTTFNATTSGGSTASGDCGILKVTTCDQV RVLGFASDLATCQGTQQRSGELCKNIVNKSLSNYCAHHMANLQREARGAAPGVSGKFR SQPLGTARLSAPALTCINLATLQSAQITASKTSSQALRRQKLGFLTVRGSTGLPASTP ASADVMAAGNAYAGVVAGDVLPVNDVAQNAKRLLSNGDGKGMTSSYIPTQIGVGTQGR AVLGAAAAAEEAKNFDRLLRLALHPSQRGTKRPREGCEQPPWVEVREKFAPLSAPHDR SAFAPLRGGRRGDAILTGRNSYNSGVTTQGGKGTRSAIVNVVERQLRAEGSLAKFAGR SSVGQEEVRLRETTANKTGGSAPPPASLLGKVAESLHSVNDELRALDERQRLERQAER LLQQDKALEALADVTEQKLKAHYCRQCDRWYLRRNERCKSLGHIVETRETVRRFIQCE HCRYKTSVIGDVRPSKLIPRCPRCSADVQWRASNAAPENALVISLREDA XP_803465.1 MFARPISIFCFGARTTHFPTLRSTAQSFQHVSLHAAAYSNHLHF HTLLSPFLSLVHAVRKHSVFFCYSVGSTCARICCFKSRIHVGEFVGKEVNR XP_803466.1 MEANTDILCNAVVNRMGEMFGEFGFQFDSKHSWNDVTDVTKWQL QVDEETAGEDTVETLYDIVLPIKQRHKVVKLRASSFYRARVRVYSEKTMSWSVWSEVT RTATLAAVTGQINDVGEDYVRVLWDRPARAPTGIAAEIDADATRSIADIDDFELRVLR ESDMRQEFCDRFETGVRTHIVRGLRPGTAYIVMIRYKTLINTMKKWVEVGRFLTRQTN VMSLLSCGEDVVTVSWALASTSDDTIGYVVPDNDVHRYEVLVQSDCQTFRSVEFPQQE RTYRLDKLTPGGSYIFTVRSLSVQGYWGRCSEPLCVRTASRPELSVVASGESFLSFTW ARMIDAETETQVEYRLSSLNSVYKQEERLDVGPLADDKVIHIEGLTSGTEYNVSIRTF LNNEWGMWTEPKKFRTEARASLTFLERGEDFITMKLEGECNGRFASRFNIVIMQVESH DELNVVKDEEIVSDGDTCMFTVEKLRPNTMYILKCRSYQFNRLTGYEGWGEFTDPKHT QTLQPLGVRLWDIGEDFAQVVWRRGLSDVPLPMGSSDMGISELWADLKYELCVDCVDS GETEVLREEMLGTSFKISNLQPATTYIVSVRACNEVEQWGAWSRVKLRTLAPMMMHVD EIGEDFVRLMWERQLVDDVLVGGDGINTKGDDRDNTVAVAAADMFVSSYAVFVFSREL SGSSASSLSGYHMGGNSEVARYKVVSSEHTSLRVEDLLPDREYMAVVRACTSTRMWGL WSQPLRFRSNAQFRIPVNNLIIGENYVSIVWSRDANPLKNKDVHTGDLTVTKQELRIQ SIDQSYSKDHSLPADMRELKIYGLHHATAYNIQIRAAGPSGDWGLWTPPVQILTRDTI LTRAVEVADDYAIIAWERRRPPNQKNYATGRGVVTSYHLRVFNVGGVVFEVFLGDGDS PYRISNLKPDTYYCVEIKANYNDEEWGSWSSPLWCLTMKSLEIQTRVISEEFCEINVH RPHQQKRLPEDDGNRSSDDRVVVFGQCRPCLMLCVTSPVENLLPHSSTGQLKPRVHNS SLPVNAADHRLIYQTEFCSTTEDTEHTIPSLRANTVYCVSVRSKLTNGEWGMWSQPPL FFATVPPTEVEFTDIGEDFVSVGWKRNKHNIPPHVSGSSEVKLEQGTIVASRVKIREI DGSFQKLIEVSNSLTALHINELQPSTTYGIVVQTCGENSVWGVWSTEAKVRTIPGMDI SIHHVSEDAVWVSWSRMSDTSNLVSFDTALNTDATAKGYEVCIAGDGYFKFTKEVQDN KLFFRGLLPDTVYKFGVRSRGSDKQDWGIWATRSFHTKPRLRVTFGNVGEHFAIVEWR RHLPTCLDRCDTEAVFESEDVVQQFRLRVERVGDPVHYVYDLSPYVSSFRLKDLQPSA EYCVWLCAKGYEGIWGFWNEEARVRTLPKLQLDITAIGEDYVTVSWFRPNWAGDRNWE NEGETNAVDRAVSGYKVHVLDKEGNEVVSQYVNFRQTTCTLSSLKLSTIYSVEVSAKD TYDELGLWSDSRRFVTLESVEANVLLVGETFCQLEWGRRSDLAERRSDLVDRRSGRRT SGFTDGEGSSDTGSYSAASEAALAAEGDVGGSDVEQIKRRASSCVSIISRGARHVYGC TASSELASGEGGDGDDDYIFDETVMRGCPDILQWHVQMECRRLSGGLPGADDIVEFYA PAAEMGRLVTNLQADAEYTLTVRAQDKNNTWGHWSKPRILVTCPLLKLSTDSITETFI NVSWTRPPMTRAVSHCFCCYPEEADIHNYQVHIEPLAAEEPFDERDEPLVNGARLYET SQRSLRLCNLTPGAHYRVVVCEQRIDFRGKFVPDSWGTFSQTAVVEMVHPMGVVPIEI GEDYCLVGWRRVPRKLDTTPETGIIRGVVKVTAYELRATRLDAKAKKKYEGPLSLDTV LSLEPTATSYHLGNLVSNTIYAVSMRAKAEGYWGPWSEVTKFVSQGRLKVKVHSVYED VILVSWSRPLPDWACARPDLNKPDDLGDLPQLCGMFTETDILSGLDAGSDAEPEPASV LPSDNGVEGKLQVEDGEDDGNDWDAVQIGDYSIERYELYLEGITCDVQQCLTLSKYQM SVRITGLQPDQIYSVCVRSLSEKRHWSMLSHRESVLTLTSMVTEVSHHTETMAIIRWF RIPQDVRKYEAFLEERRTAEERRCDERERHELFDMKRRLQELEESEERNNLKDHLELR HEHNAAVRNDAIHHLRVENIVLGDPEVTGYHLRFYGEGVMPTIQGVHHLLLHSPSQKM VGRKRRAESMRLLTKMKRQQRKLMSGATSACHMECAHVDMSAPGGAQLATSSDGGEPS TPAVANMDLGLPREDSVLFDVQLRPEVLSITVKGLTPDSPFEMEVRTRNAVGDWCPWS ERNRFVTLRPIELLHERFGEHFISLYWHRLPPAVSAKIEADERQLFELNKEFSSMCPK DIKAKEQELSSEEQQELYTRIATFRDLKESVKAKRNWLASGRGEVVVHEHTPIRGYQL RIIHQNGTFEDHYIQGKSNGDTNEPIICAFTVKQLVWNTMYTALLCCDYGVGWGPWTP PLKFMTQSLIQLSITCISETFVDIEWHRAPNKRLPPIDEANTLRSDASSHEGCVCQLR ITWESENEDDEGKICEEYRTMRSCCVFRVDKLQVDTKYTFEVREWGAEEAWGLWCAPK TCVTMPGMSATVEKLGEDWAQITWRRRDRRVDYDNDMNVLQHGVDNEMFYVRVLELQD NGVDGESCEEPAVSEEELAPKTLADEIVGVLTTTQQTQGPLPPQEHPVEYESDGSGRL HLTRRFNKDTFSFRVENLKQDRFYSVQVMSVTTGGQLGAWSAEQHFLTMSKIRVNVKH IDEQYAEIEWKRVPPRQHPRMDMAEVFTGSYVASAYMIDVLGRDGLQLNVVLTGATNT YYRLKSLNLDTVYTVRVLSIDECEASSMWSEPLRFVTLKRLEVYPTQITEHSVMLEWG REEQQPKGDEGTEYEGRFDPTICVGCRDCSGYLLRIYRCDEASRKLLCEKRFAGDLQE YRLDSLTPNRPYTFKICASNNLGEWGFWSEERCVYTMKLISAEVLAIGEDYVRLHWRR KEPDELQVMVRTSTDTNGTLSDEGEETSNGGSSGRDSDDNTPITVGESSRSVGGQTGD FSESSGFAAESQTTLEREDGRRSSFRAMSPDKLKPGLCQKRYPLLDQPEVRSAMYNSA KTRVAYYAITFVQEGEDEGVTFNVPGEKTTFTVSSLKPDKRYSLAVRACYGSGEFGLS STHVTCGTLNLLSVELIGLGEDYLTASWQRLPNSFATSDLQPSDVEELICYELAVHDF TDVSYGEEDAEELPPRLRRTMIIPSNVRNSTVKELLSHHRYRVSVRRWYKPHEEFVVD TIHPELPQAEDEGIVEALNQSRAEPGAWSDGLYDVTLRDMVCFMDDGAEDFFAVHWER DPRAQPLPVRNPFPPKPVDSYQLRVYEVGPNAAANEGSLLIDKPLSGSETTYVARNLR HDSLYRIHVRCCVDNVWGRWSRIVHVMTLPKFAVEMSSIGENYAEFSWQRPRRSLLLP DGSEALCGNDDFLSTFQVDVIGLEHSYHISKQFKGARNSYRAKLLEAATVYSVSVRSL DSRRESWSPWSDRTFFATLKPMQLIVSSPGEQFATVEWFRDEQTVQEYADIVGCCGET PASADEPESLPSTTNNAVAAVPVVLGTPEVIAYHLCVFASQHSPALAIVDKQFPKDVN RYRICSLEADAAYVVVVRSCNTDSRWGLWSKEGLFRTQRVLRLDVVNVGEIYVRMKWD RGEGDRSEEKCNSQPRLDPCEYQLVLKGGNETVEHVINPSDCGVTDDEFLLPTYLLEG LSPGLDYLMALQPRYDELSWGQWTPTVGFKTLCPICVALNGVTCDSAEFTLNRMEPPP PPEASPDATGSAAGRTSTGKGRTGSRGRKGTRSPKGSKGSGGSKRGKSGKGAAAKQSP SPVSERSLLPRLVSPPDAQSSGSPTGIASPPAHGDPLLGGARIVDGEVGEEGSMERKA VVQIVKKYQVEVRKTDEIPSKDKDDDGDEVNATAVNNDAAATVYTVSAGEAAEALPRA AAPLEVEPADTQLPAASGAAAAVEGEVDGANAVDVGAACTVGAGPTEEGGDDFLPDWR RIVLCASQAKPYFRQLEFDVEGEEGPMKNITIDGLTGCTSYTVKVRAMDEHNSWGAWV EVNLTTAPFPPQSVTLRRQNAQTGLLQWEAPDCYHAYRYVVEQSHSPTDSRGKPKGSV EWRVIDIVEETNCRVRFTGPAAKVRCRVKCSLVDEGSPFSEYCEPVGVTSGTAPEPVT DLTVVGTTDNSVTLMWTPSRSETSSNRSGNRVINYRVYIGVRNCTPILASTVSDSTFT LEGLEPSTSYTIQVVTECKDGISYNNPIVNATTRSEKDVAITLPQIVDPSQEFAAGEH SPTAKVITLPEISSAHHVMIPQPPSKGKRGKGDMRDAMRHAASSSGSSPAPGGVKVPS LPINAPLSGRAAAGRAQKTARVGLSRR XP_803467.1 MENKKQVKTVLSIRNGEEGRDVPAPTATHPALTGERWEWGRVRI NRDTHHGRGTTYATATENIFCALRDTYRLAVGPQAPSGVDTHDGVCEFTINKENKKEN RQPTFRFLPPI XP_803468.1 MITSQQTGELPEQTQGRFVRHCLTSGEVIDFPIADMMSIPLDRL CQLAWPLLQFGLDASLGARPLKVPCVSQSDNDDMQLARVIYDTVAEIVRTVRPEFCWD QVTCHWLRASLFQKALQSIRERAAVVPQEQCLENPTLPSSQLEVQSSSVCNERRAPGS GEAMNQKTQRRLSESALICVDVPRGEAMVSPERRGFAPLNWVVPYLKGRQDERWLQGR IREMVEVVASPQNDGQKQLVSTAASLSGQALHHKRSAVAFLGILREVLDRWNESSKTP GENDIFGFNVVLPILHITRFTKLDEADTADVAHILERVRGPPRPCGSNRGSLSTATFV TKYVLSLEVGPRNESTSVSPSNTQLGEGRLPVGLDEPSAIRTSAAETELPKVQAGSLE LNPPASELAKTTEVFLVAPLARKVTVHVRKALNCDDVQIALIPHASLGGGSTGDDAGE TRRSTNVVWFEPVEEATHELIGGCSCVLRYRRGYEGARVPMLEAEVRLEMCVEVNGRW AEEFRAALSSAQLEIATHLLQQIKCPPVATNLREESIMLFSNGLQPRVETRELPPLLK EIAKMDDGALRRRISCRQSDVRGVRAALCMIGSIIAEREPDQVTWGSWVWQDVVKRVS LASSVIGGNPDATDVAAFASEHASSCKLSTTQIVEGTVALLSSTATVAQLRDYLSTQS ERARTCISALLLLLRAEESFVPQDMFRVVSLLRDLMMYESDHYLMRFSGCGEVLTEQI RVLVHRLFTAVFAALQHVMSMPEGEQRRLGPHRFLWDTDKFGPFALISLSLLATPLDE RDLDFIWDKIISQVEGLLPSFTDFARTASFKVDEVEENSVIESVRALIGDCDVHRHGG QTGDRVQCDGGNDGGTFVGLTMVGASERGLGVWVPSGREPACSTSTPLYFPSIQLFSL SSTTVSISSTLPSSVLSSPRPPPLVSSSNAASSMLYAADINLTAMPSKELIVALASGQ HPGVTSNIVEGDPLGFYFEPHSGKLRHANRVFSLPPLSRGDRVTFCFPFSPKTSTRAV CLLVNGVCFASFPAPPRALYLLVGVTDSLSLEGKAVRISFRSDELSVLGSIDATVAAS LVGKGDASICSDCLPTRHFISMFATLVFAYLISLCTRRFAQAHRSVSGVSSPPTSSSL QVKNRTASGRRHIHSEEAWRGFIDGCCCRLRQNVESLIDSIKHLSLLDDVNDATERVK RSAASFVYHRFLMDYITIMRTAIYCSSHPADILSTLTKVVCCEEAGERARCAALTTIH VVLQEPGRNFDITTYNPIPLWDCCCQLSRQVTAPVYKPFFTTTSAATDLRVVSGGRHV KSLTAEECSSRGVQNTTSFASQGIPLDGSLGDVVSFSVRVRRGFEFDSLGRLYYVGVA CHDSLPTSTELEQHPGTRQDMNHVYAITDYFADVEAPSARVELFRHSKHWMLKKDGII FGSGDIITVTVDTKARCISFGRNELPLGTLYTNIPSAVKVVFPFVEMYNKDATSSWMY MPCETGIRARLVMRAMLSCWDSVLVPLLSQMLRQDEIVALQVLGADGDEMSFTYTGPP RGEIKGSFDVRLVRQKGSLAEIVLGEGGSGSITVPAVAIEPNYESVVSGDLPLGLLAN EIMGVLSRSISFTTDEHNNEIVYIHSTKTFICALRLLSELDMGEVTLWDKETQRLLLS QFIRILAMPDTVHPNGSQILLEAWNELMLLPDNDKLCMTISNKKDIDDNVYSECGEGG SDDGNARADEHAGEAEKIVYLRCPVCDEEWGHCAGEGHAAPYSLCVTLHHVMQRLGLP SPFVGFACEWLLVEEGVCVTLRVTAGNEVEGEGADTHGPFSFSGKYVSSHHLRGRCVY KCMERPNNVNRAEEEWTCAVCTFINLSDSTRCAMCTTARPGATWTCMLCSYAFNSNHN KVCTTCGNMRLCLGSTDASTVSDNKQAFCTQCGKMREYISFIQFESRYLCEQCQKETL WLPEEKHVGVAEAKLVGAGDRMSWFVSFGSEKQSYTDMKSTAYSFRKMLDAVLAVSPQ AGDLTRYVPPLMHSSDDDGQFESGCLSLKVNPIQEQKQDGRGYRAVIPAIIYFCSRIV CSWGPNLAPKGLAKFSVLCRLRVIEDTWLTQLRKVTTDAAQSIFTSCLQILLSGKRSE QMVWSLSSIARVLMNCNTPFQKQRHYLLYALSLNAVRGGGDRTFREVCYAALNLLLEE ASGQKLNVQCLREVITMVPVVANQQQLMVHASLRGVNASISADVTLTSWLIEVGERME RSQRLPALFDEPSPDTFPYVVELPDTRMGSGGELIVGQVRGSVGVLGNKGGRYYYEVV LPPNFDERGKTIVMGWGTIQHEVVSSGQHVGSDIHSWGFNCQDRLRIMTGEQALVTPR PIVGGDIIGTLLDLDTMMMCWSVNGEELTWIAVSTQGKGEAIYPYVSAAMDPHGVLVR LSYTQFKPEGYKDFSPGCGEEGGRLESSVKPQCFDFYVQLCDLVNDVVNCGFTVDSLA ETDTWMEGGREALRNYPLLSSEVGGGSLYKLKPYLQHLRSINSLAVSVAKSHNIFRNS QFLMRNYEKVRRLLFFAARWAIVERQIDRRLLRNNVKRHRHVLIDLKEAKGVLEHGET LDFITLFDKSVTGQLFHQTHDADIYRDAVMFTTRLSGEVADDAGGVTRSVVSMMCDEL QYREDEGGRRMEPLLPFFKLSSHSTMVTLVPNIDFYRSNPNHRQLFLQFFTWFGKLIG NITLSGYVMLSITLPRLVWMFLTFDEVTVKDYYADIDDSVRGALEDDDFLLNDEFYYS IPVIEWGLVCAASDTVGALRSKRSLAPAFPKGCFSEGAVVTSQGLCKSIEVERRRTEV GRALVHQYDELLSAMRLGVTSVVPSHSLQLIRWDDLQQRVCGSPCATAEDVMSSLDVS LLTKAVCDMLVEVVRGMSNRQRAMFLLFCSGQRRVPLPEKVQVSCGDDPAAVPTAHTC SPISLLLQPYSSAATMREKLEVSLHHMYEFGFV XP_803469.1 MPPQRKISLRQRQRDVIQAMISSALPLGQGGVPAPQPMTATYGP AAVAPWRLLIYDDIGRDIIAPLLRVGDLRELGITLYMHIKSKRDPVPGAPAIYFCAPT DENINIMASDAVKEFYEWVYINFTSQISRRSMEHLAERLTRGQLQNIEHIRVFDRTLN YVALEDDLFTLMQKDSFVILNSRHAKDEDVEAHLNEIVMGILHVLLSQQVLPVIAHSR TGPAEEVARRLSVSLNDSLNERTLTPASANVLGRPLLLIVDRSSDLATVLHHPFSYRG LLAELGDMHLNKVTVLGDDGVETFFEINPDRDDFYRNNALLDFSAVGGNIEAALKRYR EEHANLSESTCDVDGDVGTDSMSKLLANAPKLGEKKRMLDAHTKMAYSLLHRIRQTHL DRFHGVELGIIQQEGLDHEQFENLLLTGCGTAEDRQRLYLIAYLLGTQGEHETVLEQC APAFEGMPFSALSYLKHLRQWSLGTANPTGGEADGAHGFAWGLAQTLAKNIVNSLGAN SKSQLPLTKLVDSLLQDSSTSSGVGVGGSRAAGSSNLRAELLATVVGYDPRSRKQVDL NEAHFSQAIVFTIGGGCVAEYDDLKQWEAAHPRKAVSYGCTAMMTGNEALRQLTVLGE GIS XP_803470.1 MGRGFVMDSRGDSVTSSLAGLLQLHEEQIRALQEEEAALNARAA LLEYLADCLERDELPEELPEQEITAVCEDQQCVYKQDTMVCETPPSNAMTQEGSPPIA SLEALRGERQLNATPSPVRRELEDFFSVKKLKRPRRVDPPSRSVMQKRDPENNSATAV DILAAIPRLACSELSADPSDDQLVVPLRKPINRMRTARQRARDYLEDATLYGEDNEHN ESDDEGGTQCTPSSYWDISFPQSK XP_803471.1 MSHIVKIRDLKEKGKDDLLKQLSEFKKELSQLRVSQQMNVGAAR LGRIRTIRKGIARIMTVLNKNERENLRKFYSDKKLRSAKPKTLRAKLTHRRRLALKAN EKNRKTRRQLRMAHKFPRRIYAVKV XP_803500.1 MSHIVKIRDLKEKGKDDLLKQLSEFKKELSQLRVSQQMNVGAAR LGRIRTIRKGIARIMTVLNKNERENLRKFYSDKKLRSAKPKTLRAKLTHRRRLALKAN EKNRKTRRQLRMAHKFPRRIYAVKV XP_803501.1 MAKRTVTALCTIPEEDPVPLFVGREDGTVDYYKLSDITRGGTPI VTFYGHTKTVTAIVAPALDQVFTCAMDGNIRQWSVDPEQETPQRCLKLIKIVVPLRCL AMCGDRLYAGDDNGCLQVISGERRSALPGHKDVLSCIACASEEAQIIVTGGYDNQIRV WDGRTGKTVRVLIGHTNHVKCLRVVAEGQLLFSFSRDLTMKIWRLPDPSEMDQNEALY ISGILNRQATVSFKEPGADEDENDLESQGELAEGALSHSENVAPQTDGLVRSGSLSVS NSQAAEGNKSSLKSALKGRPEPPIQRVDAVGTVEIPITPHTVAARREEAAFCFVGASE GYVLGIDVRALSKTVLQFLSRNSSCVRMDTREMRQTLLIAKRVIFRRCRKAVAQKKKE LVKAARKARAAKRAEERKERAAARAAARAERKARAAEEDEEDEEEDEMEEGDDEFAEE EEELEDEEEQSEEDDPLELLDEQQKKELSEFTQEREKERNAELADLREAVEKRSEAMK SVSTATYDTPRDKFFRLSFTSYKVIGDEPVLAMAIAPGPAAFAVQMDRVIPVDITPGI TYL XP_803502.1 MPNPYTGNYEAARFVEYYASAQMLRDSVHRLHTVSIQRHMDALR RAESAHQKHTCPAAPIRSRQQIEEHANRMVYQEMLRRQKNMTKLAWDVYKPVPPKRLS SEELRSLVKRMYEQQMQNMTERKKEARQRQVVLSDEVLAETRRGRRMLRQRDIHRSLE SGWRTPSSRAKYNDGKLLLVSGGNVKSTSKERPPDLGYLARLAKPLRPTERVNKC XP_803503.1 MHSRRIFGSAAIPLAMYTKLRICSQAQARRRLPSLVPLLPAMAT ATRSVIPLVQSTTPTMPMLETAEFDPVLLSNYLECIAPMRVGFLRLMELWGIGRMVMS CFFPKMR XP_803504.1 MTFSAREATLNNDEEWLNIPAVLRIFLRQLNNDISNTHSLCEGF LKYQRDNDKILKDIICKQRENTDEMSKIVLLTQHRRQESTSSVCNTVNGIMCEVRDLR EESRRLRDRVHKLEKQKPSASTGQECSNTEAEALSKMMSRGFAELRGQISNDSSSVSR DINQLREEIALVSRKQLDLSSKYREDIQCVNAALRQLENSVFGEINAIKAQLQDHRKL LDAVNEDNTKVSAVVSMHDKNVSALNDRLDTMVSLVRDSGISTDDRFETIFTALRRLQ ALTGKLSTPPSQSTLAQRSLASIIQEIRGAHDVMAVELRDAINQKFDEVTKETDQLNI KVDEMRRATGEVTRQLNHFGAPSGAKAKIEDAFRRLDALELVISKGYIRGEVENLLEE SMRHERAALERKYQDLCSLISEQQMKARDDVGDVRHELENLNNKFGSVLSDVNRNRYM DERLRSVIEKVGSHDSSITNLRDEVNAFKNIVGVYGSLAAMPSMDDSVHVGSATGKAN CSAVQHLREMVMALTENQICELKLLVHGNQTKLVQHEAQLKEMFRLLVSCENVVEEHT NSYYRFVTSMDKKLSAALSNSAFLREQTVTLGAQSTSAYPFKEPSSPYGCVDEGKGAD ARPYNAQPPHTLLCVQEQAWATDSRFGSIQTVLNGFESNMKLMSQSVASVLDRVCANE EKLRRDSSDLRDEHEKLRSRFCMLEEKLNTLEGITSLNKKSSDMNASDILKLGASVET LHTETKKLEKAFLGCIRKASEEWSDVSASVAAFSTFLEKHKHERNAVLDKFAPIEGRL VICEEELSRLRSSWLGLTAQFEETRRSVENSTQLEPRPEGDCSVHQSSLLSLEQRIDC AEENLGAVHTLVSVHLNDALDFMRSCLGMLATAVDDIDTKLSAPPSLPTADGVSDQLV TLGGRLQRVENEVTELRGGGTIIASQPVGDTVLDRILSLERALTACSDGFMETKVSLS LCHEQIKYIEKHLKTGAVHHAVEEETTPVITDTPLAKDGNTTLGTVVERLVTAEAAVS EVQQGLLAQRNDLCALYTKSQLDSRFESLWASFVALLARKEDQEVIDEKMVDLQRSIL EDVDVRVDTLRRELNCAIGERVRITEVRELLLGLMG XP_803505.1 MYRRLCARSCIRQSLLMHVRLLRTSCVENVDPVGEDSLKDSSVR EAVVISEVNIPNQIYEDKHGGIKLGDVHPVSEKENVFVEMNQLKYLFSQEGPYIGSKR KDATSDSVSDRGRRKVVRVPQPEVSYVPNETSFRRLPKNTRIPNEYELRALYPMSTGL ALVEGQADCVDHSAFSPDEDPSPMVFSMIVPSGWSKTDQCPYMVVLPDHRGVARDFED VCANFFERPVHRQLMTEQKWVVVAPVINIKHNFQIPVEAIVARFCDWIVENFNVEHGR VHLFGKGNGAYVALRTVMEHKDVAISVTAILGRSGTPFRPLDRPQDKIRNLNGVHSLV FVPGLLRKQDWYYKFKFMMDMGRVRPALRNVHFADVRDHQVYYAINPYEFWNYMNFFR QHNIKMVTESGYGLH XP_803506.1 MRVTLCQMTVGKSKEANIRKAVEMIAAAAKRGTDFVVLPECFNC PYGTKYFAEYSEEVQAGFPTFDAMSKVARENSVWVVAGSIPERLEGKLFNSAMVFDPR GDLKHIHRKVHLFRINTDTLKMDEGEVLSAGSDATPVTIEGDVKFGLGICFDVRFPFL AWKYAAEGTSFLVYPAAFNMVTGPAHWEIAAKSRAVDNQQFVIMCSPARDAGGEYVAW GHSIIVDPMGRVIAMADEGETYFDADLDINMVKTTRNMIPILSGVRHDLYSLTWK XP_803507.1 MSNHAKLSKQKPPESPGIRSCVQRYVFKNMLDAVPGKYKVIICD PPSAVVLNSFARMNDLMEHGVALVEDLKKKRQPLICLPAMYFFDPNDESVERIIDDWE EKDPYKEVHLFALGTTPDSYMQRLARARVAQRVTGFKDMMLNFLVPERLVFHFNMQND LSRLMLPMQSPQCESFLSEAAARLTQVLHAMGGGIPVVRAQGRSQSCEVFSRLLLDEL AKLAISVPNFENGVDDDGVDSSKPVLIILDRSFDTVTPLMHHRTYQCLLEDLMPLEND MYVQKFETRSGERSTRELSVDEEDPYWCQYRHRFFAECMEEFPAELKKLHNENPHLVN TREASPSITELSNVTRVLSTFQKDQGRLSVHIDICTKIFNLYREQCLDVVCEAEQDIA AGRKSFKTNFETVRHIIKDQAVPRDVRLRLLLLLSAATDTSEYSEAKKQTLIKQSELT EVAGAFSKLEQLTSRVGKLNPEGRNAKTSAEKDPFITQTYQIMEALAGNKLDTADYKY VTRSDESSGDATSNTAPSGGANTKKSLRVALGSSALWSDKGSAISDTLSSASEKPLAA IAALPPLPKAVHDLAGTGNVGGRSSKQRFVFFVLGGITFSEIRAAYEATKKLGCEFII GGTSLLRPNEFVKVLSE XP_803508.1 MLNRIVTTIQPSLSRVGCSIDKSDAFLRRAGISQNVQSVGKKER ERLLQLQRESQKAWDVAAEFRLVLPELRTMAPSCTKAAADVNNDLGGTIIEGAGYNTI VDMVPTAETIKHIADNDELISSFRPYRIDALRTARMAQKTTENAPHPDDARQLETTLF MLPANRLIDADAVSDAVGREHWTTASEFNAAILPEAQLSATANGMGATTLSGRAKSPR ETGRSVSPSFKRAKRFHDGSSLPGVWHPELGHYHLRFSQVEPRVTGGYIAPPRTRTVK EEEQPEELSLSRPKTVFDCQTPSIHGAESVRDFAVSVTYRVPEPAFVVRERLAPANTG SYFFTSKTPRSVASARSAAADLDYFPYADVRSTVKRVRCPAKFAFTVTERKREAVAAA AAVGMYDVGGDIAHNPHRIVAMDRESTREKHWLNKAPPYRAVPDVADVDNALNAVRRR TRNVLMAPRLSTEEQLEKQQRMKNVDRSVSIERDTSFPRGMFDRCDAPRVRQFSRMKG RSSFAPTSVAPEAPENVNLAPVTKRSVAVYINPKAPGHTPLHQPNPAEIGEVPNYKWV KPTTERAAKINGSSRPPATWQVMHDLCYDTSNRRLVEPRVVGNPMIETHVSREKRARL FNTGGCGAQAVYNVDIPYKCKSVPLFERQITKETQFCGHRLQSERWERKNPRAPGPGH YNVSYNMTSR XP_803510.1 MEVPPNSLLLSAAATITAPMHCILVIRKRELERSAVKGVMSRNR NNWWGDADKW XP_803511.1 MKHHNQAEYQRKKGNTYQRTDDDTNRIRQREEIVSLFFFSLPGT CPPFPISYSYRHISLCVRRAVYKAKAHTHTQKKTQPKHNQSYTHSHCSRKFPLPFSFC SRVNIFVQQPLLTRAAFFFCMFRCPYPVFLYQHPQPSTPPDAFHQLHTLTKMKEIIKK KETTADRYNLLQSSQFLFISIDPSSHSDTP XP_803513.1 MDRNDPLQVHRDAFNIPKRRDGSDHVYLCGQTLGLQHKDVESSV AGALKRWRELGVLAEFQHPNPWAEVDRLGRKEIAEIVGAQESEVITMNSYTVNLHLLL IAFYKPKGNRRLVMMGNYALPNNVYAVMSQLEARGLNPAEDLITVCAPKDEDGKDSPA HIPMTEYLSIIDKRGSEIAVILLSALHFITGQLVDVQAITKAAHAKGIIVGVDCAHAV GNVPLKLHEWEVDFASWCTYRYLNSGPGNLAGAFVHTKHTQVGSELKTLRGCRGHEPR DLIDPSYKFEPAEGAAGFQLSNVSVLGMMALLPSVRLIAKVGMDSLREKSLLLTSYLE LLLGELVPPGSIRLLTSVDPSQRGAQLTIRILPNKLSASLTPRASYGGESESDAECME RYLRDVGIIVSTCSTDLVFLAPVPLYNTFKDVLLAARAIAECF XP_803515.1 MPREIKNLKEFLAICSRKDARCVKVKHNPKVTKFKVRCSRHLYT LVMADKKKADKIERSIHPSVKKITVTSRSHANKNTPSK XP_803517.1 MMENTPLLGREQEYNDVLRFIEERLLIQHCKSLFVFGACGSGKT STIIRAMRRVTHRVMTYDKAAGRSSTGSNSSNGGGGLSDSPPPAAGRKQQKQMERQRP TKRQRGSETEGNAAGAAAVSADNVKDGHFRSIGNAATPGDPTVLFPHLFGKGRRVQCH YVNCADMTAQQLCDSISETFCSSQVRLDAQTRTLVNAVGGIPKRVSAMRRRSRELLKH YDQQPIEEGLPTAGLTKTTVKTPLHVLVLDEVEYVRAAARGALASLAELSAEYASQLA LVFISNQREFVHVPHMLLKELPFEAYSAEMLESIGNHIVAAAHFTRKEKEQVQLSPGL IRYIARKALVEFSGDVRQVAVMCRRLIHTAVEEQKKSVPPKGRVSTEGGETPRGSREL AGATAPGGNSPGVPAALITLAQSQKILRGPDSVGDRVFEYVSAMPEQMLYVLSCLVVL MLRQQRDSQIAKVSIGSTSAVGRRQNKTAIGSSFGSYSAAEPGPVVPGTFTTRVVHRL YTALMGQQRFPSMNAAGISSAIDGFADIGIISRPQRRGNEEVFSFNGTWTLESMQAAL TARGEALRQERVDCGLDSAENRFEEVLRELKGILSL XP_803518.1 MSVLLSVVYPPPFTFALSLSSFDSEPQTSSRCTHKSTNKTRIIT YRHCMTF XP_803519.1 MNPIRQQQQQQQQQYQHPYQYPQQQMQAQPPKVASATPYFSRPA SCASPKAFRTGSDRNSFQSSPSHFVSGTQSPKPTAAHPFIQMPVATTVPLMNPAVPVF HDYSPKGQQLPLQGTSWHQRTSSTGPPPTPPPTSYSPTQPGFFGNLLQTLALRNMMTA FNNESGVDTSQGQVPLHQQRFGYPEDDLPLLDELGIFPHEIRANALAVLNPFREMGEN VSDSMDLAGPIVFAVLLAILLSLRGSMRFSTIYGQFVIGVIFMRVLLSLMTENAVSLQ FVISALGYGLIPNVFLAASQSLMYWLFGYVGKTMLVPALLAVLWSAWCATSMLVRGFH MEKQRYLIMYPLSLFYAVFATLTIF XP_803520.1 MFSLVRGLYSSIFADDTRKVLIVGLESSGKTTLSEQLKHMYATE SSKNGANSSTTEENSGDATASEVNYNFPTPAPVESLRKKIRPTTGLNRSSVTHVTTPP QHETPNVSLTPLTTPLILWDIGGSLRPLWGNFFGTCHGVIFVVDSTLGEATSNGCSEG GRKTSPDQVGTSEDDESDVGAQPNSPIGDVREGERSQFLRKLYAKDALILRQMFSHPL LAGAPLLIVSNKVDAANHHTLVEIQDALRLVDVALMDEFYADDCVVGEPVYGELHRNG EGDYEGPPDRSAYDKLSNEKSFGGVATPPPGSSGIGNRVMRLVEMSALHGTGVVDGMN WLVAQMRDSGRQPLPDDGK XP_803521.1 MSRQTRRMGISLDASNVYEMDPWKDDRCRDFIALNTIQRLRSYH ANTTLPVEPINAARAKNLHLLLAAEPDERICTSEDGCAVDMARIIFFGPMAFVSKTSA GRMTASPHITSHSGSRGDTDNVEETSRASLLVVSKDEENGGGRVSSSSDGNKRLREQS DAFEAGATPLKRVITEGNGGTPSPQLPERSLPVAVGQGGGASSDRKTSRQVSGKSDVV KFSCAIVDTIVSYYQSLLTRGVVLDRFSSKDLAFSWWVVCRNMDVEVLKNHVGPTRRD TLLISLRHFYYELLSNFHPKKSVPQEPSNTLSVAAKQKVEETDEGGGEEDKLPNTQAT RKRAPPQRKAEATENNVAEEEEENDEEKPRLRTRFDSTRNGPPPPGTRSRHRGHRPKE SSTGGEVSEVRSATKPTGSGPNKTWEASGHTRSSAKLATGTKEKATEVVKATVESRTI ARSSRVSAPAAAAESNEKKDPPVSDVAEAPDAAKRLRPPRSACLAEIWRSIRPTRRRS AQVGGTIDSVQDGVATGGLKPPNYVASCSAVVERIHERMEDDPVYAALFTAFPQNNKN STKVEDGSQNHDTKETESEVEHGMHPSLIQLLRYYHTGSSTPSIPLVKFDEENVKNEA RQQTRPSTNEEELEGQQAGPSVLCTNGVEKVTTDVEKTGRSDVCNPESSSPLMHQSCP FSALTYAQRCLLTWEASLLLDTQQPQPARKRQAAASGQTHNAVPLEPSPRRNYYDYWT CQRMPKPS XP_803522.1 MDVYILPHRHSPFRSHHPFFLTNFCVNYVLLSTLRVRSRNNRKK KPPQHLLFQHLCLVTIRATLPTEVTERDFVLPTRFLALHEHTATEKSALAQYYLFLSL GKPVHLTR XP_803523.1 MSQWRCFSQRTNCNVNSQHPKNSFRCFPSSCCSCATMDVHPILS AVPLFGWVPAPLPTRTHTPPLWNPLACSPPTANTIGREGKWLRYHWMETPDNVVLEKN GQTMNLNSAPVVNSGSSRCCRWRDYLLTVSTAYRALIAERDEGWRSEALDMWYQRRQR HSTQGGPEEDSKKASSGTHVAPVTSFARTDVSKLCQRYCDHAKRVLRTVAPEYFCTAP PALSRPTQQSAGDATAAPTFLDLGCAPGGVSKYLVTELRWSGIGVSLPVDRGGIAFDS SWRNFPTSKGRFELIEGSILEEDWQQHRAIKGRDFYFVNGGAVQDYGQREEETSGDSG ELPTNDGHPVLPWFSFLVPQLQIAVKHVRDGGVIMFVFGRPECASFPILLAHLRPLVA GSIHIMETMHAAKTPVYVLLTGVRVTRTMGTCEAWDRLLKLLTEGSREYWLGNTDESL ELARVGFQRHRLELEKVWERSFEFLQRRRRSAERAMQWNESGRTAKRTREEDAR XP_803524.1 MSRNTKEFNQLADKFSQTYDQQRRDLEQCLQSRVNDDINFVCQR QKGAYLLGIAEVFCSKEYNTGVKCQEKAGERWATDCFQENVAFGQCTDGALKKLYIYN IERSKKNPEAN XP_803525.1 MPRGERIHSIKGSPEKRRGKHNMEKLEREDHSSGHREDGKQCAS SVEQPKGIRKCPCKREREPPDADILHGSFIASDLQTRMDTIYTHMVRRMLPTQSKFIS EAGIMEEADGATLTFEVQNKGAAIAMRDRLQNTVVCGRQWKVEIFPLKETQCSKEACL VDVLLVPPAPRTLVLRALNGAKGFLSLVDVEDTVIATPVSVPTERESVDSEIDSNRSF PLDPSFNEATNGNNGAVDLEERVLASFVDEGSAQNARAVLSGRLIGTSGVRMFLERHR XP_803526.1 MLTNSKMQRKIGKGPRKQRPRQLPQFSSSFKAQVTFSSSVAEHQ QCVSTPVEKEKVCMGNGFFCRIFDEAYAGVEINKRRPQERLPSIVRNVTRQCWSNGSP EKATFARPSHSETLQPSPYANLNLRPPPLHQTNSVGIYPSLASEPPVPNETHAPCGTD YQRNVRRGSRIVRGTLCKNHTACVVRVFVVDGVLLHRLSKLSDTLNGTVVVTNPNSRT DSAAVSPTPQRDRCSGGCDPGSPLRNSGGLQEGEELAFGNLPADFPQLLELQLKEILL SPESTTHCSREGITLASAEANGTGMNSVDTLVKTNKRIGEIGGAEFFVSPQATSRRVG LAVTREMAHDVVASPLSALSMRVSDTASNNSCANVRNQMSVGGGKESVISGARGYSPG GSFSSQPGPTVQYQAGVTTSVDGDIGDFTFSTTIPNEKSGPKESDGLDSNILSGQMPI LGLSVFSLGEIKTSVTKEDACPQGPTVKAEPVTRFGNKASKAFSTSLKQKSSVNRAPT TNINPSSDTTCKSGISLQAVKKGATGVRRPSNDSVPRTKDRSKSTSYPSSLDYGKGAV VDRLHTGTTASTFKIGTTGPAHDTTTPSATRGDLPGAPVPQEGKTVPQFAIALSLPLV PQGNVYDVFLNWSITNQPSNVLREVVIRNIIRSVLRQLATLHAMGRAHGSVKATNIFL TSHVVEAVAAANEDGVAPLTPKGCTLTSSITAELGNSGTRRYSSVTVRHTHSRSSSGR SSAVIFDEAATSARASAEVISNSEDNDAMLELSNHVILSDGYYGRVEQALFSTFAKCC NSVDREETVSGPRGYCEQLRGSSCYSSAYPLPLKAVEGFRNSPTMDALHLFTVKEQEY LPPPECITEENTINSTGNSGSIDSSSHNDPIPTSKLRPSHGDGSNTSKKAAFNTTGPR IRATHNTHNPRCPLTPAHDIWMLGLLAIHLADGACPWWMRLHHRPLPRLRRGRWSLSF ASFVQRCVCAAETGRPSAKELLLDKWFDCALLDKSGEEGRQTPTAAASQKPQQTGSGA ADISLKRPCHRAFVDIMLEYHELAEEWYSRCQHSNTVNSLSGCGDSIPSLNNNRKEIC SLSMMGEKQAQERVGKQTSERHEVASPTRISSRGSSRGSGGSNGRRKRKSGGRGRVGL PKTHPVPMASGEEDERNTDDYYDTQTTATTGVDESHTTKDGSDSSETEGGSFHSPQPF FIGALSLALEACGYVEPLEAETPKKGTLGGRTCPSSCRQISPPYETGSTGNGDCISHS RQHELLSELMQAFWNLNRECRLATDVWCVTLMKHMRQDNRMVECVMPFVTNETLPFFT DAGGTGAKQPGSRDCFVGAANQEVCGGAAANEASANSFNIKGSHVSFSSPQQQPQQKQ HPDVSPTAFHNYMLGKWCITTSWVLQQQQQQQQQQLTKRLSGGDEKK XP_803527.1 MARDSGFYDMLPALHALPAVEAVVVDRLRPWRRRREKEVAELLF PQNAATAEASESPIPKSIQQPSLRRRRANSAMESAVTHLCYGRRAHPRRTAIALHHPQ VLVFTVDEPSALCCSEVQKTLRSVDGPVQIQYLLASERDFYGAQMDYRRTKSRTRRGR APQRAASVPALTYTNCETVCNDGICPCSKSVTTHKDGDRGVTGSVSNKNGAGVLSALH ECKIVDSLQDLYAFHPGRDYIDCGAFSRVYRAVPLFQGTGGRSCGANNCNRSAGPSDS FVAIKVIPRVRSERGGRYKVAPHAARRGESDMGRHVVSSTSAQHCACGKYPRQSESDA EWRQLQRIAEEVSILAALEHSGCSHLIGTLQTPEEFAIVMDMGKGNIDALRYVRAHGP LNEAHASLIVYQLVEAVNYLHNVKEVLHRDIKLENILLSRVDQSISIIRKAMETGGSV ESSPRSKNRSHTHNEEDVSGRTEKEHTKRTGDGCERGNRTEVADSKARSSSYRWWEPV SVNVSTATDEMERLLKVTLIDFGLSMHMSRCSGTGNPSVEKKMEEGSDTRKPIIRKGS PKSSGTVAVGGGFNLPELSFASCGKVEKEPRRVDDVAGGEGTLESKQEESEDDEGLSH RVTVPVAISNNTPPVLSRAGSTSDSTAKIPTTLLVGDEEDGTQQDRQPKGAMPTRAIA GAQGSASVPLTDHECPAPSSLLEDDSHHHDDTLLSFTPCGTERYLPPEILQWILQRGW KQKTIAVCEARKLDAYAVGIVTYVLLSGCFPFNGTSRATMWQQKLCVPACNSSRWENV STVAISFVQSLLDPQPRTRFELCEALTHPWMRQGALLAKKLSLVPHSMVADANLGEGE EEKERSNRQLPRVVSRLSTSASSLICDGPVHTPSVGSHATGGDGSHPKTQKEQTGDGR ASSAPRHSAIGCPHRRTCSAVCVSTELTCADNGALTVHSTAGSGKLSSKLESEGPRLS FMHRVGEEQRPAQLTETYDCQEVGNKQFGQAKPDVDEGMADMFAFLYEKIMSE XP_803528.1 MFLNFLDNSLPRIQWIWNCVGAFLCFKFVLEDPHHCWYLYFLYV YPYLQEKGYLLSQMQRLFWYFSLFCSLSSPPFATTKNTGERSLKKNC XP_803529.1 MGQRGSSPGICNYTNNTEKDPGASEHRSATSGGKHMPNITVPTE CAGVVPLPIPFGEASMLRRSWLSVKESELAREWQVGRHNQSSGVLSTSPHVPLTCAGV PFPANRTSGVIHGDDTRELWGEGLPVGKSYFLALQSSWRSGGSQHRRFPLPPVNPLDL NESAIERAIEETVGQPLQPPVPLSFMVSDILVPQWEVNGLYDAPAVRRM XP_803530.1 MCMYVYVFVSTYPYLLFFSFACLCAIPSFILVLLFLRSPPLSPS FFAFKLSYNYKYPFLSSTPLEGIKNMN XP_803531.1 MFFSFFFFPPSFLPSSNNFVQLLRLRVWTIFVFVFFFDPFRFLL VTSGLLALNRKKKKGVWASEKVGRRGICHFSFFFFTFSFWLPLLLFLLFFHPCYGEAI AQNCLGSVVFPFF XP_803532.1 MADILLNAAGWILPSLHRSRRAPTTSEETCEAGTNPCTPPLGQR PANEAGSRSVTPSPPPQQQSRATANDAAGDVYPSGHLCAHKRLKGKSSRHMGTSVIAK GMESLLRCILSEDKRDTPLSMSVADDSLSPLERDAAWTTPNNSQEITTESEKERQWQK LKISQPAARATYRLPDAQIEGSEHYSVEQLSTHSVGHVRQRFTSPYLSEWEKPMSLMC EPVHDRGDRFIMERTNNQLLPTSGKEAPKRPKQVREQHLSAAAASFKRQCRDAAENYG ADVGRCIRDKRGDKLAACLRDVEGKLRGDSKGGSITSVTMNAEEKRQHLYPRWPQQYS ALNDSNETLLRAVDTDVDRKVRYIYEIVLGDFVRSAVEVEARKCINFFNHMAQRALES YVMRALVAKVEPTSKSLVATKALSHGIRRHIECGKAAYICELAEDEDDRAVFETTLTT GKWDSSRSQNSGEQERVAVSLKSGIAITYRQLSTLAPGVWLNDQIINAYLGLICDEYN VRAGCEAAVSMGTHFYAKVQQEMRIGNAGLNPSSGGFPTLEQNSGVLRWLKRRRHILQ SGTTRIVLVPVNLWQSHWTLAVLDWERNRWTYYDSLLYGNAPVPQGSTVLGALHHTFE EARRILCDSDDANSNHTVKAERGYQPRVNGAADQRRLTVATPVGSCCGYDATNGWFDV APQQQNSSDCGVFVCHVAWCVVNGVALTFTQEDVTALRRVMLHELLLQRLLRRLPLAL YTKA XP_803533.1 MMQLNISEGVGAGCFCYSLHLLFTFYFIVCFMFMEGYIYIYIYI YIANLLIVRETIVIITVTVMKNKRLTSLIVRCTFNLKGFPFLK XP_803536.1 MGCNTSTTKSHDGGWAVGVCCRRSGAHKGVRRLEEEEDDSVVLP YSPSSSLCEWYNKQLEEGCRRYSAPIDEPARIEKHRSSIHPRAGDEKGIQNGNGLMRK TSELTVAVVREPNRLTMYHMRALERRVGRGMFSDVTLADMTVCTVPRSLWKGAGEKGD RTDEGSDHHMKYLIACKEYSMKNLSWATSEQLVKEAEHLCHLKSNNRLLKVFLVERVP DNSDNGSGGVSTSCAEAASRTLPFCADPLVLLKNPALSVDLPQKNAKLRVYMEYAKYG TLRDVLLKEVPDKFGKAYMHELTVRAYMREVLLALAVLHEADIPHGDLCAKNIFISNP ISRVYGTAYPAYISDIPAGRLDKASSRAVSRALISTTTDATHFLTGTEPSASRAEGSA GHCEGRNAAERATGRSFRQRTPTVHHRSVGGAKNEPYGSQRTDPILRRGERAVSIVAN RARTECDDCDSGCSHWVDDEVKHLIQDCSYIDGEVVSIPSSNSSVEGTNFGTNGLFKG GKVAKDGSVSSTHAFPHALSFAECGSIAENSTTAYIRSGGEVEARGLLSSRGHPTPVL VCGQQRTGDGSIHSSADVYHRKSKILVKLGHYGRIRSVLLSEKEDVSHLLGSVPHLAP ESMGSGVLTPASDVYAFAMTFIELATPRGALYEDLYPGNTGQSRKRLAGAELSRMWMN NIKNYVEDNFHDVPLPQQLSEECRGMLRRCLSRVPAKRPTVVELLQHRYFLLGHWAAE AVRSGQIESPWRETDFEAAALACGLPLLPSVEECTGVVN XP_803537.1 MNHGSRGIDDSIKGALLRLEEAATIMHTQYRQLRRSTAASQHHL LTQLHREEVPLAGRKGTDTAPTVYHLPLPLSVAANPEASAPSYYRHEAERMQAMSAYR LRESQFMARKLLLASLWKQYVINSWFNPHVVDGFYYRVTTKLSELRSRLERAAAVVHA ERLVETLPVIAKHGIQLKRKRRLGEALSLTVRVAREFINCTGNGTERLNVQAPLGSPL PFTSRDFSEVVQYLVSRAVERREESGAGFAAAVQSLSLLQTLDTPAALQCLAKEWKFD NISLNHNSTRSIYKWYSHVICEAMREKARVTSNVLVNRRQQREQNEAHWCNMRTKALT VLRNASCDGTGAATASEGPGDDDTAAGQQECLLSLVLSSIQQEEDVRER XP_803538.1 METTPTFSERFRSFLRLASALILLLALHAYASLDGGPSPTSTST PKADENTTNTVSSKELVSLLFSIGMIFGPHIGYLVQLYEMNKTGIIDGYSPLVSVILL VSNDIRILYFMGNPYALPLLFQSAVAVAVHMVLLVRLLCIRQCSVIGIDAPVGSVENG SHVGKTVDETICIDQSELQPPQISPDDSSSYATVADRVPFLQGPLHWLDHHIVRLEES VLSHTPSAFTRRCVMMGLGMFMTTLLYYLIMIPAWKDAPQVVGYTALIFEAMLLVPQI LRNHRRKCTEGLSLILLVTWVVGDIIKLVYFIVYEQPVPFLVCSGIQLTTDLIVIAQV VVYKCRGRRPSVSAGAADTHGGVPPSPASGEAAVAPVGALETAGWNDAAHVGKDVEER RSPVRRE XP_803539.1 MAACRGAGDSALPRFLNLCSLILHIQLYYPLWHVLFFNSFSVIA FFCVPSTPDQTFCLGICCHLCKRKKGKNILTVVPQDTLKYCKLKVISATVMLRRSFMR WISLAESRELFMDTGGRILQHPRATLAQTLQVLAEHHESGDATLTRAALQRLLDLDVT DDVTASIASFNATKSGIYTEQDGVSGGSGNGAPHLVLHLMQSHLDDATICEGCCRCVA NMCQLASGASYCSSQGDESRGDADTSDSLVEQGAVELALGTLTKYRYLSPRGRAWAAL AVLNLVCLSRDGARRAVLAGGESILSTTLLAIMEEVYGMNRYDAVKNGVTECLLSTEQ RTTLDAALGAVARLLISSAGDNATGVSYRYEEADYMTVKAVVYYLFWLSRLILLGTRP DKIDLCNDNRYGEERNRESHDSSAICDTSAEQSGGCLHFPTASRSQRSAVLSYLPPLQ KAWMSLKNLTTCGSNLPMVYEVLHQISKSDASAANGGKDCVRSIVEVVRLVGISLTGL GAAGLLEEKQLQQDLLANAVTAFAALTSTRKDLSERELANAAAITDVHCDMRTGSDVD RKGDGVSAVIPTEAAMRVIEMLSTGAVSGIALSAIHQIHVSHKRKMEREGTDVLLYDR EDFELLIRSVQLLSNLSEEDTAVSSPNTLAVLHALLIDSASALAGMERKHREDISSFF VSQTSVEHMLLFQQCGIIAQVYAVLWGVLRTKEGARSTVELRMYECVERLQTLLESMG RASATVGNVSASVGDQRADCDKARGCGEPGVLGAVKTSKSRAAVAETIERLIPLGKKV VHSLELVSVAESTGGNKGRSAAALH XP_803540.1 MPFLFVFFFAESIPFTQSATYGGELAPMGRSNNQLRRTAKERGK PFIPKKRKSKTYNQIRSMKIKESRSTPRKLSYRNVITKKGGVTKRKFRPGGYNSSGKF GKAAGAKENARKGSLSGTKKGGKR XP_803541.1 MPLNNVQVEFCSHNSTRTFATQYFDVKLDWIPTGVLRRVARRLY PSFWAAAASIRSYSERIVRRFSSDEACYPETLEKKRRMGKRGSRGEYDGDVEEIELSE YMEEDDSETDAGALSGMKFISCKPFPLDDLPLHTVE XP_803543.1 MKKHWLPLCMDFVIVLPFLPLPSHTHTQRHAVMRRRTCLWDAVQ FLCFLFCSHGTLFPLLLLPSVGEDLLPRHDTSHSLWCFDLRCWGTCVGRGRKVAYKSN IHMHVRLFLFLFSKYCFD XP_803545.1 MGDLLELTPKQWRAVASVYHLYVVSGGSCETPRNSQDHEGAFRW LEGVLPALKRAFPPSFLKQFNREEAAETFKECDSNFEEEGRRKKKKRKREEGEADDGG AEAQEGSLRLLEPAPWRREVPADIDKFLSNLFQQNTCIKLSKGERILLRLLNREQMKF DRSYERHSSMKDAVRQMGEKLLALASVDAASGTIAASAVESYENRNGTGVAGDGDEAH VRRSHHVQSSATEQCHDSPSATSRNGTQTQYAEGGTLNGKTNDDDETRRHGDCEIVDL VTPYDSPPHNSGTSGSVFVLSVEEAVKASQTNGAIEVVCAECGEGGSLFQCVRCNVLR HEACGGPKYESEIGYCKVCCREMGMDSDTTSLRSSTSTEERLMAADDDSDTSLSGFIV NSDDEEEEDDEDEGEHGRNIKRTTEQGCSVVQGSLSSQSVFTMLPEECPFKREKMRMK ESHKYW XP_803546.1 MLALCKCTAPETRCLVGSVIFTAVVSSAATYAFRDVFDHFLRCR YKFLSWTDGVWVLRKLFGFFGKWFPHWALCRPSEPANVYESDASVRQYMEFHYTLSSE SFAQNLRMISESFDYPIRVARKFHEFVPANDGKERRALDLGCAVGASSLEMSKYFSRV VGIDYSVAFIKMARNVVQSALNPNIQPIKYEAPLQGDITVERTACLPDGAVPQRCRFY RGDAMNLLDSDGDDGRGHIVPPVHHGDTNDEDITSWYRVPSGERFDAVLVANLLCRVP NPRKLLDMLPLLLVSGGILVISSPYSWEGSVEERDTWVGGRAEGSTSEILVKEILGAN FDLLSETDEAFLIRDHVRRYQLGVAHCTVWRRR XP_803547.1 MEFQSDDGRKSCATMSSAGAGCGLQTRRRVGLAAVRFGLCGVFN VVVVLCVYPCYIIFSSFPFFSNLTTPLNDALWVVYSILLFFFRCLLVNNVMPCSVFLL FLP XP_803549.1 MEEYLTVNILFPPSHILDGVPLSWCDDLVKRTVGPNGGLRFPLL GSGSKSSLHEYPVDRLLSGGSSGFRSGQHACASCGEATGDWGEGDDIDGIIFNSSYSI DCLFNTVLKLGTGSFSSVTVLQSRLRPTRFFAAKSFTQLPSPIMLLSSVCGREGAMNE LHLTPSGVGCAVLNHCPMLCNLLREAQVIATLPRHPRLERFYGVFVEHDPLYGTDCMP TSSPRTVAAPTSVHMVVEVGIGGTFGELLKLYGSYIAEEHLVCWMSQILSGLACLHGN GLLHRDIKPSNILLRNRVSPTNWESNVELILADFGIATKVTDSDSQTERTVVGSCAYL PPEIARYWGYRHRCPYSYASDIWSAAALFYVFLTGGGLGMDEGMLTVTFNGMIPSFMS PTESESNATVAARLWVARGDYPPLATLAVIPLVDDKALQISIRELAARRGCHEESICG EHSVGVDKEDGNIIATDRISGTQYTRYTSLSGDETKEGVTSTTQWPPWVLTPSVQLMA RALRARNLSAEFLHCIDRMMAVDPAERPTAKKLLLESPIFSLRMPWWEKSVEASVKRI VEGKEDVLLDVCLGDSDEEEEEDEEEAALLGRTMTPSVLPIDNGRYLPHNVVHWWSVD VSGDMLERRGNAQTTSLLEHILTALGNLRAYDTPVDPIVSVQSTTDHESHRKLPMYMP KTLCFVERVFLQMQEYDIAFQTVGDNGPLLEELEARGLNTNFFIHSQLRLSASARVDK VYLPSLIEDDEDILDEEEAAHCAMEMLVSAVNTWLEDRLDGETDTATACGNTLSPLPW VSWSHNYFAGAGERCFIVEYVSAVPLAIPSPAPPNRITTITGDVHTVFMHWRANLVDA HRRSQHGDATTTDCEDVPYDNHIGDVGPSTTKLEGCMDKWLEALDDAEFRLLHKEEEE IKECAQHWAIPPNRITRWLCVSPVPSPCECAQFMRETLDDGTEIRARVNKLVSDICFR TEGALILQSRPRARAVSQCSSSVYRCSAHRRW XP_803550.1 MTTQNGVNYSQREVVHRMPDWVANRRASVNRGHVAPPLTADGMN PRKASFSGSNGEKQGAVYNNYTERVSSRSGGDRIFSFDNRSTSRRESGTNVSVRSLQP VAGRTAVTHTIRFRGARWSQALSNMSVALERAIKEDVAACCGISSERIGQMKMKFTDR LVVTFVLDHSHDPSEESRFHNQLKVYNFWRTVALYPRK XP_803551.1 MGSTDGECASSLRGHRMPSWILSHLETESKCLNDTPLVEEEKAP PSVPVTVLKKRFPQGLKILKKAPPKEKIVMPVEEECADSCRSSRPSVSVQSAVPGNGH RIARHTIRFRMSDWANLIEEKKDLVAQAVKEDISEGCGLDIGRILQLELKLTDILVVT FIVDHSHDDGGERPFHARLKVCKFPRTSSLYLLR XP_803552.1 MPYYAEDIINSLTWHPMPDWVRRRFNLPINTPLGTAPVMSPQSG SGRKPTTVSDSESPAFTSCESPENTTVGDSEQRLDTILAVEPSTDAASIAERTPSKEN NEVASLRRLAGRDNGRGPSLSLLSSGPGSDGNATKHVVRFRGSGWKPLLNGKDEEEGF EVAFEDEECGAEEGDAERFLECNVFLSGTPLATLFLDHKMGKVITKQHDS XP_803553.1 MYRNMLVILTLKNCYQLLPDISNPCMNNKNFQRRQANRLPSVWL YIIFTHHTGIKMSYKYVFLRVPTHIFIVMYQNVCIIEA XP_803554.1 MQMIATVWGDEGMRQILEEGKLKSDKLSLIIRSWLWSTCARTDI EPCGSCYFVFLYFLTFPYLFPFTNTTTSTFSLR XP_803555.1 MMRRHSTGGTGGGKDIDVVDKEKPIHIRVAEQRDSQIFTFQEYV EREEEAERRKLSERLSEVRDSPAWMLWGLLFLSLGVITVVISIRVRREQMRFDPKLRA VKAFDSPEGPSIGGPFSLIGVDGRRYTEKDFLGKWLYIYFGFTNCPDVCPEEMAKLSR VVQHLDKKVGRDYWQPIFISLDPHRDTPEKIRDYLADFNPRILGLVGTQEEVESVARQ YRVYFALPDETVLSEDDYLVDHSIIMYLMNPEGKFCDYTTKEFQWFESYSKLLRRMMD YERDKVIQRREAGASDDNGQAAINIKVANVATMLDENVASVQKPSEI XP_803557.1 MHIYIIYGIPTDYEKVKRVSDSMDCEKYEGTVEVPLTNVGDTVG VSFYFHFSYSSFAISLMSSCCFNSKWYDILWSARLQFYHKHSELQSGNGRENDKKKKG GKKKGVKKGAFNIYRFFSSAFSPFPWELV XP_803559.1 MNRSCLEVNVSDYICLERIGGDYEWSNTLARVIGLPTSQHVRVE LFDRVDAVPGGFEPYDEKLGELAVQQDRLDGLNELKALQQQYQQLVEDEDRSSERLYR AREVTQEKQAIAAAFTRQQMVELDQVRAAIEQVPARSWRELRSAGRPSEELFSLVRAL MIALQEDHNGSWESMQIVMRQSDFVGRIMELDCTVAPLSKLQRKKIKNELALVPAESL KESKRKGASSQALQGAPLPVVIRRWLNVQMACSRAREAEERVVDGCFAQLQEQALLIT EVNGLREMIAMLGVQISEKKQALIGEEPLNLLNDEEEPINVEGCYVQRTTNGRVVSDI VSLDSVLLVFGPKESKTLLGKEIPVYVQLQPEEVLKMQQTVRTVNDLHDIDELEALFA AEERDDQEKRELQLRMEELSKKELFTEDDEEEMRQLDAFLTDVDRRHERTVWRRRCLE KAGRDKLYLEKRRRIENTVYSDLHIFFTGDKWQEVLDNEEHREYLDAAFKEDASATLR LPKENFTNLTFEGYPLEASFTTEHDKSTPKEELQALLERCAFPTVCAFYHSLTGGTTK SMSKLRIDEWLVQLRRERMWELNFAGSGLVETLEDFGDEDYGYTDDEDYRKPCVEIAE VRCDYDPAVRYGYALLGYNEAADDFFDRGGRREQQTSSRQASAVRRDQVLAGTMKQLG GGDSPKSDGSNSDIPGPEGEAPTAAEHPADKLPSAELEELSFNDVAAGAAPGADEPSI NDVEELGSEDASVQQAS XP_803560.1 MLKKINTFILFSSCPQVYRKIRKESINQSTYKEDQHQHACNNMI IHEKTSPKSRAAAATIHTHKHTDAQKRTPIPPFYICHKTSSNKKATEKTQTHTNTQKF KNVKKQIHIKNSNNIYAKQHNQHQRTEAI XP_803561.1 MNRSCLEVNVSDYICLERIGGDYEWSNTLARVIGLPTSQHVRVE LFDRVDAVPGGFEPYAEKLGELAVQQDRLDGLNELKALQQQYQQLVEDEDRSSERLCR AREVTQEKQAIAAAFTRQQMVELDQVRAAIEQVPARSWRIIRNINNPSEELFSLVRAL MIALQEDHNGSWESMQIVMRQSDFVGRIMELDCTVAPLSKLQRKKIKNELALVPAESL KERRGKSDSFPKLLRGAPLPVVIRRWLNVQMACSRAREAEERVADRLVVKHDRIAALL SEVNGLRGKIAMLGVQISEKKQALIGEEPLNLLNDEEEPINVEGCYVQRTTNGRVVSD IVSLDSVLLVFGPKESKTLLGKEIPVYVQLQPEEVLKMQQTVRTVNDLYDIDELEALF AAEERDDQEKRELQLRMEELSKKELFTEDDEEEMRQLDAFLTDVDRRHERTVWRRRCL EKAGRDKLYLEKRRRIENIVYSDLHIFFTGDKWQEVLDNEEHREYLDAAFKEDASATL RLPKENFTNLTFEGYPLEASFTTEHDKSTPKEELQALLERCAFPTVCAFYHSLTGGTT ESVEGEDVDG XP_803562.1 MLKKINTFILFSSCPQVYRKIRKESINQSTYKEDQHQHACNNMI IHEKTSPKSRAAAATIHTHKHTDAQKRTPIPPFYICHKTSSNKKATEKKQTHTNTQKF KNVKKQIHIKKSNNIYAKQHNQHQRTEAI XP_803563.1 MMQERTLTCSTDIRSIKPGDVVALVLTNDDSGGINWTLGQVVSA PYAQDAEIQLWERRWELVDDDNSGTTVIGERGAMLRKVEESKKGFEQCLRRAAELTES LRNARAELMRTSSAAEAHLMQSRAAVSAARCDVESISYNHWNELKSYRSPPKMVTTIL RAVMLLLSEDGAKTWPQMQSVLRHQHFRARILEFSADEELSIERCNFILRDCVSRRSF CYDRAVDGSRAMGPIYYWTLAQLDICHAVKEKVTAEDIMEEQKRVLADVMNDIQKQQY SMGMYQTQLDKVNEELRAYRQMGFGGGEETETQEGDSMQPARAEEHSAPKDLALERYR QSFAGAENKEYLLPAFYTWVPTNYSIIVLRKNVLVVLGSQELLGGDNLYSLEEPCIRY LDTVMMQRLANSRRGKSEQEEMDISEGIFIPTTHSVDNIPSGTIGVVRREYAGKDWEV ILYFRQADIEHTFCVETGTAVGVPKSNVIVTHSSYNEEQNCLIIQAELQHDNSMTQND LRAAVAEYNYPLLRRLYEEECKNMSLHNFTLRGDDWKTIADDHKYEVKKAAETDIYNL HWLASTADVYVTKILATSRLHVEYVVSKGIKKSNDSGNNIHSYEYPQTTAIYKKYTAY KKIKYKRSFMGTEWKYKMLHDKEKIHDIFLHETSTALEVKTEKIVVTNMHATDHNLQV EYSVYDNKKSPERIKHQILQHQYPRLWNFYNEKNPVNYNKLILESKKHTKHFAGKEWY TSIHNKKNEVVNAFIEETAAQLQLNEEQVQDLVVSYSEGMLKLEFTITPPHNLKKDDI DERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAACAL GVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAARA AMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDL VVSYSEEMLKLEFTITLPHNLKKDDVDERLNECPYTAVWMLYESRPRESSVLTEKFEG DDWDLVLSGDREGLENAFRNDAARALGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDAD ILSTLAACPFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKR KPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDVDERLNE CPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQ VVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAARAAMMKDS SSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSE EMLKLEFTITPPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLV LSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLA ACPFSEVWSLYVPRASAARAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVS AFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAV WMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDC RLGSLLIDYKVIGSSLNDADILSTLAACPFSEMWSLYVPRASAARAAMMKDSSSATGT VTQHHMEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLE FTITPPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDRE GLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSE MWSLYVPRASAARAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKET AAQLQLNEEQVQDLVVSYSEEMLKLEFTITPPHNLKKDDVDERLNECPYTAVWMLYES RPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLL IDYKVIGCSLNDADILSTLAACPFSEMWSLYVPRASAARAAMMKDSSSATGTVTQHHV EFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITPP HNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAF RNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYV PRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQL NEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDVDERLNECPYTAVWMLYESRPRESS VLTEKFEGDDWDLVLSGDREGLENAFRNDAARALGVSPQQVVIIDCRLGSLLIDYKVI GCSLNDADILSTLAACPFSEMWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGED WDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKD DIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAAR ALGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAA RAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQ DLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKF EGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLND ADILSTLAACPFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHMEFEGEDWDYVLE KRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERL NECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAACALGVSP QQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEMWSLYVPRASAARAAMMK DSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSY SEEMLKLEFTITPPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWD LVLSGDREGLENAFRNDAARALGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILST LAACPFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDV VSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDVDERLNECPYT AVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVII DCRLGSLLIDYKVIGCSLNDADILSNLAACPFSEMWSLYVPRASAARAAMMEDSSSAT ETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLK LEFTITPPHNLKKDDIDERLHECPYTAVWMLYEPRPRESSVLTEKFEGDDWDLVLSGD REGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPF SEMWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTK ETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAVWMLY ESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGS LLIDYKVIGSSLNDADILSTLAACPFSEMWSLYVPRASAARAAMMKDSSSATGTVTQH HMEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTIT LPHNLKKDDVDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLEN AFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEMWSL YVPRASAARAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQL QLNEEQVQDLVVSYSEEMLKLEFTITPPHNLKKDDIDERLNECPYTAVWMLYESRPRE SSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYK VIGCSLNDADILSTLAACPFSEVWSLYVPRASAARAAMMKDSSSATETVTQHHVEFEG EDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITPPHNLK KDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDA ARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEMWSLYVPRAS AARAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQ VQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTE KFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGCSL NDSDILSTLAACPFSEMWSLYVPRASAARAAMMKDSSSATETVTQHHVEFEGEDWDYV LEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITPPHNLKKDDIDE RLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGV SPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAARAAM MKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVV SYSEEMLKLEFTITPPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDD WDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGRSLNDSDIL STLAACPFSEMWSLYVPRASAARAAMMKDSSSATGTVTKHYVGFEGEDWDYVLEKRKP DVVSAFTKETAAQLHLNEEQVQDLVLSYSEEMLKLEFTITPPHNLKKDDIDERLNECP YTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVV IIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEMWSLYVPRASAARAAMMKDSSS ATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEM LKLEFTITPPHNLKKDDIDERLHECPYTAVWMLYEPRPRESSVLTEKFEGDDWDLVLS GDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGSSLNDADILSTLAAC PFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAF TKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAVWM LYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRL GSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAARAAMMKDSSSATETVT QHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFT ITLPHNLKKDDVDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGL ENAFRNDAARALGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVW SLYVPRASAARAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAA QLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDVDERLNECPYTAVWMLYESRP RESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARALGVSPQQVVIIDCRLGSLLID YKVIGCSLNDADILSNLAACPFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHVEF EGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITPPHN LKKDDIDERLHECPYTAVWMLYEPRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRN DAARVLGVSPQQVVIIDCRLGSLLIDYKVTGCSLNDADILSTLAACPFSEVWSLYVPR ASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNE EQVQDLVVSYSEEMLKLEFTITLPHNLKKDDVDERLNECPYTAVWMLYESRPRESSVL TEKFEGDDWDLVLSGDREGLENAFRNDAACALGVSPQQVVIIDCRLGSLLIDYKVIGC SLNDADILSTLAACPFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWD YVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDI DERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARAL GVSPQQVVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEMWSLYVPRASAARA AMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDL VVSYSEEMLKLEFTITLPHNLQKDDIDERLHECPYTAVWMLYEPRPRESSVLTEKFEG DDWDLVLSGDREGLENAFRNDAACALGVSPQQVVIIDCRLGSLLIDYKVIGCSLNDAD ILSTLAACPFSEVWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKR KPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDVDERLNE CPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQ VVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAARAAMMKDS SSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSE EMLKLEFTITLPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLV LSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGSSLNDADILSTLA ACPFSEMWSLYVPRASAARAAMMEDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVS AFTKETAAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAV WMLYESRPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDC RLGSLLIDYKVIGSSLNDADILSTLAACPFSEVWSLYVPRASAARAAMMEDSSSATET VTQHHVEFEGEDWDYVLEKRKPDVVSAFTKETAAQLQLNEEQVQDLVVSYSEEMLKLE FTITLPHNLKKDDIDERLNECPYTAVWMLYESRPRESSVLTEKFEGDDWDLVLSGDRE GLENAFRNDAARVLGVSPQQVVIIDCRLGSLLIDYKVIGSSLNDADILSTLAACPFSE MWSLYVPRASAARAAMMKDSSSATETVTQHHVEFEGEDWDYVLEKRKPDVVSAFTKET AAQLQLNEEQVQDLVVSYSEEMLKLEFTITLPHNLKKDDIDERLNECPYTAVWMLYES RPRESSVLTEKFEGDDWDLVLSGDREGLENAFRNDAARVLGVSPQQVVIIDCRLGSLL IDYKVIGCSLNDADILSTLAACPFSEVWSLYVPRASAARAAMMKDSSSATETVTKHYV GFEGEDWDYVLEKRKPDVVSAFTKETAAQLHLNEEQVQDLVLSYSEEMLKLEFTITPP HNLKKDDIDERLNECPYTAVWMLYELRPRESSVLTEKFEGDDWDLVLSGDREGLENAF RNDAARVLGVSPQQAVIIDCRLGSLLIDYKVIGCSLNDADILSTLAACPFSEMWSLYV PRASAARAAMMKDSSSATGTVTKHYVGFEGEDWDYVLEKRKPDVVSAFTKETAAQLHL NEEQVQDLVVSYSEEMLKLEFTITPPHNLKKDDIDESLHECPYTAVWMLY XP_803564.1 MRREERHKLHRQSLRIDNLRSRGSGSGSVDSNQRCSARLRDQSC GFAGPRLSTINLTRNRDFPPCENDRHCEVSSEHMIRIPDRSRQQNSHPQVVEGVLHNS FFMGGNEAIPFSCDSPSMSNGPPTPVAPSQPEAQFDSVPSLDEGSGALPQSTPLELEP FLTNEMPRGRFILFNLLSTWGDLNEVGLNGIEVFDEKGDRIIPPAELLEEKVVDRAKQ QQEEKVRIEGGFTNVSYCAPNNQLLLIVEHPTPYEMASEMEMATAAARNDLARMSYDE DALVSTAHGNSDPRRCVSNIINGVNNTHDETCLFTMPYSVDNHHLIGFILPFPVTVSM VRVHNYGGSGRVHTNKGVRLMEMTVDDKLVFRGEIAENTGEVIPSHQVGLKNCENILF TEDEVVLQRMLFYDTNSNDKSPALAPKNTYREPANIGLPSSYKPSNNLCQSATTLLVS SGNEGDVKRFGNEKAVVISSNTVSGAQSAHSAVSSATIGVSDAGPPGCPRNVTSLCMM LLGTWGDTEKIGLSGIRLRDANGSLVSQYITHWYVRFPFQEAEQGSAHDISGGELERW ADQLQYLFDENAETAMTLPCVRGIEIIFVFATPLPTLGLLEVANYSQGEHTFCGVKEA RLFLTSTNCSTSTECHSPESFVRAYAALWSRDGNAMRSILAAHGVYEVTPEEGVSLRK APAFLTIPRFQVYDLSLGGGPDGASSVSGPLAGGGALYRPLSQSLTASMTIRAEMSMR RARMALKPRPKWLLEYQPYLTPLLPVGYVLKLNLILCARGVSELKTYAKEWMLNPLRA CTFADENGERITGRGSGSKARGSTGEQQRDGKNSRKKGEGDTSSYSGEGRGALQTECL FTALPNAIQQDAEAAVIAARAGIHTSLVQASISLVYVADTPFCLSVLSLNRALVMEGR AAWVKQIRVLMDDTLVFDSGDAGVPQAVTAETKHDSPAAAPALGDEDAVTAGRYRTTG FNMSMTFFSPPVGEVTPSSGSPAQSTPSKEEQQLPQSTVMYRTRLKPFVFFTLDSAVL EEAREEASRTAA XP_803565.1 MGLYGAYDTYMSPLVRDWPILLPMVAVYGAVQVTAQWIYPKVFG TAFQKLARDDQNNVIVRTVSVFNGLLMVGSAVCFVTNLRDHGYVLDTDVYREIPYYRF FRVAIVAYFVWDIFVCFAYKWEAQWKVHAFASLVGAYLLSFPYSDQYGSYFTGMFELS NVPFHISSIIRTLHTTAFSSLATICDVVFAFMFLIIRVVGGSVVTFRWLQLMYKLLMD TRSDDKVVVHDEASIIVSMVLLSIIQSLQYVWLFEIMKQGYRRFVVADDSNADDQVSV SKRKKKE XP_803566.1 MKILRLSIGKKRRWYCVKRVAFSSLYSFLYIYMLFSLSFAQESS LHPTAHRCVKLFKFILCVTHVFHNVTVWLFSLLVLVQVVLLFTILQLLQSKTLLESGK KANKQEKDNNSNIIVK XP_803568.1 MDQDLSVCEQEYRKLLDPEEPLFSRTRELYRLKESILRTPAGVH VLAKAVDTTNSVLLQHELVYNLGQSAMVEACPHLERFIRAVGKYDIVTRHEAVEALGA IGDPACIPLLRHFMEPANEPEAAIRESCELALKRIEMLEEKGKEAVGPAANCPFVSID PAPAFNGTNTGGSAPYTVSELENLLCDTTGAVSLWLRYQAMFTLRNIGTPEAVAALSR ALRQDNTSALLRHEVAFVLGQLEHPASQPALLDALRDEHEAPMVRHEAAEALGAIADP KTLPALEEYAKHKEAIVRDSCVVALEMHKYWSQFNNQRIQH XP_803569.1 MQTSSVSEVDCLAEQMYSSPDPSIRQRAQASLEQLTKAEADQSI ICAILQQSNNQYALLFMSQCLVLWFKAVRKWISEEEKQNVIVVHCGGCVKRALENGAP KHVVSALLSAYAKLTKLAFEADPLLEGAVNYPIELLRHEADGTNMQLLGLMMLNALVV EFSKYDSSRSKTYLGFVAHRHCSGNFNEKCLLNILVEALKLLEKLTVNTPHITEIVKL VENCFSFDFRAIMVDDTEDLPFVHFPCAWKPTILSDQTLQTLWGQHAALPHPHCASLL SAISNICGTYRSFFETVEERLQYIEFTLTKLIQVTMLQDGRLKIPRYIETLAEAFRRV VLSLGYRELRQVAVFEQWVTAFQSISVDVLSITFGQEGSFSTATAVMAFWVALTTSKR RSYSEQCPQDIEVAVLPVLRAFLVARIHGADASGGDSFSLEDADGGLAEAVLAQSDAY ANVCLLDPATYLGDFANYLNQQVGMSIFTSPLSTGWLFYIAGGVAWLVLFSMESSGIE PCSHVFAYARGCADHRRNHSGNPALFSSFVERGMLHFLTNMQSVITGARHGNLSAVVT NVFQDRGQLFQFVLDNVGHNLLRGPDSLDAVDIIRSSADVIVEACREAPPQLLRELSL ELPPTSDLPLAQSEQTYKLRTNITKALWFVRSTGSYTRERMESYLSNVDFSMQRTVNN EVNSPSFIAGWVRDLRGACQALKEDQLSSLDFIDWFLSRYSVFVTIVDTAGDSPIVVT ALMRFLCELVTPGKYGRLHISSSSNSAVGLMLFKHLCDLVEKVEKRTFSIDHLMALSS LSGSYNKVLKPWMLAMDIMKRCMEGSFVPFGAMLYYNDDTFERTTVDLLRKLALVGTN VFKEHGKFTVVAVDLLRLLVEENLYFCLRGLTGDELVGLINAVITVCEDVDTQSGVLV HGLGFLTFISGLVQEVRAIALSPSLRPTDTHGPDQSPAPFTQPFGSSRLSSPMPPVQQ SIVSRPPRLATEVREHLARLLAPHDSVWQRLLSTAMNIIVFQDRAVNSSCAVVHHIFE AHPPFWFNYVEQLIMSFPEGKHATLREAFSVLTNAAETREKFFSEVFTLRQVLRRLGT XP_803570.1 MSTAQSWAVAALALFSGDGKPLIIRTFTSPNSGHGGVPAVCRGG VFVGEEDVMRLHVLILSSLDRCDEIVQERRKQQMANVEKSGDGSASPRGSARVTAGAD VRFLGKLIRSYTFTTYGFQSASGIRTVLAVVGDAPLDAVLPLCRSTYEAASAALCNPF CLTRTSAELLRRLCRTVRADIIGSDADVVKFFPLSARDAMDEDPSLANSRAFNMQIQT NIEPFTASAFT XP_803571.1 MLDDLGVKGLQDLDVSFLYDFTDNLKQYPNHVFRRVDAFPHERS YFVLDSPHTPSPQWLSAPHCMSVRLLFDEHLRVRTSEVSAPFFLNRPPFLPLTLLNDA XP_803572.1 MYKKALHSFFLKVHPDFFHHNRSQQTVNESSVARLNELLSWAKA FKSGHLQPPPSSSFTLTFYRKPDDNMGNGETRREGSGSPVSSLVGRSMGDGSLSPTII QSTFELPSNFAPSDNHRGTVERAVNKFLRDLLRRAACIDSVTESISEAEDATAARAEA KPLRRRPRGSQHRGAPTGPKSLLDEAVESMTVQWSLTPAPTLQELIEADQILFSRDLS PLQSAAALSTLQRHLGELNYSAWESMPVIVSNQFSIGDLTGTITIPWDFTPEQFHSFM AHNEKGVAHCREVATQYASTIEQLIAELCTALELDDILVSCSHQDALRLMELLHRNRE LLIQYGLSKLTLEVGNRHATRANGVVIINCSLTSEQLRPWLKAISPKLPLQQRLYELS KQMLESTLWHLKEFRTMVEPGGVDAFSNDCTYAERLQWSKELFRIGPSLAPWDWSEMT FVLSPDVDIDWANGLLALPYNFDGDALVRYVEEVQQEAKSRKREELLAASAMQREEEE RRRKQQHDEELMVECQEKGERSGDDSPTVAERMRHLYRQTNPHMDEYLASSNSRVDTL PVERPLSHAVTFNSDAEAEDQLKWEGFYAEPYVDQVPTSDIDDMAHTFMLTNRWHREE AAKKMLDQLRGTYGKKSRRFEYQKMGDVLEINNAKVQPKGFPTLTRGIKPGF XP_803573.1 MVDLLRSSLSQLNDGAELPYNGSSDIERRLLSGSVDDEEPGPSE LSPAPQLLELGDLLGSRSGGFSSAPSLTVLMSAAEVEVAKSVHVALSISSTMEWAILY RQLRQRLCIGQVRQVDFSDVSLDNVQWSWLCQTMLPAMPNLVSLRLVRMGVTDRKLAE LLRHSLAYAVGRKPGPSARRVDLPTVRGILPPLGEKTISSSDDLVPRRNEILSASRNG VRGYGPLRGLRILDLSENHLTHRSATLIGKLLLWSADTLDELRLMGNNLQDYGFQILS IYISRLRLASLRGEPHLFPPNLVRLYAQLVEREKVVGSQPYLQEEVQSPTYCPSFLGD CKTPTDDAGARKDSSGDEYPSIHLGVSLLDVRKCQASPRGISELLAAASAAHRLSTVL FSGNSAPTSLRSEPKQLGKRCGIQDAAGDPNASDDVVTTPPSTESKKVGDSSSTGSLL PSSACRFSNFAELKHPCMLTTVNLGGVPLSTLCTPLCCRNLFLNLLFCCPRLSVLDLS GTFDAAQLPAVAIRQIQFGNEQVLADNDIFQQSFNHEAEKLVDASVFALEKLMFDRQV CVGNIMCELFAHAALNAQRRHCPAPTAFRRLKEIHLEGTGISDAAVKGLTASVRTVVL TGVLAELTLLNVADNFLTSRGCVCLLHAFVIEWPLSVSSVEVVSLQQSRGIACGNIKA FEEVNRAALNAVKRRKEEQRRKSHVPSLLVHFGAYGAAVQTRSSNEEITLKGPRTDWK GATISHMCDRAPKPAAKRVCRSLGTSIGIIVSSSQCDEQHQEQLHTEKFLSETLLYAH QLDSVASMELRTARVDEYADVGEAQQWTPRCLHTESSLLQRLIADGSPPENMWFSTYN GPPQHEDSEVQCRSCASQVTVLPSSLGVEFGHLLGSREVTHDQDSGFLSKESVASLND QSGGDDNDKRQGRGVTESGGVVHVSSQLIPEGGDILPHFHSPTRHAEPPHGTTTTALQ AKKKRTIPNRVGRSSSTLQRKLKFRNKRNIIMRYYHRAGDTICRGWTLLRRDDAVGEH ARARLEKDLLMCLQPPDGYCDDAVVESVTLLVDTSSSRSPTDDDKTSCDSGYINAEGN TSRLLVVSNESRSTLEKRLRSCVSQVDGGTAFPRFTKVMQVYGVDMVEVARGVLNAAP SHRRAYLGSVTAESFVHTHHGREDQLLEDLNDLLVGAADMPDEEQCAVTSPISSSARG RLKLKTGEAQISGGSVCFSAEEASLSNMEGAVSGERIRKRAKGSKGRDEEGETLHSNH GLSNGQINVKPASTLPKKTVAEGAIPHPIEPVPPSLDMSPRSVSSGLHGVNAEGERSV RSPSSPSGRTKAHLFVLPHREGSILCCGWRLLSRSDKVGEMARRELEADVLSFLQDTL EGKANPNLSNGNEGAGAKLSLRTERSSSAVISVRMVFDSSPPGMQLRVVTSFPHAAVS SGLVDLQQKEGEAAFPRFAGMFSTKATYNTVSSIEKHLQQRDTTGQVRPRVLEKYRTI SALVHCFHDDEDGLLMELMLPGLKSLDAFVEAPSTAAKAQERTRKDSGKTTKGTISED IHPSLPHADKEVESTKKPRRSGEGVDMGAREPAESRRVPRIELMNHALAVGPQKAPVA TAQSAANNEASPPSTISSLSSSVNRQFDLVSQLPNEANGAPSVAQDSTEDNDESIATS MESVFAEKLRRLQYLSYNAIAHGALLLDRRQRHKNRICKGKWGRVCVTVAVEWDVFLV VYFVKHSAFRVSSRKSLVLVHPIASGVRCSVEVGGNAANDTHTSGNDVVIHVERHYDP ETLGLTTSELEQRLQQLILTTVRKKEGAPSMCSNRNSQMNMAAGENSVISRHSSISAV GSAEHSNGSMFLTLMPTAAQLLNRQVTMRVTLKSAAKARRAVTAIHEAGERAVSMIRD TINEQRRQAAAAAARSLHT XP_803574.1 MLEKSAQRHVRRRKTEPSAKQICIYIYIYIYIFEYIYEYHNIGT LQRTHATRSNAPPLPPPHPPSSSNSTNRTPGLKGGGCRQLRCEEHKSAKAFGCIR XP_803575.1 MTSQLNANAKEWVPTGDFSAFSLPDSAVAEGEGLEQKSGVGTNG SMNTVPGSPPQEQYDTLQWNDMVSDPPPYFPSFMLAGQSISAPNATEVGEFTLEGIDW KKEFDNVMALTRELLERQLNGEQDTPGRQEAAEHEAENAVSNPKGLRATPKTTPGAAS ILDPAAYPDLPGCDVVRPLQSGKWLKAASAMKGKAQTVLTNLEGREHNGDRVHGTSRI VGGHGMASSVGDDDVDEFGRPLPPLTANGNRRWKKPTKGQQKREAAQKNAFEAFANAL LHSVSPFMAPLRERCKTSLPHIKVDQRFGKVGQPHTAVAQFVVAPLVTNYRPRHFHDL TPGDLMEFHYDFAQVLKQLKSADEVLFGYGPVWKRYAVPFCYVNCKEYRDEVLSLCPD EVPNMRVEAIYEDDVVKDITDVVLSVEELEPLQNMSFLQAMGRRVNLAPLFDAFDAVF QPIENYRIIIKELHSAPSTYLIQTSQHEVNQKPQPLVLYNDPKLWYDLPVVSRIKVES TVGRGASERGGAAASGQPGVMSASSLGGPASEKEGQGRNAASGGTTGRKAKDISTDYQ NWGGYRFNRAQIYGVLIPLVGSAAFLTVTGFILWRRRKTK XP_803576.1 MYIWSYLQRGNEEGKQIIHLTGNRNITYFVLVMTPAHTHPLPSP TVKRGLTKKNPRNKTPTEIRRTGGKAKENSYAYMRTSMSGLGTSPLVEITTRIENNAR SSFPPPQSLMLRGVQHHARRYERSGCGLINTP XP_803577.1 MGSSMSCLEVGSDSRCFGLPTPVMAALVAGAGGLLFLLYKVYRC QTRKVCRRTPVLQSVNIAVNQPELAVKKAAEHIMKGEITTVGQLVFFFRGGEEKAIRI NRKLFECLQEAFPVGTADQPPADGANGAEEHVCHMMQQLCRCEEQAARLEAERATAFD DGNPQHIALLERLWVAAGKPKSAFARRSSEWNDLGFQGMDPVTDLRGGGVLALRQFLH FAEAYNDHLKGMMEFNKRALADKKNHWYLLAVVSIQFTAQLLLQRDYKVFLPQLEVLY DTISRGHKPGILTGSLRSAAAMSEVGTQSIGALSQNSVDCSEGEETSDFEVGYFALHH QLLLSFKECWHRDLPHVMEYNKYLSKFLESFFSPE XP_803579.1 MLFFFYYSFCCCNSHPFFSVTAFGEDGLCSVALFSFVYSYLVHF NINISVFNYTMLIFAPRCIHSFSNAPFSRFKKK XP_803580.1 MLRWTGGSKARATVQRGLAPSSNTTNTGFQDAGDDHNQIGSIRH SDPAMRVSEGGINNVNRSNSGIRLGRNKAEGSSRIPRRARRSTRRPTGLTSSGGSSSR GVDCVALDWSVKAATELRSPRAETTTDAVGHESTTPPTLKDESTSEGNIADGGGTDKT HRVDVARQQGSKLPLPSSQHEGPPITTSSLFTGRGFPSSIINGGSRYIPCEESSGMRS GGILLPSQHNRDRSWFGVPNGTGSHPFVPRMSDDMYVDVLDVNFYHRTPFVPSYTFQA VPEESEWFVDESITAHSPNSDISVESPNGMPKDMTTCNIHNSRILPPHETMGAWATDS PRTYSGCVASGSPSFSLPAEARGGMSRWSSAWFGPLETVSSIIENAKEGPAPETEGKS SQTSLLDSCSGGCLQVSPVDDDRAVTVICPVSQESGRSREGSIDQRNAKTPSLMQGLD RTNRTGRRRQRRAINFAGDVPSARYSSFRQRSR XP_803581.1 MATINVGEVGGIICIAFACLPTEYKGKQQQKRGEEARREGKTAD IQKCVVKRGKSDNNCKKQ XP_803582.1 MYNCCFPLRLVYFVWIFGKGDVDIHLFFSMPPPPHSVRVTTPPF SLSSSNVRTAAVPYRSTSCYTTFTFYFVQQLNDCFLPSFLSVCLFFPFTSTSLYFTAT AAPGVSLFFVGSCKYCCLIRCKKGIGVGAKE XP_803583.1 MLNYTYVEKGVTAPLSCDVSSKSQLSSSSADGLQCVMDASAGNG DCSRVFVEAPTCRSGPPALIGGPIPSFQKHQPQQKQPSQSQRPWLMKIAQRAPCVAAS PGSAESSMVSGQQVHRWGQFGEGPRPVPQPPQPLPLPDATSFVDMSMENCVQNPSQQR VGPFHQVPPFVGMPPPGCGGLVPPLLAPAMLGTSGNGHNHQWRSTPRHYGYLSRSAPT AIATGPSTNDDAYIYHQIRQQMDEQNRYREHQQQQQHRYHQPHQVYHNFHHNSHHQQC FGGTINPSPPTFFYGEAPRTDERVMLPSDSAVSGGNKGIIPSYVDGRRCDTGDGSTSR ETPPFFVEGSMRGPGVGQEDCSDALDRSVETEKSVAGPPGDSRYLNERRNSHGKNSND GSRENNRTFGGTKHCSLDEGGHRGLPLHPNDAGKRCNQNACQYKSDGLFPLGENGGQG ESYASTKINTTEKTNKLPGKVDEQGAAGCEVTAGQKTSQTDQGKPKAREGNLCISCNQ ELKPPALTMLGDHGHDTEMLHNQRQPYGEPTNLFGHTPTGVSPTCGPPAAEALGTKHH QGCSHKSPTAHRASQWEPVPPKDLQHYRSNIAVGIAYQGGYSCTESCASGERSGPVLL AGGGGHETVRSVAGNYMWKSPTSQHRRQPLEGSPTQMSGLTCGDGGGRATAQTPSSAS PWSHARAPGHARHLPLHPPMYEQHGALEDLFDEGGADIPFEPQVYGNIVSFVCAVSPI LLATRLVESPTASPKSATPGNEERTPSRGGHPPTFVEPLIPLRCIWESLDMPFACAVR LAQPVPLTPMRFPQETVVYSPFLSGFRLRFLESSATYAELKAMQSRSGDDSCNAFQRR PASGRTSPTCVCTGESGQGDTDHEPPLACTYPAITKSATGKDCATPRGSVETASTVRD GSEEMDDSSGVGWLTWGAAERPDQRSLVLEQVRDLAAQDPRYSVLLTANVTELDYQSW IAVLWRPVFDQNHCAKHNCGSFIVYYAPRPPRHEIAPLSQSSSALECMNKSWMSPVFR ADRAALRWDMWAPSRREVSVAEQTTAQTPKSASPGCTAPLSPALSPSVAAGGIAAGGS AVVSNFEKPVDLNGSSADAAAAREASITSGVAHETTHTSPSLSFVDSTTNTASLGSHC GPTLQSADQPFYARIPVVGIIPNRCRADVWFMPCFRDGVPDPGHRRDIGATGVPPCGY YCAPLFLLTAALQLMSWNAVEEYERQLAKKVALHGGGGGDSSGLGTEGSASASVNGGG PPSGNAVAAGVSSFRAAQDEKPSGVKLLIDAAKYYRQYRESAHTDAQAGTPTPKLNLS GQEAGEVNGRCRTISPSVASNISSTPFTEPGAVVGGLPDFYQWAQFDGPLLKFAERYV XP_803584.1 MTHNGVIYSAWHDLTLPLLIKTPFASFPSFISSLLSGGRNKLNP RFHPLHTKPLHPCSSLLSIHLINLPSLRSVIQIYTHHNYQIRTHTHTHLLFFSFLPSC YSQLYSHIALFLPTSSSFPPLSLIYVPLSGASIHQRNLKCFSGAYLLWTRNIFLVGKN IRNEGGKKKVPRRGKGGKKK XP_803585.1 MFLLSLFVFICHNFFICCSLLIYIYICTCGASPCLSPRVCVCVC VFVIVSFFFLHPLVSAHHTYDL XP_803586.1 MDHRNTHGLVNIVPSRGTRTELLAWLNDLMPHVTASGYEVPRLR KVEHCGNGVPYVLLLPQMLPFVHPALIARAKVPARHDFEAVSNLKLMADALQKNGIPP PEVLVDDVDKLIKGAFQANLQLLQWFRGLGDVLMPQNGRGEYSGETGYQRQPRYRHCD ASEDASLISEQSQHSTSVGTNDDKPAASRAVLPSRGDAPGLKRGSPRTTSVCCSGKLT PSTEPPVIQGPAAVSTSTLHTAPVDGIKKSPISRRTSTPVDRSRVTTPAGARRLLDTN STGPRGATQQSPTMRGRSNPRHSLPGKEGSGFRPRTAFAGEAAAAVEDKQTPPLSTSE STTDDKDMTPMGEATTTKSGAVGPNIVRRGRDQPMIPPKTNNAGSSSGVLSGSGDISE RTCRPGGTTPVRTAVRSRNSLSGVVNPSNVRERCAVDPHASVEMIVSPLMSKNASGKL LQSVSAATPSMSAGMSRSGSRGESSSEGDSAVAAAALLKATRERQFYYDKLRQVEAIV LPLVDESSTDRSTRTLVTALLDVLYAAE XP_803587.1 MLREHIQHIRICISIVVSAPGCGPPHGTISHHFMKMALKTFSYA ASTTSARSPPSSPLPQHYIPRVTSEYARTQNATFLNSTGRITP XP_803588.1 MDNEGCSGAVEVPTRTVSGKRRRLRNLIMRCPYCCYTTRRSPDS SGGTHFRRHLLTHTKERPYKCYVCLVGFTTNTNMKRHIRTLHPEVVVPPVAASSGSAP RQSTAALDCNEGAPNASSTTSDEGINCRFCNVGFSCKGKRTRHERHCSSRPNPPRVLS WSDRDNTQVRVNTCAPPPTEFVVGPADTFFVCPNCEQELADRRQLKRHLRCYCPFRDD VFGDCGIDDDVFSVDLCGDADEGSPLRKRTKRSRLIVSRRCAGVAQSFAAQQNVNSAE VLNISAAEAGLHSYGENVTFTCPYDDCMATFLSRQRWLKHVARRHPCELVPSEDCTTV RLGILAPC XP_803589.1 MSFGSQSTTAADNYIKERYLRKERFISSHTIGGSYHTVATPPQW ETASSAQRHQFNTEVLRYSRKIQIKYPDSQHYLWFFNDGRE XP_803590.1 MVFCVFSCDVVRCTVFVYRLLWWKRCIFLFVGESGAVLCCYREG SRFDVNEWLRKVCPNAALMEVPFPQLHENAGAEEGGVPHIPHERTALLVWRYFNYPLA PSGDSLEGLEKRRREINNILRSIPLHMPPDAFNYGEVWREVIKIEAGTQKEYRYFCRK FQVGVAERALRDNCFFGLVPVHRIAGVKPSLLGFAQILDDKLALLRHERGIMVAI XP_803591.1 MVRTVSDDSHISSYVKCQFFLCSSICICIYRADVAYYVLICCIW INQAGVTASMCLFYNMFLLILIVREYGDTMLLSCCCVIILRVTVSIPSSPVYE XP_803592.1 MEVCAVMCCCVSCFPIVTLSFSATVFLRNVFKYLPLYRNIFVFN VFIIFVKCFCLHEATTVTLLK XP_803593.1 MEIRMVPSNFSRITTLCGILMLLQCILRPLQAASSGGGPVTTAA KSYAIYPHEVFKNNGVYNVDYVNSERVCRAEGMNLATDHSEATNSLIYKLLKPKNELG YLYAYLGGDAKYSASSVHEEKDRCKVGDLASSLNCVYRWNTGLFAPATPDDNGVAFWR GSYYEVTGAGSMNDYPSFFENYPAYGRLNVIAKLDSSGRFTWFDDDDDFGNISMFTNT RGRSTRFFMVLCEASAVPTPLPPASPHSENTTADENTTVDENTTVDENTTADENTTVD ENATVDENTTVDENTTADENTTADENTTADENTTADENATADENTIADEISNGSNEAS DKTVPSTASDGEGSGGGAGAAVVIIFILLAVLLILLYFCCFAGHEKYITVMSLREKVT SPVSNVEAAEVAAVPSNGEEHLSITSHQQETPAADE XP_803594.1 MCGAKRTKDTREGTYPALPLIYTMRKGDKKGLSSFSGSQQCWKI YEHQQSLMASRCCRHNFHGAEVALFVFICP XP_803595.1 MTMTERKERNGYRCLCGIERRSKRCTESTSEPYHEGTKNGYYSC IKEGLLTNTPGPDGLFFNLELKRLTRTLKLKNLKTVEKNKEGIPRPKPLSLTHASLPQ VNNAKFEQASL XP_803596.1 MPPTPHLSRGGKGSSRSKKVGPSKGMEKNNYINAPVHPFGPSTP VISHCILPLQMFPRPTATATHAQFRDVRALPHLSTSETLAVISPTDL XP_803597.1 MSSQLASQLQKLQHRPVDDKRLSGSFLFSDGDSRNFSREQIHQL AVHGLQTLIAVDNRFHFFVNRLFDPHGTRAERKQLRRDANQELNEAVEQFLTLLSPHI FLTAAHQVFEYLVRVHEVHVYNVSAVLRAFLPYHDHSLFSRVLLLLDLRDTGLEFLAA NQETGSPLLREHLVQACAVSRKVLQLVCLTVAISVRMRVHNSAAHALFAGVAVQLASY PNAEATWRVLLPFVVEFITVGRSTEKLSNSELQEVNEGDESPWIGEDLDGSGVSRFSP SREAACSALVALVAWSNEVKLSSATLRAVVKPIYHLLTTGVDGSASFTVSVVDLLAVL DVLFHTQREAVLDVTFSPQLKFMLSFPWGHWVRFIDSSEARICDTLVSVLLRDCLYRL KSTHSLQSVSSDVLVFVQCAVEFLPLNDALVAETIATLVSCNVEETATMANHQNGENE EEVHLGVKQLQSGRGGSKHGQSSTGRKCVTMWIQALERRFCHVFDTTLSQLLNDVTTQ NAAARFLARHLSGARYELLEVRGSSGSTEQLPLFACLLHPVAEVRRFAAERMREMSIG QLTTSSLSTLDGSRGNERGNTLLDLLGHVAQYEQSPEVAMMFLEVAGAASNKLIRVIL SSGAGAGETPSRSFSDETQGSGNPVDDAIGILRRLFRCFWTMTVTQGCVAIQERFYQL ILLPLMQQVDEQRGVFLGGSKKKLKVPEMGDACRIGWGLLLYYATLLYVHAIDLLVRE RSVNGGSAKERLCAGESTDAELTKLVMKLEKHLVETVPGIGRTATLFTPALYPGCDPL YEFADCDASTEGGKSAAAFMGGVTSLLDDEQGEEGFCLSVFECTPLLLALYHEASVQV RPTLERLLCLREANEDDRPSARAIMLECCVGCAALLSTAYDSELADVTHMLFQFFVSG RNGGVRTSKYSIGMRGGYLMNLQGSQRAAAGGMRGRVGRGAANDGSSPFLPKNLFTRI MGATIRISMETRLARNSEVGSCVEGEKMAFNSTNTLAYMGRLLGYLDAPLPAAAVSPM WLPPAYLHMLELCNTASDVAGDDWNVQLPVDWFRLVYRSVFESPVTLKSNCGSYFSLS GLFVAVTSPLAAPAGRREDVLTTLQGLILSAADDVKRSTRDAKKRLTITNMSSAQLFL EAALRQGSISITTLNALVEAIALEDSHAHLTHTASELLCRMIVDGVGNGGNEETTAPF SFLNLVVEHFYPLRARKSGIVVNALLPLLISLLEAGGDGGEGTVSPEAANFVQAICNR AQICAEMATISNEEKNALLQLNLALVRHPFLRVSGRRTRLVYRHALSTFASVLSRGCD VSSANPLVFVPKPTRNTSQGTNNLLEKSDDEDYAKRVASVVSEVVLDDAVCLSRMGAD ALSLLVGTVGGYQRLFLPLVSQKLKQRSPDLSIIADALEAVAAASPSSPLPGSEGEGG DTVDAWEPPSWCTLYDPLKSTDVESILQLCAKSLSRADGGEEIVWRREGNTLPALRIL CAIFESSHLIRFDGDDVGKREGEGGEDLGDSSLFNLVREVLNSFPLASLLPLLEDNDS ADVGASGNSLYRLALSFIRCLVNLCLSSPGALNDGQPDGAAVIRQEVVRQSVILMAGL FTPDLPSSSGAQKPASASEAARPNSVFSGRTIGTVTELLRIVSPLFTPAVTPMHSNDF SVGGRVALHIPVVALLIRLESVNFESGKHNYTSAMEVCRHLLVSFDVQTQLLCLTGMM ELLVDPHKQLSLSSPKVGGNRRGKVEGMDTGDVGCSENDDDYTMKRLFRKLLKPNQVV NRQEEIMYLINDTIKSEEFLAGFVALQHSTAVLCLDTAHADGGVQRDDTSGTKYGESS LFEGIDKSNECMALLVASLKLFAYYTELNTATENDAGTAAASQHSDGGFVGAPAYGEK KAFVMLLELLAGNTLACVLAGINEPTFVLCMKNLLTDHRAAVQLKGLEMLLDRLHNAL PTVEQILTKEEVERGRQKLRDPKSRLTLTDVVRLKARPFATKRSFTLFSHIFALMMSA AGVQVGKAERVDNLRLLTLSVACMEELVRIIASGGSQHAEAALLNVNRTARVTEDRLN KLFGNHSRVEEVHRWVDSMVSVLPRVIRSCRNQDEEHTHGSKEDCLFAAAALLTALGT VSQVMGTAFTVPHSNVTLQVVVDAAVFAAAGVPPTVSRGEVGSVLRQSSLNCLLRTFP SSWLMCQPYLSRIIYVATHLQNVDDTETNYLSVEVMSMLEVALEPQLFIEASAECVRG IVEQEVAADESGKRSKRVLRVRVDTHSLALLYSSIQRRVTSLGREELKHLSLFVGGTT ARDNFWLSSMQTLASAPTLPSPDAVQPVLEAYTMFFLKFKAKHCTSFISTMAEWAFGG AAEGFVKSTEKQQAKESAGKESEDVRERDDVASVPRVIVHRWLLFYALCNHLLERLGS IMDFAFPVLLPYIVGTLASYCSSTTHAARHAADLIARTLEGALNSIRIIASAQTAPPH HDHSIPVDNYLATHEVFSAVMPAVVRQLTNLVYLADSTHDYAFRAEQCVIPAVRALFL SLTSSKQQSDTQREVLRSLRHPSRHVRRVALLCLDRIYEDGGGELAARLMAEMLPSVA ELTEDRDDVVVEQARRLCGHLSSITGQDVLYAMGS XP_803598.1 MSGRGHGRGRGVAAPPGSKREVKASSALAEPQENGTATAQSQLQ YLQARREAIERQLEGLDVCVYDLETTYLRHYVELGGCLFDGFGLERQQLWCTATATAT ATSTSASTTASSSSLGTALDARVAAYRERLHRFTPSDRIFTASSVGALGTVERVKTLE AAQEEAEATKRTRKRARGKG XP_803599.1 MKGLLIVDGWKWTTKKKSRYQREKINGKSSVRQDDFDVPLLCFC VFSLFFVSFFAIFSESYPFISSLFPCLSVCSTSKPLAVGGTFSVLRPQCKINGLKDVS PLFFSLSSFSAFCSLLPPFVRVGAAYLAVCM XP_803600.1 MTIVLLCTLLSQLSSFVLRCIPLHRCFLFRFPHLRLVAHMGFKR YPTTVLSICFLSRCASTSLSLCICAHTSTGKRCTLFVPGAFIIFSSPVVLYLAQLQFS CVHLCWLQNVAAVSLRTHEGVVYFSASEQFALWMREEGN XP_803601.1 MIDKSPRGGFFERYVDTLPPKESGSHLTVRSGTTPGRFLPTAET LSPYPDGVCLRAHQEHIPNPGLMDTARSIESLMPFEVKGCKASSALPNIQLSEVAVEY GFRQPVAASDDRCLADCCINDSGYWTLCFATESGAKLGELKVPAPCEEEGANFDDVMG IIHDKAALLKFQVLYVTYLDKDFDSFALLTPQTVQFHADCFHVIVEVKKDETGQMADV PLELAAPSEALAVEGTKTLLKVDGVVKRTGGYGTVLSSPNALASLLFRAPQVKGHVPA PEEELRLDEAVCRDLSVEEEISRGKISSDEATTRLILRATESTAMYRMSRYSLSNCKQ TQVEELPQSTDQASLQMSSERVEPAQNNGNCSDEPSSSVQLSLIERMNLFSLHVDSPM KVEPDKCVPRVTRLRLTSPDEEWSDLIQKDFGRLTGAVVLDLCSSLGIPREAITTSFS APNSLLVIITRLSYDTRTSRQFQSALWEHGFPNLMQLYDLIPQHR XP_803602.1 MHGGERVGSNQESTEGEERIRLKLRQTLLGKVQNNASRTVSALE QQRYAITSFIFCAVQHSHSHTPQEPKWKQSYPLGANSAFSVYGEVDITVVKATISARF PLNLLSVHPNKRAPCHYIPLHESAEKRYTQFAGSSRSRPTHASPEPPEGIMRHEVKLT DLGLPRVVP XP_803603.1 MHGGERVGSNQESTEGEERIRLKLRQTLLGKVQNNASRTVSALE QQRYAITSFIFCAVQHSHSHTPQEPKWKQSCPLGTNHIVL XP_803604.1 MRHRTFRKFLFFNRIFSFLLLVSNCFPSILPSAVEWGTAQNSVD HILPYSRKRAWHSGPLHYNAGTKGKA XP_803605.1 MSFGLEYSEGQRDYLERIGVGPLLEDFVADAVREKPNDVYEFLR QWATARCAKATAATHEKSARVIQRAFRNYRSRLTATA XP_803606.1 MEEAKENVQLDAGGGEYSREREVAVQSAAADGFTVDRGDADAAF AADFLSPVHKKSPSLCGSCDGEFFGKMQSPGSCATEGVTAFYAAAENEINIDVESGDN HDIPAITIWVPTAFTKAAGRRICIREQRCITQDSPYWRLLNECPLAEGFADNTEVEEK QTGDSHQQYPRSLQPHWRRRRRESNVFLSPMPYEESFATLPRCRSCPSSLGSRISWEN XP_803607.1 MQCSSLKTGCLKLLSQLPEGLQQAGRTAVSAHQRSYCPYSNFAV GAALLHDDGKVTSGCNYETCVYKGTCAEACAIVKANMDGYRTAQAVAIYGRSVLPTAA AAAADATVPPCGFCRQLLAEVADLSGNMNDFLVVLVTFDQEHARLFRLSELLPQPFGP SSIGMDVAALATGEHSRCPGTF XP_803608.1 MSSKSSVGSISSIQRDQEYLHSHNVRGMLELLIADVILERPENA CEYFALWASRKQRERNAENTSGGQAPTSAAGS XP_803610.1 MSVFPPGRDSQNVVVRSNVGVPSGPDVTLVASAPLLLVSTAAVH SLSGSHSKLKTSHPGLAVRRMFEPQELCAIREDALREYLRMKMADELSDVERMEADAR ERLVEQALFFFEALATRNVEKCKKLARGRELLYFIERLKDREAIEDQRRYALEEALRE EGRAEWRREVEEKAAYGEYLRAFEEWKREQENTVRAACAFVMGTEVRYRRGVVAEESD AWEELWRKEREEREEAERIAYENFYNSPEQVILREERERVEGKLRQKYERQMVRHLKE QEQIVKSCKHGRGNTSIFEGPKASKKCASCGVSFDKDLGYYVRSYGKTIPPPPPLPES MLDSAKPKFAASHMPGTQSGVQPTPVPPPTSEGKLPPIGKKR XP_803611.1 MNDRGLVHLWDKVRCCWFSPVVSGKREGFLSLTAGRAGAIFSAL EAGELYCTQVLDLPSWLNGKDL XP_803612.1 MTRPLASSVLMQCLPGELTPSSPFSCLLESRICSLLSPLFMLFL LWLMPTKVSVCEEVPLTLVEEMLRPTVLYGVLPRIVHFYFYLLFPHSFVLWVLSTNFS HPPNSDVRATSC XP_803613.1 MPQPSALQSARSFLGTVAEDSVRCDRGLDSVPHNEAGLRCLAAD GNWSAVAELAERLSAAATEETAEGLSRRLQFILVQVTANFHMQRYNVAKKLVESLGDL NSERYIDPKTSESTVPFSLRFISAIIPLYIGTKMDSQQRLYALLRDCRENLGKYASAV WVARIKRVQRALVVSHYQVEQYSEALRIYNELIAVEGNADGDDMGSYALLRRMLHLHQ FAALALFCGNASFANSIYQSIASINVSEAAPCVQNFHECLVDFNTGIWLSFNGKVQDS MKVFCDVATKSREYYCALGASQLMSTDVPTVSNRQLKFVADGCDALALSELCRHAFHQ LLVNATTSHVVTMPYEALRGKAPATMLGGTIRTMEDYLRNDPAGLLSSDAFISNGVRL YTLEGGTQMRRLELLNDLIEVFRCDRASAPPIDKIV XP_803614.1 MPALFSIFCIHFITLFHTVLFSFVCSRFVIFVRVLSYFASLSPL LFFLFFESSALLIKYISRLVFCCQQCCFRLCISAVSRGRLSPLSLLGIL XP_803615.1 MRRTIVQLCQPQRCRSFVEETLQNNSASCFADVLHRWYERSLLI EAVATTGQGGVTPATATNQCAGRYHLYEVQLLNKKLSEAQLPGGYRALENYTVAHFAA ELGSIPLLGMAEGGILLPRGVHVTNYALGPSCAFEQVPLDIITFTLPDGEGNYLSHIA AKKGHVEFIAALVRRFGAAAILGQHTHPDLEPLGQSLSVYETAFVYGSVPVLHWLDTS HPFCALGPAEENAVRCVQRAALYGHADSLRYFMQLHGLASHEVAVEALYPAAEAGFTA VLQLLVHTLGKKVVWRPDSHGATALHHAARLGCKELLLDFFQHYGLHQHVDDKDNAGR TPAMWCVLGLRKRSVVEFLKLLLKFGSKWPTERDDEGNSMVPVVEHCYSPTSNIRAFV KCTVASSQR XP_803616.1 MAINDHEKKNMVATTEIIPTTPDTHTHTATLTWPCRIKSLPSQN ENPQPQRVQQHDVYTDAC XP_803617.1 MGPTCDRIAKMLPHPILASDDDRQYVQESILSLPDMVENFFTSL ERVYTDGKCEEAKQAFATYHSIQTIVSVLCRVPASAYRTNDEKRSIDWEIYERIKRMG HLFLGDIVVVGPQSLNWRVPVMRLAEEVAQRMRCAYVEFPFMSVLLREAVSYSSVAFI LVIAIFAASVVFTLVVLVTLPTLSPSTTVGLLVGLTAFLLVSVVSCGFLYLFVTSAKA LKVLHTWILEERMYTVLLSSASSEGDLSTTGPSAGAAVPQVTRGHNTKNGYYTIPYGN ALGYIEGKHVDAQVVMIGFDDKYRITRWNMAAEVVTGFLESGCVGKPLSDLVITPTGD IERDLAPLQVFSGEVLKLKLRAFATVPVTLFTVAVPILNQEGSLIGRILICANAKDNL GEYRTYIRDYVVSEVNLSLSEILEGKAVTPRGLSVIGPLQSFLEYGYGKQVEELARGM LAEWEWTSSEQLLGQMLRLSPLDHKTSVDSLFPGTLCLHPCIPEAVATLLNSLRVPCH LRLQILNSSRNTFALQIVATPVAQAAPSTAQISELREKLLPHLRSTCGSIREDDGTVV FRFPCQITAALEDIDDATFRPADALNERYVIDQTRAIVNCTVNVLTLITNLVDQHNIS MILLRTMFVSLTSVRERCELEKRLQSSPSDIDVIVCDRGWLNSVQDLVHSLSYDIIVV PISEPGVRLALEGFQYVINTPISSTEVRKVLMAIGTAVSLRKNAATAQEERERILTLR QDSPWTKGVLLGRGSFGAVYEATSDLTGGKMAVKMFYFTEDLEESINALLNEIKIMCS LNHPNIVHYFHCERKENSVNLFMELCCCSLGDIIYGRSQKPPDLTVIKVLRQLLTALT YLHARGVAHRDVKPQNILIKGDVVKITDFGTARQGVGSEDVQGTLRYMAPEVYRGEDH CSPCDIWSVGCVAAELFDCPPLFMETPHMLADMTDVDYYVDGLTSNPVLCDFLRMCFC LQPEGRATAADLLLHRLFSSSCSAEVESLPDIFSREKQYVSSLTITTQPHS XP_803618.1 MDLGSDEDRSLAGTLSGTKIPTGPPTRKGSRAGSPRQRRRRSKS KSKTKRRGGRRSKSKGRRRRSSRRSSRRSRTGSRRGKRRGSVRKVSKAGMTSSESGTN SPEGAISPVPVLGEGESSLISSHVTELEITLYRPLPEDGKPLLFFDTTACLFREHGRQ IDYVGVGRRMTNDKGLLHRAHDVVSVDTACKVSDNFLEPAGGPKVVAGDTVVANLKKV SMCAGFSLCSTLFTKEVPLCEATGVYYAVKKANERLPLAIVPVQVTTVGHNSCIVLMV RKRKNCSESAWELVRLGETLVQQDVKGVVKALQSRGLVDPANYTFDFLRFLSHSAADH DSESMDSFDDYIDDADHLREKSPTPFTDSLAPDDLLRNIPRVKREGRRQYSVGRSAVN LFVAEGDSSDDDEVTEDALRAVVPKYTDTLPVRYDDKTYNIGSCSDRLTHHYVDRREE YGLISADVLGNGSTNLPPIVNPTSLDLRRFSFGTMGFLRPPIPPELSSTFPHMGRRRP TLCSRGTRLGSPSRKKRRKGKPRRKRKSRSRSRSHSRRKRRSSSRKSSCKSRGGTEKQ XP_803619.1 MHMFILYAQLCVVRAYGSWKVYPFFVFIFSVFLLPLFFTFAFSG CVM XP_803620.1 MGKKKTLKCNVAMGKQEFYPHNLMAPLFSPSPASRTKSYQIVAG YILHPK XP_803621.1 MKRFVTPFLATVPLSRNFFGKGWDNAALDTIFSCMLRKPEVNDR IRSQYASTMDPRDADVLRRLGEVAKENKTYIRVFLPPHLGDPHRLLKCYSLTAYPILD DKGGQLTVEMDGHHLEAFADPDDDYAKVVIPHIELVDFLAKSLLETMKWEATPRGAAS LLESLYRGAEIPDHVFQTPAVIERPEIFKDGNKISN XP_803622.1 MDGMPHMATSEYTPTPNTKCTTSSYHQRKILIWAGNDQPDMGCT GQSASPTSQKAYGGGKTLSICYYPNMLTKAMTSHRATLSLSRPPCLG XP_803623.1 MGSECGCCCCSCCGVWCGTNSFETAAEGRRILCLFIFGPSTLFS FCGCCLFLFEKPRRGCTAIF XP_803624.1 MGKGMGICTALLVGVFSPLTLPYFLRRCGGGAACNAGWRGSPFP VWRMLAVRVDWLPLLWLDHPFPSLSASGCRYSGATSPWRIS XP_803625.1 MLPISLIQNEQGQKMCKLRKKPSSLHRKGKFQPVEEKRPHPPLT RAPAAGCDSKRKGMPILFLEHTAIVLTLRRFAAKNLSARHSTQRSFPVPHSHPAASLR PKKVIAGRPDDTYPLVCAKPVPSVDDRDSALYWRAFGICNDKKRGGEPPPQYSSKKKK AGVVRDTTC XP_803628.1 MGLAKMENETDASASNIERKRHAAQRRTSLYGGARLQRCQEKRY KMSISNKNGLKRQRPSLVQCFQAATAGFGNRTTRQHHSTHQLVMACATMPSKRYKHVL RVYRRVKTLLE XP_803631.1 MEAARRQEKFPAWFFFWRNETVRMISRMAEQLHQPNERRGWCRI EQIMRSRRPLHTHTHNVKFSRNSSYLAWWINVWRPVIRPGSDAVSFQRLTWVAHATGK KICRKGWTRNELEKRLRTRWECRGLCISFVCA XP_803632.1 MTTRKKDCTLPNKAHRTMSQRSYSLTTASTGIKCTDLGNTSPPH CPPPPNTHAPPPAITCDCVPTADSPPQSLCAIHTPGWTAIKRVFRHRRHRAPSY XP_803633.1 MTAVVNEFLKRGVRALAARQDSVLVMGNEGGDMDTVIGSIFLAM YLEKRDVFGVGSYVPVLNFEKDDLPLRQDVVKLLSRHNVSTDSIYSVKQSGNGVDFLD LHQMKLPIVLYDHNKLSPEQVYLGERIVGVVDHHEDEQLYVDQTKCLRRICKTGSACT LVAELFNEAGLEVPCPELLLAPIVVDTVNFEPSQKRVTERDILASRLLVGRDDCGDYL TGMFKELMAWKNDIHCLTVPQHLRRDYKNFEFPFISAENKILRVGISSISCRSDELLS VHGVSSVSSNCIEFIKQRSIDMLLLTFAGERTPGSYCRQLGVVAKDEGLAALQAYCKK SPSGLDFTLLEDVTVNEWAFSIYELSDPSVSRKKLVPSLTSFLSVWNNL XP_803634.1 MTLWFEPHLTKTAHPFVQHISVFTIFLSIHAPFMEARAKEECPP TTNLSISHDQQNKCDVSAATISCEVKKFEEFIKITACEAADLRTFPYMAKVKVGALNQ TRY XP_803636.1 MSRGNQRELAREKNLKKKEKTKGQRDDGLTFAQRKEADAERMRR KQAEADARKTGC XP_803637.1 MSYYDKSDKIGYDDTDKELNEELEEMLARTPPHIQRHLQHRIHD GILQRMKEDTARRCWEFIKKYETCVNSVKPYDLTDCRPHRDALNDCAHEVNREQIYQK YRMMYLRGELLRLHESRLGQKMETMKVRAPDSIRGWKPDYAPKYAEMMSDIGLNLGPE AADERKLS XP_803639.1 MLHAVRLLSCWRPAAIQHLPDVAPHAPVQEVEKSHARYRPLSLR ARQTLSEKSDDLQYHVVTQEWFGERVDSFLTCHYPQWDYETIKRLVQQGHIYRYRKNG KKKFTRLTDRLEFDELLVVPTRAFWEKQLAPPSGVLEETDGPKFKLSATAREMAHNMV LFKNEHVIVINKPHGLPMMPTDDPQEMSIAAMLPAWKFTNVAKPVVCHNLDRETSGCV VLARTRNAHRMLGRMFVKRVVPNSVYWSFCVGKPTVNYGRVRMHFDITRGNKGDIIVA RPSPTKTSKVAIAEFVVNASALEFGSFISFYPLTTRRHQERIMAAHALRCPVLGDAKY GGDAAFPSSLSLFWDPENKGLPLHLHHRKIQLPYKNTAGEFICVTAPLPTQMEKTFKK LGWPCEVDDPLIPG XP_803640.1 MNRAARIIPPHRYRTADGEQFTIRHIRNRTAYNSEWVEVRERLV SGASLLPQVLDVASDSSLSVIFIAVGSEESAETGIMGYVVVNMSFIASKTVSVAWISV LNRFRGRHVGRSLLHHVDSFCRANMINHIEAMRTDGLTNLFKKCGFRLSASPVIFKSK AQRKDVQLPSQPQVPYCITSGAVTLRCTTAEDRKGWSLCILEACSYLSGCTELVVNAF SADFRVSAVNSETKRIIGIVSIDSTGWIPLIACLQEYRGQGLGSFMMFIAMEWLRRQG GSEVTLSPLNASVVNFYKRWSFVVDKKSAGKRKRCDDGIPILVRRLSEGERFLPDGYE LEDFVHFASSKQNDTLRVAGSG XP_803641.1 MSVVDVYSVEQFRNIMSEDILTVAWFTAVWCGPCKTIERPMEKI AYEFPTVKFAKVDADNNSEIVSKCRVLQLPTFIIARSGKMLGHVIGANPGMLRQKLRD IIKDN XP_803642.1 MEQNFTAFRGGERVCVCVLATSHPTDEGSFLACVHWQQLWDLCS FCFVQTLVF XP_803643.1 MPTGAARLNFLQIKEQQQLNRERLREAAVEEQRAKEALCRQKEQ YSHVQSHGYGRVVGKPASDVQIKVYIRECDGERAQCHTFMESEAMRHNASCKQEGKPR PAQPPRQKVQKLPPVAAPPQKKQEHTQQQQQRLSGPANASDTQRGIVPKYLLQRKAEL AAQKEATQREAERQREIARYPPGHRLVSEEERKETLEKLAARRRELEMELHKIPIRYD TQSIKERRSKIENELSEIEAAERKFGSAKELFVPI XP_803644.1 MATSLVQLYCYDLSGGMAESMSLMLIGRHLEAIWHTGIVVYGKE YYFDGGVGIVHSSPGGSHFGTPKRVETLGTTTKGEGEFLEWVKQRRLDTFGPTHYNLL NRNCNHFTQAAAQFLVERDIPSEIRDMIPTVLDTPLGRMLKPMLEMATRGLEASAKTS QLSTSPRKKVSNTTETPTVSDSSTSLDVATGLNSEKSHLSVEEHEEVMLVRAMLQSNE LLSSGTREGFNTTMGALILLRMVITNILRNPTQLKYRTLSTQDKEYKSKIAPLEEFGA ADILRLCGFRLLQHPTLNQAVWFLSDADGSEDVLAIMVTHITELIELVESEYQSEFCA VEGDPNIGTFHKEKYPVPPLTSNASDPGDRAMEVIMHDAVKPISSLEDLMNWSPTSPG AITPAAPCRRRVQASVEDGPRLLICHDMKGGYLPTDYEHFAVCNRLMKGASALVPSVV NCSYTVSYWHHVDYFVYFSHNFVTVPPKEWISYAHREGVPALGTFITEGESLTLRKIL HDAQSSASTIRKLVDLCDAHNFDGYLMNIETNLDETLAKRLITFVATLKKSLNKSRPP NSGERFVFWYDAVTVEGIVSYQNGLTSKNKPFFDVCNGLFTNYGWRPYSLPLSTAIAG QRNRDVFVGVDIFGRGVYGGGGYDSHKAADCASGAKLSIAIFAPGWTSECVGNGCREN FQRNDAHMWSKMQDIWTTKYLELDTLPVWTCFLDEVGTQFFVNGAPVLGCVSNQNSLG SGVKCSNDDTDFFFPEWCQISQTHIKPCYKLVRGGAEGDPWCVLPFEVVGKDGCHTNK DGQTVPLDWSTKQVWMGNRSMSFAAPPNATVPLMRWKVRLEVGAADGEKTSDALAFLD IAWLCNAVDAQAHSVRCVCVEGVNGSSTTRVVFDEQLASPERIIVVATVGNWQIVRYV IPDNVTWTHVTCLSILNPSENESLVCTVGGVALTEPTYDNSTSVLLLGDAQILPSTSY TVDKTSDPTTCVVTLDVPNELRKKSNKVILFAKIGFPGGKLLSAYLGTHEISKQILLP LNIPSDAFVYEMFFYGSASGN XP_803645.1 MLRIRRTAFSFLGGFAIADFYVNCTNLDDIQNELGVQGVERVAS PNYFSWIFRSTPVKPQQPAFGSTLREDVELLFQKSPLSGAALVFTAVSLGGIWSLLGS CAAVVLDGDEGSERYDALKRWLNG XP_803646.1 MAIVGDSLNKRRNYTVCGLVSNPLFQKCAEVAQYVAEEYSDEFY VDIFREMPCEFYSRREQLLNSKKIEDGGMEVIVLVGADGHTGPTNGEGEAMSGDDFLN MMQKATCFRVLNIPPERPDSYENMAHLSWKNYLRERGNTYCWMEISIGEMVHGRVTFE LYSRVVPHTCSNFWHLCKGDLSRDADEGEEQVPILSYKNSTFFRTLHGAWVMGGDISG GNGRGGYSIYGRYFPNESYAIPHDRVGVLGMCNDGGDTNASSFYITMKAMQWMNGRYV AFGRVVDGLEVVHAIHAVDVKHNQCPKKVITISDCGVIDLTE XP_803647.1 MPPSPMDPFSVLLSASLRIHTFISPPPPHSRPLSLLLLMAFCSF HLPEGTQQLTNLLKERNTLVPPVTLYVQEGRRPCVSMKPGRDRKGGLKHVKRRSLERT GRQPRAISKTCTLDEKMKSLASRVRTKAVKEILRITTLPSFPYLTFPVYSF XP_803648.1 MGRKDDAIKKLISLNFSKSKATEALKEANGDFDVALRILQNKKV KEQQVPVKSLAEKPKLSGNDDRVGDGGEVKQRKHPCIVQYKKCQYGKFCRLRDYPGDV CINYFNGTCLYGNFCKRRHYVDGVDIRADQRPETSSGIVKHQSGTIRISRSCGNGVFV GDVIQCVDEESSSQLAGATPEPCRFNLIQGSEPTYTDPRALDWQSRATSVIGTTPTPF LDAVRQNAQKQAFEEVAPTSPVPLSVTSRAISRNKHPCIAQRGCCKYGKNCLHADRDA DVCVFFLNGRCAREGSCKYRHESDAEYLRRVRPDLWGGGCDDASQGGETVPLTAEKVL KDVTRARWCQADDGEVKDGERYQQTGVSLSDFLPTARVDDAPEGGTQRGEHDEDSMAP DQSGDNEFASLLSLIDAFPSAEPYLLLHALRCADGNPHYAADVINRVSNIDSTEDIDL CFLASRLEDEDEEREAAIRDKHEWFLTLCTLFPGVDTPSIQAVLDRCGGEYGEAYDIL LCQSGSVTAKAYGTAWSGKVKGSEEARVEKLCGMYPGLPKDVVQNAFGTADGNFLVAS GILNDMTKDMLVAGDVVADSHPCPARGKVVVTTKRTSEEDEPKKVSFQFPVSSPSREE VEAFYNSVKNEVRELGDWRRVREQAYIINSCRIRVLKHAAAAYRRGDGEAAKTLSRHG KELGAQYQRLNRIAMVALERERLYSSPVVTLDLHGFHVEEAIEVVRRRVKLCQQKGVR NLQIVTGSGKHSRGGNSALHSAVLKQLQEDTQLDGIVKIGSIKPAFFDVQIAPRRK XP_803650.1 MSTSSRGILIAGLTNRARTQMAEGILRRLTAGTIFIKSGGVHHE STIHPLAVKVMKDIGIDISKQSVTSLEAARRQQNTYDVYISIDCSYERRTLDKSQPPR AEVRRRESFTVEYGDDGSGPRGGDDPVRYDPLLVPRTPSHWSVGVDATDVRRRWQIWS PRDPSIFHETSTRKFQDHLYEGEPLFMQLRQCPWRTQAKVSERWEMDEVAIRYPVERH SAHELRFVQAREQLLQRSFDLLTKLEKHYGERLLLDRALLEEFIS XP_803652.1 MLTTAKANPLEALRSAKENKELSGQLEHHRSLRAIKLKVLLYRE EREAAGVPPDVISRECATLHGSLLRNYMEEAQEARRLGAAELAQKTAERFASAFQVRP GSLGDAFDRRHREVERQAAEGARKEAIERRIVERVKRIKGE XP_803654.1 MGSCCAKSDTSVDIDDSGRGVERDTEDDNNVYILQYQSRGEVLK MLELEPTPHEASVMTQSPSLPTDGPAAEECAEAELSDPLSCSSTTIVTVRSERRAADR FSANSLTWTLPEVSATTVSTRFDHARTTSFMGSDDDFSSVEWSANSRKDRRLMLLRDR DRAAQRDMVMNEMDQRRFIAEHANRAHRAILVTYIRNRLEIERRVSYEEFLVERNAFL LHELEAREPLVRRTVELQAHSELLNKMQQQRLLRNRGK XP_803655.1 MLVALLDCKTCPCAFSLILPLTVYPFRPCATPPFLCFVFVAFIV ANACGLCVSQSVVEQAGWAYYHCTSSILKATCNRHECEGSAAAYALVLYRPSIHGE XP_803656.1 MASVNMEDWIQKPAVCVTVFGESHEGLLQGIDNNFNTVLKQSVG NKENELTFVRGESVVYVGFSDIAESTVPEGNAGCGSVASTTS XP_803657.1 MSSLPGVARYERMTTRNKIQFFWWSMLVMGFAYQFLQMTVLRVQ PKREEQMYSHIRELYGDQLPPELIEIAKRQREAQDALTLSNMIRTPTPGETAGALQHA LDPRRIAQLRSHNGY XP_803658.1 MRIFVHVREKVIKLECGDGTQNVIWLGNAAMVHYDSSFGQKYGS PKYIQKEGGTMCDPNARVCDVLDDNQHVFAVLDNIEEVVTDA XP_803659.1 MERSNSRRRVSQSAPKGENEWSKVPRCVREALASAIVGALPGES RRRLTPNRNPSRAKSTCANKIVVPQLTEEFLHRSVQTFEDAAVLRAEAVRSYAQFHNT VEMLREVMRNQLGALWYTALQLDPEFVIDLQQQNGLSGSDLIPNDVVIEGESLKRLEG LETLLQEMNNNMEVLRSSSVRFAACVLSDEEKLSMGLDPLRLPREDVLRYAVAPSTRR NSSSRGRQSNKDDSSNHGRRSASARRPTLRPDETEARLKRFRAYAARR XP_803660.1 MLQIQDAGILFLSAMITFIKVGQLFCDLLILFFFTVHNLIAAVV EVPALKAKDNVKGH XP_803661.1 MHVASPEDIKHEIEDGRGEWRYVPPCVRQALALVLPTTPKRCSR SRSVTSGCCAPRMLLPLRGSGPKTDVMEAVEAAQLAEQPLAVAGRELLSSSKVLAKHL PKQRRIPPGKPVVRVCSSCGGPLQETGAPGKRCEERPRSGRKGRSASCVLDAHSMGER TQLPGDTSSSVPGVTRRTSSGEQTSRRTHNTARVVQPINMEASKIKRVECIRHRREVT DALLHMVEAVKVLSKEVDTTVVKSVTDAMRLDPRRAKDVRGEVAPVASPSEEQQEALK GLSNSVRWLTNATNELVAVFLTPEEKRFLGLNTHRLQTRKERSTMYQYAKTYRRRDGG LPPPPPGVGENITGDLKEGPRENQDGEGDADEEDVSGKGKSRGEGVQRSEGGKSAEVS SKGAIGKTECGAGVRSPSGAAAGAEDHNPEVGMKGGCVEMSNNTQRSSQLSSVQASRR EEKLSEDNQVKKRLSVSTRGTAEEVSASNAQGSPGQAKLSVGRASASDVANPSVSSTG ARNSLPKRRKASDSQSRARESMESLTGQEPPGSAVSGASLAQAETTASAGDLANKNLN KFAVASDSDSDSD XP_803662.1 MRHCHMSFAYCFCPFSAHRSASSQGYTRLMRRLSGSTCLLTGVT RAGRWLPTTAPCLSLCSFFLTASRRKQSTSGIGGDVRDIEETHPDFQPRLVSADLAED EIAMVKKDIDDTIKSEDVVTFIKGLPEAPMCAYSKRMIDVLEALGLEYTSFDVLAHPV VRSYVKEVSEWPTIPQLFIKAEFVGGLDIVTKMLESGDLKKMLRDKGITCRDL XP_803663.1 MSNKAFLTTNLVGGLGNQLFLVANLLATARRNGLTAVLPRMSFS SSNEQPRPVYWQSVFANLESYGVGHDLPTGVPEVIVPEQRPAAAVTLDASRQCVYNMV GFFQSETFFADHPVVSEVISPEMRRTSMRHMWENYNNGYKDPSLAHYVGLHIRRGDYL RLRDVFEILEVDYYDAAVRQLLGCALHQRSPGHRSTHLLVFCEEEERRYGASVVGYFR SKYEGLEVSLVSPTKEHVKFPCDAQMPREVLELLMLSSCDDVIMANSSWSWWAAYLNS RPVRRVVAPSRWFVQHPYPQSNHMYCNDWILL XP_803664.1 MTPAVVSMREKNNGLLLSFAVTAMGVACLVKLYKRCFVRVPPHC VTVVYETRRNSILASSMEEANNELPQVSHFRPLMYIVSLLLYRSKKLVIVPPTSFFST FTLPCSVLDESGDGEVVDCTVEDIHVTDGSVRMVMTIRYCIPINELERYLAAVGPTAP NERIALAAAGAARARGAELSVGLIINKSKRDTAFLEPFRAHLSSKLMSESCVKVLDVV VEAAEIADRSLGG XP_803666.1 MAASQVELVANPSSDVSTYRWVVDTVGHLNRKNKMTSLPFWMPN YITKEHQFRLILIRGVVLREHARDDPIGVMLELIPPPTGTDVAFPGGCVVTASLVNRV HDDGTHNIEATETVEIAPDNLQVFFPELIPASALQDPEFAEGEGPTLLIEVTIQTGVN VTFERVNQTVTSVWSRISSSVSKLVERAAHALGETREEYGVCEAAQGHRKLPWEDVPK GWEEKEDEWRHLVTKLIVEDEGTFRYGPNRGFSKDEQALLVQCGLNQRSIADARAIFD YNRDVHEGLLAVPEIRQQRYNLVPGKLKDEVFWANYFWKVAVLGYCTNDEQVRLLLTV LNAPPAVQPRDISSIRLVDEETVLFHVSDAQEAADMLVEYLTDDAPDGDMLLEAAAEA CEGHARQLEGYFKRTDLSESTLKVIGVLLKRLRDRLTAYRERNVNRGLLGENGVMLPT RDLSRENYDCQVSVKSIQPHEEHLVARASSPLKQPHKEGEADKPEDIASSSIVESVVK EAERIGHTLGVKQTGATIGNQSGARVTSGLEFPKMPWEEEDEVDE XP_803667.1 MRRLGVFCRGQRNSPLLTRGIATGGRVTNEDRRWWLVHLECAPD VTPGTFIAWLDCCGTHTCKKLIERNIWTIEQVAALDSDQVDELKYREGCLKMDVVWEH ARTIITPLRQREVTGGVESELQGRIMELRKKRELERRREEILKERANVSEQREETLRK LREAIAAKKAAMLQKKQAASEAYGGSSDGGARKEGAEE XP_803668.1 MAKWELKLVSLLEQHSLGHLAGKFMDSGITCEEFVALQHEQYGA YGVSSDEDTVNLYHAIKKLRGEPAVGSDSLQSEEESLLVPPILPSTSLPPPMEAATAT GAVGDSDDILDEKVKERQYTTRGTTLLMRTDDSREVKRRKSRIVVAVRKRPISQCEQQ RGFTDVMTTNDCDELVLAETRQKVDLTRYTHAHRFFFDEVFAETASNTDVYKRTAAAL IDTVFEGGYATCFAYGQTGSGKTHTMLGTGGEPGIYALAAKEMLARLDPTKQMFVSFY EIYSGKLYDLLNGRQPLRCLEDGKQNVNICGLTEHPQSNVKSIMRLIEEGTRIRSSGT TGANDTSSRSHAILEVKLRNRGDKELFGKFTFIDLAGSERGADTMDCDRQTRIEGAQI NKSLLALKECIRSLDLNHKHVPFRGSKLTEVLRDSFVGNCRTVMIGAVSPTSNSCEHT LNTLRYADRVKELKKSRSERKPLEENEQSEFIMEKKQTSPAARLSSHGRLSISPQGSL NLGCVSTHQNTSNKGNSVNTSSPSLPKRRSGTMRPSGMLCGRSTEQFISESTFKRSRE DDEGRQSQQQQQQQGKEEAGRKSIDLDLGNRYDKVVDEIVQLERECVNTHRLYLDKDM ALIKEEFSHIMSVEMPDSNIDTYVNNVLDILSVKLKAIHHFQGQMMRLRGLLDEEEKL CQRLEQNGI XP_803669.1 MCERYVALLDSLNFFAWCSVVFFCTSLPASSVSLTEGNKNKHRL RYS XP_803670.1 MLRISTPRLDGPMVTGGPVKGLQGRMRSLIKPSVAEHVLRDVAV VRQRFAELTDAGVEDPASTYKPSIPRPWVKAPLSRLSTSVSRRDWFRIMQFNIMADAW SNGGTTVERTTPVHGVLPWVPGFTRRGTDPCGFYPYDHSVDEEVPPFLQEDIRRAYIV NEICYYDPDIVCLQEVNRSFFNETFSKYIRYRGYGTLYQSSRGYKVRALRRGDDPTLL RHKGKIEEREDIGNVILFHKGRFVPILMPGKDLVQHLHFAHIVAMRDRVTNMTLNVAC AQFTAGDSAEASEIRLHEARQTMQILDALNRNDTDRSHMSNVICGDFNNVEDEEPCVQ FMRERLFSTYDVVGGPRWTTWFHEDAQSNARYQKYYSCNRAGYFATNAAKRAEQEVAK FTWLRSGGLNGRRQDVSGGDSAPPGTRSATISSLDKVVGANEPNTAARTLERGGTCGD VLVEQKQALKADGIIRRTQDFVLYDPKTLALHQVLDVPEDEHIDSQQLLPCSGHPSHH LHLVVDVSFTDVCPDVGEISIKD XP_803671.1 MAQCFGSDYVLGKAKPSDGERWNLLERVYADYEEMNAQQQEIAA RKRELDMEFYDGNIEKIEEALHFNENRRGSREGDWDTYEKVEHIVKKFVYCRAGRVFK ASEVQEVLEERIRLLGDIEASQKQRITALETTLSSVQSELAEVTRLLCMSEARAKALK AECVANTMKARQLQRVCDGLRGSGKKDESEVFRDMRLLIEANRQMEKDCQKLRVALNM GPVSAKKQKTVKAAQRVRARPVVAHSMSNSRNGSMVETLLTHTEKVGELKSEETLEAN IESKADVVTDASQKQTKTLPQLTALTGDAEKSVSAAQSAVRADDGAPASVENSLVVCG GNGLCEVSLEGKPGDETLKFMSQPNITGSFPDLDQSQRDVSVSRTMSSKAIYKLERIQ ERASTLAEEVPLSDALMRAFIKCEKLQELMEWEVGRSMAMLDYDANVSHKHVSFHSAC VGASKKFSQDASCGEERDMSMVFSGREVAGMPGESLNTSNSHLSPAAGASRTAVLQGS GVSPMSTLIGNQTSFFTTTQDATRTLTSLSGDLGHPLHSLMGQVLPNGLLTASQNSGY TEMSSAAARELAETGGGNVDFNDPGMPIQGMETSYKNGLLPHHAAVVLSTRKNLAVED VGGLSTRIRTAALSGSDVSGASEGGWNQVGSREGSECSQLVYSSEDGDSDSETHHLGR WVDSPSQLSDEAPFEGVGVENANTRAKKYSARGSDRSNISFAGSRRSAHRSAASRLTS AATKGRTRNSTVSLATEQRLSARTKRRAARGGVKVNSAFRALSKEVSTLRDACHNMIN EMNEMFDVMGKCVDALEKHSGTGQQVAATRTKQALSAVVKEVVKGVAEQEVLDRLGKQ GIVLERHPESPNLTDEQWMQNRLYDAIMKSTSEHVDRALVQKGERPSKSLCGSRRSSL SALDQKQEGQERQRERERQRWQKQEEQCQGQDRLWKQGREQWQREGRGGGGGQWWERE EHMHASEPENVQRRLADLGKRRTPRQSGTNQGDSHFFSYPFRGDNELEDGSYTLWPSD PTPPTGVDTGVWEEPLEAMRREMWRLAGHPCVDSGDGNTEQNPRPLHAHMSKGGGGGW RERFSSWTVAGDAMFCAPLAEEGDRKYQPPVPYKTGPGFLVPRLRLGCARLGATHGAV RSNSCEEILEYLRRLQPPEYSRDLACLTPRVFRYDFGSTVSDARRNVREGVSQVKHHL QSALFNQGFSSEILPYATAARRLQHGGALPTWMREAVVMLRREEKDRNQKMAKLIFQK VATNIRTRSILKRVCRGSAFAAYLVVMWEKWMGGWEKRCEALRHTQKESLGRVMDMVV NGFNVVPQQLRGPLETQESPWVDARRTLKGASSYHFRDARIPSKDK XP_803672.1 MRRGKKSVERVGQGTSWKNASERNGNVGDCAYPLYARDCPGRPN TRERAPSNTEGRCYPKRATPNACTCGCVGERGGGVGGLGAMSSLTVLASPLTWTLVNA YFTRVPSKRFGLPLGRQSHPFGFLQPSTS XP_803673.1 MPFLLEAFQAQSSLQPSLLGSHVAAYRSFCVTQPSFCLIKYESF STARHIQFSRTFCSGLVVDGNGHLYFSLRTAHLPSAHSRDRAVLATPKTIHTKLSFCG KSSPLCYTNTWQHPIRYA XP_803675.1 MLSTRNHFVKFRKLRCPVPGDIPIYQAGRLQYLEELKGFPAGEG GTHCERLTQLGLAGCGLQGSWAAGVCRVEKFGESRDVGSSYQF XP_803676.1 MTLGMAGIILCYPVAYWSFIMAYLVAVGSTIKRDQKVAAAAGCF MWTECAA XP_803677.1 MPTPRETVVAFLTQACCGTIVALHRMGGMEVMLYKEQLVVMLTR YFNSCWNSLLSGDDPYVVESFNMMKHDNPGCVMRYLFSVGTSVLPDEPPQEIARYSPE DTDDLEAARVTISETLQQLLAERIAVDPFQHSCEGLSLSAERTAWSEKGCPPQNFFEI S XP_803678.1 MFPFYSCDQGKGAHEVQSPPGDTISSIRFSPAGCPLLLVGATSW DKSCRVWQVDNSSRSAAISSKPLSLAESGAPILDMSFSEDGRVFFGGCDKSATMWNLT TGQKTVVASHDLPISCLSYVLSPTGGDMLITGSWDGKLRYWDMKQPRPVKEDLLGEPI FALDAQRSFPMAACVTGRKVHVFNMQFMSKVMELDPPKMMKFSLRCVACSPQHDGVAV GSSEGRVSFIPLRQESGCTFKAHVVEENNVFYMHQTNFCSIDSKTGRMITGGGDGRIA VWDYKKRCNVCYENDPKLPNRNNSISAGDISADCSLLAYARSYDWAMGKTRAITNEPH TIHIRSANPTQLKAR XP_803679.1 MSESSATKKHVYGYELYSKNVHQYPSITDVKTVKGAIAGNIHDY LNHLGAFEGNARRERGEVLAYSLLAGALSLACAIYFAKDVLLPYKLLTLSLIGVTGAA TLCAYVCDCRQKSDNIAFLATCSASTEAKDYLRTLKGHRVCIRLGEVPNSSALTMEAQ VVGPPCFFGAPEVHSSSSKVVPYGRYFTKSGYFFPPPLMNDVDNLLSSLKTSTSKKKR QS XP_803680.1 MVSATAVRRFGYVGAAANWLIPLAAIVNLPTRKPSEIDPLMTGV LGTYSAVFVRWSIAISPPNYPLFLCHATNCVVQAATLVRKAV XP_803681.1 MGICSTLAQAAMQRWRLAKRAATKYTLTFTRWNAQSHVTLATKC QGNSQKMSCTKFPGRPPPAMTNLFSGLTSDRCNITTHFMKQASSPVSLQTVT XP_803682.1 MTCVKELLQLPTDEVRACVFPLSDVEVTLVLCELGKLLQRWLYA TRYKLVSSFEVFLHLFVHVADREPKRIANAFLGSCKEGQHLSLLADVCETLFSPSRIS LLAEVDVELFLTFLKFLCDIPVLKDRLGNVLVKILLEFLSAAVERDGDYRQPRACASV LITLTRGSKANKDRMKVECDRLGETLEKTTDVYLRLQCVELLFRLHVHDGTILATSSL CGLLKRGVPKLPNDEHLLTNMLVLLESYDADREVPRILQFTTILVEVDSIQVCGPTKI YFSLSMLVIMMPGYTGDNITIPYEHIRSMKLTRERKLGLRLHVVPPQLSGIMSFEALK DTLTVSLTQATVDAFRASGIRDWVAARKNFAPLGSAREVRDTSTKLYALSVPETEKRG NTEGYNAGEGRAAKRDELGMPFLEIKEEGHPSGGGRGNGDMGMFHDLNEVATSKVTRM RQDCRRELQSVFHFAEEEIEKMRRTNASERDAFNTSLKEDLIMIRRVGAQVKAKTAEC VESLNQELTETQALGELLRDELGKLQDSLTSALHSSEEAEVGHLIELKTLVDAEMKSI KDKLMRLVSLAGPFRTLGNHTLQREPVAGAST XP_803683.1 MCLFYANDLFLRVSMGSCVRKVSRSAISFLHVLRRHVSYDFLVS RFFFLQITTMIMVATVDAVFSPTALTS XP_803684.1 MSDVKGALTRLERLHEACGGAGLSTVGALPADGGANMSVNEVGS YEKGQYHVACLMKRARESMTILAETGESMDIARRAEISNSIRRDMSAVKKECTALNRV AMKEGKRGDYMQLLSFVNKTEQFQRRLHNGPVLGEASGAIGDGSTHVGGTTPGVPEAN AVTADSENREVGSFISASEVEGFLQFFEETRKRDAEIDQVLERISAGVTRLQENALTL RSELCTQQRLLDDTEEKVDGIHAKLDSLNIKLRRTLEQVDKDRMSVYILCCLLLLGIY GAIYNMSR XP_803685.1 MKGRCFDPEAAGIQQGEYYQLVKFALKLWGANREGAFMLFLQTY PFPSISLLFCILSTACLPVFVRLGTNFTLLLILCDGGLLLRPPGASDEIREV XP_803687.1 MQRRVQLRISLTAASSFFFWQHRSYYDKVRREREKSDDTPCAMF DEFPKNPHASSVPNQFRTPNADAVKDAELLQRGFLLSLVVMLFALFYSGFLDPFNEGY RRPDGYGMPAVEKSV XP_803688.1 MAKDTAKKNMRGNAVRMQVFSLITLTVNVICFLVSFWRQSSFPG FGSLAALAFWAGQEYASLALLKHFARPVFNAEGELLDCPDASDPKELGYYSLAQDVLW VCWVVQVLCFLFHWGFMVLYLPVPVMGLYKLWGIIGPLLTPAQPAAGEGCGFAPGGLS RAERRKMELQQRKKRV XP_803690.1 MGGTSTKESLLYFMDVARGVSPPDEKDFSSHLGRCRKELCSGAE LYRTLLPIMQTLMPANAQASQVQWVVRFCLKQVEHASCNYSQGVSDIFLTTVRAVQFV VRHACETSRGDASRLLWTIGEDLGESVHGALRVSTTGDSDTVFMNRWLSTGIGKLCIA LEKFIVFVPLTVSTVEAHGEVVSLLLCMCSTALYHSTACDTNFTDPFTRLILASGDLH ALVLVLLQRLMDWGEGRLPKTPVLYRCAQYSSLWTLYNMFAGGTENESITCGNHLGRH CAQLLSVLVSYGKGYRPNEALHYIASLGDSSQIQVKALLVTFNRHVLRCPALCILLYT LLHDNPTFIHTVMTAYPDEFLGVIQGVLRLSYTASSEAWASGFNSSDDDIDGGQCAIP IVEDAITPEAVASIVRRMTAFSYPFISFMTGTLVLVCSQDQVINKRMSNTIAETTFKV GRLVGRCPVIAVCIVIIVHSIARALNDGNEALAAVFIPCLANFAPFVHDIDVYTSQRL VGLLLLTLRKVQRTAEHARSGAGDCLGTLEGTTPAGGCSSADTSIQMFLRQLLALTEA VGGLLQGDCRNNYSFIYELVYQRSHLEEGAKLLSTVSCHSSEAQTALQSLLSVAKFYD EEIANSTATDSYGGALAVIRQVSQNKVVPTGPSSRLSVRTGTYAATASADVEYCTSLE MGEIPFLYEESTCSYDFFGPFLWSTLLSDAAYPGGILWATDLSTLGIFPH XP_803691.1 MKKRRPIPNPTKISSYFFQQLVSPGATTPDDRKERAQSEQTKRA AASVLSESFTPEGVAAFLQSRSEIERQHSEALEDVLDACWKRVEDIIERNKERRRVIE EDIPQVVAPTGTFTFWTPEGVEQYYSLKTLPVFASDEEGVRSDSNGFGTDNSNAMKSD RNGPAAADHAVISPQGRSAEDKVDGNAAAEGDGRTDPVAEFSSQRACGRAPIVSGAHH TKGRKLTDKELLDESKVPHCLRRLFASLLRRRNEFPKSTTLLFDAIQRTQSERFPEIE AVIEDVPFSMPLHRPFRCIAAFVARSFAENPKGEAPEQAFAATFKTVSKDLKTAYEKL HELKGYVHPVGERTDRAEVIYKTLLGELQGRKSLHETYGIDIEAIMKASAVELSVMEG ALKECNETSRRLLATIEDQTAQFIADVHTSLDRREEAIEEIASFYKRDRDRLQSSLQR KIYRAKKSEELQEKCARRIREVTKELYIEQVNYEELLQEILAESLLLQQLDSSYTQLS SSLNNAASVHQESKISSIREALQRSEDVRVHLIEQCQFHINRLKKDEHYRLCRLATNA KDNALCWSRCLNDLSTIYETHFNSLNEKCRVSTQMRYLLSYEKDCVSRDLNQVQKEME QLDSKWHEISTLLEELGEPVPPLSLLEKDPSCGELRHALTALALTRLVHGESSHLVRP EQWRERTVVATGDGSATANDMS XP_803692.1 MAPVVWPCALNPSNHILVQELLLHASLGRHRHSLRDRKDFFHLA RHISCLKEPCKYLVSAPPTSRGPLWAPWRSTVPRQIVFNRILRQCTDTYTQSSHKEDK EDEEGRRVPVFYDDAIAHLNRIAEELGPHGYKVTLVGALRRGCPVASRAEFLLTYDDD DRIRKHRDNDTTVSHSVHFERAIQGLRASGYIKHHRTKRKIDIHTKQYITLASRSHSH PETLSTSREERQVLSITWSKPQQFHTRQLFLTGPNPFVVHLVLLAHAKGLGLDPCGLY KSQLGFRESVALECERDIFAALQLPYVEPIHRHAYCRVHNLF XP_803693.1 MSAQPHLRKLRKLKRANPSQEEEGVARVLFELEGSHKTLRAQLP RFHINTVRTSSSPRHKKTAMIILYPLRFIMLVRKIQRTLTAELEKRFPGNIVVLVAQR KITKRPNDVYKLQQVQRSRTSVAVFENILNDLIYPCDVVGRRWRYRTDGSKLMKVFLD ARDRKRVESRLPLLAHVYKLLTHRTVTFGFMWNPKLQQVSSR XP_803694.1 MTLLQDTNTENAFTTRSSRSGEGVGEPVVTQLTEVKYSMPPHLT RCIT XP_803695.1 MVVKRRGNGRLGKPPTDTGVSENSTGGTPPPESASQRGGAKQTT VASAIKQPASMPDGSLSQDRRKRRRRLSVPEEVAHAVVEGEEATGAVRDERLPENTRV VEPQLNDHDGKERYPLGVVQFPEEQRPWRSARRKAGVAEGGSDEVNAAQLPPTGNAVP QKPTRRLGRASAPPIPPVGTVEHDLQTTGGTCTSAPIAGDRASRTFQAAASPSEDIKQ RPHRIPLIIDKGRFSKQKVEAPPGTATVVPMDTGENQAGVCEANSAPPTSCSHRGAQR LGHGQPADGTSGAVDSIEEGPGRGIEEASVDARRRRFMQVPVSRISLYTRLAEGGLDA ADRPFVTCVVKHAQSSVSAWVSGFLSP XP_803696.1 MRDDRHITSPSPLSFQKGTYRLKVSSASGYKKIEVVNGDVAGHT NMCTTTHEGCISYDTEGKCQTQLDAASEYVVTVTTPVTEHKRGHGYNVAAIAQVFLAI IYQEMTAKGTTAQMTSPYYGELHGMVMVHNLHPREISDTIQGTHAC XP_803697.1 MSTSRITLSSQHDALIIVDMQNDFVLPDGALSVTGATEIIPIIN RVVGDHQFRAVVASMDWHPPGHMSFRNEDGTGGPWPPHCVRSTTGAQLHSEMKQGEIT HLIHKATSLDSESYSAFSDDSGKTTGLAAMLRAMDVRRVFMCGVASDYCVYFTSLHAI QEEFTVVVLEDAVRPVDPVAMEKKRAHLEKEGVIFARSTDLSSF XP_803698.1 MSAQPHLRKLRKLKRANPSQEEESVARVLFELEGSHKTLRAQLP RFHINTVRTSSSPRHKKTAMIILYPLRFIMLVRKIQRTLTAELEKRFPGNIVVLVAQR KITKRPNDVYKLQQVQRSRTSVAVFENILNDLIYPCDVVGRRWRYRTDGSKLMKVFLD ARDRKRVESRLPLLAHVYKLLTHRTVTFGFMWNPKLQQVSSR XP_803699.1 MTLLQDTNTENAFTTRSSRSGEGVGEPVVTQLTEVKYSMPPHLT RCIT XP_803700.1 MVVKRRGNGRLGKPPTDTGVSENSTGGTPPPESASQRGGAKQTT VASAIKQPASMPDGSLSQDRRKRRRRLSVPEEVAHAVVEGEEATGAVRDERLPENTQV VEPQLNDHDGKERYPLGVVQFPEEQRPWRSARRKAGVAEGGSDEVNAAQLPPTGNAVP QKPTRRLGRASAPPIPPVSTVEHDLQTTGGTCTSAPIAGDRASRTFQAAASPSEDIKQ RPHRIPLIIDKGRFSKQKVEAPPGTATVVPMDTGENQTGVCEANSAPPTSCSHRGAQR LGHGQPADGTSGAVDSIEEGPGRGIEEASVDARRRRFMQVPVSRISLYTRLAEGGLDA ADRPFVTCVVKHAQSSVSAWVSGFLSP XP_803703.1 MRDDRHITSPSPLSFQKGTYRLKVSSASGYKKIEVVNGDVAGHT NMCTTTHEGCISYDTEGKCQTQLDAASEYVVTVTTPVTEHKRGHGYNVAAIAQVFLAI IYQEMTAKGTTAQMTSPYYGELHGMVMVHNLHPREISDTIQGTHAC XP_803704.1 MSTSRITLSSQHDALIIVDMQNDFVLPDGALSVTGATEIIPIIN RVVGDHQFRAVVASMDWHPPGHMSFRNEDGTGGPWPPHCVRSTTGAQLHSEMKQGEIT HLIHKATSLDSESYSAFSDDSGKTTGLAAMLRAMDVRRVFMCGVASDYCVYFTSLHAI QEEFTVVVLEDAVRPVDPVAMEKKRAHLEKEGVIFARSTDLSSF XP_803705.1 MSVTGFGDVVVSFDAEGVVVVGLTYERSNATQWLLLPEIPVEVP LVKVLRDLEESGASRQRSPGRMRGEGVKGDVCLPDSEVGSPCNACGVNNIRSDCCISV ARVGHIPERNRAYCLLLELSSSSDAADVQRDLLHVEWANGPLCAPQFLQSVGRIMRVE HEGRDAACVSNCAGWRTQVSTDNAEDVCLRVLCSVSCGVDGASSRRQLESEKEISSVA LRRDAPLAPIEEFCPICREEIASGRTCVVTMCTHVFHLVCLMKHLEDVSSYCPLCRFS MSSLETKCNACGTCQDLWSCLVCGWVGCGKGRHGHSIRHFHSTGHSCAVQNSTSRIWN YRASTFLHHQLAMELGYEDDARAERAAAQEEGRSALSVRHSGEKPRVSASSYWRSRWW WDEKEEEAAQELNGDYVREYYLRVMEELMQEQADYFEGRTMVEGENAAVPIGTWKKLQ SAERRQRRSLASRYIAGLRRIALHSQMAVNNFVKQEVLWRDSLREELLLQSHTNQGLN ARISSLGANIDKARQRGEQQVALKEEELRDLQGRLESLMRDLE XP_803707.1 MALTDNTNKLILPSSQNANLSITRATFTPISTQSISHAATTTSV TP XP_803708.1 MASEAALSLPADDEKVQADAATNHGTTTPPSSVETAPSTATGAV APTGSSERESNVVMPAVAVVPALEASECQVQTEPGGNDPITSGELSLVNDHTAPQKLN ADVKLFSGDNRPSKSLAAPFRGPSNRPQGIPPGGGTPLWPPNAPLGQTVMSLPHPPMP PHQLYQPPLMIPTLVPNMHPLMAPFISGMAHAPQPPPPPPPPPGFGPPPGSFGPLPFP AALNGPLGAPPPPLMMPNPPDLAVFQPRFPGDKMAASQSSQGPALSKQKSHVLSASSP SAKIIVGNASLAAHVSNDPPKFSCVLLSGISAVGKTTMGRELVNELQADGLGWVFFSG ADFISAPTTRRAVWETTKEVFDALEKQLERLLDQQKEKRDLKGLVIDKNVKNVEDIFY LAALLRSKQIPFVGIVGMEADDDEVLLQRMGGERELHEKLKYHRVIHYRIRNLARKAA MYREIDANKPRQEVLNALRTKVLGCCAQPPQNGILSDLYVKSASISMVDDYAEYYTVV TQLFDLIKPLNGSAHFPGTTNYVPLSTRDMADKNRVSAIKESYGARRFNKGTRYLLMY DKGKLYLISTHLRAVLRLSPKALLGDKMASVTCAVFEGDLVRIREDPQTEIFLVFDVL FWNNAADPEGNEVTQMSMEQRHALLSAHLCSESSAFSPTGTDCVVAYRATVKLEEIPK LLEPCGFPGEGIIFQPTSSVHNLNKVYLWRPASSISVDFRIGALRGTREESAVSESGE LCADAGSPTLNDSANPGATAGQSQSQLSNSSNPAGQSAVGSGGSSHVRCNEGGPTRTF ELEVYDKQEKEYTQFEDCTVDVRHPDVVEGCICTCALADEKGRKWSFQNICYDAVRPA YKRDVSSLLEHSIIPKSKLLQWLASEKITPPLPSGTVPQAATSSAPQQQFWEAVSNSI RQPPPHAPPAYASAVLMQHAMPRPNPSGAHGGGTNLAALAEVVAPTEISKGHQPVLTS TSSAGVGSPPRGLSIGQPPSYKMHGLLQQGLSTSPGTKKVVGVAPDNTVAAVGGSSAA GGSATNTYVVQLLTSMVRSVRTVRKDGVGDECQSTAGATRQEQHPSCVDRSDGDQHRR GHTRFDDVKDRRERGHGKMRRATSGTPGRCMGCGKDKPAEELRFRPKDNLYCYDCWAA KGWETCRECGEFSRGYHERTRECVGEFYCNNCWEKFKEGDNQKKEGRKEDTENQQGER RRRRPKRQIQTVEVKDEGESKTASDFTAEAAGRDGAAKRRARRGQKNDTKDRAAVDIH VGCEEVSEGRTAANDTNAAEQAQEDGTKSNKRHGVESRSNKRHRRKEAVRGE XP_803712.1 MKCVRRKLKKKKKADVIVPLARLLILFFFLFFLSFLFFFLLRSY LPHKQKHKHTLSPSASRIDSTPSFRPFLFIFIFFHPSVEEIWNGNIARYSSM XP_803714.1 MKGCRGKGGGKVFPLLPTSFPQSSSLSSFPTCTIFPVIHYHFFF FFFFFNGYLFFVHSQEKNHFLVFFSDVVAVVIVIVIVIVVVLFSRQSLRRIITPLFFL FFFVSFFLFLQPKLLKECVCVCVWKEERRRGGERNK XP_803716.1 MKPPPPKNNDKNNNKDNNNNNNNISNSIQKMKSKQKIIRIINEA SRGGKSYRAVKYGIRCTFHAGAHMYLYVCIFFLILFFLFVFHYLFFLFLFLSFFCFFF LFLCLYLRYFFFSLLLF XP_803717.1 MSLYCNCGRKILKKKERKRRRKKKERKRRGLCDAGSLKATEGTR ALRLRGVATHITLFEPKRKNKIKDKIKEKKRKKKVYRFTYFCFFFLFFYFGLVVIDMF RFTLLLFPRTSFLFCFFVFFFHSLHSLPSLPSSFGFISVFVFFSPFPTHAVVISPLFF FIYFIFFLCICATSAVFVRTYRFQLIFCPLLFFSFSFFLFCYTVVSFFFLFFVYFAVL FCVLCFVFYIFMFLCCEAYDSRGRPNAKKKKN XP_803718.1 MPLLLRDPFLISFPFFTASDTSYTIKYGEKNQQEARMKETTTTK KNKIK XP_803719.1 MFFSSLLFIVYLLYYYYYYVETIGGGGGMRRLLFCHPFFLFIFF FLLVCFYFLIPPSLRHVRMYSHFSFSFFFFVSFYLTHPPPTVHFFFPFHLVLCSPVLF FSFLIHFNFIILLHLPTFFFLFFSLVMFPSFYHCAAIAFSFFLFFFPHRFSFCFFSF XP_803720.1 MIVVIMFFFDIDILHSGVLTERFPNLLFPLFTITYISHTRAFHS TSPFPPLRKK XP_803721.1 MKTPLNASGAFNFILACSAAVCVFTKKKKMKENERKKNGFSRSS GFI XP_803722.1 MCVRVGGVFLFLSGNGIFENKNKNKRTNKQTQMSALVHADLAAV RKGIQT XP_803723.1 MGMYVTKLLSLWSHWFEVDDEVIIARQKFGPLAHICGPAEGENC SPVYRSIGVTDEEQARITREWYYGEHFLKQLEQTCTSRRDTLAVAYRTINKVEKEWRE DHNGKRREWQVTHLNDPVYMTYGELWENSVAFGKGLREYGAKEGDRVAIYEDTRWEWL ASAIGVWTQLMVTVTVYANLCREGLMHALKETECAAIICNGQNIKDLITLLKEVNLTN TTLVYLDSLPDGLNDEGMRLIPWKQVLETGMKSNLGYTIPGNCDTTALIMYTSGTVAA PKGVMHTFGSLTASKNGLADRFLECIGPKEEGETYVSYLPLAHILEFIADVVMLSRGT LVCFGSPRTLTDDTARPRGDLKEFKPVFIVGVPRIFETIRKVVESRLPPVGSFKRTLF DTAYADRLRALKEGKDTPFWNEKVFKVPRDMFGGRLRGVVCGGAPLADRTQEFMRVVF GLPLGQGYGLTETCCNGSIQRLGELYPSVGQLLKGVEGRLLDTDEYKHTDKPFPRGEL CLRGSFMFKGYYKQEAMSKEVLIPGGWFRTGDVVEIGEDNALRVIGRVKALVKNLLGE YIQLEYLEVIYSQHPLSAPNGVCILVNQRRAYICALVITDEERAKKFAQENGIGGTWP EILKDPTFHQRAAKSLSNFGASIGRKPFELLRQVRVIADEWTAKNGMLTVSMKIRRNK VEERYGDVIEQLFKYE XP_803724.1 MNTASALNEIEVAVLQLMDEANSRESAPNEDIVQLRSKGSQNEA IPQTETENMSAIYRRAGVSGEEHTRLCREWYYGVNMPQKFMAICKKGGSRRAFAYRVL SNISKEVLRDQNGLERSYDITYFKETCYINYRVLWENIESFGRGLVELGISPNSRVAI YEETRWEWLATIYGIWSQNMVATTVYANLGEDALAYALRETGSRAIICNATNVPTLVR LVQSNRIPPLVIIYIGQLPPDTKSTHCRIISWLHVVDNGRLSDEPLRIPTDNDQVAFI MYTSGTTGDPKGVIHTHGSLISGVTACADYVNELIGPYEEGESYCAYLPLAHIFEFGV VNIFLARGSFVGFGNPRTLLDTYTRPHGDYREYKPVFTIGVPRVYDTIKKTVESQLAP RGTLQRRIFEHAFQTRLRALKKGEETPYWNRLIFSPFRSMLGGKMKTMLSAGGPLSAP TQTFLHVVFGIMPQGWGLTETVCVGTKQVAGDMEPAAAGKQERTCEMRLLDVEGYKHT DEPDPRGEILLRGPFLFKGYYKQEELTKEVLDEDGWFHTGDVGSIGPNGTLRIIGRVK ALAKNVLGEYVAMEALESMYAHNSLSMPNGVCVLVHPDRPYICALVLTDEAKVVAFTR EHGLKGKYPEVLQDPEFQKKATASFQETARASDRQKFEIVRHVRLLSDEWTPENGVLT AAGKLKRRVIDEKYTDTIVSLFVEEC XP_803725.1 MGGCVISVMDYMNNRSEVENEHVKKFRALGKVAVPVPGSETSDC SPIYRLVTDDGKDIEEVRREWYEGECLPQRFAALCKRQPKQRALAYRPVDRVEKAVIK DLHTGTEKTVNVTHFKETKYLDYGTFWDYIESFGRGLVELGISPNSRVAIYEETRWEW LATIYGIWSQNMVATTVYANLGEDALAYALRETGCKGIICNAKNVSVVIKFMSEGITP SAPIIYNGSLPASVDQEACHLVSWEEVVKLGREARDRLPLNNSGRADDLALIMYTSGT TGDPKGVIHTHGSLMSGVHALDHRLNEVMGCHVKGETYCAYLPLAHILELGVVNIFIA RGALICFGSPFTLTDLTARPRGDLAEYNPSLLIGVPRIYDTLKKAIQAKLPAPGTFKR RAFDHAFQSRLRAFKDGKDSPYWDAKVFAATRAVLGKGIRIALSGGGPLSTATQDFVN VVLARTIQGWGLTETVCVGGVQFTGDIETGAVGPPLLSEEVKLLDVEGYKHTDEPDPR GEILLRGPFLFKGYYKQEELTKEVLDEDGWFHTGDVGSIDPNGTLRIIGRVKALAKNV LGEYVAMEALESMYAHNSLSMPNGVCVLVHPDRPYICALVLTDEAKVVAFTREHGLKG KYPEVLQDPEFQKKATASFQETARASDRQKFEIVRHVRLLSDEWTPENGALTAVGKLK RRVIDERYTDIIAPLFVEEC XP_803726.1 MGGCVISVMDYMNNRSEVENEHVKKFRALGKVAVPVPGSETSDC SPIYRLVTDDGKDIEEVRREWYEGECLPQRFAALCKRQPKQRALAYRPVDRVEKAVIK DLHTGTEKMMNVTHFKETKYLDYGTFWDYIESFGRGLVELGISPSSRVAIYEETRWEW LATIYGIWSQNMVATTVYANLGEDALAYALRETGCKGIICNAKNVSVVIKFMSEGITP SAPIIYNGSLPASVDQEACHLVSWEEVVKLGREARDRLPLNNSGRADDLALIMYTSGT TGDPKGVIHTHGSLMSGVHALDHRLNAVMGPLRDGETYLSYLPLAHILELGVLSVFIA RGALICFGSPFTLTDLTARPRGDLAEYNPSLLIGVPRIYDTLKKAIQAKLPAPGTFKR RAFDHAFQSRLRAFKDGKDSPYWDAKVFAATRAVLGKNMYMVLSGGGPLSTATQDFLN VAVVRIIQGWGLTETVCVGGVQLTGDIETGAVGPPLLSEEVKLLDVEGYKHTDEPDPR GEILLRGPFLFKGYYKQEELTKEAIDEDGWFHTGDVGSIGPNGTLRIIGRVKALAKNV LGEYVAMETLESMYAHNSLSMPNGVCVLVHPDRPYICALVLTDEAKVVAFTREHGLKG KYPEVLQDPEFQKKATASFQETARASDRQKFEIVRHVRLLSDEWTPENGVLTAAGKLK RRVIDEKYTDTIVSLFVEEC XP_803727.1 MQKKPFVCQYIENNSISHSPTSNKCTGSPLKGNDPEQNIENTCF NVPRQQGGVIKVKQKMRICGDATISSTEYKVTTVNKRFSPIPLPKL XP_803728.1 MLSTVCGSGAATMNNGRQKKVDHSRGLDFYLPPPDDREYRRGRI QRLRDQITAWKDPSRPFSVSGPNSMLGTKEFKWLSTQRSCSSGRTANSCGAAAGGATG SKCGKAAPQQPDNVYFHDHIVFCVPSGKGQTESRGGADGMCYGVTTNKESMAECEQGL QQTHVGQRSVSTRSIGANSVGISRADGDKQGTMRSDYRSCATSPTPVATLQSIKAQSV DLASSVHEARMQCRQGDFPTPHSSQQQLFMRTMELPIDWGEYTRNSKGTTCASRGDQS VPKFDTIHSCGPDVSSVCFVNTFTGSNASSLGLEGRATGIKGESTLRSAATLHNNARR PLRLYSIQLKDFHPSRTSTITPGADAPRNGIRVKLKS XP_803729.1 MYIHFPFLSLMRFELPVVVIKHVPRVTWIYLDGSLYNPTDGRAL HLSLTTVEFL XP_803730.1 MIRTYESTNISVACQLANPVVVLCFFPHSLQLSFLLFLPHFYFS HVNANLLSTYSI XP_803731.1 MAHCCFLIYPLAKVADESFLQLLFDDSVKRSAFLGYPPRRFAIV ELEESQNEAVLRAIGARDDDGRVEAREDNADTPFVDLFTRTGAKKGTDRNEPIGLRMG CIPHLSQVRFRRTYLRVIVSPVTIDELFGCVQKDSNHVKKEKRSLKRSRHTDEDAGPW VPRWPVANGDPTLAGRVEVVSMTGASRAGLSGDPEDERYREPYAECSGGPVCNMSPPK TFLSDDSAGDAAGRRALDVSVQNLIRELGFDK XP_803732.1 MPAKRRPVNIDNDEVIDFSTVGSSNMMKTPVPTTLLKSVVDLSS SEPPSSEAVTTASAMDMRLNAYTHRHAPRRRIGFCCNIAPQPIAVSGASALPKSTTAP TAASPSSAVSHGSNTTSMSADSKEQLDAFWREEAARREEKSKQVSRQQYEQQLCDLFR GAAPLVTPDPKPSGNEDGSRDMVEHRAYSLLEQFFTDGVPDVEPWDHWALTMPRYSRS NIAVGISLDRIYHPTLPPTHYSQLYLHAPNSEETVFRLPKTRDELRAERQERMRKAKE ERDRAKKAAKQNEQLTVVESTGGGPAKVSITVSAKDRLSNRNLRYNLFGDSVLNPLGT DNKVFSQYQERFLEHQRRNHKRHVEAIPHQIEKRKQDIKRHSEERPVFRAYHIYPIYS SAHLGKLRNFANDGLLRGFVLWICRCHAIIVLTGGEVAVRHLERWILEKMKWESSETK AVRLMTCPLPDPATFSFVRQKSRKRDRQQQGDDGSRGREENQLEGDRHGTDGERAHVY MNFVESVQEGESFMCNMPAEGPWRDLTHVWRAAVGAVVAVGGGNNTESQSTV XP_803733.1 MLPCPSFSTTTPRFDMDTYLGRTFYFFSTINPLLCFETSNSLKR HQELLNRVAAGEEGVASDRQLWKARTAIEICVHPTTKEVIFPPYRMCAFLPVNSFIVP FMMSPTTIASPALTIFIQWFNQSYNCAVNYANRSSDKQPMSELSKAYVAAVGVSCAGA LGATAMLKKVKGGTLKATAVRAGLPFVAVSAAAIVNLSLMRKNEWIPSGTGLQVVDED GEVRGSSRVAGMQSLMMCSVTRVTWNLISMVLPLLMMRPLLARCAAVRARPVVYETAL QIASLGVGVPLALGAFSTTVSVPANRLEPELRGLKRKDGSPVEIFTYYKGL XP_803735.1 MCVYVCVRVREGTLLACHCGIIILFCAGNAPNALEDDSVKAEWG ENLKK XP_803736.1 MKIITIKIKHINTQAYIYIYIERGSEIQRQVPQGERVVWKLHIF NSPTTENKSHLFVKCRLHFLLPINCFYFAYIVLYDPTKWSSTSFKCILCASDYACTCV AESVHLFFAMVPYIFILFFS XP_803737.1 MYLLSFFLFFFFKEKKFPFPFVFVTFFFFPDTLSSFFFFIFLLL WQFCFFFFCEGKGEILRVRRETQGAMKMCAAAF XP_803739.1 MTESEKARTVTGRGLVDLPQRFSQVDITYAASTSNQTSARTAEP TAPILTDIEITEKASLVNDVKGAYASDGYPICCSGSKTGGALYGAFVELRNPSLHGVT LPPAETIVVASTPSDPVKHFTSGGEGGSHSTNGSDADGVTAASNIVDPRAAILRIVWK RGSHLLNPHAAEHLDLPMKETPTKTEMSTSSVVLPKLPCYGIERHLRRFPDGVHSVDT SPRLNELLLDIPPGSVGDTVKTAPQEHEKKAVDDFPDGATRGAKKRTNSNGSRKSRRN NRSKGNNADMDGDDTSPEDGGGRKGAPLREKEPMGNAPVQLTLITACSFNHLTLYAVR HQAGNVLAFVPLQTIIASVTTRKITSHCVFLLSVDTYESYDSPGMCTSGASPVLHGAE KKEPKRGTVKQKQGLGGQALKGEGDSTPPCEGGKEFLFEPVQVGGESSGGGGVMRVAT VFLAVEPYLLLGNQSGDIMLFSVFKGKVVQRLNPSGLPRSDSFFRGSQEDSTGSKQLV GATVSCIVEISCGAEKRLTRLISNAIASRVRGRDRFTAPSVGAAGTPPSVFAVGFDDG QVLLVDVTAEGACLSKRVSSFSGNPIHGIAMRAPHYFTRVWTSQQYMKGYEKQRSPIC TVFTHADTLTFNEDGAIAAVSSNGGVLRLLRAPEMDEEVCRLATLHCDTAGDFLSLQW MSSCVGTALLPDLLIATSEDDSIGVYQFVQSRNCTTSLVRQDGFTPERPNFNASMDTI HDNITLISRRFFHHSWVVNLTTMQRPNGSLLIATSYDGRSSFWPISYGGTTATNTPGG SLPRGGGSEVSLQKCLSDYLIRDMGAKLHSFGHVFPSHPAASFVLHKGDISRCIVGGA GNSYFIVSLCLQGFVKVWEVKWSGG XP_803740.1 MQLQRLGAPLLKRLVGGCIRQSTAPIMPCVVVSGSGGFLTPVRT YMPLPNDQSDFSPYIEIDLPSESRIQSLHKSGLAAQEWVACEKVHGTNFGIYLINQGD HEVVRFAKRSGIMDPNENFFGYHILIDEFTAQIRILNDLLKQKYGLSRVGRLVLNGEL FGAKYKHPLVPKSEKWCTLPNGKKFPIAGVQIQREPFPQYSPELHFFAFDIKYSVSGA EEDFVLLGYDEFVEFSSKVPNLLYARALVRGTLDECLAFDVENFMTPLPALLGLGNYP LEGNLAEGVVIRHVRRGDPAVEKHNVSTIIKLRCSSFMELKHPGKQKELKETFIDTVR SGALRRVRGNVTVISDSMLPQVEAAANDLLLNNVSDGRLSNVLSKIGREPLLSGEVSQ VDVALMLAKDALKDFLKEVDSLVLNTTLAFRKLLITNVYFESKRLVEQKWKELMQEEA AAQSEAIPPLSPAAPTKGE XP_803741.1 MLVATASVTSHGCATDLSTKTRSASVTMEGESVRSDERHHVNVR GDESEGVSVSLLSTRHTVHALNKQSSSAWVTTKLVEQCVTGYRDALLVIREYGHRVEG EGLHLRLQLGEAHRELQSKGGLGASVTHIVEKQLSEHLMQNGNANISPRSESLRHRQI LRDMKHLAHGLLIPLDIFRHQHNWLLVQEDVQLSLADRLRHYRVVVRQAPPPALVERL WFDLWALLGAAWRQRGRLLGVYAEGVLPVNVDGGTAVVPPSVLSPPSHREAYREGQRE YRSKRMEGSPASGVPADADDCYAFGPITPHRVGIMPSRHYTIRWPLMSILRSLRVRVA GKKPGSESGIRIAMSAGSLGEPKDDHQEESDKCAQTSRGSDFFSPRVSCGSVGGSSQE YPAAFFDLSSEDIETCRVPYLSPECFTQRFRSAGCHSSEPVEVSVSHRFSDDVWNIAV LTIEFMLTNFPLEQSCCHHASYQGFRTSFIFDDIIELLVVYHNIPLRAAQNFVYAALH ELSLLVSGVEQVGVDSGEGSVLTPRCLAQQWKDYLSETCSNSTVLREVVTNGLLWLRR ERWEVFQTVHSLDDYKEGECGNGSNGCIGGDDNEKNTSSRYGDGAAKRVLDTLVSPYL PLNPALCSAVLREGGEASQGSLSITGSIQQWCEKEDAFWHTQFDDLQSTVQKWRKCIE RYTVLGGMSGKGNEARQTVLFKRLVRVVRSVLQLQLEKVKAGDQTKTILLSSDRESRR MQRGEVLSHAVQQELLRGLTERGALFMHILDLVPNQFVPTNSTVAGDALTRVVPFCGV FMSISHTLSQLEKDMAQLTELSPASTAPFRALDTILGVEYNAARVTHLSACQLNEEAD EGKLSMLENVRSVIRQLDLDLKMQVKLVKDMRCLMCTSVPVETRASLVRQYLLRTQAA HGTVEVPIPATLRGEIWAVLLLVSPEPEERASRYFALDTARPTPCNRQLSVDIPRCHQ YHPLLASSDGHERMWRIIKAWLLLNPELSYWQGLDSVCAVLLAASFHDEPLVLAQLQE LTHNYIPHDLASRETDLPQSMAGKFQLFAVLLRYCDPQLATHLLDTVECGPELFAVGW FLALFAHGLPMAKVFLLWDFLFVYAAVFPHCLAVLCLAVLLQHREQLMSHDFSVCVGA LSRARDIEVRIVLYNASLLLRSTPPLVGGPCAPTGQPHSSSNSVPRMRVRTLLQAFRR VPFDETPYGEEWTRNGLFLVDLRETRGGTASLELEGEEQMWSTQRETEERVVGALLFP LVSCQQDGAEIDVMQQELQGRLAVQLASELLSQTRNIALAAVPPVPSSGSRPSAGMRQ CEENPAAGTQPEVIAKHSECPHIVLFTHSSNLCEVATSELLARELMRCGAPHVSILLG GFVQLKREAADLIVEMVPT XP_803742.1 MLPLLHQMTGSPTPIPALSPPSVGAPYPYFRSYLFSLSKRLRLR XP_803743.1 MKITCTDESGNVYSAELDPTAMVEDLSVLVEVEIGIPVEEQLLM APTGAILRLDETFGAQGITTDCCVTVRRQVLGGGLKRPAEERPDRIPEVQASEERSHI LELYATELMSDQDQLQQLVSPEFDETDPAIQSRIYEEISKRNVEENLANALEFAPEAF TRVSMLHVTVEINKVKVKALVDCGAQTSVVSAATAERCGINWLVDKRAVGTVHGVGEQ RSLGRIHLTQANLGGLFIPISLVVLESETFDLIIGLDQMKKHRMIIDLKDDCLRVGGT AIPFLSDSEVSEEPHRGTPFVLGGGDGNEDNNAADVPTAGANQGTAAKRSTAPSGPNI SSAEKEQAIETFVSLTHLERQQAIALLEAVNWNPHAAMSLLMEE XP_803744.1 MESDAAVAKHKIFAHPSPKLIHFLPSTFLDGTFPVRQGNINNDI IAYKNTDNTLQQMSKQEKLW XP_803746.1 MSKSSRKITCLLPSASNQSGDKRGELQLKKQGPGEQTANEAITV PDLKLDETVRIREMFTEYINGEYVTTLFDLRVLLSELGVYPSDDEMTLVMTAFENKVS FTNLCRYMRFYKKEFLESNKQRHRSNAAQDECEDTLRAFVSLGGNEDGSGSVLVEDLR QVCRNFGLTIDINEALNGLNESESPLTLRYMEFCNLWKHQSRERGGESGTIRSLSLTD TLYTSGGLDGEASNYIHLLNLLKPHVSNISYEQSTPAICTPLLARGDESDARHSLPKS DEKHLQELKRFLLPSLVGSAEDPDANSYGAQRDDGYQLPSLVQHMTPRADAEERQRPL RQRRTKEAAARRSAGNDDKSHPPTQGGFRAPSPMILSLRNLAAYRQRLQAFAKKKEKS RNRERGKGGHGVSSYYDDDGARI XP_803748.1 MRQDTLLLASVKYIMTYVRKQIGCLCDGKLLQPSSTPHQRRTSS TCIVDVPRSSNFRSALHRVISNSASLLLNTWVTHHTLVMVLWKSIPRRRGTETHTHTH IYIYIYIYTPFF XP_803749.1 MSLRSTIQTHFTVPTRHHSTRQRSFSGMFSAPASTTAGAPTPIR RFPLNNTQAEPSLGSPCELDFQKGALTGDCVCADRQLEWLRRNLVKSEKRDFHGMSKV KMPEGNPSWPRYHFPLRSCSYIYDPPNESKLAFITPENYCKLCCEPVELPIRHCAWWD HVTRMAGLRLTAIYPRRWDPSALMQELWAGKFPVRFMNTERPHPYLRPSHDGPSWSDL RQCYVFEREAVVRRGELRAILHILSTDVSGGRRVLRESLVLSPDGSYAANVGERTFRA FISRHITPLLPPMGPEPTTRLQQRCWGRKNLEAMFDLLGVEALQRLSGVEEVAVTKNE KATVMRQIVYELTTVLSECDARELSASNEHANEGAHSVKIAKDETHMQVRLLVELALQ RLSHELIHLHMMLLIERVWNAYANLGYPGEEAFEESTFC XP_803750.1 MNDQDRFHSPLWCVNYPIELCYSSSCLTHPLSSNYCCCFQCTYL SLKLCGMNEDTVVSWRNSFCTMENARNLEQTIPPVSVPCQAEDSAHPSLQQGSLFPSR FSRCVSPGSMPLQPDAVVDDQAEPTSPPISHNQNDATSNPNAPDASVAPRPVEACAIS TLVNDDSSTFHRSLDAPEVVKSPALTVRRRKIVAGSNSFRGSTQLAQNSVSDFSLSRQ HVDDFSLTDAESKHTNGMSFSSHVAAIPMHAVASLLFTTQRRSLMGHYFHTWWRCALL ARQIGANFRSPESSREAASTDLRKAVSVAQANQLLGRTEIPHLNRPALMSCDSSVNAS PFTSPVKRGISTGKCVPSCCAPSSRASSAHRSPGMLLVPFGPTRGQSPAVRGLQRLSI GNLQCSRDFCTGMTRETRSDSGSSGTDQRPPAISVDMLHVYTSILTEEEWGARTTVMR EEASERYKIQTLTSEVLDRDFKEALCRRRAVMLRGCPQSDGLRRAKGGGKREACVDRH HLPGRPEGSSERQGCAPLPNSAAAICVLPEAANAPKSESKDDPLNGLMACTSPPSSHG CNPLTAGPVGNTAGVSHGILPNSCASLASSGAHAENSISICISNCSENTVESGRPHNP SEAPKGDTTEPIREPLGSSKPQKDDTTAADCTEAKKLLDDSLELDLQRYLQLDLVDRE REDRLRIVKKYRNGLQQLLNIFFGKKHCRTRPNDTSNQN XP_803751.1 MLDIPISALNFPHLPFPFEDVVKQTCLKNRFIEGMVSWGIERVK AAKRNKKSERRKYK XP_803752.1 MQVPVFTQTSRPSHIHIELRVLVRSSTRVILADESNIVHILCIY ACTYALIFTCFSFLFLALLNPLTRFLFLLLLSPFFPVTHILSHKVVPNLLFFKKKVQY PPQRSSLSGATSKPSEDCLGRPTPCFLFF XP_803753.1 MLCLAQWALLLVLCLVGCCCTVSGGSEVLAVDIGADWAKGATRV IGGSTAPRASIVLNDQTNRKSPQCIAFRIVPNAGNDTLRSVERLFAEEARSLEPRFPQ QSICGPSLLAGLIVSKEISAGQKHHEQTGNQRSEREGVISFSDTDRFTYVVVPQIRRK SAVVRITPGGSSEGTTTAPIEFTVEELIGMILGHMKRSAERSLDGAPVRHLVLVVPTS SSLAYRQAMVDAAAVVGLRTIRLVHGSAAAATQLAHLNTETLFRGHPSNTTERKYAMI YDMGSSKTEVAVFRFTPATARDDFGTVTLVASATNHTLGGRSFDRCLARYVERNLFPA AKPTPVTPVLDRKPVTATTRRAVVSLLRAVNAARERLSVNQNVPFVVPGVREDGGDFI ANISRAQFEEACGELFNEAVRLRDHAITQTNGTVRSLNELVRLELIGGATRMPKLQER LSEGYGKPADRTLNSDEAVVSGAALMIHDTLSRIRVMESLTNDIYFTASPPIKESNET KPHRNLLFAKRNTTVPAARSLIFPNRTADFTLTLHDGNGRYSRSVLVSGVSGSMNAAR EKEKEMSTERANKVTKTSVVLRQVEVVVEVVLSRSGLPYVAGSYVHARYAEQVTVLPS VKKTGDNETTAQKDENNNPSQNETDTTSTISPGREKRSGGSPSAANSNSAKMQNSRAD EAKENETPTGDEILEVNERDAGTGGKNNNAKVRHFALRFPLNNTPAPSSTSQGGVNMN KEEALAARNRLRALQRLDDERLRRSGLLNDVESLLLHYKSLDAWSAQQSDDNSNDWRS VVKDVSRWFEEVGGDVNVTELQKQYQRLKDLKLGE XP_803754.1 MFPNLFFGHLLFVPASSHLFPVICKHTHTQKKSEKREGFNNDSL AVMKSKDAKKKHCNWRWAAWVEYRVFLKYVKQ XP_803756.1 MLRPSFCRCLPVLNCTLSAPQCAAAIDRCTPVVYSSLPNGCRVA TEYLPNCQFATVGVWIDAGSRFEDINNNGVAHFLEHMNFKGTAKYSKRAVEDLFEHRG AHFNAYTSRDRTAYYVKAFKYDVEKMIDVVSDLLQNGRYDPSDVELERPTILAEMREV EELVDEVLMDNLHQAAYDPAHCGLPLTILGPVENISSRINRDMIQEFVRVHYTGPRMS FISSGGIHPEEAHRLAEKFFGNLPAANNSPLLQSQYRGGYTVMWNEQMATANTAFAYP ICGAIHDDSYALQLVHNVIGQVREGQHDQFAHQRLNPRLPWEKLSNLVQLRPFYTPYK ETSLLGYQLVTMRTAVADANGGVQRDESQTVLLDHMLKLFNELSTKAVDAALLEEAKS EYKSSVMMMRDSTTNSAEDLGRQMIHLGRRVPLREVFERVDAVTPAVFRDTLAKYVQA VQPTVSYIGSASAVPRFDALTQVKHIL XP_803758.1 MKRDELFAALQEIPWVPCPESEGRPCYRAAEAFHCTFCAYTVCA RCYDAIPSSLLSLATTTTSGGTGGEGGAATNSEKSLADRTPTQAFTPNSRGSDTPNSA DDFMKPRCFLCRSGVLEKESVPVFEWTCEGPRPPRRLTRGQGASYDVDVVQRYYTMKE QREKRAQSQAPLTAQFLGTEPSPTEFGGNIGVPPEASRTGVLGRRPFCEEGCSFSCVV QNPRLEQSLVWCGVNRQLRESHPSITGEETITPLHTIRCPPTLPGLPPHRLRVHIPYG SYGIHKIHAVALYVTEDIYRRATARSGSPRPVVKSPGGRRMEQGHPQRDGQLMAVKEL PRNTVRPLLVVDVLHRTATSDPVRNLLPTQWLPEHMRRPPSRICSPRRENSITETAGC VGSGVTKGNEGEGQNNGTETKGNAEPPVMGEGEPHLTWPEGIRCEELLLAVDYLLQGR SVAVYGIASKYFFLHHVAQSAELRNLGVAFVDGYRSSANRVTRQLREISKQLQEQRHI VEKEVLRNLVFRGGDCDPFLRISRSQAPSRDECGNPSDQCYLVVSSSTSSESSRSRSY RSPVRDVSVAMMGGSTEKRRENMGLKVGDPGCCDLTAAKTLKATTPQRKESMTVCSPA PITMKRERSVMLVQDGGHSDIKRTTQQTAQGSKRRCGEKVCDAAGTGGWRQTHPEAME TSRKVRLWLRRYYLSEVSDWNYSVPLYETPCERRCRETETAVTSVPLSESENTCLQPR YTVSSPDIMRRLRVECGAPLRSCVQWKSLPSYTAQMLTGGLAAQSANPPVHYSDYVPR LILVVHGIDELDPPLLVELQNIARDHPNRVMLLCSFDDPNWAMSNSAAQLEPFRLAYV HLRSMLLPRVHEMACVKSLTLLTDLEAAAAGGKRFGHHGLRGSLGPGTSLPLQDTIRR ILFSLPATFTDVLRCMIERQEASGENVFVPMSLHQQHFDDRGMMISVGRLRAIERELT SNRLAVFDAAENKLMIPQHKKLLRVLEEVAGQRQNTRSNGGAPVEA XP_803760.1 MFVMKLLDALADAAVLLMFNVSPTNSGSDNDEEEETVEHHFVEP NNISHMERHTAYATGPLDIDGGSSDSNCVLTAPSMTVTTSADGSSFVQLTEEDMQLMP EEQSISGGSYDETRTPESVNVVNS XP_803761.1 MYFPRHQHEKEPRGRFNPQALDWLPGMVQMATLPPAPVATYPQH FPSVHYNNMTDGPATLPCFPDLFRDWLHGGVMFPPFPFAGGPSDGAYYPTGPQHPQLC PQSAEGWMEAEQRYYKYFHPFWCAYQRFLRDCYTRPVTNDLYSAVPTSHQTQPPAVAT ADIATFTREGTPWTQNWGCDAQHKENTVSNKCDSCVKLAAPTHAGGEVLPGDCETTAG EWREISSLALSSCLLCGDTGHRYTACRFFDGKTLCLVHIADSYIYAMHHKNWVEKATL LDVCSFVHVAVGILPKNLLLYIGDHVLDVTSYETDARCCDLDLLPGAVVGVATRHEAV PEMESKGPAEQFSEPRVEDQCLKVSPISKASASSTSLHTSETQEGLLGRNESREGDNN ESPGVDDIEADRRKADAVRQRQSPVAAPYLGTTDGDLNSTQTRAQQQTASIPTCDRPE PQGGLEGKACDEIESHNDIVDSAQGEEVSDAPVESNGKTESDGRRRRTVHVRFIPVSM AFSDIRALLWSCGEVYKVRLVKPKTTPNPDKMFYVCFVEYAEEQAALKMLEMHGHRIA ASFHLAVEISKHAILGGYVTDRDVGTGKPCTFGLTDTERWAIEQRHKRPGAGSAGSGN GECAEEGGYEGEGKGGRRCRRGGRKHRGRARQSTPTSGETPNGLGEAGKQCTGGPYSL QIVAEDSKRKSCRGSHRITDAKSGNGTTGAVGELPQTTLANSDLSTKPIQSTVSTPRE VVGHISVTAEESKLLEHLRNATISYLRCPSKRSFYEVLTVLEDVKLCSPSPLCCLHLT KAEVALLFLHRSSGFVEAAATAANGAVRAGEELSHLMARDASSQDHIGASWSSFFTAS HPSLCGHWKNWRDMVYGDEEESGEGSRNTKSDGGTATASYPRVGRVLCFVESLLHIAL LCDSLLMHELEKDCEGKFVMRNIDADSPGVGKVMWKLVCCIRRLLQRLRAFATESDGS GREDRTVLSPRWLTTVARVLVLLPSEAEWHVGNLLDAFVPCASDLPVAILEDLAGIRL F XP_803762.1 MTDYEELRQRLWRDIGKSARRRSRRRSRSCGPSSTVTSRQPQST EMSLRSLCKGASPRRCASGRISSAYDPLSGSRGREVEYDSCLVHALLSLVEEMDEKSS CRSSSSPKRRASPLRSGARDRQATRTGILPSGINAPPRTRLGSTYGRGRRSRTREMTS RHRTHVDCEHGMDPRKLAEAFYVNNTSWKLAEAVYLHFVAETNKQQLPGICHEQRGRR G XP_803763.1 MFVQCLSALSCVVLSVFPFVFFILNMFIFPFQRLRPVRAANGWR GMFVCIYTFGCLVYAYREVYESFCKLMIGVSAFPHNIVCSGFFNQN XP_803764.1 MSFFCYFYCMCVIMFFLRLVYIYEFSIVVTIIYFVLIALLVFYL FLRRKGSGTGGVRRLLSANGNAICGV XP_803766.1 MGPHISFFGCGGYVKNLGKLFLFYEFFISTPFFVPNVKVVSKWK WLSGRCVAIIVGFGANWIYKG XP_803767.1 MSCNPLRTAFTLQNPGLQRVVQRAPPIFANGYSSDSITWDSVRV LLVGAGGIGCEVLHSLALSGFADITVIDMDTVELSNLSRQFLFREADIGRSKAEVAAA AVECRCPGVRVRALFGRIEDQSDEFYRQFHAVILAVDSVAARRWVNQKVAEVAEWETF DMVDGGCYENIAARPIARRMRRIAYAMPLIDTGTEGYEGCCRVVLLRSVNPTPCIECD LSLYPSRRTVPLCTLENIPRLPEHCVLYVKFKLWEELRPHESPDADNPEHIAWMTVMA QRRKEAFGIEGPDIDDAFTLGVVKNVVPAVGFTNALVAAQAVLELVKLLTGVAFPVQC FSYYNGSTKCGLTSYVTDLIPNPTCSVCGPRPLLILSAEMTPLNVLLAIKTQVGMPPS VEDISHLDVTLRVRFAAGEVYLMYKSNNPLRTNVVATTIEEAFATSGYAAAFVEWCNS DAVVFTLECSGADIHIS XP_803769.1 MQSLVSLRTRVQSVAVSDAFSSLQSCHRPITTPAAKPAAGAHLR EQTKATDTVVDSTKDQRHHSKGRGVAGVRNRGGPYRRQAQAWNTSGKSGLNLPESSAP VLFPLGNGLEVTLPHDTFAWKTRGAPAGIAERNQSAGEHGGKFVGDGGKSLHVCDSNG CGTDRQAEVAIMHLNESGELISAIFAVPSLKGSEKLQKALEEWPELLHRLRADSLPVI LGSSGESPPTARSIIRAFQILTEALGSLDSISQNSGLRAEQLFPADLVAALWRALPPI HEYIKRTAVDSPQPSGGTDSDNGVNESLRDDEVALPATPELPSSPMKAFKVARRALAL RAREVGDVLPPSLLVQVLLSIEKCGALSDDIIGPMGTRLLERYDRALVAHGGPQRVAS NHQLSTRFVSRTMNSYDLPFSDEDSVSFARLLGRAQVVDHFQLHRLVHTILLPSVVRA LRSNALSLTELFELTACYARYAVCGRPVVQVTELWMSHVPQMSLEQCVKLLNIVSPNR QRINGKKRFTSGKTRQTLATGAEEDYFPLVGAVLERAVWLCVRETQIVNNTDTTEQII AVADEDCGVLSPTCLTKFMCALTRVNAERWAEVYMVVASVIEKKLELFSITDVMSMAK SIIQRGSNIPYHTFHAQLARFLLQAEAALSDVKVASVALVSAALMLPAPPLSLSKVKE AHFLQPPTNSVPAPTCAGEDKPLREMGSCTLEHSSALAIFRKHGTRLGLHSFVAGMCA TPLEELPRRSQERVIVHFTAIASAIGVAWLARGIAALTSRIPDSVDPLSVQRWFSRFT VVDVTRQLDLEHAAMLLNVLSHENYSRESALAKRAITNRVARLLLQDDVSLHSIVLIA PALQRADVFFPHLYSRLCRVLLSTVQKAPFDALLPAFYVAADEFTRCKHHNGGTFRDV WELLRTRVIDDAAVSLTTEDVIVALNGFAALDVGDYPVFSVLLHRLWVSYKEVASQCG GEEGGTSAVSGSLTPTRWVDKVLSGCTPSAVAVLACSLTHLGDGEVVDTFMPWVLLRL RQVVRDIFPIDVLHLMPALLANFAKAASRAENSLNPTHWLNIDANCSLLHAVYDACRE LFLQMYGEARKDSGEGVDGVRREDWIPKVHVSVSSVPHRHFAELLIALCRAGVQDETV VMACAPRTTQRCCAVLPMAELVDLTMALCFHLHLHPQETDQCDTSKEQSIKHTDGTDG DVVGNPPFAESEGTGNGGTDAVKSASLQAITPNQQLLLPALNALWERVEELSLPQVDA LVRCLRHYYGEKVDTDFLFRLQNHRAAVEEMRRSRQQRRGEKVMKGEATLTVDAAKPR NSAEEQGRREEGTQLQLTAEDLFVC XP_803771.1 MQKQTNAEPPLSPTTGSGFGTPLPLQDETPEDHAHVEGNDAAQH FLELLSPSSRGGNASALKKGKKNTNRRLRFDPTLRVVEAAEAPVQANTEDGSSSEEDS DSWRGKSLTATEEGGLSDVPEPELTELSNRQVTRRPPWWVHRWSELSLFLNSTRSGGK RGSTPVDAVRDQLSADLVAAQSLQQFVGRDEYEQVAEVISEQNQLLPGGAERNTSRMV AGLLPPWRREGMGREMIEHLVGKIQGWLRNLCDSVKGTSGGDVQKVFGGEEHDGSDGI VAAPFCPAVKRAHRSMVQKSLFHDLVVPSTDIWSGRAYQVCEGLRTQTPTIHFEYVTD ESVRPVFHLSCLHYIDGGEMPMSAVVQEAQKRRSLVIHIDYVRFRPSSPPHSDKTTDH QMRARGGNPTRDAVVGVPTASVSSGANAGGGEGRAAAAPVNFSPHDELVSSILDNYEP YRMMELNLLPHLKNRTFYEDQLRSLRSHRSLTEEQRRLMITLEKLHKLTCKLQHSYLS GMVTSWRQLLQLGRGNFGGISTSSEQPDTEGRRREFFAAHVSPFQVSMNGTTNSFGSP SGFIIGNGESASMLVDPSVTAEVQRNACFHLYLKREGSKVDAHTVKDDRLLDYSPVIE GLTLPVGTQDEPAQHFQVLLFARTNAFTQPQFVGATEPRVINAVKVVFFNETFELHTL SDPEEILLHVIAVGGVNNRRVVTTIRMEPSLTQACLLLPMQRPIPFTHSGHSHKKYEG SVISGTACISTNWTTHHGMAMHEIEDLFLNGDADPMDPKYRPLLNTLKDHYAVSKTQT AVSVNGVSKTGANAGDNVVPQVGRVVGPEPALYKGLPTRHPKESKRLQQLRHRWAIRL NREEPRDAAEAHLFSQPIPLDDSDSSDFAAACKHCVENREFMQTAPDELHEGFVQGSS PSCLSLSLLSRREKLKLWQQRLRFQKSKYTNSRKVEDHEIQERHVIIPKLLPAKPIHW TAESQLNPRRGKRPKIRDISPDSLAVLRDSRIVVHIMRASTLPVRSDGTPLEPFIEVS FVYETVHSRSEVGSTPSWFETLNIPFSPPDFDDDTLSLIDDDIVISVYDKVEIPMPPT TITAGVISHETHYRTERRLLGVLRVPFYSLYTAEQARMEGLYVLTTPRWSLGYHQSPR EVSHGDSGRVKLRRRGLPSLLPTIQLYLALWPQPDRGSREAGDELEMQRLVKQFNVSP QLRYLHETAMRWRPSALSKIRKLSSVNPVARNRSISPFVLCTTGDLTLVCRFLLAGGG PPPITVTTVSQAIRFVSLLPLRLDMLPVQSNRAWNTNVEVLKARECKYEELSLLLAHF LRFIEPSEATYVVTGRGPIYQRVTMVLHSFDGELRLIDPHGGVVAPVHDPNFNFFTDV DMVVSHDQLWANIQISGTPHRMDWNLHDERFWFPCFNHEDENVRACLPFIAAIQRETL QFSLRDTGKEREIEHELQINVRRALVRWRNGKKPAFHHGVAAALQKLLEEAESERLVW ANMSQERVNRRAARHLNEYLGEEVMHDSRRPGHTPCASHSLAVGNPCLRMAGSPINCA YMPNDTGHRLILQRVFETAVHEAAANDVSFAVATYVRGYTREVFSMWVFLAALYRV XP_803773.1 MMSKASYMMQDHLRRNFALITDIHNPNVFLVRGTLPFPQGLAVL TALFRGKLAADVYSHPYFIEKQSDPSQPSPQLHLTEECQSVMKIIKGMHFMNDTTSRL DNTVVFVSDYDVQVKATVLTYASEEDEDALLREQKRLEGESDEEWQGRLLRQRWQRSA AGRRKSGGNTGSSSFEASLRPFTRQSHHSNDGPDELGKAKRLVEREGAHTVLYNPIDV DPKTFVATINKLNDENRVVKTIVVPTRQAWGSVHAWAEAFPDAEILCSGEKPIPSGAQ NRQQEVVRPTGGCMNMGNGLTGLSFPEVDEAEVAVGSAVDNDTEVAMVADDINNICRL CSSQPDSVSWRVADEEVRCSSRVQPLGTLIQKQITPNIELLRVAGDDLTNEYVMYDRN SASLACTDLFHGEYGDLDPVNSWLCRVWFKFMKQGNYKRVDRVPEFKWLQVKNHGDLK AVRESVDIITSMFPMKFLLYAHGTPPLAENPANALRLQWGMPPLS XP_803774.1 MAQQGKVEPQDQDSFLDDQPGIRPIPSFDDMPLHQNLLRGIYSH GFEKPSSIQQRAIVPFTRGGDIIAQAQSGTGKTGAFSIGLLQRLDFRHNVLQGLVLSP TRELAMQTAEVITRIGEFLAEGSSSFCATFVGGTRVQDDYRKLQSGTIVAVGTPGRVV DVTKRGAMRTESLRVLVLDEADEMLSQGFAEQIYDIFRFLPKEIQVALFSATMPDDVL ELTKKFMRDPTRILVKRESLTLEGIKQFFIAVEEEHKLDTLMDLYETVSIAQSVIFAN TRRKVDWLASQLNSSNHTVSCMHSEMSKQEREKVMGTFRNGSSRVLVTTDLVARGIDV HHVNIVINFDLPTNKENYLHRIGRGGRYGRKGVAINFVTQKDVEVLREIESHYHTQIE ELPVDFAAYLGE XP_803775.1 MVWLCICEQKKTKRNEEGETKKKPRKNNNNNNTHTHEGIHMKGY VDKSKS XP_803776.1 MSGEVGEWRRKRKKNQRKQKKKDEEEKREINKKDTSNNNVIRLF FKKKNN XP_803779.1 MLSGVYGPQAEGARQMLATLGYDNPSSEDIALTLQQMGEARALR TQHVSTQRGTPDQTGVSPPRGAYDTTMSPRNSGQSPVGRGGVLKGTTATPDDVRHVRA KPPPVDFRDCFDYRMHERAPGRVSVGGILKRGGGERSNRRYVDPVDGPIAGTVGKDPW GRLRFVSTGDRITPEDRIEQHLRQHEKGLSAPLGGRRTSETREYPARKGRRNNVSRGQ DEEDEYSDYVDDYYDDEGFGGNLEMFYGGRPPTAVTPSMTLRLGNKFALDQRSANTMY NFTGDSRYKYRSGPGAPLSTVLGPNGSTLRNRADPVRRGQEMRELWKKDSFLAQHGRK EDRWRVRQTMLSRSLQ XP_803781.1 MACPFTTAVRVVLCVAVLKILCDAINFRRFIRARVTYGGEGERA SNFN XP_803782.1 MWRWHSNILEKKKRKSHSASQLLIALVEPAHAKGKFITCTHIQP RLYLCISACLCASVRFRGGGESIIATLMTNLRGRPFVCLLVLMHASRVC XP_803783.1 MNDSSCLQTALSGGFYQLSFFSWLHISFLYLLPHSMKVRKGTPR HGISEGYVVCTRYAYIYTCMYVFICFAFTPSPLH XP_803784.1 MRHVGFAHHTRLNRIGRCAFPLIFQHIHHFPMVLMAPSAALRSY VTSEIPQHVAGLEEMLPNSLRCPECGKHFMSATNLLYHRRTRHMITIISSAQEQLDRL REENARLRAELQQAHMQHRQTTPNQQPMSGTTAGELDKTQRIFPAAVPEGKALGISMA EVHNLREHPFRVGTGCSGVRCVGVVSDDVEVGHLGRHEGGTESGLEVLQFTLKLEGYR QRRTGRLMMYNNLVTVRLMSPQYRVEKGDVVLVIGNYGLHRSFDLISKQSMENCVLEA GYIGLLKRGSQAGNSEYTREDV XP_803785.1 MSKSRAVVKFGGDQSKERVSFRNFFDVLNQRHSNVSVSGLAVTL LFELLSISIYVEASFYAHPTSIYDFNWKTGRFITVAIINAIFFSEWIVMLWVEEQKVR YCLSLLSIVNALTCLPMVIVGIGAIVKPTWQSVWVPLFLRVWWLRKCILVLLDYPQVA KWMMDIRRDICRFLITMLAVLSTCVGIQQFVETLAGNYMDPFSSLYCMVTTFGTIGYG DVSPQTAPGRFLMIGFLVVALSYFLPLFQRLAQIGRDHLNYNECHSCWGRRPHVIFSG IFTGLGAEIILMNFYAGWRKYLGVRVVLLSPVDFPPEVRLLADIPWLRNRVVLMIGDS AKQVDLIRADAANAEAIFLFGDTGSAAYHADYQVIQQSLAIRQFDPELPQHLYLRSER HTRHVASYAASVVEVERLLHHLLGLGAAVPGAVPLIMNLLRTYEPLKVKGTASRPWIE EYEWSLQNDIHCLEMQQTFRGYSFHSLARLLLQHNVTPIGIIDENGEVQLNPHRISSS AVKLVVVAKALRSARSALEAAEEAHSQTSFGEHTGEVEGKEPCIYGMDREAAAGAYYT SDFPGREAKSGVEALQLVDDAYDFENHFVVIDLSMAKAKAPETEGAREGSLSSAAMDV FHVMRSIRQSYPQNDIVLLTKDTSFSAYFGRYWNSVPGAIPVKYIDGCGLNANDLRRC NLKRSAGIIIFFSGDIGGASTGGLSLLVFLSVASILPSSHNIPVVVELDSTQYLSLFP PYADDPYLCSRAESDFVFEPNYVIGNALSRHMFFPSVHRTYFMDEFVDIIDMMVSGVD ERTPSLGRLPLLFTTDSLHIYQDVVEYCLKLCYLPIGLHRCISDPETPYINGQRFVLT NPPGDLIVDQKCDAVFYLLPAS XP_803786.1 MVLDIGPRGVSHNRVTPYIPERKLPIRFIKKVSALKARVAVPTR SPTPFLFRRQKGRFLPIRFPTLIPASRFMCRRYVSLCCSAWRGVARLLHSPLLQRGQN RTCRSLIFKRPNVCVCVCVWRGGGEGHQKRGKKGPPGRVVVVIDVVKLPRIYF XP_803787.1 MLEGSDASVGGDVRPCCGETNRLRVGSLRATGSDELRSCHHLIL TEKVERESLRSLRLCTSIQTLRFEGEYPFADLSPIPELRSLGELSIEEAPKLENFVGI KNLPQLKALEVAEAPIRGGCLQTLTAGCNLVKLCLDSCPYLKDVSPLAEMTALEHLAI CKCVGIETGIGSLGKLPALRELYLIGTSMRGNSLRGLGATTSLLNLCIVSCGCLTDVL HIADVQVLRQLTLESCTSLEEGVGKLGGLPALYELDLSYSSIVDVFLQGLSSSRSLVK LNLSWCDQLTDVSPLAELKTLKYLNLQSCEAVVAGIGDLGKLPGLYELDLSCTPITDC ALRDLCSSRSLVRLDISSCDNLTDLSALVSLKTLGELNLDACTEVKQGIGNISQLPEL RRLDGTCLPITDDSLRDLSASRSLVVLCLDTCMDITDVSCLAAVKTLEEISLNSCLSV EKGVEALTTLPRLRSVNLRGVKIDSEVLSELQARGVNLLR XP_803789.1 MNAQQCMHNMYEPRKKQHEIKAPAQPHNCKGLNHSSGATNLTEQ PNKLVFNYPKRGSANDSEGKGYDGTELLYVTWFHSGTDHADTLKHLGKKDVFHVSYRY STLKRRTSNTPSSSRSTQLKSPRAE XP_803792.1 MWLLFRWKCALPEVHTSGRCVPLWGSAALGSVPLGVIVLSSETA GREVCVWRHAATWGRPPGVCVLLARACLPARDSLSNVSLTSLYKKKRKIVRDMFVCLT DAEVPCSRKGSMKISSRLSRFRGPAVIVSFRSAPG XP_803793.1 MSDLLEFFVPAYARDLENIEKVGVTQYHISEPCDFDVPPRQQLD DLTRLFDSLHREKSPTALFSKPELFAALFTYIKAMEDKETSFAVTRGARHKICHESAE RLKKLLQICVTYLRRNAENGFRDSLLLCLRSNIKMYVFVLCNTLLSTAPGIDDDEGMS PSFQRTGRKRKRNKGNQEAGIGEDDSGVDQDGREQALSALIDIFSQDILQLWTGELIE ESMLTLMLRMLLHMITQKCNIHADVQSVSGALVVLLSKISAQMMARGSIEPNDFVSPM IELVLKSEVTALFFTRFVAETENEGASANHAGRVVTALIEGVAGVALYDVVGDPSAAK NVALFFSEVARRCVSVTSRMSDIVMQTINSESYEVRKSIITCVTEMVIQRYSGPNCNG EGEEEIRDGYLSELLCRLMDCNPYVRNHVVHMWEKLLEARAVPKRFRLPLTGAIVGRL EDRNYLVRDSALQVVVSILNKSWFGSVLSPSLLEEKIREATAAAEVLFESVEMYVRCL EGVRKSSTFTEPQASGLAEEATGDVPVPIEMSSEQESAIDRVISFEASMEFAKLIAKS LTHATSLLDSRTERDAIEAIKLVVACNRHRIDEAEKAFLHVLVMVYEGEIKIQYAVRD ALVDVVFNAFSRSTTSLAVQNTASAHKLISFLRGAKEGDISAVDRVFALIRTNPPHWR LISGHFIDALWGIAGGALDGGATLVERRIAMRLFSIVCKHDWHALVSRKESVVEFLKS GTMKDNVVLAYCLKSLEVEGQDPHYQPISSKFAPGEHVILEQLVFHLCRTTTTLSSWL IVADAAISAVHRLCEVPAVVYIYVLEYLAQRVDADVNTQAQLFFVLGRTALKQLVAVD CLERQHLKRVDVEAMAKKPATEQSVVGDADAMHKELGLGSHEYRRHAIQELAQRRKQA IMSEGSIWHRLSKDVVDVCRKKASRSVGNPLERVCAVMTMSELMIVSDQFCEQHLDLL FTIVSDKRESWVVKTNAVIALGDLACVHPNLLSPYLKVPTTGFFKLLNDADVRVRAVT IQVCSHLVLGEMLRIRDHLYIIVRLVADPNETIASNAVTFVQNLALKEKERTGNLIPP LVVQLSHVVPADKFQLAMRSLLERVEGDKPTESLIERLCQRFEPYSERGTKKRQIARN LAFCLHELTYATERTIKRITSEVCYQQYKQWLRDDVVLDYFKDIAAKAKKVGLRIGTE RRDKAAIEEWEARMQADCCGLDDPRGSRQLTGDESREAGDQNERDNWDTTADGL XP_803794.1 MSASRMVPADFELGSALGAGSFSKVVTASHVPTGRRYAIKVVSK QQICTAPSDEEKRRMAEVAIREARMLRMCSHPNIVRFHASMQGPQDLMYVTELCDGGE LLEAIKAWGTIPLEAARHVLAELFSAIWYLHHAPKHTQPGVPDAPLESITILHRDIKP ENIMLMSDRHVRLIDFGTAVVCRSVDERPEGEHSSKGRAKTFCGTAPYMSPELLRNNY TCTASDYWACGCVLYHMLVGKRPFDGSTEYFLIQSILEKEPEYPEDLDDDAKDLIHRL LSKSPDERPGREEVQNHPFFVSIDFDGLATKEVAPFWVRNVEWEPNSLFSTCVVCRRA FFLWRRRRHCHNCGKLVCSSCSANHITIPGCSSASPERVCDSCFGKIRGD XP_803795.1 MLRAPIFFLFVNCRADTMAADDESAALLHRLGWLVERSKVGFKG NPPPFESAKTQKSVEIMKRKEKRKLAGGTSFKPFIHGPPRLAEDSRPTRHLRGIDTQK YDAQLSKTALSGRCQKLDRSTGCPEILAALCWDLEAKKPDANKAQKDPVGTVCHPDDG HRAATAGKNQYLLTLSKEEREAYYRSEAINHPKFTRRSREYREGLGWYHGSQLVGPLR KGSCSSEPKTRNCIRLVNRNI XP_803799.1 MTVSEFGRSRVLYRRNGVSDVIEVGNTTELNDVIALLRSFSGVP KSYTTLVTPSLAELCEDAVGVERLWTSSAERTEPKDFAWLEVETESDEVMRQVLSAFP IHSKTSELVRSSENRVEVVEIFPSCGYVWVSIAAKGAASESSVPEDDEPVVVSLIAYE QFLITMHRKPLSGFEDMKAHMEMLVKSPASYGAPVPTAVCSLISGFVKEYQKELLSLL VDVDNVNELVLEIQPSECDQLDLLRRIDDLRHSLSRVQASYFAKERVLQRLLLPVVKR TFISSAVGVAARYQRMLSGLILSIERLRKGRDVLNMSSMGLVSGVSMRLLQRCYWMDY LNNVMTMMTLVSMPISIIPGLFTMNVRVPFEDSESFVPFYVIVAVTAGIFFLGMSYPV YLYLTFKSPGALVPTSH XP_803800.1 MRRSRVPADGVSHILGKRAVALLVPVLITLMLVTWSVLNLSSLI GERQNSLVIVEPYGNESSSLQVFEASVINALAVVALIVVFTFIMLALYKFGFEIVLYV WLGVSVGSILFITMWVFLDLVLTRFQIPYDFITMFLVLWNTGVVGLVSIFYYSHPTVA QVYLIAISVIVAWSATSLPAWTTWCLLVAVAIYDVVAVLCPYGPLRMLVEAADERNRP IPALVYDSDARIIVATSFPGEDAQMVEIAARARKQEGGRQRPRKRPPSPLDSLLGDTP FKLGLGDFIFYSLLCGIAASYSFIPWLMSVIAVLFGLVGTLLFLVLFKEKLTALPALP ISIALGVITYFSSRYLVVPLDWFATLSVLAL XP_803801.1 MNKCKQAGRPMLSEQRRETLGSMYSLVGSHSAVKLCRWQKSMMR GMGGCYKWTMYGIESHRCMEATPSMACANKCVFCWRLNTNPTATEWKWQVDNPHDIVE GMLTSHKTLVHEVQGMPGVTAESLEEAKNPKHCALSLVGEPILYPKVNEFLHILHTKG ISTFLVNNGQFPEAVENLATVTQLYLSVDAPNKEVMKILDRPVFADYWDRFNQSVLYM NQRRERTVFRLTMIDGFNMSEDNLREYKVLFEVGRPNFIELKRLTPAFSGNDRSILRM KNVPTWEGLKTYAARLCETILDGKEYSVASVHEHSGCILLAQNRFKVDGVWHTWIDFD KFNAMVLDPAVRPRIVADGYLRPTPDWALPDSQSAGFDPAQCRRITPRRQKYMDANEG SAP XP_803802.1 MPPKSNEDYVPPKDKAEALAEEYESAHHDRAGSNKSAPSHQSKP KSVR XP_803803.1 MADVADPSSELINELRALVVSGSGLVPLDERKVHTVVENMTDAF RHLESISRNPFADPSQPYYSGAMRFYKAKCLRDKRCVVAYLLWRQSQITKSWWEARDN TISNMLAPCERTFLQDYNDVMVEYMTSFAVPLDLRSFTWRPPSTQQLEVRGLVNHVFV SSITGAVINLYKGKQILLGFEEAESLIQQGVVELVE XP_803804.1 MLISAADVSSAALREEIQRRKDFFALLNVVAHRMYTPTTVEWRL IDHCLGEINYRFADFSFLAGFLLVMPICRHKRTAFSRYVLPFYAGLVAYDNALRLTNP CPAVTFWNSVVLLDGHLGETARQIYAPKCFYKVENGHRQTGAPVRYATFLHLLWDTVS VTAGSLLLQTLLRYTFNRSSWMHESGGSTVTSLVISTRLFIWNVFALKSEDTSVGREY TLRLSLPHFIVSPGLVHSYRSRHLILSRSSFGSHMWYLAHFPWVYCLSSKGRSQGE XP_803805.1 MPPEGKVNVRWRKRNFQGCFNFVASCRVTRPPIINEQKCEEGNK RKGS XP_803806.1 MPLFVQLGKCLRTYMLADSFNGKVDGRITITLFVFVDRFGFFLR SPFVFAFFYFCGRDPVSLRNRDMRRCCCAKSGRPQFLIDSPHVRHSINGFALFTKELQ MEKGVLKAKDLKKGQDNMKVISSHWKQLSNKERNDYNRRAREIGAMRVPNTEKAFNDF NLLMRLIYRSEDIAGAGDETFTARMVSNTMAQLNPDQKKKLRKEFVTPPTNIENKTKE QMRHISWYYPHMRYFDSFTDMLQSVAPTRKELFIAVSRVLKVNRTASQQSLAALNQKF ESLKGTHLNKFRPIGEEDITSFEKYCASNCAGFDVHAFNIIRLFAQFRGLVTAKNSPK GQEAIQYKMLMEADRFRESLYFKALRNLERAKAGRTADHGTYISYFYPDGVSVPPKTY GIETHLNDVHVATLLAETRFGRSVYDDVLERTGCFRSKEANQLLRETYINPTSKKKGK E XP_803808.1 MFMNKPFGSKRCEPFHESEHLCEAFAITEAILARYQRGKRSFTS SEKSGLAALIKRIPYDILVEVLDQSGFTPTSNATPPVDYLAMMEHTMTHGASITHALQ YLNDLMTKCTGCPGIRTYYHNPNDDVLADPVHDTAALIDETTAVGKSVVTKQYLNIAG AHYIPLIHGDIVVGCVEVPRFSGNLEELPSFPSLIRAVTCTAHKFIEEARINWNREKA EAMLQMATRLARDNLDETVLASSIMNTVKSLTESARCSLFLVKDDKLEAHFEDGNVVS IPKGTGIVGYVAQTGETVNIVDAYADDRFNREVDKATGYRTKTILCMPVMYEGTIVAV TQLINKLDLTTESGLRLPRVFGKRDEELFQTFSMFAGASLRNCRINDRLLKEKKKSDV ILDVVTVLSNTDIRDVDGIVRHALHGAKKLLNADRSTLFLVDKERNELCSRMADSVAG KEIRFPCGQGIAGTVAASGVGENIQDAYQDPRFNREVDKQLGYRTQTILCEPIILNGE ILAVVQLVNKLDTSGEVTVFTEDDRETFRVFSLFAGISINNSHLLEFAVKAGREVMEL NEHRATLFNKNVPSRAVKRVTAITKVEREAVLVCELPSFDVTDVEFDLFRARDTTDKP LDVAAAIAYRLLLGSGLPQKFGCSDEVLLNFILQCRKKYRNVPYHNFYHVVDVCQTIH TFLYRGNVYEKLTELECFVLLITALVHDLDHMGLNNSFYLKTESPLGILSSASGNTSV LEVHHCNLAVEILSDPESDVFGGLEGAERTLAFRSMIDCVLATDMAKHGSALEAFLAS AADQSSDEAAFHRMTMEILLKAGDISNVTKPFDISRQWAMAVTEEFYRQGDMEKERGV EVLPMFDRSKNMELAKGQIGFIDFVAAPFFQKIVDACLQGMQWTVDRIKSNRAQWERV LETRLSTSSGNNSSTR XP_803809.1 MYKSYQFYPLVFYLLLSGFSVVLIEHVWRCKEFFPPPFFSFFIL CLDQYNLGCFPHVYFIWILGGMHFFSFFFFGLTLVFLIFWELCTAWVADLLTPTHARL LSLLPCFPVAWKGNEVGCTNFFFPPFHSYRSIFFFFAAGRCKRELWRYRVSFEKNCSW NGREEEEEGYLFVAAAVGTATGKWG XP_803812.1 MFIRLHCGCVTFYPPLGGVSSFILLPQSRGFVLVRFPLSSNLQV GRGGTGREVFDFFFGASRLQAAYLVLYFCSFFPCGTAKGVSTNGRRLESTRCELYMYI LNM XP_803814.1 MRQIWRDPMRVFLLLLSFPFCLHHYASCTSSTVFTAISLLCYSL LFLPLLREVGLTNHYLSRVGGGKQQVNNEGKTSGGDHDYGKGKRTGY XP_803815.1 MTHNGGRHLLEAVTLCGSILTRYKRSNMKLDEAEVRALKELFEK YQDILVDGSPGLPTHASGPMIQPPVTNMVAPYDSPTDTIVKFVEGTINLQRPIVEVLH VMNEHLSLVLRAKNTHVFYVDPVNNLLYDPIHGVAAALDESSPIGKAIVSGERLNVAG TLYIPIISEGMPLGCVLSPCGRADYHASTMLESSLRVISTSLKNIIQAEKLNWNKEKA EAMLRMATQLARDNLEETVLASSIMNTVKSLTESARCSLFLVRGDVLEAHFEDGNVVT IPRGAGIAGYVAQTGETVNIVDAYADDRFNREVDKATGYRTKTILCMPVMYEGTIVAV AQLINKLDLTTESGLRLPRVFGRRDEELFQTFSMFAGASLRNCRINDRLLKEKKKSDV ILDVVTVLSNTDIRDVDGIVRHALHGAKKLLNADRSTLFLVDKERNELCSRMADSVAG KEIRFPCGQGIAGTVAASGVGENIQDAYQDPRFNREVDKQLGYRTQAILCEPIILNGE ILAVVQLVNKLDSSGEVTVFTEDDRDTFRVFSLFAGISINNSHLLEFAVKAGREVMEL NEHRATLFNKNVPSRGVKRVTAITNREREAVLRIEFPNVDVTDIDFDLFQARESTDKP LDVAAAIAYRLLLGSGLPQKFGCSDEVLLNFILQCRKKYRNVPYHNFYHVVDVCQTIY TFLYRGNVYEKLTELECFVLLITALVHDLDHMGLNNSFYLKTESPLGILSSASGNKSV LEVHHCNLAVEILSDPESDVFGGLEGAERTLAFRSMIDCVLATDMARHSEFLEKYLEL MKTSYNVDDSDHRQMTMDVLMKAGDISNVTKPFDISRQWAMAVTEEFYRQGDMEKERG VEVLPMFDRSKNMELAKGQIGFIDFVAAPFFQKIVDACLQGMQWTVDRTKSNRAQWER VLEARSTGASS XP_803818.1 MGVGNTIRVCFRGLGSERVKEIRKQLGNGDAVSQIFICSFLGLY RRCCFTSFSVSVSVCVSSRVYVRVYVCLCACFWIGNWHSLQKATPLFPFCTDDM XP_803819.1 MRVRESIIGEYKKEEEEENRQCGNSDKMSLLFNRVRVKYLSAHH LLKECCR XP_803820.1 MTAEISEKAFPLAGDRLTQTILDIVQEASNAKMIKKGANEATKA LNRGIADLIVLAGDTNPIEILLHLPLLCEDKNVPYVFVPSKTALGRAAQVSRNAVALA ILQMENSPISAKIQAVKLEIERLL XP_803822.1 MVVASCPGKVLILGGYLIVEEPNVGISVGTTARFVTRVASWKKC SDGKCRVHIVSSQFNKEFTFECAAEEDSDSTIKIVQLEGAPSPFLFYGILYSVAGALL FGGDIFRDVTLELLADNDFYSQRNYLESQGKPVTAANLRLIPRYTPLLGEVSKTGLGS SAAMTTSVVACLLQLYVFDSKKNNATESVERAPELPLRLEDVTEFIHRISQVAHCVAQ GKVGSGFDVYTATFGTCVYRRFSARVLEKLVKGNEPPKRVTIPLLRECVETDEVWVQR IPFRLPTGLQLLLGDVHKGGTETPGMVSKVMSWRRSVTTDPNSLWERLRMSNEKYVEA LQGLIKQSQEAPVAYTEAVKNLKSVVLAKHNPSTEAERLWVEAASVASTSRRYLREMG EAAQVQIEPPELTSLLDATCSIPGVFAVGCPGAGGYDAVFALVLGEEVCSAVERFWEC YNDLQVCPLLVRGDANGLVLD XP_803823.1 MVTVMISIIIFLKNPFVIFIYTSFTGMLILPYCIRATKSQHYEF FAWFFYICARDYGRFSSVELIPFQSPFFSLPCVFFISFGLHSLVLWLLYCSCGGLD XP_803825.1 MLEAQVLHANLWKRLIECINGLVNEANFDCNPGGLSIQAMDTSH VALVHMLLRDDCFTKYQCERNSVLGLNLASLSKVLKIVEATDSLTLRHEDDSDVVTLT SENGERSRKCEYQLKLLEIETEAMGIPEMDYKSIVTLSSQEFAKIVRDMTVFGDTVNI EILKESVKFSSCGDVGEGYALLRASHAPTVDPRSKGESDVKTEDEEADACSVRTHSAK GKDGPLGIGVDVRTNEPITLSFALRFMNIFAKGATLSDRVSLKFAKESPCMVEYSIDQ VGYLRYYLAPKVDDAE XP_803826.1 MRIRLKGTKEGYVCTSGSMFSPFSHIISSLFRLFQLRICLILII FIIIMGKPYSPVTFAATYANVFRCPDVSLSLVLITHSSDDASLCSGHVPQLL XP_803827.1 MYTYIYIYIYIYDFCRRLRLGMRLGPAAKILIHRLSPPTFRRLS LAFRGLMTTTVDSAPTAAEPMLEVKKDLRLLETGRPVQGYSNTPELLAKHRSVTGGAP YFRFPPEPNGFLHIGHAKSMNLNFGCAVSHKGKCYLRYDDTNPETEEQLYIDSIHEMV KWMGWEPDWITYSSDYFQQLYEFAIQLIKDGKAYVDHSTADEMKRQRENREESPWRNR PVEESLRLFEHMRQGRYAEGEATLRVKIDMKSDNPNMRDFVAYRVKYNEHPHAKDKWC IYPSYDYTHCLIDSLENIDYSLCTLEFETRRESYFWLLEQLNLWRPHVWEFSRLNVTG SLLSKRKINVLVKKGIVRGFDDPRLLTLAGLRRRGYTPRAINRFCDLVGITRSMNVIQ ITMLEHTLREDLDDSTERRLMIIDPIKVVIDNWDGEMEAECPNHPRKPELGSRKVMFK KTFYIDRSDFRVEDNDSKFYGLAPGRRAVGLKYSGNIMCTHFDFDEAGQPSLIHVEVD FERTTKPKTNISWVSEAGAVPVEFRLYDYLLRDDRAAVDSDFLKYINEDSEKVVHGYA EAAIREAKVFESVQAERFGYFVVDPETRPDRLVMNRVLTLKEDKEKTAISRGNTGVKG KKQ XP_803828.1 MGGTGEGSGRAASPRGECRKDYVQLLRTALGGNKPVSGSTIARL VNQYNPQYDVPCGRQDIENSRYLNEELCELLLDDRRASAKYHLQTDKSLKTFLQLVSE NKHIMRT XP_803830.1 MSKRRRGDDESDIFRKAIELLCHRRATTEELSVATKLTPLERQV VCLKESLPPDVILMVACGYRVKFYGRDSRVVSRRFGIMCIQATPFEYSSVPYTGVNIY VRRLVAMGYRVAFADQESASIRSTSGNSKGLFSREIGRVYSRGTMLPDEVVVTAGTPQ EGSATGQGDEGAPEGGDPVGVEELLPLKEGSSELFICFLWPSTGSAGVSLVEVTLLSF VTYSLSRHHLSSGGELLDILNRYNIVEVVLFYDEDGARELDRHQNAAATDGTLPPFRL CGLPEAFYTPLNTILSLHHGPTVNGEEDNNSVTVCTSPFIGSIDDSIAEYLKPSRFDT TFRKMCSKSPPLLARSTAGGVAELVMEMPGTTMSALDIFHSSIGLKGSLLALLDHSLT VPGLRRLRSWLAAPLCDLRAINSRREAVAFLLRGEGGDSVVGLLREFAKFGDLEATLG KLRAQRCTVTDYLRLLRAVKVTHKLALDILSLCGEGMCDQIRDALVAVTSENVELFLQ SCKCELNLDADSPQEYYAALGSPLPDLLQTHAKERDEVLRALDVELECIRKTLKLPAL EYRTIAGTTFIVDVPNVRANDAPKEWIVLTRTKTHVRFHTPRIVNLTVELCSAKERLA IAANEAWLAKQAELEGSVDTMEIFKSVINSVAVLDALHCLAVASSAPGYTAPSISDDE QSIVIRDGRHPMLESLMRGGYVGCDVSLVKHGAWILTGPNMGGKSALMRMVGTFVVLA QLGCYVPAKSAQLPLFGAVYCRMGSSDSLLEGSSTFLKEMEETSRILRSEIVSSSLVL LDELGRGTSSYDGIAIAAATLEYLLRKGATTFFVTHYSQLCEPYVNSSNNGLVSCYYM GFHEEKIVSREGEGEVKIVFTYKPTLGVTPSSFGARVARMAGLPSAVVTEAQLISEEA ERTHDWCLSLLELRRFVKNNS XP_803833.1 MLFSNSTVSSSMPLLFPLFYLFWLDTARSGALRSVTGKCVLLGT FTEYILPTASSMYSPLVVVCVCV XP_803834.1 MLSLYAYIFLSLVVTIGFVVDYATVYTDFFSLVVALTDSPIFRL LCVNSFIALSWVLWLVARFTFFGTLSRTESDAVRSVTPVYAMEFIVCPLYFGISTLSS AGVVSLVTVVVAVLHRLAQERVSTLQVMEDRVLRTPMLVRLLIFLYLFSMIDLYVVFD MIGNTAESYGDQLSMQYCIALLYVQFLISILKSFTQLFFTVATKESTYNSLAFYMEMF FSLSNNVVFFISFLYICTSSYVPFPLMRMFLQNMIMCGKNVRLVARYRKLTALLREIP NATEEILSRDPHCAICYDDMSPDQTCKQLPCGHCYHEACLLHWFEKMSTCPYCRSDIA QRTSAMAAYAKRVRVPADATTTPSEQTGSDASATPSPEDDTTSMPMPSEEEMRRSYER YLAEMASRQRAQGAAISAEADASRLGEEVSAASTDRFSVVEEINKSLTQSNAVRSVDV PAVSTKEAQRLAAYEEHAAAVRAAQEKLQQRLKSIDAS XP_803836.1 MLRGTPVRRASLRYRRPYWMMFLKGVDNWKIYTVIQQPDHQRTE MLYQAWLGGLDRPYTRPKCMANQPLWLSKKRHMLRKERLDGPETPLEKYVLEWHKKFH SFQGTERPTPDDLHTALDLVERPLDLSYALQLLGQCRNLNNIRFAKETFLVFLEACLR VGRRDCAEYALEHAEPLGFWFIDEDHRRYLQGEQTWYKLSPLDNLYYPVEENAKLNEG RKPITRLSPATESPGSGTAISDGEPSTDVEGETTVDDEIAQLEAELAALEREGGGK XP_803837.1 MYLKPPCLPLHSVAAHAAAAALIFRRTFLFTSLIVIFIPCKSKY VGPKGKPLFAICTICVPCHRRKLGCGRLSVLRRGLWSSLSNRPATAMVCQLKPTRAER VKKLREGVAEECKSTYQP XP_803838.1 MSGAILVYIMLHTAYKTISSPTRVVMEKLNLGCRTTCDIRACHC SPVAECMFLPLLSLSTFLSIVCAALCFLRKMVFALLELPAGVGLFKVDGDKQKLKALL SFKSTADALATTTQVVNGELAKPVRKFLKKNFVEKQITEELAVADAKLAKAIKDALAI QCVHGDDTLATFRALRANLDDLLEDVSTEQLNQTALGLAHNLNRYKLKFSPDKVDMMV VQAVALLEDLDKEINKYAMRAREWYGWHFPELAKIVNDNILYAKIVLAAKTRFNVRDT DFSDFLEEELEQKVKDAAMVSMGTEIAEEDIENICRLCSEVVAASKYRESLAAYLSSR MQTIAPNLTTMVGEQIGARLIQKAGSLLSLAKYPSSTLQILGAEKALFRALKQRQATP KYGILYNAQVVAKAAATHKGAMSRVLAAKASLSARIDSFGEGDNSAALEYRGKVEERL RQFEEGVTYGRTGNVRGRGGGMQLQQKRGPPNGNGGGAPFKRQRLDGGGFR XP_803839.1 MQRCKLLRSIDFSGVRLPRKYISMGGWCGPALLLGKVGLRTEAY PFDFSRCTLDGILHFIQNGFSCGFYPPEPPPYKPECVGIWVLFRGLHTAFAHFDLNDP KIKAQFSRKMARWNNIIDKPDMPVTFFRSIVSRDPLEEVHLMPAVEAAIAARNPSLDF RIVMIAHDQGLVARSVELKPLSKRISLWVLTYTRDDTFTLFDRSQEAYTDIVLHSVNE ENWPLDPTTVPQPVGLTESEADYQQCVLRKADGTDVSFESLTASGFPWRSHTNLSLID GVASVGGTCTGIGSTKCVGGRCAFCSNTDYHKAGRPFHSERPFTIEEDELILVHLYRI LTGGDKVEAVEDLAHQMKRGAFEVICRIQHLTNSSVKIMDYSSDGA XP_803841.1 MAYPVVVIDNGTGYTKMGYAGNEEPTYIIPTAYADNEASRRRSH DVFSDLDFYVGDEALAHSSSCNLYHPIKHGIVEDWDKMERIWQHCVYKYLRVDPEEHG FILTEPPANPPENREHTAEVMFETFGVKQLHIAVQGALALRASWTSGKAQQLGLVGEN TGVVVDSGDGVTHIVPIVDGFVMHNAIQHIPLAGRDITNFVLEWLRERGEPVPADDAL YLAQHIKEKYCYIARNIAREFETYDSDLPNHITKHHAVNRKTGESYTVDVGYEKFLGP EMFFSPDIFSREWTLPLPDVIDKAIWSCPIDCRRPLYRNVVLSGGTTMFPKFDKRLQK DLRALVSRRAKKFTKALGDPSKQITYDVNVVAHERQRYAVWYGGSMLGMSPDFAAVAK TKQEYDEHGPYVCRRNNMFHSVFE XP_803842.1 MNSHRGEKIVLDGHSTGNTRVVCLFLLLVLLGTVVICAAAASCN CSRAYTVRREVEKGSVCARCVHVYLC XP_803844.1 MRSKMELSVPLLRMRTGAKHEIPSALLLVAQGLEAAAMDFAYGI AQQPSMQSWAGEDLLKDIGRRSVFRIWRYDAGVGCRPHYDPGICTALLKASAGGLEFG KYPCRSHGNDENTLLQPDWFPPQLPVDTADTMVLAGNMTGLLSRGAIPAVLHRVRSDW ADHVDSKRYSLVVELRPAEPNRWYKLLGHCSVAHGCVSLRGCG XP_803845.1 MMTKTYGSKNPSSNVPLHLAGPPPFAFSDGRAPTRGNMRSFPTP SSAGLSSSVHLPPRSQGSDRRSFVLSEISGASDQLLRTLHRELCNLSAHTESGVSRSC RGESTNRDSASPSRANITGHGFDEQAPISQLSSEEVRTALSLIIHKQNLDLKSRHQRL RQLAEENKKGDTMGTSSDGGVLNEPCCLVLHRILAEARRRWMRILSSRVQGLKKELPV REHPQSNDAHTTSGVSNANALDDSISGVDSDEEMEWSVEHLYETLVSGLNSTVTEELI VTEILGGDTTALHDGIDAFGLLTVFRAPVQAMLVQHQEALAPSLSTLRQYFAALHPSA GCPRAHGSASRAAACSAYSQLNDFLNPGGSTPKSVAAALRRGASPSMRRLLYARALQL QLVVLEGGSTNNAPGELHGSNCGDVIGRCVSFGTSRNLESMRRRVHHKYAVKGMEVTV KVLQAVVKVDNMQSVGDSDRYFIFLDETEALGTTLIVDKDVSDVHLKSTLAQLGRPPE QIESYLVYLLHADVPSADAGQQRTQQQHQQTKTQHLLPSGFFPVEKCTLLIAPICYIT GDTTEQYSLVVALFGQLWCRLQGPTPELAQCCWIFESLVVRFAAPACLHATRALRYPP LRLALRWMMTAFADVLEPNELLNLWDLVLSYHIEEVFSGHTSLSLPMCACGRSRGSQR LLPAPCALWLLPIVAASIFVYRAPLVERCGTAEEMLLLFTTGHHLRCRPLLQYLLFMA K XP_803846.1 MSCDQLTCADKRNFVVIMSIERLRREVEQQERRVAALREKKVRR YAVLSQVRLLMNTTDPTSFTALSNSLWQLLHDMAAAETLAVNGDDPTITESVSRSASD GLFSKEMEQRIMQEEEPPLKGEWSYEDEDESVDQKKRKKRRRRQKQRKERLGGVEATG RTLALAEDNARSALLAAVDALQLDGAPQQVVGVPEWLADVETTEPGELYSGGKSDGSD KEEENVYSDDFDELSDD XP_803850.1 MIYSDNNNPREDSVFLRVKRAVRCGGRGPVTGPIQMVDFLRDFR CLEEEQRASGRKGVTHKQFVKLMEQYGTKLREGDAAYLCKAFDDDNDGYINPERFVRH FTGLNQRRHNAVLRAWASLPKDAKGRVRRNHLNERFSETVTHGDVWGTFSSTVPREAQ ANNADDDSDDPTLCFEEFLAFYAAVSVEIPLDEKFELFLLREWCADSSRAPVMNSTLR EWGQGGDPLAIGKPLYVQDVLDRPLGLSTKSYNYEHMKRVHPYIPPLPPLQLPYLSTM RKDYREFSTQERALSNTLHGR XP_803852.1 MVECDGRTRNNALVCGFVWNKKKKQIPLKRYGWGVLLPTAYHLL PCA XP_803853.1 MRIPEGTAKILAKVPLPDEVAVVHRNAAVVDIGACKTRIGFAGD DIPRVNEPTCVLRGGEQESTLYLRKAYDKRAIGDTVRVIEDKEVNWDAMELLLQHLDD ILHLSNSEVPTPLLLTEKMLVPRAHRQRLAEMLFEKHNLCSVYFAPSPALALYASGVC SGVSVEMGYDACHVVPVFQGCPMFHAVHALEYGGKLCTQYMMNTGQPLPDVVHPRHRV DVWEHIKEKNCETCPSSAAFRRAVEMEANMNSSNEENEDYSGRNKNVVHHKLPDGTII SLGSSRFVPSEMLFDPSLAPTTEHESNHKWVEHFEYLHTYAFPQGIHRLLVDSVRKCD TDLQGLLYGALHLSGGCSLLSGLPERLRDDVASITAQAVHVEAQTERRDAAFVGGSIL ASLPTFQNFWVTRAEYSEFGAGAVLRRGL XP_803854.1 MAKEKILCPTTFPTLNRSRMFHKPKGVKIKIKKKPMIATVSKHY HEMKRRMCNT XP_803855.1 MRTTESSAKPSTNITEERSEVEEAKTNDNIPRCIPYDPEGTVLA MQRLRDVKHRCPAKIANCLQKINKEIAEKLTNAGEALSASPSTSLSSVTVAGPDGRQR TGPPAPLAALPEPWEDRLDAPIAVSNTLGRKRGLFGDVERKELHHHVISILNRVAGDA TKYREVKNELLRLPIPEASDEMLKNIVNVFFMKAVREQHFANLYADLVVELCKVPEGQ RIVGNKSQSLEFRMRQQLLSRCQEEFQRLEEKNIETANQGGEDRNTQSADESFKERRD RACGIVAFVGQLFLRHIVTEKVIMQILTTTTAGHYGREGLVMPPPYTPSEGQMDELVK LLGIVDSAFFATPLGSSMLVVFTDIMVHWSQHHPVLRIRLLLLSVVERLQKKIGEQNA AAQQQRQQQQQQPPGQTRQSAFEGKRPPNSVGDSQRPVSPSSGGNSPLSVSGVPAAVS VAGVEVAARPIQPPPAARNSLIPAPRSAASRQSSIVVTTPLTSTVSTSFAVKQVATTE TVATYMHNVTRCDCTVDMVVDEIANAFENVISVVVVWTERCLTVVKTAKQRVLFGPFL CSVERQCGGTLKEKLRQVAMDAFRNAVRQKLYEDLAIFKYWTEMICSDTAREVLDETL LNEGLDCVMSFDRSAVRIYLRDVAMQLQQRSSGPQREPSLEKNDFIRYRPLQVIHANT AESGVEPILALIDYPDVRKQSIEIDLYCTIVTDNPPKNVLFDSLASSPCLESPLAAAE VFSALLHAWLKSGFANSIQDHVDICLLAVNNRDRATREILLLMELYSVLKNVVPPSTR SIEMGEIALKVLKKGLVTEETRVRAYNYLEPLERNPHHFIGIPPGSIARSEFESSKPV ISTLKERKSHSGNGSSGAVRRV XP_803856.1 MTKSLQPLLFYWYFQCFFSFIHSFYPSLSLFVTRGIMQFQAQMS KRTQRCRKTYHFQSNATYRERQKRVALHICTSPTCTPIRN XP_803857.1 MISLPECRLSFACSALLPSVSFNKKRGRKVKLFLVYCVDVYIYI YICPLFVSPFFFSFYCLSVSLRPFFFFNSAAVSFPLSFPLTFLLSSFLTLRCVCGMCC ELSRWRGCDQNVCVCAYMEMLW XP_803858.1 MSGSLNVEQGPREASPGSATLLDSPAATGMSTRHAEQVVQSIKV LYELHKSGALSLEEFNAAKQQILFPPHSLLSVSGRSSEVGHAEYVRSRHIKKRENRGS KRGSSHWSSTSSSSSSISTVSNFMVAPRPRVWLPLVDEGSGDGVNHDAVMKEELDEGF LSGPVDKAGYYSLRSRTLSQDRGRPCAPPSSNGHGSNLKRYGTFRSPWPVVIGDTSIP KESVRAPMLPVNQVFVEYFNCRGERGQTFSSVELKEHQLRPPLFLHKRFATGQRERVP EALSTLAHTQELVPSESAVLHEEYPAAEPPDAPSVSGNSSLELCLNWYWVDMVGRDPS EVMYKNALRHLTKQFDIAESFLLDREHPLVLPQICSSPEDPSQFLICLRVATAKIALD DDSVKELTNRWILVVDLKRKVVITIHRMDSSYIANMRYHWKSLMERSDISFEEFLVRI MHDAVCTYTSHLIAHSDILEKCEAKLFVSSRRGTNNVPGTEYSASKQHAEGRIFSLFV DGSSSPFLLKLMDTKNKEPMDKGLMNIFLYHLHRRASVHHRVLNMTRVVLSESFTKLG LCSKEYADEMCVHCIELIDRALEICDDAKTLLDMHISLQSFRTNELMALLTKFSAFFT PSSFLAAVYGMNFPHIPELQWAWGYPCYWLACIVACVLIYLYMYRRGLLE XP_803859.1 MIFFCYFWESCNFALRVVVFFLNKKKGGFVPQCVFVCGWAGTKF FN XP_803860.1 MRLLCSYCCLPRGPEMSPLPLSPFELPCSAKLKLSGRMNITFFC CLFSFTFSVSYQ XP_803861.1 MNQNNLSCEDSDVSTNPGNASPGSGDDSGTSSEGHPSCVDNSVS PSVSSLLSQAEKSATDSVHAEEEHLEKDTELRQGMKQGGFVKSAGRGSKDPLALDQAV APAIPVPFVGLINEGCTCYLNSLLQMLFHLCYFRNAVYLTPTDANDESCSIPRALQSV FHEMEIRRTPVHTKKLTAAFDWTESELYSQHDIQEMATLLRDNLEERMKGSVSEGAIN RMFEGYGEQVVATLDKSFCSRSRDTFYDIHLPLEGHTNLMDSLRSLTARDMLVGDNKY RVEEPGREPQYKDAQKSYEFRRFPPVIWFHLKRFEMDLTSPILETKKVNSYLEFPVEL SLEELEHETPSNWGEYATQVFAGDMGDEKKMTGDDGGEKRKRRKGDNEVATAIARPFS RDTPAVYDLQGVIVHKGSVRSGHYYCYIREWDATEKRFTRWLEFDDERVTVVSQEVAV NDNFGVPVPTEGRPLWHMPTNNAYMLSYVRRADCQRMFERPKNNIVPQCVRDQLREEI QEEKRREEEANMRRRKLVLYVLTDEIISEHVKRVQRETFPSDPRIWEEVCFRVEVEKT TPLQMVYVSVAECVPNVKKRFRFDDFRLWLSPWTSHIHVAVPLQLTESTKNCSLTEYL NYRNDDKSPLMHFLVYLQRRTTLPQLYVPNTASLFVNQRLGAEAVAACNGCTIILPKP TPISSITFYTIYFSDYAAPCNVHICLYAADDQKTPCESKTCTLSRDLCMFDVNSTGQK IALIRCAVRGSLAWVYLLANERPSMSSLRVTYGCEGEGIEETNISSLPRIDRCAVLVF LKYFNPVTRHVAYAGSAMIPLHATVADCGRVLLRLLGEDPDLVDTLLIYEESQCQNVL LDNEKTLQNSGIIVGSVLIAQQRNSRVHSYTDVNDYLLSLPNFIHVKATHSVFEERYM FSEEDSGYEADNVEGSDDCVVPARPAVVEASIPMSDADSEVRGQTTFYPPRNFHVIKA YMLTLDSQLKYDEVCEAIGTASGHDPAFIRLYKGTCGGNPNCGEILTPEVDPAPSDMV LSGLLWGNRVDVIFFEVLREPRRVVECRPRLVVTVRGRKNEAIYKEKLVVPQGTTVGD VVEQAVRRCAITVERTFCSDGGAGVALDAGGSVNRCSRSRSNNSEVISSQVITPFVIS PHYLALVVDPRERLINCIIEVPVMEDGTCDCNCFIDDLVSQAVAAYGTAGLCAPVEPL TIFVVPAPPLQKDQFRIACCHGEVFADRDDSLPLMFGQPFVVTADYHATVETLRDILL EYTGVPANEVVPLQRGVVVIATRRSVFLPWSECFFEFVRREERKRGPFTPSLLLNHRR PREKPGSRYVAQAAPALRISRR XP_803863.1 MAVVQVDKICISPPSLFCREPNSSLVIITILFTNTQRKKQTNLP FVRQSKRCGQTSKERRLLHHCHTNTKKNARQKNSTNRKGEKKNTGRLTM XP_803865.1 MGDNVAHFFFFKGPSFSLFCFLLLYWPPLCVAQWRFLGVLTPVS FRVCMCMCMCMCVHVCCVFFLLFLLILLLLLFLFFVSAGNSKSNIPATFHHYYYYCYL FIYSFYLLFSIWSSFSSAVGSVAHASTHNKVHAHRRYTAAYAYVHLYLY XP_803866.1 MFAIELGSLPFPSLLKFISIVIIMLSLLSLLFPLLFFFRFFFTS FSPLPHTNDFLSAFQLLPFAKELALDSVALRSERIRGEKRRG XP_803867.1 MLDTITAVSDDNYHAHNDVTVVSRNTPTHFNSLQGGITQLLLKH VISLLWNPPASFLSCFFSFFLLSLPSFHSSLFF XP_803868.1 MAEAHKYKKLTPIEHVLTRPEMYIGSLDTTATPMFIYDEQKGHM VWETVKLNHGLLKIVDEILLNASDNISNRSARMTYIRVTITDTGEITIENDGAGIPIV RSREHKLYIPEMVFGHLLTSSNYDDDNQNAVAGRHGYGAKLTNILSLSFSVCCRTNGR EFHMSWQDHMRKATAPRVSNVGTKEKNVTRVKFLPDYERFGMKEKKISNDMKRVLYKR IMDLSAMFPNIQITLNGSSFGFKSFKDYATLYSAMTPKGEKPPPPYVYESKSGCVAFI PSVVPGVRRMFGVVNGVVTYNGGTHCNAAQDILTGCLDGVERELKKENKVMDTNRVLR HFTILVFLVQVQPKFDSQNKARLVSTPTMPRVPRQDVMKYLLRMPFLEAHVSTITGQL AQELNKEIGTGRRMSSKTLLTSITKLVDATSTRRDPKHTRTLIVTEGDSAKALAQNSL SSDQKRYTGVFPLRGKLLNVRNKNLKRLRNCKELQELFCALGLELDKDYTDADELRYQ RILIMTDQDADGSHIKGLVINAFESLWPSLLVRNPGFISIFSTPIVKARLRDKSVVSF FSMKEFHKWQRSNANTPYTCKYYKGLGTSTTAEGKEYFKDMEKHTMRLLVDRSDHKLL DNVFDSQEVEWRKDWMTKANAFTGEVDIDRSKKMLTVTDFVHKEMVHFALVGNARALA HSVDGLKPSQRKIIWALMRRSGNEAAKVAQLSGYISEASAFHHGETSLQETMIKMAQS FTGGNNVNLLVPEGQFGSRQQLGNDHAAPRYIFTKLSKVARLLFPSEDDPLLDYIVEE GQQVEPNHYVPILPLLLCNGSVGIGFGFASNIPPFHPLDVSAAVRAMISGEAAKSVVR RLVPWAVGFQGEIRRGPEGEFIAVGTYTYCKGGRVHVTELPWTCSVEAFREHISYLAT KDIVNRIADYSGANHVDIDVEVAQGAVNTYAECESELGLTQRIHINGTVFSPNGTLSP LESDLTPVLQWHYDRRLDLYKKRRQRNLMLLEQELAREKSTLKFVQHFRAGHIDFANA TEATLEKVCSKLGLVRVDDSFDYILRKPITFYTKTSFENLLKKIAETERRIEALKKTT PVQLWLGELDQFDRFFQDHEKKMVEAILKERRQRSPPSDLLPGLQQPRLEVEEAKGGK KFEMRVQVRKYVPPPTKRGAGGRSDGDGGATAAGAAAAVGGRGEKKGPGRAGGVRRMV LDALAKRVTRLLPRLLF XP_803869.1 MKIISITATNRLFSFYVLILTIYFIPPTRPSVQFLHHSATTTTI TALFFFAPKCFFFIFFFIACFYDL XP_826956.1 MFYVHAMCYSFRCVMSSTILCSSSPSSEVVFLFILCSLLESFCQ RTFLSTSPSLPCLSRDLV XP_826957.1 MEAIYFTTKLDEVRSYYESDTKSDDDIVYAVYSLVNDAHTVSKM LLLESRFEELRRCLSVCWVALGEEHVKEDGRIVLGNLTGEDRRMIDLLLQRTLLLEQQ TEEVIQKNKAQNIMSYTAEVIRSQLATLTKESGLKSGIGTANGDNKERGQGESKPPVT PPKRGSAFGRSKLMRRWGGERATPPLVTYTRFSNASSGLPYETVSSLTESDEGAQPEG SSSFSHYTLRDVSATAIHRVGNGSYSSSVSGGADVRPGAAVCVPSENFVPPVNSSELF GPNASTLGRDQRGICSGLAEASAGPSRSPSEKSSSFSSYTSALSDVWAADTGKRIART ATAMRVAVEEQYFPAGVVEGLDNAILSEVKQDSVKGPPEIPPRLSHTLTCGALVGQQD HTKRKSDAATDDGLVAFDESVSGDAPADPLRETMETADQHVETVPCLPPMQNDVSPGS SQGSVELCSGQRNDTQSTSGFLPMLTLCPKLSSEAKAHVAKVCKEYKEKMMDVNLSME AMRRKTEEQKQLLNPKDKFVLKATNEWMRMQKTPTTSPGAAVEPSVAGQSDLPTPSKG PAEVAYKQACLSVGQRLQTSHTWRRRSSRMPSCRTVESFATDESFFSQTNTFEYPTTS SASHTGVGPLNGIRGSAGKAATDETRENVSQTDGAKARSSPTPRRKIAGVRLTDAQHQ LKRLRLLSDEPFPEVHRMVIERAEKIVDLEQGGLSIGRLRSHPRSSHREGLPSNTENF PGLSLSSVQTNSLTRYGHVLSSLPSGNMHPGTGSSEHLPLLSWMKQNCGSLSAAVRKD VLGIRPSSGANLVTVVRSTDAKVTAEDLQPTILSSIDDLHRRPNWTVLNTATLSMFAP LHLAVVRIQLVLRQFIAKRELNDRKRAVEDHLARLVVRDECAIAIQRWGRWLISLQFV AVLLYRSKTYEKLSERSLNCEAPSFTDVSYELIRRFSVTGNLTTAFFTPTPEPRIRSG NLSRTGNRRVTVGCLPYFPRSTVGQAPFGLPSSSCTTMCQASVSLSRESSPVRRQREI DASRVIVKAFRKCKQSKLRVWEREMDNFLRFVTRKASAGETFKDSRCKSQRQTQKYTG DVKCSPRRGGRAEDATLFPVSPDRLLGDTYAAWEARVRKAREEGNFLTPLNSVKLSQL YSIYEQRLEVEALRRLRELEALIEAEEEQVKREELEQSLQVLQPCLRGYLARRRIAFR WKELKKGKLFSNRPARLINVSRNRTAGFRGRAERGRTVPLEGESLEFACEEEHPIPPK VDNFIQQSINRRNTLMIPITDEGRTARLRLVIMMQTCLRQKASLLSVADQYVTVCAVA IQRWWRLMTLLRKRSSDKIQGANCAI XP_826958.1 MFQKALRRLQQQASQNPPVGSANIPSPYATEGGHVPIWSIVNGF VQKRNWVFRNPEWCDLCKEPVALWVNHHGRKDHALMDMHYTQMMEYPRRWNPEEVLVA FFDELQLPVETYQRSYTCYERAHRNELYSMLVELEEAKMLYFGEPRNTYLHRMQGGLR GMDHQGALVLHRYILGPFMRLYPNAHIQDFSNLVDFVTCAYNMETVYDLCGFYTLDKV ALKADYKPSSPAALGLGGIAVHSSPSHGFVQNAAESQQQSRRASSTASTGSRSAADMD EEAFSRKAVFVRQLLGQLRWLTLPCEEHPAGCKFPQHLMLLGEICLKYLVVEIIAARL CEYMVRVEPVWRDHGYERVKLNVDKIMKQGRDILPEPIKYFYRPMSPNMDDLYSTKVG VLDEALEKAAVTQSLSKGV XP_826959.1 MRRRVDKHGCIKNKETKMLKTAMVQIICNNNNRVRNNTPFTESD GK XP_826960.1 MDPAVRESVSRIPLLKTKAGPRDGEQWTQRLKEEYTSLIQFVEN NKASDNHWFKLESNEAGTRWYGTCWTYYKNERYEFNMNFDLAVTYPQAPPEIALPELE GKTVKMYRGGKICMTTHFFPLWARNVPYFGISHALALGLGPWLSIEVPAMVEDGVLKP KKVES XP_826961.1 MQATARAAVRIDQNCNGKKRKEERRGLMEKENRRKDEKPVILCA VPSAPSCRPCPHTHVNGTTLYIKMKTK XP_826962.1 MHLFPPLFHTSIKLRSPLPHPPPALRGTDKGGTAPQEKVIIIII VKVYIKKCVGKKKRGQKKKA XP_826963.1 MVNGLPPAFQQTHYSSDDIFAFLRTASPVQSSCEILFPEEVWVQ QELQFYEDSYTEQQDLRTCIVTFNVASKKPPQNLASLIALTMPGAGGEPVDLIMVSLQ EVDMSASAMLKDETDASVVWVSALQAVIGADSQAAGESPYFAFPPKQLVGLLLCVFIR RSLLPHAQKMAITTVATGALGTMGNKGAVGLHLGLCRSNLCFINMHLAAGQKNVVKRN NDVSKIFMGMDFNTTKRPISLETRGGNSAQSELQFQYPEFLPHNNDVIVVAGDLNYRV NLTYRESLQLAMKKDYATLLKHDEFVKELANTHSPWMGFVELTPTYPPTYRYDIGTNN YDTSEKQRVPSYTDRIAIWTRRRDHQSSIRLERLQALTDVMSSDHKPVQACLCLPISR EVLEKKISVTQSLRDSVKREGLDRIRKAKISVNSQSLNFGVRQFGDCGSRQPLKITNE GDCVAVIKAFRQQDGDPSKGAWLRVFPLIIFIPPRKEKEVMIECQLDRNSTEWVRNWR PFEGRGEVEITSTLVLCVRNGDIHFVECRCTVRPSVFGNTLDNISLLRNEVCAAAYTL WGTPERRSGGCMPQIPKELWYLCEAIYERGAQQPNLFTENPSTEVCDAIMKHLNTQCR PLPSEYNVQCISACLIYFLQSLQEPVVPYELYEKALAVLKSKSGNPFQFVQQQLPPLH ANVWIYVCSLMNFLLRPVNTCGNGLTTKFLARVLSDVMLVRPEALTQMPPSVGTCTHQ EVASNAPPKGGTALQAFRQQLQQEREDALRFVECFLVPPPAVIL XP_826964.1 MSMKYLAAYALASLAKPAPTADDVKAICKASGVKVEEDSLAFVM EAIDGRSVNTLIAEGVAKMSAVSVAAAPAAGGAAAPAAGGAAAGGAAAPAKKQEVEEE EDDDMGFGLFD XP_826965.1 MTFLYHRWYNDDFTIANTPSGSFRDVCMTWSYTDPRIKETTLIF LLSPSVALTEGAEGFVEHGLHALKIVHIRGATSQPSKGEVEEVVCCTVVEIVGSIPDG VVHGTGGVIFLSTRHFLFHIPTGEQSRTGGKEREDALDHFVFTEKNSWERRCGVVRPL YDVEPIRHVLYGAEGNKSPVLHIVTVVSQPSPSSVSMQSAVVDLLVVASSRDERDISQ YSRTEQGLLGKTISRWRGSSKASGDSSVAVTHTFVVAVVRCEISTSADRPAAWKLLHL HVDRNVPFQRRLEELTGREDLCGVGCDRKGSNATAAHMDPSKCVWCYLPNEGGARAGY CGLVFALVPHKPSTKLTLVCGSYDPSGQSYRVGFVKGDDAHGVGPPLYEERDNTEEML WSLAEGTHTVQMVMIGRPNEALHDNEGTCGGANFQGREGLRAQWRKSASSSTWMRKSG LATVLSFVVDFVDDGLHKSRNLRQGLHVAVCTAWERNRHGRFPLPRTVCWLGTIPLPI GQAATSAVLFHAEGNDEGMNVWRMMDRVNLSDCAAVCDDTVSWYHALPTSVVPTVAAP VSRTNLRCFVSVNTLLKKTQLTYVLDTRFVEEKTCEEQRPSLLSSLELSKLFYTRPAI AEEGHGAMYNRGATSDDCADLAAYVARSVASSSGPLMVAHIFNEAWRSVALVKHPETA VHAHDGVARDGLLPSTDGEMIALGDTVCHFLLLVLQELDWINSPQNTRLHILVLSILE AVYAEPQLPPSSRCFEEATNGGGKTQMLLVGVNEQRTVRLLLQGSGMWRRVAPMLFAW ANYRFYGNGCENELEEDSDSLFEDTLRPFIEGWEKQENMAGFDSHACTASCNNDSESG ESCLILKRCDVILRHLQHSQDCDKYRSAVAALFRGGVSLNRVTALASWAGDVQAVDPA VLLFQLLLEVCTQCEEHVQLAAAVDRETICQLTALVMQSRLPPVDTVKNDENSLPAAP DVLHSMSEVLSSWNFELLRDWPLGGTCGALPVVYLFYHMVGALMASPCDNMEDRRERC NIITHSVMLLQWLCGDDARQSTEVFGALISGKVPLVALCTVGGFAVQAGCAPSSGVPP CDAVARRSFNRVLYHLFVSPTTSVLPQLLLAEFGISYDYVSHEKIGFSVWKRVLEGDV AAAFSSRLLNQLHKSLGSFSNKKHPTRAVQVSLLLEYLRSVFMVSLPRLVMALGESEE IVEIALGSTIPSFIMSELSVDPVPPVEGCDNVTCVFFNVTREVKKLLHLYMEGSGEVR CLAMLFGVFCDSCKSLLGHVDDTENVRDSAQPGGVEREQSMFTFTLQEMYFISLQVLS SDMGRFVVVAELIRRSVRRQAVRDLEKSLHRFDASWLNNSSRLQEFISSAVANLDGVV SSLESVAPLYAHTLVMIFLDELVLLAGETTEAVGRVVASPSIPHEQGEGYYKGEVIVD LNDKLTKCVKQMAAEKWKGLRKEDRRLIARVLSSCLVPSSDEAFGDGGYEESDVHLQM RDVKVRMSKSLQRRTIDPTVMGIVVGEASANESDKFPVELRRNNDSDGVLKVGGDAER AEFALLVKEEAYVRRKLKGQLLDSYAELINGNGVHDSLAILYLALREEQRRRRFVEYV TECKDFVLDFAISLHMLMREYYNEGVYHLQEKALREMERRVGLLLLQEREARTAIEAV HSVGRDIYFCWEKEQRSLFEGESRCRLAMLEDEENTRDAIYEHFAKEEVAVVRRCEER LEEEAWRQEEEQWALEMKQREDEEVSAARTQNKKPSEGKRTGTSAFTRWQERRLQMVM VHEEVSEPMEQERCPTSSITEAEALPTTKPEILPRERTTAFVQDPVEALTSLVRTEPT EESVDGRGYSALFDSSESLFGAISRFQQQIISTVAPPPLSVAKQKEQEQGGEMPHQSP TELTANPHSSTQTQQPVGSEWEWGWDEVPDDAGGSWKVSRNDCKTGVATENYLTTRIP DEKKEEFSEKIIKLEALSKAVKPRPQRRRPFGGVVNLHAKPTEGKVSPINDSIPETGQ EEQQIGEISLRSADEGHAKEVSTLLTSTEMWNAKGEELHPFSATVGEPLDVATSSLWV GEHQMNLAVSDVCEEVVCHTEYLPKDSLEMSSVILSLSSFHVMQEEEGGARLALLNEE RIGRVFLNRRLVMGL XP_826966.1 MSTTTDFVGRNCPHCSAVDSLQTDDVMGEVACTACALVVAMGLE ENVFTRYNENATYEDVDHHRERNANPTAATSAAGSLSAADPHMSSTSSKVVLHPTMLN CMRGLHKKAVLPEPVLDRGIELARAFVGGRRARGQRVERQPDVAAACLMIAAEEAQQP LPLAEVRCLDSSLGDVELRRADIVRELHLEDSERRLRDTFADNLLVKYILKLGLQVSL YLPHCKRLLTALGRVEALAGLTVADRVTTALLLARTAQTLSWEQGTHISKGKECDLGM EAIYANFSSKAHLEVTKVNKIMHLAVDVLPLIQAAFQDCGEPTAGKRKVDKNSEPEAS GSTKRVKREET XP_826967.1 MLERWRRPFIIFSIYSILLCHFLFLFFTKLVVIRRVSLSGEGGR RNESEK XP_826968.1 MPRENFSPKHVDPVNFHNDDDEDEEEFDLLKVMNDMKVHERRNV YALKGLLNEYKSVRAKFREELSRLEVEHLRDAQHFHDIRAAIVCGTRDITDEEIAAAQ ASLAAETTSSGVRAISSSDEEPDAGDAKRDENKAGKKSVRVVSPQEDPGRLEKAAAAP DGGIPDFWLTAMCNAEVLDSTITERDRPALSHLQDIQLEHIDGDPHKGVRINFHFSPN EYFTNEVLSKTYRMAFDEDSGEVEIDSMSATPVDWKSREKNLTVILKKKKQRHKTKRE IRVVTREEKCPSFFNFFTNPLGGEDEDEDEDGDGKEDEKRDEKEKGKKTDDDDDDDDD DEEETAELHIELGQVLMEELVPKAAFYYTGKSVEETALALIKKFSVESDDEDGDEDDD SDDGSDIGGKSSKKPAPSGGKTNQPECQQQ XP_826969.1 MSCGDAKLNHPAPHFNEVALMPNGTFKKVDLASYRGKWVVLFFY PLDFTFVCPTEICQFSDRVKEFNDVDCEVIACSMDSEFSHLAWTNVERKKGGLGTMNI PILADKTKSIMKAYGVLKEEDGVAYRGLFIIDPQQNLRQITINDLPVGRNVDETLRLV KAFQFVEKHGEVCPANWKPGSKTMKADPNGSQDYFSSMN XP_826970.1 MLVLVISHFTMKSEKGFSDGFLPILGHLILHHALPIFFCSFPLH PPFTPGSLLLPSPSLHAKAQTCNWCS XP_826971.1 MLVHLDKWWKSKAHRRIKGARGARFASLKFHVLFLGSVICFVEW VMRSTDCYEDPSYHIAPPPVAPPPPLAASIGYALSREMPHLRVMELEKGIPSHLPKTR GVDYVLLRSEGRFV XP_826972.1 MSCGDAKLNHPAPHFNEVALMPNGTFKKVDLASYRGKWVVLFFY PLDFTFVCPTEICQFSDRVKEFNDVDCEVIACSMDSEFSHLAWTNVERKKGGLGTMNI PILADKTKSIMKAYGVLKEEDGVAYRGLFIIDPQQNLRQITINDLPVGRNVDETLRLV KAFQFVEKHGEVCPANWKPGSKTMKADPNGSQDYFSSMN XP_826973.1 MHRANIILRTAVTAANANAVLTGEGRPFSMVTREVKAMQNHISS LVANKENEVLDYAGKYVLSSGGKLLRPTLVAMMAHALVPPHMSQQFQASDIGSLDDIP SGTIRPFLRLGEVTELIHTAALVHDDVIDDSEMRRGKPALHCVHDVKRAVLAGDFLLA RASLWIASLCVPRIVVLMTTALEDLTRGEMMQMEGCFDLERYEEKTYCKTGSLIANSL AATAVLADPSNSAHEVAAGEYGRRLGIAFQIVDDCLDITGDEKNLGKRTMVDMKAGIA TLPTLLAARQDSKVDAAVRRRFKEPGDAEICVEAIERHGCVMEALQHADQHCRRGIAA LRTLHESPARERLEAAMNLLLTREL XP_826974.1 MMRRASYCAGHAFTSLTVVGSRRFFQGERCEAEIVKLTKERGIF SDASVEASVHQLMSRLSDSPYVRHYTDAEVSAHAHGFLCAKARSALGEPFEHFHESDD SAFYICRKDQASQLRAVRQLTKFVSRERETKQSVSVRCYTAGDSDIAVFTAKIRPFVN PNPEKGETDIGQLASSAFLEERSPEMQERYQDLINRFHESVVPVHTVREVDGEVCFSM IMAADRSYYIATLQTVIQEIPGAVVTRCFSETFSNDTHVYTYFVRGATSQQLSDRASL VPFLPTRPRSLITRLHEDMVFNTEQTVYTDAAVIFAFYFTPQPTSEDYRHLRSLVSKE PNGLSRLNNLRTTLSLEMMSERYILTLISTYPEFMKEIYEDFQVGTTHERRCAIREKI TTRFREDQRSEHDLGIFNAFLQFNEVVLKHNFFKQHKVALCFRLDPSFLRSLGYPRVP HGVFLLAGAQWRGFHVRFTDIARGGVRMIISKDTMYRRNKRSVFQENYNLALTQLLKN KDIPEGGSKGTILVSSRYLNTFNQPLCERIFLQYADALLDVVIPGEEGIVDRLKTPEI IFLGPDEHTAGTFPSVGSLFSKKRGYSAWKSFTTGKDASLGGIPHDTYAMTTRSVRTM VRGVYEKLGLDEASQTKFQTGGPDGDLGSNEILLSKEKTLAVLDISASLFDPEGLNKE ELKRLATARKQLRDFDKSKLSSKGFLVLTGDKNVTLPDGTHVSDGVSFRDEFHLTKYS AADVFVPCGGRPRSVTLANVGRFLNLSAADNDSLLAGGSIQLKTPKYKIIVEGANLFI SQDARLAIERCGIVLIKDASANKGGVTSSSLEVYAGLALSDEEHAQHMCVKDPNNVPE FYKKYVLDIIERIESNARREFEAIWREQQVQEGMPKTLIADSLSEKNVRVRASILSSD MCENKELVRYVLSKYTPKTLLEVVPLETLMERVPLEYQKAICAMWLASEYVYTTGISG NEFDFFTFMTKHMEQAKHIS XP_826975.1 QTNHTGGNCSSIFIHAHSYVHVYLYMRVCGRHLREEDTNLKMGR KQNSRRKTSERALAKEKDDKRTQKKIHQ XP_826976.1 MCVVCMWGRQHINFICAFPPPPPLLLPPFFPSKSAETKNNGYDD DTEEDGRVDALVEVKVVGKGENVKLR XP_826980.1 MKKKVLLQPLMVYIFVYTNAYDCLFDIFIFLTICFPTSQAKMTL F XP_826981.1 MPAAPLPGEVAKFCSPLYMHKRILRAAAPKPPTVFTAKDNSKTA FHVVTQRPGFATTPYTVSRWYLKEKVHSSNRNRLEGLYECVLCASCTGSCPQYWWNRE LFLGPAVLLQSYRWLIEPLDRDFDSRVKMFEHGPLVNFCHNIFNCSITCPKFLNPGMA SKEIKRLSSPATLRVGPPLEDAKVASKY XP_826982.1 MYEKVCLHIRVCAFFFWHVMCCCCSIFCKCCHYYYFVRNASAST FSSS XP_826983.1 MLLKFTATGDFLRPFINLLQATTKQGVVLFFQPLDNVLVLRTVG PNSTLYLVASVGTEHFHEYRYDKGDATADSFAVDGILEAGKDSSAEVNNMEHHVPVLS RALLATVLRQPQGLHLISVQYSSRDGDGISKDVMQWECVCGDGIVKVFMLPLVREKLE RAYVNPERYVFDACASAKTWGHFLRHLPGSQFIVVQPHDTHLELLVMNCSEAAGHEVD SKVLVRQGDLLFMRRIHRATEGNDDGYSLLPGKYAELKPLKHICLLADQLGMMIRLRS AMGGVPLFVETLTMQEAQSLKAGGAPTVSMDTVIDTTAPDLYNRGMAPISSSLRIRYA VYIPAIDIWPSSADSFDAPTDGGRRSVASTATSHRDSRDLTNIASPRGSVGLPQADPC LGCAETPVASATTAVNGVTSAPLPTGLHFGTNQVGTGGGLNPQTLASPTPAPLTSGYS VGSPVISAQSAPSYDPPPSGVVIDGNMMMVRSTFQVGNSVGSEHTNYKRSRDNVTSER SSALPFSLSERVPCTDNFGPQPIEQRGHESTTWSTLTPERRSSFQLNHDEQSKLPPMG SRQLPLDARYPLDFNAYVLELDEGRCEDDLDEDDEDLQRFLDSCASVLVVPQTQSY XP_826986.1 MSQDRGKRQVLDATVRDDNPYSRLMALQRMGVVENYEAIRQKSV AIIGAGGVGSVVAEMLTRCGIAKILLFDYDKVELANMNRLFYRPEQQGMSKVAAAKQT LEGINPDTEIVPFDFSITAAEHWQDFADALTKNGGVKPSTPVDLLLCCVDNFQARLTV NYACLLFNIPWMESGVAENAVSGHIQLLLPGVTPCYECCPPLVVATGMPEAKREGVCA ASLPTTMGIVAGFLAQNALKYLLNFGTVSEYIGYDAVRDYFPSVTIKANPDCRNATCV EKQREYAERKARLGDAAHPLHNAAKHRTEREAKEREAAKARAAASAKEWGIVVEEHGK DDLSVNTKGDTGGVEYAYGAGGKAEGNAPREDEFVAADSGESLEALMAKMRAM XP_826987.1 MESSRKAQVEGLPVAVRHCGGRQKNTDPNFPFFRFAMGYFFTLT TQKGEEKPQLFRQSSLVEDVGTHSQISTQQKFTLANSTETA XP_826988.1 MSKQEPLQKIPGECPTPRELGKAGWIILHSAAAVFPYNPTPTQQ EAFRNFLHGWSHAYACSHCAYHMRRYFHQNPPVVTDKLALNRYLCEFHNAVNERVGNK IYDCDPMNVLRRWHPTFPDMEDQPTIEEQVKSLELKEKNETPQGVSDRWRQQNSSASP DGNVGRWSVGDARWTDTTSESRRTNVGEISAGWGTAGEKMKQRNSAGDGVSDAGASEK KWWRWGNSTSSSTTATIATPSAAEPAEDVEASVTSILSKLRACMVYCPDDKKSSA XP_826989.1 MFLFSYTTSVLTLFYFPIARVCEYPYNYSLHPPICLRSYTQCEE TGRHTTIKMGPLSKKRMMVRDGVFYAELFEFLKRELADDGFAGVEHRVTPTRTEIVIR STKTREVLGEKGRRIRELTACLQQRFNYKEGKLQLFAERVEVRGLSAMAQAESLRFKL LSNLQVRRAAMGIIRYVMESGAKGCEVTIGGKIKGQRAKSMTFRDGYMIKSGTAHKHF VDTATRHCHLRAGTIGVNVKIMRPQSMIEEDEVLPDVITVIEPKTIEA XP_826990.1 MVRTTRFSRNSSKPLFQQLQLITSYGLFQEYPPIWSLPLPPQQP RDTGKPTLVLDIDETLIHTVGMRNEGSDSVSFSFFLRPHAKEFLAEVRELYEVVFWTA GTASYCSAVMDALEVQVLQLPRSFYNIDELRVEARGGISTKNVNFYALSRTQTLQGHN YMKYLPMLGRPLNRVIVIDSDVRSFPLHPRNGVKIEPFLPNERVLSEYARVVTDEVKY GQVGQRQYEGEMAKVIERGEEEVARLQADHALMDLIPMLRSAASSTDLTHELDHWRTD EYTKCDDFRETMNSLSVTRQKILGNVLKERRNVPIPPLKQHVMNHGFMEEANAAMKLE QMRHTPSRL XP_826991.1 MVRTTRFSRNSSKPLFQQLQLITSYGLFQEYPPIWSLPLPPQQP RDTGKPTLVLDIDETLIHTVGMRNEGSDSVSFSFFLRPHAKEFLAEVRELYEVVFWTA GTASYCSAVMDALEVQVLQLPRSFYNIDELRVEARGGISTKNVNFYALSRTQTLQGHN YMKYLPMLGRPLNRVIMVDDNVRSFPLHPRNGVKIEPFLPNERVLSEYARIVTDEVKY GQVGQRQYEGEMAKVIECGEEEVARLQADHALMDLIPMLRSAASSTDLTHELDHWRTD EYTKCDDFRETMNSLSVTRQKILGNVLKERRNVPIPPLKQHVMNHGFMEEANAAMKLE QMRHTPSRL XP_826992.1 MMQRDTEGSSTSFGSCRTASDVSSQVLEAFNQAEERTSAVWSRI GVAEVDRRNKWNSFVESRLLVYVNDFVRLQEAEEMSLAAENDRLFREMFFASVRLKEP PQCKMLSQLVESILEQSASLSAEKSEDDQPPLRKRAITPDMSNNGELCYNVSSRSGSP LRNKMYADATIDEMSESVTLPSFVTATTMLAAKWGDTPDDRNTSRLPSFHNEFQRQKR ERSSPNPSALPQEGIHQRDSAWTALWHLAQNMTHQELNRTLESEVERMNFLADVRLGQ LCFLYKQHALLQLPPQEQIELRRQYAEKFLSTDVHGKVLHQSRHASLVPGLGNQNLMN AVRPTSSDAKTPGISSSQCTIGTSDCRSSVVRDEFDLLSDSIPDQLRTSQGFPPLNYV GGKGSGNDTEESCRSGGSSAGAQRGEASARGTIFAVDVDDVLRFGRRQDLSLERINTE AHVIHGKIIAQNTRMADECEGEVKCIDELWAMLEDTKSTEKGERRSGRGQEEGGEGIS QFAKDNESAVPSQQEFMSRLNQLLYDYEHPEECVSPAVLLPVEGGKHFDFSEGTPGQR TVSPVLSCGYNAGASNSITGAGGPVNHLPAPLLKLFTVPSYAPILAYAVNMRERLQRF VLQKRMCLVRRVTDQLTDAYQHYYNRTHDPAYRVTPDYSQWMKGISGTALAGGLNLST SISINNSDSGSVWQQLLYKFKPRVNNEDLKELLKEVQHVERRAGAELDSLNARLRILD ELGPLLQRRDEILQQQRAIQEGSRERLLSRKVNMAKQLLYEENVRRQCVHELPKIYGQ IAESLRRWNEEVTDKSSKGETNSARRLLINGVDVAELINEYYASVASTTNTRRPVRSR SATASATTSRNNSPSPLPSRGDAHALTPPRHPMRKMSPAPRTPMALREEYIPSGKPSI VNLGASPSLRVRSQPNISQRPAMKSGEPSPVKTMTPLTRKLHPHNPHLRSESPVAATR ETLFKDSRKANR XP_826993.1 MTSVASPFCCFLFCYGPHTRLHLYAHSALLLLLFCLPPSLYSND VRTVGVSSASTRWLLLGLELKKGEK XP_826994.1 MSFPKEWTDTWNLFDDRRSGSVTHSDVKHIIRSLGRRYTEAEFN ELLHDIPDPIPYQSFVEIMQKPYNGPTEDDLRTALRAFDGNDSGQLKLSELITLLTSL GEKMPEAEVKQLLSEVHTNEDGRVNIDDLARFLCTPLPTTQPDIAELQKQLAGIS XP_826995.1 MGCERKSLSCSYFKCVMSRLCAKFTSSTLRRGVTTTIQHAPTGL VAHIKFTQMCVTTLSALCSLSLFFFLSLNHFLLGFFLYFPAFALSFAPPSLPLLPLLL FCLISNPRHLRYVREGLFLFSVLLFCFFFSLPAFFFFLCIHTGAPSCTAAKLSCL XP_826996.1 MNSGSPSPVRSAEINEAEEVERGGLLPPLHSDSSTSSRSRELSS PNPAFGVVPTVSSWTGGSPSSDSWNDMSRCSSGHSGQSQTVENRHRSANPVFSFGPRT TSGGSLRSGTLPNLPKVVLHTRKDNVMREHIYCVGTPDGRRGFLANCLIHAEDMDTKA IVEEMIPGMLLVSTFSDSCCTTASVLSGLVKHLRVVKKDVFVYFIGLIVSLCCSPDLM VVRQISSSLRDIVQFVEDEVVEEILAPLVMSMNMSEWSSPKAVAASLLGALATRKNVI RASEQSVKQYFNQFVNLAGDGSTFVRQVCAESMHFWISVAGAHQVSLKEMTLSLVRKR MSRDGSDAVRYSYVAQLLPLAEKIGRSETTKHLQSLLISASSDSSWRVRYYTANCLSA FSRLCMRPSDLVGVFMSLSRDEMKEVRAAVVEQLGAFTPDIVPMQVAVRACISVSLLA KDEEPLVREAVAKQLHLLLSPLIVQMYSSDQRQQLLMLLTDTNFTVAETATRNSARVV ETLLKYMQADAPINLSGSSPSIPIEHTNSAAAARSSGPKKTTKGSAAEEAAVVGADKK GMEDHATEEFIDRTAEELRAHATSVVVGLAEKLRCVSESSIWRMREAAVDALRHFCAA MPWEEFTPLLNTIRALLRDPVNVVRTRSADTLAVVAGAYGPEMAAFMMSELLDNEFDL ANNLPFTSRIVAIRCLSSLVPLVDSFRSGDNRHHELRERWVSTISLLAEDDVPNVRLM LARTIAEHWNWYKSCAAKSEVICNCVERLKRDVDVDVVNAVRDLHLNFRKA XP_826997.1 MTSLLPAAESHDVRSVETTDVSSSYTNPAGAPHVSPSSSSTAEK KSVGTMLFSKTLFSLPPVSSVHRCQEDGSNRTLMAFPVDDKHLLVVVGPSSAASDTTT SLYVVRQTVGGSQTCGRVCLPIATVSGCVRDESGHSISQHEKRGSFLMSEQSTDNVDG GRSPDRSSRLISPLDESVDTGSVDNDNSTPQNRVVGGTNASDQEFTNRHNPSHVYLVA DAAGHAIWAVEVNTESLGARIVPACCYWGQCMHDGKVDGVKASTRGQHQKGCVASAVP LLGGSKGFADGPFQVARFNSPSSLCWGADMEGGKGRKPRECAVLFVSDEGNHAIRQVD LEKALVRTIAGIGGVSGYRDGGYTASQLQRAAVLLWSSAGLLFVDKPNRAVRLIAQTA RAEDGDSGNIMKKPPPLTSVTAPAHPHVETEGDKKERSKQGEEVCEDEVQVSTVAGGI SSGVATPFDDDDIRCSSLGTSTAAAVMPDGSGILFADGGSGALQLLSSQGIKMIVSPH GHGNSSGIPPGLVGCTHLVACKLILHSSASPHIAFLASSGSNQTVSMLVLRGGADDGG MTSFNYDGHTNMLLQFAATSELQRGVLRRYKKVENRAGSDYQSTKVSATGTTTASNDC HVKRLFDEALGDLDGNVTPATQTVRTSRTSCAKALPWKTSSGDQQLTDRTGRAAGRVL DIYMRYARRLTRQHMGFVTCSPRCKHYLRNLWGARSLSLIGFWRMVTHAGYFATCSAP TAPLMFPSWDGRSPTRDARRQKGNSVLHLNLCDWRIALELLYEWSIRRHGHHVVSLME PAYFSRVLVLLYRWRGSMQQQPTTQQGEEGVLLSPEWFADGLFDENDSEVVVAAHEDF LSRIKNAKELLRGAAKARGGDGRQQEGDEVKILGDETLQLLLLNEGPLRQLFNAYGKT TFITTRSTVSHDSTKKPILAMLRLRQSLNIGQEYDKVPLDHVVGMPYKEFRRLFFTMG VFPTLITESLLRRAFVDSLMTPLFRSIQMEIPSETTSNKCKKTKGGSGSNEIKPKGGI HQYVDRSPCNVQRYVSDNVELSFLIFVEAFTRVALTIFSLCPEHDRRAYPTAAAKVEA LMRWINRSVELHHTRECDTLFHIGSQKGVAPPVSYTLRQLNRPFPAFILNGITSTTK XP_826998.1 MGFGSSKPKNKPSKADSKNSPSDPTGAGNNDLEKAFAKLQAAKD CHSLLKKHLTSDVFKKLKDKKTKLGATLLDVIQSGVQNLDSGVGLYAPDAEAYTVFAD LFDPVIEDYHNGFKVTDKQPPKDFGDLNTLVDVDPEGKYVISTRVRCGRSLAGYPFNP CLTKEQYEEMESRVREQLSTMTDDLQGTYYPLSGMTKETQQQLIDDHFLFKEGDRFLQ AARACEYWPTGRGIYHNNDKTFLVWVNEEDHLRIISMQKGGNLKEVFGRLVKAVNTIE EKVEFSRDDRLGFLTFCPSNLGTTIRASVHIKLPKLGADRAKLEEVAAKYNLQVRGTA GEHSDSPDGIYDISNKRRLGLSEYEAVKEMQDGILELIKLEQSDDAEGNGGADAAGPD DGVDGVATNENE XP_826999.1 MATRDVAAELEKAFAKLQAAKDCHSLLKKHLTSDVFKKLKDKKT KLGATLLDVIQSGVQNLDSGVGLYAPDAEAYTVFADLFDPVIEDYHNGFKVTDKQPPK DFGDLNTLVDVDPEGKYVISTRVRCGRSLAGYPFNPCLTKEQYEEMESRVREQLSTMT DDLQGTYYPLSGMTKETQQQLIDDHFLFKEGDRFLQAARACEYWPTGRGIYHNNDKTF LVWVNEEDHLRIISMQKGGNLKEVFSRLVKAVNIIEEKVEFSRDDRLGFLTFCPSNLG TTIRASVHIKLPKLGADRAKLEEVAAKYNLQVRGTAGEHSDSPDGIYDISNKRRLGLS EYEAVKEMQDGILELIKLENRAVVTDGAKPFVGSNL XP_827000.1 MFCCTFTQACRAQPGGVPRLDVSTVKRMFPPAQVAQWPPGYLPA CGVAHFLSPDGVPLADVIDCHRDMRADRRCQLQRDFAADYTMWCRATLMDFVRRLNER DRRRMKRFCMRDRTMHDAEVRELLGLAPYVAPRAQPSQETVGTSAAPKWGSCYEFTPF AVTQGHAMLPVSQCNGSDARMYLSTFPQIRNIMHTEFDSMGPARAIALLSGDDFFPTA ECLQELVLYLRSRVGEIIAAEKAFRGSSLFTERGRDANDGDQHEADAGQPFSLDTPVV SFFGNGRLAWLLNNSGLLPFPVVPVQPPEYAARRQRRNKHLLSRDGFVSELFGHGVLP ESFTTVFPCETITVPDALRKYRPCVVLVEPHVDRDWLCDLRGFYTTREVVLLGSVDSP AMCSFGFPFLSFGVMAGPTTYWAYNEALQRVSAAERIQMPVDPPHVSQGYARRPIDNI SSYLISPNDCYAFSYQHRCLSFIRKEYPISRGRCTEAICNTSPELCPSNMVAGEKHQI XP_827001.1 MATRDVAAELEKAFAKLQAAKDCHSLLKKYLTSDVFKKLKDKKT KLGATLLDVIQSGVQNLDSGVGLYAPDAEAYTVFADLFDPVIEDYHNGFKVTDKQPPK DFGDLNTLVDVDPEGKYVISTRVRCGRSLAGYPFNPCLTKEQYEEMESRVREQLSTMT DDLQGTYYPLSGMTKETQQQLIDDHFLFKEGDRFLQAARACEYWPTGRGIYHNNDKTF LVWVNEEDHLRIISMQKGGNLKEVFGRLVKAVNTIEKKVEFSRDDRLGFLTFCPSNLG TTIRASVHIKLPKLGADRAKLEEVAAKYNLQVRGTAGEHSDSPDGIYDISNKRRLGLS EYEAVKEMQDGILELIKLEKSK XP_827002.1 MPDSPETGRAPINLEGELCMERVVNYTWENLAFQVPAKDSDGRK IKKTLIHKMSGTALGGRVLAIMGPSGAGKTTLMSAITGKLHGTEKNLEGCCFLNNAIF TDRYRAVVSFVAQDDIVMAMDTPYEATYFACRVRLGLGPRESEVLVNEVINRLHLVEC QDTVLGIPGLVKGVSGGERKRANVATALVANPCVIVLDEPTTGLDSVNALRVGQMLQD LAKKEKRTVIATVHSPSSELVETFDDLLLLSEGHVVYHGPREEATAYFASIGHQVPPR TNPCEYFMELLQLPKEELEVLWRAWELYLTTPAASTNPCLLKVSGPITERDPYLEDHL KKKGSSWIVQLVELTKRSYRMYPRHPSAVFVRLVQTLFVGILMALFYFRITLDQNGVK DRLGVLHMVLINGMFSSAMYGAAAYPPERAVYLQEQSTDSYNALTYVLAKFIAETAFQ VAFPTAFALITYFTIGFYASFSAFMVHWFLLVQLALTAYAFGLAFATFFKSINTTYAL LPVVFLPLIIVTGLYANTERLHPYWSWLIVISFPRHAYLGVVINEFSRLEKICDPPDL HCRYPDGQSVIEFFNFDSWSWWKSIVVLLAYQAVLIIITFVSLLIQGKRSRGRLVFRK NLDSRSDPAQTAACAGETEEGK XP_827003.1 MRSTLPSARSEIAQARKEFWIAPRGHLAINRLKPTEVPQSLSVI VATSVDEVQPVAFTRPVASLVPNDTKSTRIHGIGARGGSGRHGAIPPLFKAQIYVWKD TTIREIFEEVLRSTPAAALVLLPPSSRKSGEITSHTNDDVSPDVSAEDRTHKCDSYKL NGRDGTEDRRYGDEANGEHPAKRVCTEAQRGNMEMGTHKGGTASFSAGQHSESGRRPA APAAKVCVFHVYANVDRRVQVQSMTVLRTDKPSFHTGDFLTMKELRTTRGAERGWRSG DLLVFAPSVQHYCPP XP_827004.1 MAEYDINLEEALGAAIEAARKAGGIMWDFYQYRRETIATIASKM QKDASDSVDVSSGTEAVNPSVSQLLDIKAKTSTTDLVTYYDKLCDEAIMNVLQQYSST VENQKRGAGYTSFRFGFITEEICPDKQLEDVPTWIVDPIDGTMSFVHGSCDCCVSIGL TIKKETVLAVIYCPFLPSINKNPPGPTSSGVYAGEMYTAIRGQGAFLNGRRIVVQTDT TQDAAMVVFGYPMRPVLSAEEREKNSNDLEKARKEKHCEMLDAAAHIRKKLAMCPVQG LRSYGACALILAFVASGRIDLYMEPSGKIWDVCAGNLLVTEAGGVVKNIWGDEFEMER TTTIIAGANEKLVSFAAEICNEVSYGRFWL XP_827005.1 MSSTDNDIVEEPRSFRKELENSNVRGVLLFVFIDGAASSIWSSQ PYQVMVSRLAGDTAVGWVSAAAGVAQIVGALIAGGVRNVPRQVICRLSAFCGLVAVIM SVYGITTQDIMIYYFASALWGMYGGMAFTGTEALFADSVESGKRGFVYNLKWINETTS SCVGSLILLIMTLYLGNDWDTNVLKILMYTGLAVHPTAFFALLGMKDKNILHVDDGCE DCEQSASEASQRRLTDGDSSTKPLLGGTKDIIEEDGWDEESEEEERNCCDYLCTGVTS SWQWLFTVSALPFLLSLSDLLIATGSGITLQYISLYFIEKRHVTPIMFFITNIASNIC IALSSTMVRYLSEHHLDRVSATILVRTLSASLLLTMGVIELPLVALLPIYVCRNALMN STAGVTRSIIMDCARRENRAMWAAFECFVSFMWSASSVMGGYIASAKGYKYTFVITAL IHFIAMFVLVPAISGVRQLDRHAKAKSRT XP_827006.1 MSSTDNDIVEEPRSFRKELENSNVRNVLLFAFLNGAASSIWSSQ PYQVMVSRLAGDTAVGWVSAASGTTQSISALIAGGVRNVPRQVICRLSAFCGLVAVIM SVYGITTQDITVILLASALWGMYGGMAFTGTEALFADSVESGKRGFVYNLKWINETTS SCVGSLILLIMTLYLGNDWDTNVLKILMYTGLAVHPTAFFALLGMKDKNILHVDDGCE DCEQSASEASQRRLTDGDSSTKPLLGGTKDTIEEENESKKYRKCLCGRIASFWQWLFT VSALPYLVAATNLIAFIGSGMTMQYISLYFIKERHVTPIMFFITNIASNICIALSSTM VRYLSEHHLDRVSATILVRTLSASLLLTMGVIELPLVALLPIYVCRNALMNSTAGVTR SIIMDCARRENRAMWAAFECFVSFMWSASSVMGGYIASAKGYKYTFVITALIHFIAMF VLVPAISGVRQLDRRGKVLR XP_827007.1 MSSTDNDIVEEPRSFRKELENSNVRGVLLFVFIDGAASSIWSSQ PYQVMVSRLAGDTAVGWVSAAAGVAQIVGALIAGGVRNVPRQVICRLSAFCGLVAVIM SVYGITTQDIMIYYFASALWGMYGGMAFTGTEALFADSVESGKRGFVYNLKWINETTS SCVGSLILLIMTLYLGNDWDTNVLKILMYTGLAVHPTAFFALLGMKDKNILHVDDGCE DCEQSASEASQRRLTDGDSSTKPLLGGTKDIIEEDGWDEESEEEERNCCDYLCTGVTS SWQWLFTVSALPFLLALGNLLISVGSGVTMQYISLYFIKERHVTPIMFFITNIAVNIC IALSSTMVRYLSEHHLDRVSATILVRTLSAFFMLTMGVIELPLVALLPIYVCRNALMN STAGVTRSIIMDCARRENRAMWAAFECFVSFMWSASSVMGGYIASAKGYKYTFVITAL IHFIAMFVLVPAISGVRQLDRHAKAKSRT XP_827008.1 MSCGKPTDNNSRLGGGCDEGAPDFSSQQVTDRHLHGYIAMALPL VHVLREQHTLRAEAIARQITEKWGGEATAVENLLKLLEEYNAETGNNVDDTSSRSTNS SRQGSTDDDDSLSEDCEDAYSGDECNGSTLQTLHDVVERVRSLEPYTHSIPEAVREPS TVAEAAEPPVLHGDDGEECEEERRLLEDIDRAVKREMDRLSIIRKHR XP_827009.1 MWKDEKRAAQHRTYNSLLRPPVGSVGCSRFPQTISLSSPDEHKF VDRTQPPNITIICITL XP_827010.1 MILRGRLSAALILSSYRTVVTPIAPPHYVRRRIRESMVRHVLTA AQPLTVSDLIDLVRGTCDVEGYASAHREELGDASFPNSSSDEQPPTHAVGTEKERQVR HLTNFLVANTPEQGDTSSSSYKKQSNYLIESTVRLLLAVDPQFRVSVGGYVCYPNLPS MIIASTNVERNESWVRSMRSLVRAEEAAHRTTVDCNDNRTTRRIVSARAEEGAPSRGW SYIVSLWCMLDPLSETPEENDVGRRDLKQDTQCSKRNASRGGGSFSEHLAELWIKTYD SKELPPLLCGGSPTGGWPGARPCEFRECTEGNDALRRWCAETREGYVNDLLLAKAVLA PAARTLLQQVNFHMAYLFNLIVGVPITLGRLSALVQWESHPFAMHYRSFLHFVVLFTG CSAVLQMERYEMRRREVQKRWRSLLWVQVHEEWERQRQQQLVGRFASRTLVDEAHANT RTGQVEAAVANVSGTSDDYGDIGGTPRVSLGAEHYRFWVTGTSGPSVSPKFADVCRCV FLMPSAGMAPVDVTTRSPSEVMDDLAAMEQRNGEEKGGTVNDIVVFAATPFVLEQRIC RLVRLWWLLEGQRTSQDRTNDVVITIRRLSQLCLWEHEYGNPAASRMMLHYLQSFEYT EGVIKLVPPPEQSVTKPDSVDTAGQWLVVVSRAKKTGKKSAEIDGS XP_827011.1 MVGSSFSSRHERRDMSPYSTRENLEAAPVPQRWSLLFVHRHMWR TKRRKVEENNVGGLHLTPSLELEEITDDGDVCLRCSQEEGTERDNSSENERSSSPVPV PLNSVNPQFRQADGAALPDGDVDTLGILPSLAHPVVLGKALDAIELVAKHVQHLHCTK CPLCGPPKPPTWWSTPRARRRLRREDNQVGIRSRADWEAGETSHNKENISSPSSLIGA VPQRRTVAASNTCCSHKDDVRRAHVLSKAEEFLQHIYDRRNRLIASRPLMYAVPAESH VLWRECSQLGGVALLEALLLGFTSQEVT XP_827012.1 MKSSDHDEEGLVDLFAAGDEVEPEYTIEQMIIDRQELSREGETA CGFTPLFDRFDNPTKREEGVETSPKLPLRPMQVYFRNKRHSLWGHKLWNAARYFVKRI DSGMIDVRGKTVLELGAGLGVPSLAAFRNGARCVVVTDYPDESLMEILRMNTETNCTL DQLDPTAAEFLRQEAVRLKSAYMSVHKGQETGKGDDREGKGVPLNSRCVVQPLLWGNT DHIREALKHTSGTGFDVLLLSDILFNHVCNDDLAGTVVQLLQRSPKAAAYCAFSHHRA HKQVEDLMFFDICASRGLLCEQIDEEDYPLMFPDDRGPEEIRRPVKVYKLSHRFDAAG VPLEPGQETYDVVVQGTGMVECFLAAALARSGVRVLQCDAQGEYGGPFKTLTVQQLRK YILQSPNDSGDESSPSDVYAGGSQQVSSRGSDKDPSGVGNNNGNGDENTSIISVDLMD TLDVRTQHRFLLDLLPVHYFSKGDTVRKFVESDMARHAEFQCCSSFAFLFRCGETDES CAFRLQSVPLTRAQVFSADHIGLMQKRRLMKFVKDVAAPLAEHLHARTAALGEEEPGH TDVQEVGELFQQEVSQHPNETLSELLRRKYAVDETTLNIVTLLGQLETSSEPCMLRAV DLVRQVLTSIGAYGGSTPFLVPLYGASEVPQNMCRIAAVWNAVFVLRRSVSRVLKGLD TPTVEMSNRQHVKAKVVVVPRALASKFASVSGANDANDENITRFSRVVIVAKKPLVTW KALSSSGCCEEEVTTATESLEGTAKTSSVEGIPPLVFALCQAQPGVVVHVQQQSASSE QAPKEGNAVVVHFTVNNCQMSAKQLHRFVEESFIASAASAGEDCVVLDKDAILFFASF VLDEREEALRGVAHGAWDFLASETEKAHPFAVEEYRKRQKKSKCDLVEFDDDGVLIVP VPTLLQNLMDDGAYLKEAQQAYETVVARLQMQMKEEEGGDKTKQYVFLEPLPPVSSVS S XP_827013.1 MCLRACTQERNLCRIPCASWMLYLLFLLTFMCPLPICNQATNLT EELNLLHCSDWFVYAYALRDMLYDRSIARVFLVLTTLLYVYYVVWIGVTPFIVSSHFT QNFFPPREYGIFVAAAVMTLMLGVTVTLASVRTILWAGAVGPEESG XP_827014.1 MVSRVFSAYAEWVRRNADNVASMERFTHMLTIILMSPNNMLKHE IGNTIVNIQNFSNHAIISSAGRKLSVAEQISIVGQIIRKAECLLELLLRRYRGHRTAW NFLLILQLLKCFLNLVAHQQMCLLPSIWQALRRQLRRLITFPKKLLGPSGVSSGNSGA ALSNLPQSSQAAERTTPLVIPRVVATRMFRDRSSEAVGDDADFSQAGKGSNSGGGNPD VLPCTAYDVLGAVVDFLLLLRPLFLLYCARSAFPTTAMDACSQIASEVEDTTLDDGPR DSNVTDKKSDYGSFFSVKSLIADGLKESLLGNWSIWTLFLGLDVIFAALARYIFHYRK PIVCINRDARNYSGVGSNGGRQIAHDGEVPSPELSGVNSAAEPDTVVSRDSLRVQQTM HNLVCCFLRDPFFGSVLRRFIYDNFIVGRINGIPLVGSLLALHVASFLCRQHYSFMYS IGE XP_827015.1 MIAAGNESAMPETAEERVVEAVRAFHSDLCSGLTARAIHESSSN ATVALQQLTELHSRTVEDLNDQIDRLQKQLFEAVEVIEKLGAQFARNEDEKSTDDQRS FTVDVLSISHGLETEVEVGQQNTEHACERGREYRPSREELSSDAFATAKSGSSLRDTG VMDDETADPSLLRDLWRGRRTLQQQQQQTWLVDQIKDLTSLLEKVVKENAVYRRELKL LHATSVPLEEHKKLLEERDRLLHDCSSMRIELQRLHEEVEATFDSAHGAHRRQRDFVS SCATASSLSEYKGEGSFPLQMREPEGLVHSLTTPLEGGESNDPDAQFAGGCHSGFDDG ALLHTGLWKTAMRELEQQAALAASQLSAAGRLRELDDRVAELTRELDELRASYKELAA EDKCVREDCEQLTFRNAVLSQQVASLLVRVERQCRSIHRLSRQHDEQKEEIETLSESE ELTDRGRDVGKVRHRADRGRTAAAARALLERRSAVMGQSPLPSTPTAGRGTGCGVSHV LDVMLPQLEPLQVEGGLRSAVGVFARGPRRSVHLRNLGSPPVTLENTQPPRLPPCEEL SGVALGRPSGYATVYPSQLKGQTGPSSVNSKGSFYSETSAASGLVVSEDEEENKRFVE LLREDENLERFSINSVKDLLKRNQELLKQLYIMTQRADSVPKQNSSEVAAEEGEKSRS TSDTEAAVPLQRSNRKRRRESTSFSGEEVREQSGKLQRSCEEVGSPDQAVSDSYVQLE RHVHEHIDAVLRSHEAQLTLTDKGLASSLIHVIELGREFNTSAGNKHFENWVDVTAKD NIITSLVRLCLQQGIRAADQAIALAAAQQSIDIPSMKDCWCEAQRVLKQSARELQSAA SQLQRDNPAITVVEGISSASVSASEKEADEAQLLQSITQLLRTASLREHTVQRVLRLA ARRMRATRRACDVSKSAPLQPCEDTQEQCDQPARDKTNETHDVPFGDTDDDWDSDSDG DDGPRQLRLQVDAAHAQYQKLLAAHHEERKQHTVLLDRMWRLEEEMVAARRQREEALE SMATMMKREDYEATVAALDNANATVEMLEERVKQQSAVQGLQQQELERCKQEREEDVR RHAEEAAQRAAQFAHKDEIIAHYASQQQQLEQRISDLQTANHQLEAQVQQGQCVINAK EEEVTELQKKLCRAELALLEQECTQGLLLTMFPGDEFLEKNSNLIHEQRREKEDLTQQ VALLKVELDTARQDMTEQRLQLQQAVQMRQEAELLLQELVLGHKRTVEDPLDASSTQT SCAAMASETYRAELESLRRANALLLVEKQGWEEREELLRRQLDALGRNPVSETARRYG LQGTQSFEEQLEQMQVRCAELQQKLEEAEALRITLKELTEEKVHLASQLDELQTVLSE QRETNKALEREIKKVHERLVEKEESHDAVKITLAEKEEMINNISDAIRSLEAQIKNLD RSVSETEKERDKLLQDNVKLIESVKALTEAVKKKEAERKAAQAALAQGLASASASSQR RRGRTSGGAGGPTPVSITGSLGLKPS XP_827016.1 MTLEGGISDWEVIDESEESFDETAGKQTNDRCANVVVVGYGNND CDDDENTGKLLSSTDSFSLAGTPRERSNLKDEEETRRQRNAVRMADLNTNATTEVDNE THDELAEIKELCAVQQEQLKRQAEEIRELKERLNERAMHSEERTRIIEAQSKRIGCLL RVVERLKSRESSKVFYQDEENAELQMGLEHLKVGTNNSHHHAPMFNTAPPQRRALIFE CRKQPSDWETPIGEGA XP_827017.1 MYSLFVQKKRKKRIKMQAHPNACTRKMKTRTFTKPSSFTSLHQV KTMCFVPCSSPLSIIGRYFSFTVFLLLPLTFAFEESFLLLILFRCAIITTILSSFSPL LPVCGVCSYGKARTKGKKKGQREIKRQKSFQ XP_827018.1 MPLTLLLHPFFCLINCHDTHQNFMFPHLVYLLFFMILEFVDSST VTYHRGTTARHITVERREEENRNEKVHLMAYLCALLHIGVYDSLGFVPHSFLFSFFSL LVLSVVFFLSFMSRSVSSFPSRNFSRLLSEV XP_827019.1 MSVSGVFSKGRGIGHEAATSILRYIPRARVPWQPSRFGRENLTA ADMARLWSRGRYRDGPGNYNSGYCTERTHVLEENTVSIIPRRELEKYMPDITIGPKAL VTPVSLMNARNGHRVTHDLLHSYDPHIGRLGKPAVVDHDNITVEDPNRVGLNAATLDC RGRIYRWLRRGPFFQVDNYFRRSVKLNRDGTLPTDFVHEAPLMRKIIRLAHRGHLKAA CEEYRRVTTVPPVEVYRALTACCVPGAKLADAVSIFEDGNSKLFYVSRDGEVLHNLMR CAIAARHRARIMWVYNVMRGRFYENVVVRAEVDLIWRYRIAMIALEYLLDHECAEEAA AIYSYLVEEELLRCDVHVRVGLHMREAIAAGKPITLNDDVMNATSLVRDATAVAPEVA RELQRRHAQTLQNSAVEAVGAENVREGSAPWSILGPLTAIGPTAEDTMVWLQQHYGDV DVMSIMRWARFRKGKDLMAKDRPQYLARAAAWIELLSKRNREMEEVPLTYMRKSKPLV LGTNSNVRVAWQTPLMRSGGPPRLLAREEGYVFHHSNSSRFVEETYRHPGESLQSRYL ALQPLHTEVSVKEDFQRLYYQAQKHHKQQERLLPVSTAAIPSRIVHHSVMSALHGVSG KGEPANRSLFTGNRSNDSHSNTGVASGTSACTDGAGSATPEF XP_827020.1 MWLSWMLQRRSGGSTMVPHTPIALVVVPFPYRKEMRVALSDIVI LSIILITLNNSNSRHRSNEGGGERIYCKTNLCTGRSV XP_827021.1 MGNAQDIPNSFRRTVVSLHYRESPFSGDDDEVSQLCRTIFCTRL TADEFACYWTAEDVRQLRLYHPNRLATILLLAMRQLEDFVAISRDPNEKWGNDNPLQH PVRKSTFSGSGATSGITEESPRRSAKRRPPVDFTAAINALRLIAGSLPYCFEDIDLLR AVVQSDEEEASKDELNVIITSQRTRELMGMDDRTESPLAAGFAHHFFVQGGKCFMNNE PGAHRCFYADLLDEEKPNPPTTPLGFRLVELLVKLFFVPKFSQMEPMKMAPSMMEELR GSLAYDLKLLSLTRALVPQNFGSTEDINEDDECWMFSFEGTYVKEARSLVLRAILLTI SVPIFYTPSCLSDAQSREPQGNEGSCSHESSGVMYEEVSDVMLSGLFDVNERPLLVKF FVLLLHVVRCCPKWPVTVPSLRGMWAARPTLTADNVDVLSHSLAILAAGFYTGPYVAS QRYVHRGEKPVRVPSVLYGIMHQVIGSNFVPWKILQGTGLEGIPASPVVADPYEVGSS GMGCSSATKEPHDCDGLPDYARSFADGVLALLEAPLIAGSSSIPKPEAPCSSLGLHLM LWLLQHSEAAINAVGRKPRLLLVLVQTIHVVGTQYPSYLGEGQIALLCFLRLLRHQPF LRLLLEHLPTDGDADLTREGKVNATQFLRELRVAMPTLPNKLVITSNMSPDKRRQIEW QSDEVLCIRTYCDVLVLTLCYVVAPGSPSWFSNLHRTAVEVLHTLQVYLPKATGRFPL DVLSEHIVFEVAGSFNHICSPRVLMTGADAQLACFNMIKAVSFMLEAAIAHINGGSGA ALLKNQEHEDVECKGGESNIVALLWLLVTQGGLSELTKHIQTQLDSKTKAAAGGSSYA EPCSGKLGDGTGVGVLKELLHSDEFNFLRRVTNEVAQKLKDALEDSSGRGNGTEKTTE VDIEPCHEGERWMKSSGKRLWFERDDKLFQKVLEVGSSVVNEKEQERREKGRLGGEFV TSPVALREASVPFEGAAKRWLLRQLWVQVHRLNTGPPVFDFRTATIIRE XP_827022.1 MGRPFRIVQRLSEKVLNPEAKSSKKSSKKAKDVYSYIVVVENIE ALPMFARYVVVKRTFFKIDEVLLAHREPEILNRVRDKGILHVFHSEVTRNDGRLGTTV AMEYCPGSLEQRLQQETRMLESEVVQVLLALASVLGYLHSRQPPVAHRNINPSNVLIH SESAGASAYRLYNFRSAMTEAYHCENHEEVVAAMEDFARYTTAGYRAPEMLDPSSHKR IDEQVDMWALGVLLYYTMYQRLPFTDACWGLARKPKLRYPVEAEVWYTGSLRIVLEHL LEPDPEKRWDAFALINFMRFDNDLCRHIGPFCFSQTERPEGWEPQDVKVIGRPVPAKV LPERLRNTGQSDDPAAAARDNRDEAAATSVKSRTAAQESGDNDQMVLKAVTALSSDTA STDPEVLAYREKLIREQEEAWQVAESAHKKRDKEDKDGATSGPADVDSLFGPTEKKEA VKENKASAIDDLFGGMETQPSQSQKPTTDDLFGGASTATASNQIPPQGSQVGANDSWK DDLFAAPPQQPQMQPQPAFPMAADSSWSTGAPTSVPMNPTGGMMGQQQAPMGWGNGVT APGFQPMQTPQMQQQVPGGWGNGATASGFQPMQTPQMQQQVPGGWGNGATAPSFQPMQ TPQMQQQVPGGWGNGATAPGFQPMQTPQMQQQVPGGAPGFMGGNDLFQRPQQQQPQQP EKDPFASLFK XP_827023.1 MKRNICWTSRVSLLFFFLCLFGVCLCCVELRPKRDEKCVIILSF YCSVSFCFVNAFLFFFLLTFLNFRFYFIKKNKKEEIISIFLSFSLFFLYPLFVQFHCP FNLFYPFFFLLLFLFPTCVMISYTLPIYNYFFFLLISLFFTFLLFFF XP_827024.1 MPESLRFYRITFISFGSALFCVIILNCRVASCIQEKMGGESSAP QPTNDSSGNDFSNNGNNSDNNNSNSSIKNDNYNKPVPGAEGQNDGLHFPQRLVCRLPE RNFPLPVLTLKEGHGVASNTTKK XP_827025.1 MRLDIKSKRIKQKGKLRSRRALFKAQLCFLLQGYVCASFDFFFC MPFYARSPTSVIIKKQRFASLVIDNFPSLFVFPFLYWLRSPNFRYPKVSLKHQLHSSR SCETF XP_827026.1 MVRVKEKARKGDDAFKTRKQKVGRKKLAPATATRAEVHARTLRV TTPTAITRGILESEAVRTGENCQTHKGEKEKRVVSADQLHRDFKEHLAGTRHYKKVVR STSFASLTRAVAGHFSAVGRADDSSAMGNETQLSPLEILYAYTGALDAMTDTDDDVRR AALGTLKVILYRRKPAAQIDEQGSGAMEAGGGSATAFNVDQARAALRVVDVTLTHAMV SVRRSGVELLQLILQVQPLSVRAVLSESTTWVKMAGRISSIVLPSTSNGSGNSPSTKM FHVVADLLETMIPLHRSESDAWNQGCPRARHAVDEVVDKQGESNVSLSALVAQFFDEC SPRWCVAWKELMELRAALFRDVEWVRHATALARAFACCAMYLWDHRLLGRKNSRLMHH LFTVKVPFTMHELIAPRDPTAESGCGVGNGSKWRVELANAIANACLPLAAANDDTWEV LHNFLSISFHCAKDSDSSDGTSSAGESGKSRRATQLVGPVRTLRTAVVRLHQALLPRL LPIASPLLQIVMQATNLSDSSETDRLALVDVLLMSADVFSSFVTSQVAVQNPQNIRYL RDVVLVVPRFFFALRGLQMEAACLDRIVFSFLYPLWRVVSSGHPLLSSPMPNKLPGGA PSSPQVNKENKQKITALQLLARSLTSLFEIRVPDSGGEGTCRSVAGVLQRCSDRTVEL AVHTLFYVGATVPPTSWTRENNVLSLPTLVDTLPRPGVCA XP_827027.1 MDAGSDEAVQKKMQEFLSKDAMEELRNIGDRTESRVRHGLLPRD YQQQQHQKDYQTANVTHEEEVNSYIQQRRTWRENVFLLRDFEYWRLRAEYYPYVRRGD EQNDNFFIRGIACHPRLSDYPMCRKPIQDYFVCRDKNKILQLFNLCAPMKEQFCACIN EVFVKNHERGDKKFNAQRNEYFEEQRNRRLSKMMAHVEDSMEKRKKLQD XP_827028.1 MKTVISTAFARVGELACQQDSFLREITARVVSCEAAAVNRNNSG ASGKKSKSSGTQVELPQSYDVILTDSVLFPDGGGQPCDHGVLIRRETNEELPVRVVQR RGDSCVMNLPCMLKVGEDVQLRVDWDRRLDHMQHHSAQHLLTAVVEDPSFCGLPTVSW ALTQPYCHIVLPTGKRIEPEMLQRIEEHCNRVIARAVPVRCRVYSSKEEYENDLQREP EKNDDGGRLRGSRPIPPDVAGPIRIIDMEGIDCCTCCGTHVTNLAQLQVLKLLHQETK GDTLKLFFIAGERVRRFFGDMYGRERELMKEMGGIRPEDFVSAAIRKGKDFVELEKRL KHMTQELMKLRSEKLIAEAKQLSENGKGDSASHRPPVVVYRRDDVDADFFSGLRDELR QACPNCVGVFAWAMAPLTAAKTGQFMIVGPTESVEVLAPVVCAALEGKGGMSKFGYRG KGSLCAWEDLVKELTSKG XP_827029.1 MAVDRIGNEEGTTKRKGHGLLCLPAGVIKEVLSFLPATITVRVM TFVAPRFAYITRSDIHWMSVWNAYINECTSRYSQSGPLSHRKDRLEMLCASGKSYSGP IILLASVPKRALPNTRVTSRGSGKIALVEWRDDPNSEKEGGKCDCVVHLILPIRSLPS LSGSPVIASTERMAALRISRVEDREGNEVDHPNDGEHNSLGVGVCNTMLLRKALCFLH GNNSNMLFIRPMIDGLHAVRLLFFSSKQSSLDRREGTAEEQEATIVEFINAVAYALEC CTSRKALAPAGTARLATLYLETGSESVFTIGNDAATVAENAIAVEAAETASGGSNDGI FFSLFDSQPSEKPEAGANGLRYSSVSTQVYLFLLQHVSFSDVHVHVLENERKCAVCSR VLSPTGRAVEFRFFYSLFLGGYPRFFAKMVFAEADLPRTRIQQVFERNCSRYVPTSAT DYSSNEEDENEEETLPMLCNSFCGGYGRVEVDIKPTISRDGFERLREALGLSAAVLPM PMLWNVVMLATGVGGVVLREQQSCFASYYRTSFAAAFEQEFESS XP_827030.1 MKRCRAYFVGEVALTGVGAFQGRWCQPACKSQLQSTQKQGRPDG LTVSLMRLSASQRRTASVAYAHGNEERQLLPTSYKNVAAPRLLHVLLLKCRQKTAKPS ILDVEAVPEVSMLAGAQRDADESGGKWHAAFRLFSEAIQRRGVLPNIAHINVLLGITV RERRWPQKAEVEKFVERFLEAAECMGDERKEYLAEQLQTGAKGNECTVDDVSIQQLIT AMRPTAETYEWLMEAAVRQRDWEAALTYFGDARAACLPISDLSLRLSLRAYRIAGVHS IFKTTKRDRRAVQMMSLRTDQRGPALRSSAAPASLRGVANAVRVESEDVRYVWQAALS LFESYKHRVHEGQTIGLFMTMMTEAGQYQQVIRTHSECHRTLIVDEDVLLTVSRAARE LGDWVLSLRLLEESSAKASGLPQSILENTVHTLRRTGHYREILLLHAQLPPIFWSAGA YVVLAQAAVVQRELPLLLSLLTDEEDRYEDAQMPHDVDTAAHTSLQRNLSFNVTTAAS MCTGRQKQTPLEMFDVALRAIQVELIHRRCKRAGDSSTSTGSGSIGTGSGNCQAGSVT PRYDSVASLASLSVAIYEKFLHVSTRQLKKWERDNWPSGSTLTIYAPLLKLLAVPLCE NHTVVSTNKNVRGSPCAPWEVALAHVALIRRPDAPLICLAAHLLQRYQQWEAAFKLLS HVEHNDELAQSAAFKLRCALQLGPSRASASVEPSSYRCTIISATSVRYAVLSAAAHRP AAALHLVQYALAHSWIDSGMALQLLQHIQRNMKEPVQRRTGGRQATASNSHIGMIGGE TDSYTLSCDAIVFFKEFVSLWSQQSCVVAPLAPLFNEILIFLQRGPHTDPSISSFTAL CGSIANVLRRLSTEWKVEQHADVELALVAAVHATVHHVGASTLSRRALWSECLCLVQL FHSPLIRRTYTVGVLEVNEATFRVLRTFISNCNRSEEAFVEGIAFQWLLPGKVLELPQ LEEIFRAPGGLTSAANASVWWSLGLLLYSLVWASRLRSKELVRHFVGVLLPLLQRLLQ NEGNCTIISTSVSPSEIRSDGLAAAVVAVQCLTSGAHEEVLHDWPHVYELLSTLLQVP ELTATEKGEGNDVSTLADVLGAVLDKLRRSFHFLIRGVSLNKGYRTHCPKGPTTPASD RVKEHGTAEGNSSGEVVERSAFVFLDICEELTKRLSVGTNGERLVRNGITSCLDKVMN CIWDVGYALFVWRTLFSGYPNQVNAKVLQQLQSRYHRALYMQMLHLPVQHTTPSILER WLVVMSGCGRNGGTTRTHEESHENRLCAAIINDITTLDAWASCRSLPHEPGAHGRESR GREPLLIVPMVLRVINSITQAAMKRFSQCKLSHTKTCSKSWSGLLQLGGGRDVHSLFE DHLLVTTIQQLQTLQEAGSALVYMMLCEGNTEVLQMAPQIMSWYFCPRNVMHAVVHSM HSASPDVLKPLWKPEVLVAAFEALGVQSRMHAAEGISPPRLCVGSQASLLLSLLTSSS VVRAVARMDEEVIIAALLSLEAADLERSDNAQQTAHQLLVAFPKILERQLVPMLLRGH LGETQLHALDVIVERTASEVRKGSGTCSPALTRGAAMWMLLRRPSENRGARADGYDGL VESITMEERIFCNIMIFCGSQFEVNSCRAGAVQTQQRKRKQPAPREMRARNQFIPYAL EWEQALKLLTDANKVELSDVVDVNGAFLDVIEMYGACVPMAWKVGFAKELLSHEAGCD DRHKGEVLPKVLVRDVARELLHCRSFAPGQPVDDRELYRVGLKIWSSLSTRRGALVQS PPSGVWNLVWRILNEMLRNRSPVHGRPAGLAEVSVLPFSLCVVERAVTHILRCGVRWS LLPGEREDIPTVAASLLLMLELIIPCRSLRLALFESDNITHEEGSDAPGCVAAITCEE NSTGEHAVWSTLLSFEVLLLTLPGLLDGSSGAVPSCAAAKGQNALDVVTTLPRMLLYF ACRHVSHLTSLGEDSARDRSGAAQEELGRLIELCGWHIASFSSALLTDSIKGEAPSTG KRLVLETAVKNFVRAALLMGTWRDIYWLAFRILACVKTLFAHGGRASKHPQMWGWVVG LLPAMVVASARTSSSIQVQVSTLIKEVPLDLLRLVPYGEVPTVNGLDNEEEVRIRQGV LSVLLRQVEQSIWLKTEEVLRERGRSLAFADRLSAVKPLVQLGMHLEVWKGNGARASS FLETLLFFLSERQFKLLCEASRVENRSKALSVRAVSPSERLPQKPEMTWEEALTLLLR SNRAEFCSFLQKSSSSSKSTTPKLHDALSIFKSSHISCCTPWWAAITLFCRSDGPPHV IHVFPAFFSERVIERCPSWKTALRALQHSSSTVPHTTRVQQYLVYLILRRLRTDPTWA IEESYTSAPTKLLGEHLSWQTACWCYDQLARKGKGAPPIQQRTMTELLRHCVLNPEAT LSMYEVYWAQGYNRAEGVNSFLSLLRAGRRGNSEKLVLRAMRDYIKQCDEWNGAQCNT QRRKIKSPPFTAEGNATLCRAFLSVCSGGIVSQQARTEVAAALKQRGCIGEVEELLIV SGCSEGARPRDT XP_827031.1 MPHSLHVPYFFLCVCLHSLDTHYVLSSVVSSLCRNLAASSHSSL VPKYPISPFLCTPFARSLSVFTFCRVVNSLLLIIGPFTRPEFVCMADSSDTRLSLART TEYLIFSHQRKSCGKQSQGSVFP XP_827032.1 MHHPQLAATGRENHGVPVFPSSHMLTSLQQELKEGKTAISHWKR VRQGPLFLPGTTSVRVVPLIKRHLAMRGRGKPSSVVVPFGFWFYCWGREYKAMGKKLC AFTLFFFLGVCGGGREFIAAPWATHRIGEGAHAFPTESHVLYWLHGIQERIKGFSQLV VTKVRNTVRIVS XP_827033.1 MVGALVCMPSPRCVCGFVGYSCRWVFFLTAGGNRMCLEFETDSF RGDYHCPAFRGSSDSTVPKNPMRWGLLRCERCRTGEDGARGRLEGQQLVFPTMMRAVA ISSYFSPWW XP_827034.1 MWRRSRFLRGSTNRYTDGSGRRLDSSNIPSDLDLQPISNRAYPV RGEHWQPVLVGEPSPHKGNVIVSGAGTVGMATAAIFALRGWHATVVERQPSVLRMQSA TSEGGEILSSYYGSLSAGLQHALITRRAADALQAAGLRVSAFRHCGVPVTGVLDHPGA YNSWFTRGLVEHHPFAVKMLSVNLLALRQVLEKHLHNLPTGNCRVFHQHVVEAVNPLK QQLVVKPISDSNKPLEGARSAVHNGDDNPFSSNEVDKHGMQQGTHMETSLTLHRVKWE KKYANDAVDYDLLVCAEGVNSRLRDLVDVEGFAADVDFGVRWLVLRSRHLSSEHIHRW LHRRKGPAPLHEVCSPHQVPLAIAFPRIPQNKEAPPTARAGDSEADVEATKSFALMLY APMDDLQRLSDHEALRTFCPDIVQADGSCNATSYAKNVVPFPTIHCENLYNAVGLPSA VVVGDAAHTCNPFWLQGLAMGLEDGVNLLNQVDAYSKHFYDAIKQYSQERGCSGDALR LITDRCLYYERTKHINPLVRFQDSYRRAMNIILPKIMNEYYTGASVNQLYSKSLESML NGRGYASYEFAEKQQSKHSAFHHIGRVYT XP_827035.1 MLADGFRFAFSGDVEESIQTTSATHTLPSQGVSKSEKRIPLLEA PVHNLTRRLLDEWCEPCRQDAQELVELHCSESTTAPQLTHQRPPRATELTSFDGKEHR DIIPGKYYGGLKIWSCAPYLVEYMFNNRSTFKDFLLGAAEPLDSERVPNAGGGCRHTI VVELGCGQGLPGIAALLLGAHHVIFQDYNEEVLQLCVKPNVGMNLLRHIDTAGVCRAC ESCSPVVQLVAGDWDDMCWRDHTTGEGVVVTDDRRILVLGSDVTFDEEACYKLARLLR RMLSTSGGAAYIASKQYYFGTNGGVVEFKRCCAEVELQVLEVDSAGTGGGLQRVILRV ECKR XP_827036.1 MDDETFACIVPPAATIQQCFDRLLNEAPPSLDDGEGTEGKTWSM LIDRREFVEDTLQSFEVLEARRKETMRNASGQAYAAPSCGVVPTVVEPSGKGLPYAVS SFFGEGKWEHVWSQFERDVARETLIVNGVVCRDAEAAFEKISDLMNDAYDELLAQNSN EETNSPARVPGWKGRGILRTARSFVELVKETVKKPAKERRVKESVRLAVLGAQQTILA LPLELLTAHFSKSEEDVGKDDDYVPQMVHIGEMSYDPRDEGVKRYSENSPGRMFVQVE RRSQGSRLPFVRVDKQLRVFTTDEAMVRREKLYLRIIVEINLLSDDQVELSWEWGRP XP_827037.1 MTSTAINKTVRQQPPKKKKTTKASLLKKPSVGLLLADGTVLCCA AFNDFTPPASRMCCNRGRGNGTSTCFTCLAASQRQLRATGGLMP XP_827038.1 MAPAFPPKKRFVRSAWRQTRHPEGAFSLCAFEILKGIYRTANRH FLSREFKRMTPSVTPSGASDTPLNLSKGQKLLHHIHSAGGSWWRVGPGGVAVRLRLPS FGLFASRRSTNGAGWASVKRPSPTVPLHFYRGSKVLASWRFATHALSAGARPLTN XP_827039.1 MHKQGLTYKYGNEPRVFPERRKRERPPPFQPLDPQRDLAATAEI KSAAQTLMAVPPDCYGAQHSSVKRPAYEHRRESFRRSGHRRSQTETEQLSVEQRNFTS RQQNEGCSTQDVEDGAVKTTTHQPEVPPMEAKPPEPSPLQELLRRIIIFEFSSKCTQR SINVVRTICDTLCKNPAGVVEAMLVIEGCFADALKERNQQRLLHYWYIVDAIMKLFRD RQLMLEAVFVALPHLLLVYVPWNNSKLAMEPWFNREKDTENYKNLFNVWESIVPEKIT NDIKKLWQDGFPVGIH XP_827040.1 MNQLRQHINVLGLQIKQMQAQTDRVKVSLARKWKQAGPLSSRTR RQR XP_827041.1 MFLAGPQRKAAASHTKRVHPMESLGIGSYRRVVNSRKRSGGPTT RLSDSQLMDGNRVAQKRNFHPSPSKKGRSDCGLEGPAEDAQAQLTHRAVWYVVIGGED AQGVKAVIKSMGEGERLSVPTVRETESALRLCGTTGHPIQEAR XP_827043.1 MVHEYLVTIPTFILLCHRCLPLCGGSGFTARLNAENKNTAGKTH ETVC XP_827044.1 MTFFKLLLVLSLVNQLSGALTKFFYHPCDGECRSGSRTDQNLTL QKWSKLQGKCSYSSLVQCDPHAEVSTEQATTRLLTFQAFLVRYAHFEELVSTTLGIEH GNKCGRPCVTGRFRSVFSVVLLILFSISATSLSMGKGLWILFYLPDCGDRRLANYDCK RVVVTVDMSLFLNRLSFPLRGEF XP_827045.1 MKMCLQKGLNIAAVIGIRIYSGRLIMNKTGCVVLGGRFDLTVAP AVAGNRFKMSFSSLRSNIDDLLFVLPPLTNEEHGGERKLALPLSITPSMHRTNTRAQL VYIRYRVIMQGFSNISDTPLIFMPS XP_827046.1 MREMRGSELRGCVAVFRQMYVTRVYVCMYACMYVCMYIYIYICV CVCGVLLRMCTYTTRVVHRVRCGITLYWCDHITQHRCFCSYFICLIALLHISLLMCYQ GAYKVMSVSLWRTFYREAEHS XP_827047.1 MLCVTSAIFLLDRIKNSCVHSTLSHNIRHVTFYIRTICRLCFPT HVLSFTDSAERYGLVWLCVLLGCHLFLFSFITKEYTEGWTRTQNALCRPSNR XP_827048.1 MEISWYWRDVFRVVFLLPSSDAFTVVWVLLMAYPVASGIFGCVS RPKFQVFFKIFGYQTDNVRWLEHKQKHSIIHNALSFGFRTNWRITNAVPVTQCRGQCN GPKKH XP_827049.1 MSRVYVGNIEKRATKKELLEFLKPMEEHIEDSWLARRPPGFAFI TIAPSRACEFVETFNGKEFRGKALLVELSTMSSQRKDGENRRRRSSDSRRDSSRRRRR SGSRSRHSDRRRDRSRSRSHRRDHSRDRKRRCRSRSRSSSQGPCSP XP_827050.1 MEGKNERDQYQDLALHLSFSSGTELSDSYVMSYRGSDGCFGDAV FNGAAPARNVLFGNIPCKQRVEKFSIQDSSLANRCNMQVNLKRDTPVLQDPSDTFARM NQVMHQTLKYVKEVESIDFSSSNIIDFNGEIMEDPRRVFLSVCTSVFANFSFCSQLDV EKLLSFLSDIFENYSEDNAYHNAVHAADSVQMLYLILREKPAILLFTNEEILVCFLAT LCLSFIHPGVTDAFLARIDHPLTLVYGDVTTQRSASLTAFLYFLNREENRFIDLSSSA GTRPISQYLRELLVETVLATAPRSRRTLLSDLQDVAASNVVSVDDLRFLLSAIVTLSD SALALRPRAQFISWSRLLCAEWLSEATQEERRRMDPLVPNLQKRVGEGGLTMVSDYCR VWLRPVAAATRALVPQDLYDNLERNSDVPTFGEVAAFSVPDVSADKPWSDGSLSVFEI LRKVTMHAKSLDRKASKRAILNATCGRLVSAPASQLSSPFHAPSEPSISCSPVEKLVS HPSRCEHYFSFLRLYDTYDREGRPATEFAEQLVFLAMQLNPDYVGRYAREGIDASSKE DCSKIASLIIQSEEAPSTAEVIASPLRGAEQTDGFILRLMEMYTERDRNRNNCSVQNS HTNLQSTSRCLLPCSNPVYGGMDRGRK XP_827051.1 MRPQNFLLLFLFPIFSTVGLVDFCGHFLPVTVSGNAISDFDCWL CLCPWFNLHFPSFSSTTLITTVWYLQGLMGPRMAGFLLGSVAAASVAAFLVQYDVLRR KDITEREIDDMETQAALVKDRFYRVQSRLLECQGGDE XP_827052.1 MAEQAVAPESFVLKSGIFKKWNRVSVATFFSLLNAFCSGHQGRG DYTSVKHLTIRSDVLIPKGKRSRKSSYSTIHRRHVRKGGPTKITRGRAREDAKTSVSS IIFPSSTTSSFHTLHKNKLFPSLNRL XP_827053.1 MAQWVPKTAWKVSNLNKRYGPGYITSGFSSENTLGYTHMVHDSH KLREKLSSRKGIFVIDVRDPGERKLNPVPRSVALHHHDLLSGASCPILPQQKSAAELF VLASNESRGLNSAAALRRWGYDSVVQVDYNTLVEAGYVSRCDYATAGTKDSAEQAVSG ED XP_827054.1 MTPTVTPLQLPKREGVKPFPDAPLGGAAVEPHALPPKPRTRASS VNDGRKKTTSYPSYMNPLKLPTKAIVWTNKPPVKPNKISVSLENFEDAPRIKGVFNSP RSLKACEIAVVDPRNLLKRPLSHFEGKGVPETLAQMRHTYYENRRKTKLEEVRRIRDG LPVEVPQEKEDEQTNVNGRTPSVNNGHRAPLLRERSPPPMVRQRTPMRRSTSFGFCSR SSSSAPYKVGGEKPYPPSRRTTLHGADGRSPSVGALKVLNRAMSENRSLSAADYDMLR RIEEREERANEARQRAEEMEAARERELLERGLRKELHGINKLIERENERISAVQMRRQ KLEMRLQQARERQKRSEEERLGQWNAKR XP_827055.1 MSSANSEVRNGGSSSVAAAQPRAASPAKIPVKVPYSLAKVPMPR LVPAKMPAPKRAAESEAQHRGNREDSDDALLESSAPSKKPKKEESKKAPLVETESSSS SEYSSSDSDDTDASDSESEGSKTSTVSDSSDISDDEESVSEDNLTFFELAERSGLMND IKNKVNSGEGEQEEEEEVHIDGKPPRPKFLRSFPRDIEAEIGKHEKRLKDVENMLKMK QDNKTVSLGTSKVNYIDPRIVCSWANENNVPISRLFSATLQKKFPWALKARDFTF XP_827056.1 MNSPHDSGRSSATSTAHSVGSLGDSGGAQKDHSNPLPEKEDEEG VAWDERLRYFVGVVKSAFDAMRSEQRLCNGSVCSKRLQDRIEWDYPAEYATFVNGLFN RSWDRFLEAKTDIVCYPHNEGGAESNTKWLMAHGSLRCRLRTEDINRVRDADEMLGRM VRDKCLWDLEDACRSILMEVFLSMDASAIVCKGCMRLNKSEQYHLGSLAVWEPILARL WGRQTVGGAVRLRDMERPSQQLGFHVRLRCRWTELLGNNLLAKCICGSVLRVFLNVEK PEMLPVAGAGARPAKEELAVKLHQPSGELIVALGDTGLWGRRPPSSQPNPPFLACCRG YPRHTR XP_827057.1 MLLLTFFSSCVNNAFKLTSVRLLVAVHFYPFRFWSCWWVLFEQL SGNAPCRNFVVILCAKQAPLNSFNVNMASFSYSLCPLAACLFFFCCSVFRYPFDAFHF IEQVAFSVCFHFHFERRTIVFYFSLGTCFLGVCCYTLPYLF XP_827058.1 MTMGVITAYFSGLINLVRLTPYSLLRQCRVCATFLLFPLRPVQV VETHGFPALTDVGEGAHTSRRWYPPQNMALGSIFLNRSGLPLALLPALRSCIR XP_827059.1 MEPKSVEEYSQQNYWIGRYEVEEQHDWFLSVRQPTIAALCDELL KVFQQRERLHSADGNVEGDQPALRVLHLGTGNSSLCMDLYEAVRERQLPFALHQVAMD YAPNVIERMQSKYSPDILPNAQWIVGDVRKLEEFREYGPFDAVIEKGTMDAIEADKNR PEMKGDVEAMLHGVDTLLKHAKGYGAFLQVTWVAPHLRLPYTKGDAFAWGDQVRYSLL GESDIYRLFVYTVRE XP_827060.1 MALTLIPRCLQFCTRHAFPSLTRGPVTPMLWYCTGKKEGTSRGS GKGKTSSKKKRKSEGNSKKVRKEPDAGESTVPCVPTTPDVVSQPTASPQIVEKIKETC SSTSSWCPTTQDYEALIVDMLLYRQETVEAVVDALRKERLLLDESIKRMEEHIADVYL VREEIREMLQESAAVQKQMLSDALQLIGEKCKDVESAVDGGSSGIVPAEVTADNAEND DDGEIIF XP_827061.1 MSPSAREVEEVVLSAVRRGEWAHAANLLAGAAQLRCVPTIRTYA EVIAANSLNGTWEDAVRVVDLLQSSPLSSRALLCTAADALLMKPSVCATEKLFSIILA ARRRRVQMEVRHQVQCSRLLVEAGDWQRALTLGAYGRPVRQIPALASPMMLAAATGRR WMEGSRIMADLLISGTVPGADVAKNYIRCFTPTGPWWRALAVVSVLVCSSDAGVTAAA RGLLREVRSGVGEAELAAKKARYQTVLRLVERRGPLNDVSVEEASYVLNALGEERWEE ALGIIRAFPSLLSFTPRPRAVLLPTAVHKTQAGCYKHWEFVWKVLSSGFSSNSAEHVF GAATCFASYVSSACRAGEEQSECMREVELMGNSMSSSIVDYRALGGGLPEYDVLNSFV RASCACGWWKDALLLSERRAVVLRELLEVGPWQAALAVYEGMPPSARERMGPKLEYLF ISRGLWVPALQYAQQRLPRDTLPLRNLSIPLCAALGQWGRTLHLLDRYVSLPTDTEKI LRKKCITKDAEDSVRAACEAGDWVRALRAWSRVYSVPRRQRQPVLDESRRRFEMVPEV VKQLAKLLLAAKRYDECCGVVSSHTPTPSDPVVLRCLQLFNGVRSSPLTYGRLLQEYR EAHKHHRVLVECYAVLALCVSGNFCGAFSVVQQLTAQNFGRLEKDVTVFSVLEEVPHL KAYLPLILCAVATPARAVELEAVMVSLTWCIKLPNDTDLFNRPLSALWGSGADEPRAC AFRVAVFVLQHSLDHDLPSSWVVMDVVARSLEDSSRRSVIHMLCESAVRRLAFDAEQN GTESGQHGVRQGKGQEEVNVVFRSCATAFARKGLWEHALASIQHCETVDAGTLLSIIV FTKELRERRLATAQRIVLELETRRRSDGDEPEYPPGTSVSKGRVESALVALTELRAWR AALVLFNSEEAQLCLLSSGVRKTSSTVVGLLVSCVAVSGPWELSLRLLEAVHCNILPS CPLVEKGLTDVLGAVDSGSGAAMCSQVLLFMVERMGVVPTSGQYGLLLRSCLEVRLTV AERELCARTLKRLSRCLNERQVVDLVGAISTSRLPSNPWNEEGHPGTLYSFPTGSLSK KRVQQLAPALGWMREDRPFLNDGELNQLCEIAPLVTILNAQDMERLSQQFLGGRFASF ELMLVLQHYRQELMEMFEQNGDDSVNVSLLEHCRGSRLLHIMLVPYEQLSHNMICNGN ESSAMSFLQEVRWGVFRGFASVRTVAAVWEACRSLVSDLAAGRWTRLCECELLTEVRR RRGRPPVSLITRPGATRSEIAKYWATYGRIVWPELYFSGPELCQLAVYVPLLEPLLRR DQELRELVFGIHASHFAFHSIPSGELLFRRPRSPEAIRAVIHLVFGKLKRLHSELDAD KRGARRYYRHTPDMVLWQRTERAIQKRQESADQSAMGGTVEEQTPRWSLALRILYHYR RSTKVTLKVTALAALFSACAADVEGGNVQISCQSRKQGKRLGEISWWMLSIYCARFVE PNLTLTMWRDAMKLAVLPSVSNPPKTLLTSTYGLEDINDISLRATMQVLMCQVNDASG NGRVPFSTSLLLVDQLKESLSAPHHFPSYCVSLDVYRCYRPLECASKGESDALTVWSL LQTHRCLEKMEPLHANLVRRMLLGGKGFGETGTCSRCRKGI XP_827062.1 MSFFFLKTLGCKHVHIDKHSLLSVGSWLLNIDIAVRKAAFKLPM HRTSLRLTIVLFCTLLNFPGLAVAWFYSPLSAAKQQADNKAPLPTAGDHRQQQRRRAS VHMEFLREKRAGGVGSGQLTQLWAEAEAKGSVSSCWKKALDELKSGCRSLRTDDGARS WLALTMATCDDETDGRRRSWPRCESRDKIQDCIYGLDDTHYLVYVQYKLHTDVLCLYI QEESFQERTEAAVHALHTSSMTAAETLGDLHHSNKELLSSMGDATEQQRLNVAETKRL HHQLQDIQKGQTTAFESLKSSAQRITRTVEDASLHLQQLHAAIDEGAARSVAALRNVA QEAEAFQSRTELHVTGMLRGLERLEVFVQLLLDGTVGISEILRGVALMTMVLLLTMPA RTSEARLPCLSLAAAAYAVRPLLSTSLRSTVTARSFLTALSFAEISVLAYYALMYRTP EEALRRLFRREVKGALDEMWVLQLEEIHTTVDTAFSTAFALIADSEGRHGCLKGTVTP RNVDTEEIDPTDTRKVVRKITSRSRSRRV XP_827063.1 MMRVLPFLSSIFCYPLLPLFLSASSNSMFFYFPSFIFSFLSLFI DWSVIFYGIVACNVFVALREDGVYCRREEHSHSKAQLLDLFPSFDTSITSFP XP_827064.1 MILPSAPPDSSSVSNELMGWAYVTNQLLLDNARRVVTEVEEPSR RADLAAEWAAGLAELLHVRSTCVSSMLCRLVTGNSREGTEYGVGETMGLCAENDHREA AELNRLEAARRELHQLRAEREAEKQCLRRMRQCVKQASNTFEEQFGVQVFAPNVEDDT SVKVAELQDSLAAYVEATQKKQVQLQEEVAALRVLLKSCEQEGGQRHALRVGGTPLSF PSRSNGTQYSGVFVGETPYGLAHTAMGGSRPQQQLLQRNADGAAFSPFQGDLSTSGLA NAVRAWKERQQRLKEELRNLQ XP_827065.1 MSETNPVVRGAVGVHELAPLCSHVCLPGESVLLLEPTAVVTLGS GLHPLQQDGSDDRKESFEASGEEGSTVVVSEFCGPVLRTAGPNHTQLYKLNGPAARRY MYAARDPVVAIVMKKNASYYSCYTGAASLAVLDALGFDGATKTNKPRLQEGDVVYAFV KGNADASSLDTKFDDGRVVVSSSDEVELSCMAAEVGLVARDWTSAEAVFGPLVGGTVV RVALPYARSLIDGPASRLLALLGDRVPYDVCVGVNGLVWVRGHPSAADPTAAARRTVA VAACVVEAQDAATLEEMEAHVRGYFPKE XP_827066.1 MPDYTQFIKYFNEYPNIPPSETSAYSRLADTTWRLLTATDKEST GTKASSRSDANITTGPTCPPIVVGRLLVSTEDRWRIQAHMRREEAKAGAGRKEYNKKV TRAAKPTKGPAPGEGFPPGRGRPV XP_827067.1 MRLLLVFLLVFREEQRAALATIRQFSITSHRSHSAIFLFSFSIL LANEYIVDREHQWRDQRARLVRQEGSSGLRRHHSELQIMYSPSEEAGASPGNGQDERL TSQPFETSRVPSMTPRSLWANSSRSDASAKQLGKSGQSLRYPSPPHVPPDGQLGAEEE VEHEKYVLQLLQRASRTIKDERAHSLSLKKELDELKQLGVTPGGVEKLQKENRSLKDR LTFLCGGLSQGNLFSVPGQHLEGGKHYRWRRDQRPLKHTPVSARVDNTTPVRTLFGVS PMRRDFARGGTESCSGTWRSASSCHTSRRVSARGRCGLRRRLSVGSTGALTALWAAAP LETRAVKYTVGMIAHLRRAMAPLPAKEQVGEVIHAMVQEILREARQRGTGLKMKRQKP CVYECTYSPKRERKIGCTVTRVVHLSIDSGRLTVKVGGGHVNFLDFLERYCNCRFAR XP_827068.1 MASNGLAESSEGSLPDDLWLIIGLGGSGALLILLIPVVFLARHF CDRRHFISAAPLPSQNHLPSSKIRLRSNSAPPASLAALCGQSGSYAGFGIGATSAGGG VYNEAVPHNGPTNTNCFNSGTLGAAYNPSTPSGWEAEAGRSFTTLSIGLSAPQPTWSD TTGERQHPPATPVGDTSQLGYNPLAVTKEAHLFQSHPNVPQECGGPPQESADPAQPSP QCTTEDAEPQPCLRRRNSRVSFVGEFRA XP_827069.1 MEGSTAHRGDSLELQPLIFNGPVPKPHPPCTDPTPDEQYRMASE EQLKKMEKKDQKAPPPEEQPAPEGGTKGSKMSSLFSGATRNICSIAHQVSSTVERAAV KASNATGNKMREINERMNHSWFKENFPHLRAQGETLVADYPCSAIHGPGYVHGRVYIT ANNLCFSTGTSSTFQRTKDVLKAAAFSGDGNEPIIRQIIPLADIASIQLSVHLETANK GPPYFMLLPAEVVIPTCLQVFTRRQQVFQFFSFGNRDKTDSLSDTLKGKPVEQAYNYI DHVWRAATTVPLPGVQYTQ XP_827070.1 MFPLQQLLALLPLYFRLVTIFKILRVIESRTSFLFFIALFFNCL TSIQELPLRCGWCVRGWRTTRRPPLVAARRKVVILVLKKLLKRGEGERVEKVRMSGDV MCGFRLQMGGRKFNSSAFYIMVLGTLCVYLPSDGSLTWKAL XP_827071.1 MGSLCLCLDLHSLIILINHKGCNVNMSVGKVAMVGGGGRVTVPR KYFRAAVRGLQHVPWWCQSDARTSATGKHPLDEALKCAATFQSRSLKQWEDALSVSSP YRSTDHLAAGKAVVTAPGTTITLQSLADYDGGEAEDQEESHEVSAAFVEKQQAKLHAM LQQWVAYCAFHCTRAEFAARQSLVAQRLLLSPLGVLPSSAHHQVGSARLERTLRRRGA RAYVDLLVHHQLPMQQKQEWDVLCSVWSSVGEGLRRQGLTASSAASVVRLPFTQEAVD EPSWERSLAVLSWAMHFKGGNQFHIPSSDIAIVLHQYIQSLEDRVQGPNPQQMPVTEE QAVIQQLQQWWNSAREAEQRDAGLAAACGLDRTAFNSIHVEGRKVTLCLSDKELSKST AAYAELLLQGRWEDVLEHIRLVATNVASGPFSRDRGADRRQGDDLSNQRAAAAGDCDD DEGKEEIALITDGGFGDVFFSIGSNVTSCGSSTTDALVALFNTPRPVFLKSKVWARSS SSPLGKPPREYLLALETPLETVLLQKPPVVGLRTRAFATRSDGGTSGIGLMGKVSCSD CSDKQLQESGECLRATSAALVELRRKLWILRQLPRHLSRSLVRFEGAGSFMVPYLLRC PAKELLPWFLLSKVASAHSRHQNQEKLEEAFRDTRLHVLYTLFLPHAHYAAFQEYCAD LLLQVGSLIVPLDVLRVAVRVLLQPPSFDHFYDVLQGRRLPVEVDCRHLWSRSVESSH VERESDCSTSLTPSCLSLLKELQGDAAKRQAFFVCKSHSARNYHLSTWIESCSKVETL SGLIGIIAREVNLYGPPLLSPEAAAALLTAIVIPHIGERGGAKYEGCEQASEIGNDTL RMLGVAYFVLPSDKAFGAAPYFKGTYNEKYIIDDGFEWTTDWDGAEALLVGRSLRRVT KYPTTTTESFFRVECCSTQQMVTYRVPKLRLRLMKVDLVEAIQYFECGVKRITQDEGA NDKICGETVVGAVGRPAVVAYHLPFGVAAVDKPAGMSTTLHVGHPHLLDFLAGNLPWK GPSAPVLFQHGLVNRIDLGTSGLVLVADTEASLEEARRASIVERCVEKVYRALVLHCP PPISRIDTSECWYLNPCGIIMCDVLANGADFSLQIAAYNSSKGGALPVGAMDRRSATT TYRVLQYFPRSGVYYLEIHLHTGRRHQIRQHFARLCHPLLGDGRYSRRAQVVGEEFGL QRPALHAVRVTLTPQHGTSAKPRGGVVAPRVGDADYDEYCGNGGGKTVVECPVPEDMR LTLKMLREREDPVGLGA XP_827072.1 MEDVRPVTSPLTEDTAYTRCLRGAKEAKERGNTAISDKNFKEAS FQYKKALLFLSEYIPGDGGFSEDALIDMLARRRGVATPRDLSPGRKSELMDLYVTVMN NLAVADMRLCRFDKGVEHTTKVLNVPGQEKNRKALWRRAECHVQRGHIEEAEKDVDVL AACAEGNGQQSEEVVEQLRMKIKEKKKQLVREERAICKKMFEHGS XP_827073.1 MQRNLTKLSAAAFYEFVDNNFLNNKRPPVPGGSWTVEVLRNKSL ADLQHIWFLLLKERNMLKSMKEHYLRHQEELGAMPAPSRLKMIDESMRNIKRVVKERD EEATARAVEIFKERLKRGIYRYPPGPPPPPGAHDKTSVVKVELSCYVEEERLRELFGR YDVFEPHKGIVRVELKLPDEVLKQKEEAEQLWTQYMAECSDVKAYHQWSTAAPSAYDY TEVELAPGIFANDAISDKGAKHSGDTETHEGVIVAARVPVPPPKEKQPPPKNPLERLK AERRSYLARTTIQLGYFPNVTLPPPRYETVEAVPRPVHPDEIEGPWEAYITYDREDGL SYAQSLGITTIGVATVLGLTEHVREPQPYAVVDPVYCEALRRERAREETLMKWPHVPE WKYEYSTYTRKHLADIVQYNYTNVVDYVDREVLLTGKSVWECPIHIDHTCGGSKTVPP HAKKPVRYMDAGIANVGVTDI XP_827074.1 MEQQVSNRGSNSDPPASSQCEEETKQHEVSETTFCGSEFAEGSG GTLLQTVAPDAPSVRSCGSHQVPSSSKGAPFASRAQALMSGAASVVAPLSVHSGSQHT SRKGNTHNLARLAVKHNLYAVQLDGDSGGIEMQAASQYLLRAVTTREKYKSVDKGQKE GTGRLVPPVVFSFETGVAQFTDQKTEVLPWEQFYDDVIELCATMQHPDCRRACSQRLQ VLEEKYNLYKLCSSNVENPDHHRHDVGVFSDCTKVDNSVYLSCMVNSELLREYIQDKV EYCGSDEVRYSADKTEVHTLSTTCDQLGFTEVEQLTIEGLGLSPPNEKQRYHYDPLDL ELNRTGRNCAELLQLFLTHNTLNKGKYFAEIVKPTLSENEQRMRNPQATECIVELCGT SAEDWEQLAAWAQEHNLLRMWHNRWFVALPRRRIRSDSTKDALENHQQHLENIFLPLF TATLAPEDPKNASIVALLQNLGGFVIVSDEEERNSTFERKLRRPVEVPWSENVCDLYF AYHVWANLCSLNALRRRKGLNTLQLRAFAGNRDGQIDVLVYSYLLCDSLVNGVLLEHN PVLQYLYGAGKIGLVMMPLCNNGMGLPYMQNPFPVFFRRGLLVTLTTNQPLLFHHSKE PLIEEYGTASKLFQLSGTDACEIALNSVIVSSFPADVKALWLGDSFLQEGIQGNMLEF SKVPTCRLVLRQELWQTELNIIHQAAVRNTRGKRGAFPLPTLLSTPPPLMASGSHAGD STEVEPSGVTLQQAVTMMQSSQMPFLARDPHTDFPRVVFLGPLERDPSDTLVVTLLHR ALQLRSQYVRQHNIRDVDGRVLRSDDIEHAFRRDDTFDEDEWMFKTVEGVVVPHEVHQ IPRLPKDMFHFDDFRQHVQELRAMLENAHVRNFATRRLNLLERKFMLHLAVNRSLEAG TTASKASQNRDFYQATKVDNNVRMESGMTARQLLNFIVSKANNNGDDIVAHQEGKEPQ TLRQLLQELNISPSTLTVDDLNVQVDTTLGVGSAQYTPGGRDVLCTLLLNTDNQMKGR YFAELTKLTFENFEHDRFTFTENRLPIYGASPNEWGLLSDWFDTHGMASVHNRWMVQI PRIYGYLRECGKVQSFTEYIENIFKPLWTVSLHPSKDPRLFHFLNHISGFDCVEDERR HDIPLNNATKPPHEWTTEEEPPYNYYMYHVWANIYSLNEFRRRRKFSTFTFRPSCGET GPVEHLIGGFLLANAINYGVTLADDTPLQYLFYLARIGVTVSPLSNNTKVLGYLDNPF PTFFRRGLNVSLGTDSPLMFHHTQEPLLEEYSIASKVWKLSPNDMCEIARNSVLLSGF DAAFKRERLGDLFFLSSSRSNDASHTHLSDIRVAYRFETYHAEIAFLEMISTLNFPKA LLTLSEERALEADFLEAVKKKEKQLGCIIEVKTEEEDIKRLEQSRAALLRQVREAEDT VEELRRQNKQLTEKLAEERARDQHAQQMRRKGLKERVVGISDPEGRRQTSSSNADMSS DHQPSLEISVLEQVAKEETNGEDATAAGQSQHLGGAKVGLTALSPTALEDTSPRSAGF ISSGNVADLDDNLDTAATTSALVGMLESMWKEKPAGASHSGGHTVTGGDASSTFPPIN VDAVTLSERKPSGASGSTTKRGPPPPRRTSANSAFVFPKPSGEGGSHRASLQGFANVQ QAAREQEAKETGSSHRSSERGV XP_827075.1 MRRSATFLGLTALRSVAATGWRYHKQGAPERVLQYERYRIPFDR SGSQAVVKMLAAPVHRHDRAMIGGYCGPLRPTAFPQVAGVEGVGVVEEVGKGASLLLQ EGDLVWVNNPTVGTWATHVVTDVENLDVVPNRADVDIEYLASLSLFHTAYHLTNSFVS LQPNDVVLQTGASSSIAQICQGYIRARGAKLFQTMQLGRTEHAHLLAFFKMRGAFAVV PYNYVRTNYMRRLLSDVPPPKLLLNHTCGNFASSLVNLLGDNGVCVTYGNTGGKPLQI ANMDVIARGIKFKGFFLPHWIKSHTREARMRVHQNVVESMTISQGHGIFRAQRFKMDG DSIFAFSNAWDAPLGSRKPVLRMVGEYGEWRRPRSDQAAWNIGRAVWDDLLQQMWESA GTTENPQSMKYYTPFNDIYSQFHDGKQSKEMGHREVFFRRPNAPRHNAAESTH XP_827076.1 MLATQTKTWVAGAATKAQQPCVRRGSTLATPSSPLWYNPLCVAC RLQASDQFHRYLHNPHIVQPDGIDSLPRTKGDQQQWLNVEQWDGYSDTYLKQHMQYPP TNEHPNNHLNFSHNLLSECLRSCYEYYGKPADQLQRLHEDPLHPHFHQMIAGIKKDRE KIAEELDRVYANLHPTVKTVYDAYLVRRYYQLGDWIERVEQKRHDLLCQLSPEYIRQA ERARGVAAHFLSRLKQLQSAFTHNPTLGLLDDAMEGQYTHDELVMLRQKAAYFRNMRQ LGANQQDADVHTH XP_827077.1 MTPRRVAKLVQFSGSYLNTEWARKFILGSLLQRYNPQSLTTVGS SAAGNSGEDASLDKELLHLQRSLSEVWSLPAQPLDAVSEGRILRLLARYATGEGVMSI EALNELSHVLSCIRGSPQRVEGPIDMEELLLAIGYAKPGDNLRRVAFAGELQYPPSAL AHMRAHLRDDMERDGSDPFDILRVVTHNPAYAIDSASTSEVDDAIGVYKDPVTGEECF VVYVSDATVYCPFDSPLEQLTARLLTTTTYLPEGVFFMLPKPIVDAATLREDRPCRTF DIRFQIDEVTGELKNYSVGVGWLHKLRRITYDEVQALYDEEAQVGNQHHHTERESTQA SPAKREEGKKGMVASGGTSSCRPSWMTVEDESILRRIYRAAQKRYETRQLRAGDRFIH ADLPEPLIKVGAGAQVLSVEDQIIGTRDARLAVAEMMIAANEVCSRVAQENHLSIPFR GTRELSLDHVAAKSYREPQGVVSVQSLDPQYVFFAEAMQRSIRQLSGVTRAVYFHTPI YHAGLDTHNYTHSTSPLRRYADMLVHHQLKVWLWRTSHCSSGGGALHSAQKSSPVLIE QPIAEHTMATLCSMISSKQEQSSILQESSQRYWLLKHIKQNILTKSPHHRFICLVGDT RSVKCAPEYARFVVECSHDSPSQPDGGVHRTVPWAGRWKQRHHEYLYVSDIYITELQF AHVVLHSLPDVVVGAVVECEVREVHPTQGYLSLAIVKVWSGGDERRFEPLWKKCLLPS LDS XP_827078.1 MRRRCVWWLFWCCTELALVKVLLYWLVSLDNCFKRMRLYTMVCA LAQFRSCYIFFFPRLSLPLCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCVCLRLE A XP_827079.1 MGQLISGLWSVFNPNRHYKLLILGLNNAGKTSILYHLQLGHSIA TQPTLGGNVEQLSISHGSNNNKIEVSCWDLGGQEQLRDSWRLYYDQTDAVIFVVDAAD PSRFPAARSVLHKILANEPQLRQAVLLVLANKQDMEGAVSPADLIESLGLAAVNDRTW TLMGCSASKGDSLREAMSWIAQRIGDRRPASSA XP_827080.1 MPCTSLCFLLLCCYVISPSPHSLLLFFFLFLQRHSNMGKNGLLH SHLSPLFTQLIRLATPSVILFPFIGLYARIILFFYCWLVYVFTSLCFYIILYYTHIYI YIYIFPPSRLVGMKNKAASRRDEKLIKGTNIIVKNVSLIDKHRNDPQALLHSIEADDA VELRWWRNALRPEANLIEPAVAYLQGIKVIRPATNFNTFDIKKARLRRLEKQEIERKK CLERRKRDVAPTAAMKASANPYRVPRSGGYIKQKDDRIIQRGEKTKKAAKKDIKESGG KTQRHKSNGEKGKLQKIGKGL XP_827081.1 MRSGRKLGCFTNRLRLPFFSPCSQITALTASHRCKSYVLKFLRG QLPEDLKDVNGALGCLYGTLPDVDEFGQFVISPDVVNSFHQFGYVKMPIPVLDHQQID KLADEVNELANNVEHHPKTERLYATSLADLTGGPLFFCQGQWRAAWGMHDLIYLPTIT VAASQILNNSLVRLWYDEVFMKAARTGPCVPWQQNYARWQHTKPVNHVTVMIALDTMN KDRGAPCLVPGSHRWREGGLLPPVSYDPTKDEAHQLNTIWEIINEEEGEMLMDTPPVT VDLRRGEALLIHPLTLFATHGNRSLDAVRCCFIHYMGEKTYAVQNGPLLPHTTKFQAD AMIQGPFYPVVFDPAMTEELTMLPTAPSEEEA XP_827082.1 MSNKTYLWSKFSLEFFIPTPYIMKGDDDAFIRVTHHLSNLRMMP RKGLNMGKCSEASGGMSEDKPVSFIAGYCNTLSRDVAQAVVSYKPPWNAWLTHTTFSG ISIRFTSRRCLTKMPWSERYTRRR XP_827083.1 MFLSAVSLAHALAMTQFIRLGVDELDCRASTAPNGLRTAAREHL ITLLFCRIQRAVDYGTYPITAVCPKVTERLQADALMLMSLDARPCHFNFPGVPSTLWS FCAMHRFARPLQESCAFPALSFRYGLIGDEYNLYRKW XP_827084.1 MLIGRWAIFVSIVTLPLIPRAALVAKGAENEGDFRALCGLINLA SNSEDHAALLARVEDTAANIGAISLAVEYQSFSDLERATKDWEEIADDRKHSHETNEG HRKFWTGARSKLGGPEKDKYAVWTGSALSKEDKRKVANAAERAEDCMKDNDGLWRILK ETSIEKNLIEALYGSGQQNGEVMRGTYGRKDVCGRGIFAGSLAGLALSIDLLCLCGAS GSAVESDQGCCAECEKGGNSDTWIPSQAGEERWGYLKHQCEKIGSNAELSRKSLSDAM NLLLKRLRPSSTRGMDLTVLGKFEGNLATGCTGIIKTGQGRCVQYSVSNVKRNNPTLP WLEKMKAAADAIDQLSAIDARLSELEDEIMALNTKHTKIRPGRGGGSPHSGSRGAEGR NKWPGSPRLEQSNGNREGISESVVGAAVKNDEGDGGDSSPLLECNDYKREQTCAGLKA EMGCEWNGDACVAATRRRAIRSRVNNLQTPLALFLLL XP_827085.1 MFPQREQGHNRFCVGDLAASGWEVVERNCQGESKCLVIGASGHK IVEEIKIFDVTRGGRSTKATNFVVFGRKSRKEPAFIGSFVKMASSVDGPNNLNPSEVA FTTHSKIREGRVENGQNHARIN XP_827086.1 MKVISLFLAVCLTVFGIVTGTVEGQPSGKAMTLKGAETLCNLSH ALRVVSAEILKKHQNATGMVDKFREWRHRHGVKGRTWKAIVQGLEKIGVVNGSEMKNV KKTYVEMEKVMNNMDNALQVMDASFLDIVRVSYHVVNASLSIGQVLRDLVVLFEKTKD EESKWCCLVKEAGSSGGENGCGSGGEGNCKYEAAEAPNKCNMSFTEDNSDDGILSVLK KYTANREVELTADNSTKCWIMGTEKVANGGPGSFIVGTTGGFVTYKKDSAVILKSSDM VRELIKNYTLVRRGYESITKQYRDVQPTLTSFAKHENKLKELLTQSPIVRRYFKESGK KRKGGEDEDDVIDEEGFKMRKQHAIIGLIFFAEMLVM XP_827087.1 MRCEIVFAVGLFAAVLSPSFQGDMGSYKWRPDMVDWGSITDYID DSYKYHNVGEFETLCKIYRITQAEAPQPSFKNREKEANILNELEEMVSVVGAAGSNKG SSNSSNSTTAYQLMIQLLEKAKKLKEEIEVNRTKALNASRSAEDNMLKAVYGDAVDVA RNENKTLEKAMRGNKSLLFNSIDNAGTSCGSYGDKLVGKTLINDFFCLCVGEAINVTE DMRQKRDEKEEEDNEDNEDKKKEESGKGKYSDSVYNGFNCPCKDEIRRPKNGSWTMMA NYCEANSSPCSPDNITYNYTEAWDVISKACVYKNVASNVKTLKSALAQFDALVNLEQD KYQVKGIFGYVRTDKNENRTCTGHTAGFTCVSYKYTLENGGIPWYNRLTNATEQLQEM DKYSKEADSHLHELEEYQHEAEEIFLEVKLGGDAELWKSSRVKGDSEGDNTEVNNDGL THLNMETWGFILLLFLSLILIF XP_827088.1 MMLMIATSLFLILMLCVAGVEQRVVSAVDVTMSRDSCTEYRTSP TTGVLECGTVTANRRSSTHQQNPPVTASAIQQPQSQLQEETSTQKPLGPRSTDSSSNG GNGSSHQTSKPQEKSPEVATELDDGQPHGSPESSVKSSNELPQANYVGAAAVTSDHQR VQAKKKMGDEGETKTRRENRQGNEEETQKFVPPPQVTGQDDRYVASPLRSAASEPEVS RPSDVVTSGTNETSSSKESEQRRNGVGHKRHAMILSTVLSFIIF XP_827089.1 MAIGAVTSYSRRRGSLAGCSLLLSPIRKFKYRSLPSTFASLPAF AFKSHQLLAHIFPCSRSLYSRKYYLPTPDTNCGSSPLLSLISSFAVLRKYTKTTHRPL LSSYYTLELPRLKISCLQ XP_827090.1 MFMFIQTLFLTLILLALLIKSEASIGVSVTDQRCDYWDYSNGKE QCRTWNVGASRPNTVSPRPVPTPLLRNKNPPNMNTVTNQMQEAQGSGISSPTTDSGTN RPASNVENHSSEVNVKPGDRQDSSGRGSETSQPSESPRVSEPAVHQAAHHGEQKVSQE EQKLGVVKQEGAENNRREGGEGERVVSTQLVTAHNRGHVTRPSPQGVSSGSGQSDAVA VAADIVDVWESAESTTRSGNGAWHSGSMMILSVALSFICS XP_827091.1 MVFFRCLCSPLRKVIEGMLMKMWKESLGLIVGVSLALATTAGGT IVNEEEFKTLCGFVSLTQRINSSLQLKGKSAVNVASLQKKVNDILFGANAENVNEIGW KRYREMDCGQDSGGRVSLAGEALVKDLICLCEGRDGTSPPGDLCYTGNKERYISQTWR SSSNHKNTWSELQNKCESGRIGVPPTRTEFQDKRKRLETGIKKRKGSSGRRYYTYGGN EVSEPNVCDGQETQTNGICVMYPKRPNQDSTRGIKWLSELEDLVERVEEMSKNAITDA DTAATTTTSTETDTNTNTRTKRSPRENSPTKGSGEPQKNGNTNTQTTTSATATETTGT TTRPPEEQRSFAEINSRSPWIFLFLLLYKPFHH XP_827092.1 MWKYSVRFLICLVLVIKEVSCQASPDGSVKNKEEFDTLCSFLNL TLGIRELVKQNEDEVGDNENEYNTTINTILYGDGSDGSLNWNGKDRRYNDCGYVDAVT SSEVIFAGKALAVDLLCLCKPQNGKGEMVNLCYESNIWQNGEESWSNGTQAEQHWKSV MEKCSTAIYAARPDAKTLKTMKENLSTKLKERERGTGNSETKRFGHGSPPITQSCGAS DNLRDAPCVMYKLGGEETGRLNVEWLTRLEKLIEKLANPQNEARKNEGPPVSKENNPE GGKTHQQPRVSQPVVQPPEQNVEEKNKPLPAQPHPQHPQTAAESEASVTSGKNTNTNT QTTTDTDPFSSRETNTTESSRQSQKPLRPKNETQVISPLLVILLLLI XP_827093.1 MKVISLFLAVCLTVFGIVTGTVEGQPSGKAMTLKGAETLCNLSH ALRVVSAEILKKHQNATGMVDKFREWRHRHGVKGRTWKAIVQGLEKIGVVNGSEMKNV KKTYVEMEKVMNNMDNALQVMDASFLDIVRVSYHVVNASLSIGQVLRDLVVLFEKTKD EESKWCCLVKEAGSSGGENGCGSGGEGNCKYEAVKAPNKCNMSFTEDNSDDGILSVLE KYTANREVELTADNSTKCWIMGTEEVANGGPGSFIVGTTGGFVTYKKDSAVILKSSDM VRELIKNYTLVRRGYERITKQYRDVQPTLTSFAKHENKLKELLTQSPMVRRYFKESGR KRKGGEDEDDVIDEEGFKMRKQHAIIGLIFFAEMLVM XP_827094.1 MRCEIVFAVGLFAAVLSPSFQGDMGSYKWRPDMVDWGSITDYID DSYKYHNVGEFETLCKIYRITQAEAPQPPFKNREKEGEILKKLEEKVSVVGAAGSNKG SSNSSNSTTAYQLMIQLLEKAKKLKEEIEVNRTKALNASRSAEDNMLKAVYGDAVDVA RNENKTLEKAMRGNKSLLFNSIDNAGTSCGSYGDKLVGKTLINDFFCLCVGEAINVTE DMRQKRDEKEEEDNEDNEDKKKEESGKGKYSDSVYNGFNCPCKDEIRRPKNGSWTMMA NYCKGNSSPCSPDNIKYNYTEAWDVISKACVYKNVASNVKTLKSALAQFDALVNLEQD KYQVKGIFGYVRTDKNENRTCTGHTAGFTCVSYKYTLENGGIPWYNRLTNATEQLQEM DKYSKEADSHLHELEEYQHEAEEIFLEVKLGGDAELWKSSRVKGDSEGDNTEVNNDGL THLNMETWGFILLLFLSLILIF XP_827095.1 MFRLTTVLFVMLILVALLVESEVSVEVSAVGPRCDDYWAPFGGE LQCRTWNTGATHPSGVSPPAASVSSPRGTPAPGKTSVDPQRQEMGESAVSGSAAERTS QRAPGSQEVSPTVTVQPNDAAVGSRSEGQVLKPLSRSPISGGAAPSPPDSVEQHVDKT VHEQEVDTVGGQQSMEAHSRKRVNEGNAISRKELTGPDNGSVAQPPARRAVLGAEQEN TEELATSNMNEQESKENNTQVRKSTAHKMHVVILSTALSLVCL XP_827096.1 MVFFRCLCSPLRKVIEGMLMKMWKESLGLIVGVSLALATTAGGT IVNEEEFKTLCGFVSLTQRINSSLQLKGKSAVNVASLQKKVNDILFGANAENVNEIGW KRYREMDCGQDSGGRVSLAGEALVKDLICLCEGRDGTSPPGDLCYTGNKERYISQTWR SSSNHKNTWSELQNKCESGRIGVPPTRTEFQDKRKRLETGIKKRKGSSGRRYYTYGGN EVSEPNVCDGQETQTNGICVMYPKRPNQDSTSGIKWLSELEDLVERVEEMSKNAITDA DTAATTTTSTETDTNTNTRTKRSPRENSPTKGSGEPQKNGNTNTQTTTSATATETTGT TTRPPEEQRSFAEINSRSPWIFLFLLLYKPFHH XP_827097.1 MVGARFFFLNLPTSLSSASSLNRNKITSNRLPTDPSTPQWRDGY KLRKAVDDSSTQSTHRLPIYFCNAQRRVSSHSGRKTLLLATICIYDVGALDSFPIVLL VPLLNFIKSGLNEVDGSADCVDFSEYQCLTAPFPTTFRISLCPRLRFGGQFFFFCTHS RGDKNSRSILSHQRLAKFVPLFHTWYNEQDIS XP_827098.1 MFRSYLNLPCSLRLPISVHLSIHSFLYIFSFTIIIIINECFFLF YFIIFIFIIFCFVTFFYFFSFFLSFFPFCFCFVKQKFNTPAPFLHSDSVPFTHFFRLL FDIIFSYYFFL XP_827099.1 MHNPSHPLLFMCVNITKCGKSVNFTFTFTFFFSNIKNVIFFCLF MFSSLFLFLQLHDVSLCTDLLFYILFYVMLFFLFFFSCFHVFTYYFRVKTFQKLCGTI PFFF XP_827100.1 MLGFESFSEFVVYVTFIFFGMSVMMVTNAIYSIPAFFTEYYKYA QGSSDAQTENENFWNNILTYYNAAVFSAQVLLETFMLTNVGRRIPIRIRLIFGLTIPL VELIALILITVCHTSEAGAKATIIIIALVGGVSKTLCDSSNAALVGPFPTRFYGAIVW GLGVSGLITSLMSIIIKASMDDSFESMLTQSRIYFGIVIFIQVIACVLLALLTKNPYA IKYAAEFRHAAAKESAVESNEPVQETITDQEANAGEEGERVEKSTSKMNVLNVSEDPD KMKDTDQVDGTTNAQQMLDANLWFVVKRIWPMLVSCFFVFFATLLVFPGVFFAVEVKD GWYITLTAAMFNFGDFLSRLVLQFKQLRPSPIVVLIGTFARLLIIPLLVLCVRGIIPG SALPYILCLLWGLTNGYFGGMSMIYAPRTGSLTTAGQRSLAAICGNLALLLGLFAGSM LALAVMTALPES XP_827101.1 MNQPTTTHKTPYCQTKVQTQANPRSGPAARRPQHRQSRSLAAAS KGKQGRAGNSAPKSTQKRAATRASRGNPLDISKSTEISTSDTSAAVCLASPPYCESAA VSKERSNVPLTPTRRLNSLQQLKPDDLSSSAKEVKETEEAAPASSLSASAFSTLLSPT QILQATGLHGGITTQSVTSGPGSGDDREVLRTEMSRKGLSVHAAPFLPLSRTAPQSPA LAQATCEHGVPLFPCEGSKRYIDSIVSPCSVEDVRAQSHSLEFPCTPLVLRGGMVYHP PGFRGGGALATSESCLSPDTPKVGSTSSIIPASVKPVTSSPTFDTLRCQQCVQCGDGK DDSVEDIPYTTEGVEDSCEGDGIRHLRIPFTDAEAQDEAKMLHMLQMGEEEEDAASVG QEWQECIFGSSNAGASNVELFHCAGGYWDVNGPSVATRRLLRHRMPSSSSLNIDAQGD EFSSVLASQSEYSADHGHFAYDNDDGSWEMSSSAYADSLDDEQLEWIEQHLRAK XP_827102.1 MMDLVMMTVFACLFCFFFFKGGVFICISNRQPAFFFFTALSDVS CSGHVESRSAHNLVISVAIFFFFTFLAVVFRCPFFFFFVVALVRYICVCADVHVLVRH RLLNRVSKQRNLNIFSFYYYYYFSLLGREIIIYNNN XP_827103.1 MLPLIFYFWFDIILPVFLFFSFLFFFFFCSVRTVACHEFYLTRK AHDILLLCYCHICFCFACVSICVCVCVFFICFSSTGSIFLVYHFYYYCYYYYYCYNNF SLFFVVLLLLFCMALNEKDLYIYIYKRNDVTRDEFVGGASQLVATCNYNKNSKE XP_827104.1 MDTLHIHIHVNTYIPFPFFPPPHLFFPFSHCCELLLLLLLLLLL LLLLFSFIGFTQSKTYNRLQGLFKFPIITCCIVLLIVSSISTTLLVSERLYTSLPLYI YLFIWIVIKIKTR XP_827105.1 MRQGRVRTESTVQRFAKTPIGEGFDLWDRDYLLGAMRLFLFKAE TAPPFQVGPCMDATGEILVQLEELEDAREQFSIAAEKYLITQQQQLAKIMEIKALECE RGPQAALEELTAFLKKETASTNATAVPAPITRAYAYQAELLLKTATPETPELFTEAVE TAKLACNHAWDRVHMGYVVLGDALKASGCPDEARKAYSEAFETNRNCITALERHIEVL KEYIAESNDDMRIEALRKELLPLLESAISLHPRPTLIREKAFLLSETLGDAAALEFLD PLICNPPPEEADAAGNVGGRTVATLLKAKAAILADGGKMQEALEVAEAALKESPSDEE AKAIVAELRESL XP_827106.1 MLLFQVRDYRTASFNVHIYIYIYMYFFLCVYGLHTFFCYVLCFM FFFFSFFFFYLCRLFSVYPALFLFLVSEASIKRKKIYIYPPPLSITTPAPSQKKKKRS FPLFDFVFFFFCSPYPFFFHISTLNVHVFVLRLFVCFVLFFLLTDFVKCRLCMYLFIY NFFLFFFLKKKSPLSPSVECCFQINSYGKCDVRR XP_827107.1 MMQASADGEDISPENGRCSAADISDPPTELLSAAKESAFSDSSD ADSTMEKKPTDDTSHNDGCANGVEQDLLHQSLGTGAGAQTSMSYRDYVVKRKKIPQQQ PVGWEPFALPPLYGDEEDEFDVATLRDPVFSSVAEESPEVEFVNGTPTLKGEIISCFQ EPGILYRIVDRQEKIWAFYNDTLDFEVLVSCSFSKYSNMEALGKTKLRTDEDGVTVAE VVVYPTETELFVKGLINGFNSKFSAIPLSDTYLCERRDTNYRNVIEVELMGVKEHVGN QCDAAKVLATCVKYNTPFVDLEFLPQQSSISNNNHKDLRPLPWSRPRMYVNPWMVDQI RLFRNPIIPGDVRHGELGDCWLMCAIATMAEMPEELVRMFRHPFSAARARDERAVGGY RLTVNKNGLWNSVIVDDYLPSVAGVPRFGRSTDPCELWPAILEKAYAKLHGCYAKIRS GDPVHALTDMSGCPTMRLDEEFATTFRDGGKKLFELLQRCHKSGYQIILTTAGGSRAV VTDKNEATTPQSNRTDAKSLMDETGLVPGYAYTVRAVHGFTQALDLQLVRVRNVWGKC ANWKGPWSVGSSEWSKYPDIAEACNYQQHEETDVWMDWRTALKYFNGGGVHFYEAGYD YRVPLVFNDCRPSLALEVSVVKPMRVCFILSTVDYRVLSDDANTGADQYNYPPMMISL SSAAGQEDGRHRVILNTTMNAAEPSAEEWTFVRAREIGMFCHLTPEQSPYLVIPRMAE LEDTMSGSKAWFTHLRDKVNPSHFANVVKKKKANKTGGEGGVAEIPVVLGVRCSEPLA YEDGCGAGVDFKYISGDNVVFENFPRFPNNSIPVEEVLHQKWVPVQGLVEELVGSSFS XP_827108.1 MEKGSPSDLNGVDYSVDNPLFALSPLDGRYKRQTKALRAFFSEY GFFRYRVLVEVEYFTALCKDVPTIVPLRSVTDEQLQKLRKITLDCFSVSSAEEIKRLE RVTNHDIKAVEYFIKERMDTCGLSHVTEFVHFGLTSQDINNTAIPMMIRDAIVTLYLP ALDGIIGSLTSKLVDWDVPMLARTHGQPASPTNLAKEFVVWIERLREQRRQLCEVPTT GKFGGATGNFNAHLVAYPSVNWRAFADMFLAKYLGLKRQQATTQIENYDHLAALCDAC ARLHVILIDMCRDVWQYISMGFFKQKVKEGEVGSSTMPHKVNPIDFENAEGNLALSNA LLNFFASKLPISRLQRDLTDSTVLRNLGVPIGHACVAFASISQGLEKLMISRETISRE LSSNWAVVAEGIQTVLRRECYPKPYETLKKLTQGNTDVTEEQVRNFINGLTDISDDVR AELLAITPFTYVGYVPRFSAK XP_827109.1 MPFDNVTASEVQRMREGLGDANVNETRVINYAIAISASAMQRYV RKRCEVVGFSSAEGAASMYGATTHDGDAININTEEIFPRPSLFLHPLPDKLSEYPTEP PQFLLDSEPLGQAQLRKVKETPGPSGCTEQPQPQCFQNTFLINGGAPDVMTVLQSECG LSIFSPNPKVRNHRRSYIMSPDATSCVIVACRVGLNPAFRCKVMSAMQHTAPDEVGSI TRCFETFTAAMCHFDKSRGMEVQLYNALWEVALPAWFYAAASDESDEVLDAAERTISS LYRFGGLQNVDSDENAVPPLFLVEWFVVGGLYGSDDSTSILYTLFSAFFGSEEAGNCF LMPSFCLWDVLANSSHSTGRKLMTKPIQVAHRLREDGLCFWSFNTVPRPWHLGKEGVL YFCTISWGLLIDVGGGGCWPVAVKPQTRDYPLAALRHSLISASYRWTCPLRSEGLLTV SSGPLTDRVAAYLSVKAATDEGCCKAISLLEYVALFMRRRFEDGSDGGVVQGRCHCPI LVRRCRWEKLNPSVTIRLLSDDNLLQFSTTPHCEPPDFSAIMRVSFDFRAKFGPEDVF SSDVSGILM XP_827110.1 MAEAKVSAEKKAANPMREIVVKKLCINICVGESGDRLTRASKVL EQLCEQTPVLSRARLTVRTFGIRRNEKIAVHCTVRGKKAEELLEKGLKVKEFELKSYN FSDTGSFGFGISEHIDLGIKYDPSTGIYGMDFYVVLGRRGERVAHRKRRVSRVGFNHR VRKEEAMKWFEKVHDGIIFQAKKKKKSMVRRRRR XP_827111.1 MAEAKVSAEKKAANPMREIVVKKLCINICVGESGDRLTRASKVL EQLCEQTPVLSRARLTVRTFGIRRNEKIAVHCTVRGKKAEELLEKGLKVKEFELKSYN FSDTGSFGFGISEHIDLGIKYDPSTGIYGMDFYVVLGRRGERVAHRKRRVSRVGFNHR VRKEEAMKWFEKVHDGIIFQAKKKKKSMVRRRRR XP_827112.1 MGNVLSWFEGLFSKKDATILMVGLDAAGKTTILWKLKLNEVQQT VPTLGFNVQTVEYRNVKFHLWDVGGQKLLRSLWKHYYEGANAIIFVVDSNDRDRVMEV RSELTKLLGEPLLSSATLLVFCNKQDLPNRLTPGELVDKLGFREQGAAGLGPLLRERQ WYVQGCCAQTGEGLFEGLDWLCSHLPDTH XP_827113.1 MIIIIIKRTIFHSAYHFWSPLLTLYLCLSPSLSLPFLLRFDYTP MVFVLRQLQFPPFCRQTNPFWPCPFPLSFRRTHEK XP_827114.1 MAKSTRSKWKKAHRRQRAQLEAATVSKRIGRLNGKLKLAAEGGL SEVPLQDPETRFHFVNPQMDHNVPHTCKGLNNNYEQLIRESPDFNKPLKLKPPTTNYY GKSNPDAPHPVTCQYEVISATTPVAGHALSVEDVARMTKEQVEHNQSKPEEARNDKGM RTEENEENDMEEFVLGCNDAAKETTVKTKALLAGKTTISPLPKKSRKAPVKSVTTALK RNGQLRSSKEKKKVQWR XP_827115.1 MTPYSSFFSFFYFFFPLLFIPLLCARVCVWSTWGPSFNHFRCDF YELFFFVFVPSCRAGKHGERKRGEKEKDVR XP_827116.1 MSFDSKPGCNELEGELHDSPIDPQDSSGACVSLHERQIQHWSPL ETPSSPSDHLEGAESVRAHGDLFFPLDEYLSSEVVLVPSRHVSQCGSSSADVVASAAA CVSVVHEDFSESTEHINIAQTDNACENSSGSDVCTQLKDEEMAQANSPPQLCATVLPV ACVLDEISADSPCTLNSVPITRGGFIEDNTTAGVGTGVSEDTESSNTVERRDLDHGIE EPTAKSAKRSVGPAKDSESSLNGIRPPRKVARGRAAETTKTQQVPQRARTAAPPTSST LPHERILDDPQHGRWPSASGISSIDHAVTSETSCRTNKGKSNDGSRKQSSRVGPKSNN NNNGSASELGKGSNSLDGGVSGDKSGPARPATPHRSRRHKARQQQQVEETFGPFGFPF QRNMMLDSMPDGAQRVAEHTAMMLAQKQQLLYPVPLQGDASKMIDVSGGTPHWSMLPS YYFVSSLQIYVDTCLGLRGGDRQERHEFVSRIQRITTKVLGPRARLRMHGSITTDLAL ASSDIDILVVDYEPLAPLQAIQQLSKAIQSISGEELEGLSLEPAEGCSLETEVMHQPG NNSSLRESTGVVSVDNNVVDTTGSGVEENLLAMAVEPPESDCILRVEEEEAEYRLQIE RDYALSTRVGPNAAAVALHSCIPSFTSAPLPPLPPLGRRRLYVPTVDGPLYQVQTIIS TRVPVIKVTEKMTGLRCDISFAGGEHWRSMQLTNRLLKRYPTSRGLILFLKHCVRQMG IGDSQPGDMTSFAIYLLVHHFFNEISKHLEETLREQIRRQQQVGDGAQPCVQNPCELD PRNPTGGRSSPCVPSLRVQVSPSAHHGSGTTSPADQKPSSPDDRFPVVTGMLLGQFLS RQQQHSNQQGGNSRLSTEGILKSFILSMESEEVRGGARGVDEAQLPTKSGSPPNQVAE ALDEWQQQQQQHTDVTEAPVVTVPGSVVSQSLDESAVDTAAVDDFDGRDIVCCDDVVD ESAAGGPTCPNPMVECGSFSASGAVEDGDVNAKHPSEEVEDGESVGEGERNAETPDED AERKVGTESQAESVEEDDLVRCTVHRLLTTSPLGHLFNDFCYYYGFVFNYDTQGLRFG PDGSSLVVPKPQQCQQRGQHLYMTSPFDVQYDITAHMMHTRAFQELCCMFVPLTNPLP LLTGDGSNVCTLRQVLEWISPDTAHKELIEVHNAVQMQQLHHGEVQQVPQVNPQLALE NDRCTLFPSSRGRLSSGIEERSTSPSQLNFPDDGNELSSGGRSLRPRDQSHGRKGGKN ANASSGLRDVNDGSAGSTGSSRGGKGLPANGTYVSDATKGRSGQPVGWRQGKRSEGDS EDVGAETRTAAVSATSPASEVSSAMDAEVSVVSPSPFPNAPLASGSKMVKGAPAMGDV NAGNCDPTYVPTNPTHANVLPGQQPMNFVADPSLSMFMFPPGGVNSPYPGMPQYYHPM PYTTEAQLHFRPDAYQQHPMAPFVYQGYDMNQMFPHRVMGDTTNDSVVNATSTVVTGK RQSSAYGSSTHSNTQSSARSGVSGNFLSRGRGRSSHRGSGSNNNGGEKASQYKAGHSD ALEEQAPTPVVSSPSLTAEPLGTATFGGLTSSGINRDSMTTIAVGTVPGKEHQQQPLQ SYVGDPKEWLKPETPTQGIEFHHYSHQTHRQASYPHHQQSSAPQHYHHHIQSSPKMRS NTQQQAQGHWRHQRCTMMMVPSPMYSIMSGMEGTATTSLCSPAQAGSPFQKQAAGGGS VFSSASQGATSPSGFSMSSALGMPDCGKVGNVVTDNHQQHSYHHHHHHFIHHNVHIQQ RWPQPLGQQQRAEGTTTNTNTAAATVTSVGSGNGGKGAGGAPRPVATQSLDGRPEEIS LEKIHQQGAGDTNSM XP_827117.1 MWVFSDRMMERGGQSLPLAHYLQHEEQNDVPLPEPHMLLLCGRT FHESLGGDWLFPSVVTFLLGGADVNARDKDGATALHVAVTQGNDIATVCTLGREPFLG ECSNEMIIRFLIDNGADINARNASGETPLMVAAAKGNITAMRLLLERGAVITQRDDAG CTVLHHASRSPFSLQLLQCFVDSLLHQVVSEDLLHFVCQKGGKGANFVILFLVEQLGM DVNAREGECVKASTGEELKPEETSVMSAVAVRSSYTPLHRAILGGDVALVCALLSCGA DVNQTDVIGLTPLQLAANNVGVSAVGGSWLQRFVNIRSLWYPTAAERKVRSKEVYNLL KAYCKETSLSGREALLQRFACGNNPSQNLLSFKGALVFADGLIVVHALMVLCATLTNE MILIRGAALFSLFVSFRLSSRNFHTTEAKSLYPAGCFVGYTIALAGCCVYQLHYVSRQ PTPSGLYCIFTLLCGVGSLLCGAIVARRDPLVVNSTPAQRAAIHKTVFCAKGVLPDET KTTFDTQCMVRKPLRAQRCQYTNRIVLRYDHYCPWLANSIGAGNHRLYVTVLVVHATF LVSMWLLVGWSSSRISPGETTLQRLSEAYMMPVLPVVLMGVTIMLLRQLWYISRGVTM YDVQHPSQCLWCFQLGTRTYSLFDAGTVENLRGFFMLREDFAKCEYLVPGISSRLKDI VKKHQEMQLPCGDHCEGHLPTDAANSQHQPSMPSSYVDANLLQFEQPTRSVSVNKAAQ EADALPSSSVVNAPSVSASGIDDAAMRLFQRMVQSNSADVTVTDIKADITPSEWQTVE SRAREMFSFFVESMKGSTVPSA XP_827118.1 MSAFESFLLAAAKRAPFLVGDAVTSSTEQERAGSAQKAEGTISQ QQEEENIAILQRLLVDGPLQPLGLEHALYHILIPAFTASMSAAREVWRAKVALQEVEH NSATNNDAEVPVAAAAAAAAPDASASGGASTELQNHNLETLKKALADARALLSERQRN LDAAQLKVRSMLVVVKQFLQTHHPPEVSPRV XP_827119.1 MMFYAREKLWRHAELVCTDTIKSTDSWLFRVWRALCYDKQGQPN EALREYKSAQQHRETVIPALMGIVLIYRRSKDTEGLASAERALAAYADGDTAANSIDG WVQAAALMWLSGDAAGARDILLRYEDVQETHRDECTNLATIRAWVDLSIGRGAFLEKC GSLFQKVMNMESQNGEPMDIDSTMGRVAFFERKYQFFPAQQLLNKLIVSHPSFTPALI VKARHLMKAEDWEQCTETTKRILGKDKTNLEALALNTLFLLVKDARYEAAAAQLPSLF EALQEKEPKNAALFFEYAQCFSRLSGSYPPLLGVTMQFAEAAHRMAPQRGEYLTEVGF QQLLRGEYKTALATFKKASASADSSVLPLLGLIRCLIFTGNLDDAAKQIEFPNEIQAS NQRNPELSALNAILQWRRHRNESKALHFLDQTAEAIRQEVGSGSSGLELYIKLNPPLM LEVAKEYMHHCRTEPPDPTVSKADPIAEKCKRHLELLLRHVPGCLEGQLLLSKVYFVS GNLNKAQAMITTNIRHEHAIPDAFLLSAQICQYVGNVSLASQALEQALTLDFEVKDQP LYNLLYGSVLGMMNKHKEALEALQEALKVVKDKSHVTAKGRLVQPLSVQDHVSLYLLI AQTHLKLHDAEEARATVAEATALFKDTAQAGRVAIASAMIAARTDVDRALEILRQVPP RSDFFIAAKTRMANIYLIHRQNRQMYAECFEQLVEEVATAQSYIALGEAYTNIQEPEK SISAYEKAKAIDPDNSDLAVLIGRALVSTHDYQRAIRYYRDAVASDKSKFAVRADLAT LFWHLGAVDHAIAVLKEAPAYQSEPDVGEGVDRAIERVNCALLMCKINRNTQNADLAA EALLQARGFQEHVLRNMMRNETRETIYQQKVVAATISLELGRYYASVGEVERAKECYQ ESRMYDESSEAPILASARLLLQCGDENACEEQCNAVLRINPACEEAVVILADLMVRRN CFDDAANHFSQLLEKTPNNYEALVQYVQLLRHAGRLSDAKIVLERAESMLDVGQRPDA ALSFARGLYHRYCQESTEALRAFNAARLRSDDTQWSEKALVNMIEMYLVPTNEELWVD TQMGDEDRNENVKVAERLLMQMAPGETRDILQGYCWVASKKRQLVERAIKEFTRICTA AERNAGQSEKANNKEPQKEADNAADDEDSKLLSDVNEPPAYTGRMNVHARVGLAIAYF ISGLEKKATAELKPIVLLPFDPTTSDSVHRARLLSAHMSVQKKDVKMAKMMLQKALEL NKSCPRVCLLLGACHELEENHSEAANCYKDAWLLTKERDPSVGYKLAFHLMKSGKLLQ AIEVCRKVLEAHPSYPKISDVVDVCHSLLRP XP_827120.1 MFFKKLCVIFSRFWLFSPIPWSVTAIITSIGCSHWKKSGS XP_827121.1 MTLPGQPPPEVREQLVPTINFAMVCPGVYRSGYPTRKNYRFIRA LGLRTIIYLCPEDYAESNVKFCEESGITIRRFATEGNKEPFMDISEPLMHRILSALID TRLHPVLIHCNKGKHRTGTVAACLRLLQGWSLVSIFQEYRSFAGDKVRMGDMQYVELY RPIVRISPPYVADWVCITPVVTVVHTEEELVEAEARQLGWSLLAPPPQLSSPTVPAPA PPPPSKLTITEATEEEPTPDGSAANVSHVGGLPAEEAARPNGKEVAAVHVSAGTPKVR PRASAVLPIPRRGGGHVEPSGSTFKSRDTGGTSKKETEEGRRGAK XP_827122.1 MNIELHNFCGRGRVLTSVRTRQIFDRAVRRRYAPHCCCFHDIAV RYLYCRVSPHCYSLFSLFFFFSKGPCHRKAAAVAWAVARTLFLTVGPLFVLRLFCSVG KGSPAYLRY XP_827123.1 MNGGGLQPAGGAYGAKDVSPIGEEGLFIQVPSCQFGSACLRAAG SGTAMDVVCYNSGSYGEDEKRTDDEGYLYGFTYFIYTGCAAEGLLITILSASPLFNTM RTIVLETVPHVLCISHGIGRGHFGSQNVEGLARADNSAYTKAMWPLSDLVDGCSNACK TPVNNHFYLQFERASVPVIRPNDLHHPFTDVPLRTLCLSFSYDALRVIHSLLLQEERV VFIGVTPQHASACVISAQSMLVPFIWALPIVPYLPPEGFGVVEEMWASSSFMLGSTAE ILPRLMLYGSDTAGVDRAHIWIADARTGFVGVSPDHSRRFFFAPTDLVPSSDKVEDAV KSLVSKEQRQAFRQVLGAVVAQAHVCSVAGSGALSTDRSLNSLFHVEAAPMGEAHDAF VEYSASRLLGNYRKGLSPMRNTGGSYFEGHDSSTTWRQPNRIVYSLDYQRFLPDNLGG NSKFATAISKTRLYHNWEVATLSVETLGLLSFLDGTIVRQSCSNRLYEQNSHSLRGGE RDPLSKNYYISHPRMIGMLSSFYIRASRRFPDLYQDLPAADVARLSAAMLAKAGRDQG GTGASKSKSGKGLMRSLFSKATKAVKSSLTTSRQRIPVHVFVQARSSLSSDAKHSDPD KILKASKGIKDVPPTTQKTPPEQDLGSTSIPRPITPLGTGESEEDITRSFSGAACGAE TSGMLQNEATGADGSGIPMPCRLLPLDVIHNFGQYHRLLDPTKDTAYTTGYRVDTSQE VCTHQLEIFLELGQLFAADVNIWLFLEAKRSKLLTKGHPPKQQPPEERAEPPPDLWIM DSGVGPCAVPFEAQPLPFSMDLITGSPELPPPFQ XP_827124.1 MPGPGLLADGWFREENGQWPGQAMSFKVEEVLHDTPTKFQHLSI FETDPKGPWGTVMTLDGCIQLTDFDEFVYHEMLSHTPLCAHPDPVDVLIIGGGDGGVM REVLRHGTVKRCVLVDIDGDVIEASKKYFPQISSGFSDPRADVRVGDGVAFVREAASE SFDVVIIDTTDPDGPAAELFGEKFYRDVLRILKPRGICCNQGESVWLNRNLIEGMADF IKNKVGFASVKYAMIYTPTYPCGSIGSLICSKVAGVDVTQPVRPVESMPFAGELKYYD SDVHKAAFVLPRFARHLNQNYS XP_827125.1 MGNQKAVAGRQDATLSSAAGTKHSAKLPPLLAPLTRVITLEMIW YLLCHIVCLFTLIFGAGDELFTSRKRWFALGLTKQPQRREYSAGGVYEMFATKATIEN KPMLPPHRLNSDLVLSLLFVLTFNGFFLALSRSVSRLIAEGDERREFREGTFDIKSGT DDKVSKGKGVPFRLINCREIMYKVTLGATLVFCVLITTCVILQPPSEVQGAAPSLVLL SSVVPIYYGDRPNGARALERFYSAYQSVIITVLVGLVLDANFLAKA XP_827126.1 MSNLPPLTPEEKAARERRLQAEHERYVKSIETMRSLPRLRSTVA GAGGKTNEHVRLAEEREAERRQRLQAEAERLMEKTKRESEHRAKVEALNSKQQKKRAK ASKRKARRTRYKLEGGKTENADILGSDEESELGGSEHSVDEGENKT XP_827127.1 MKLCELSPIADFIGSEVTVEDAILSSATFHMWERLYGVTSASPT PDKMRLYILQRKECNGQHEETSMSPHKSGGVSTLLRPSSPPGDASSLGGQLSAAKQRV EGPNVLKHGAIFATCGILPPSHKRTSESGSASVEWQECNMESVNRLVDVDVSSSTGTQ QKKRGRSTQVFRDNRTAVPEASPSSSCATATVQAPLHLTSLYSPKVSPEGDAECVLLA PDPLYRPEVEDVFVQSCGGDTELEKPRKCVPPSNIFESLGDLRGSQRSKVLSLSEDEA DECNDNIARCSVTSSLAGGDCPPQPQVSDFVALSDVLPSNQTREDVMCKGASNSVEQG YGYEPEEAAPSVRATKAYRDREDFCPNVETLPVLPSDHLECFLDEKGLRSGGGGDLGN PSSSSYLPYFTEAEEVPAQKPEQKKIRRYRTPWFCGSRAAANAAVEEVAAVKEAVCGG DASVSVVIANNSSRKSLSSASSGHSIHSKMALKEMTPQSPPTTVVFPPQEGDELQSTM EEEHRVPSLSPSPLPEIPRCGRQPLPVARTAESGSAGRGNNNFLQDDITNVQLAASTC HVEREATGFSHSLDGRALPVKHGDDEVHSAPTALNYTDGEWITESCETLKRQGMHRSD RALSSSWSPPPTQAGEFGLPKLPKSRTPARKYTYQEGDTSGVSMTSRSAGLVRRAQGR PDGGQVSADALTAGGVIGTPASGSFSSLQNKKAKLQEREARADTAATAKGTRKYVAVR TTSPQQFPLPREVTPTAKPKVNRKRDREKSE XP_827128.1 MNFGNMTLGGATATFGGQSNPLCNYTSSLAKKFVYKEINKVYYP LRRHVFRLKVRTAAEIRFNDVVKAYMKDKMTFKKGCYAATITNTVELDHMGSIIPKDE YEVRRLTSYMTSKKMSNDYKKHMQELWTRVLFVCESTNLVGVTENATHQNSRPGTDEE FMAIIWYSTFASTLFAFVITLLVWWYRYGQAPQYAEMK XP_827129.1 MGLLCFNSAKFRRSTLRLPLALWIICLVALEDNPSFTCNHTKSQ YAAAYRSLTHPFACMASGTAQLSLVPCFAGVSGSVVLKPKLFFLFYKRVGEATKPLRL RRCRDR XP_827130.1 MLFGVLLLMSRLSEWASADSCDYTKWMEEDYTDHMIRLSLTVRG SYSHEKNWVFCDLIDYSNVPKLWKCSNEKTLDELVQKHKLLQDEVEGRGLCNKPVITK TKPYEQQVKNLKAELQRWKEKIQAKKIIIKKAEEVICSENSKKATYSTETVATLQTSN KEKIKKIDKSKQQCNDSITQHIINSTTEILRMKNASLYNLKRHLNSMTQMFEEGMKKR SCTVCSAETCYSTPSVKAELDKMYLENSWLNLTAAQREQCLLDDTMLLPMLSYMRRKP FDGAYAYNRNVDMVEKLFALVISFFSFFL XP_827131.1 MKGRGKAMFLWAGMTLLLFSGRGEGKAEGCTLRRYEVDGREEDI HAVIVNADEFANGNYKWMLCNNSGRREGGSELLWFCGTSSNNNPTSLITSKFNWSGEV GDVTVCKAKVDSSVRLLKEQVAQLQQQIEEAKEEHARLQEGSTREVQEKKKCQKEMKT MSQEDGKIRDLTNESARLDTLSEKEATECKKKKLQKQVEYDLELERMDKEIESVKKAI ELKEQQLEKLGNNNCPACDAGTCWDDVHIKENLDKLYGKDGWTKSGVKCGAPHEDTEG NNSKYNENM XP_827132.1 MRILFGLLLLIFCLTVRASDERCSDVTWRGDDYSGYGRRFFSNS ENFFEVDKTWIFCYSLKHDTEPKLWTCDNDKTLNELVEKNKPLQNEVEKRGLCNITVT SQTGADKQKVTDLQIELNKKEDQVATVLREQDKKKEESGKCHHKLTKLKEMSASIESL QEDIDEQQASITKEKKRCDKNITQYIAGPQETRINAALASLQDLKETLKSLTRNFEEG MKKRSCTACSAETCYSTPSVKAELDKMYLENSWLNLTAAQREQCLLDDTMLLPMLSYM RRKPFDGAYAYNQNVDMMEKLFALLISFLCFLI XP_827133.1 MKEYGTLMFFSLAGLILFLAFTERVEAVKCVRIQKDGEVDDKVA VMKANDDLLVKNHKWMICNRSERIGGANEMLWLCDISSDEQPTDLITNRFNWSSGGDI SFCKRKVHERRMELDREAEQLRGRIGGKDKEVVRLRAACTQVDREKKQCEEDIGRMAG SEGKVRSLQDEKKRVDALFKQEEKECENRKIWTEGNYKERLEKVLDEIQYVKRAIELK EQQLEKLGNNNCPACDAGTCWDDVHIKENLDKLYGKDGWKKSGVKCGVSHEGNEESNS KYNENM XP_827134.1 MGSGLLGNVASVAAGSVIGHGISHMLFDRNAPPAQPTELQQVAQ QVGNGACAAHVQTYAKCLEANEGNAAPCKWAWETFTQCQSEQQPTQ XP_827135.1 MQILFGVLLLISCVTIWADDNPCYYIKEREDVYREEGVDDARSF VLKGGEKLFGNDKKWMFCDASNDFTSGKVWSCSNDKTFKDLIENHELLRESVEGGNLC DSTVATQIRVHKEKIEKLKDKLRQLGVENENYKKSTGAKQKNPPCIEDPKTLRDKSQT NATLETANREKRDEIEKVKQQCNHNITHHITNHKKVILKKKKASLHDLKKQLNLTTQM FEEAIKKNNCSVCSAETCYSTPSVKAELDNKYLENSWLNLTAAHREQCLLDDTMLLPM LSYMRRKPFDGAYAYNQNVDMMEKLFALLISFLCFLI XP_827136.1 MRGDNMKEYGTLMFLSLAGVILLVFTGESKAKTCMVRREEVEGV MEAVIENGDHFVSRRHKWMICNVTNRVGEANKVFWMCDASIDGTPTTLVNKFNWSNDV SSVTTCKKKVLEEIQRIKDEEIQLSSKIVEQDMINKNLEILGAKAQETKQECQDQIEK ITDTDTKIQNIINEGARMNSLIEKEMTECENIKRNMEKEYDIELEKIDEEIKNVMKAI ELKEQQLEELGINKCPSCDAEACWDDVKIKEELDKLYGKDGWTKSGGKCGVSHEDTEG NHSKYNENM XP_827137.1 MGSGLLGNVASVAAGSVIGHGITHMLFDRNAPPAQPTELQQVAQ QVCNGACAAHVQTYAKCLEANEGNAAPCKWAWETFTQCQSEQQPTQ XP_827138.1 MQILFGVLLLISCVTIWADDNPCYYIKEREDVYREEGVDDARSF VLKGGEKLFGNDKKWMFCDASNDFTSGKVWSCSNDKTFKDLIENHELLRESVEGGNLC DSTVATQIRVHKEKIEKLKDKLRQLGVENENYKKSTGAKQKNPPCIEDPKTLRDKSQT NATLETANREKRDEIEKVKQQCNHNITHHITNHKKVILKKKKASLHDLKKQLNLTTQM FEEAIKKNNCSVCSAETCYSTPSVKAELDNKYLENSWLNLTAAHREQCLLDDTMLLPM LSYMRRKPFDGAYAYNQNVDMMEKLFALLISFLCFLI XP_827139.1 MRGDNMKEYGTLMFLSLAGVILLVFTGESKAKTCMVRREEVEGV MEAVIENGDHFVSRRHKWMICNVTNRVGEANKVFWMCDASIDGTPTTLVNKFNWSNDV SSVTTCKKKVLEEIQRIKDEEIQLSSKIVEQDMINKNLEILGAKAQETKQECQDQIEK ITDTDTKIQNIINEGARMNSLIEKEMTECENIKRNMEKEYDIELEKIDEEIKNVMKAI ELKEQQLEELGINKCPSCDAEACWDDVKIKEELDKLYGKDGWTKSGGKCGVSHEDTEG NHSKYNENM XP_827140.1 MRTIVGVLLLISRLNEWAGADSCAYTKWMEEGKNKSMEALSSKG GEEFFESDKKWIFCDELERRRRNVSFYWNCSNEKTLNELVEDHESLRNEVVKGDLCNE TITTETKIQVKNVTDLQTELDKKKADTELLKLNHTTKTNASNECKQLLTKLKNSNATV TTLEAYNREKENKIKRKNQQCNSDIKEITAPLEVALEKKAALLNHLKQQVKTVTQELQ EEMNKNSCTACSAETCYNTPSVKAELDNKYLENSWLNLTAAQREQCLLDDAMLLPMLS YMRRKPFDGAYAYNRNVDMMEKLFALVISFLCFFL XP_827141.1 MRGDNMKEYGTLMFLSLAGVILLVFTGRVDADICTLKGKEGDVV AEIDNGHYFMSSEPMWMVCNSSGTKEERNKIKWFCDASNNEHPTSIIMKKLSWSSEVS NITVCKTKVQEKKENLEKEAAELRKMIGDEENEHKRLRAESEEADQAKQECWKEVGIV TKEDGKIWNLTKESARLDTLMEKETRECENGKYEAMEEYECKLEKMDEEIRNVNKTLE LKKQQLKMLMLNKECPACDGETCWDDVKIKEELDKLYGRDGWMNAGSNVKCYPKVFRE IIKNVTEACKQLWK XP_827142.1 MERNKSEVKQQREKQIIWKHQLSHSSLIASIYLSFFPFSLQTFA SFLY XP_827143.1 MARTRRSASSPRPAAAASPARTTPPPHQQQQHNHQNTHQTTAQQ PQPQVTNVYVQRGGMGSGLLGNVASVAAGSVIGHGISHMLFDRNAPPAQPTELQQVAQ QVGNGACAAHVQTYAKCLEANEGNAAPCKWAWETFTQCQSEQQPTQ XP_827144.1 MTLTPRIIKETEKMRKDPPEGITATPSPENPRHFHVTIRGPPQS SYEGGIFELELFLPEEYPMVPLKVRFLTRIYHPNVDKLGRICLDVIKDKWSPALQIAQ VLLSIQLLMASPNPDDPLDNEVAGAWKRNEAEAMKVAREWTKKYANKA XP_827145.1 MFPFSVYLFAFEPSNITDRIFPTRGILLSSTTKSLSIFPNFTSL HRAALSFFSFDVTSSGRQNYPTSFTLTTSMLFFFLKIPSRHNFLLLPKQTKEGRKEKH TKYYY XP_827146.1 MKRKGMTNQRQRVCGFSEQLLHCRAVANTPDRDDWMTTSRKVGG TAPVKVPRFSSPIVTAKVGRSNLSPSDSDNSSNAATAGSDYSRIGDLCMPPEGIKAVT TAVSTDHHANDTGKTSVRKHSQRAHWEDEQFLLRSRVAPTRWALHAPAEFTTATDMSP SLCSAFRWYTDEVAAAMTSQSCEEGSSVPQEGSRAYDFIKLRLFPTDSVLSLASGEIK REQTAASTALVWLLQQQREVAATALLMGFSFLLGLQRYDQSWKNALEVPITALQLLAN HAFALNEQGSGKTISDLTARDHLLFMSACLLISWKYADVNADVEDLVTILDKHFFDQH VFSSSEVLRLEAVVLKSTGLYVAPPRWWRVAIELLAAAHADEEEWALRVGHSRRDNGF ARGVHSCYETKLQQLLISSERVLLFLMDETEGDDSQNPVGTPWGETDSCLRECRREPI FLEDVRQPHEVRGTLCQWLQHHPLFGAALAVASNAITCGWAAAHIGPAAAGSNRTPLR VADTTAQREMLAMAAVLVRDVFHPPSTQ XP_827147.1 MQVLLKLRQPLAGCRPCVHRCVGLIFDSPMVEARLASSSFSGRN SESAILFSKGPRASVASSVKHMVPPPQRQPQTTKPVTSSPPNVLSGPLPAVGTPLLDA GEITTEVAAVLVMYRRHLNEQREMANGKGVDLGEAIATTTADTWGGVTISAPFYPPVP LEYMEEHFQPLLSRLVPGAGSPVRLVGAGVGVEHNKQLLTSRIQSTCVFTLSPSSSRT SLDGKISPESRGADEKLVIRVRPGVRELATEIAALVRTLEASNGCGERRPVPLKVIHR ASLSHAATSFTRRELSNDIKRLLLVYECELFAFSSNGSVVRVRDGCGMGSARGAESTT VRSEAASPTQSHHVPVNSAPSPPVGEAHTVMHMGERIIAPSSMERYRLSQSLLPLLQF IQTIPVSSTGMSVDSDGHGFVDFAAVRNRVLAAHPSLTSLFVLNREDVRRRREVAFLS ALSTLGVEWCWGRPLAECQQRKTQHQGRQSPHLESSNEALSDIGVLFLRRRLCAVPEA QVTAKGDMPPTGRLPHSVVLRELSDEGEVADAPVSRLVVDERPWWSFVSYWQGTAPDP EENVDMLDRLFCGLQAAVYEKLEQLPSARLFVQPLGEDIVAAPSCAMYPMGACANIAF VRHLMRYFTTRQHEALHPMLIGEVQGRVSPLVKNEIKENIACLFFPYEECSAQRTGSH IGAKVDSGCGACGDNATKYKLIVFNRFVDGCYKTEGGRQVLYIRARATPGEPPLASRK KLPAKLVLEVMCCLHRELLRRAEEIGMDFEDDVDESDESNRGDGSGDGSCLSFAVLRT LLPIDIRVFIRDHIGDPALIPLLVVCHPRYFFTTSDGVSNCWGAVGLTCAGRRLARHL EETSDRELGISYCGNQPSPYRNPLTGSLFMNNTRQQLESFLICH XP_827148.1 MSKDGVSPSFNGAKGEKDGGVSVFSATDYMPAILANPLTVRAAV LRKPELAQSSLPELVEEGDILFDVLANPLHHPLVTVKQSGDPLVSQRRSEAARLAMQG VSSVLSLPSVVGKHFVGQPFRAILSFHNAAAYPLTTAVIRINIVTPSVRHVTLVNHEC PAIEARGNVSFTVEHLLSSPGQYTLSVVATCVDVVKEQKRLTWASTIEVEHAIIEVRR SLRLLPASFGRGGDNDRQLQEKGPSASVGSSDSFFPSRRYALTVCLQNVATVPIVVEN VELRVGETFQVLSSSKSAVANQVTTGPHSESNSAGEAEECDISGANVRHLSGGIEVVD DVFLSPKDKRRYFFEFTMRPEAVRSLMLGQMPLAEQVGAVAPRIVDVGYVVWDWRRPN GDSGRDGSGVVQLELPRHPMLELHVTNMEPTSPQVGTPVKFECAIVNHHTQQTVDLAL RVRPELLAPAFVYAGPLAVPVGVVEPNGMTTLTLTLVPWRTGWVSLNGGLELCDTRAP GCVLWPPLPQVSPGKSDRLREPYPPVICELLVC XP_827149.1 MYKETTMTPIHGYTLPVPLTNGGYASMLSVIRALGRLDQTSERV FGNIEQAVERSRETLEQLQGRIAVCADQVEQLQGRREAMVVKSRVRFPKQKHYTLPIG RAPCEDRGCVPERAYVMPAIIQADDSGASPVVGSSGGDSGNGSDPWQLGGQEGEDAYP KPVRRLDCPGAEQRLMKPQHPLQEATVKSLMPLGATWRYHSGVDRGLGRLPAHLMSVS SLLLFNTRENLYKEYHEMDVLTQQRQERVVATKQRLGTSDVHRDYVTQASTDEYAFVP LMEEVANLMDDLPENLPLEGIAEVAWNAYSLEDQDNIAPSGQRRLGERGDSVGSGSGS TRNKKKGQEALAITAGPDAAGGPSSRALVPSGLPPPPPPPGGLRPPGKAPPPPPPPPP MFAGKMKAPPPPPIKAPPPMPSLPGAAATKGLPIGNAPPAPPPPPPPPPPGQAKAPAK PAAKSDVKPAPKPATKSNLDALSSLLANRRKGILGMHSDGEDEDDDHGRRPPASGPSK GMAINRNAPAPPQKGGVPPPPPPPPPPKAPPPKGPPPKVAPPPPPPPPPPPAPGKLPP KRKTDDDDDW XP_827150.1 MARRPARCYRFCKNKPYPKSRFCRGVPDPRIRTFDIGKRRAPVD EFPVCVHVVSRELEQISSEALEAARIQANKYMVKRANKECFHMRIRAHPFHVLRINKM LSCAGADRLQTGMRQSYGKPNGTCARVRIGQILLSMRTKDTYVPQALESLRRAKMKFP GRQIIVISKYWGFTNILRNEYEELRDAGKLQQRGLHVKLITPKGKITPYNIMA XP_827151.1 MSANDVQNPPVEDDEVPTLEAADTQQASKPSKRYAKAMAKMGLK PEPNISKVHIRKRAALSFVVNQPEVYRFPGTNTFLIFGEAQLGDTTMQTQEAAARAVS GALVEEVGTAGEETSELAGETPAAAAPSESKQTPDDVEIVEGEFDDKEIAVVMAQGKT DRIGAIRALRNNKGDIVNAIMELTMDPN XP_827152.1 MSANDVQNPPVEDDEVPTLEAADTQQASKPSKRYAKAMAKMGLK PEPNISKVHIRKRAALSFVVNQPEVYRFPGTNTFLIFGEAQLGDTTMQTQEAAARAVS GALVEEVGTAGEETSELAGETPAAAAPSESKQTPDDVEIVEGEFDDKEIAVVMAQGKT DRIGAIRALRNNKGDIVNAIMELTMDPN XP_827153.1 MLGRKCSGSLYTLMEVNENATPQEIKRAYHRLALILHPDKTDGT TTEQFTRLQEAYSILSDEQQRRTYNTFGREGVATLNKLNEMNVPVNPSAFRFLCFLVM MLILLLLLQLELVVVRLDYELSWKWPVVFIPLWIALAPPILLALGLLAAGIKQLDFLC VCVGVEILLFVGSIATFVAGLCGALSWPVALSPAIAFYAVRVLHIIPHLFPSRFVQNS EDGSGEVTFSRKKYCLFVVMVLFEEGCAIAFFTLLMLRAMQKSEESKDEPLSFWIVFS PLIACLGLKALLHLCFCMICSKVEQPNQQASQKSTSAFLFVVYSSMIYVACMIAAKAN VEINKWTDGFNPSAAVAVIPIQVIFISLFLASVIMFCTASRVYEAMLFGVANEEDDAE RGATTPLSPRNTGASNSFRCETVPGEHQQRKRGADEVV XP_827154.1 MISVAYCKKKKKQNRAPPVELRYFLLPVIHLPSHFFFFFTFHLK FFLSLSFFLFFFFFFPPPGFFCSHPSIFRISVHVTQVFVFNSFQCTTMCCRCIIDVIT FTILIHFFTMNTAIFNFCLFFFLCPLHLLI XP_827155.1 MGSDLSIVLRTCVAAPDCTKEENQSTPAADGGRDSEELKWYTEL RLADRSSPEAPESILRSDFGVEPEVLIPECTLTALSELDTRDPKAFLRLKKVLCCPKC AGRVGLAVDSSASIGRRLHVAEHRRVFTQCLSALLSKLVLAMKDNVSDEGREDQLARV KRESTPLDAECTLPIGRYELFLLDLQHCLLDDASGPWIVRDFLEHVGNSRHQHSAVSL VHDEQRSRSSSIMSFCLSPSARERLSTNYTSLQFLMAENDSNPPVSQAAEAAEQTFCK SLCYIRLSENNMSQIGMRKCVEELVGCKDKEKMRCLRSLRLIDVRQNEETVAAQRAVA NLSSTSNIHILVGDSGLRWRYQSGVRYSVGLASRLGRSLSHTTDVHRSMLSEERLRAP SQLTMSNARFSIPMASHIGFDQRTRQYEDEGSAVPVGVVTDVLPNTPLETRLAELEED VEEPFPRSLHGHIEDTRGNDNRCLHSYQQDKQHQSKTASAALATAVPENDAEFFQDSD AADACSEGGAMLREPQTFLAAPHPELLAATQMKREKRGLADGGQSSVAPRISARRTIA TRDTANQNKARFLRTDKPTVGRSTSSRTPTSERATARTARPQPDSNRTNKTKGVATVA AGGGSLKHLSREPPKRVK XP_827156.1 MSHLLRSRKPYVPIDTCSPAGTWIEEKHYNKERHKDGATCSRKV LCGNWQEEKVLEDDLLAQQPVPSDPKGQMDFTSTTVLRASLDAIRPGKNDRFTGGYSS TPYVTSDARNPHSRFLQTTNREDYCEKNADLRTLRRPDLGARSRVLLQVALNAAREEK NATEAERKQLTNVGMDGNNVYLSTYQKVHCLPEELPVVDSLCNDYLSDEPITLYTGNP ETGCTMTVHGKTPVEPVEGRSRFGRHTYFTERKYCL XP_827157.1 MHRKQAWSKRVKKERQKKKYLTRMQATRLLQVDSIHFRRLCILK GIYPRALNRSKQKQSGNDKQYYLAREIKWLVRDHLADRMMAFRAWEKKVRRAEAMRLT EDLKVLHSNKVKPKYSLVATIKERYPYFADAVRDIDDAMSMIALYAFLSPEVASESTI ETHHTLTSGLHRRARETCERWNSYVERAQALSKGFISIKGYYFEAIVRGERVRWLCPH EYAHKFPAGVQQYIMISFLEFYLELMRFVLFKLERDLAEKQKAEEEEPNLNAENFDTT NKETVQGLGGTVGQVAKRVELMDEELRKVRRVFEGLSFYISREVPHKHAALVIKACGG RVATGYVAENITHFVVDRPALPPGFEKDDRIEYVQPQYLFDCLNARLLLPPNGYRIGE ELPPHVSPFTVAITNSTEDIAAVEEARRDHPKIVSYVPKRVHEIRKLINPQYTPTDPD GKAAELLLEEESDEENVAAQELDRDDDVQLSGDELQEARRRPKWEDEQVTESVERTKL SALKVRQQRELNLMNAPTNEAVARRRLAQQKAKEARKQQETDEHRLRRKLADVKRQEV ATRKMQLQVARKKAARYYKMVNGVVQGNERREKMLTAKAKQLSEGRISAAKDGSGLVN NKLEARRAKAEAAGKKLKEKKPSNPYSKLPKWVR XP_827158.1 MRIHHAFFRQSCRIMRGIDSARSTPTFVNGTDGMGTIHMLFSKR THSNTTHSNADSAYMPGSNLHVEHPIVQLPARSLWCKQYPLDISLVRRGEFNDMIGRV RAARHYYQYPSLCAPKIGWNVQMFTLFDGTVFINPINLDALEVEEIAKQKSITFVEAE ALWMEKKRREGKTCFAWEPCASCCFLLHFIERPSTVRVRAIAEDGNPFEVTLDKMRAR MALHELDHLNGILFTRRIPDTSHVVPLEGFSTMSEWPDDYPSLEARSTFLYTTFTPPY TFITDSVEDAQLLDRKFEDGIYPGCEHDRRMRIESAAFEELQRAQWRMEKTREENSEE YDGVEGEVDEEDQEHCEETAAGGVAVKDNAPQ XP_827159.1 MCNHQQPDPHFPSSVISSIPSIFYLLKELVTSVVEAHMSGTPTR KNLVASGASSARRAAHTGVNQTTEHRRSSIKTDGKRSTPSKGSHIGNERKSNRSNSGD RKSNRSNSGDRKSNRRNPTPEPNGSLENMSTSQLLSRLLCNTRLEDMRPDAYRLDMTQ KEDVRYLYEMQHRVDPELNPFRARANTAKINQMNKSRIFDFTSPTPSVRKQPAVKHDD GLGSLARFIYSQEGRRKTTPLGRRPNTVAQRRATFHPFRPDEQEYNPITPGRRVRYQL STYRWDNLGGNLVVKERSSAATTPRPCIRTRKGVDAFEATQPVHTLPPRKRPPRVTES MQGHPGYLDHDIFGIRSRRKEATQRCRSLSPFLVGRTDLDVRRTAVSQSATPLYSPRN NYPAAAGVTSTPDRRHCTTPFGDESPAEVGPRGLSSSREPIHFSEGEDNRDGRQCVCT ANTPTKGDISATSAPGGGDNFYDPAGVLVSPRIRSGVGRVAGQHVTKSNIVFGETYQP QTPSPRRVSYHTSHLNSTQVRDLLVWT XP_827160.1 MYQFASLFFSFSISLLLFFCFLKLTKGTLRVVPSGLCSRYCFAR GSAGIIMKSLHSPPLQESQSSREIMVSRTLDPALLNVVPPLRFAIVEEGVYRGAYPTL RNFPFLRSLGLRTIVSLTPEEPTYDLSRFAAAEGITIRHIQVEQNKGEAQLMPTDMSE VLQMLADAEQHPLYIHCLDGRHTTGLVVMGLRKLQHWSVNCSHMEYQRFAWNVQDEVA FIADYSGPVVVPKVIPSWLWNGSWCDVSGRPKRLHTGIRLKFPSVARDGLPSVEESTN PTKTTELNVALPLHTSPSAEGRATYVNVENVEPARPVFTAGFGSYGAAYAIQIERRLA ADVRSLSLRASVDTALMPHQGTNEKYPRSGPLQNSGSTGVVADTGSSCGNVVGDSGLL GCGDTQQVSYMEAAEEANGSQHVRQCIPHGVFHSLSRNFGEENPPALLPKQCTRACCA LQFLVAERGEGIVPAGEGGGNAKKSRRRNSV XP_827161.1 MLRYSPMHLIKTLRGPRVTGAPARFLRDNGVSLVGPARSTFLMM YRMQGNQNVRMGAGAYKDSCRTFCSSAVLRDPKKPSGQLVGTHQVQQRNEGDKGGDEE QKQEDPFGGNAGAGYLPFPPPFHTINVVMLLFLANVITYFLMNFSGSDDLRDFIVEHF TLSHENAGRIYPFFTNALYQENLLQLLIDCWLLWEFGKTMLGFLGNARMTFFASLCTL GGGLIHVARQNFELYYGMDPLEVRGRCYGPNPFILGLVGVEGIIFRHLNFIQQPPVPF LVLTAFVMVIDVWRIFTTKPEEHGAATGGALVAYVFWALPTRMLGLDKLTAAL XP_827162.1 MMRRMWVPTFSAQQQHLHHAMGLKMLTYASTPSGSGVTSAASNE EMNLHDAAAIAPGPYRRVGNIFIVHCDDHPFKHSWEVNRMLRELRLEFKGQTTIVPDI PQVRKRIWRVRHIVKVDVLDLDEAKALIGVPEHISFTDLASQLPPSFGRVKAVPSPVI RSKMNFMKLRRMRLRDVLHRDALELRLLELKRSAMKNAEQQKHAQKDERTDNKEGEKC XP_827163.1 MFFFLFELLNLHFSPFSSLGYFPFHSFIAVGQELPFFFVRALGK IVIVAILPSFLFFGYFRFPLSFVYPFEQMFVYVYMYIYIYIYLCKAEIFHSFSFLIPF LSSFMYIYSLPVRPP XP_827164.1 MFDLTPWQTSAVKRNTDVRWYKEIRKYVDQTTEKRKIEKASCGF VVPHNQI XP_827165.1 MFGIPYTFFFICFSFCPVSITAFFFCLSFRLLPITFADLAMVTF SLPFFIVSIYVLPLFFKKIIMIIMMCALHPLFVYYTFLFHNGTEFVVLLEMKKKGGEK PFVRDVSHFTWLYVLVSTIFKKKIPYRVLFVNAVEKEMEEGGKGRKQMSQMIYVFVWL YIFAFAINFSLVAVLFSIFPLNDTPSFFDVLILMKQYLLFSCRLTWCHYNTRREVEGI FFSRLITPSNIEQNNEYIYIYIYVIHRWEGSDAKSKVMKQKRQQQQQGKGRIRRTTMH GGAACFFFYPFDNSVNSMRESY XP_827166.1 MSPFEQQRPRSQKCGTARTSSNKGTNSQPSPALLASRPLANVIQ HHLQQNLSRTPRPNVMETRVTPPFSVESTPGGRDVGSRRTDMRVRTGHAAVDSSSLAA AYAPYSGATLTSTLWENNARDETPGSPLHISRMRDPTRHCSEDEESRGNLDIMRVGYL GTCAHVAAELPNIHRNPDQPTAFVGKNAPVVTERNDLSVSGYSRSDSVVKKSPTKDKK TDKTPPKKDSTRIWWGFDSYRFGSESGCGSPEVVRQCLPNLTGVGSQASKEAPGPQTT VAPSNTTPAVELPNALRTTTANSTRTSKKVKYAPADQPSVDDRSVEEVQTSVNKRRTS LQRSKKTKSGSSQLEEDAAFLAPVAQTPSLNVKRLPSAKEKRKDVSSANPRMPPCTAL HSSAFDETSQMLQHCGNSTTSSGRKKNIEENDIKTHGLDVLRMSTSVSGDFLSLCGSR SNSAVRQLFMLLNGEGDHESNDLSRTNSANGARKR XP_827167.1 MEGVYPNSRIPLLDYYCHCVVVGNLEHFCFHRCYSFCLFTALLN SFTLPCWWQRCYLYSLASAFVFAFFFPLPVPFSAVSTWSTEGKNQKEVWKGRGRKTDS KQNKKEKKGKMKRRRRK XP_827168.1 MGAGASSDNAAISALAKSNTRAKLLVNGHTREVSSRSSIISRNT AVKKPNKTHKEEVARGDEEFHVVDEADEATLQVLNAVKEAERLVDVRGTVKLQLLHSC YPLLEQVPAHRFDHLAVLVYQKEGDVFASLGDVESAKTVYARAIQIAETRVARGEKEM YMVLKRYVLAMVGMARIWYEQERNTIGFTFANNQAQPPTSSNSSVCSDTSFTSSVLSD SSIFSLNQEVLKSIVPKQPRRRVGPTVSVVKIPRPKVTKPNYTTEDDFVLRSQMTREL KASPCELLLLRCIEVVEIGHRRQSELLIPALVELAQIYEDLHLYNRALLLVRRCLGIL CVVYDYDHPWIIQLRRRSDYLVKCMEHWSKGKCATKIQATWKMYRAMCLLESVLGRTV TRHVWMPRPKVATNEDMAFLNDFVNDLPDGAVLAGGDGSTGETTPDRGPSIPVSRSSP NADASPEVLRRRFSHTSVPSTVVIHDSRVVGNSRNTHTDTTMQKTDQGDVVTVRTVTT HRVVTEQVVDSDKDSNGEECLEESYEDGSAAQRQSSGDTAKQVGRGNAMQITTVGGRG GGEEVVGAESLVQSISSYLSSPGDSKRRLRAWKAGKPE XP_827170.1 MQRNWVLLPQTVRAGDAPKSSAVRTPTRPLPYVCLKSNDGMEFV LPEVAARQSKMLAMLLDAVYSLPERGGFAEPTNARGEQHTTVHASNNIGTMPCIPLEV LSGRILELVCRYLVQRSTSDVNSTDEFALLGELNPTSSSDQDIVLGLLMASDYLDC XP_827171.1 MSMFGAREVPDDTFSSDITNISASYLNENNCQRFWQRLMTCIRE SQELDVLYDCRSRLIDMRECVTRHKQSTWVFRETMATLRHEQQFRNWLKQYDEAFGHP PLLEAVTKVRERLKVEGGVDVLNPTVFRDPDIYIPRNNNSVGK XP_827173.1 MIGNGRGLELREEYDMMLQLVRAHMLRRDQGVQPIVLQVQQEGA ENREQVTLVFGQVEAEAGSTPLSAVATEQMPPASQDPLITELGPLLLQALALQLLISE QQRRVAAPLDQEAVEKLRRVTLDRDTLDRLETSGQTVCSICQESFPLQSEVYCLPCGH VFDVTCMQHWLERTRTCPNCRFTLQDVEQQYKDAAQPTWWESRLVDGEVGETKGDWTL CPSHSLSGVAEERNERLPSATPRRHQQITQSVGVPVDCTMQRHQERPQQLGREEEEQT QQMQLSSSGAAVGVAQVISGGGGDGTGTETSRTVSSPNRTVDGGRRRCETLSPSTSNV SITHEAVDPSSHSLSPVSADVTNRNSRDCPVEVANESSERSDQLRRGNRYTPMAGTTF LPPPVALNRSVSPPVSSTSTRIAHYVAEEGLPTRVPEIVAVTRPTVRSGSLSLTNDSA NPQSRSTFLQPIMPASGNARHAIRALRSRRNEREEGSSLSLTESGILTPPEAVAGGHG RMGGNNRSRRNVHLPHPPHETSSQRRLAESPQSRARDGDTRGEERRQNVVPLQPSVRG NQRKLAQYQSIIRGQSRRC XP_827174.1 MYDMTGNSGAADKFGAGPGFNPFAQAGGENPFGAGANPFAGAAG GQGFSFNDFEEIFQKMSGAGKDKTRKPQGPEPGADIHFKLRLSFLEAVNGCSKEISFN TFRRCGSCTGSGFQDSGSKAKCVHCGGRGKKVMSTGFFHMQQDCTHCGGTGETGRSSC THCSGKGIVKDRSVQTLPVPKGVDNKERLKVSGKGEAGVRNGPPGNLYVEISVDEHPV FHREGCDIHVITPITLATAVLGGAVRVPTLTGEVETKVPVGTQQGDKLVLRGRGVHRP NQNKTGDFFIHFAVLLPKSLTEKQKNLIADFAKDEKPLELTDPQLQELKGRYKSWFAA XP_827175.1 MARRPARCYRFCKNKPYPKSRFCRGVPDPRIRTFDIGKRRAPVD EFPVCVHVVSRELEQISSEALEAARIQANKYMVKRANKECFHMRIRAHPFHVLRINKM LSCAGADRLQTGMRQSYGKPNGTCARVRIGQILLSMRTKDTYVPQALESLRRAKMKFP GRQIIVISKYWGFTNILRNEYEELRDAGKLQQRGLHVKLITPKGKITPYNIMA XP_827176.1 MTSTEKPKVFFVLGGPGSGKGTVCARLVEEFGYTHFSAGDLLRQ ASRDKTTEVAQKISQILVEGGIVPSELTVALLKNALNTHPSPRGYVIDGFPRKMDQMF MFEEDIVPAKAILFFDCTEETMEARLIGRASSGSGRDDDNIETIRRRFRTNAEQCVPV VEHYKKQGRLYTVDGNRSREEVYADVKKIFLKEGEELLKK XP_827177.1 MFIVGLFFRGYITGLSCGQSNDSHSVFFSLFVFIPRFLSPFSVC CVASLWVSLLRGGSAWGRFWSPLPRGASRLEEVMNSTKFHCMWVWLNLYHAARESGNG GHVLMSDIEFGRSVLQMRLVWRNSLKHISYCLFSFERLTLIDHLVTLVALLIFKGLPC FVIQKGQSIYKDSNCYLKVQDLKHPLPGST XP_827178.1 MSTHTSSQSHLTRWEGATIRRCRRLHSAAQNGAVVGYVGECCQS SPVFVPIPPRQFLTRPRLFHHYRLYADSIPAALSCNPADRDYDYSWFTMPRVRKSSTV SKTKRRLREKLIAGRVPLSSIPEGTSGLFDSGRMDSPIRRCAKGLNSIQADAERCWDS DSSCEQLSPTEPGVLKDDSVLLVRPVAEEIPSELEIMTDEYSRVAPKGREWDRQYALR HCVGRTAQEAIVDCLIDTRYRRPGDYVRRNDRGQLVDACGRPARGNSRRRRG XP_827179.1 MVRNEHILLQPYATVLDTTVYKVSCRPRHYCQGQKTIGTKGCST SRKPRRGSVLNGSGLHMVRAHEEGEVNPYSIGTGGRESAESVNIREVAAGRRSVRPLL SSSYGAEQQRHPIPLAQDIPPSSALLLNNSVHGTEKFSTNLEPRQQEGDEVLFLPPFL RATARFLNVPTAPPDTPPTEQCDNFYPRAASMFGAPRSGVGSSLCNNDSENCPEWASR AGPSDPICSAKPAKAGLHATLADGTDGNMRPKGGSTRMVRFAPQTRPPSSSLRVNGDS KVSHPLPTVVDEGANARREAAVERTDDLLVTETKPAGSATERWDMVYTFGVPGVCCGL PHCSLCPQSLRPSLQPVE XP_827180.1 MKDLPSPELRCDCSGEMFRQSYSYSSTRGKKDRGDGRVTSRNPL CKFHQERYGRFLSAYFGYSSEERVVHLREAAQLSMIGVNLGNASRHRPDGDIFTGRQR LRHSVLAVEGDAFFYKIVQKAVKDTNKHGNAPIDDPLCWPNARCIALHYGLPGNSSVD QNAFPRATLLAIVASTIIKSGDTVRLCLRSYHRCLDEAAWYERTFGRRHIDEVNPTKS VSDGEKWFPRWPTGLPFYHGIGRKHAGAEPVGGFPFTLLDIKETPELGEGQYGLFAVH PIPYGTCFLYCGPAVSTEALEARNNVGEACNGIYSSSLPNSHKESARGLRSTAHNNEY ASATSHELPLNDATYALGLGQHVVCFGQGLMRYANHRYNLSKFGNVELCSLMLMIPSE LSPLKEASKKLSKGTEDAHCKVKITKRFRSCVKKRRPLLHRERVNKCGKANRGTTLMG RATLLEKESHLVTIPFFIATADIEPGEQLLTWSYGEEYDAQLERRAVCDGHLVPYAAA ALLDSRQPVGRWQPYRGDYRHGIAAGDIVWCSQLSSKGGRDPLDSLFVVVKITPVGVG YMLLRPITRSKNQESLLTHFFGAFDGEEWVVFGVCDADVLELCLVAHADTVGLLIADE DYRSFVVKDHDMALRNRDEACECGGGKRCSLRFAVVHATALREATSLVVEGFDMSKVG MPLLRGVVWPLLNSEG XP_827181.1 MSTAATRERDAKLTELVNTMCQRVPKDKRLTADVVLQLLRSKYI SVFPEEYINLRREFITNMLDSFYNVNKDNGKGDKTGRDKGDNDEKTGGDDDDDDDDDD DDEEEEEEDSEGDEDEEEENGEEEEDDEFEEDSDSESGTGSSHKGDDGASDSGKEKPT KRPRTEECNDDDDGTTQRCRAMASCLKYLSHRLRPRGDSETPKEYLEKYLIPQFREKG LDPEKYSKEDARRYRARREVELLQSDGADLNLDRTQRNGRGVTYFTKDEKVPVKTSKF LDDE XP_827182.1 MRRVCVRMHLSQPVERMGWVMGCCRRKQRFRERLFSCIYWLVGT LYLFPSTHMIKEREEVSRLALWFFFKKLLTQLKCHWLPPDVAHRQDWKC XP_827183.1 MYTSMSIQGVVDEFWTAANPYSQCLEPTTEEEAERQARFESIDY YKPYPTMYMNHLRGGSVRARAPSSRNRYATTDPAHAAAVTVETPLTPGEEHLKNGVES NSVQGACETPPEQTFDAVTTRKSHDRNEALRWVLHVFIAVTVGVVAIIVSYSVELIQD HREHLLVRLMNKGGFGWCTLALFIDLCTSIWFVMIAAGVVVYFEPGAAGGGIPDVMAY LNGVHVPKVMTLRTFVVKSISCVCGVAGGLPVGLEAPLIHLGAIVGAGVTQGRSRALR CQTSLFQAFRNNKDRRDFITAGAACGVSAAFGAPIGGLLFVMEEVSSFWDHSASGQIF LATMVCFSIISIFRSVIEDQRLLGWVSNAVSVLFEVNLSIPLNVYSIAPSFFLGIVCG LFAALFTKVNIVLIKYRRRCMRQSSIRRFLEPVVAITLYSLLSYFFAMLSGCAPFQDM EDRGDVLVWGTENTTSLFTATCSENNTFSPLATLVLGSERDTIRHLFSRQTIWQYHPV QLLVLLLLYTIFACWSNGMAISGGLVVPSLVIGAALGRLFGLFVCFVGMGNIGVERGY MASLAWMDPGLFALIGAGAFLAGITRMTMSICVIMMELSSELHYLLPTMVAIIIAKAV AGLIAEPLYHQVLQLDSVPYLRACLMRPEFEQLTAADVMTSRVISLRQREKTSVVMQA LQTTTHHAFPVVEAVRKKGAAVKGGEGVAPLPQLEEEMTELDNDDYTSYKFVGLVTRE DLRIYLSLPQLSKLPAAPGESRERNGTSSRQPTAGVVEVNNVSWREWIAHKSSLFLAN GGSNWLEPASPVLESEDECRSGSAFVSSTERSSEYSQINDACFPPVLDISLIVNRSPW VIPPFFNLEMVYQTFRMMGLRHMVVVDGDTVVGIITRKDLLVRVLDRRFEELQRGPFT NGNCHDRVAQQHGQATVTAINSCP XP_827184.1 MPHNDPDGPPPERSARVRPRRQSGVPAVRPHRFVDPRFSDLYGA VDRKQFEDNYKFLREQEEEEQSRRKHCIQCLKYALRRHEREEVGQDEESEEEEDRFEE ENRDEINRLMLRPPSDLKAELQQLKRESQLYISRTKDREVRARRQAVRKGIIKREAAA VRDGKKQRAFIPKRSQLKREVLAETFDKLEKKGGKGAVDKYVERKTKKRR XP_827185.1 MRRKGDNDSPSPPADGLTRLTVRADAHDSGEVVTWNVESRTKAA GPTLPNMNLETNSNCACYPCIMQGYFPLRQTHTHAGDKKKDN XP_827186.1 MKGGETAAGVAETGSKQSKEGVVDSKGDAWSLVFLILSTIAMAL VISSSLVTLVVRNNTVADTFLELYMLVFAFLGLSAELRRFEGIRRGVYLWLRYFYFLT TYSGRGLFYIFLGAIAVGGTPLRYTSCVITTVLGFLMFVVNCFVNLPVYKDQ XP_827187.1 MVSWFWTTPSASTPMEVHPVLSLEERARRVTADDLARVTPSDVQ RVKQRLTKEDLHRAMRIMLEDDRYQEVMMAAPSTSLRKASDGLDSTHRHCFTSLARRN MLAFYNVLPHITESHLKEVYALFEGVSE XP_827188.1 MSTRQIRRLQNIVKASTGADADDEDYHPPTMKLPKSVGKSKAQR KAPAGAAGDNQPQEQQPKKAAETSTTGASPRSLECDGTNDEDRQGLVKQKPTRKTTVR PKSEYDNAMEVEGKDLSFNATSQQQQIVQREQCKKKRQNKRKKARRREEELEEELLLE AALREREKDTANNAFRRNGAGDAEGSEDLLGLLSLCNPQMLNSRSERIRRFGAAAVED LGDGRTPTRRPESRPTSQLFEEHMHNLPRFRSSVLSTPNRYCWPRYDGLGTKLVLRKP GMDDHDDIAGNGSNRTKVYYLDVSAPESQRADADLAECEKLVDAVDGLMGCLGKTPYH IPTLIVVSNVFETMGNVPRALEVIDLALYHLGVLLQSFPLRQTARQRCVPFSIPSNKQ LFQVLRCGIHHALRKAAVRTAWETCKLLYSLDPKDPFGSLFLLDYLALRSKGWAWLVH VYYLLIRESCRIPNSRTLPPTAECVNSGCSNLSNHELALSLSTLPGFFFSAALAKLFL EREEATHTQKGGKLSRVIRDISPAELHAFHDTPPSGVMLADAIGRFPSAAVLLVEKVG SQAQPSVAPAAWKEVVKGHMNNNGGQRLRVATLWVARNAEMWNAAEPSGFLRRVITEE SGVYLKSLSVSAANPCADVPTPWEHEMLREEDLMGTVMAAIPAHLLDPNEVTAEDARE EAWRVGQRALTPSEQDMLLRFRALYGELPPTVGTPVEQLALYEMMFETDGRELQMVQQ QNHIALFLRTFLPWNNTRDMALREALRAAGIDDPVAGRNRQRRLEEIESDEPSDTSEW VTDSSWNTEESSFSLEDAIDGDDEWFWRTRGSQ XP_827189.1 MEGFKTPNRCTKDEDVCGGGDASSGKRVVRSALEVLAETASPEL LRQSRPAMLDVIHSPKFTVTNTAAPLGPAKPLRSVPYRPTEPPQQQQCSVSFRKSNVP AEEPSTLLFLSPSAVKVKSDVSVRRSLFTSNSRKKMRCLDSRSRVTVSKGSRQPSTII NGELSSSEGDIDDEDDDKEEEALSAHAPVCGAGEKVSMKQRLFSEAEVQQRIVEALKS YERTRNAEEEAMLQEVGCEIKAQSERYEKLLRETTAVTAERDTLQKKMEYLLRQRDAE SFKESREDTAESREQPDLAELSDLRDAVEQVESEKAKLIMQLEACKKEQLVWRQRCIE VESAREKPVEATQVHGGMKAQPQEKLRDGREVPACPADFTQTKRFEFESRIQSLEDAL QKQCDEVRRRMHRVYMLEGEQAKSERITKSLRHAVREASDSFERIRRELELCLQAYGT ASSNVRAKEETGDGVGHECSSLHNLRGELFPAGLRDELGLLFVGSGANTAAGGDPCGN NTVGGSKGSTIPRPSVRGEFFDSAPGDRLLLESLGAGREVTSIMNRQKDRQTPEEEGA ICKRLQQRRQRCERLVEALRRLFLQKQKEQRHQLRRFEAQLAQSAELDVSRYRDALAL REAELEKANKQQQRFADEYAKRELEIERLRREVEELRSSQRGEAVQQKGLLEEVERLR RERDALQMQLDLITKECAEVHRRKEASGREFHELQERLTAVLGAQHRQEEENEQESKK QLARLAQYTKKLKLAEEECQNLRSENSAMTSKIKVVQQQLQSLRACRQREGSVTQVTH QQQLKKLTSLAEELRQIQKSDAAQHARERAEAEASIQRISSQNKQLQKEIEQLRRSLS EARSEAEQQRWTERTVLETLFNINRGISDETMQDSDKNCDSLVVQRRKTFAPVPELGS NCNATSSGSDIDTNKLRRMVVSMSQRTVLELTRMREAVSVGSHAGTIAYSSLVAATTD CELDKFRVAEQLAWEAAEHSATRRRPHQGSDDCSTSARARRRAHSFCTPSRRSSRHGS PSPEFVVGGVNIARLNLRSPPVFTDSGYLKENTGNKKRSPDTLSNSPLSTSGVSRSRS GNPVLDGSASPAAPPRLRLTRGH XP_827190.1 MDPALQQQIRTERIVRMEVQRRQAKGTLRVIDNMIREIDDQLAY VSQFKKEWLQHQRKCEEVRQEVVKEEIKLRSQFLEEEKEELEKLLKLVGHEKGGQRY XP_827191.1 MVKDFFLLFVVWRRHVKALGKPWCTEGRGQEKKREVPSFSSLWD IFHLCNSLFVQICCFGQKANGKIANWSGKRTEVLSKRAFWTVDKKYLTPPPPKKKKKK KKEKRK XP_827192.1 MVVRTLTGNNTPEAVQSENGADDFGRRAVGLVASEPITCFGVSP PVPGRTDSPSRVLLRHERMVGRGNLSNALREESGPLSEVLNINAHHRSQGKSPAPRCP LRTTDTPAHCEREPVAGPVGRLGLDVAHQSCHGEHGPSASGGEFNSEVDLSTVPRSEY ERVERERRRYVKMYEHQKTLYEEMSARQVETYRELQEKIIEVVALSTRNEENKRLIRQ LKCEMSDNRARMMAVQNRALEETKLEKSAKEQYELQLRENAEKHNSLMEQQKTKIAGL ESLLKDITALKGESEQLQVFQLDALLKAAYAKNSALFGDMLRQGKQIDMLFRDKASLE QQLEQERREKRELEEQWAEERYRVLDQMARCTAQIAEQQRSILGLRQTLSRGPASTGI GSWEVEHSNQEYCDDGTDSTSSGSSDSSSDDCVDPCNELAETHDDPYAIGGNREVKRV LMSTDEVLGSTSPQKSRKDRVIWDRV XP_827193.1 MRRVSGNVLCGSASHWRAELMPTVLCAPHRLGSGARTSVDDEKE IEKDREALRKYSVFAGMTVLCAATLWYGSEKAKKRYFGSQGSARVSVETRGRPALGGP FVLVNTDGEPVSQAEFLGSWAFFYFGFTHCPEICPVELNRMSKVIDAVRAKRPNQKIV PLFVSCDPRRDSLEAIAEYLSVFHESFVGLVGTPKQVSDACKSYRIYYSLPSEEAAEQ NDYLIDHSIAIFLFDPKGRFVDFFGSRYDENEITERVLGYMDQLERDPEWTNW XP_827194.1 MEGSFKDFELPPQHRCRTAWTSNAERARGEVEATFVSTVNKSRT NSKGVAPRCSDVRTSVSRSHSSGLSSNSRVYAPHTKHSGARGAFSLPGDVTQPPPVEA RRRTQTKLGNGIRLRVAGRKPTERDMNSRDERNMVTTPRLNTDAGSVSGSDGTSSSPS LERISDYGCNGSGPVCSSPRSGVVQCVEEEAFADEDRASTPEPSRYKRLTIEERLRII EEKRDKRLERARQKFVALRATVVAPRPHTLTRVRMGNFKAVGFYESRRTYEGNSPHLA LEDKPVARSCSVPLQRKSTGVSSGNFGGAPTSPRAPDSHEVRRHEFLSGERCDDGDDN ESSGSALSIDKDD XP_827195.1 MRAGCNVFFFFSGRGLDVSVSNWLLCGPFSSLLLFGGFICKRKF WAVKLIPCRGTETSRKVLTFSVPSSGIRCYRCTALPFFPSSNSLRALCKRSPMSVLRF WQVGRRRRFISPFTRLKAWWMGIEDASLLSRYGEDGPFRSVWIKWRGTIIVAACTAVM FGRMGETSRSNDILDNIELNRQRYYKREFAPEYVPNAPEAVYDGPKGYSYRDEVSGIM VNADGKLTSDLTREERRARLEQSEISSGMVEAARRLRESPRYQRNDS XP_827196.1 MPDYSRVPSTLMQFLMHNQPKGSRGAFTLLMMAIQTSVKVIEMN IRSAGAQGLFGHLNDGRANATGDEQAKLDVVANNAFKAYLMSSASVSFMGSEEDESIV VVSSGQQGDYVVFFDPLDGSSNIDANISIGSVWGIWCLPKGTKVRTTKDALKVLPRLS GRYLVSSGYAMYGTATNLVITTGSGVNGFTLDTTVGEFIHTHPNITLPQSRPIYSVNE GNLRHWDAWFKQYLHHIKNEGEKAYTARYIGSMVADIHRTLLYGGIFCYPGDKKKPGG KLRLMYEAAPMAFLIEQAGGLALTGDGRLLDVVPKEIHQRAPVFMGSRREVELCMSFK QKYNDRGGVQRERSKL XP_827197.1 MLIRLLVFLLFLTVFFFILISTYSYLAFFFVVVVVFFFNDSYRI ALH XP_827198.1 MYSQPFTQNSLYGAPNMMPYQNMMPPQVSQHGNPGMMQNYGNPG QGRGGFDAQKQPQQLQIGRQMVQRPPRTRNNEIGEVSRVIHMRNITPNVTQLSIQNLV QNFGNIKHIVMLRQMNQALVEMESTKSAQQLVDFFREAGYAEIDGRRVYIRYSNHQEL TATQHTSKTLLVSMFNTQYDVSAATSITPMIVYQIFCNYGAVQKIVVLPKNESSQRNH NRVQALVQFDSKATAENVKNILQGQPVTIGETVTFTLDIQFSRMDNIKTSNPAISLVV NEDGVPQPPQPQPPQPPQQQQQQQPQQQPPPPPQQQQMQGNVYPGITQQWS XP_827199.1 MTLLICFLTLFINSFSHGGIVVLSFDKAATIDPDVQSNRGLATC FSHISSQGPVAPNSVKGKGVLNFCFLTRSLTANIVPLCWSFDHPALVLHRYNYFQQVS SCVS XP_827200.1 MNVVALSPSAAMCMGTGKKPGRTRIIDCFRKQGEELYVLFLDEK VAELRFWREKKGVVALHGAGKYVTRVVDAACDSLYTIVFFHHDKGRQLGLAMMEHSVP KPTHLVGSPKDYSEDFETIVAPLLPADDKGKRFTSQLHIHRSCLKQTTSGLVVVALLA APMGDMHVIIGSLEGKLWRRFRLEEAFGIPNCICIQSERVVSVARCSVGSPASVTSAV SAPTVELALSVFDQTYMDGDSSNKKTVHGGGPPRSAAAPFCVTMQEIIFSLNDRDATL HTRYQSQIFRVPEGEVSDYAHPRCQLYVDKQQLRYAAFVSVGGHSYVTHLRRSPLNMD MMASCTRLSISGIPRGVMWLSLPHPTGQESGSVDLVVLLSQDYRLYASEMFGGAFAVF ADDNRTNNTVPFCLPSKLRGDTSLYSEGFACATHLLQVSNSEFVCTNGILSVGLRVTG LSVGEDIPRDVFSFLSSGEGGPERRAVSLARCLQFIDELAEEDEIGVLQAVFQKLLPM LQCAGSTQSEAFLVQRVFQGILAAAPPQEVEDWRLLWNVALLVQKMLAKRGRDNTVVY TDHFFISLLDCYAQCQGTEEEKERATERLLSVVSDELKLTWKSFARKLDDEVLFVLDN LAERIALPLAEECVRRMVSVTIMSDGTHVVSARANTSHIIHALGVVLLASCLDVEVFV DINEVGQLRCCTSRTASTAVLVSPSVTNESELFDYTLALSADLLCVSAPDTQQTPPCA AALLAMLSARRYHAMFTFVQVFALPMAQQMVELGSLNDNTSLLADCAASVSLTEAELF RRILSGTTPSHIMQVLCNGSVNDDNFNTSLWQCMGDVLSGELRALIIAAVLHAMTDRV IAAQNNYSASVMAALLLDEVKDPLRDVSVGTCRERLRILLLKLEKLWAAVEVWSPYKV GDVAACLVSANAAQHDAGSVFMQVSSGGSVVSRTFVLCFRLLGLVSFSYQSEDKVTEL LQDLEDASSVVHACKELLHIINGLKGTSLPVEALQWRCVDVAKKSVETCTRNCGLATL LLEMLELSGVRSTTVASLSRYQHGVVDSLTKIQQSDGEGPHCQRPLEPLGRYEVATAR SGNMKGTDYFIWSRGGGADVPDWPGSHDLESRRRLVNTDWVDAVWPLERTVEAHTECV EHTRKRIFSCCDSGSEPADELSPQLGAALFQQLCTISPPVRVHALMPSKTTEPVLQGP PSKESLSDAPAAIRSPASVSQPALPAASAEPLTPQKLTLQNEERLITDLSTASSLSRQ TRVEVKPSSSQLYAAAPVRSTVSALFTPDYVAWWEADGAANVDAGSRPSSRAVRQDLN TLAESNTSYTTLTTEPSARHVDVDERSILNTRHSHHRCKRHSGQRYRCAKHRTCDICG SRGVSPSVYHAVLPRKRLVYPLNPSADEGGRAIRLLKFESTLEPPPLPSSMADSFPKQ TAGRRLDLEDARIRALPQLLSLRSKPRVPHVTLFALGKINTACDQTLDNVGSSRRLAG LPQFSAPSASLAAPQSLLPPPVPPSDVFVRPPSLLTLHVPTAVSASPSPSVVNGKRVQ CVVSSCTQEHEDKRIMDDEMLVPETTLFATESAEGPKVSAVTTGQIMELPTQGPTVAA PSSGVPPPLHNVADSANALPGKEVMVGLAGTTPVGTGDVAPSPAPLPTSVSKQAILTP SEAVAFNRYVDEIKMRGPGALGTSAGAVLVPVPVTVTANMAVPECVPAPTPMHPSAPA SSLGQAPSTTAGGAMCVPVGMPSTEVKRDNEILDVVREMLVKHEEIQATNFQALMEAV RSKPQEFSSYPRAEVASDERSQVGLSAIEQAQLLRHTIQQKDRLLEMNQELLDIHSRA QRLAAAAPVVQAPRYTAMCVGQPVKVENDTMPLQPAPPPVVTLPLQSDTVSAQEKRAV ESPAIIQTDPSSGAKENEDSVARSFPAPIGTVSPSTYPVSRGIPSTQTMDLTFSSLNQ MNAELLRANTTAEDMERAIQQSRTLLQKQSSLQFAHASAVEGSAMLDVARSRTAALEQ QLITLNAPSFWRIESTQRNDEQPACREQPSVCTNASSTAEGYITDEVNGTTSGSPSPT RFRFTNETTTEAEWLGSGQQRGSLVTRPSPQKQYQQTAEYTFDAQAGSDLAPSASPLA VSGSPVSFVTVVPTREVYPSDVPTWHEHKRAGAVSHTVATPLLALDSCLLQCQPRKSS TVPSVVADLSQLYGSAPSRPSEKPKSKSVSPAKRRVGIASGRPTNKPVPERFNMYAAV TAAKKTPNRRTASAKRTITPTNRPPWLGTGPAPASSGHMLKAGGANARYSRRERERLD TLALHTSKRLAELQKALS XP_827201.1 MTARTVSVIRVNPELSKHIETATVCVYDTPIEFCALRHDEYTRS DSRIPVVRPATTVEDALRRDYTVNALFYNLHTKEVEDYTTGLEDLDRRILRCPLDPKE TLTDDPLRLLRGVRFVGQLGELGFVLDESIFRCVDKELLKKVTLKVSRERVGKELVKM LSGPFAEKCIETLHELNLLREVILVELHLKSSKKGCVTAEVERTEHLAYNGEAGSTGL ECLLSLNRTLVPLFSRSGSKVQLSPDSPDKLIAMIFISCLGFYRGVSQSQIEDRLYAL CVNGLKLPVSSYNTVRRMIECYNTLKREGLNVCEISDGEVTTAAKLAIFKGLNDLNDK KTIPSAFNIVFAAFILIEHRCDLLAGGDVEGSGCVVDRLLLALEQVPGLLDAFSRPLP LRGNELAKLVPLEPPQIGPALLALRQYLMLHPQASKDDMIHWLRQGGSS XP_827202.1 MSISCECNPSRESRTQPPATRRVAFMFRSSLTRSRKSNIFSCAT ATGLLNLTFFGSNITEKNKRRNKEE XP_827203.1 MPPRSARPLRKTGSKIIRPRPVPPRDVYVKACVESRISPNSGML DILSQYTACTVIESIDVSSNYLGDKGALPLFAVVERCQNLKELNLAENGLRNNAIRAL CITLVKHPTLERLNVSDNYISEGAAVSLYALLEDNPRVCSLVIDNTKIDVKWRVKLRD LAQANAEALEKLRAASLQES XP_827204.1 MKAARSNGGRAGNGYTKSGMINSVAATQSQPRVVFTNTVTAPSV LLEKLRTIFSEVTICLKDKNAPSSADDFINVVEDGLRALKVAKAAKCERVQREAEADT SNAKCPKPERSGTDEQEKPEREISGWLRAVKQSLVKNKKISPKPIADEEQQREEILAA RHASALRSEERQRIAEEKRLEKIQEMKLKLEAAEERGALAKERRRQAEERLRIDTMER LESGARRVEEAREQTKERARRGISRVEEVRLNNELRHQAKVLLLDRKMSEVEHNQEQK REELQRLAQERNEAILAAAERRRSLGQERMERQRQREQQRRENLRRLEEQKKLEKDMK GQRAEEWEKKVQQRQRAASAEAEARSRKAEERIQQSAQLREEKITKLRQKLEEQEQKI KEARQRKEREPDTKLTIAELMPTIPREEGEEMEQKFLKVLSMITRQGRSFIDKYQRES LIDQKDLNRSKLKLTISRLGSGISPAPVTQVRQSLREILEAPDLGDTDHEYMRYFNAY ENIIGVILESRRTNNMSVLRLAHEALLRFLADKNEGRKHIVSFVRSGNLAPLLQCISE EIKGLKRQSRSVPLDAMLEALHLCFEGVTSAVQADTSLSSVRELLLSSFDSTNIEKYC IAVVKVCSDEEDLDVAHSATRILSSKISILNRRKGGCPIALVQEAASSFFALLQNILT PNGCSLSELSPVLSARRVTVVCVAFNALNCLARWNLETLQELLHDSPTNKRTGAHSGS NTIPTESSNTFTRTELFHMLNGFFTYVQSHTDSLEAIKEENPARGAVGGSFEEALKFG VSAEQMPLLARTEAISCATSGKVSVTNRQQNNLRGALHECLLLIGYLSIQDPEIQDIF AWGKGKSLLSKILSTMPFQYFTTGRHIFYPTLLTILVDSGDNVTLAKEEMDTRSLLEF IREEYQSLPKKSKLHALQRYNELRSEALANKERAATEAAKNAQPTSWADLLGGDDDEF LILAQKQMELKPLETKPVETRHPLWTPEKERFAKALKNQALTPSGYFKIEKRLPITLW PTVIDQLAVVAGEEREGLIDSAV XP_827205.1 MSDEEQTAIVCDNGSGMVKSGFSGDDAPRHVFPSIVGRPKNEQA MMGSANKKLFVGDEAQAKRGVLALKYPIEHGIVTNWDDMEKVWHHTFYNELRVNPESH NVLLTEAPMNPKQNREKMTQIMFETFGVPAMYVGIQAVLSLYSSGRTTGIVLDAGDGV THTVPIYEGYSLPHAIRRVDMAGRDLTEYLMKILMETGMTFTTSAEKEIVRNIKEQLC YVALDFDEEMTNSAKSVSEEPFELPDGNVMQVGNQRFRCPEALFKPALIGLDEAPGFH EMTFQSINKCDIDVRRDLYGNIVLSGGTTMFKNLPERLGKEISNLAPSSIKPKVVAPP ERKYSVWIGGSILSSLTTFQSMWITKSEYDESGPSIVHSKCF XP_827206.1 MSDEEQTAIVCDNGSGMVKSGFSGDDAPRHVFPSIVGRPKNEQA MMGSANKKLFVGDEAQAKRGVLALKYPIEHGIVTNWDDMEKVWHHTFYNELRVNPESH NVLLTEAPMNPKQNREKMTQIMFETFGVPAMYVGIQAVLSLYSSGRTTGIVLDAGDGV THTVPIYEGYSLPHAIRRVDMAGRDLTEYLMKILMETGMTFTTSAEKEIVRNIKEQLC YVALDFDEEMTNSAKSVSEEPFELPDGNVMQVGNQRFRCPEALFKPALIGLDEAPGFH EMTFQSINKCDIDVRRDLYGNIVLSGGTTMFKNLPERLGKEISNLAPSSIKPKVVAPP ERKYSVWIGGSILSSLTTFQSMWITKSEYDESGPSIVHSKCF XP_827207.1 MCGPARREWWAEPVPVVSVFGMLVVSVAAGPSTPTSARGRAVFS CVLFVFTGVRLRCGMRIIVTVSVLRRLSAGLGVEHDKALVQVALNGRATTKSGGLLRL RCVCVAPHFAAGLLSYL XP_827208.1 MWLVCALLFFFCIWSRHRSPRVEESVEWVFRSLSLPHPQGQWVV LPPAFSPMLGGHPAALMLGARGAWGRLAAAFATIKGSYASGGGDSACVGIGLSLLWHR GWGPAVGPMFMTSYFCEKPEARVGPMPVEHCFVKGLALPGVGASGVVLDRCFFFFH XP_827209.1 MGPSRPPLTFALCVLPVNPLLLPFFRLHHSPSYFIQCLSNAREE LHSGDLLFPSRAPSNRAFDCFSRSGGSVPRSAAGTHVHTTMSGPPSNSTSSAVIG XP_827210.1 MTIGPFARKVCLIPVLRSVPLIMLPLSQRPEVVRGQIPATPLRS RKSPGYALLIFILDFRLCGNCPIRLAKRRCASQRFKTTVSDPPTIH XP_827211.1 MVAWGGMTFYGTALIGLNALALWDLYLQRRQRKAYANAKMPEHL VGVVEEKEFRTTQEYEREKLSFSILLHVKDIVISNVSLLAKLPAKLYGSLGQLLPGAT GSFSHCYVYAVATDVLTTLISLPFEYYSTFVIEEKHGFNKMTRKEFFLDVAKYFLLRL TLLHVLTSGLILKVVELFGEDFPFYFFLGATGLITIFTFVYPTFIQPLFNTYTPIPKD GELGKKIYALAEKHKFPLKKLYEVDGSRRSGHSNAYFYGFWSKHIVLYDTIVEQTKDD HDALLAVLCHELGHWKNSHDKFFFGFMVAQTWCISYGAKAVIFNTDLYKQFGFSDANP LIGFELFSQVFLEPINTLLGYLVSLVTRQFEFQADRYAVSSGYGEPLIRGLMVIHKEN KNLLTPDPLFAALHYSHPPLAQRLDAIKEENKKRK XP_827212.1 MAQGGVVEIPPDFVPLEHVQRGMKNADCRTPVILFGEDGDPYLS LCKIARETDSAVWYFDFATTQDVEHTLGYIEIGSNNGDWVLIMNCGGVGQQAFRDIAL MVFCLKPEPKKYPRREFFRLIFCVEKAFDIDQNVDIPFPPLILKNSIAVRRADGSGKW SLRLPSEARFREAQEAKRQRRRAEGRDSDSETDLDEDEALSGKWFHRSVELNRAIDEA PLALAAQKMKEALLNEDVDTIKHLIVSGEYDIARAIVPGMTPLQYACSVEKPKAAISL LECGADPNQPRESDGRPPLFMAIEDVSLARALIKHGADLHLRFEGYRVDNHPDTSPEV ARLTKKMRQEM XP_827213.1 MSESRNADKEAAVMDRKKDHINICLKRNVEPYKNGRSIWDKYVV PYTALPEINMANIDTRCSFMGRSLSFPFIISSMTGGESHGRTINMSLAQACEAEGIPF GVGSMRVVNRYPAAVHTFDVKQFCPSVQMFANIGLVQLNYGFGAADVNRLIECVKADG LFIHLNHTQEACQPEGDTNFENLLEKLKALLPQVKVPVIVKGVGHGIDYESVVALQRA GVKYIDVSGCGGTSWAWIEGRRHPYTVEEENLGFIFRDVGVTTDQCLTECAPLAKKGG LHLIAGGGIRTGLDIAKSLMMGAECATAALPFLKAALEGPEAVRKVIQRLRRELVVAM FACGVKDIASLRRKSLRLRAPL XP_827214.1 MKTKDGSCLSTFFFTSRGVSVTDSFNEWVRNAAHVAKTKGDEAQ RQRYERWVIALVEDVMRIHGGVSAVDWATVSLVMPPDAEDRRPTMLRGGGNEPPRLSV RAVTSHHRTELYGECMRRIPQPLHPLLNQAFERLDMDVMAGTRTYEAVTPLEVIKIIQ ELQALCRKQLQQPHTQSSLASYGGPVSKPMETARDSVLGGKTTRDHLDCPPNAKVRRS ENGAHKAESDEGPTAEARASTNRFLQEMIVLDEVTQPKRQFVGKSQELERLYSRYEPA ADDIRPREVLVDAFRFIKAKANDKEKYPDPLKAYRYLSDQLKGMRQDLCVQNIVDEFA IEVYEKHALISLELGDIGEFNQCQASLKKMYEDAPDCVGDSVSEFFCYRLAYLALGGQ FDALATELIHYTTTTLSRREQAQKSSGPRVRKKDVRNTLKLCGACEEGDCFTIARTLP HFPHGMHSLVKIFLPKCRLRWLRELLSGIRGNVSLRFIASCLGFPPVNVKTPTGDCEF WLDGTGEKAKGEFKRFFEMIKYPVPANWSFDKETKRVKKSDDHSQQQDDGLTEIVVAE TLCECVNEYIKYLGTRRDA XP_827215.1 MGLLERRKAIEEEIARTQKNKKTEYHIGRLKGQLARIKTEMMEN ATRAAGARGGDGFEVRRSGDVRCALVGFPSVGKSSFLSRVTTTESTAAGYEFTTLTCI PGKLMHRGTEIQILDLPGIIEGAAEGKGRGRQVIATARTADMIILMLDAARAEAQRGK IEAELETVGIRLNQSFPDVTFKKKPSCSMNAIGFTSTVKLTKGMSEGLAKDILKDYGI HNADVIIREDITIDQFIDVIEGNRKYMPCLYIYNKIDTITMEEMDRLSRLPHSVVLSL HWDLNVDEVIDEIWEHLNIIRIYTKKHGSHPDFTKPFVVKRDATVGHICRRIHKDIVS RFKYALVWGTSSKHQPQRVGIQHQLADEDVLQIVVKTSNE XP_827216.1 MSEDGSDVSVQSFRVGDRKKPVTSNVPADCQVNGEQKNGKSRRA LREEERRKRKEGHLTDISLDEISVRRGNVYDFYVPGVIFCVRGTYSQFDALEGKARHL LPPLTGDCCQTFSTVAAVTLSSLGFAVMMQDCSDVSTVNYLLYISAWLHQLSAPAWPQ QKARPTISDLNACLRLHEFFPSRVNSDEFEVLFYNQYERAKSLVDQLCRPYLKRSRRT NESNPVCGYVIASGDYTVSIFSLPHDTRNRDDSPYVEAWTLCLCDSHGTQPWAGNKAS ITSLALGVKRPCATATRGILPKEEGLAHFALILFALLEDHRAMGANVRHTPYMTWSPV RRERMTATSAEELRGIIDNKWLPAVLENEVIARAATKHKFTPRPCFMGFTSSRVEAAS IGLAGAGKDGVKA XP_827217.1 MPVCNNDSQLIGLGVSVTLADDTTVNGTVYTYNSSEGLLVLFQG FSGSNPNVKIIRTPFIKEVTALRDNEEKLPPQLEAKARLPSMQAARDRSLFKHASSQL RNAKDKRNQLLQTDDQKTPIAALDTLIKLERIYPDIHWDKDAGVIRFNQDVVVKGKPD WTSPAVVIAEGAGDISRSLMERVQKTLSKK XP_827218.1 MWLGCSVFAAWMCGSYSWCGTCATMQAFLLLVPSTIFSPPFNRL LFGIPCSTVLYAAHFSSKGLNVEVRIKILSQLFFLLVLSVTFLLLVLGVFPLLLVAEV VQACNKQPS XP_827219.1 MSLLNTTLQTLVVRLRDMSGNVTQQKLHNRVFDAYEAKSLVFEA ITPEQQTVMRQYGAIPPQHPVGQPLLIDDWAELVSIHKDDNLYQLLPRRAKSNASYNT MRAICCSAGSPFTMDHRVDPIDYKFVFRAADLEVRNQFNAKSPDKIPTTIWFDGILSA PNDSGLVSCHNTLSPAQINNLAGACQFLKEWSKEPPEGDRHRQLKQLYAQLLSKRSHL FIGTTAVPSREILNYAKTKSVFVYAKRGMHYIFHA XP_827220.1 MGSQIPQQQQQQRPSILSYNTGWLANGVSWSTRENAPFRFAVSS YIQEFKNYVDIVQKNDEGELVCRATWSHSYPPTKVMFAPPKAGSDLIITTADYMRLWE VKEGPPESNERSDERHREVDDPRRVPSKMDHIDSHVSFKMAFEYGKQPNDLCFPVTSC DWNTDDPNVVGCCSVDTTVTIWDLETGKNTRLIAHDKDVYDIAFAKGTHTFASCGADG SVRVFDLREIEHCTILYESSSLFPLLRVAWDNSDRTYISTFGVEGTEVIVIDIRFPAV AVASLKSANPQPINSVCWAPNSMINLCSAGEDGTANIWDLNELPNVEAKCIMSCKVEN PINNISWSSQHEQWIAITTGNEAQLLHV XP_827221.1 MSYQSSEAKKEEFRKYLEGKQVIDTLTRVLVNLYEEPEKPDDPV DFIKKVLGGASTADYEALQQENACLKAEVAALKKRLNEEH XP_827222.1 MTGSAHSFYPGEDERIASFEEGSRKVIQYFPFTCCVYSVTVPKR LLWSRKGRIYLSRRQRLVLLTYMLWYGNARICSCNDAVMLRWRECGLPFPSVCAAAPS LLGGRRATML XP_827223.1 MTSTTQPKWTRLPIRTRPHRNPLAENSDNHPDCPAELQEQCTTL FPGMDNPVITLVDVGCAFGGMLFSLAPDFPDMCMLGLEIRPKVVEFAQNKVMKLREGA ATDGTSHHYRNVWFEQLNVMKFGSNCFNKGQLQALFFCYPDPHWKRKNVRRRIISPGL VQEYAYWLKVGGFLFTVSDVEELERWMVTCLDGCPLFRQLSQEELAGNEMVRKMLDYA ANSSEDAQRTTRKGLQKHYAVHVRVP XP_827224.1 MCISVASFTSFCLFFGSGFRENKLLSAPQNTVNPIIEKKRVVSC GMCEVDTTKLLEELRKVKENLSEVIDECIFLENQCEMTEKRLKSELLDRDKRIADISS ALMEMVKYTSLLERQVIASAFTTLGSAMKRAPAIDMCRNISLREVASAVRERGESSGS RSSAKRKTRNGALYSEAKMAEEKNAFLLSVDEACALVNGLLREKVNLAAKIGEVAFHV NNAIARGERTSPALPDASSENVDDLHQSILNLTKQLSACGNEGDEEKNLKQVPSQHTA ETEEPRQPQGLIAEKEDNTSRPQSPSQTQPPAGLASLGPHILDISRSSLPAVSITTQK GEGECGDITTESLVKKLAAAEEKCMAVEKQSRREREELHAELAYLRNSSREEKEEYNT LIERLTVELEILVAENAVMRKRIKGRKHSRDKIE XP_827225.1 MRRGLTCRNVHDPVFMRNVKRVLASYKESMDHSSRKGMSREAFV DINEKGAAWYLGHMQLASRTLAEKVKDADFVLEIRDARLPFTTGNPNLQKIIIDRPRL IVFNKAEMSNEDCNRVIQQYYERTGNFALFTSAKRSWRDTVEAVQRFVTHILPAQRFK TTANVGLVVGMPNVGKSTLINSLRLAHEYQFHREDFRRPRTPEAVSIAPGTTRGVKLV PVCKDPNIVLYDSPGLTLPGCFAKEAGLKLAACGIIPTNDITLPRSLVARYIYDVLSA AGVGEHMAECLHLPRAPISFDDCISMICERSGTSGQTDLGNLDPSRAQKFLIHDFQLG NLGRITLDKLPNKVRQAVSGKEYQRIGGGAGSGTEASNEENEVVWTHDVKSSDVVARY SEEMREVMEELQGEPVENTPSNRKPCGDSTVISRKKGPISRVSAHDESFRRSIRIIPG R XP_827226.1 MAKDSEKSPMSLHTGDVLLMDRNCWEMRHPLGIAICLLSKTESR YDHVAMVVKLNDGEVERGRERGIINPKDPSSPSGTYVAEANLSGFSLRPLENRVARSS SKHIAVRPLSMGSDMHKFEEYVQSHLRDFHSRPYKRDLLMFPPMVLSPPDKMDRIKAA HKLNLLKGETSDIDKLLAGKLSESDKEALLRIKVVYHDAAQFLIETYFAHLDRVDGES FPSVDYGGSHFTVDGVNAEEEVVCTELIIQLWQRCGVVDLFPPASSFRSFDFLDNTRF NFKDARTAFGDVFTLKGNDAPETPIKRATRKKTPTVEGCFDVYRSTSANGDPHNPDVD SMYMWLIQSNTNKVVNSDLGLNIASVGALFALCGLVIAPLRLRWIEYQLGVVLRRGSV WSLSAGFFARDMLCVLTQVITTSIALKSLLYRQSDTGPLGPPLVHTHLFDTRHPYYYV CIVWLLANAVAHITTTPLLNSVVAHHFGPVLPGPLSLRKLMRGSFALLPLGALLPFQA AWITWYETMGAAIIPTSSSVLRRRADLLDTDEWRHFRFEALTGAFAATTALDFIAYIF QRRCWRSFLVQLYRPAATPSCGRRRCAGYGYRFLGNTITMLTTSLSLSFLGVL XP_827227.1 MAFWSKASAQKPSVSIASDSDSESQSLVATPPRSSESGLGTPTA STETTGTYGYGLPPQPANLASFCNNGSYAAASGTIMRRPSSRLITSPMRNGSMCGRGG SQCGGSYRQLPVTEVVSDTMRVLFIGCPQVGKSSLINCYRAAVTGNTMWPAAPVGICG YCGTAIVDPLPNHPRAPTFLCIDTPGKFYGNENQLLLSKLFAGIPWKTRIEGDGALTA EELEKLSPVPENKPHHSVLVVPATDLVEDGGWGSVFQLKSRYFAAPAAGGVVESLRDM IGSIRALQDDASLFVAVTKMDLVGGADNPVSRRIISTCLSRCVPVNRLYFCACPGDES SYERRGFPQVDQSTRLSLLRLHEDLSLSYHWKMTMQQQREPLSPT XP_827228.1 MDNRPALQPREVKFSDLVPSGGKHGSATPIKGERRWFRPAPQKF TTHAAKPAKVSHADGLEGESDQDEERRRSRVRALHEAKVVKLNKAKALSKLNVQPDTQ STPIEISGNAVLVENGSFNAVCALSVLKDCVAHERQLKEELARTNVAKTQAFRTVIST YLASVTQANKPMPGRRKMTSELALAQCAVVAQTLVVTIQSYVRALESRMHVVSRFCRR QNTLERFQCTSPSTQSWQAKGGESDLEHSLSKTTASHQDLLDEGEINRLKQLYFDYYD CDVYVVPGGRPESRIPPDALLLDSLSGYGSFVLESDDADKSSFFSSSSACDKSKAEAG KEEDQPPTA XP_827229.1 MTTISALEKQVDVLSSLQESSAKILDDFANTSRAVAQRAHRIVA DVQPWEVAQENIAFMIEETSRAARCYHPPPVLRLVLAGKEKSPEMLCKCIDYLVFTND YIESQPSSGFGSNIESSIKGSLNSVIKLSEEAVIDAFVTSMQKKPAGERDESLEFRTR LTVSSLSAFSVLFHHPEALHGVDRVIHKLGENFNRTKVITQDVRRILEENISRLVEAQ LDNSKEKEELQHYRAIATTCGFAPVHKRYKKGKHYLLSASAKALSVLTEAVECLKTCV LEPLDNSFDVVELPADLTIRVFNRVIESCLDVVKADHEALSDLNSAFVLSRGEGVGFW GEEQSVTNMILIGLDLLEGLWKWKGFAEKLPGSRYKCVDRVDEGVSVFIARLRNLVEK YNKSKGSLSAASLKNYTHRLHRMEWIPSPDCSAHVSATNQIYMHKMLLTNYYGAIKVV LHDPRLSSFSEAEALETLGSYMVDGVLGTIRDLEVIAEAAMDLLEEKSGGVRRGDSSS FSDQPNASLNQKQCMSPPIFMLNNILLLSEGYRKELCFQQRRYYSSNPPLDGDKGRNS IPAPPPIVIGIINRLESAKDRYLKDFSTWWSECFPSVSSSNKLANIASTDDELSKPQR AAVKHWYRTVANNLMGRVAACRCFTVLGASQRKNLIEISMTAVKNGFRTFEQGLKGRA WSDRPMKWMVRTPEQWSELLSKLF XP_827230.1 MLLTAPLLVLCLLAALAIFARRGLSLYPRNAVGFLHPSAAAGGG GERVLWVAIDSIQKDDIKNGIDRLYVLYCTQTSGKSDDGRCEPPQEYLARVVQKQFHI TLPRPIKVVHLRSSMTKWLDGGRYPFLTLLLQVVCGSILLFYESCVVNTMTPTVIESV GIPGVYPLLSIFAGSRIVAYTHYPIITPVMTQRVENGEMRYNNKGAVARHGVLRKAKV LYYKLFAHIYRWMGKFPDLVMTNSTWTKGHIQQLWGHDVPVLVYPPCAVSHFMPLRKL PHQRINTVVSVGQFRPEKNHMLQLQSFALALPRLPTDAKLIMIGGARNEEDKQRAEAV KVEAQRLGIADRVDVRVGAPFSEVSESLAQCCIGLHTMEDEHFGIVLVEYIACGCIPL GHRSGGVCLDIITSPNVGFLAATAEEYADCMAEIFRIKNDEPETYRKFQECGMATIAR FSDESFGEKLTASLRRHLPS XP_827231.1 MTKGTTSMGQRHGRTHILCRRCGRNAYHVQWERCAACAYPRAQR RRYNWSVKAIKRRRTGTGRCRYLKVVHRRIRNHFKTDIKA XP_827232.1 MSSDCAGPPRQRVRREDDIPLDFSALPAVQELIIKNNRQTKLKR RLKTSDFVNESFSELGCRAVADRTSVGVLSSFLKECTEKHRILPRSSSVARVLYSFVE NGLLHEGQALLQQILQAEASLRSKVMDEKVATISLRLLTESDEVNLGLAERIISMLPE GSHKRRLFSPLLEHAARTGDTTLAFKALRMGRQKQLEFWDVDYKQLLRCLQSAAKGTD ISALITELLECMVDHHPVVGKTNGEALQQLLGGELTEVNDETGMCCRCSTRVHTFDFA PDDRVTLLRDIETKLIAPRVESGSHYEPGKVVELTERKERWEEFAVFKDTLSRLDYDA VIDGANVGYYGLSNWYRGAKEALLRSRGVDPSTLPEYELCEIPLPVDVPPKFSLIDEM LTEAQKLRKKSVVMLHSRHVRSPSKENLVWLEKWGQESSLIVCPGFLNDDYCWLFAAI HRPNCLVVSNDQMRDHHFSLLSRRSFLRWRQRHLVTYRARFSWATGAVSLLLSLPRPY AVWVQRGQLSPSHWHVPVRTTLDVIDQATNRKTESEMEVDKNGDDFCNAWLCTAAINR XP_827233.1 MQELAVFKRPHLHACSEYVDVAVELAPLRRCESFTDFLQLLQGE LEFIYGSAPKSFNNAILYSTHEAPCSFSCYFSEKQLEMLRNFDEACEKESQMRVSYEN VVAEYDAKVEENKDRKMNRRRRMEMEKARKRVKVMDRDVKQAEYEVKKSAQKLANIFQ IAALRVLLN XP_827234.1 MSDDDDDVVVLQVCANKQCLGIEDLQFDEAMGEMYCANCRELYN RAAQEGFRILLSPEDVVLVRMIFDRFDNGKGYWTHDDFVRFQESTLQDVETDINSHEA LKEFFKDEYDIELTPSSSGEYNILPENLEEMYGGYAYNNINALHKDCDALESIGLINT AVLE XP_827235.1 MTSPIAVVEKLLADTRVCLKIQPRNAYQAEGAYMSSMERLKEAR EKISGFSGEYVDRLLKEVDELERAVNEMILARTNSVETLLGVDFGEEEGEDGNDEATL VARELEKKREEKKKRSKAKAKSKKTERKGKEGQEKETGDNVGAGPSSKPEETEHQVEN EETTVVGEVKVLTTYADCKSRDIPQEVVGSGKCIPVLNTPLAHLLFDTVVEYDGCPCT VRVALHRAVVVALTFGRPPSSTIESANESKVEATDSDNVGDGVVVLPEVDDNLAKMLV DEDLLVDVESDENEDDVADGDAEDDGGATAELSKQLVAEFDAIQEEKKQEEEGGSSKR LPSDISTKLWGSIRSFTFLPWVILMCHGGYFAGGVFIEGEPVAHKAFQRYVVRKKQGG KQSSNEKEGGSYGSIGSQIRRAQEIRWRVEVRDILMRWRNYINAAALVLYVAPGPKNR AVLTDFSTLPATTLENGERAVSPVNLRDPRVHKAPLTTHRPCFQEVQRIYGTVSTCTV DYVLQTD XP_827236.1 MSNNPYLAHYFGETLEDNLGDVAYDAAANFAYFPNAVHMSHRVF RPSKVYQPTPEVSGTGGDLLRSDGGSAADVLQSTPATEGAYPSSTLDIPLLRNYVLDK PPRPESGPEVPTDGDCEVTHLLRKDLLEPTAATSTDVEALEWDLMIEKSRAAIKQARE LLTHECGPYIGAERVSSPQNYSTVLTPASPKTASSVTISAQTAGSDDNVASQFRFTEE DENWCPGSKDRNLNSSPSYASGLVEGPHPAPASGAEMGPMTNTKEAGELLSSPEPHMP TSTLPMTEVKAQTMTTPSQPLHNLPTVTEARCVLLTPNPNAAACLAKRLTRRPPPALA VSEEDFSTTSGAPAVVGKVNGETGRAIMYAESVPTPYQSVERNHRKKERSRKIDGTLV SSLGSVLEQFARSLQARGIGNGCRDYHDSNSWDANCVKLEPVQQTENELKEKKSKCGA EPNERRGRKHCIPPPQVLVGSAPLVGASCAFPGDHYGAFIRAVPTSELPVVNADFYSN VSRRASSVRRTGSGVAPAAPSYARPTESWLCKGVQLSGGEYGDNNGVIDVKEMSGGCP XP_827237.1 MTIAAQGVPSGPSATKPLQVASLYVGDLDPAINEPQLVDIFKPY GTILNVRVCRDIITQRSLGYGYVNYDDVNSASKAMEELNFKRVGEKCIRIMWQQRDPA LRYSGSGNIFVKNLKEEVDSRELSLIFKKFGEILSCKVMDDESGKSRGYGFVHFKDDD AAKAAIEKMNGDKEHADADKAALYVANFIRRNARLAALVANFTNVYIKQLLPTVDKDV IEKFFSKFGGITSAAICKDKNGRAFAFCNFEKHDDAVKAIEASHDQEVEGVTQPGEKL YVQRAQPRSERLIALRQKYMQCQSLGNNLYVRNFDAEFTEKDLNELFKEYGVIRSCRV MTDANGISRGFGFVSFENADQANAALREMNGRMLNGKPLVVNIAQRRDQRLTMLKLQL QQRLQMMMHHMHPPPFGMPGHPLQRRNARSGGRGNRPHPRQQQPQPQPEPQPPLATTV PPAAAHSVMFTAPSMGFSAVPRTPQASPAIAPDTPPLPPISAEDLQQMSVDEQRAALG DRLYIKVHELAPDHAPKITGMFLEMNPKEALALLSNPKLMHEKVTEALCVLKVHASSA XP_827238.1 MATAHCTEVAKPVVSLYFASMTAKEVSKNMNKQHGVRREPRSSG EGIKLRKLAVDESSAMRSLMKRAAPVFAPRCGMLRPDKDDLMEKERERDREKSPFEEL LERGIEEGIAKIQYISKLSPESGDAVRKGEDDTDTSSETPSSPTTNSLYKQALEVWTE EESAQGCVDSGTHSFHTSHSLVSALDRGRFPLDANLTVTRAVKRVEEDLKNSSSDGNH STPGKAALPPTVKGKPGSRLTLLDRLRKIPVRSWDLLYNITTSPDTTDSRKHGRKYYM EQGPLLPKKWRHRFDYVNYKQQFQLDESLCTRDVCRNMVIRHEKRSSLKPPMAALADV FEQQDSAKCRHAKHRKNPRNDDAMWCSVWGRRANEIALERQRERIINAVKEFKLCVEA ANLSRVAVGRLQKDLIKVIQEWPSDYRKRHIFTTANFEEWVKTRCAAPSAGESSKAAH LAESMASTAEASFVEYVLTILPPGQQTRPGTR XP_827239.1 MLRGWHPNSSAMQVGMRHITIGGRHSRGGFRQPLGKHPQVKQGA VEGVPRRIPGTTKVTYTNKKGRTFSFSVPVSELTHPQVTLESAAGTWREMDTSFCELG DIEDDMPSPVDECLRGGSSLDKRLIQEVRERFVSFCREYVLMDTSGMKSTILSTELNA GPDYEHYDRRLRRKRHWLAIRHRFEDVRYIIWPDVVEETARGDSAQADVSLTNPSLTA GEMLEALLWLDAASTFCVRKVHPSDLGDKSEFLPLDLQREVEVVACHARRDLDFFDPS ATSLEQFTACAALCVNHRVPFSLFFPSQDVCGDASVSTGQCIVANAPSPHTALGAVRI MALISEGSGSDIGKTIMFSDAFGAVTRFGILRGLSRVMSVEAFGCKDALENVNESELC IILHFCAEVREQNAAFFRRYEASEENSDPQQVSFLAKYQQLSQIALARCKRLLYHPDS PRAQVMSEDGYIPLVELQRHAEGTNKAALIHYNLGIRSAQGMRRVALGAQSSARLAEL VSRLEEASARVSGNTLVNDLVHHLSHKAAAGKMSLTLREVNTLLPLLSRMRRESPNGA LDARFDRVFNAIDTAIGAAMRHNCTLDELLDLAEGLAACEMVPSALKQVEMVLIRSVM MHECSPMHLRRMLQAMFTLMRTSVPQVLLQSVASRVADYIKEASHMDSSSSNGGGDEK VKNHEECEQLLELLVVLGKCGYGALPGLVTIYWEAQLIDSMQLNPRLRCSYASLLASA AFALKKHDKRAWEGLADESHRLFMEYTRCNKENDIGRFAECVTGLAVLTQIKDNTNSS DVAFLKEYLSATSLELKSCEVIRVQELTDLLGRTLEWSEALGVVAPDVVIQLEKALFV MLENVSHTAPGVGIPDELVTAACCLVDMSSASLELRKAAAGVVGGAIVHAEEALETLR SGAPTQVRPGHSFDVAALASAERENVYKNSILQYCAALQRSGMSTHVEELWS XP_827240.1 MQKYAILGKKGEGTFSEVLKAQDVETKAYVAIKCMRKPFQSKEQ VNRLREIQAVRRLQPHPNIVPLIEVMFDKTTGRLALVFELMDMNLYEFIRGRRHQLDE HCVMTLMYQLFKALDHAHRKGIFHRDIKPENILLREDGTLKLADFGSCRGLHVSQPLT EYVSTRWYRAPECLLTSGYYTHKMDLWAAGCVFFEIIALTPLFPGTTEMDQIHKIHDV LGTPPVDVLNTLKKFGAPINFQFSEKKGTGVARLLPEGTSKEAIDLIGRLLQYDEKER VTAKEALRHPYFKPLRGKERQERHRAMEYSTEKAKTLEVDSVLPCLTRVVVSKRGPMT TENRPHVRLEDLSNANGRISAQSVLPKLQG XP_827241.1 MQLYVFYMLCEKERTNKCKSTNEVRECKNKKRGKNENKNGNGNG ETKREKEKEGQAVQFPCVCTFNCFSFCFPFLLSFLHIHIYLFTYLFVFIFIYGWHPLR ERVGANESERGKGKGWRACGCEERGTNKERREKH XP_827242.1 MYLGGGRIPLCTAPACKENQCGAMPFHFSLYIIYIYILLPLPLL LLSLLLLLFFFRFRFLIYSLIRLFIS XP_827243.1 MYCVIPVFIYIYLRVCIRIISLLQFLSFFFVWKLTPFKPAQPTK HQETSVMVAAAANQFVAPPALGRPVRRYHHDPYSACVLEPIATCSSPQPLLSSTTTAS TSVSSHNKAVAEENEVVGGNGLPSLCSPDAMVHIGLVEFKYGALEFYLPFSVQVGEAV VTEGDRGEDLGIVRAITSLPALPPHVEVRVLRLATPRDVKQYRALCEKESEALRKMRS LAQQVKCPAYIKDVMYQLDGRKITCIIVRNVRTYVDFRRLQRVAFDVFRCRVWFAYLD EIALPRAAAGVAAFTQCGTRWRAPRGARIRS XP_827244.1 MISYPFFSLSPPGLVPPPMAVPPVEMYSGSFWNRMRKPLPLRTQ VIRFTVVFVIVSFILAVALQITHERMPDPKVTKPLPDLGFELLTKVPGMYVLADCCIG FLNILSVFTAFKLYLLHRHCVGSGEPELPCNIPGVSRFFLSVWLCKENCRIELRNVHT IAWIRFITSYALLLLFRSVVIVMTSLPAPDDLCQDPPKIENPVKNVILTVLTAGGGSI HCGDLMYSGHTVILTLHLMFHWIYGAMVHWSFRPVVTVVAIFGYYCIVASRFHYTDDV LVAIYLTIATFIAVGHNADGAPWQLQLFIRWLPCCGANSREMTEDSQPVMVAFKSEEL DEMNGVLEGRQKKHGGVGDGEALMFKCGAYV XP_827245.1 MYSGSFWNRMRKPLPLRTQVIRFTVVFVIVSFILAVALQITHER MPDPKVTKPLPDLGFELLTKVPGMYVLADCCIGFLNILSVFTAFKLYLLHRHCVGSGE PELPCNIPGVSRFFLSVWLCKENCRIELRNVHTIAWIRFITSYALLLLFRSVVIVMTS FPAPDDLCQNPPKIENPVKNVILTVLTAGGGSIHCGDLMYSGHTVILTLHLMFHWIYG AMVHWSFRPVVTVVAIFSYYCIVASRFHYTDDVLVAIYLTIATFIAVGHNADGAPWQL QLFIRWWPCCGANSREMTEDSQPVMVAFKSEAAGQSSRKVVDERNH XP_827246.1 MPDPKVTKPLPDLGFEVLHKYPFLFSVADCCIGFLNILSVFTAF KLYLLHRHCVGSGEPELPCNIPGVSRFFLSVWLCKENCRIELRNVHTIAWIRFITSYA LLLLSRSVIMVVTSLPNPDDLCQDPPKIENRVKDVILTVLTAGAGSIHCGDLMYSGHT VILTLHLMFHWIYGAMVHWSFRPVVTVVAIFGYYCIVASRFHYTDDVLVAIYLTIATF IAVGHNADGAPWQLQLFIRWLPCCGANSREVTEDGVPVAIVIKNEEMMNFEGKS XP_827247.1 MISYPFFSLSPPGLVPPPMAVPPVEMYSGSFWNRMRKPLPLRTQ VIRFTVVFVIVSFILAVALQITHERMPDPKVTKPLPDLGFELLTKISFLSVVTDVLIA FLSSLSFFTLWKLYLLHRHCVGSGEPELPCNIPGVSRFFLSVWLCKENCRIELRNVHT IAWIRFITSYALLLLFRSLVIVMTSMPTPVDKCQNPPKIENPVKNVILTVLTAGGGSI HCGDLMYSGHTVILTLHLMFHWIYGAMVHWSFRPVVTVVAIFGYYCIVASRSHYTDDV LVAIYLTIATFIAVGHNADGAPWQLQLFIRWLPCCGANSREVTEDSQPVMVAFKSEAV DELRERDDSAGLSCEVSTNEV XP_827248.1 MSPRSLYVTGKGVLSLVGGFTAGWCGLGFVEDKGLISEANLRAL HVGTLKLHLFLQEWILPVSFSEKYGYKKEVLEEIIERYGSGSAAEARWTFEQLLGECE VPGQISWLEEHISEDIPYFYIADLFDSWCNLHRNLFVCSADAHETAKRSDDRFESELL CKGVIEKAISGVLPYDTAVRALCILAIGCRTNTQFMLKTIKPDFIINRYKLYTDDLAQ PIDGNTDVTPLAEVNAATIGLLNALNTELQEQEKLKLWKSSTARKLPLLMQLNATPWC DSVDSLRRGLKGITSNNAATLLEEATQYFRCSELSK XP_827249.1 MSAAVPVSEGNGGVAAQNPLPSGELPSTTSLAQQPLSPVGTSPP SNALVTAPGTAGTSQNAMPVNTVDQTNRYNNNNEYANSYNTGMGYGGLGMGYGGLGMG YGGLGMGYGGLGMPGMYGGLGMGGLYGGLGMPGMYGMGMSEDFQRSQMTFMLVGRLLE MCGMFAGVIQMTFGSALQFMGNYIGMSQQYNKLKSGMYMDEAGRWVELPKGTDSSRES AVSGTRRRPSRHRKQEKQSHPIFGVLRRLLFLLLAVMLAKRITR XP_827250.1 MCVCVLGFCVDDCVHGCLWFLLLIIFLSFPVSFLPLTTIFTVVV FFFSFLYRLKFQVGMLARYRHGRWCFRSPVVVGG XP_827251.1 MYSSEKEKEAPETLTSLPANAEEQQRERDDNHSASAQGEEDKNQ DNTPVALLHLRQNFGVLTRVLEREVFRRGLINSTVEDMYSAFSGASVVLVDILRSEVE PRLLRSQLGDMLYSNLELSYGAEHARMLATVIGSSLDDVYLFHAICSPSALEALVHQA QELINPPSNRTGGSNTYSDGARGAVQSQSYGNAVGLARPSFTEFGKERRGEVQPTVEA KLPRVSNTYADGAAPAGMNQPEEEVIPSVTTGGWSNAQRKHVEAEREVLPHHAHHHQL RARLPVNQFTAPQRPQVPSVPHHHHHHHHHHHVVVGTGPVGLQQQDRHHQQLENPQND AFPRIPVATGLPKRPVDRVLHQQSLQGHDEASHQRGAWRGMGPSPTPQQGAAGVSQPN YNVRNNSPPVPSHVNHNQHPHHIGPTGSPTSARVIAPQRHNANQYIQHPTMTKQPIPQ PTPSMASQQPSPQISAMNTTAPATPAVGAVSPPPPSAQRPLPHHHHHLHLIQHHQQSQ QNQQQQQQPHHHHHHHHHIHHHHHDVMQQQPIVPPSPPPPQPSYNVKQEHTAAPETKP MAHNAEAAPAQIKPLHHHNVSFHPTKLPQQPPPQPSAPSSVGGEATHQVPIVPAHHHS IFHAQHVAPVHHHHHHHHHHHHHHHHHLQQPPHRYTSSPASAPMSVDMMNDEMTWALS SANLSPPPASELRRLLRERNVPESIVNSVLEAGIKKEELLTMSREMYDERLRTYLGSQ QSQTLWAALHSNEKSQHDRDGHEMNDLRSFISNNSIQNNIAQEAEDENGSSKRGRAAK PALQVPQPRTTQSRQQQQQQQQQQQSNKGVEHRMILWLSGVSSSEVNQVLKEVGKYGK VVKHGVSQDKSDMMYFKLKDCKGDLSGMQKIGNYIVEECHRVPPGEAGDGTPPARGPR PEEAEEDGTNKLRAKPNEAASPYPQQQTRPTSARQQGPAGSQVDEHEEDGNLEDSRTH RKGMRNIRGRGRGANHGYHTHRHSEGTGQVMCRYFNKGACKYGEQCPFQHPSKHPGRS XP_827252.1 MYRQIRVYVVETVVKEGTAPESEEEREFSHLSYTSLVAALLSTI FFPHKTNDKTQLFIFLLFFTLLIFTICNFPLHFIIHNIYIYIYIYILLIFPLYMGEKK SQHNPPYVFLSFLKSLPTHIKVRILNCQTHYKTPTN XP_827253.1 MEVSNEDNLNIDGDDDYYYYYGRNETEAPKSFVCVFFFPFPFCF FIHWGTVHPSSREWCEENSFDDASEYEEKNGFAFVCLFSCRMKGRRREKRRSFVVSVK EWR XP_827254.1 MKTTVMTALMIVCLSFSHTYTRMHTEINIERHKRIEMNGGNKRK KKQTKDGDQKEKSERMNERTNQSIKAPQGRFHKFCMKPTLEQSLPIHVLFISLHPPLF FHHVTFRLIIHALLSCGRGIITPFLYFTHINTRICVRVRKSI XP_827255.1 MHLFIFMRMYIYLCARTKWKGGRKVNKGNWRVFVCLFVFVCMCE DREGKEKEKEKEKERKGG XP_827256.1 MRLSYLPSPMGSSLFLFFPFILLFFFRLLAYCMSAGGSFSCLSS FLFLFSFNFFFAIIIIVVIVIVIAIIIIICCCC XP_827257.1 MDAICVCLFVCFSFRWLRGKCSVCVCVCVSLI XP_827258.1 MTQVITESLRTGVTPAAPKSQRVCRHFARGRCTWGTSCRFSHEV ERPSVDEASTNPSYIGFHQQRVQKERCAILKEALEGNFEIKQYNFAEGCTRCAVELPP PLAPIPIPRLLSANEVKAQLQELEGNEQLRAGGLLYFVGEPAVFWSMMHYYLKSHTTT SSKWDKLLSNAKRGRVECMFFRSSVGCMSNECTFEHGTTSAVTIPSPLQNMATNNALL APVLGFGAATATSTVTSANGSTNTPITASNNTGGVGGAVAKSLLVGSTRVPVDLTRRT SVTHLTEKADETVMFSDPVWGLHSLLEPKATTLPTQQQQSQSQLQLQQQPDGLSLLWD DSLRTRSVPLW XP_827259.1 MLIIPESGVEMEVFTAFHERFCGIVTGVPNLLSWYYPAAIHMVN VPSFVLALSLSLSFTVQHLFHSSQKKKALLVSHL XP_827260.1 MKQSKLVSRPSKTKKPKERKDRREDERYQREMDALIAREVAREN VSMRSDTHKPQPKLLEEVQKDTSRRPKTPTSLSTAAVEKQAKIDKESGFQPVVNQRKE RQQQQHQQQQTSAPKPMLVNEALERKLNHFFSNLNRKEKLTRLSQPEENPTASKGATI IVRKDIANARSWQQQQQ XP_827261.1 MHKTLTIIIIISMRGVQREREIYSLNKQRNTKVFFKKKEKKEGL NKKAETERQKHVGSHNCYWCRSLCPRAGLFCIPQHCQN XP_827262.1 MAEPVLPPPRNVATFYPQALTSVQRGGAEDTDILTPETTYSSSE SQRIVVGKFVPDPNDDDPSNPKYVPQTALSRVRARLPMISRNQGSISRSTYTLEEEVA PREEGRRSSAPVSNSGANSGGKIYYTLVKPIYSFSAGSLFFPIQRYGGARARSANPGQ INLTYHGNDRPSLRFDAVSVQKNNLNRMCLYKLGPGVVAFKVVIDAFEASGMKYTASN ELFNVIWAKRATTYILSHLGPYQKVNHFPGTWGIGRKDSLATNIQKMQRYFGLDNFNV IPMTFLLPKQRSQLEDYVNENPDSADDPLIFIVKPSASSCGRGIRLYRGMPPMPTGSK NAVCQRYVGNPMMIFGRKFDLRLYCVVTSFDPLRIYIFDEGLVRFAAQKYPGMDKDLD NVQKHLTNYSVNKTAELNRASRGKTYDSDDPLDIKWCLSDLREFLDKNVENGRRVWEK VLSSCDDVVIKAFLSIEHEVVERLRKECRNKTGRGCFELYGLDLMADDQYNVRLIEVN IMPSLATGTPLDKAVKSRMLAHLLTLIRVVPHQRDAPATNFQQEYGGASPPNYNKRYR FGRHPHKAGEMIRKSLLTRFNDPKEPESILSPAEHMMLLEAEEELACAGGFRRIFPRA DNVLSYLPFFSHGVLRNNYLLASSVMMQEKKTA XP_827263.1 MLSVSTEKGRDDMILPLTGFAYTIGAICSYFSSYLLSLPVCVRG RVTPQLLLVLPCVVVFFVFVFALVLRASFSHNHLVFTFSCVANPFWWSAYV XP_827264.1 MQLRSFFVECCEPPQCMTELLSGEALPTRNLWTLDVDCMKLLAA CPSVADLLFYHTTTLMDALRKICAEVCAKAGRHLNPSDLSPRLSHLPTVGTPPPSLPP QRGILVSVCGSIVRMNTKKVVPLVRKLKCFKCLETVELTSSPFDRSTKLKPHCANKEC KGEELQQIGQVWMDYAECRLQQRHSESGRLPRTLLITLEDDLSKKCTVGQLVEVIGIL FPKWRNTYPNALPIIEPTIWALNVNVMDSYRDGGSFNASAAAKRRHNGQVEESAFTPE SFYSSFGKDKFGRGSALVNSVCPHLAGLFAPRMAVILATLGGTSTVGKTRMHVRSTIH CLFVGDSSTGKSQLLRCAALLAPRSTSTTGMGSTSAGLTVAASKEQGEWVLEPGALVL SDGGVCVIDELRTVSVGDRASLHEAMEQQTISVAKAGMVTKLRTCCSVISACNPPTRQ NGTEIGVGGPLLSRFDFVFLLWDTPSPETDDRIATHILNYSQAGRLPDSVLSLDDVGR YLRWVHAHYSQNGGPLLTDGASRLIKAYYEMQQRRGAVPNLADCVPITIRLLESLVRV TQAYAKLHLERVCTEMDAAFTVFLFEQSAYSLKCPLEVLGPDVYTSSKCLEEYFLDLS PKGVEKQRTILQAITDTFSSCSSTLDSETTLENVPQDLAAGEWLSKLRAPHEKTPSPD RLVGKRSNSDSGTLEAALRSVKRLVAEVRTPREEPQTVICYPADSLSQLSAPPVSLPP ARTPNRLRDAKEIMRSLSFNA XP_827265.1 MQKVGVKALYSRRQEIKERMMHYNAACRRDMRLSDLRLRREESD KPAQPTANEYAFIGSEFECDLTDPSVVDLLVELENEIRNEQMIELYEEAQNQDWEAYY FHLCSP XP_827266.1 MQHVGTRSGKSEAKDAAVSTDNSTHEDAAHTIMEIDRLTEQGVA AADVAKLRQAGIFTVTGIHMQCRKDLVLIKGLSDAKVDKIIEAARKLSDCGFSVGTAY LQQRGRVTRVTTGSTALDQLLGGGIESMSITEAFGEFRTGKTQIAHTLCVTCQLPISM GGGNGKAIYVDTEATFRPERIKPIAERFGLDVEAVLGNILVARAYTHEHQMHLLSMVA AKMVEDQFSLLVVDSVTALFRVDFSGRGELAERQQKLAKMLSNMIKLAEEYNVAVYIT NQVVADPGGASMFVADPKKPIGGHILAHASTTRLSLRKGRGDQRVCKIYDSPSLPEVE CVFSISEQGIVDARE XP_827267.1 MTTATELKQKGNEAYAAKRFEEALKYYEEGLALDGGNIDLLNNA AAASFSLGRCEAAISFARRSLGVRDNFKAHKRVGEAFWKMGNLREAAAEYEKALALEP TDSSSRENLQKLRQEMNRGQAQFGGSPVVMPQITPHGTVGLLVDTLVLVLSFAALASS LIGVNGSSAWFLLLIAAAARHAVIAHSRHMLVPDLSILKSWFDVRCTLDLILCLVALI SGVRAQLPLVVVQGLYSALSLATNFSRVQQVAPAAYQAGLRYAQSITSNAQRLIMHAV SLEAIMLLTVIFSGGAIFTLFYIQYAKNLYRIDGNVRLAFYGIRENLTQLARKGFMPA FVDSTLQRVCDTLYQFSQQPF XP_827268.1 MSNKQVDGDLHYKLCKKIAQLTKVIYHLNLQNEDNDTRFSDIRE RHDNEIRQLHDATNKRVEEVKESFRAAEQDRIGIVENAQRQYKESLEAAKAEYLRKIS AMTEDLKASKARFETAVKDVVASCKADAEKAIAEKCQSKDAETANLVREYNDRYKAML AEQMNARDELEKTINDLRSKLEGAERAHAQELKQLEAKQKDSDAKAAAELARWKEECE KARACVQKLQAECDSLSNCLNEKASSLGSYRETEAKLREIVKALENRLSDSQKQCETL NDTLAEKLQELAKKDSIVINLENQRSLLETRNSELTTKNLELQNQISVLEKRLETLST QLHDIEKLNQDEANQHSQFEAQLRGKCADLQRCIEELEKRHLEDLDQLRKSHAKSMAD YQSSATRAAEEASDEFRCAKEEMARLHEAEKDKMKKEHEKQVAELKRDHDRQINSIRE SLSTASRAEAEMQELEKKLRDTIASLEAELKVAWERLEETDKCLKEVTQNLEEETLRY AQRLQELETDAEQRVRAVCADRDGKISALEAQLAEIRQEAESRLKEVAREWEQKLEEG RNANNRGLVAELEACHNAYAQQLKLLSTLHEGQLHHFSQKEVMCLEQVTSHCNSVIKN IADRAKNDTDEKEAVHRALKESGDRLRQREEDLRRLKEQLDGIRGDANKQRSVMLEEI QALKGLIAKLIAEKEGLEASDRNMSNELQELRRNFATSQRDALDAQRQVQDLEATIAT LREDAKRAIQDAETRLQESLKAVMDSCEQKAKAELDNLRASAAMERDNLQRRADESLS ALRLKYNNTQEELRDAMLRIDGLTNELGRCSEELKMERQRLAAAKSEFDEILRRKQHD HDEKMQHLEQQAENILNELGMKHKMFVDDLIQQQQEERTGHNARLRELQRAFDDLRHR YEYRESRQEDVEMINRLMKEAKKKEQDLKKALEDMKMYKLELVNREDNYNKVFGRRPV VAPREAASKFQSR XP_827269.1 MLKEWLRGKQSEEPVAQAEPVKRGALGVDVESSLEPSQEEIIAQ REDAFRRKLAASAKEGPPSPGKVVAYDTLLRPLQRLLLDGNAAETQEGLTISVARNAQ NVMMSTKTMLVSPQMSNWELSLQMNGFSDIVVATYNTLSRWSLMYQRVSSTGALLFAQ CMAQRQQGMTQGTVVGMIQYPWVQGGCTQVQYVKDQSFSVSHAQRLIRGFYLGSNLSW DALTKGTSLSYAGCITNPSKTGSLAAEWSPSKGEWKVGLTRSDWASDVEFAMQLEYTK KGQSGLMGLLSFGTKKQFVGGGSVSTAMLGFSQLKAVVEVPFGGDRSGFNQFMCMYNA LYDIHSGGLKHGVVFTA XP_827270.1 MSRAGFDKYITVFSPEGSLYQVEYAFKAVTYAGLLTVAIRCKDA VLVFTQHSVPDKLMRPETITSLYNVNDNTGVCITGRAPDGKALVQKARNEASEYKYRY GMPMPVSVLAKRVADMAQVRTQQAGMRLMGTIMTFVGMEQNDEDGAWIPQIYCVDPAG WCGSYHACAVGKKQIEACAFLEKKQKNAPFHTLSQKEAAMIALAALQSALGESLRASG VEVGRCTADDHHFSRVPDREVEEWLTLLAEAD XP_827271.1 MRVAERAGVGDANSVVQVFLHVRRRCESSSLVESLVGRFFVYPG KLPTYAWRVFVLFFGCGLLFLLVSKLWAAFVFFALFFVMCEVCRVVHYLNGRSSTFHS RPLPLFFC XP_827272.1 MIKLLILILAATPLSLYEKTHVTTYPVMGCQISVGTTASRRSKG LNATRSGVDGALTSGTVDGRDPKSTGADNSVSLAYAVPAVKPVTYNDYRGFQVTMVNG TLAKINNICRWLDTALDKREKNGGWCMDVLAESVSSGKMETSFYCESIVQASHHVTHY CGQERANGVEYFAEVHSQQVFEKSAVSRTFDCPSAKFVYNSRFEEIPRQSDITTTQLN KETSDSGIPNGREAMIRYSPTSSEDGGRLNEESERGDEGEGNE XP_827273.1 MRLRRIALGAFTPTVFTSVRSVSTRETLSVEEQRYLDQELPPRE LLTSLRSRDRSPTDDNETDVTVPGDSFGIMRNRVAFYKPVPRDVYSGELGECFPEGCH PLRCVNPATLHHLSERFGVSIREAVKAMQNAQMDINIAIDELCRRKCIDPPAGSFGLV GLESYASETFCLVNFTLPSLEATRDDDVLDALHELTLSAAEIPLDTPTEDLVDKFVNN WTVEDGRSCKDVLDMYDLGVNKIVLLPHGDYSVEGFYVLHPVKEDTPNIGTGAAACCL DLRTGIHNRFRFHVERIADSVSEHVIRELVHYGQDVHVLRQAYWFKPEYSVEEYIRFK ESLLQPSASVFEMRYAVLAVGSYALPGYRNLVEMEKLKVAQHKYEKHYEDFMSPGKWL TSDNSQLQTVAAGGGNAPGAALHMHTNGPDVALNAMETRAGPLRRTLETSIQAHGDRV FSRFYRNNHH XP_827274.1 MFYLMVVALLVAVAIAVAPAAQALRMKLVTYFEGFFFMATANDL PAAFRGSNCLQVQVNSKSGSKRVIRLVFIRHGQSVWNSLFNSFDATWPVRAVKAMVNE AVYFFTNPFDSVIVDSPLSEKGRSEAQELARFVRSAKGQVCFDASCSLIVCSNLRRAM ETALVGMQPRIVSTGERIVVDSSLQEGSRNIDAQSLSTEPGKIVPCKMGELATPQLLG TAFDPHLNGGNRTAKRNVYDRMDEFVQHLFGGVKSNPYVPASGAESGNSALKEVIVVG HSGYFRCFFKRFLPSSSRHVAKTKKLKNCAVVSFDLVRNESTGEVYIEESTVSVLYKG F XP_827275.1 MAEPVLAGRAALTLASLYLLLKIVSAKECEWAQRLREYEVEVFS RTNPSGSESEVQSGCISWKTGYWTYEVCPGRWIRQFHKDGNVIVDENFLGVQHRWHLA DEIGSKRLRYRDGIHTIPERLNASGAPINNTEATYTCSKDVSVSNVQVDVLYSHGTMC GRGYRRSSQLHLVCNEDVKKADVKLKELELCKYDITVVASTVCDAIYGRSRAYRELSD ADMIFAL XP_827276.1 MPVTKPREMKTSAARRVIDPIDSTVATSGWTCTNCSVEVPKEEA FCKICSFARPMDRHGVPQIFTDLTITFNGIIPRSVKHQSHSVEWRMAERHGATCMVEL DFGRVNVLVYRPGYERSEKVRKCVEAGRGVFVVPITWMLDCLLQSRQIHPSLFHLAAI PLVPQPTVKGMDLPHHHHPYYVMNVKEYTLAAAGRAVADGAIAAREVVTSPENMKLPP TFQIPELRYTNIDIYEAVCSAAGEGRLVEKEDEHSDDVREGRNRATDIEVFKGDQRNN RVNNALFSGIKFLLTPVLESNPLVVKALVACGGRVIISSHGKLGELLRNSTTHVLYDH SEKKCPILIEAAHVKKTVPGLILVQSNWAEDCMIFKELIPPYGPYAPSAKLMETLEKK YKKRAAA XP_827277.1 MSVSLAPGRSSFFLRPWQSVNQFGQSPQPHPTATTEGRNDYVKC QLQESNMFKVVIDGDDGGVDLRKVYKRLDLAIDMRTQYKKSNEVSHLSANLKHVSISQ EDGVYRASCRDVSIVCSQPWKKFVKDVQQLKLTVGNTTCIKACSHRLNIIQERSRMFF LLNADIEERHSFSKAGGVFSNVTKVDNCVLLSRFPDGQELLDFIQDWYRHTPDAPIRL RDGSNSTLRELFQANGVEDPTRLTVEGLGWQLYHGNYDKDDRKRDNKPLSAELRYSFL HLRGSCTARFLQRRMQREEQRTYNVQATEYSIPVYATYPGELFDIAEALHGQNIGPYK NNMWILELRLKHEVPSGVNYAVECKTIQDQLDNLFLPLFKATLQPSTQKYSSLVWLLK QLGGFQVEAVSTGVEADLDVESPVPSDINYEDKPNGLYYVYYIYANMKVLNDLRKMRG LNTFQLRISGTHQNCVNALLAGYLLGDVITRATRIMDYPVLQYLCGLHGVGLTVSPLH DHVRGVTPYQKHPLPNFLHRCLKVAISTHAPLYFHHSMTPLIEEYGTAMNLFRLSFLD VTELARNSVIMSSFPSERKKEWLGQRYHRGVDGNEFEKSQVTNVRLAFREESWELEHS LLRDLRLNQLSPQEVAGPSRWYYLSRVKEVEYDTVLDMRIRFPRTVLSGPLKEVKSAV AAAPHVARALDLRFKYIWEPPNPWETTSERTVEADFRRKTDSFNEDDWTYAASDAVFI SFPKNVVHAWPRHVPTLEAFNSDLAELHGICSRPEVKDFAHQRLENLEHKFRLHLALN HANEAGTTEERTSSNRDIYQAVKVDTHIHMAAGMTGRQLLNFVVKKLVYSGDDIAFKK GDTILTLAQFFKNNGITPNLTVDQLNVQADHTLFERFDNFNNKYNPLESPDMRSLLLK TDNFMKGRYFAELIHDVFAQYSRDRHTYAENRVSIYGTNVKEWDSLALWFSTHGMSSQ HNKWIIQVPRVYKVFRAQNIIGSFGQYLQNIFQPLWEASLHPSQHPLVHNFLNHVSGF DSVDNEATIDTPFTVVSPWAWTSVENPSYDYYLYYLYANIRTLNEFRASRGFSTFALR PHCGESGSVGHLYGAFLCANSICHGINLRKDPPMQYLYYLSRIGLHVSPLSNNALFLR FLNNPFPEFFRRGLNVSLSTDDPMMFHQTQEPLIEEYSVAARVWGLSPNDLCEIARNS VLQCGFDYTFKREAIGDCWYMSSSLGNDPLRTHLSDIRVAFRFETYHTEMQMLELCSG RPIKRCMLTTHQEEEINEMNVGIDADEVILSTHDQAMEMLLRDIESTRESLRVKRLDA DALRRQQRHLVENLVEVGARRQSAMEAVERENRAKSLRYPRGVPPVPDTEFMTAERET VKRLLRWRPMPPGVLRHVRVEDVPKKGGRELPSLPPLHR XP_827278.1 MVEEKEAELAKRRQEIRRMQGNVASPLGPEPNFPPQFLCIKPLV YHNIKEQVPVPSQRFMYTLAFMYFALIAIIIYNISIALLSFVFGGSGMHFGLSFVYLV GIPGAFVVWYYNVYSSVVNEANSRRWLGYVGLALGVIFDIWMAVGVSGLGGCGWIMAL GEKNFLVFILAIISASLWTAHCLMLLLLGIKYFRMASSAKPEAGAPADTAP XP_827279.1 MIMLVVSNLLRFSLVILGCFVGKYCGDCDFEDAAVVSDLIEAHV RCNIKFTCFSLPFLTRVSLLVASSSCPRRNSPPFPIAEGKCPWQKNTALPNS XP_827280.1 MGKFCWLQVTIGGKPLREKIVLELFDDVTPLTCANFRALCTGNE GKVVEGTDTPMTYKGSTFHRIIGGFMIQGGDFTKHNGTGGVSIYGERFDDENFDMPCD KAGLLAMANAGPNTNGSQFFITVNPAHHLTGRHVVFGKVVRGMNTVRALEYVETGAND TPLQPCVIADCGVMESLPDPVEQVGGDKYPDYPEDCSPALSDAELVRAGEEIRQIGNN LFKGGDYENAMEKYAKVTRYLKAVNKTSANEGTINEMLIACHNNAAASAVKLSRWSDA RNAATRVLDIDGSNVKALFRRGTACLGSGDPESAIADLSKAKALDPQNTEVAAKLQQA KEAEKARTAKLASGLKKMFS XP_827281.1 MSLQTTLIQSIKEVNPCIVLFASVVQVAFAALWYKLIVKTIVDY HLAADKGVRRVEHILQRYPPYVHWIVTLLSACFRIVFIATIVGICKGNSLHDYQNAAL LVAGISCVSQHLSIQHQRPLPLLAADVGYEVIAALLASLTYYAIMTVNVF XP_827282.1 MEAETSKISEVPLPIPIGINGFGAVGRAVLFASMTEPQVTVVAV NDFSVSINYVLYVLQNESPLSAEDKASLTVVGEYIFYRGTERIRVTQKHDLVDIAWRD AGVSYVVECTGFTSTRDRCWGHLTSGARGVLVAGQSGDAPAIVAGVNDSDLSKIQPII CSGAPLAVALAPFIRILHESFGVEDCSYTAIHAIQPVEPNAARSANSQDWRQTRVTLD SITPYAHTGMTTFCKLMPTLSSRITGSAFQVPVTKGCAIDMLLRFKQPVAKESVDEAL IEASKDRLKDVLFVSKRDFISRDLLPDGKLCYDPSASQCVREGELYKFTLWFDLERSF AKRLISLIPVMNDADAKKNNV XP_827283.1 MRRALFCPAATVAATIRFYTTRFFTDSHEWVEHGDGIATIGITA HAQENLGDVVYVALPNVGDKITERDMLGEVESVKATSNVYCPVTGVVHAVNEKVKDEP SLINRSAEADGWLVKVKCDEIPKGLMTADEYNKFIE XP_827284.1 MRVLANEIRRMLVAFLLTAAFGYDVTSANVIGVDFGSDYIEVAG PINGVNVDIILNEQSHRKTDNYIGFRNGERSIGAQAKSLAARFPTNMIAMINHLVGIT YNSSDFANFKKLQCEFDPHPEERGTVGFRFEDNNDTYTAEEIYAMMLNYCRSISEKAG VPNPQNVVITLPFHSSLGRRQTILEAARLVHINTLGLLHSTTATALYYGVRRRGFGNR TVHLLVYDIGSTHTEVGVYKFSPPVQEQGKRTKNVESFGTLTTMGIVSDATLGGRALD SCIAKGIEAEAISKMKISPVLGGSTVSQRKAQFSLFRAAKRAREVLSVNSKTPVTVEG IAPDRDFSTEVSRKEFETNCSELLKRFPRVAQEAVTKSSLTLKDIDAFEMMGGASRTP KIISDLSTFWGKEVNRTLNSDEAAAMGAAYYALRLSPHYRPHSFRIIERVPYTFLFAV SPEVKNSSNSKRMLANNPIIGSRWSITVNRTDDFSIQLFDSDARIGNVNITGVKGALE RLGFFQPKLNHTNNSHIIRIQVRFNESGLLEVEEAGVFYRYAVNVSSSTKTTGVQADS NSSNESGYVVEMKRKSARLSARVTFTNPEPLSYELLNNSRVKIFQLLEKERKKHEAAT AKNNLETYLFWAKTEGILENTTALEPYTPEEVNSLKNALAEAQEWLEDGDGSNESCSK EEYENKLATLKELVKNSGKNKNTTNTTSGSDDAVKGDL XP_827285.1 MPNIPLVAELGTKCAEFQRLLNTSEVPEVVKKKLRPSMDPKELF TSQDSRIFVRCRPIIPFDQEDMNSKSIIRKVDGYRDPVVMSPKISLAGQCTIEPHSVT LDGVFCGGDDTERVYMESCAPLVHLSVEGASTCVLCYGQTGSGKTHTTLGIVGLMSRD LAPYFASHNIFITVIEIQANKNIDLLTGSEVQVVEDISGELKIRGTDGFECSNDEMLL AVFQEAASQRMTKATGRNEVSSRSHMVTCIQIICKNSTWVKPGELFIVDLAGSENTAD SATHDKERQMEAKFINTSLMTLKECIRARAMAATSTSHLHIPFRRSPLTLLLRDCFEI AVKRPTKTVVIACVSPLLRDSRHTINTLRYASLLAVTVPARIVADDPDDPNGFDREQA LAFICRCSRGRITNPEYILPEGDGRTLVHIPEAEFIRRIMESHAKISEKGAKQIYTSV WQKIVDARTKGRKVMTSSKKAPIAPRCATQRR XP_827286.1 MRRPAARMHPGPRASEQSDGRPASKRRPVATPPTVPGDGGRHFR ILSPPARPLGYRRHPLLRYGDRGGTMNEIPIQHFPQRVENGDGTKCKKKKRRAHTNHM MRAHGVGGTACTGWGHSGREWRRRRERSKGNKMC XP_827287.1 MGPSRPPLTFALCVLPVNPLLLPFFRLHHSPSYFIQCLSNAREE LHSGDLLFPSRAPSNRAFDCFSRSGGSVPRSAAGTHVHTTMSGPPSNSTSSAVIG XP_827288.1 MCIPLQPKFPRKVFSTVHWHTTEAPSVAFAQNFFRPLPARMTIG PFARKVCLIPVLRSVPLIMLPLSQRPEVVRGQIPATPLRSRKSPGYALLIFILDFRLC GNCPIRLAKRRCASQRFKTTVSDPPTIH XP_827289.1 MLTATFPKAYRGLHCFRVFSIFKGVHFQSLVRHATEEGPLHQHE TLPLKQFLPSTEHTTTLLCPPPTHLNMLQQAPLSRVSPLLGSTQKVFPFIWSGIMEPS ALSLVFSAHYWHETGLFHYVFPIC XP_827290.1 MVWVWKLSLVLGLTVMRLYIYIYIFTRIKHSSTPLPQRFHVPFR LGLPPPKKAFPKTAFHLYLFDTCTTTIFLFSPHLRRASISLSITLQISSQQLFGDSH XP_827291.1 MGFTTCAIIPIASSILSVAYFSFPNPLASAQLLIVLFESCSRNR SVVTLFGALVHAGSDANAKKCADASRRVDNCNLFPFGFAAASWGAAALPNEFCGSLFG SHLNSGFKRQTSHYIACAVKPPISRKNCGDN XP_827292.1 MAFSRKERKQLLRDRDLVPQLAESPFGPFPPGYGIKNDITVTKK GCVVRGDPATKPASADVQKRGKQDTESDQRPKQILVGHTGTGGMTFSTFKNNGFRQSL KIGCFGDPKGPEKAGAYRKRVIPPTEFRNYYVRGDLPLTIQQGASRTLSWRLNVSSLD YHHFLPIFFDGLRELEEPFIFVALQGCYDLLERGGDKILPTIPQLIVPIKTALNTRHP TVIINVLKVLQKLVESADFVGQALVPYYRQILPVFNLYKNRNKNIGDRIDYSQTKRQN ISDLVNETLHLLEQYGGEDAYINIKYMVPTYESCLM XP_827293.1 MEEVSGSTQLDNVWDLVNLDMYKELRSLRESLDEVRIEARQSSS FCERIQKDVAEFVRSEELTRQGDSLTTDAAMDVTSLQNHLMEFERYIIGKVREEIRNA GAGLPSQPVLDSDSSYIGLLWRRQEEQAARLEALLAESVLRESTSRREVKRYARQLQD RILNVEDTLETLTGGCRGRSAGGEGATNLAREVLKALTQLSEGLSLERETHEMERGQW FALMECAVEKIRYLSERESVLSEELQNLKATVLHNGRNLREMASVGDTPTERYAADAV TLSQHREGTQCKWDDPAAAQTSGEEELGIIRREIAVIEETINVLRTDWHRHDAELDCH ASKLAELDGEQRVLTNTVNKVGDQVKQMSQDIRSYSNVLRQSIKDEDRRSVPQFSSAS AVLPERTGSRSVWKALAQLLQQDTRKNMRSNIFHLWLSWVRGSTQLRRDEKILRLFTK QHKQLGEMSQFIQNQQETTEHQTLRSGKRGKNKSDFGCV XP_827294.1 MPVIDSMTLQLPCQVYHSRFSPDSANRLVGLGCRDGGVHVYPFE DYSRTVLLSAGCSPSTSIAFDPQQRRLVGGTDEGSLHLWDMTTEGVVRTFGDGHKSTV TGVDFHPHTDVIATCSRDSVLRVWDTRKKSCVRSHMEAKAPLCATEFSPSGRWCVSGC ADGVVRLYDLQSGKEMHEFRAHSGPVTSICFHPKRYYLAVGSSDGSVSFWELEGFTKI FQSKCLDTPVDAVYLSGKRMLVAASHILRVYDFDMMSDSFAPIIESQWNIIGDLNYSS NTDEALFVEFSGQTAVMGRVPLADGGLTIPPIEKTVKSQQRDPPSFNRGEENAKMSVP VLRAAHQRLHRSPSQVAAASHTGESGELQMDDLLTSSVTTVSVLRQRLTHLRVLRALW VQDNQQALVYLKELYTTNSDYGVTADFLGAMQHLRMKERITLSNLSDLLDVVMYALAG ERENQLLAALKVLKSMSSKFRPKLDEARRQAPSFRNGEKNSTSLTMEQYYELSAKFDA VASVVQKLGKRKGPVGEEVREVLNEIPLSS XP_827295.1 MPPKQLVDPFSLQVGNKKETTLANVAAHTAVTLTDIRRRNPQLD VYKISDKLPAGTIVHLPQTLSERGNPYGCFFTIGEAEDAMRKLEARLDRLLEEEGPNL PELPTRRVLSKMERIVTQVKEEGERQLARVREEYSECERRPALLQFLVDLEPVKRLRE QLDEEYDGRKLRLKVMDEGNSELFRQAEPRYPNKGIAASLRHNVIDTSEDFCLTEHSH RWEFTFFTPGSTTEPQETWAVLSCQSLGTLLDTFVCRNCIPVNISKNAFFFIGGTFYV DNRHAGEGGEDYEDLTAPIRHFDPCGEGASTEGETRQKNIAFGNCPVKYVSQTTFGDL NLRLGEYGVMRHLGWCNHYFYLSSVTSLRGFDRDDHTRAAYPQRVMKTPTRVVRCRLC RSHPATVVCYNDEISPESPCPYCVPCFELLHATDEGEVEEGKFFAIRLPQGKYYTA XP_827296.1 MWITVFIPHGHRLCSPPSPPPPPPQLSGHFFFFRRTCATRDKCS RLSVLTVLTNTPKTNKQTSERQRDIWLTLIYLFPQVALVLPSRFSLFPNVPLPLLPNS HFISSSLLNAIRHLPQVLLHFQCDSESSNRSLLKEAFPSRIPPHTLTEG XP_827297.1 MATPSASPSRDSTSPGGTPFICYHRLFDYPTEVSCSPPTPRPSC HGHTPLDCWVQPEWNAMAAEARPTQLLTPKASRVGSTQLQAALSTPQVMKEELQLRAA LDTELSIMKMDPFRHITPDVTPLMDGLYVGGFPDEEILEILKREGIDVIINCCARELD TRVVLPTGFVVHDFYAEDCSDYLIIFHCYDRFAEIVTDALRNGHRVYVHCVAGVNRSV TLCIAYLMQYYHMGPISCVQLFRSRGRVNILKNVSFRHQLVDFYLNNLHA XP_827298.1 MSKLEQRKLGKTPRKPQRSRARNPKEAERAFKIQQRKKERLQRV RELSTKLRDEINNEEKRARESRKANLDRKAENEKKNMVVQKIKNDKAIRKLSPKHRKK ARIYMMHEL XP_827299.1 MVESGIGGSDESFISFCFAIVSVIVTIVYIPWVIRHVRSIIEYY TTPSKRQRMMNNPLEDMWKSIIYVPLVAAKILTEPSMLPVGARICIKEKHFFFTSLLP RFLRFIIRPKIFILWLWVVLFSSAMYVTLTFDAHAILGVSTTASTGEIKKAYRMLSRR YHPDHNKTEEARLIYVQVRRAYKALVDREAFEEEEAKNTHEFTVGVALPRFLTSREHD GLVLFGLLGILVAVPVAIWYNFRDQGGISQQLRHIQRGRERLESFLKHLGIPEDQKYV ERRDSRRYLVRLLVSLGMLPPNTDENASLNLPSYPDFITRCVEIDKNITFLRNFFDDS DIEVLHEYLVKNGVRLLDEYDAAHLGHHQGEGTLQLASPTEYKVISYFLFLHIEEIDK ALEELLEKVGSGVPSARKLMNLHEEVRDLLHLVFEGDNKQVKNHIQKLTTVPQRANDI VDAMGPEIEAVYKKMYKNYLQMQVGSKNEKRLLKASLRRL XP_827300.1 MGAEMHSCSVKRFSVARLRTSAPAHTHTHTHTHRTELMTRLITQ KALTQHGRRQKVYWARFGAANPSILIQSFQTMSLANAGMSWGRMNGIRKPHEVCGAFS RLPRQRYRLLWWLR XP_827301.1 MLDIKIEKYDIFVEKVKVVQRRIEQLNQWPSVDSMVRYKEARLS PRYLLVTAEEPTDGILEPLELPMGEEDACMVIMGLMRALHALHMRKLVHGHLRPEVLR RHSASGRIVLLQQVLTIDLFDPSSDVGQEVWRCCAPEIMRASPFDYSADIWGLGAVLL QLVAPAGKVYETEDLVELDIISPEVSSLSSSVVSFVMQCLQEDAHARPTIAELIMHPL LTNRDGLDKSCTEEEEDEEESEPEEEKKENDTAEEEEETEESEEADEEP XP_827302.1 MRKLVRSSTVQRAMPVFCTPILTSRCTVSSNERVTYFDYLDRIW NCHWFGVSDVGIAPSQEPAFITNMFVSFQEGLNLGAPEAILLLGVLCRVATLGFSLYG ERASERMRKAICKLKTPHEAYQRVYHSEGATSLDIQLAATALKGERRRVFAEEKTSNA QCLSSILGSPIVLFGMFQAKSLCENPYLEFGTSPFLWCTSLTMPDPYGILPLAFCGLT LANFELSISKELKTGWMSNVVWGARLGCLCVLPVALQFRSGVCLYFLGMGLVGLLQPI LLRSNKFRSFFNFPTEGKADTNKFSYTDDGFYTRMSVQFPYVSHLFDSVEEENISRPP QPPVAPVWFGGAQSAMGYGKKRISSPASVASEKRVSFGKGLNFAAPGWKAHRAEFREE DLIPDNSCFGDKSCKSGKDGALKDTGGTRVRS XP_827303.1 MSRKAGETAMLEAAVVNECLHGAVGSGILAALLLDEEGVLLSSA SSSEEYSYSRERTMIIAAIGNVWRASAQNDLAKSKITNELEPEALEQVLIDFGSKKLC AMSVGGKAILCLVGYQVEMGLLKLKTAAVQRRLDVHLRPALVAYK XP_827304.1 MLIFLSCFTSVPIPNICRALCVRRATIMASIGPKLSRRQKLHVH LKAVLQALPISILIVAEGRDMYYRATWEVTELPPGAFRTGDVIAICNRWYTLPTWGHK LYSVLSKVLLKSSWDDVGVIWVKEGVPHVFFSDFTGAHVLSLEKFIKDRMPRGIALRR LVVADADAGRKPNAAVASVFAEEVQKLEPHPWYLFSASMRYNREHKHYECVVDMCRQR CKIYQMIKSGASNSAINGQKEKLKEMEVMKQHLATFVEPDKTFRLFNGSLVASFLATF DLLDRSMPPPSRYVPQDFAHDLPFKCVAALEEPVVFFKN XP_827305.1 MASLTRQLRFYKNDTTRPFTNPMRRWLYHYLFGGAIIGGAVYVG GRYQLAAWEATRHPEGGTRLCSVGMLEMLMLFPFNYISHICGRISENECLPSSFHRAV IAAIIWWYGMDAPRGKEREYKTLQEFFVRRWEDGERRVATSPVVMPSDGVVLSVQEDV VDDQLLQVKGVTYSVRRLFHSPLGTVAEGNRRIAVALHLRTQDYHHVVTPCLFTCKEV VYIPGALLPHTPAGYHWIPSVLPLNERVVLLGSWTDEHSASGNMGLALVGGTLTGRIV LHLDQRIKTNFLAPPEYAVHRCYSRAATSKKGDLLSTFYWGSSVVLVLDIPKTASVAV KPGDIVKAGEALVTYGLSESGK XP_827306.1 MVMKANFCFKIGEVICPIPTNYTFGNGELVLDDERRVALGEEFN ATIINNFLIATQEINKDEFVVVNPCLVIYDGARLPQGSAASTFKNAREDEQQRLFPYA DEKVRQQALADGFIATCCQKSVEIVRKPDSGFATLATCSHEAGSIVFTSTALLLPFPA QGTIELPGKKYLRPSCCVEFVRHSCQPNVQLEISGTTISAVATRAIEKEEQLTRNFLC TEWDIAHPFSCACKTTSCYGIIRGFRHLGSEQQAQLLPSVCAAIKERHSAVVPPTASL AGLQKSTVLTLTSDGKIATQQFVPPGTVLLQVDRFDIRPHRVVIDSLSIAHSCDANTV LLDGRLVSLRMLQPGDQLSLNLSTLQYELPAPFECKCGSPKCSNTVRGFRGLSDEEKK QLLPFTQQPVFLEALQNGCPWSSSNSLAVTRRHPTMGEITYAGDFIPKGTQVFDLRGV VLPFATKHTIFVGDDEHLFLTDQARCIAHSCEPNLRVVMDRSTKSGYCLSLRDIKLDE MLTYDYLTTEWELASSFRCICGTANCYGLIRGFRYLDARAQLRLWPHAARGVKSMFSR QRRGVLASLDDSLISIHETSGELRLMCDTTSGVKLFNVTDVQVIGDEVALDDIRVKHS CFANAVLLGRSVVLRRASLRGEAVTININHLCYTTTSFKCNCKGEHCVGEVSGFKGLT DEMKNAELICASPHVREAAVLDGFLVKSSSPLVEVKADVQMGQSTFAKSDIKKGTRFF RVNGLTLPFPTMHTILLSNRRHLLFGGGAQCLAHSCDPNTRVLTDNTARTIECIALRD IRKGEVISFNYLTTEWDMQYPFMCVCGSQKCYGWIGGFKHLGNDARQKLWNVTSTAIK SLVADTQSNPKGAWIQIASKRLMVCDEGTVHVATEMVAGTVVIEYSAVEVLDNFVYID GVRLKHHCSPTAALIEKRVVLLRTVSAGDELNVNLNCLSYSLPEEIECKCCRFAQPHK VRGFKWLDEQDKEALIVFAQPDVRAAAIRDGFTSRSDSQLIGLRSCTAGLEVIAKTRV AAGTRLLATKGRSLPFPTPLTLQLGERRHLLPSGGAQFVSHSCDPNTCIRVDALNEAI EFETIRDIAVGEVVTANFVTTEWELHSPFQCKCNSSSCLHNIRGFKFLSSAQRSMLQR YITPAMRRLAGLTASVRLPPVLDVNQSRMLYAVSPVARKTVLLECTNIDIQPVQVAVG ENGYIIQHKEEGNTVLVEGRFLALRSIEAGELLTVNMNYFVYDMKPLFPRAYSQHCLG FCHMEEDTKQQNLYLCEPPVRAQAMRDGWTVKSTSPLIEIRQNGDMGQTAYASTFIKK GVVLFDVSGFVVPFPTMYTICVGESRHLLFGEGAECIAHHCDPNVQVEVNEQKTRLRF VTLREISKGEMVTFNYCTTEWVMNSPFVCLCGSSYCAGTIRGFSSLCEADQQRLWPIT SYVVKRLLARDGE XP_827307.1 MFIPKDGSTHTHRRQKLWKIYLCVCVCVWSVAVAGASC XP_827308.1 MQKAKNQSYEKFSQENVSRLCMALKTTDESQAFTTNNISEILRE LAQAIIWGDKKNNASFDVFLELDMITTLESIVTNVESPSNVKAEVLRFVTLMLHNLTM KENVYYICSKNHLNKMISIDLNENDDELLSVYVSFLKSLALRCDETTVQFFFIRQLGV FPLFDYAAKLINTSDRMARGAARQVVISVARLQSTFLTSFMEKALPDILRIISKHVWL EIGILSEAVNRYHDAVGDADDATPLPTVDAIRSLTEDVLDDLLYVNDLFAVSSTVVER ELRPALVTGIIDPLLALIEAPMKSSTVTRSAFAPVMQPALALCVITRWLLLGKENRIH QVLFDGLLGPKGSDPAECLLGRILSKGCMHCLSAGVVLLGAIVNVGAFNERGNMSDER LCNEIGTADRISNRDDNEVEVSPSKKQLDGDKDPAECCMGTAVDALPSYETFLRDVKS SMGSTAHREPWCICLVGALYRFITCSLLTRLSAFELSLNLLKTFVPESRERRTVSVAL ASLCEGVLRRRLLAYYDTLIRRKDCRSDENSGNRGLLMANKDCFRSACETLFLEMERV CNGAEPRVEYSFDKLGREVALLLPLLPYGGYSSTERLCCQRQLTSHPQRLADVQGVIG DLVSYHHRAAHSPTEQKDREFMMWASVRRMLYDTMQQEDSFILRLRKYGVQHRVGASV GRLSSTTTRFRCELVKVAVPPGKASKVVPIGKPMNMLLLETEIIFVEPRDMESTSAAD IVNEPVLFPMPTVYVQAALSRTPFSVVFTYFHPDNPMRLHVAFRHSKVAQSVINTTNE RSAYCRCHGAALVCGALNAEPPH XP_827309.1 MDAPSLPLTCGEVMDIPGTTCVGCAAIVPYDTSSLSGFSCCVIA SHTEVHLLGPDIVKRDGTIISVKLWSPNVEQGHVRITSIVAFLPGAGEDGPPGTPDST QQHEHTVPDTTYVVVAWESSHEHQQQPHVTTLFFSLLDTLSAPDNPIVVANETTFFKE RNRQRLVRLFYNPLFSVSAKDGEYVVLCSCYHDEQRKEASTGPNKRRNQRVLTPGDPH NVINGCFSFVHFRPGQTEERSLEAMWLIDAPPCTAPWLVSPSCGGIVSALTVQKQQGE APCAVAAMGTTRGRVIIMYNGRSSVVRRSRGPIADVAFVESSDAQAQVVPCGSDAVEQ LQRRLKVLSDNSPVYTSRNESGKFVPMSLVVLDSLGYIMVIRHVNGDASTVQMVPDVQ QFITLSWGILNTASKPDASGNDITFGSGECSASQFSDCSKSSSDLPPGRIVFCRHRQR YALAGEDVDGTCTPPTNDRGDTGGDSTVKAMYGPGHVLSSGLLSIAVVPESPGRVEFV VSTMGQLIASVVWNEQDDTFGIGKCLRAPESMFFVGFVDFFNTGVAEVVMAGVHHVLV SRRSRREHVARALLLLRLLDGNEGRATTTDSTPSMC XP_827310.1 MLHSSRIVLVALVKKQATTPTVKSDGAHAPSTERTGERVAVYTP TASEAPELLLPYVEPKPFVSTKRLKAFVASMFVGATTIGTAYYLLSKSISTSLEDGNK NLYLQRIIESNRVALQPRPNIVPEFTAPSSFAELQKKMQQHELELDRKRARILDETVM LHAEAGFRMKLWWNTCLAHIQEAGDKLAFLLQERRERAALAHIRESLDEKGYELVKLR CGKTHIW XP_827311.1 MDIKVKSAHFVARWVWDCRDDTCGICRQQFEACCPQCRFPGEDC PIVTGKCTHTFHIHCIERWAEREQDNTECPMCRQTWEF XP_827312.1 MCVRHHHCYFFNVHSENGSWRETEVFICPVCGEGTSSPLILCGE YLSSLPLTLYTRAFARRRSVLGRFSVFSYMGERGGGRRCEKKTSKGSNLRYLRELAFL LFPAHNCVAYLTYLPTTMVALCFVSTL XP_827313.1 MLYIYIYIYISFFFICFISLLVLHLVCSIVQCYMEGDVIPSVRN HLQDFTPLIPTDAYGTKHMKGFRVGLGKLDFSSEFVFLHPISSELLDQLKRLTKEEKD GERGMSVGSGVTNPPLVEALKCSDLQRCALRSNNVIVATSFGLWGTVDHCGDIIDAVK ARFLAGADERRMSKKVKLQLKHFFKFLNEMQVDVVVLSPDRTRVAVYSAVRSRSSFTP RTELSAAEATRRPGDGGRTLLALEFDHGDGSWCPLRLAPELEKEKEEVGDNGEGNASP LPFIPEDTLLLDGCNASITHGASLTLGALGYDSPADSRANSRTVYKPQRVSDWKGYKD EVTESHEGSDTPEGKSPFEGMRYPNIRPLDIQFFDILLRGLNPRFRLNRIRLLVAFPV AILGAGFGVILTVYLRSAMGSCCRDASSNTCSGSADHNISDEYCEQTFCDDNNNTALA QVHTAFLFGNAPVPGHFVVGATCCMLLASVATLLVGYFTARSLAVKSRPLFHMVVMCV QILLAAAAAVLSAYTIFILSHSRHKIDCSFFGDGDALSCMMAQRFCPNYIIEVVRPGP WPVELVLSSVLFLLCVVELVAAFLPPMPSKEVVESCIKAIPETGTFYPSVYAPDGITS REKATMRCTMKKNLRMQLKTRASQKDILLTRNVTIGELIAANRDQSLKEKTERLSVPT HNSTTSHNNREVEQIHYMVYDAAD XP_827314.1 MQGEGNELLERLDAFFGEGANTDAIGNFFSEEYNIVQRLEAAND SSEALEFFSLFKRYGALVNTILETFGEREAASGSAVSLEQLAEAVMKEWQQPQDFCRY LCTGYIAGALDFDSFKQLVADVVALTTYPVGDEISEDEAVSVSGSIEEDDNEEEDA XP_827315.1 MHIPTKWAERNDKLYITLQVASAKDVNITFTDKTIKISGQGVTQ RSSEPHELKDEITLLKEIVPEKSSFKVLGVSIQVCAAKKDEGYWNKLVDQPTSSTKNW LSVDWNLWKDEDEADEVPAGFGDYGDLSNMMNMGGMDMGGMDMGAMDDDSDDEEEDAP ADLKDLEE XP_827316.1 MDANVPAAFLASLVGNTVHVKSKWGPVYVGTLVSCDPYMNLQLR DAVEKAKQETELGDMLLRNNNVLYIREVPKE XP_827317.1 MPLKNQQGKKINNRGTPQYFKIYSHNFPSFFPFPFLFFLNSLTL LVSVRQFFFSLFFPFNSFFFYFSSSLFSFFISNMPHLSNGYDYHCDSLLFTIPSS XP_827318.1 MIFPSAAEPQPTQPFFLAAPMMYNPLPPTPPQTSPVVPQCVYMW AAVPVPPVVRPLMMPNNNLSTTVLLLGTSGDGYTKEASVEPAAGNSKVSPSSLCRHHL RSRCNRRNCRFSHGEDPNEWVAK XP_827319.1 MSRDSHVSFIHFLYVYVSVLCSVLLYYGMSFHYLISFIFDGVEV QPQGEKKERDIAAILHLTEGYFCSTWTSHS XP_827320.1 MREPPTTMSETERYIVWCEQVRALFTREPCGAVEDAADSNNDDA YFPECFYMRMLGALKKCGGDGVAVERSRRSSISSDSCFSSVSQQRNRVDEDVLASRLA SKLEAHARVLSRQRQRQQQKRQEEAATVRRTVTRLPFRDCAVEAKVAAINEDLHDALL YVRQRNMLSNQLRRQLEETRRLLVMQVPSSS XP_827321.1 MSAKNKTWDARYANPDIPHNNSYYLKCIGGGVLSCGLTHTAVCP LDVVKCNMQVNPEKFRGIGSGFKVLAAEDGFGAKGIWKGWLPTLIGYSMQGACKFGLY EVFKDFYANLAGQKAAKEYEGLIWLAGSASAEFFADVALCPMEMVKVKVQTSPSGTFP TSLGAAVATMRADPAAGFPFKSLVPLWSRQIPYTMAKFFFFEKVVRFFYSNVFTKPKE EYSKGTQLSITFASGYIAGIVCAIVSHPADMLVSARGKASNVGKSYGQIANEIGYGNL CTKGLMARIIMIGTLTGLQWWIYDTYKSTLGLGTSGGSGKK XP_827322.1 MHMSNVTDERMMATEFRRELLPEVRFQNPNQNTESQPRAALTTL TLLGVMYTACISGGYGLEESVSAGGPLLTIIFLCLIPIFWGIPVSLCVAELSCAIPSN AGPIMWVNVTFKPWLCFSTILWTAMLNFVDNSLYPTILADYCATLLGISAFSKSLVKL GFLWFCAFINILGVHVVGKMSVLVMALTLIPFVLIFFIQIPEGFDWARITTVPQSIDW PLFIPVVAWNFSGFESAGNVIEEVTNPQKTFARALVLMIFAALATYIPPVLVGASAEG VRDIPFDQWGVGFWVRVAHAVGGYKMAVIMMVGGAASTFGLMATQLTTTSRSLAGMGT LNAFPFVSSWLSRYNRNLGTPINAIVTNTVITSILSVCLTFTVLVQIDQVLYSLRLIS ILFAFLKLRLKRPTLERPYRVPGGLWGEAICGIVPIAFSVTLIVASMCASLKIALVTV IIVWGTILVSIIWTHFFRRDGFEGSIVEILEDADMQAYESLK XP_827323.1 MSVNQLQDLHFQLKYVVKQFNKNSTRCEKEQKAELGKCKKAMAK GNMDIARIFAENSIRKRNESLSHLRLASRMDAVVSRLDTAIKMNKVTRGMSQMVHGMD KVVQSMNPEKISELMEKFEKQFETMDVASEYMETAIGQTTSTSMPEDEVSLLLLQVAE EEGLAVKEELFNKAKLPQQQPVAPEATKLAEPDLDELSARLDMLRGK XP_827324.1 MTDRLTAEDVFYELSTIRDPERPDCTLADLDVVAMNRCRVEYIE SSADFQSLRGQGCNDSGKPSVVVKVILQPTVPHCSLMEFICLCVYVRLREVFSLSNNA KFDITLVDGSHVRQRELEKQVADKERLAAAMEDKALLQEVERHINCE XP_827325.1 MQGANLKVLPSTRAAAKELVDPLDITNVGWSTLEPKFDELMQLM DAPSSGINALAARSAHREKVGAVIEQLLTRAQDESRRLLVEGNGEAAAEAGVKTLRLK ERFYGKGSVKLVPAHFHLARTNQFLKRYGNAEEILSLAHFIILQNPDEADATIKAELH QTFGLLYAADNKLDVSVKHLTCATYYLSVMNGPEHVLTTFAYFDLANVFATKACMEAA MALYDTVKNIWLKHLRRVLKDIVDETMAAKLVKRYDDDEVTHEVGHASARAFGKENLA DVSKMLFGIFSIQKERLTISHPTTARAQFLLGLYLLWVNKNDEAAEHLLSARTTSQKF YGERHPIVQDIEDWCIWFEIPFRGVAAEQ XP_827326.1 MSVLRLPKDELDAQVYRYLRESNYIEAADALKASNPSVEKAGRG LKFSALIAAPKNIRDKTDSDDDEPVRKPAKRSPKFAPKKPMPESDSDDDEPVRKPVKA SPKVAPKKPMPESDSDDDEPVRKPVKASPKVAPKKPMPESDSDDDEPVRKPAKRSPKV APKKPMPESDSDDDEPVRKPVKASPKVAPKKPMPESDSDDDEPVRKPVKASPKVAPKK PMPESDSDDDEPVRKPVKASPKVAPKKPMPESDSDDDEPVRKPAKRSPKVAPKKPMPE SDSDDDEPVRKPAKRSPKVAPKKPMPESDSDDDEPVRKPVKASPKVAPKKPMPESDSD GEEVQQNANGKRSIGDDEEGEDEPVRKFIRNENGNGRGRGRGRDFGDRGGRGFGDRGG RGFGDRGGRGFGDRGGRGFGDRGGRGFGDRGGRGFGDRGGRGFGDRGGRGFGDRGGRG FGDRGGRGFGDRGGRGFGDRGGRGFGRPSPSFDNNRNTTRFDDSD XP_827327.1 MLTITALLLLRPRHIMFTPPPLARRPGIFRCRVCSNTWTSSHVW VTRTTQRVYQGESCEECGTTTKPYYIGRPEETIFNRTRTPHPVKPGASTSRHGKKLRH IRYDKRVQRGRK XP_827328.1 MNCSVQMPPPQDEWPIMESGTRTGWLFNYHPTSVAAPESAFDRH GGSGVRAALVLLFTDHEREVFQVNLFYNPYIFVSAVEGHEHEVELGMMSLFGPQLICH IEIVEKEDLDLINHLSGRKRLYLKVSFSNVQDLTTVRGRLEKIVKRNASMGASNTLLN PLDRSIGDVMAKTFEDDPVNSTTASERWFDWVHEIREYDVKYSMRVGIDLGVFVGLWY DVKVHEGETQLIRCDLNAYAPAMPRVCAFDIETTKAPLKFPQPEVDQIYMISYVLDGR GYLIVNREIVATDIHQFEYTPKPEYEGIFDTFNEPDEKALLQRFYSEMRLYQPTVYVT YNGDYFDFPFIHARSLFHHLSMREELGFSQNSEGAFVANKLVHLDCFYWVKRDSYLPQ GSQGLKAVTKYKLGYEPIEVDPEDMLPLAQSNPQQMASYSVSDALSTWFLYQKYVHPF IYSLSTIIPMAPDDVLRKGSGGLCESLLMVQAYANNVIFPNKKEIKLERFFNGHLIDS ETYIGGRVEALRSGVYRSDIPIHFQMSADMYQKLIDDLDDALRFSLEVENSVKMNEVT NYEEIRDSIKARLEVLRDRPSQYATPIIYHLDVGAMYPNIILTNRLQPYAIPKAEVCA GCCFNSPTNEHFCKRTMAWKWKGEFLTAGRHEYQRVKAQLENESFAESVIQQANLSAV QKKTYGNRKDNVLEGTAYEKKRRPFAKRQNDVKSDYRHENNFQRQEARNALLHKEFQK DQDGSGSDEDEDAGGPKAYYKLQESTKFNMLKKRLAEYSRKAYGKIHESREIMRSDVV CQRENSFYVDTVRLFRDRRYEYKAALKTWKKRLDNAKDTEEIKLCKSRCVQMESLQLA HKCILNSFYGYVMRKGSRWYSMEMAGIVTYLGATLIQMARALVQQIGVTLELDTDGIW CCLPNTFPENFTFTTTNPSTPKVTISYPCIVLNKMVHDGYTNHQYQTCVGPGLYERRS ECSIYFEVDGPYLAMMLPASREEGKGIKKRYAVFDPDGRLADLKGFELKRRGELMLIK DFQSQVFRRFLDGTTLVESYASAAVAANVALDMLYSKGEGYDPEEILEKLSESSNMTR RLSEYPDSQKSLALTTARRIGDFLGPQMVKDKGLACQFVISRLPSGRPVTERAIPLTI FRADPAIRTHFLRKWTGDNSISANVDLQHLLDWDYYIARYNACVQKIITIPAALQQVP NPVPRVPYPDWLQKRVQQLNSRFKQTTLTNMFVKAKPGDGGVPDVEDLVTGDGVAPSS GGEKDVRRKKNGSAGVVNGDVYYLQESEGTDSDVASIVNVSDDEGYRLVREAELHEIE DVEMLKSKYFAPNSNHPMDAAFFADARVGAWLKLQKQAWTRRATLRRQMMKESELLTA DGQPTSSHFIDVKTKALSTTWHIMEIRTDKADDGTVAVIAALDKTLYTFRCIVPRRIV VDADHNAFLPSAKPVTNALVLPRSRSSTNLLHVDLPPGREGERMLNGLLTGREDVHMV YEDHITRAEVMIEKIGCCANVLVDDYLRNARQRPYARGTFSVDELTSVPTGNYLQNSS NRFVFLFHVASDTRGIIGLVNHFDHTAFVAIIQPASAPAPTINWRTLFFEAAQSLNST EVPLDVTWEVVADTENAWRLVYRSLNGVMEGGGSPLFAVLESSITTTQLIEQRCLPSS LPYLRILGAAEDERLLSDPFRWTRLLARRLLQRYCASLLWVEERISFSRLSRIPLCNL MQDTSVHAWDVLFTRALHARAHVLWNSRDVSIAFDSIEERPRQVVMPGGYLSWGVEFS LARLDVVAVLFSQLIQEGDDPNAHALCNQGVTTHFNILRDLVSDLLGQVRTNAVADVL LASFSRWIRDPVSSCYEPRLLELVSTLAHRALTTVLFSLAKLGGRTVKVDGDSIVVLT PKHTIQDTVSFARFMVDSLKDQPMLLLLSLKPIRYWCPFVILDKRDYVFLYVTSEGCK SLKEKDQVVLDEMLVEYSFTIWSKLPKKVRDILLVRIEGTLRAIALARESVLVEVQED PTVVLATKNEQILTRIVGVYKKLVEGKLHTELIEEVHDLTERKDLHEKGFPAGETTLT GAAVALEYTKVVCRLLELFPNDGVLGKVRNNCLRLCGVSPFSPLAQITADADTDCHQL MFQCSFCNADVLLDLSIREKRMRCNGCSAPIFASAVESYLVRKVNTLVMSYTKQDFVC GKCHEVTANSINQTCCGPLVGKAKPIHTQLQALKKVAVIQGFAWLEECVETALQFS XP_827329.1 MVGKRGRRQSPVVPLPVGLLSGSTVVGCFRAWSRKELLVLLLSA SFTLFFAFSAVRWLTTTVRTDLQAILVEAEPTFVEVPFDPPTGKFYKLWRYRDNKTDS FRKLQPQAFPNVPVIYIHGNAGCYQDMRFFGRVVGESVVRLRRYNAIHYGERVRNKIF QLYKNEGSELPRAGIQIPKDIQRRAENMVVADTPMLGVELFAPDFLEESNAHSAIVMA REAMYLNHSVHELFRRFLDHYHDVLKQPPGDLRHAAGGNRSEIPVAASVENEYVDSAC GTWSEYSPDPSACSTLKKEVAMFSSTERIRQEVQRVEREGIWLWTESIGGVLGVFAAL LAPELYAGLVMAGPPLRYSPLLFDLPAVYFQKTIQDAVTVPYANISNTQRNWSKILAG SSTYELLKNLNSVPHADIAGRLERVSLVSVHGGALEDIVPPRSSHIMRTVSRRSTSPE HRPLLATKPPYAGRRDVCTEELRGCGISLSHRGLVYAVQLLDSAGYYTVVASLTGEAG RLVGVESTLPVGRERMFPMVLETLSRNSDAYRAEKFRFTTALHYDSENDKYHMTDGRH FMNGLTRLCADGQSTLNLQDLPVSEDEDTESWSPLHIFVGATTYEAEEVILPELTLVA DEENEEPLSQSNLQVRLATKLHLPYRRKDSNVTEGTVLRTALSFQVLRRRREQSSLRL RPRFCFFVRNEKVNVSHFALVQHDVIDPLRELSSPEVHDATLYSQLSGDISVEKYGRF SLIRNMRVSQMETSLSLYVKNRTVYPVTICGSLRSLRVTFRGGEKVALDEPEAQNQYF FGPYVENVSSFTYSWRPFHTTPFNVTNVYVVYVLTPDIQPKMDFEDTKMHQSPEWIKP SYWLWRLNYDSLRWMAIGTTYSVAIQLISCYVLFFFVIIVVFHVPRLARGGGEPEKGV RGFFEVSPTILAIAVGLGIELASLHVVRQTLSVCLDEEPPRVSGGNITDMMSLSEALT LVFLCALPPRLDTCRHSWIRMVAAVPADVTLEHMVHLVFSYCLTVLSVSLMQVQYYLL WPLFKVIRPLLIRRPSGRLAVWPFAFLWLAPMVLHSTVYWMHVYVTTNISCIFALASL WCIPEEMFTNTGRRYQQLCLLVIFPIQLATHFEGSGLLIRNYFMLPTETLTDSERFES LPEQIIALTVAQGCLAVVYGTVYLALLHQDGITDRGSAKKMQYVGGTNCSGGEERREG KKRKQRERLESQEVLGERTIERLEYSMGDLGRRYPKLKLLTKVGSFTFMFVACWMGVV ALRRPLEGTVFLFGLSVVAARVAFALLSFW XP_827330.1 MFLVEGTLFRVSISFGGFALHFFLSPVSLFVYAAIRCFVLLQKG TVLTHLANSFAILLLFFLKEKRREGARSWWPRTLVESPTPCLFLFSFGFLLPSSALAE RVFHRGTFMVFSPALLGRFIQRARYVLPPFSRCLVDSAIRLHLF XP_827331.1 MLIIILSSERTPFSVPQFVAYYTSSASRNYPDTRGKRCKKWGRH SLRVLSATFALDRRTHHQAMLCRTFVRRVHLFTALVPTNVVQLPHVLKDAQLDAAKKA AIDASPFLGVAPLVPALDLLSDLSNFHQKRDARNLLDECITQCRAELYKPTVSDPFHR LQLHEAIMAAGFYLSTAGSTALKGEATRFVLHHYNFDVRRDTIITRTVHNTLLEVRTS TPESDRLLSDLLLLERRLFGTCRFAPTSGRRWFALGLPLEDIKTEEDLKRVLDIPAVK EKGHFELVVEDTEKMWKKLIVRPMPEETHSLIEQGEFVVSHTEKDLRFECRVQKPPEP IDFWDKLKDTLLRYWVIWFSIWVTFFMVDEEIITITALIFLKWRQTKILEEEAEKTGG KIYIAASTGRALNK XP_827332.1 MLRGTVLRYGVHCANATALVIISTVINWSHLPHSSAVASFTYSC LFFSCVCVCKFFPACDPSIPRPARSTRRICLLPTRLNSNLELEIPAFLVRNDMHYVWT MRFPSPCVWGINAVMYRTNTRDATRNIYTYKYIYINLYYLH XP_827333.1 MTDTQKAGAALLQNPQFMEIFQRAMGGEENIKNIPPEGDPQREK WLADLQKKIQEESMKSAKAKLEEIHSDENGQWMYILPEPGFCVKCKVAGGGKVFVNVC KHERIAEPIPIDDDNEAEVKFRIPLSCGQARAESDKSGKPCKVYDVIVNPSTIHRCTQ DHDFRCFVISLCIHWIQQKSEPTLNLQEYRNMSFRVKGTLEPQRIRLSTTPKVANALG DEIRLPANATAASPVTNVGGRSGTGKLVQEITDAPAPAAAPAPPPTVNSPSPPEEPKP SVMRVESEGIYDWSTHSKPTINPYFRETVPAAYLVELHIPTVTTIAEVDVRVSPKRIE LLYVDCEDGVPFLTVPLGYPIDEELQDAKFVRKTRTLKLKLRVKLPDETSDLGTKPDV DAALLEEEEQRIERERREKELLEHKQKMERLREEEEKVMQERKSYVENLAAVQQGEIP PALKEEMDKLPPGQLTVMLHRLESKTRKGDSIDAMLEKFPDSIVGSICRYIRGKLGLE QRVTGNGCGREAQVHQKTVPQPSPATCDGTTGSSAAKAATTGELTATSASRIEYNFAK KSEKLFGVAFHNRYLFALD XP_827334.1 MFSASWGGIARPTALLRRRFIPPWERDGKAADDFFAGLMQSSNP VITKKKMQSRHEEMERQALTEELSPSDMLRIEELHLRNEAVLRERRDFVPYGAKPVIY FDNNKMAAIGDYASRLKTHAAPSSGGGYSDDVLDMLKADLHQKIPYKFESSVERRGNE LRWPLHGTAGIVLDVDGVVYRMRKIIEGSDVAIRKLMELKIPLLFMTNGGGVSEEKKA EEYSRLLGCTIDASQVLLAHTPMKLLAQMYKGQKVLIVGSLESANVAKAYGFDGAISI QRFQAEHPELVPFRRWGSLEKVSDVNVPFPEIAAVFVLREPEDAFCDIQTIIDVLLSP RGKVGKYVSSTQSIPLYCASDDFLWAAEAELPRLGNGAFREMLHAVFYSLTGQNLHVT TYGKPRAIAYAFAERRMKEVTSRLGWNPEDMRAIFMVGDNVDTDIMGANARGGKWTSV HVLSGVGVTPVAYRTKSENDTEFEWMEKNGDKTPHYVAPTLDHFARELLAFPENAMLQ NKKKYYGMPNPVDLHEDYGFS XP_827335.1 MHTLLVTTNIFALCILSVFCSVVLFVLWTSATSRTVNSLPQELL LGLGNVDDILTAITLKDSLRNMNMANIICFALLILLVILLSLVEGVLIRMKSDLEECA KLPPVTLVQHMNNSYRAGLENSGLAYREDAKG XP_827336.1 MKRTAFHSITSRLTLFYTNAALHVPVVASSQRWQATCAREEGDF ETSGENKTFGGKQQQQKEEQQWNSNALGKTQEVPKRRNITVLCNTTKAVYIHPTNHIA SWYWFITDFHKWFVGFVFLFVGTQVIARYRVAKLQTVIQAQLGENLLDQRTRDLLTDI EVLRQKDPIRLEQEANIYHEQFWKRRALAVAESRNEVRRVELQRGILQGQARGTDMTE WLGAKAKDDEERKVAQRTQDYIQGFHQHLKSKRLI XP_827337.1 MSRRGGKDKESLLEVSQGLSGTATPRGSDRRAARGIVSPRVPGR HRADDKKEYTSVYVETVDEKTHIAEGSIVAFQFVSKGKWMWAIGTVVSDGATADGVGS SPAVTDPGLVSLLCWKVVWNSKDDVPEREERVVETAQERRERISRELYVHDVMRRENI VEGIRKDIRNISRESWKELMRLKEAPKAVLRAVRAVFELLCIELVASSESDEWDQMKR FMASSAFTDLLSDPNAVRMDDALADALNEKYLSDRLFDFTVVSANNRLAGLLQKWVTS EVYLYKARASLRMIDDQLSDTMGAQGSKFSASLRSGNSSTRVRPFSGRDGEDSVKMTV RSFSESGEISFVRTDEKVVVLQMSIFCKLIPLTGPLKSREMILKRDSIAQIRSAASKQ LRGTPRGSNVATRVAGDRHVTESYVSTAPTGLTHIFCNQSIERLRLVARELGSLFDLH QMFTAERWKIMHRDTTSLRDRPNNISSKSADSERKLSRAMKRINELEGHLREKEDELR DVWGKTEEETKSLKKHVRSRSDEDSERRVNRGCGLNFRILCLLQDEEVNRMRLGTIEI QERFMLQLRETRSSNDMALNELKAEYVKETIALQREVSNLEGCQQRALSILRECLSRT QEGPLKHQQYVEKEDLHSCLLDVEQAMMELGASRLPSTHLFSERSDECTEHRTSRITT RRDAHAQTHALERLKNVVDDVGDNDVILIKDRLVEAMYDRELIQELLTREQQKCRELE KKEETLLLDLEQVTNQRTELDEEVQVLQAEVEALTKALKRATDGNKDADVHGARRPNS KKSGMDFMDGDDEQQTTEVAETFNSANTVQDQWLINLLEEEGVDHSGGVFTALCTYIE QLKANRATLEADRDMHASEARMLEMCLKTAADALEDALLHDERWRPRTTSSQHTTFHS KKFPGDDWGLVAANTPEALVNAIVHDVAAACHLPEDYVLDVDYRDDGVELHVTFDLRH DPSITTEEIARRLEECNFYEMEKIYANRHERKSGIDELRRDLQAKVEEINALRGTLRR LQTGTSGYSYSSR XP_827338.1 MSTDVEKFHFMLCLLHVSPVTGAACMCVLITFTYSLYSFFIHIY TNVFAYFILYIRQDVAVGAFFCSCMSPQRRFIHRTFDRAGVGPSAASAGTSVLGVKL XP_827339.1 MSRSVDTSALRDVTSWRDPNRSLNPEFSALNEPTHTLTGCSSDK TALLEVLLPVHAKLEDREEVCRDLGVSIQTGKGGSNGLSRRVCMRLTDPGDPFFLFEL DLLEDDYGVFKQKLELLVDFSGFPKYLVTMLDNVCSGIVPYVVSFVVDNRDTTRGTLR VLERTEFRTVEHISLILLRQGDSGQKRYLAERFRHFERAYTTAIEEHRKEATEAAANI EALKREVATLEETSTALRDRLDSTTSECKRNQLTSIGQLREEHASQLSQLRATLEADL RHTAQRAEETQKRLREELQVKEMELNEARKRIASLDSEVIKMRSELRVSEDTRKVQAK DLEELRSANGELQAFRSQATSSLSENELNCVKLQERLRGLEHVLESREKEHKMLRDQY KKQDNYVRILASQNEQLTEQKAKEEASLSKAHHIISTQLKNIKSLKTRSRMMRSQIHS QDGLIQEKENTIMQLRGELSSSTEKLQALQSKVTELRDQLEKTDDARSKLANELRCAN DALISLQRGTSISGRRWNSGLIPSLSNGSNDVRTGDPMAAGFNGNYAPPSFGVYKELN KNASTTYRQFNAHASRGIVENNDGVLSMNATKGPSGYGEERKPDGRLGEVEKQFEAQQ SVKSFGNGTANGTSSNSTLLAGSSVSAVLSEQNKAEHKRSNGQLARAPLDSKVANGMS SSTMQPFATKSFFSDDTHKTGVNDAPTVRNEYNVPIDNVRSSYF XP_827340.1 MDSLAHSVSSMVHCAENFHIPLVRSRTVVTAVAVGIPIAVLLHD TAEHWMPTSFQLPIISWFRRKWRQNPEVKSSHLTLARNAIIFFYLAMVLSEGTFYETP IDYVADRVSGAPARKAFNERIQKGRHSAFSAAEEVASEEGLNEASSRQQRDTALRRRF LHESRTSN XP_827341.1 MALLEHVSVMDMAKIYSEMATDHHAEKIWGELRAALQERRERSE RRKAELLRQQREREEEEELRRQQEAEEERRQQEEEERRQREEEEERERRREERRKRRE EELAAEEAAALAEQEAAEEAAALAREEERRQKEERRRLRREARERERQLRAEEEALAA EEARRKEERKSQKAAWEEYVASHPLEFCEVKQTIEQKKVQHTAKGPSQVNKDLFNRIY APKCPECGTKFSLPPSLWECTVCFRQKQRRVKVWQPDDSTTTCMVCRGSIGRFSRHHC RNCGRLVCAKCSGALATIPSVGFTEAVKVCDDCARQGKGEGAAQK XP_827342.1 MSSAMARGVSAAEISKFSRLQKYWWCPQGPLRTLHQFNPVRVEF INKACRCAFTPSDLAATAGIRPGMSVLDVGCGGGILSESIARLGGDVLGIDMCKESIA VAEERRQRVLRDITPQASLAYRCVPLHEVVEGEGRQFELVVASEVIEHVSDAAAFLHD ICAATKPGGVLVISTMDKSLFTALSFIVVAEHITGIVEPGTHDWAKFIPPADLSRCAL NHGVSQIEMRHIVACPDVLKTFASRQLHLSFRLSSRLYTGHYIWAGVKRSTAASAASN IGSNMKSEKDAK XP_827343.1 MGRGGIKRSNSSHSNFLLLSVFIQMHGHIYIYIYATRQNKHNVS YRIPSYRLHFSFFSPASAAATVFVVCLFYLFYFASTLVAFDSTRLPLPSAKCTKRKKG KETFYNNPSHEANSHVSFPPSLTTSPFVYISIYLLPRLFPPYVLRVSLHRIHIYIYIY IFPIVSLIPHSFFLFLILFFL XP_827344.1 MYISFKTIRSGIQRLYPIIFSLSLPSCAWVYLINIIIIIIIIVC LFVLFSTLSLFFSPLSSPSCSFPFSLHFHPHFFFTSLNLLLPLYLLKRCRLLHHPEYL IFFFQTFLMACSYG XP_827345.1 MHTDHIYIYVYMYMYSFLLSVCAYAYVCLYLGVVVFRLLQ XP_827347.1 MSWRTRVVRCPLQEPHNPFDMGRVMQRFWSPFCKGVKGPDLHTY GFGETFSGMRTHDSDFTCQVNGQVGGAKLVEDFFITLLCGFDFEHVKVERHLTTSGAA DPCQFQGTIVLAHTRPFLGWCPQPVTHSLEKCPSPSECDATKVSTNNINELGNTTATA SRCLVQTGGNTEAPVPSLILNVPFTARLEGNVGRISHMILRSPAIGVIAAHQCCPAEV GSCLQNPEALQALVTLRRANVRPEMITDRTLVGITAKKAAWCSALGIL XP_827348.1 MSVGDFFGNIVIDGESASFVPNDDSYPRLIWNLERQCFVLPSNV AADDAVSHVSKDSEKAVCDVVDDGVMSDASSSGFEEFTPVRCIPCYALFGVLRIGSAS ILLYVSERERITTLTIGETHDVFAVKQLSWLRLPETASDLSVNKSGDGGDGGSGEKDE ASASQGSSQSHAREEMVLEYCRVVDSFCAQSEQHCGASYFYYSPTANLTLEPGDVVKG MKEVLTPSLNGSSVKGGGAFSLAISSEGQISQRVVFQWNSPLLGAFDEVVASLNCDYH VYVPAFIRGIVEATTSPEEGVQMLLINRLSYRWAGTRYNRRGLDNAGSGIAANFSAST LWVFPLASGNEGDKAANEKQRVAAFTILRGSVPRCWSQPANLTFIPTITISSPSSGVD ELVLHLNALLALFDGMTSIHCLDTTSLSKAELPISKAFEAAALKLREGNGALSRPVDV YYTKYNVKERRAKNAPYNLMRMEVDTLLNRKNEGGSQFVDFWKFSNEGHPSPSSGSSP PSVPSTADADGATCELVLVHQQKHYVRVNCLDCLDRTNLVQSMIAINILPQMIRYVLG HGEEMNLSDDEDEYEDEDDGSDGARGNGEFHCSVERCKHMWVELGISLSRLYAGSDPH FVDFLLTGEWGPATFDVVRIALRRWWQQNFFDGQKQDAVSLLTCQHDPALFHTQFESP FSRNFSGLNRMVLGGMAAAVLATLVSFSMLFVPRYWMRGEILFFVIFWMSYIALTISR ILKDGVSYTNYPLLK XP_827349.1 MEQQQQQPEEKKEGGEWYKYLITIAVYIGLTALWIVGCLIHKRS VARRQAAGVAQSAKGRTESNDNGNTSNEHKKEEAANNNPSNNQQTSQYPTYDFSSNQN REYGTDAYYSNSYDTNNNASNENRRDYRM XP_827350.1 MSGADDERPQPQGGADTLRTLQEEEHREREYIRKELYSSLLNGD NAESGDEPSTKETLRMSKEAREELNRAAFRPLKDKAAGFRLFAQNMRMPHNQRVASVF LLFSVLMLTVPILILLIGMHIVAPFADVDPGTCGGLMAVFSTIVIMTVYVVYSLREAP LASEAEAINPDKKQD XP_827351.1 MPFFSLDRLFIVMRREQLGRNHAEKNQQKEFWIFAFRDRLAGLQ AFSNCVEVADIYGNGDNKLIVADASKTLKIYDGTSLSEEIRLSAVPSAITVVFAEAGD DQHLPVIAVSCGPDVFMYRKMKPLYRFTVPCVELDEVDVSVWEQLRNGNISAAEACTR LKERRMAGVKLSTRSADLLALQGTEERERFVQYHKTKPLSEQDVVTCISSLSLDRVED GGRSCLVIGTETRHIYVLAEKFTGVHHRVRLPGVPTCIVSLGSFRVDYRIVVACRDGC VYTVKNGELADYSIHSDGAIVQIALCENLVAVATTQNTLSYYKLKGKCEMRVFLPSPI TGIATLLDSASGKARGVIVALKSGMVRVYVGKTLLHEAQVYGRVTAMKFGRYGREDAA LILVMQNGTLVVEMLHRNASFECKRNQDSGPPGEQDVPIPVPPLTNVFVAQAERERAY SIDMHRLFQRDLTMLRLTTAKAYLSLLSDTHKPAVSPKKTAAPAVPMLGNSVRMITSV QGLGPVFRIRAKIQNISKGVLRDLSLVVSCSCTTYKISNSILCVPFLLPSTVSTCEVL VERLDDNAIDEGICLSVTSSHSLSTLAAVDVRLPDAGFIEDNS XP_827352.1 MPPKVPNLKFKPRHIVRAKEEPDVSESPTALDNLSFVQLERLRL QQEAALQQEQKEREQQLYSAQQHVANGVSSAPSGALHNAGAATPGGSERLRGFGRVAV AAAAVRALTRDFSNLPPTSEHLPENTMSSVLEMESENPATTVYRPTPLDSNVSAEPKH EAEFSVEGDVKVPVAETGNDGIAFLKGYEKELKSSRQDNLRFDRDVLHASANALDVEA DVGRRNVGELVWFQLPRFQADPPFRLSNLPPGKVGEIKVYKSGRMIMEIGGVCYDVAV EGYSAVGTEACNVVSAVTPAQQPTDMARCYQLGLLSKKLVCTPSINVDAH XP_827353.1 MNLFGLRSNSVNGNTGADYDLMPEPIAHADYIPLRLNPKERKVQ RLMRGIVMASHYTDKVDNESTLKGNKRDSVIVKEVTSALSGLIVGLDVEKGGALYRDK DFTPFARDIQSAVETYRRYKMMNPDLIRTDYVKFLYMIQDAVQNQNVKEALGFPVAER IITVGRYCSYLGVEDMLQDKRLPLCITPVPFMKKRSKLNEALRRKDVTVSSVVKEYSR KSGRSQDEVEIAIRSLNDANQFANENVESTNELLNLLLQHFSPNNVTEATDLNIVEGE GGSRLTHNHRRQYFFVLQSLSLWKNICRRMFSLWTIAEEDMLNPNEPYEFRSTGQGYQ RVQKAPNLYNAIHEVVQETKKELGEWVGSERIHLGDDQVPNAFHFIDKYGQVSRIIIP ILRTVSHIDKLEESPEHKAYVKEIWGSPTGAKLAILRDFFRHGFDGSGGDNMDDAGSC VDGRLTSAWNWCNSIRFKPFYPLFLLAGFSSFDGDLSL XP_827354.1 MNRHRKFYNELDDYMDDDYYEEEDYYYEEGEGYYGGEEAQQPAG VSRTDNLEGQKPEESDIHRLDKQDVDYELLQTLLPGFYEQLLTTNGTCRCDTPRAVEA LRACNYDVCQAVSAVTSTVVRPAVSTPIPGKGRSLKVGSTAKRETSMTASTKEQIGAA VDFSPSSAQLQQQRQQQQQQHKGKKDMVKEIAPDPSKLDCTFIVAGHVDAGKSTTIGH LLLMLGKVTQSEVDRNEKNARQMKKESFKFAWLLDQSEEERRRGVTIDAGSYCFETEH RRIHVLDAPGHKDYVLNMMSSAAQADAALLVVTAGTSEFEVGLAHGTKEHLVILKMLG VGHIVVAVNKMDSVGYSQERYDFVVRELKYLLKQVHFKEDAVAGFCPVSGIQGTNINV VDVGLTPWYKGPSLVVLLDQCPLESRMMGTLLRLSVQDVQDSRIFCKVECGNVQKGEK LLFVPADVKVQVRSIEKPTMGGLVPAAFAGDTIVIDTASSLVGLGPGCVGCKAGSGDA TRCSTDFKARIQTYTTLQKSILPGARFTMVCHALTVQLQVLVLVSKMDRCGNWSSGMV KCIPKSTQAIIVFRAERKVALEPAEVCRSLGRFVLQQDGETVAGGLVESIML XP_827355.1 MHVHTHTNEYKEVNSPHSFFLFVSFLSSSFVPFAHFILSFPLCT TRCPRIGGATRHSFSSFSPGAPSFFFSFIHLRIHSSARGTGTQHFERKNDEGNHFMVK QKKIRGIKEKTAITPRASLHFTSLPFLLSGRTVALSLSFIVYHYHYQHHSNHYSQFPT THHQPPFAVTSNNKEKEKHEVKWKRGIKNRGEV XP_827356.1 MNVTENPVWAFLFFPPPSPNLSLINLYLSIFLSYVHEGIIAVSA YANHRATAYAFPPFLPRSNVPSIFRLSPLKINALFPPPLFFKKKENSRFFSPSFRTDA HFPACRANSEGSFSFSFCFYTFSSHLLPLPLTVTTFPN XP_827357.1 MSSLFSSFTSVIFPVLVCPSSFLLFRSRIQHIFPFSLHFLSLLT VKATGAPIALSSFFFLLFFSWSLPISHTILKRMCVCKSAVK XP_827358.1 MAAFAAASPSIWVGGLDPNLNEQKLYDHFVRVGPVASVRVCVDS VTQKSLGYGYVNFQNPADAEKALDQAGVKLGTKHIRIAKIQRDPSKRRSGVTNIIVKK LPPTVDTYALKEMFSKYGRLTAIGLATDEKGESRGYARISYEKEESAVDAVRELNGVS IDDCAITVERYQPHHREEQLKQYTNLYVKNLDPSVDDEKLKEVFSPFGEVTSAKVRDL AGRPTVGFGYVAYATHEAAAKAVEELDDKESPLAKEGMKLSVCRFRSREERKRERERL RRERQQQHSKYPNLYVKNFDDTVTSERLKALFDPFGETVSVSVMMDKATKVSRCFGFV SFKEQSSAAQAIQELHGSTALGPRPLFVSYALRKDARRQTLEDMRNKQPRMRQPPMGG LMGGMMGPQLSFMNPPAMFNGMHFMNTRMPMMPSTMGMGGPMRPMGPTPMNQVRARPG PQRPPMQSMMAPQQQSHPQIPQPPVAQGQNLSTVLASMTPDQQKNVLGERLYNYIVRN NPSFAAKVTGMLLEMDNSEILNLLDNHSLLDTKVQEALDVLNRHIGM XP_827359.1 MWEDNAQRAHIHKVICESKGIRVASRERPKSRTPQRNGSTWHNK PTRQVRAGRSISPEKVLRGTSLSLTRVPDGTPRRNTSSVMRAVSIRSQPSPTTTPTAV SSAAATGRPRVVDSTARRKRPAISAAFRAVGPAKELTDVRQSPDTVLLCNASRGSSAR APSALMNCRTDTAPYVEMSGDDMSTRLSPDGTPNPRLLGY XP_827360.1 MLQAISPLACFCVPLLSVLRPLQNRDRSALPRRNAEAGYSRRKT GVTRRSGVFCLDDASVDIGCLNNWCSNHVPFATSLLPSFGISSLQEKEVS XP_827361.1 MSDKVNVHKNVKMSLFHSGMLDVAQEAILSLAGPQEARRRGSHL RYLPAIVDKAVSSLIGLSRALSGSTEAFDGALNVCQDNWKKLGESLEAADGSFLRGED AVAAISYTNHLNEFRRLWLRLFGATYSSGGRAEFPPHVFAVHWSEVSTAANLKWMQDA KEGSQRTLAEIELGKAPSFTYFLYILQHTLAVRIELLRCVAEIVENSFRCVNVMVAVR YVHMARTMQREMAKQQKLWEELGLLTPSRLAELSHYHNFESQVVCKFPRSLQSNSTSS KAFALPALFHMRCPFIWIMLNIVWGLQSRLSFLFRNCLTSFSHKKEPTSRDRLKGGSP AIDEQNRVENECQIPSSNVTPLPEGESVAKSEGEKEKNARQNGASTSGPPAAVRNSGR QLRFTSPYDLLMAGLEAWRGNSFRRRSDDGPRQIPVKLTPPFGLCLSPVLGSDCDFVL TRGCRCLVQLLLSITRERNGTTFLLVSDCTRRPGKRAWYRHHYNFGAITSNMTVSAAK ERSNGGGQANEDSEMKQLEHWVVNCVFPQRRLSDDAEKRLEQERALIQSVALQALKSP GRRMYFVGDSNSAFYVLRSYEDMDGGRLYFALLLPHCNKTPNTGAEVTGWAFSAMETL CSMWSMPHLSNVAVRLAMSGI XP_827362.1 MDTIEFLHTVGKLKETMRTGWVETGVHQPESVSDHMYRAALLCM MCPDSSLNRDRLVRMALCHDVGESIIGDISPKMGVPAAEKHKREKEAILHLRGLLPHD SPLEKELQELWEEYETQGTPEARFLRDIDLLEMVTQAHAYEKTHPELNFDSFYVSGEK IKHPWVRSIYDNLVSTRPSRKS XP_827363.1 MHRCALLTSSIYSTVRCEVVAATTINSAVASQRRFQGRIPNKGY RAQPPVPDRLKDAMTLFGADAHNVTPDDPPYVPEEQRMHMTKEIMVSNQFMRNHAKLD RLRAALDTVHNEGPDHEGWQEVYLFLRTTEMCCELQKDATDVFPKGKKLWINLDECEE KRLPVLMEMPNGMLVLPVFSMEEYLDHYFGRVDAFESCWFPVPRMGTRYEEFCKLPFP VTAVGSIHHLSALATIALSSSQFGILVNPGQRTSKFLTYPEMVELAKQKRLRPKDRNT DLKRKSGDVVEDLYDRTLRCGFDTRKLVMRRVEPAEAAKLLLRRPKIPQVAQLELHLL LCDYETIINVFVRTTDRPQWRRVLGASEKLTQIDVVIEGGKPNREIFERIKKWSFMDE FHSDVHIEFAAAPPTAREGDGMMVVYDGADGKLLRSMKSFKGVTLRKALGYDEPLLDS HGRPVSFT XP_827364.1 MGDPFVRPGLTYQPHIEQALLKNEGTLTLECTKDDWLRYQHRDT AATIILHRDHLEYLSIVENASPVRVERMLLERAVDPTRKRDLHNT XP_827365.1 MPIIPITAKLTQKQHSKVTSPCRKLKTGYMRERKKKLRLSRNLP PQALIPPPPPPMLPKAYYI XP_827366.1 MSILVNRRSVSIDYKDDNDTRYIDEWGGDGGRFSRTRSGTQKFG RASTRRASVPNPTPLRKERVARAPPSPREEPTPLRKHARRSDPAAFSHLLLPTTASKA RAQSKYVERKNGHGGEPSTGSRSVSPVATNAGSRLYALAMAQLKHIAEKRAESERKLK EQEDKLTFKPKITSTSRLLCAGGYRPPHECYKEVQSRAIEKRRMREQVILMKSDSDCT FRPTLTANSMTLADKLRKDDPFTDVGDRLCFEGGCRLVRQQLRRQIIQQREERRVISG FSISPRRADRLVRRLYNWQKECDRKKDAAAKEPLLPSGRTTVSGRRRLSSRGSSSSRR R XP_827367.1 METLSTVHCAIAELFLTDLCDTSGAEQRCEEAVEQAMRSNARSV EAHQLQASLRLSQSRPEEALQSLRRAVELTHSLSEVHQPTYESKVELGRLLMQVSPPD AYRFLLEVLHMGENNPYIWFLLGEAARLRQRYIDSARLLRRARVLLTLSGGDADALQE VDTAIGVLVEEMGGPAAVEQIPDMDHPNPIELLQPEDDEVVANDDNGDEEGDLDEPEW ESCDEGDDDIA XP_827368.1 METFRERTLANRYQPRQHLPPEFPGVLKEYAREVLREQPEDILQ WSANYFKRLAREMDAKPAGEHRMTAPALPHLESRPNTREEEEEEYGERLQQYIDMFVE HDSENNGVLPVKAIKEALLKSYGLTLPQALYVLTATQLVEKEPVNYAEFACESFPALR FVWSTEHNFQVSNREDTTVHGLSRIDVQQEFLKLLRFADRSDTSLLSIDQYMDVLRCA PYHLTTRDLRILRVEAELNERHEVNYEEELLHIFDRLLLAEQFAQLDSDD XP_827369.1 MIGTVEQILYEEGCVRAVRVRLPSRDSSQGKCLSLEACERIGQG SFGTVYRASCDEYPRLALKITTGKVTRLKQELEVLGRVCTKGKLLLPRFLFGALNKSG DLMAVGMELCFPHTLHDFLLSKCLTDEADKLFVAYQVVQAVAYVHEQHCIHRDVKLQN FVFDLDGNLKLIDFGLATSVWNPPPGDVVAGTIAFMAPEMAHNALHRDQRVSVGAAAD VWSVGMVLFSIFAQRNPYASTGAPRMTERTADTNMHNTAAIPTDASPNDKQSHEIPKE NAELLRRVAAAEWSWPSGCSVSRKLRGLVDFVLVPDPQNRPDINALLMRPEWGDRRRA TPRVVTTFLGVEDDFLLSHDESHLLRAVEQRSADVNASLTESRIRGSDEEETEEGHRS SLKFVAREELSVGGVPIHQVYDVRPDPKVKKPIREISSVIAEETERSLKRSRSRSARA AASSASRANSRVNARSRTVTPVPCTTEGRGNADFPTGSAAASLVLLEAEGKEETKTGL NIGQRSPQGRQPRSNNVDRAKGINLVGKRDPKPKSANGTVQRSTSPIEHTVDTKRGGG NNSHGVGARRGSTVTARKKKGNGAEVVSGFVTMENAVRNVKTSLLLLEHSCMVTRLST VVTELFDREHMVWLEKEQRKSATHPHTFREMGRANKKYRYGFVCDVCCFEFEPVGSSM YFFHCQCGRDMCPKCYEEYANNYTCDACGREFASSGALRRHSCSCVKRLNDAATVNKP RGRSQGRRSRSVPTEAARTGVKSRLEVKPVSPKRGRPKRRASVPALERKRSREPAAEV VGIVARRKAERLSMSKSEVVPPPRRFEGIPRTLSGEWKPMERLSVGSRSVPPTPEERH MLLNGDWIRYYHFYPMEEEGGDSVAVTYHIQPGRTGVTFFNHSFSVHSAVLSVLEHIV YVVDRVDIEEDNDVARILSLAQALNEEKKIYDVLQLVETHDTHMLKQRRSPGIMSVYC PPQTAFQCNGDPFVFVRWYRFHMENSMSGFMLSNGAVQVFVGGKYELRWLDDNRKFIV RSNGVCEVLDEEKFPLSEELNQMLYGGV XP_827370.1 MEHIPAEASADITREQNELKLLNECFSNARAIHLIVSHSLMPTS GAALCSSLLNEEVQSYLREVLHKYSATAAMRKKLKSVKILYFLQCLTDEKVRDEFICA AAHPSFSESL XP_827371.1 MKSGDFCFSLQFPIFPLVVYSCNEYIYIYFFLLLRMPPSSALHF DIMCLCVYVLVLRMQEIFSFFFFFPSFCLFSFIYFHFFHSYLRLCVCLSLFTAVCSLT HQLHLTFVAYTSH XP_827372.1 MFVDYYAVLNLHPSCTAKEIREAFKRFALLCHPDRTDADQSRNF SEVKDAYDVLSDPARRYLYDLGYADAISAIQRQTLMQKKGRDVEAVAPVVRSPQPFFS STTSSLNSASSPSAYSQPTMPPCSHPCDKRDSPMGSLGPSLAQDYQQGSAFRAVRGHH HAVRRNQVSWTHGSEERSYDSRAASLFANMPADKEEECQSKPSPPRPRVGKRIPPKAP SGCVKRSRAGSSRCPLPHCTVGPNIVLGTKVTAVSLEGEGHCLQRHLKMPTNEAINAS IIKTWGVFFNI XP_827373.1 MVVAVGWKIPELVSVFGKRKFTCEDVPSFFSLFFFPSHYRFVCR VLAFSPLHLVHLYSLVINDTISYSFVYSVFPYFFSLFFFDLYLVGIPAVAMSGVSSSE WKDMKGVTLRPATMSYLTECAGFRYMAPVQARTIPLLLGNYDVVVEAITGSGKTLAYL IPCLEMLQYDRVVEVCKDRKDAVVSVIVLPSRELAQQVHQLAKKMLHYVSYDYLGGKN GLPKYSCQCYIGGRDIKLDVDMFSRTGGNVLIGTPGRLYELLVSSKHSGLFNLTSFEL LILDEADRLLEFGFKAKLDAILKRLPKQRRTGLFSATQTKELAELARAGMRNPVSVAV RVNSLNSAMTNAAKPQIPELLSNYYTFTRASEKLDRLLEFLSKRREQKVIVYVMTCAS VDWLYACLVGILLKDDADNVFALHGQMKLEKRQRVHRAVTKRNRCVLVCTDVAARGLD IPEVGVVVQYDPPVDPATFIHRIGRTARMGRQGETLVFLMPHELEYVAFMKLQNVSLL PYNEEKDDIGEAQKVVEEMNVRRTLTSSLQEKRKSLHRAQKEQRMSRKERRAMLQEER NAKSATTRRTRKEVHGDLCESPAILELRRAVRQQENKKILDLAARAFVSFIRAYKEHE CRYIFQLQLIDLTDLTHSFALFKVPNCGEIKHMRILKIPLQEELTDIMEIINQQTREK RERETQEREEKRRRVEGDEDEHGEASAKRHRTERNEKLEALKLAKMSRGERSRTMKQV EIDELLKDSYYVKKERRGEVSGRTVDAIMGVDAIENALMSSRERQEAKRVRRAVK XP_827374.1 MSAERVAVTLLMWVLVALLVSTIFLAAVGYRMRESQNHFLYFPN VPAGSTFVCDSPLERGYRNSEQVHIRTADGLTLRGFIMWPPMELQERPRQQHDMEERM GYMLRVPPLSAASDRSNPNPPFLERLEGSGTGSAHPQCSILYFHGNAGNVGHRIPIAA MLSTKCRCAVLMVDYRGYGQSDSVSPTQEGVMLDAQACLDYLLCHPHIPADRIFVMGT SLGGAVAIHLAAEPHNAKHIAGVIVENTFTSIGDMASEMVRHALNGAQPCFSFLLLSL FEYYVKPLCLHIKWRSIDAVQKICAPMLFLSGLKDNVVPPLQMKKLYSKTFSTRSRRF VEYPEGDHNTLPLIPGYGETVNAFIQDVLRHREQLI XP_827375.1 MEYRLVERKEENKKKKRQKNKIKIKEARRVGGICVAFLQRGRGG ANINVYIFLLPFVCVCVCVCVWNVGNATQTLVMPFRKQVTFFPFNLILSFFFSFSTTP YRSPRGIFFFELWGSYFLKVKSQSPGCLRCELLKVFLLVVPSISACCLQSPILYEEYR TASLRGTVEFTPRCFTVRCVQRV XP_827376.1 MAPNRQLLKIIILGDSGVGKTALVHQYVNKNFDNRYKATIGADF LTRDVEIDGKLVTLQIWDTAGQERFQSLGSAFYRGADACVLVFDLTDSESFSHINSWL EEFRAQAGQRECVLIGNKSDLTERRQVTSRTAEAWCESLKNGEGGDASLGAAAGEETM GSIQYFEASAKANVGVEEAFLTVSKAALAKKATAEEGVALPQSIRLGQQRPSTKKSDC AC XP_827377.1 MMRKFGSIIPTRKYTGVASERGKKKKETVRKRRNKHECTASRKP KPRNLRNKKKKENKKRKKGEKIVYLHAQIPLIYKYINTQIYIFIFTRNNSNHAIRVKK KCVKRLGNSTEGRKRRRERR XP_827378.1 MRNAEPKFNGDANPANIPYWRITEGGKKSQKTKRTKKRERERKS RTKQKTTRPYISIYLYIHPCIYIYLYTFIFLKKNTLHN XP_827379.1 MPVATSTEPQTYVFTKPDTSGWKLSDFEMGDTLGTGSFGRVRIA KLKSRGEYYAIKCLKKREILKMKQVQHLNQEKQILMELSHPFIVNMMCSFQDENRVYF VLEFVVGGEVFTHLRSAGRFPNDVAKFYHAELVLAFEYLHSKDIIYRDLKPENLLLDG KGHVKVTDFGFAKKVTDRTYTLCGTPEYLAPEVIQSKGHGKAVDWWTMGVLLYEFIAG HPPFFDETPIRTYEKILAGRFKFPNWFDSRARDLVKGLLQTDHTKRLGTLKDGVADVK NHPFFRGANWEKLYGRHYHAPIPVKVKSPGDTSNFESYPESGDKRLPPLAPSQQLEFR GF XP_827380.1 MDVICSALAGVMARAVCHPLDTAKTVTFTGFFGDSSSSLHVNSK GSLRHVASSIWRREGPGAFYRGAGVAIVGSAPGTALYLTTYTWSRDFLQGYVSASHSS SFLSTIPSSFIHLICGLFAESVSCIFWVPIDVTKERLQAQSSFVEGRYKGNWDAIRTV ARYEGVRGLYKGYWSTLASFGPYSAVYFGCYEVFENVLNEHMSLGTFSSSLCAGGMGN IVACVVTNPLELVKTRLQVQRAVLSVNGKPTAVYGFPFRYKGLLDGLCAIVKSEGVCA LWKGLPIRVTFAAPNAALTMGFYSYLKGNMA XP_827381.1 MRTTIRYTRPELLSLAPRPEEFTLSEEVLQKFSIIETECPVQSS SPDGTRHDATGQKSSDSKNGALGPASKRATNSERFAPPSRSWRGSRDQEAFEEGYKYE LERNAIKKQALQETMEREKQRSDAAASSKDEGKNDTNKWGPSSPSRTEVKAEVDDEIE RLMVSIAPSGEGGKKVAKSRFFSGANSGFQDTNPTSAPLVQAISEPLTSASLNQVKDP WALPSMVPASGNVVWNNVEASKPTGVDAALERKNPSANVGMNSLLTGSLSLGVPSTAP TQHAGAVPTQPSMQPAVLHSVPAAPSVEAGAATSARAPATQPTETSASNQPRTWNAHD LEQRLISEQKVSRQPEVESKPIEATALEQQLLMQVKQSLGRPQTQPQAAVMSQMPGSA VPVTGAPPLLSVSGHMTPPQMSTTQLPAHVPQQQRPQQQPVQMPWGVPVQKVAPQQMQ KLVQAPPQPQHRQTGVPQPVPIIVSGAQGAPYYAQPAMPGHYVAGFVPSQAQQPMMFY RPADGTAPYTVGATGFPPGTQVLFAQQQQAKQPNQRR XP_827382.1 MKFNIPFLSIYLSFLYCASCLFTESFLLSNLEEAAPVSCFRERF SLPLRQRVAREAHRSVAFLPFLPLFTTALNTHLAAVPRPASFQELPRVQCRLLGINCY HATRVWWCGRKKRGCQKETLRVIKHTLVRVCAFAVPAE XP_827383.1 MVIRPATRKRADSETDNGDNSLRKRSRVYLSPTPSNECVVGFLT GGESTAGTSATTSSRHSECADEGSTLSSYNGSEASAVAEGFSDGDCGDDSSQCGGGFS GEVWLRLKRAVVGKPLSMRVFQREFKSGDIYKYYRRNELNKLMAALRDILEEHGELRL AATVDNKSRMKDLAAQGASALNCFFASRKDAGGGATQVKDAHSATTPPPPPPTVTPLK HNEPSGAKASTSFPPSTSNNNAAPVRHRLKYQLPRGVGNAAVEILSLPLDDNLPVEAI NEVSSPFHRVLHVVMKTQLCFGSANHSFEVPQQYLEGVASRRLRLHVVPFRPPFIPMS WPVIKEIAVTVNGQAVMTMWRRRWPERRKEVTKTLLPLDITQFLNRNCHTQELGFVVT SKEYVSQVALLVVQLVPQDEVMNSIVTPLCNPQNMRDASLYAMYRSVLEDEEMSKDEM VVDNPVITTKCPISQARISIPIRGAHCAHLQCFDCRSFLQGCHSGCYWNCPLCDSPLA PRDIRVDTVLLRCLQQAGEKCPAYLQLVRNDREAKAAVHTASTAETDEDYVPFRWVPK KSVSGVVDVVLDDDDESSNDVNKPLKPVVACGGSSDSFFGLAELGADSSDDGEAFVVY RRGRVGGNVGDYGSRASQDGDVGRGLRLGTADHPIVL XP_827384.1 MFIYIFIYLICTHTDTHTWKHPVVIPSLSRCKHLNSLHLLLPFI IITFFFEPLLLSIFSFILIYVSLSSSSVFSLLNVQMVFKRFSPSSLFLLLFYDSNHHI LLKQKWGDFRQEG XP_827385.1 MTTTPTGDGQLFTKPDTSGWKLSDFEMGDTLGTGSFGRVRIAKL KSRGEYYAIKCLKKHEILKMKQVQHLNQEKQILMELSHPFIVNMMCSFQDENRVYFVL EFVVGGEVFTHLRSAGRFPNDVAKFYHAELVLAFEYLHSKDIIYRDLKPENLLLDGKG HVKVTDFGFAKKVTDRTYTLCGTPEYLAPEVIQSKGHGKAVDWWTMGVLLYEFIAGHP PFFDETPIRTYEKILAGRLKFPNWFDERARDLVKGLLQTDHTKRLGTLKDGVADVKNH PFFRGANWEKLYGRHYNAPIAVKVKSPGDTSNFESYPESGDKGSPPLTPSQQVAFRGF XP_827386.1 MKSGGGISLTEDGFEHQYNGEGVTEKWSSGHRRQIAEWRNLNTK RYGYRATYQEAVAQKDEVPPEYLRKLVKDNGDLSGKRFNAERKLCVALLRYMPLALYK LLENMPMPWEEARYVNVVYHMRGVLTLVEDTPTAPEPLYLAQWGSIWTKMRSHKVELQ QECGTFRRVISKGNENEPPIDFSDYIMDREPPPALYDDLDEEDAAAVLDWFYDPFPRL VHPNQIRGSRRPNGYYFTIDVIETLFRNAIPILPNLDDRNYYYLWDLKSFYAAKAMHI AIPRAPKFEAPSTIQEEEGEWTEFNDLRRVIHRDDPRKPRFTMLTERQIAFPFLYSDV VDGVTVAPYRYPAQIRVENEDPAVPCFSWNPSLNPIKAIQKRHSDPVGSSSVALCSAA LRKSQWLGDEEPEDGCQPMSLMENFSPFFQELPLENVDTKSAMLLAFAPGPFNEFEGG MKRRVDIPVAEHWCRDPPSLLTNDTRDKILRSYTQLLKHHVAKNLRRDRQKERPKEEG GNQDEGGQPVRRLDELANLDFFHKTKIDWLEAGLQVMRQGHNMLVQLINVKSLPYVHI NYNFEAKPTRTLTTKEIKKSRLGPAFHLIRELLGFMKQLIDMHTMYRLGKNDSIQLAD AIQYLFSHLGRLTGVYRYKLRAMRQIKRSRDLKHVLYSKFNVGEVLRGPGCGFWAPSW RVWVFFLRGMTPLLQRYLGNLTDRVLRGREAKGKHDGKRITRQRVETDKDVNIKEAFR RELREMLPPDVRTEVIRTMDQHMNEAFRHWRAGLRWSVPGLAKPLTDLVNKYVKLRAE EYVRVTQYQRKRINEGDTVDKQAFMKNLGRLTRLKLMEEQNRQRSYMEGTDTDIITPE QATEIYRMMANWLSDRGFKKISFPKASRPAELRLLELALNRLRDQHNIANRLTQAQRE EQARIEEAFNSPHETLSKIVDCLARVRRFKNVEVEYMDTFSSLYPIYNVVPSEKLVDS FLDQYLWYEAMDQQRLFPNWVKPSDLEPVPILVYKWCQGINDSPGIWDFDRDESVVLL HAKLEDDFYGNIDWNLFRPLLELIMDKSLAEYIVSRHDVVVEFKDMAYHCRKGMLRGF MFSSFLAQYWGLVIDVLLLGTQRSQEIAGPARRPNPFMSWMRDPLLATSHPIRGYCRY KNEVYVLLKYTKVEADDVRHRYLEETKNDPQKRAENASVYGFKNFKQWPRDARMRLFL NDVNLARAVIWEFRGRLPPGIADINESNALASVYSKDNPNLLFDMGGFSVRILPVVRT EDEVLENESTWNLQNTTTRDVTARAFLQVSPDDVNNIRNKARRAIMMVGSSTFQSIAA KWNALVTEIVPYYREAILGTDSLQQVLARAEHRMQSRIMMALNSRAKARFPPVIFYAP TDLGGLGMLSVGHSLIPARDLVYSKSTSTGVQFFYSGLTNADNIPIPNILQYYTPWET EVRESVKAWTEFNMRDREAKAAGTRLSIDDIEHIINKGVPRIRVLFSRHAKLFQFDKG FRCRMEFQRYLAGKYLKNWWFHQEHDGNICGGVLERYRVDTNIALGGVEAILEHSLFR GTGFPSWEGIEFNRAGGFENSKKDSKLAKQQRAGLANVPNRRFALWWCPTINRSDVQA GFETKIDTTGVFMCGKLETIKKSLIKIFSGSLWEKCHGAVVNDIASKLKDMMVELDAA SVTLQQQHPQKSYTYTSSAPDIVMASTSRWPVTSKPTVLSDETGDEYRAHTTSKYWID VQLRWGNYDSHNIAEYTRSRFYEYSSAKMYPFPAGIVVAIDLAYNCHSAFGYWVPRLK PLMMKLMTAIIRHNIALNTLRERMKRDLQLFSSAPTEAGLSVTNIAELFSEGMRTWIV DDSATYVTSEQPTAEGGRKFRSENGAVLIFEPATGNLKLSIVHKSVFAGQKRRTKLAR EKAAEEIASWLRSVPASQRPGKLIVTRSRFRQTLHNMLILDYPNIIIGQSDLNLAVPM VLRHSRLADLRISATESKGWEFCLYDDWLRQFQPATCFNLLNLILRGYHVNLSRTRQT LEPDLHVEVHHSHFWPTYTREEWEAVSVRLQEMIIADAARRMNVSPNQFTEMEKKDIL LGKKMTTVEIQEEEMKELEEMKRTKLVQEHTIDVVTKSGETAKKRVKAAFDFGNSTSA SNWRARSLANATVFGEGTTVEIDHSGVTGSSDQLIFPQELLKILFPCFDVQAQFCAYL FGQTLPDSPNVKEVLCIMVPPQKSSAVEYTTPSCIPHDHPTLTENHLSLLGVLRCSGG EPSIHSRDVAIHGRLLACNEGLQTEGLTTVVVGVSQDGIGIRCYTTTREGISWALEEY SHALQREPTEVPPLHVIPARVTLSTELQGFFLVPTDNGWNHTFRGATWREDTTFDVRV DTPQFFFFATHRPDHFLNFARLTEEEATIDMADLENLMA XP_827387.1 MLRRAYIQRRYPFNKRGPREHKSWKHHVLTEPPKPLQWRDPKVW TRDLSVMKSFDAPQWDLWQSRPRSEDMDEALQPFMDMPKSLKDRRYDIPWWANPFGAW YLQNILSLELLKLKSKTNAEKIATYRSYMRSLASGKDNTMSDDDVIRNIIKERWKTLE FGDRNAGYPCTFGDYIQFLNEWFKSLDEEGMQRLREHFDRRIRPLLAVMSPVDILWLE ALTQNSPHNKEQLQRKIAFQTSLGTPEFFDMSKRLRYEINEDYKVRDELGPELFALWS KAPERWPPERLSKMYGLDFTLVRKILVWHHFKACYDACVEPDWSLPKRLFALEWIRDV RARKHGLFYGKMRFAEQKITFYSDRFLFRDLVNRREASYANVWEMDDPYRFLQTEQDY EDYWGDNYDVYRRMFPEMIGRTGEPVQQYGQMPIWAGPHRQHANKSEHNWMFAEIGVN VGHEALKKLELDPTNEKRRRFVIRQPDGTLRSAKMSEMRAWYWKEEWADFRFWAPQME WGIENTPSQEQYQEHVPDTTDADFRKQRRIQSRPVKWFYESHYTRTGSFAGFQPLRFM QRRTEREVRWPDVINAAVQIQKRKPAAYIFKAIPEL XP_827388.1 MAQGGFTLSSRWVSRLPEFARRAFQYDQMELDSALAQMYSLCVK PSLISKMSKARKMTKNHYHRDDPAFIVLQIFSLVLTVAAYGLALRGGLLQILYNTLYS VLLGYFAAGGAIATVTWLFANHFLAASSQPHESGWEVDWRYSFDVHCNGYFPYFIWTK VIQFVLLPIVLHNSCVPRAIGNCLHTVGLVMYAYVVFLGYLELPMLAQQQRLMYPVPL VVVFMLLVTLFTSWNVSYWSLCQTWCS XP_827389.1 MSYLVEELPLSRAKVPGICAGGCTGPGVGPGSYDINVSYQRSCR GMAPFGSTSKRFGAEAAAAIPGVGTYNITPADLQKGCISTVPFNSKVERFAGYGANDF PGPGAYTVDGHPTKRRSRSHPIRFSRQPDTTGHIAPGPGYYDPNCKHRRSKSGTLCFD EYSGRKPLETNKCPGPGHYESAGSFTSIYNRKPTISFTSKTERSQNGGGSGNPGPGAY NLPSVFRSKEQYRAANPETLIAFGTKSARDGPYGPNPYPGPGAYTGEIAPRRPHVHSE EGRCKPFISVSERIKYPARSNIGPGSYDAQIPRKGPKYQLASVPFWSNVARFPTPKPA EERDDLVPHREAERVPRRIHPLRVLNEAVSEFIKPKAHQGTMNFLDDEHYDFIKPKCT SGGYLGSAPRLTSTATRSDYPGPGQYDVCHDSSFGRASSGRSKWGCDGRFKRTVDRFP GPGHYSCDTTFLKKSFNRTINQSDNM XP_827390.1 MLHEGLVNDIMSYHFIAVNPPTPCLGGPSLLHVYFKSPLLILGF LIRLFIFCASPFARTAGFRVVEFVAVVILSMGVDKKISSLEDFFKRVSFPLQPLHYET KLVLDTFLDKGGFLNICDMTFGSPFHDGRRSGAGELSWMDVANSNPNGKTALALFRFL APGGYFCYLLEALSLPQHDFAVKLKKSWKGDAETEIETGSYLRYFDFPFSTFHPTFHE LFEEAPEANLFDLPRSRCPPLMLVPFWAPPLVTRLEPSSRRVLTSLRCSPISYFILRM LLYGVRRTEECCSDVVRLPRINAMGGKINVFFKAVVRLFKGSYITGLPLYHRLLTAYI QYFVSSTCIQKLSSKRSFGDIQWSVSDVTAALLLSAPSHLWARSVSKVDREKHRLSCR DVASAALVFRLVPFLTECLFALCQKDRGRIYTSCWEGDNAVRKDFCDSHITPAVWDVL NPHISFYRNTLSVLRQALISFENYDDCRREHFNNALELWYAVVSPTGRVDDVSESYVV HHYEAYSFILFDVILMFLRSSFLEAIDVTGATIWSRCVEVFTSTAVQNVFREVSNATT NARCGIIETICRYFTLNWMGEDGIVRIMKPHAEETLHFLAEVYLATEVRLGEDGISDD TKCSLRLSLDYLAKSFDGIIRVVDERRSSRVSRLTAATSASSRPSGEGEYTDRVGDSK DLYFRGTRMSCKFSGPVDVRFNAENEGIPFYAQSSNAGFSDEFPHLVTLTEWMETALV FVFELYYKSWIPQCSNGHKLWLLSSDKHCCVNHPQQSAIWECFLCEEVYGSCCRSKPR CPRGGSLTRTEISQSISFPYCNQCFVMFKLGSVAYNKPQSDTLFCSNCASRPFKRISC RFFASHIVVVLCIIVSLMVFLFFTI XP_827391.1 MGNLSQRRIGIQISDRCATTAPYFFFFSTAEDAVLQHRWSSTVL DIIPGPCSGHFSLARPSGRHSPHTFPHIEPGYIHSSGQNATPTLHECPPEYLASLSRK HAPVPALTVTLGSEMTSHKEEHLG XP_827392.1 MIHRRFFFAVSTSLSSLIWGMRLDPGGPDSTSHILPSRQSFVPP SSPRLDEPPYPTSPLTVQVPPGSLDL XP_827393.1 MTFVDSVSLIPSLWCSAGLRRICHVHRTSCQEQFINRPACTSAR LVSGHFGIEHNEMTGKGDSPPRTCRTRGADTVTQLLRHKGGGEVAVADMSILTGGRAH CALRKSLRTHTSCAQVEGGDNFGREVCRLAPQWHTEILWVKSTGTGGSAEGT XP_827394.1 MERALRYLLPEIMVSREVFGFSLKQIKDRIEFCGTPMTMVLRYE VSFTFLPWLHSSKKTVFNFTSISVVAEDALCIHIADPSIFFFSV XP_827395.1 MQNSKIIPRDRLFQSMISAFKRTIVISTLKKQFLIPGGLLRSFC NHAVVKSEQNTVQHQILRHITCCCDTFQRGAVNSDSHRLVAWKASNGSYNTVTVRWVE ACEYGLRKL XP_827396.1 MLVKEWEPPKPEVQQGCGGVMMGRWTQTGQPNTAEYRLKPPPQP RDGDSNAGPGFEKVTSAEESETSTMKKKPIPDIEKQPYKTARVSVRRKIPLRSTGETV LRRG XP_827397.1 MASRLAAFQPDIIEFFLANKASLQTLRKEWRAVEKGFVGYFKDF RDTLKELRGKEQADKQEGKKEELSSGSRAVNQFYESEELAKRMKWKRITWDEEADYNG FARRLLDNDDLIKSVPAFSFLAIHVAKDSSCADFVRFPPREQRFESGTLTNWVLSERT PATVECYKPSKVRSMRSPFEVDWTAGEARVVDTTSIQEVLVFLQTVAPRLQALQVRME EQQNQIAKDIPKLKLRVGAEIRYNKHDTTAWDDPNRCADPDYVTPDDVQQFVEGMMKS ALLYRWFLKDQRVRIMPPGRPYLLNPERKEVQIPANFAAYNWWGAHARFQKVEAFILF TINMWWLWFTLAIVIVGDVEIL XP_827398.1 MSRASIMKEAFELLQRDGKIPKASIPTALRAAGMNPSEEKLKEI MATAVDIDMAGYESLVTEHYDKTDTVEAVKEAFRVFDKDHNGTVSVAEFRHIMTTMGE KYTEEEFCDLIQGFDANGVIPYEKFVEKMLAPFTEHESA XP_827399.1 MVKVNVISRSDLEWTKDRNGEVPRVNRNFDSKYNPMAKQVEFTR AIRAAKLDRMFAKPFFGALSGHQDTIQSIAVDFTNLSTVVSGSVDGGMIVWDAFTKRP KVIVDAHRHSIDGLVISPDGVACFSASRDKVVKMWDLDFSSDSSKVEPLAEYLGEFPF SSIDHHYQKSQFVTSSDVVHVWDVNRTQPLQRFSWGDDTVSCCRINKVETNLVACCMS DRGVFIYDTRTQAAHSKVVLEMCCTSLAWNPMDPNTFVTGSDDRNCYLFDMRIPGRPK NVFQGHIAGVTSVDFCPTGKKFAAGSLDFTLRIWDIHQTTKSNSIEMFHTKRMAKVFS VKWSPDSRYLYSGSEDAILRIWKADASKPIRPLRGPEKNTFNYMRSLKDKYSGFVEVR RITNQRNTPKAIRSAQRRSKKAEKREMVKEASRRKSDDIKPLAKRKVYQYLK XP_827400.1 MTALERYAFKVDYCDPQANLVRQYLLLYFAEDSTIEMHDLKTKR VFLKRCAYPSLTPRELFIGATVGVFSRSLKLVDYGDEVTRRHFSGSEAEFVVFIQEGG LCHMGSIIDRMHTWELRITNIRLVDLPDSLCRDLGVSRRCVAILFKGSNAIEKVGGLS TEFPNMTVVVAEPSDVNSVRGAAFGPGGTTAVMKNCSVCVIKPHAIMSGYQGAIIQRL IDEGFHITALGMYSLTVADAEDFLEVYNGVVPEYQRLVEQMSSGPCWAVQVCAENSVS ALRAICGPHDPDVCHVLFPHTIRSKYGVDRTRNGVHCTDLEEDAPLESEFFFSLLQNA XP_827401.1 MIQPQLILLREGTDASQGKPQLISNINACMNVVDTVKTTLGPCG MDKLIHNGREVNISNDGATIMNLLEVVHPAAKCLVDIAISQDHEVGDGTTSVVVLAGE LLKEAKNCVEDGIAPQVIIKAFRNALSVVMEALQKLCVPFDPNAEEGRRNLVRCAETA LNSKLINTERYFFAKMATDAVLSLDNDLNLDMIGIKKVPGGSMGESILVDGVAFKKTF SYAGFEQQPKKFQCPKVLLLHVELELKAEKDNAEVRVKDPKQYQSIVDAEWKIIFDKM EKCVRSGAKIVLSRLPIGDIATQYFADRDIFCAGRVAADDMARVALATGGVVQSTVSN ITEDVLGSCALFEERQVGPERYNFFTGCRNSKTVTIILRGGAQQFIDEADRSLHDAIC IVKRAYRTGSVVGGGGAVEMELSKVLREYSRTIRGKGQMVISGFARALEVIPRQLAEN AGHDSTDSLNKLRQKHHASDQSGKWYGVDILHGGVCDTFERFVWEPTLVKRNAIQSAT EAACLVLSVDETVTNPESEAGKKQAAAGRGGGAMPVSKAGMGGLFKGAPGVTRMKGRA GR XP_827402.1 MLRRSLLAFPWWNFQTEHRQRCVLMYGGARTKNTHNANHRVFIK KYKRNAFPNRTRHHWAVSMTGVLSQRPRRMPWPYDLTSLIFNQPRQGSDKIGYVVGTS MLKTAVVATNHMVYYPKFNQRVSRTKRFFAHDEDLVCVEGDLVHIKQCRKISKYKHYY VFSILEPNVEGRERLKLGLKAVPPPLFGYPVSRRIVKLNLTSTEGTQEKLAAAIQEHV QDAYRFSGPTPDQPRNRLADPVTFEDANNMIAPNAPAAAALDASDSPPLLDRGEYTEV EQDTRNKKGDDYWMNLQPKEKYDFKSFKKSP XP_827403.1 MTGFSFENVQRNLNLEQQGLHPPRTLKTGTTIVGVVFEGGVVLG ADTRATEGSIVADKRCKKIHYMAPNIMCCGAGTAADTEAVTNMTAANLTLHRLGTGKQ SRVSEALTLLKRHLYRYQGHVSAALVLGGVDVGGAFLATVAPHGSTDRLPFVAMGSGS IAAMAALETGYKENLTLEEAKQLVVSAIHKGIFNDPYSGTQVDLCVITKAKTEMLIGY DKPNDRKYPKHDIKLPPGTTPILREEIRQLVTITELE XP_827404.1 MKVRVARCDPQMLDFVTWAVLKGCRMFQRVHTTRMGLVSTQAIQ AYDFVAVVPTSATLSLLTVSNDLSFPLKVSPASHGNKLEFWDDLTLGSFSFVAYLAKA LQTGQPRGIRSYLDVLPVDHNMPIGRVADAGQMTRGYREMAAPLRGASGSQATDFDGA FRHAYCLFRRHGIPFWSHTEVGGTGHPEFQNSPFVESGGGDIIGMVPVIDLASHSPNP NAAIGYPDDDMLQWLAQETRCSVAKDKNYFVMQALRDIQSGEMITVNKNAYFNFDDDA FYAWFGFRNESQHRNDKEEVMVSAGIDRDHVVDS XP_827405.1 MASLEDKVARLQEQNAALQRKVRIQASIISRLSIRESQLKQVRR IPVLQHRLNAARGECEELLCELAERRDREARLSEELRVLRKFVALDAKTETAEVARLC ARYEVMQEELKTLHERLKTTYDEREEYRKRTLMLCDEVEYYRQGWRSLLDETRKPEGC VTGEETKGNIVVEGCRFPVEDRTSEARAIGGGCSAETVRAARTLPQCVDDYEPPSSEA TLSEASVEAVDVLREQPVESQVEPCHDQTVVASDIACGEPVASGGAKVPAVVKSLRRQ LCLSEGMCSLLEDRWNALSEENKSLLRRLRDEEAAGASLRRQLRDVCRECEHLKQIFA DLSRVRLSLS XP_827406.1 MCSFVVLPPGSTVRGLTFSRSCLPCCTRLIRVSFLLVSLLSALQ NSRTVGMSQLWVKRAKAEVSRHSRLLETVNRVFPMPFEERRSRVGMVTYGTYRWLRFF PLLLVPIVIVGAILESRDIPQEHVFTSLHLWLADHGVFRHDTVKALNPAFEDERRSIE WKANDRRWRFTGLDMPSMRELREFTAINIDRRDSY XP_827407.1 MGKDKANVKGCRFRVSLALPVGAVVNCADNTGAKNLYIISVKGY HGRLNRLPAAALGDMVMASVKKGKPELRRKVLNAVIIRQRKSWRRKDGTVIYFEDNAG VIVNPKGEMKGSGIAGPVAKEAAELWPKISTHAPAIV XP_827408.1 MGKDKANVKGCRFRVSLALPVGAVVNCADNTGAKNLYIISVKGY HGRLNRLPAAALGDMVMASVKKGKPELRRKVLNAVIIRQRKSWRRKDGTVIYFEDNAG VIVNPKGEMKGSGIAGPVAKEAAELWPKISTHAPAIV XP_827409.1 MPTRFKKTRHQRGSTFCGYGRVGKHRKHPSGRGNAGGEHHHRIN FRKYHPGYFGKCGMNHYHKKKNTTWKPTINLDNLTKLMAKDEAMKAKKGEALPVIDLL ANGYSKLLGNGHLQAPCIVKARWVSKLADKKIRKAGGAVVLQA XP_827410.1 MTGDVRPSLLLIVFASAFITMLNGAVSDQPFAMDGFVTMKVEVV ASSASKPDATKLSNLMISGNINSSYPLKVSWLTLGGGAVDVYTESGYAVVGVDGHCKV NKHPGNNSEVILWDSLRAILAANNYTVNQTDLLDRGLDLVSYSLPLNLKIPSEYGGSG SVETYSVEYYVLNTWTVNHDEVDEFPVKLLLKSAKGEQILFTFFDFSKDTLNMSSFEF PESCTKLNDKAGNHSAGEDDGNNQLDGKFMPRLPPEFSAYIQVIAPEEKRTWTVSEQF SESKKFSRSTVVTSVPNSGGQVAVYDWYTLGKYQISYFREQRKVGSTLKSPLSKDLRD YLFKDFDVCRKAHLGINVTARTSSALMLNSVDAVPVYIGTQKVRGINCKVWAATVSGI RVRWYWTEKQVNAFENTTEGGHHLLLRMIVEGIGTSPYFAHHPFVAQENLLPSQYHKR ACAWLNPVDPTCRGGHRRFKYIYDVFSFVDFLDREAELPDSCMSFRAPSVSLPSGLND TLSSTRVLVFLFLFSITSATMGGFVTWCFMSSRIRRLKVDFINAGRNIVARQS XP_827411.1 MPTRFKKTRHQRGSTFCGYGRVGKHRKHPSGRGNAGGEHHHRIN FRKYHPGYFGKCGMNHYHKKKNTTWKPTINLDNLTKLMAKDEAMKAKKGEALPVIDLL ANGYSKLLGNGHLQAPCIVKARWVSKLADKKIRKAGGAVVLQA XP_827412.1 MEEDEAFANLVGVLQVVKSDGVSAFQYPDVAVKYRFNESLAVLS KPPLNVAAAELLVRKVFEVFADTTIVEYQRDMCSGRESLALRHLHERYQQTFAIISDW CGRLNSEESVALFTVSLRCHLLQPDLLTDESPPGKKELLSFLELHGHRVLKSIEEAGS AHSFTRVVDGSCDEVLQELHDLTLSSTSDIKALWKSVLVNCTLDRIASLDDDDFTVAR IQSYMQWKDDVVDVFVRMALSPDPDDSEQRSEVNRWCKDLEQLLLVSYGQKRIASFWD VVVEYPDSTPTLQDLRFCLQRCTDDTLRNGLIKTVKWMLVSRLHRAGTRTEDILAILI GTIHSLCVLVPKNDQSSMIFTIVGDTLEHLKKRKDCVSAVVQAMTQPSGDSTLNIDLR NYASTGSALDNGDSFEGVWDATLPGTEQSSLSLHEKPDVLRVLLATISVNSLVEEYRQ VLASQLLGKPMHNFDTSAEEEVLERLKCAFGEDVLAPCVVMIRDIQASRRYTQQLGEM HEGRATSDKTSSVESRRDWPLSLDVLSTTSWPKLSSCLPAGETQPIPDKYNPHPELAS AMDDAKEGYKRLKANQRLEWVLSHGNVTLELQQKEVSASRFVAVTYDLSLFSASIVLY VRDISAEVDAPAPLVAVAERMGVKPQVLQQRISHLIPSVLLSADDNKTLSVQTNYVST SNFTFDDAEEGEEQPAGLSPDQMNMLLSMLKAMLKAREACGASDIFNSMKMFGQFQGS IDDMRRLLQIFVAQGKLAVNEAKLYTLPKG XP_827413.1 MRRQPFPFVARQQYLENGYAILPNALSPSLVGPLREALIASTVA RSRYFIDMPDIESMLKSKGKLSDPLYTNVMARLRKRKHILRQYRAEKRQRRRLAEVAA KVLNGRKKEDLSGEELWKLSEALTKEVAKMSGRGCQATIFNDPQMLRAINEYRCNVWM TNKDLQHIVRDRSFAELIGGVATNVGGVDRPVLFSDAPMLREPYGSPFGYHCTAPTIG VKTNSGRTSCVSLLVFTHQPDSQTMPLFVLKGSHRFVKEQYITRISPGDLWMPFIPME THIPEQLKRFNFDSSVVGVPIEGGDAIGPGTIIAVDPHLMIGMGCNASPSRVVVYRMN VVSEDATPFMGAPSWIVGWRSLRSEVSFSAPVVFPPLHQVTAATS XP_827414.1 MGYLLQLSLVFVDIYPSHSLSFYFLDLLLYFVQYLLRNQPEPLF TCKESKECENTQRGGEEVGNVGGRGINSLRAWLCMDADLNGLRKRLVEAHERVGRMTF LMLRAVLQHIAQRRPRAAVSSVNGLNTSAELSRVKSSATRDNNSRGHTAEPATKRGRA VAVDQAKLSRDFYRAALEAARQRAVEEHQGASTAMSDGQEGDEYTPSVALLLLQTARE RAIKLAVFLRFRLAAVRGQQLNEECELRFSYYLLRRVVRAWSTYTLDSFYRRRQMITY VVGHWSAVTWRNRRMREHLQIFRDGLLVRRQAFNFILLRRLRRYFVQWLQRLETRRVI RDLEERAADMRKKHTFVTVAYPNGCPSAFVVKNRVFAHWKNKTEYRLDGKLAELISNK SLMKRAWSNFVRRYNALVNIDLGAPCLPRERQLLVVHVEPQVARLMLLKIQCARQIAR ECLKRFVFSKWRSLYARRVADSFFVYQRRLGAMKMWLEALRRRRLDTFVMVECWRQWR HRFLCRVRSVHADYWRRCRYMRRPFVFWRCSAAAIRFHHLHIRRWCMQHWWQRVARRV IHWRMCASTERRIFMFWRSKAAVVKSNRMMLCVADSLRELVVLLGCFRRWRQRHEDSR RVHLSESILSELRREKQRASLFNRWKRLTFWPRPAVGFGGELVD XP_827415.1 MQSLVSMNLVHIFESIPHRIYCWAWLGTLVQECFHVYVCVHSST FQGSVSAARYLESAKFRHFVDRRARVFLHIFFVLPLSHGRFSLPCVVCTLCAFYCLCL C XP_827416.1 MADVSADSDAQVELERLNDVIDKYTCQVEHIDNLLQELEEENNS DSVSRQIAEYQSALESHPENIPAEDALEVITRLENTLKIVQRRNHLLEKENGTQNRLL EERSNVLLNATKTFDHIVDVTGWHDKFLFDAEDLRSKVADIREMSNIEAVVQKELRVA QGIIKKKEAALRQLEELVEQGKEQEAVLNNVYNDIRVKERDCSEVEMQLVRLRKSVAK TDEALAVFDLHNQNASLAYMESDRDYLRDSVAEMKSTTRRQDNVIKAQLTRQQQLQTR LDVIMKSLREMKLDKKYERNIPKSALVPSASREEPEDVSKILPESECIPVPTYRLLHK NNEMLRVIVMRKNMLVLEKNAVIEALEAGLAKYGSALITTYKEQQDLRQNKDMELIEL MDDLQQQHSNYLEKLEELRLQNAALKKKMYRSTRQHAPLKGTRPMR XP_827417.1 MGSGEGIACNAKRFFSRPSFGGVNSGCVCVCTISRTHTHTHTHR HLFLCTFLVSTRGERCRFSFVPFPLMYFLHLFMYLQVIRISYHFFFSFPTRCIAERSR SISPHLQPQEFSLTSLNILWVSRRLPS XP_827418.1 MWLFSRSNDTSAEAGIISSLPNAADAHTVTQKNMKTEGHEDHAV STSLIDRSKINQLAVTKGDGRVSFFDTDSIDCIVKHYDKEMTVADQKIKQKQQKKERK MLNLYDYEGDRRYNQWLADQERVRENLKLHWLDFAIDRPMLCFKYLTRVGTTAGLFYG LGRSVFLYRTMDKMYAKLHGVSFSNIALYEVSLAVIKGTVVSAAGVVGVVVGESATNI ATTVITGDISAPERTWVNVCVCGTSCGLFSGAAFAALHASTLTSWGMAAAATAMTVTG SIGGFGLGFYSYKPYAATREKRINDPYWRPWYQRRIADSGGAYMRGRYS XP_827419.1 MSAQAHTYLCDAWNRDKVMAIVQFLPMALEGPARTAGCESLALS LGNLARMGDAYRAVTRLSLLANALSKPTLTSLSKPTGDMVASRIDQLSHLFHIGFCLN ENTAVLAGHGVFPKSLHRLSGVAVLCWMYTLALGIVRQLYLFVKLRPRQASRGAGAGD DKKVPAYTYLELKRAFVNLLKLVCYFLFALTCLPEGKPQLLANARGPLVPLHVMVKAL SPNPLHASNTVRGLLGLIASVCEFY XP_827420.1 MSAQAHTYLCDAWNRDKVMAIVQFLPMALEGPARTAGCESLALS LGNLARMGDAYRAVTRLSLLANALSKPTLTSLSKPTGDMVASRIDQLSHLFHIGFCLN ENTAVLAGHGVFPKSLHRLSGVAVLCWMYTLVLGIVRQLYMLSKMRGHCTAAAASGDD KRKTCPYGGCKRVMVDLLKLVCYFLFALTCLPEGKPQLLANASGPLVPLHVMVKALSP NPLHASNTVRGLLGLIASVCEFY XP_827421.1 MSSLPPDKTLFGSHSQRLVAVAQFCSLVSAGVAGSKHYTLVARS ACALAKVLANYLCLSRLKGSYLLLREVSPSSVRRRLHSSPSWFTGVMRVLTMLAMLLF RITDKIALLANEGVLSNNICFYTSRLIPSLLFYCNLMQTMTSAALLKAVRPISFEATD TRNVFRKRYYLQGVLSFLEGVGLMTYAMTLFPRGVPPLAMTLHEKHLLTHWLAVAASS FPPALSVSTTTQGLIGLAATLPSFFMSP XP_827422.1 MAYCNSSLIEELPDGTGVFYVGERVACLVSRLSCPFLSLFVHLR ALGNFLMKYCSPPIHTLCLVGFLMLLCRFVVRRT XP_827423.1 MTEYLKDIGRTKPGDPMPPINLGPYDNPLLWNMLDPFGADRGHQ RRPMSVSRDFMELHNVPIIFRDQCVHRWVPFHRCLKNLKPVTWGTANCHEFEEAWMIC RAYETYRNQLLKTKFMELTKDYTAEDKKFFPSLLHLSVPTYMNSFYWTMAASQRLSGW DERDPANPMTWREPNRALMRAEFSPTNWEQGNLTNATGHKLITDDLVFDMVPGFPLPE DKRPETLY XP_827424.1 MRPPTLRAFTSPHVIRHRITTLAVSGTKLNQRLSLLLGVGLPEC TATEGLASSLRFVSQECLPPYLAFTFLSHCWSMWSASSSFAVAARKTVGGTNDSVALE TLLNVSEECLLAYSRIAGEENRSQRQIVNDRGTVKNATMGASTPLPHSRAGYHYIVDH MLRICSGYMDARTAVTLLQYAVRVEDSEGAVFKGLVELLASRTSGDPLLFENCLRKVL GVALPVHVSPIVTSVLCLRVVTSSVLKLCMHHVATVVRGRQSGRLAVENALGGLHRCA VMALHWLLKNPCGDQWCNELCIQVMSCLKYAPMFLLCDVYGTMADRGLVTPSVQCKFL ANCGVALGSSGSVPDHCGEVIIRAFTELTKSDRETRYIEEGLVHGSAALVALGKGGLV RRAYVMFPTLRVTHHLVMAHVHHADVVRACDSLLSVACSREEGWINIPPPVMHAIHAV CVLVGRSGSSADVVPLYRAIVCFHNPGIIVSQCMEYVLSGLCDRVARINGHFSKETQP CTILEHIVPLLRAIVRCIGDDINTDMILELLETALSVEYFSVPLTASIVWTFQRSTSL ALKDLFSRVDPSTNGVPFLNYYALCHARDRGMLETVEHLATVWHCDVEPILKRYTFLL PSYKLWKCAACGRFNSDRFNYCLCSALRNGFVVCSKCAYAQDERLSFCQSCGVRTEEG AVAAAVVRRAWTCGECGANNPARQVSSCFRCKADLGPVAKALKEMGNSKVTSCSCPTV DSSGEGKGSCRSMHYCRHCGWMREPWAVANSTVWRCEGCSQLRSSLDRTCPECPHVDF LPFAMAHKSSDVRSCVDCGAMAENPFAEKCALCAGALRVGATALKAPTTSSAAVGESE LMVETAQWCSNCGGIVVEKLSSHCSECGHALHFADVLHLAVRRCSACGEDLGTQQIGV VCVHCFSFAPPVPREGWSTAVVHETFRVIDRAMERKLGCDTLADLFHKILVSFRQHVG QATISETRVAVAVALGRLQAVLIASVPYERMARRVIALTKQLLEHVDNVCGVSSSHFH PGQCPHCLGTHRPELCSFNEEEWVCEVCGAENENGDVCRYVCRNCLSLRDTVRELCPT EAWECPQCQRANVDFESYCIFCGVQRAVVEDAVEEAAEVVPFIPAKCSECNLVHLEAR CPLCHNTIPETLRKAEGVVCLVTSRYAFIQPVGTEHPNQRVYVGQQWLRKHKWTGGEK VTFTARLNDQGGFRVTSVHS XP_827425.1 MSGSHWMLAEMSGNLTWIKRSPQSDHCANLFSVLSPTLIVGYSE EYGTPLDYILFSTVLSVTRESPTIVALHYDGTRKLLLVAPSADDCAKWFQAMSVIPKR GVPEEVSLNVQALIMGKSALALGSSGISAVTSLSTPHQSRFSVADVPPISRGTPGFST VDTSVVECMSRPQQGVVDECAKSAHGGPIPPHTPLMHCGTGGMWSEPLYSNEFFRRQR SHSFETAATAEEQVSHRDNFYEERHDPRYIPPIAIDRMDSACPSMGSSTRHPSSRRDG AHPAPFSVSKTISLPHVEAILEDDGFVHDTEHAIRQKSFFPSRAISCPVVEVDDECDT EEGARFSETSPTIDRRTTFYSDDGLIRSGHRSPRRLSVCPLPTGAVRRRYGGEGDRYD DFAPPHVVTQSGTLSARHLRAAPFPFGDPVDCEAGVRGSKEKGRSSLFRYSGLRSIRE ALDELLSAGVDGEVKSECGAVGGEELHECHSPGEVTPSAEEPGKTPNGVRSPTLETSL EQMPVPTDVQLRPCREDDPVAKVPRRMSLCKTNGFEEVPPEAADVDPKVAENPNLPSG SSSCSSSLSPSTSSYCLFEKKTCGPRCGPLSALESVARRRVSAAPNVADSNGSRSTIL SIRPSTRVLTASCETTPTHARTDCDDGGFTSSVRSP XP_827426.1 MCAQRCMYIFVLLFPLYYNDSCVHTFVRMNAWMCSSLSITQQNT ITPPHYMQNSAFRVASLLQSRHRRGICDEGESTLAKLTQGVEMFLQHAADVPPVMLEK LSEVGDADTSTNGCSERHDDVDESMDEAEVHLSVVAGVLEPREMPKNVSTMSGLLLPT ADNRKALERQKVEEAQAMLNLLAALTANNKKENTIGALTGTSEAEVDDESTTTSDESV VICYADELESDTTSSCSEADAQQEPQRSARIIELN XP_827427.1 MISAFVFVCIVYFRVLEIGSPVLSFGRNLLPHAVSHLFFFILLA WLRLERVWYSVVPQTEADNTEKREIKVNILFCPSSRSVQYHNIRSQWSTSDGKGGKRR RKKELWEKVRQLH XP_827428.1 MRRLISSHIVLPTLAASLRSLYSPLVEEHYNNPRNVGKLDKSDP NVGTGLRGAPECGDMTQMQVKVNPETMVIEDVKFKAFGCGSAIAASSYASQAIRGKTL AEALQLTNKRIARELSLPPVKLHCSMLAEETIQAAVENYLSKNPSLKSKVHKKKTETP SISQTSQVASKSEAVGEVSS XP_827429.1 MFYATTCFFFYFLASCTRFPPLMHTHTQAHIQMQARTYTYIYLF TYLLPFDGTFLLVFYMRLQRLTSCLFPSSSISCIHDEGNKN XP_827430.1 MSVVISTSLGSLLINLRFVDCPKASFNFLALCASSYYDGCRFHR LVPETFVLTGDPTGTGKGGESVFVHHQDIKQRYFEDEGMGNALHDRRGVVSMAHKGNK PDTNASQFFILFKPCPSLDSKHTAFGVVDFGWNDGESERTLKRVEELEADGSYNVLDV EARILSTTVLYNPFAEGHIKLNV XP_827431.1 MPDKIENNTRKRPRELPFVEDYRPYTGGQLAQLKVRKTTHKEHW DQYYRNNTLNGYRDRHYILREFSELREALERLKKNNEATLEECVWMEAGCGVGNAVFP ILKDYGDVSGWRVVGFDISTVAIKLLREKQNTLPHVEQEKFTAWVLNPVEQDIAPIIT ASVARQSRPRGDGLVDFVSMIFVLCSIPVEEHAVVLRRIAACMKEGGVFFFRDYCVDD HAEKRFSAHCRVEANTFSRTNGTLSHFFSVSELRDLFCSVGFELINVEVVEREVVNRR EGMNLQRRFLQGRFRKIRRIGDNGIDIAGNGNLSRNMPQK XP_827432.1 MGVHGLWRLLDTFGEVTQPADWKGKRVAIDASIWIAQFRSSCEP GESVEERILEGFFMRILKLLFYGIEPIFVFDGPSTMSKRAEQRRRAQHREALEQAMVT RHARRLIAAQMSAGLLDVHSLPRKYRSPGSGKKLQKPLRQSLPPTDLLHDVDEDVGES CVETGTILLQPKGRKKRTREVCLAPEVVSRSLTHSFLSEAEIFLEQRKTFEKFHENNR LAYTSTSIFMGPRRVAEEVSRALGGATRGEAESIQGSSAGNSSSSSVLVEGVGSAAIV VEEECGDSVCEILSSSSCSVIVVDNAIKTDPHAVDAFHHNVSFGKEEESTSDEVEVLS SGDYWSCADNDCDDLLSLAASDRTPDTQCNDSTHLWYPGTQLLGGLGSADDGGIVDES RDNCTETSCGLSEFNPFGGVVVPSGNLRKDEKEVLLNTSVITSSETLETTGIPLKVPS VSREHVREKQVVPFELLGIVELLDCCGIPYVLSPNEADAQCAFLNEQRVVDAVFTEDS DVIVHGAPVVLRGFFSKGRHVVAYRQSDLLACGVDKVVLVALALLLGCDYAEGVNGLS LLESLHVIAATWRQTTNSVEGGAEQVRDMLSSWCSAVRRRRIPWGEDVPLTRFYRNYV KWSTLQLADSFPESHVVDAYFNPTVNTDTRPFVCAAPDWTKLRLFASMHGILNKKYCG ERLENAQRECQRRQPPSGDPADSAQRRLTDFFSPLPNRERVIFRKQPPKFSEALSYLR AARGDP XP_827433.1 MTTLKGGGDKTYRENCLPASGDNFVIDDIGSSPARDLPPAHNSV GTKLGNDSFGLPLYVPLQDSGRPGKNSSLTVPWSLRKSDGRKEVRVLEFLRSPQFWRQ VEFALRVTLIAVFPSVGLVVGFIPLNILGTSTSVLSAIVLASKVTVGEMIAFIFTWLR AGCIWLPFATCGVALGLGNHIGVWCAYYTLVLFVIATFTENMVRRVCLLLFNICMIGL LVKPDSSLVYPSRVMADWCIGTLLCALAVFVPYPIFSKTRAQKALCEIANCTGAAFTG MTSCFWSPSNVERNMSMTKVRMLIATVDEALQTFYLEQDHSFYEFLFDSGDARRARWF KAQLFERLRTNLIALSQVLDMVEGRPWVIDESERSLAFGQHLSPHIKDVAASVDKLMD ALTSAHTIKAVSDLDELFTDVSAATRKLQHEFNAARLDLFYQHRPETLEEFVPLMTYF MFTIINSHDTISQFGCDMSKVEVSRMSSAKVVVAKVVWEPFKEEIEYVLKLFRTFRRR EIQRLIEAAKVSAAMIATVGFSLLIGVDKESLSGPSIIAFVSGSNPVEAVQASVVRLT ACILGTVIGFFAGTYSSTPTDKIISVCVLMFIGTFFRTDKDYGILVVYAMFVLIPLNT MESTTTEDTLSRMNQITFGILIYIIISAAVFPLSPSLILRKKRINILIRFGEAVTKLC GLFSKPLATDLLADGSNDPTSNAPVGNDSVLRSNISASRAFTLSFSERLIVSTDSCME EIDALLNETSRRLKRTVPFEAFARKERGLLFVHYPTKACERTAFTLNRMMGLLRSMWC SWSILRSQKAYTPEMRHILRTLQPIALDASSSFNRFVDLMCYALRNPTTALQTELMQA VLDFMQSVEELCLRKNHIMIAVITKAVNAEYGMNNLTHGNGNRSHRSETLTPPCDATG LATSECARSSGIKSSALPLLRKKTFNSIDSMGISGQNIVSLSDNFVMPISGEDSEGLH ALSLSLSMFSNDAKLLLMSLEEMLDHMRKTA XP_827434.1 MYHLKELCFFFFSSPFSPAFNDCSDKTEQEVIERRRLKIRGLQR NCVREGDGWKRLCAVGSVRSVPHAQSSVLSLRYFLLVTSSFLFTLLIAPPAFLLFVFT HSLIIFLPYKTRCKRG XP_827435.1 MIGTMEDDGVPVILPQASSDDVSTLTLVKRFVLVGTPLMVTTLA QFTLNAVMMAIIGKHFGVKELGGVSLALGMLNATAFAFSAGLCGALETVLSQTYGVFQ SRGGEGTMYLYGTYTQRMAVMLLVISIPIGIAVIYIDVLLKSLGERPEVVYYTGRFCC IAALGIPALQFSQLISRYLSCQHQTAPLSAVAVGSAILNPILQHLFIRMFGFNGSPMA WVLLYVVTDVLLVAYTYYTKTYVTTWGGWDSNAVKNLRPLVNLAAPSLAMSLVEWVVL EVIMACAGFAPPTDLAAFSITVQVFSACWGVASGTMLIVSVFIGNAIGEGKPLLAKRI ANIAIVMVGVTTILDILLCWKFEDRIPLLFSDDKEVGHVYRKLMRFVFPYHAVDTFQS TVMGILRGCGLQKIGAVIIGVTLCVVGAPLAFFLFFYVGVGVEALWIGPLCSVTFVGV PLYIYLLYWYIDWSKLQPQQESLDLAVEPFISVNNAPLEEDMYGAVESLGSKD XP_827436.1 MIGTMEDDGVPVILPQASSDDVSTLTLVKRFVLVGTPLMVATLA QFSINMVVISMIGVHFGVKELGGVSLALGMLNATAFAFSAGLCGALETVLSQTYGVFQ SRGGEGTMYLYGTYTQRMAVMLLVISIPIGIAVIYIDVLLKSLGERPEVVYYTGRFCC IAALGIPASQFSQLISRYLSCQHQTAPLSAVAVGSAILNPILQHLFIRMFGFNGSPMA WVLLYVVTDVLLVAYTYYTKTYVTTWGGWDSNAVKNLRPLVNLAAPSLAMMMSEWVVL EVIMAGAGFAPPTDLAAFSITVQVFSACWGVASGTMLIVSVFIGNAIGEGKPLLAKRI ANIAIVMVGVTTILDILLCWKFEDRIPLLFSDDKEVGHVYRKLMRFVFPYHAVDTFQS TVMGILRGCGLQKIGAVIIGVTLCVVGAPLAFFLFFYVGVGVEALWIGPLCSVTFVGV PLYIYLLYWYIDWSKLQPQQESLDLAVEPFISVNNAPLEEDMYGAVESLGSKD XP_827437.1 MVTMEGTLAAVSGISNVGRHPPITVLLMELFKIAMPMSISQIAQ FSFMVVMLICAGHIGVHELGAVSIALGILNATGFAFGSGLCGALETLLSQSYGQNPRS TMYGVYAQRMFFILMVFVVPLSVFLLFVEGMLNALGEPPDVAVRAGHFCHIAIFGLPF FMVLELLRRYYASQHQSNPVFVTLLAAALVNPIVEGVLVYIFGYTGIALGWVFVMLGM DIALVCFLKWSGLHTRTWGGWSSAAFRNWYPMLKLAIPSLGMAFSEWTAMEVNSLCAG LLSTEELGAYAVTSQIANLCWSVVSGLFIAATVLVGNSLGAGNPDLGKQYALLSSVLV LVVSLLNAAVVYKFRDRIPRIFTHEDGISKHFSDMVPYFLTFHVLDAVQSNFLAILRG CGLQLVGVAIVFVCLTIIGTPLGIYLAFARHYGVVGLWVGPVASCAAFGIPAYLYVLF CRIDWASLRPHLEECEMKKFVEVTLVDEDELT XP_827438.1 MGSKGDGTTLDPLPADFFLFPRQNEGFQIPYFLTSEEEAAKVRP PPVVKTLQQRLDELSEKQRIVLSEFKVTVQGTEWYDEGMHDDWALIRYLVACDFKAKK SFEMLRNTTKWWKEKDVANWVCEKCLNNPNRHMMQFVGWDLEHRPVCFMSMRWGPDRS DPIPHCVSAFNHLLQLMPVGVEKWVFVTDFETYSHMKDSNLRVGTTVIKTIQNHFPER LGMIILVNAPSAFSFLWKLSSFAVDEKTKQKVVFWYTKSTPNVRNEFPKVFPPVLSSY LCDAYDRSKACELPASPVWYPKADNAVDGETQCVYRLSASSEQKSR XP_827439.1 MLTSSFISDEDNFSQRLEHINREMKAIMDRTGVERSQLLNMEAR GSVMRNLHDGFRIGGVSHTSFTTPLNTTPPVSLPPGPTSSHDISEDITMKRMIDERVG ELRGSFAQMLSDTISSLNLNIQQRFDDAQRSRLDFTESLRETADTARRSVSELQTAFS RMKRTVDRPVEELARELQEHVSRSNQENTRVRDAIASLENEARVERQRGDRRIDELVR RHHDLVRNSLLELDAHVDGLRDELQAMVRLQSKQVMEELSSTQQQVARLHGALEAMND TTVRWVAELRDLMEENAKRRSEMQSLKGEVDNLGALVKQLSNQLTTIAKGSTPISGEG GHNTPLRKSSSGVEGDGASPETIKALKYTVNCLASDMTRMGRQMAYMNGALQKLFASH NAGSYGGANGNGRFPPPVSGASHGRVGDHGYGGRPTSMNSSNSPQFPFPYGGTSAGTR EFQHPHMMGSRSLYSGGLPGFGAGTNSPPAVHASTTDASPMSAYSPRNCSPSYSMGEA QQSPQQQEPSPIPEQCRVNNSESASPKHSVTQNKQTSPDEDERAPSSSSAFRCGEVDS PLNVASTHSQNHSSSHHRGSPIQANTTSPGVLSEKSPAGQSTPPDSYVSSRNSVVLDR RESRQYNPAPTSDLESELDNKKIARLALD XP_827440.1 MTGSNGNDEPFKREMRTVKVPHHRFPALKKVWMDVYTPIVEQCK LEIRMNLRGRSVELRTCPATVEAAGNEGSKALLQKAEDFVKAVVAGFDVKDAVALLRM DDVYIEGFEVKDVRANLQGDNLSRCIGRMSGSHGKTKHTIENVTRTRIVIADTHICIM GTTQNIRVARDALCDLIRGSPATKVYTRVRAVMNRVNDTF XP_827441.1 MSSRIERVELFNFKSYSGHVTIGPLKDFTCIVGPNGSGKSNLMD ALCFVLSSNSTATLRGGSPTDFIHRGAQQRECFVTVVLRHSRADSIGSSIVSVKNGGS DNMPETSFTRIVDSAGRIKHKVNGKPVGEEDFVSALRKVNIGPRVNNFLVFQNEVQSV SKKKAQELTEFLERVSGSIEFKEEYDRLKKTGELAKIELAKAAAARREAGSALTVARD QKKETEKYSETMKRISEERCNEALVELLSIENRIRKQKRQLALQSAELEKLMKTFTSA QDAEEMKRTYAEKNKSYLEEINQNRKDISDLRNLRSSLDNAMVRLEHLKRSHDEKRRK MESVLANEENRSRQKERIEEEIRKQKALLAAFDGRCKEEDKNYTSLSDALNKEQLKEY GRLRVASRCQTSTLRQQVDRVRGEQQSMSEGKKQCLVSIENITMQRDNLLVEVQRSDA LITELQQRQEELRERAKELSKNSAMKQAEITHAERRNREAEVELEKINAQLGELHFIE ENDKREAKVTEALEELKVLHGVRGRLVDLCTIPNNKYRHAVTVALGKNLEAVVVDTSE TAHACVRYLKERRLPPLTFLPLNSVNGSAVDDRLRTLGGTCKPVVDVICFDASIEAAV RYALGQTLVCDTMGEGRRIAYGQSSGERFKVVTCDGTVLRRNGVVQGGLAATRSRAQK WDKKKYEDLRAAQKRLIEGTNGWFESELSQLRHELQGMQEGLRFTEQRQKAVKEEIER ARSKVEGLRQKVKQQEAELHAVEERRASYEEGLKRCEKELEAGLAAIKRAEQELFGDF QKRVNIPNLLEYEQNDLRRANEREEQRQTLLMLIGKLELSLNSNHGHVTFGSKDLRAE CEEIESLIKKCEAELTATKKVVKEKEVKVNETGARVVQLRGDLMKLKERRDQQDRRQE DERQKLRQVRQVVRILEAGCETFRLQRMNVVRRCQMENVPILLKPVDAVGKKRLRPSD ADDLSFSEPFALIEADTPDPSQQSQQQDRRPALKAAAEDGRVMIDFSVLSENLRLVAA NDAQLSQYKQRTASLLETLQRTVESLGPNLRAATRVVDCEAKLALCNAQFDAVHKRVR EIDSNLMKVKHLRTKRFFETYNKIAVHVGNVYKGLTKSDSDGLVHGIACLNLENEDEP YLGGTLYNATPPMKRCTEIERLSGGERTMAALALLFAVHATSPTPFFVLDEVDAALDA ANVQRLAKYTRENCNTTQFIVISLMDQLYHMADMLVGVLKDKERGSSSILTMDLSSYP LVVGGGNKHINGY XP_827442.1 MFFLNKKSISPLLTCRFTGTLQIFPFYPLEVVAAKDVCVVCVFM SVYIYIYIYIYIYIGGGEACKNNILARGHVDFFNVLICDSYCACAHFSSYLFFLVSFP ILSFFFFFFLLLVPLKLRLFLPSLVM XP_827443.1 MGASEAKGENWQKDSDVSGCCKCNVSFSLTTRRHHCRKCGLVVC SKCSRYRINLSSHDSDTPKRVCRHCFHTLRKNGAAGFETGVGSSASTGGWGLDGSVSP TTEKENTADDTNFETPDDEKAPAAEDCDSINCCFVGAGCGGCYGEGADAYYDTGTLYS DPITALLDVGEKTALQTEKERLLQQWTEIRQNVVFVDIQLQEVERVGENTPVGYCQEV ENIMLQPELPERLRTMFPFPRGGEGNMELLMKPLEPITALTCKSQKEVSDALRQVTAQ LQLSRLPNY XP_827444.1 MMRSSSFCRRQIRPYYNLPSKSEHGRKMTGFLTPYRHWMWKQNE LWRNVHEAQFEHLRRVYKRQWLESFRVNADEYIYKYNITKAAQLAQWECEMKEQEKKR IEARQMMDGRQALKKKHLDLLREFHERQFFFWYERASERLQNMNLINYVPHAQLREHI DKELDKYVAGKNEPYPLNFVGQMPFLEDGDGNIVEVPESLLSNHMAEHPDSTAKPHEP HTSSSISEAAAFEERMLRAMVSAKEEDLKEWLGDDSRALSETIDDISREEEEREADIR VARSMEETDAEREVSRRAYIERGKTGSRSIFRPPTVSEGAGGTPSAPAGDANTPMRRR KKGKLDRVHALQAHQDELLAKLSSQGLKEGVDASSVPERGKIVQSRGRIRDKAVIPTH EVLMQKPELAAGSTPGARIQTKDMVDKMYHRGKYKKSGSGDKSDGEDL XP_827445.1 MLTNSSAVTTSGEGGMRKYRLEVGVKRLTDLVDMSSSARIVFSV RLPHFPEIYIQPQVRGGGAAVEFSPAGDRVLSVTYNACHRAEFSLSNRDCRTMFPTQC CCRLYDESLSEVAIASSSLTWLCPCPLVEQQVAKELRCSNFEMRTVGGKVMGVAEMTC RVVPVECAVVAPVSPSPVAPVNSTPIALTGSSDIISIDGKAYVIRVIIDSRIFKRKGK RKVGTTHRHRSNRKEPRIGGQPAPAIAAPPAREVLRPDEGEVLPREALREVKQEGQDL HASSHMHEGAMEGVQKGTFLYFLKYDVAYQIQSNCMMFYTTLKREFATLDEFTIDDKA RNDADRIERLAQNVLRLSNIVLQLATQFVTSAGFSKAEITVRENCGTSVEKLPPSKKG SVADFIVNKVLYQLQTVGANLYHIALAYPKPLVVSLSSLTPQHTKFMKDLAMDIKSLT KRVNIMVQSTVNGNFGVRGAFSKVASTTTRRSSRSSGDGIPGGRERIKANEDVTQGQI INESTAPRRKPGIVATGEEKGSANPPEVETSGGAKKKKADSSNDSSSTLSTSRSRSVS TATASSSSLSDSGSSDQSIGSSSKSSTSYTDSFTTTQSTGGGSSTVESIPSTRSSKRF QPTVIYTPVVPNVTLQPQSCGEKPAAVAPGGVPVSLQSIPLALSVPPFSSTVGVPQPA VAVSSGNPLPTSTASATTTTTTTSATTTTSTTSVTATQSPSQSATSIPAVAPVALGAP HTSGVATTGGLALSTAAPSVPIPVAPATVLSAGTSTMAAALSANHSGTSAAAALPVPL ISQLPIGVSASTESVMNVAASSMNSSIPLPTPVVPVIRSPTTPTVQFPPSPGYSARGV PTAVPAPQAADANASLAGPNLSFSILPVPVPKSPTAMPQPPALSSAHLASPPPLPPSA RVSASASLSLSTPGKGDSVFTPLPLPSLPSASPVSPSSTPEGVRGGALVV XP_827446.1 MSKSLQRALVFSGQGAHRRGMATEYLRIPAVACLWERMKGSMEQ KYGISLQDIITENPTRLYVYNDAYDVAAICERSCQDGGSVVESTPRQKLISHPQGVLS LTSLTQPCMLAAHMVSLEYLKETRGYSVESSEVIAGHSLGEFSALCALGLLSPEVAVD LVYRRGALMEDAVKHSSQSNEGHLMFACNPQRAKLCVEDPDLAVDQLHIFVELIARNL STTASFIEVVNYNISYEQYVVVGDPIALSALGKCLDPQFRATSCGSSATLDNIVRTAV SSVLQDKQEGITMNPNTGPAPDFVTSCARKYGIRSTFRRFLRGPDDGYTPSLEELTHL TLQEDGRSGLKKKSWFIPLPLSIPFHSSRLRRAMDLFLPVVRDALPDEETMRSFFCIP QCGGSDEGPSSRCASEPKKPVWLTNLTGTAFRPFDVDFQRDALDAMQSMNVGEIRHNG RYHTNLVELAFKNGMDTSSVRDMCAAVLAAQLAHPVQWIDVMDSAVFQHGVREAHEIS PVRTVADMFKRTVFRGRTSDASVGEAALDIVTRCLPSEERFL XP_827447.1 MFRVYTRRLSSLGPFCGSRKCLLWWGYKEGDLHRIARQRPWWEN AAIFAGLLCFIQASSMCYYFGNRWYQAIRPSGNDLYINRAMDTKVFSRPDLSVAAVRM VIHHALSGAQTPFGVPMVYPEYMKPEAEELMEFILQLNHEQPMFSIPDLWALFSAKAL ARLGGPSISVHRGRSDPPNDVPADQLLISTPSLVPESKRDVLNMKRLLSRQGFAVDEI VAMLGGIRNIGFHESSGFHTTEEVKPQMRRRPGVMGPEEDVYHIPDTPQKCTLDPYVF GSEYFDLLLDYNWKQNTSLFRRSGPFHCSENDRKREVLLLDPFSEQAMERERKRLQAE ERARESVERAALKRHPSFDETTSITGGRDGEAQPISGVMSELNEDEGINVKSLVEVPE FKSPCSSVSMREVDVLLLDDALLTGWMHRFSANEILFYDVFGTVMEKIQCRGYNLNSL YLP XP_827449.1 MGQQKKDAAQSVAAVAAQNVDSYLRGVTSRARVPSATGGRGTRR RLASQAEVAAAKIKEQPKSLDDFADADNGDDEWEEVMLPTSFTPSSSTKPEVKVKDEK NGIVETPEFGATGPNPGQGSVKAELVDLTDEENRHNRILASVTPMGGQQSSSPSSGQW RQRDPAYEQMLEQQQLLAAKRRSERTKRAVEEISTLLFALLRGRKIVQQARHPKLVRG LLRLHVVEDAASKTYPLLRAVLQAKGLYAKAMNPAVSTPSLAPCWVTANKDSVNNYTS ASISALLRGIECVFVLDGGIEHAALSNWAAPIQPGYLFEQLRKYHYSIGSPGCRIVLP HSLYICAVFLSLAAVSNVSCRLVVAVERMRRGDMSEKSGSDGGSPPKEAMSLFGSGKK RKRGDDAGAKQNTPKRLPSSCFWLEVWCPQRQSYISVNPCGGCATLFAAPYTFSVGGD AIMDVTPRYSIKYSSAFTHRLGRCDRYRHIWKDLQWNDNREASEVIVDLFRRDVGKYT EAQMQREKKQLHSLTYAEEVPKTLTALQKHPLFILENGLSRYEGIYPKDSTTMVGSVK GHIVFKRSAVVSLRSRDGWLREGRTVSGEEEPYKVIPPPPSRPFSKSSALFGVWQTKP FAPEPLGEDGSIPKHGNTQWYILLDKPAPIGLVHMQQPNIIRVARRMNIDFGIVVTGY RRRRLNEARSSGWEVVTDGIIVKETNTGSLVKAYEEWKQLTEEQEAAKRKQRAYRWWM HFVQHRLAYLRIRQQYLEGATHGHLSSH XP_827450.1 MRSAVNLGGAPRVPSQRLPAFEGVSPRIVARQVPAHRGEYVSLV LRPTSLNAQRNSLVASCVVTDESVEVMGLPADAEVAQVNEFVCYINPSSGELEYYQHG TYNDEYDVDVYRKLLELCPKFPALF XP_827451.1 MVSKISVVLLVAQNRENNRYNKKKKMTHFQKRKRGKGQIQKFSI FFFSSLRSCKIQYSFHDHFLFALSPFHIPLLLFCSYILPFPELSSWWTRRHALYDRDI KEKQMEKCSRRYNKTQRERGWKGNKVKKKGRTFYARNNRNTHAHTNIRTSK XP_827452.1 MKHMLPISNLNKGVYDQGSKDPYNMTETLWLEMEKYETRRGSGT TALSRTNTAFSPLPTKPIPLFVIHQWRNYVSFCRWTIAQRIDWREVFSYLCNPKTEST METNALHNSGDEGMNEVLYSRDGLFSLRGDCSSRGSKSSFSGYLDPVNLSFEKLKHEL VMDCTSRFGTLPICVHQQRLLRWTKMLIQCVVWRLRYAFNVVTEGKGGVAVSDAELQS YRVVLTRGREVFGAGPYLRELCKLLDAHGGTLTDSLDLPTINKSPRAPPVNDDPVLNM TLTMVFDQTTACPGVSTYFIPSLAARSLDALWVDKLHIPWDILDPKDMPEKVRTRLLG PMTTNETAYIDFCPVKNRVQGQLHSTTFHLMFDAEDVREGVSEGEDTCRPRIVMAISS QRVELHQLISVVRLLEAGGYVMLTKIRHSTHLECYRVLPFLGDYITLRNIVNNAIPCT LGSVAVGGPAAAGAFPHSSNVLKMADLLLFGLSNATRNLYEHSIVCCLQKYRKRATDG RMTICMQPLSPGAETGVVSTTVSKPCISVLETVVEPTLGTLQLMLSNGSLKSSGGEDF GHFVLDMLVTAVNSAIARVSRQIKSNSGTGGQLRSDHALLRRYINMHPASTQLQESNI S XP_827453.1 MAMHRLCVRARRPTHGMVRLIGGIFSSHRLVSNISTPYRPLSDL EKQVEPLVPFTFTALTQIAHSVDEDLMERVAELGGLRSFLVSCPQTFRVAKVGDVYVA RRLRKSVAFHSTVSKDVKPRPQNKEGHHSRALSCDPIRAVPDEVFKLIPSFFVPLDAL LDRIRKAGSIQDGSSNSLLNAGDTGDFEDLVARFVEQCSNYIDLVLLKDTNDGGGTVQ QRYVRLRPSVSRQCDDLQVFPKDEKCVAHNMESYEVAEYEQHRAARLIPIVEKFVSIT RDMRDSAALLLPAGRSLIHVFVSAPHLFDVRDKPELSVRFILDPRFRPNLTLTREEIE KKLEEVNDSRGSSAMRLPINRKKRRALQRQLQFMINPLPFFDENVIAQALFDLLPIDG PISTSNLFSLLSREAHHCLPALPHRIFTKYNHMFRTVEGETELLVQRADLPLPEERNL SEISSEEIVMQLYNNFPRRRHPICGTCVERCSVGFPQSVRLRLRQLDVLNDVLRQQPD KVEVMDGLDEELLKNGVQFAQPGRLQLFRFVGIYQEELIKRYETLCLKLGRDPNKTMK LC XP_827454.1 MVCRCLALSSHYFNAAMNVLCHFHFSCWCCEERILCVTLPFIFI PCTIKLSFYFFFLLSARSSIRVWVRFPVTNIVCFCSSQYLPLLHFFPFPVKVVLIKHY FTCTGCY XP_827455.1 MSFGRLLKQQRLPAWQLNLTPRIVCVILWTIAFICIPLGIFVEF CNRNAKEASFRYDNEPTKCTEETFSLIGGQGTGKRTVCETHFEFVLAETLRQPVYFYY GLTKMYQNHRRYTNSRSGKQLMGADVRSETDANPFVIPGDTMDNTNKPIEFGGRNHTY KDFVYVPVGLVAWSMFNDTFTLFRKEKNGSNPGEVLICNGTDFSRHTNKPLHRSVSHN HCDKNGIAWESDIKKKFLEPKWDGSGPVWTAPRSEYGKPSIESNDTYFNNGWYAGEEG HMIPVVTDEDFMVWMRASPLPNVRKLYRIIRTDLRAGKYVMKIRQNYNTKPYGGEKSF IFLQPSMLGGKLTSLSITYFAVGGLALIFTVLVLFASHVWGHHSFAVVERLLTK XP_827456.1 MDLDIVDDAEVYANSLRDARIEIMRGMSSTGDGKLGENCHPFLK EVVVDGRRQAEQQAAVLAATEFFINELLTCLECGMVLNGVKESEATQWRVWFRIFLSL YEASPAPTQAQWEHEMRLQNCETYFGETLDSGSDNNDWDEEDDGSNVEFHLRTLVTHC LAAARTWRRQRCEAGNTELMAKLQRATSIMCAFVEPHSLDW XP_827457.1 MYPSLFKKRPRDDDAKSISLSFPPAVVEHYPSKLSFPVIIDANF PAQEYCSRGASVKSSIHWGQRKLLLSEIQLLCLYARPDVSYHIVYAGSAPGTHLAYLD EMFRGRHTWELVDPGKFDRPVLEKHPNFTLRNEFFTNATAYGINARRLSNVCPALGAI YEHVAVDSADPRLKDLHQKLQTVIGTHDVARGTESIPSMYEPQRQLPVGFELLCAVAR ERERPLLFISDIRSGSVNLPNFEDHVAENMKAQKCWCQILQGEFSMLKFRLPYTHRGK GVGEGRKKVPSHLIGKDGTVTYLKGDLLLPIWTRPTSTEGRLVVACGAPQVSYNVQYV ENQFFFFNAHLRERVHFNHLLPPDLDLDHHYDAAAEVNCLLAYLKFIDPNLRNAPADV LAREVKRVSSSITAQLRTTFQDAIRRRDALVLKHARGGNLDDEDGGESDGEEPGDSPQ CAADHQRHNKNGCAGSPMEEMAKALIAAAAKERARVLWKRNVEESETDPLSGVWVTTK MKQ XP_827458.1 MVQHEINWYQPNALTPPPPPLPLQALHSKYAPQHQPN XP_827459.1 MASAPRLGRKGGKITASPIIPTHALTPGSSTSPVSLHTPGEGTT IIGDPNAAHPFGSDMLTVTKKQTSAEANVLQFDVGAIQLLGKGVVVGRAKQARTRTYQ PQSDATEVRQRLHGVFSTRRSKVPHRAASAGLDALQESEVVATRKSQDTLSLVAALLS ADRMANILVVVSKYYELSHVRSSVEAILREEGEDTQSVGVLFGQTFEGSKSTRAWVMT ADMALLYMTQLGSPAPFTHVVVPCCVDTTSSLSCFLKMLGGWMMSNTPRSQSVRLVVT TDCSSDGHVARTIGVPRVKILDDKVIRLHEFSYNEVCALLGKQTMEMDKDAAGKFPSP PKRLVDYTADVAAELVRYVVTHTPTAQIFSIFTADVREVLTALQGAKIEDCTVYSTLK SAADKVETKHRVHVINHVSHALDTENEFTMVLDMGTIRRSSVQHKSESFIAASTTEWE SKAEQAERKSILGENTTCCYFALFQDDVGASFQDEAQFLPDIFNVENAFVQCARLNLS VCEVGRLLPSVPRDVVDQVMQKVAEKCMISTPDSLDITFLGEIKSRLPVEIDVAYLIM GGCSLGLGEATLVVSAVIALPFRSTAPPTYTVNRWTEATQESRKRCAGDIALSSDLLA DAFVFLEWLRLRTTGAATATFLEAFLVQEFKFEKIEGLMNHMRDQLMNYAFLDRLDDV DTVNKVAESLRENASTMLMLLSMALSRRAAFIRDAGHINEKDRHASMVFVRTSKQLVV HPFIPSGARWETGGIVIPVILKNSTTILGGMFSLVDTSLFFASLLLLYPQIEYSRPVT TERGRVVYFGVACNWQMKRFVVSIDDATQILDFRENINTAIGCMRALRMLPHPISKTR FAIALKEHDRFFDMERLHRETQRRLHSLAAALNVQEHQGSFETFAKHYTAPKEIIPFN DVAATDVLLLRRFADGTLWDEQRPSPQSAPAIGSSPQKSAVLTPFDTAIPAPYDDDDD DVQIIQNSYFMLHGPLIEDDDDD XP_827460.1 MSYLPDAEIDALIREDEERRAGRGNISIAELFSVPTPPAVKPPT PPPPKKKLRGLICEMLPVEDEEFLCDEYDELARSPNDMDRWVGHHRDPPPPHYSGPVL TLKSWGPYTRFEVLSSLPPVPPRFDGKKKVCNRSRHYPRPALPKCNKSLDQKKQSNSL WLWKRSMGFLSEENRSTGVTENQ XP_827461.1 MSNEYTTAARLQQHHLSSGSANMDMCVYSKLLEGSSTILQAINA LTPLAPKAANIVEREIVNFMRAATPEHRVRCISQITGALREPAFYNQRHKRGCATFLH KLAVHFVFPLLLHSSVRYLHRALATLVRTVYVVSEDLELCFQEAFVTAHIKPWFRDGC RPSLLQHLDSTELSLLKDPIMNWVNCIDGTMCVAVPLFPAVFANTFFDVVPLLGDSLQ WMVDNACAGCSQSECGKGNSGMLLGEDLSYVRYGIRVVTTYVHKFLHLLGDVLHEGEE QKMAEVQEDICKLFSSSLRMLSSAVFPKDVLNGAGLLVSSLLTIRTCDASFLLEICRY CGASEVRKESNFTSVADDDGCSKRWTEEYVREFVQLVCSSDPQHEILQRSFSRDSLRR IFYSFTANGRFALMKGLLAHLSTPLRGNIGSVGVLLKPVVPLLDNLSSYAASGRPLVA VYDVIIPAAISYCSALHVPDTRFMAIQTIDSVVRHLSSVFTCIADLLETTLEESVVEG TTRVVKRGVQRAGGVGLLTETDRKALSALCANTSGLMRALSEATEVIMGMWDDSTQHV AGPLYGAYSEILAVHSSVRRCNTLLSQGSGAPNQEVDALDVAETLHVILPIPNERRGK YHALLALLDVVQVPQFLLELRQHRAKCSKEGAADYCSAVTPDDVSEVDALCEFSRMLL GGAINPKVGSAAGEVFAKTANYIRLSSGTETETEKLFFGGVIEPLLKSIVVPGYCAPL HVEDATRISNIVTHMISPCLKRDESYLPTLLSRLATMVESGDCYFRLGQSIVEILHRA RVAGRDVSTYIHPRSRLFKVVLSSLQSHSSELRYTALGLCVLTMKKAEPVHYWQCRLM EWYISTNMHSGGDSAAMRNLLEVYKKWAQRLVDSCASKCSNRRRKAEGNETSDQYRDL VTKHFVRTVSYIAPHIGESVEWCRNLSLERRVTAMMIYSCLLRNSTEVFTDTQLHELQ EQLLPETLVEGLLECLSSGWVKARECAFGILQTYCKYAASAVFSERRLGNPVAASDAK AGLSHARTYKKAEGEVLRYVLATYFTPAARKAASEDPQRECKRRLELVKLEIAALHGK FVGLCQLGTKKAYQLVKLHPFHGSLSLCAALLSNVKEVCTTADGLQESCNQMLLCCNQ ALQNCSLLVGGEASGSANEGDVDVDCRGHAFDKGNPCEEASMRAVVNNTWLCIRTAAA AVERVVALVSIDSLDFKVVRGVCYVLVESLLRTKHNGVMRAVRGALKTIAAALLRSRD VTCHTLPSEILEFLLGPDGVTSVSVARMLRRSQGLPHALLAVLEAEDIGVPATLFPQA MKRLLHVATNAHSNEGGPDLCPPNGNDERRTPEADGEIRRSQRSNALNVLKFIFENKS FASRSVSHLEDAFWIAASGFDDPSWGIRNSSLMLFSAVLPRFVGEHPSTGGVGVNTSL HDIAIRAPRAVAFAYEELVKSFTNPSPSLGVFPLLQMLSMLAPDPPHVITKATTVNLE GEEQEQPDSQRIVNAVVRCGSSRNLMIRAACSVALTSLVPPTNLEGLFAEFCSALSAS RTAANALHGVLLHLQQFHTFYVGTLRRHVKPRALSSSVTPTVQLLVSRLTVEGLTISQ GNSAQEPVLSRACVRCPTIAATFFSVASDALYHATVGMKGIEKKDITDSVRSLVNIGV STLHSILCTATPLYSLSRAYHAAVVENITLFALLAVHYLSDITTHHGTHDALWVALRD VFACDASKIIMSHTMNHISYLMSKNWWSSEISVGVVKQFAVRMKCDLVHAALTMLRES LGDDRHVKGLSCLELLRMQSQLEFVVTMSKEGLMCLEAHSELFDAVEDLLLRRMDPSA KHFLRNADVHSWAIRFLGLRCTCHMPHNKAVLEIITHYCLPTVNVQTRLAVVDSLNDS FLAGVVTSPAAAATTSTFTKQRSVYLLVLLQLLFDDAYDVRTAACRVVSRLVLAAALP LDHTSCVIALVSLLRSYCSTGELSPVMVKQHLLKNTECCLSGSANKDTCGDDDDEGSD EEGVLFEKEADNMFAEGSLLAFLATVITREGADCVPVFSVYTELVNVSERCGNPAVMY GALLDEPSPCGA XP_827462.1 MSVSSQIGIRRHALLPFIGSVASRRSFRGCQWTHHSCRFMSSCN PLRGSFKYRHNRTLPEDAVNPLTTPTNVDAGVLHVVSVPIGNLKDFSIRALDVLRQVD YIVTTDRPATKTLLDLVQIESQGRLIHYSRSNRTTTKEKLVELLLGGRRMALVCTSGT PCVGDVGGELVREMQAEGVRVAAVPGSCALTCALAVAGVTTSLHEVSATADTNRACAA KRPRIGSLRDGSFYFGNVLPESHGERLRVLRTAVGEATHPCVFYEVPRRLLSVLQDIA LVLPKRRVVLTHELTKVNESIHADVAEKLLSFYSRQEANMLLKKGQLVLIIDGPDENE MRERLECEALKRQRLRRSFASLTGRCGNMEEKNTHDVGKTKRMRLCRRSLLRKKRREA LISRIEKEQERIRMTMLINRTDATRD XP_827463.1 MSMDVGVVGLGVMGANLALNIAEKGFKVAVFNRTYSKSEEFMKA NASAPFAGNLKAFETMEAFAASLKKPRKALILVQAGAATDSTIEQLKKVFEKGDILVD TGNAHFKDQGRRAQQLEAAGLRFLGMGISGGEEGARKGPAFFPGGTLSVWEEIRPIVE AAAAKADDGRPCVTMNGSGGAGSCVKMYHNSGEYAILQIWGEVFDILRAMGLNNDEVA AVLEDWKSKNFLKSYMLDISIAAARAKDKDGSYLTEHVMDRIGSKGTGLWSAQEALEI GVPAPSLNMAVVSRQFTMYKTERQANASNAPGITQSPGYTLKNKSPSGPEIKQLYDSV CIAIISCYAQMFQCLREMDKVHNFGLNLPATIATFRAGCILQGYLLKPMTEAFEKNPN ISNLMCAFQTEIRAGLQNYRDMVALITSKLEVSIPVLSASLNYVTAMFTPTLKYGQLV SLQRDVFGRHGYERVDKDGRESFQWPELQ XP_827464.1 MGGDSLNLKRPNIRRLGPFENSTLCYFLFSRYTSVKIQENNSDN NNFPALR XP_827465.1 MDATLHDKSTRQNTAPTCLSKAETKPSIHAAAGLLGASISTAMF YPLDALRTQMHVCKGGDVNQLSSLRQVVRQKGLRRLYAGFAVSVTSYGIGWGAYMAVF KSVQQNLSAYVSSNQIGGGSGSAKSGSVTAGCNVLSGCAAAITTGTVVTPLCVIRTRQ QLFDGSNGAKPQNCWQGFKAIVENEGCGALMRGMIPQILIMGNTIIQMAIYEELRHYI VEQKIQPTSFDVALISSVSKAVASALFNPIEVVRTRLQDKRNCTSPEYRSMTVGLRTI WRTEGIRGLYRGVWVNLCRVVPTTSVSFILYEKFLAILSHHNARRAACLPLVAD XP_827466.1 MLNRDGTRSNRSSVRLTSDEGKVSLSAFSFLFSELCTRAHSTPT KARDIEEIEQRLTSLGAIVGAKLMMLSSLKDPLELQRRPTTIDEALKLLQEKFWTRWF GKAANDLQQEGESTRYFLVDSNPMVLQHVYPSPEYMDSEGQWSINYASFMGGIVEGAL RAVGFDADVLTYHHPEPDKPQQSIFAISFAQHVHDRERRIRD XP_827467.1 MRRFCGVSAVLFPPWQWVRFQGTESTEASIRRRVQEAIQKARKS NRVTWVPIACVSSYLPDDVREELASVGGLAGYCRNQHQKQQGPQMGEGSGGALFAVKV VDGMLCVKMTDGNIRKGSMGGRLENSNLVVSQELLHFLRQLPDQPVAIAACQRAWGLS SEEAVECAVWNALKELECSLRRREANLPSVSSYVQIGFVGKRPAFISQGRCTMALLRE EDPSTERDTYTWFDEDFSPQYDLWRMSRFLLTEDFTSIQHVDIQTRGIIQTPLLQVAM TYPERISLQLGSTVDRSNAVEGRYYVNGRSHHEVDEVIGLKFILSEDEVAKHLKFTNS RLSNLTEEELSAERAKLKNIPPLRRSKLRRAVVREEFRRRFPLGNVFLNPNVVAFHVY DLMTPGEWHSNSVLRDTVLPDGGKGCMHVGVDFFDQFPHLFITQGVCTTTVNVMRREQ GMEAILGDGEIWKRTSFRDEDILLSMLQNVSHKLDEWAEGKPLSIFVSRLPRHMFKYL QKNGGAAIGLAGILKKYPEAFEVTPCEGGNMESDVNHWFVRPLRDGVESLGVRLISKL QTTDDPKDSSKVEEDFDSTPQERGGN XP_827468.1 MAGVLTENLVLQKTKVDSIQRVRKLNVCAAQLSDIGVLRRACNL EVLSLSLNELSELGVLENCPRLSELYLRKNRVEDLNQVLHLSDAPNLTVLTLTENPIC QDPNYRRFVIAAVGSLQRLDDIDILPQEREEAYRVFPNLHAIAPPPSLYCDPAKGKIR PSSSTMMNVAQTSRALTRCSSPTRMQADMCAADYQQDRYYNQHRNSVNGAHRGPQSAA TAMRRNKATTGSIHHPPQDKRLLHGNGDTRTLPMANMQIGPTETGVVQAVKVLLSELS VEGLDEVRRFIDALQ XP_827469.1 MIYMYTLGAKGCKLECLPHESVCLFIYIYLYIYFQKKKTMDEFL YSSPCLHTGLGWMVVYLREFDMRGIFLSLVMRTCAIFFLLLLLLLFSLLFFFICSLHL FFIFSFLFSFLSFFYFFNSFIILLFDLFFFFFLFWKESTVREEKKILLFVEIASLFSF SFFFFHILLLSLLLLLLLFSLIYRSFFLLFLPSCRVGCLVCVFFYLILFLL XP_827470.1 MKIGIVVDSLKQAVVFTTAVTVVIICRKGVACETKNKMINGVKR KEEIIPAISILFFSFFNLFFSCSFTLPYFFLQCAQANIYTGMRTCMKTRVIITTITIT NITYIFRYIYLYIYIPQKCRNQQTGRKQTKHTHTHTYTHTHNNKKRQAKERNKQNERE EHEKANPFK XP_827471.1 MTRAGFKGKVLGKEKKLALLEARKKAAEARKSRDDRRWKRVLAK MDPEKRKKYHGVGNTAEHSRVRGCTRASLFKRTGRKPDNIVMEASIHLSKLLKKRTFH KRAPIAIKRIRSFVGKLMKTKDNRIDASLNTFIWHKGVKGVPGRVRVRVERKSETMEG GKRKHFYTVISHIPVPSFKNLTTKVIEQ XP_827472.1 MTRAGFKGKVLGKEKKLALLEARKKAAEARKSRDDRRWKRVLAK MDPEKRKKYHGVGNTAEHSRVRGCTRASLFKRTGRKPDNIVMEASIHLSKLLKKRTFH KRAPIAIKRIRSFVGKLMKTKDNRIDASLNTFIWHKGVKGVPGRVRVRVERKSETMEG GKRKHFYTVISHIPVPSFKNLTTKVIEQ XP_827473.1 MHSSSSSSTLSPRTGTRVSLLITSYKTQFPPVPLHFSYYYCNNY NYFLSGKLVGASIGVSNHSCAQCASMGRNVTAVTSSASPALDVETDEFAEDNGFFDDF SADGSSKLSKRKRSRQSYEQNQQVLEATVVEDEVSRKERVEGARRKIAAAVLARASPR EVKQAVMKMKKKKKDRESTEVLPKEGRHKHVEGRKKSRSKK XP_827474.1 MSHPDNDADLDALLDDCLNTMDEQERIHEEKAQERAATRAVDQK SATAELNPDEATMINLLRTIMESAGSDENTDADNVEEKLASQIEEISAMLAGIPDITP EERQSYEQVLQLVQTLKDGSAASSKEGEGSGTSLSDGDDDKPSEEELATIRKVNELLM QLGEGEGVPATEAGSAGATENADSPEFAAEALLNVLLDPQLVEPLRQMRESYPLWLAE NESKTSAEDLARYKRQHEITVSICDFLESGAVGAQDMERMSTLVALMHEYSSLAPLPP GLADVQPSV XP_827475.1 MTGEGTLSNGQPAKNSTLPWVEKYRPTTLDDVVAHEEILDTTRR LMNSGSMPHLLFYGPPGTGKTTTIKACAHHLFGKERLRANVLEMNASDDRGIDVVRQQ VREFASTSSIFFQNNPGNQTVTNFKLVILDEADQMSSDAQAALRRIIEKFTKNVRFCI LCNHINKIIPALQSRCTRFRFSPVKKSAMLPRLKLIAREEGVPFTDEGLISAFRLSDG DMRRCLNTMQASSMSAGEITEESVYRTTGNPTPTDVRVMVGDMLSHNYATSWEKVQQL VVDKGVSTADLVREVHLIVMAMDLPQDCKCFLLIKLADVEYYAAGGTREMINIGGVLG AFQLVKEALTQNKPIFELAGGLC XP_827476.1 MGSSDDEWQNPPVFPGGRRPGAGGSGENAMLAARRRAMQERREA DSSQRGGLASGPVVQPSGKAVESLPWDDSSDSVKQQPQRPQMQVQVPPPQAASAVTEE MLETLRRDLEAKKKVLSAAKEKEEALREQWRAQKAQRMAQIAQLDEKIRRQQDAVEDV KAVAERELRDAEAAQQQRLREEKERMEMEIREQYEPLIAEQRAQLKELQAKETKLQAE LNAGDNAKDLVNRCISSALATILQRVEGMFAEDTSTMNEWENDVQRLVRNEVRSSFAV ANDTEAQREREEYQRYFEDTLDFWRRAEAEQRERVLKMDEQLLLDLQSMVHDDLDRLQ REEFNMEELYVQSRETWAEQHQQLLQRELNAAMSRRAAEFEEQRAARHELHLERMKVA EERHHETVQKLRWFHEKQMTLLREQYAKEQRLEEQRMMLASAAQEDVARATEEFGHVA DAVEGLLQLLKDYRQSVDEGRASIDEERRRTLEAREATLNALQDIVSKQTVATNDEYT SLSTTLNKLETVRAMVEQHLEDERIWLGKQEASFARSKAEWEREYRRWKQMVEMEKSQ VLERYNGVLSELHQVATLLSEEERDVQTEQTSISSWLRHVSEEAGAEVRQLQKREEDL KERQIKMQALGDEVQTKGFQLNEQWEKLRLERQQLVNEENVLREDEAKLRQTSHYLRM LQAQIEGTKIESVAAKDRVRSLQCELLASRTAIDQMRQPKKCDFRHRAEPIEVPIRRQ AQNIENDSTRLPLRVLNELRELLDTEETCRDQGPVVTFSTNSKLQKVSHEAFSPPPRE EGGNTQNRSRHREERRSRTDKRKTKYTDPADISRDTFSQTCNSSNNFTTLIGFSDSEA LHESATQSP XP_827477.1 MYCYCLCILFFFFFFSFCEGKLCLIFVLLYFIESKMSKQQHLVS DFEDGSGSWSNIEQGFDTLLVHGGVKPDPVTGAVLTPIYQSTTFVQESIELYQSRGFS YTRSANPTVKALEEKLCAVEKGDYATVYSTGMAATTTVVSALMNAGDHAIVTDCSYGG TNRACRVFFTRFGMEFTFVDMRDLSNVEKAIKSNTKLVISETPANPTLTLTDIRALSK ICKAKGLLHVCDNTFATAFIMRPIDLGADVSLISTTKFVDGHNMTVGGALVTKRKDLD EKLRLTQNILGNAMSPFVAFLQLQTMKTMSLRVTKQSANAQKVAEFLETHPIVDKVSY PGLKSFPQKELADRQHSNGNHGGMLWFELKGGTAAGRRLMDTVQRPWSLCENLGATES IITCPSVMTHANMTTEDRLKVGITDGFIRVSCGIEDAEDLVAALKKALDAMCH XP_827478.1 MPPKRAGKSKKKPKPPPPVVFYISTIEEFSEKVEKYEGCCLVAT VATHCSMCSTTVVPYLENLNTSRPAALAALNIVVINVDTESAELCKSLQFGAIPTFFS YSYGKLMHTFSGNNMDKALLIAKIAAQQAELDKAEAAAAAKELVNAAGGTAPDGAAGV ADAAPAPAAVE XP_827479.1 MATTNPQQRRTHAAKVVLSQLYATWVTSESGMTEIEKAIEEIMS LQQKGRKTNGSPPNESSNATNRHSAEPQQQRNPADAQNNYHSDPNTTPVQATPRRSSS PQPTSPGNARRRQGDDAPRSPSPVHKTRLYGVDELDFSHRVGSPGGTTTASPGGIHHV KPVVDPMAPVPHLSSSTGVTNNNISGVSNNSNVNVASIQPTTHFLSPGSLDVSVTSVV VPNESFASIETSVAGSSMPSQRVVHLSGNFASLPPENVERATLNDIPVFYSGKDRKGG RKSLVEAEEHALRNFFALKGSAVNRKVAKGAVAPIDASKTVRKGQFGKLCQDVFGVPT WLKDALFRRIAVTSGVSESTALTYEQISTFYYNVFGPLSINRRLFELLRNDSRSEHLT LQNLKDATRYLVDSHPGLEFLKQPEFQEYYCRTVAIRIVYSLERQQCGFISWYDFDRS DLPEVMQEVDEKDVNVVLQYFSYEHFYVLYCKFWELDTDRDQLVGFDDMCKYGQGAIC PSVIKRVVEGAGRPLKSGKPGKLDFEDFVYFCLSEEDKNSRSAVYYWFKVLDVDGDGI LSGYELYEFFQENQQRFLEYFECPESDLSYPDMMCQMMDMLGFSHVSEEKFGLKLSDL HSCATPANFFNMVFNAHKFMLFEHRDPFLEHQQKVRPEKTEWDRFARAEYDRMASEA XP_827480.1 MNKSQRDQQQQEERKKKRRKREGNEERNNEKQQTSFHFDVFFFF LFFCSCCCCSSCFCLFPIFRCIQFVFVHFFLIFLSVPLSFESSYLPFNNASFCPNACL LTHVRKRVNRNKFTWGIHAHAQKECN XP_827481.1 MEGTGGKVKGLSSLELKALSVPFALRFLLGVECDVVLCVTEVGN RRPFSIRFPSLSNAFKELLQLCALRYDLSCEHYDDHSLFTGILKFPILRYIDYIPGAL HMEEVLGCLGVESIRSPKRLGESLHEFVASFIDYKDYQPDAALDPLNNGLYLTLDYGL STATHSHLVEIQFHRGWDVDAALREFEEFSRLTANDIRFIDENNRGRGGVLVMPSTQD ASDLFERYEDEDSDDEGELLPFVLRPVAPMVPVPSVEDSTLRKHREQYWKEIETFWKR FPIWSNTVVVSNLYDGATLEDALRLFDGLAIAKATMVECDTPARRRKLYVTFETSAVA RTALSSDSMSTKGKTLRVQVSPPFLNEERRGRVIETISSPTSSPSLRSKCYPTGLTGS PNCTTAEPEGVHNERKETEGRRRDAHAVSGKSRDSAPALVNTESKHNAYTTPKLKPKT PDVMCQSPSPVSSTMNANAREFVPSSAFHASTPTTSAYVSPVPLVCVSEVLLSPPAYS LHGSSVRQDVGLGGGPPPPPPPYTAPPPYGVAAVQTVGMFPSHVLPPPHQSA XP_827482.1 MVLVFQISFHIDKELNCVEMFLLLLLLREFKHFPHITGLHSVIV FINESINDFPVVSYMKLLGITLILAYLFIYSFVSDFHFSCVMRNRSLFSLFHIYILTS IYIYKCVRLFLKCPIFTVCFS XP_827483.1 MYADEEESRFTGNIHTMPSMTANGKNKESATETTDLLRNGGSKD DENVGYTSVFTQTIVVMRHGERRDGSVDAEPEADPPLTEQGLANVSNAATELRNILGS RTTQNLQILTSPFLRTMQTAERLQKCGIGVRRQRIVDNNLCEVYGPLRIKSKEPPRLP DDAVVSGRGSLPLWGESLESATKRFADALQMNSRTYSEANLLFVTHGDALGAIVSALY PMRMVYEAEYLSFIVLRKNKIENSLASGCREFELVASKGVQWMVTGPEDCDPQESGHA ASEDSALGKKSDPFGQPRGDSPLHISRRPFGAEDGASPINLHNLSNEEGRGCLLDFWL LFVFRVLAVASQAPLLFFLETNLKDAGTYITVVLLLELIFFYASTTDERYFGFYQALV AVENFPAVCRRSYRSLSGGHGASTPRPNVLLVLCAASLKCFAIFAIASGAAAFLSLFP GIHFTMFDSYKMIFNSFWSALLVLFLLLFNFVRVYCYVSVALAG XP_827484.1 MQTNERVVTTVDELTSRVAQRSNLSGDAVPFTPSFLQVPAASTT VPTTVTQQSGVVTVAHVRENGGKGMSEEGKLKRRNHNSKSGNRKANRDKDRKDVSTIS PQQHGGESGGDLEMCQEEIVKALTLVAPIYNALEGMTEDEKLLLEGYLCNSGTNNESE TYDIDEDDRLFVNDDDGINSEEEVWILQQMMDADARVCEQHEE XP_827485.1 MCALRDIASTSPLGDAIRDRIKGGIIITTGEGAGSSPASTINSS PLGMGRGSDDSAHSPRSGNPLGWEGLEGQSLPFFGTRSRDGTDTCIHSHSYDQPHVCP ISMERNLRPSSSVSWLCDETLSEGLAGSPKSSFSPLVASPRFVNLRDSVVAASPLDYS EEHSPRFASGPSERGGSFCSQFSDNIALGKQLQGALANPTLWCSKEESPRSTAASPHS PRVGCSGNCLESPDLKVASASQPGPAAPSHDPWKKALRCLTITATLQMLLFVVVFATH YFVTTWVLVQIQAKCTNSSLAPARCHTFIGDRRPHRLGFITTENFTVVGTLSGFVATA LGVMILRYLLWHTLRSFSMGTVSLICRVAPLLQQDKDAIVYQLQVATSFSRKEASDAG NKERSETSQPNDKKTGYAPNRLCDHKGGSPICVLDSSCNIPKVNTSYENFHELAGPDF AGGRVPLRAVRSHEIIPVSSSPLLKNLDRSNKFSTPTAVRHNYWSVREVRASDSTSLS TQQSDACIDAFALPRPRELKVCCDVEGHPLKTNLHKHADPDKFDSATTNSSQEVTGRK EDTQESSSCKTKSDFSTSNSDQLLLETFGSKNAMLSNSGFLAQQVTFLVCRLFLPALD LEMEGVVTTKRLRAVQAMSQQFTEVVLRVAREEFGVPFDIRLDSVVVTFHTPSGPNSV NLVRPRDCAFRLVSELQKLESQWARTSSLPFVWGIAMHLSQLLVGVIRTPSGRTSSLY GEEVRLAYRVTELCRILDCPLLMLQPCYDVFRVCVTAVPVDVIHRRTCGGDVRIYLYD PKAPKERECVGSSREQYAPLMAAFGLMCEKRFSQASEQLEKVLELDHNAPRLHRLCKY LAQEHEGEKMNSSLSDITRYVREGPQWCAVDREAKKFIRKKIEKAGMSIDMNATSLSV SQYPFNDVVEPGCAQGISEEYRLLHRVANSCAMMDLSRFGQCECHVSPQYYAAPGSFQ PNEIQSECALVTLRQNTLMNSSITRDVCIVTHQRSSSACGVMPECNYKSDRTIAVVAP PHGENTIQNGDCYNKAERLEFDIYGPVGAGSFGRVYRGLHPDGNIVAIKEYPVPSMDE NNPEIQSTLSEIRMLSASHHKNIVRYVDRCFQNGCLYIITEFVSGGSLAALVETFHGL PCDIIRRYTGDILRGLQYLHDRQIVHRDISPNNVLVSIDGVCKLSDFGGAVECAMQPP TSEDNVTLTSDEKSPTRKRTMVLTTESDYCSTGSTTLKTCFGTPVCMSPEACMGVVDP RNDIWGLGITLCFCVACSYPWSQEDTADVRSFISKLRRGCISPEPPFDLMDVHFADFV RQCLKRDAKDRPSASELLFHDFMVN XP_827486.1 MRQTGSFQPFFLRGKVVHGKGRGGSQLGFPTANIGLDKDVMECL QPYKNLVVYGWGTVSQVPGKERESFGPYPFAASIGFNMQFDEKTLTVEPYFLHEFGWD FYGAVVKIIVLGEIRSMGSFHSLQALVDTIKSDVQFTRDMLQKPQLQEFSRHSLFESP SSTIPYFEDLPDE XP_827487.1 MYNSGGEVRSYAQRVFALYSRENVLSVSRFCLAWYVLWGKQPNP TVTELLFGRCDASGDGNVTKYPKEICEEGYAAPLRGSSSDYCKRPSGVNTVAVGASVP PNATLQRRISEEEFVRFAVAYYDSMGNDASDLSDVPGAANPFHAVPRVAHWAHFIALA GDKGYVTLQDILETENVAWLRPPEYEDGDVNPKGVRGGGRAALLSHAFAIADSNKDGK VVFGDVENYMRPLSS XP_827488.1 MPEVGTQVYWYDFEDAPPPWKNEEELAKMLELSSSIEGPISDAR HMLVQPREVYISMRTKLRNRQQPCNRYWSIVLEPHFGPLLGMNMVMRELKVEMVTREE AEEAVNGVVEEFNAMSQLYSATDGANDGLGTALSGKSAQAMDVKVAPFLEVVRKLCEP RNLDVVEWNRDELRRRGRIAFTDLLYHKRVVMIVDTSKPHFLICLPVTHKKRLTDKRE VYCMQLLAPTASPVPWRRLTKYALVSPRAREFVIITITKRDSVKNSNLSIDVIGAAPE AKSASQKDLEDGEDGDYDDEEAEDEYDNDDDDDEEDDGDEDENSNDGDNRPRKRARVA DSVDNSETDSLNNNEDYPFLDEIDAYERMRTGSAPIFSAEDRVLDDPLFNTPVATYRR ASRATREGRDWVYRFTHQNDRNKLFALTN XP_827489.1 MGDEVIRLCVGGGGCEGKWRRKGGTVFVNKKMLIIFIYIYVYTI DFISFYFCHRYPAVLIIFLHFFIGVCLKKTHFIPRVMCYCVQQNDLGCIFFSFSSRSR SHPLSFCYLDFSFTLTRMHSRCNVVDSCICGHPLPRRCIGVAVFLLFFSFFSYLRYVL LLLLSRKLLCSCPSPSSPQLSLSRSL XP_827490.1 MLNICQNLSSVAKPDLIVVSGHKCNIQRGPQTSIGTPTITKRVT AWEALIYLEMLLAEDEAFAILATFVPPLVALLPPENFASDNWVYVHDPASRPLLKSIF AQLKQMSADTHGYNEVMDTTRWEIILVDVTATFHKPCVLDIKLGYVRHSPHTLPDKVE RIHKRQLRRSQPIRFCGAHHQFCRQNNDIGECFELEEFTKDMGYALETEESHRRALRS FFTTASLMTTSNTNETTIIDDRHAMARSRCCRQRVQKLVDFLKGHLGQMLLERIAFVS ASLLIVYDATGCCGRNVTVSEGINSMNDMVNVYLIDFSRSGDRRLHFPEEVVGFVQGL EKIIFLLS XP_827491.1 MSSGSHRSPSAMRPSTRISSIGATSNRSSTHNTETELDPFVASL SRVTVYTDIPDKTLQRIMSITVDAYNRFVLVPTRNTWRKEEGSRKELEREVERTALRD IAQAVKVNVEAALGGTWHVIYGRSFATYVTHQQRCFCHFQLDGANVVVWRHGG XP_827492.1 MQDMRMFNVCALLSHNDSSADIIRGGFGGFPNGMCLEDFRTFVL QNVLSKPEGEEGTETRRCTTSKTTIMNLLTDVDAETLRMRSGGASGLQPRFSKQPLFS FSRRKSGKPRSFGSVLMEADENDKLCHLDYLFSLVDSDRDGFITWDDMLQRAVEEATQ DITSALQSMHSYSFFRSSTRSRAIKSIHGLPQHKSLFAVVTGSHPLTLMKRSDFSIAK QFTPREVGGAAPTSVEYLPGPDILLSYSRDDATIRGWWNFLSVSRMGVMNPLHLDGIV RRLRLGNSSLPYSFFTAGSNGNIQHWKVPKQRYVCDMVSEHVYKGIHSCESGGIIDFV MTDEWFFSVGFDHRVVSTNVETGRSVVMGQMTETIRFVEYNKPFNCFPCVTYGNELLL WDVRSSSESRSTPLVDDSFKVHRGSVIGLTNAPGLPQVITADNTGRIKIWDLRLLRCV QTLFADGSSESDFSLDMVGKELNGSKNGTSHNSKNFKTGKSRCISSFCYFANTHEIVS SSADALVSLRYDRRSDCTIADADVLYYACFDEQHELIVLQGPTRTSVWDAKGGYRRSL FDRVTQSDIPIGRYEVVAMCVDPIRNRIFYALAEGVIEVRSSKDYVLIDTYSMHNSEI REMCFSYKHNMLVSMAENGVISVRNEKRSVPWTMSISVSKRKLRSLILSDELGFICCC DDHYIYFIDYRQTSLVPFPIEAPFPVHVMAIFGTLPVLALGSKEGQIVLWSLPPAEVS YTMLASINLEGIAKSQGQKPIARPKKDNEDSSSLGNETTWLPLGLGETKEYKYQEELL PSVAAASVKASSESCDSSLCSLLREICRKESSSLITAIAFDDVLHNLFVADGSGKIFV YSLCPLVQDYGLLPCSFEKRTRYRLRDVPRDSRLSNTPPLAHTITAHHSAANYMTWFP GLRVIISCGGDSNVKLFDVDGREVGQLLMTRLPPRPAFDAVNNDRESRPETGTVVVKE APYCLPADTGTTKKCVSCALMEERRQEVTAFITEEDNSAALGVGPLPSINRKQHNRSA LRSARKAPCVTLGAFMKAEEEKIPEVVEGDVKPQPVPAATPEITWLAALKRKKWHHAA ERSRGTYIHNSDMMTRPAKIVGSLPDSKVESGEDLPNNLRRNANLLENVLKGIDPSVV GLTRTKKPGTAVTPPPGGKTISESGDVGLKKLTLAGIQLSPRPFNSALSPGTKTPRSG YRGKVQPIKMSAREKWEFCTGEPFDAQHNVGEDDVSRQLDELVHMYEKEMHRCFRGGK RHEKIKKNKASFLQDSS XP_827493.1 MQAACTSRSVAVLGKLASKGVGVLLLLAIFRRWRKSQRADSIKK HFQQENEVKDTGCMTSALSYLPIYINTDKLPENMLVGAPLFYPPQMAVLPLSWTDAVG FERSCFITCEGPFPHMTANGKDPHDMSFFFLQYMQRVPFMQSTAERGLPIKQLQDVGL LCCTDAFSHIVTDPAERVVVIFGRNGPYFTSLVITPCTMPEKNVVGNIQLANQISLQD LQKLLDACCPTPLVDEIRLAGTSAGTLQGKLHIACRYGNRVVQFTAASALTVRSARDN EDFIFSLEGDVLAQKRIVFDAATIDALISGKTLDELFSCPGPQCMRVSSLVQLIHMED LTEISHNLGNGASNGDVTREINLKVSGSRLLLSAGEVSVVFHHAHLGVHFPINSHHGV ICEPVLTTDLCLMYYPLGSTAALPNAMMRRVRQLPPTWERSLHTDDALRHNALFHFTD WMRGSVPCITCDLSGFRCAQLYEEKDGMSCRTYVVPLAEEIMVLRWETSTCDWEQHLP HLQKFIDTLHLEVPTA XP_827494.1 MSLSRTENNGTTSDTPAREFNNNERNAPVNNGGAGRGGRWAFRG DFRSDEGGERKGKPWGRFPQGDGERGNRGYGGGYRGRGGRGRGGGGGGGFREGSFGFE NRAQQQPPREGLMNDQAPQEERQPTEESEPVQRGRFDVDFYDRPRRRGRGRQYGGRGD NDGERGFFGGDNNERQPQKQRQQNPKPFGQQQQLEPQQEDEQEAVASPQQQYNQQQRQ PERRPDTAPTMDPISTNPNRTQRAPRFRKNCRDEREIEKLFESHHQQKGISLENYASI PVDIVPRDIDAVESFEDLFVEPALALNIAKCGYKEPTPVQRYGIPVCLNGNDLMACAQ TGSGKTAAFLVPVVHYILKNGVSPAKDRISHPIAVIMAPTRELALQIYDEVRKLTFRT DIFYDVVYGGTPYPTRFENDILVACPGRLKDIFDRNIVSFSCVKFLILDEADRMLEMG FEEQIEYLVASRYSDMPSSEERQTLMFSATFPQRILNLAKRYLRPKYYLLTVGRVGST TKNITQKLERVPEAEKKDKLFDIIYKQKQTDLVLIFVETKRSAEQLHSALKSSGIPST TIHGDRRQSDREIALKDFKSGITPILVATDVASRGLDIPNVAHVIQYDLPKEMDDYTH RIGRTGRAGNKGVATSFYDRNNRNLAVELYHYLREHEQEVPMWLENEKDAVEGERFVG GTRGGNRRGGGESRAAAPLGNSTWGEAATGRGGRQGGQQQQERKESNVDDGGF XP_827495.1 MKDEKERKMSSNDKEIQRKRGNGCISFAVYVLFSPTRFTVREGG GGRVAYPHTFAPSICRRSRTREGKLC XP_827496.1 MFKCRVAVLLSSASSSATGSCQSNPYKVLGVEPNTPFDEVKSRF HELAQLYHPDMPNGDAGKFREINAAYRQLRATHREWRSNNMNTQTHHQSEFWGSQGNT ADADKEDHYTKQWKKGPHHSTTNARMRGTQQRAFMHEILWLYEGYEMALILGTAVVVL IIAFERYLLVRRVTQEKRSRRIAMEEGLPPSMPLEVDENMMRMYNVPVPSEAIEMDEA IAKEMTYHRRATQRRFEDFREFLFVYDPDGVTSRKVTTVRVAAPYVDEPLIPTRCPVV REFNSEQKGTKYENILKEVVETSSTTPWLSPDAQFAAPLVVQGLAVIPMNSPNTAKWT FIEYKNADNPTDPPMCLVALRNRRFEKLGMCQRVTISGSGQLSAKLLQKREEDLKNGL RGDALVKGSPLPLKDTTTALEHMKL XP_827497.1 MKYVGSIDQGTTSTRFIIFDERQRPVSVHQVPHTQHTPHPGWLE HDPMEIFRSACKCMSVAIAKLRQKDASFRKIEAIGITNQRETTVAWDRVTKEPLCYAP VWNDLRTYDITKKVAAELGGGDSMFASKITGLPVSTYFAAFKMRWMLENVPAVADACR RGTLCFGTIDTWLMYKLSGGKAFVTDVTNASRTFLMDLRTRKWSPELCEKLKIPMETL PEIRSNSELFGYVETDECGVAAALNERTPIMGSIGDQQSALFGNMCFEKGEAKNTYGT GCFLLMNVGEEARFSKHGLLSTVGFQVGRDGPCYYALEGAIACAGATVEWMRRNMNLF SHITECEKLARSVPGTQGIVFVPAFSGLLAPYWDPSARGTIVGMTLKTTRAHVIRAAL QAIALQLNDVVGSMKRDAGLNLSSLRVDGGLSKNGLLMEIQASLLGVDILVPSMHETT ALGAALCAGLAAGVWTSLEEVKAVSRRENSWKTVSPSGSAMEREAMIAEWREALKRTK WAKL XP_827498.1 MKYVGSIDQGTTSTRFIIFDERQRPVSVHQVPHTQHTPHPGWLE HDPMEIFRSACKCMSVAIAKLRQKDASFRKIEAIGITNQRETTVAWDRVTKEPLCYAP VWNDLRTYDITKKVTAELGGGDSMFASKITGLPVSTYFAAFKMRWMLENVPAVADACR RGTLCFGTIDTWLMYKLSGGKAFVTDVTNASRTFLMDLRTRKWSPELCEKLKIPMETL PEIRSNSELFGYVETDECGVAAALNERTPIMGSIGDQQSALFGNMCFEKGEAKNTYGT GCFLLMNVGEEARFSKHGLLSTVGFQVGRDGPCYYALEGAIACAGATVEWMRRNMNLF SHITECEKLARSVPGTQGIVFVPAFSGLLAPYWDPSARGTIVGMTLKTTRAHVIRAAL QAIALQLNDVVGSMKRDAGLNLSSLRVDGGLSKNGLLMEIQASLLGVDILVPSMHETT ALGAALCAGLAAGVWTSLEEVKAVSRRENSWKTVSPSGSAMEREAMIAEWREALKRTK WAKL XP_827499.1 MKYVGSIDQGTTSTRFIIFDERQRPVSVHQVPHTQHTPHPGWLE HDPMEIFRSACKCMSVAIAKLRQKDAFFRKIEAIGITNQRETTVAWDRVTKEPLCYAP VWNDLRTYDITKKVTAELGGGDSMFASKITGLPVSTYFAAFKMRWMLENVPAVADACR RGTLCFGTIDTWLMYKLSGGKAFVTDVTNASRTFLMDLRTRKWSPELCEKLKIPMETL PEIRSNSELFGYVETDECGVAAALNERTPIMGSIGDQQSALFGNMCFEKGEAKNTYGT GCFLLMNVGEEARFSKHGLLSTVGFQVGRDGPCYYALEGAIACAGATVEWMRRNMNLF SHITECEKLARSVPGTQGIVFVPAFSGLLAPYWDPSARGTIVGMTLKTTRAHVIRAAL QAIALQLNDVVGSMKRDAGLNLSSLRVDGGLSKNGLLMEIQASLLGVDILVPSMHETT ALGAALCAGLAAGVWTSLEEVKAVSRRENSWKTVSPSGSAMEREAMIAEWREALKRTK WAKL XP_827500.1 MKYVGSIDQGTTSTRFIIFDERQRPVSVHQVPHTQHTPHPGWLE HDPMEIFRSACKCMSVAIAKLRQKDAFFRKIEAIGITNQRETTVAWDRVTKEPLCYAP VWNDLRTYDITKKVTAELGGGDSMFASKITGLPVSTYFAAFKMRWMLENVPAVADACR RGTLCFGTIDTWLMYKLSGGKAFVTDVTNASRTFLMDLRTRKWSPELCENLKIPMETL PEIRSNSELFGYVETDECGVAAALNERTPIMGSIGDQQSALFGNMCFEKGEAKNTYGT GCFLLMNVGEEARFSKHGLLSTVGFQVGRDGPCYYALEGAIACAGATVEWMRRNMNLF SHITECEKLARSVPGTQGIVFVPAFSGLLAPYWDPSARGTIVGMTLKTTRAHVIRAAL QAIALQLNDVVGSMKRDAGLNLSSLRVDGGLSKNGLLMEIQASLLGVDILVPSMHETT ALGAALCAGLAAGVWTSLEEVKAVSRRENSWKTVSPSGSAMEREAMIAEWREALKRTK WAKL XP_827501.1 MKYVGSIDQGTTSTRFIIFDERQRPVSVHQVPHTQHTPHPGWLE HDPMEIFRSACKCMSVAIAKLRQKDAFFRKIEAIGITNQRETTVAWDRVTKEPLCYAP VWNDLRTYDITKKVTAELGGGDSMFASKITGLPVSTYFAAFKMRWMLENVPAVADACR RGTLCFGTIDTWLMYKLSGGKAFVTDVTNASRTFLMDLRTRKWSPELCEKLKIPMETL PEIRSNSELFGYVETDECGVAAALNERTPIMGSIGDQQSALFGNMCFEKGEAKNTYGT GCFLLMNVGEEARFSKHGLLSTVGFQVGRDGPCYYALEGAIACAGATVEWMRRNMNLF SHITECEKLARSVPGTQGIVFVPAFSGLLAPYWDPSARGTIVGMTLKTTRAHVIRAAL QAIALQLNDVVGSMKRDAGLNLSSLRVDGGLSKNGLLMEIQASLLGVDILVPSMHETT ALGAALCAGLAAGVWTSLEEVKAVSRRENSWKTVSPSGSAMEREAMIAEWREALKRTK WAKL XP_827502.1 MPKHQRDRFSNCPAFCSHTSNKLFRTELTHYSSDTTRNVNINGF TKMSKMAGATADSSDASSAIDSKFLDQQSRTIGTYGLETMAKLISFKVLVVGCGGVGI ETAKNLALAGVHTITLCDPKKAELKDMGVNFAVTETTIKAGLTRAEASKRLVAELNPN VRVRTVDAIDEAVVSEVNCVVYTSAAADWSSKTLLKWDQFCRTRTPAISFIFAFQGGS LASVFADHAPNFTVKDADGRPMLQKLIVEVLTKRDKSGVEYTRVRYETPEGQTPGAFR DYTEVKFSEVKGLCKANGESINGNVFKGVVCTGDPPNTVRIYPSLESQGYSAYETAGF LHEMKESQQLKFRALSEALSCPGQFVPVSSMMDGSEESQSHLTFTALLRFFDKHGRLP ELHNLSEANEVVSLAKAVNEENKAADAKLEKVDHPMFLQHENKEFPSRLAPPPPPTPL CVETLDEGFVCSQALVSAAELQPLCAVWGAVLAQEIVKITGKYTPICQWLHVGYSSIL ASNASYTKSPQEYKVVDHRYKHLISLFGKTFVEKLNNLKLFMVGCGALGCENIKNFAL CGMSCGPRGSFVVTDNDRIEVSNLSRQFLFREENVGQPKSAVAVSRMKSINKDVKADA RQDYVGSNTEHIYHDVFWNGLDAVVNALDNMETRLYVDQKCVNFHKILVEAGTMGTGG NVDIVVPGKTTSYSDGGAADTTGGIPMCTLRNFPYTSDHCTEWARAQFDDLFVSPMQT VRQLLENPAAFTERIKNEVNNAQSAGERLSLVEKNLGILQGIQKTVTTLSAGVSMEKC VQCAWETMFHLFRDRILDLQRSFPKDAKKKNGEKFWSGHRKYPTPLEVNIKALSSDPD VVEFLISAANLFACMYGIHPQKHEPRLNDPKKRWMQQYRTLDWLNGVMKNCTVPEYKP GSVEGLDDDLLQSMEKQEVSKDETTKEQTLNNLLSSVVALAQKCHNMNTVPLDFEKDD DDNFHIDFVAATSNLRARNYDIPTQDRFKVKLVAGKIIPAIATTTAAVTGLALIEYFK ALLSNDVSCLRNGMLDIGTNNYVLFERDAPLKHRTRVDKTYLPEQDYTYKKKVICLPE GYTKYDMIEVPITKATTVQQFATELEKKLNTLLPTGMNAGCEVSAIGVGKGSLWNGLP KHANTNCSLMDIIEKQKLSEAGGKLPRPFWENRTHFHDLSVTVSIDDDDANVDEVDVE TATILLRIQQ XP_827503.1 MVATITFKLRSSNHVGIIEVPHRVISCREIKEAIAEKLSGSPDE IDIFVSGSSDYLHPNDELPAYTVVEVVRHVGTGRPPPKREREPVGPSNLSSAMSHAAV RAGNKNGDTATPLTEEERLAQLSEAVAVETGIDEVGAWRMRQMRNRSAAMGGGGREVT FRPPPPGYICHNCGKRGHLIQHCPAAKGVRGVKLLSLPTGIPETMLVECTMDDPAAKF VTRDGRLVKRKLDNASFVGIVTTSNADGVKPDFPSEGGNNRNDSPNSEKLETPDAGGD AAAKPQFLCAWDSVIVKNAMKAPCCGALFCETCLNERVEEAISRADASEIPLLCPGCE EPLDVPDVVEATEERKLVEALRSGKRARD XP_827504.1 MSSIFTYGALGRNPAATKYGMMAAISSKCGTKNFIYTSCHDDRH PFPRHHHRQSDVPWKHSLWWNKLRWWWHDFRMFGLKGTIRRHYYIGEAWRRKDEKIFV GKDENGNKYWLARRSPGSFHVRMVEAADPHWFRGQAPHTASPMWMKWMQGNAAHTPAQ VKARGEWGHNSRLGMPLPFNIKYDEFSPINNGEVFSRDPMWVSAPGLLVNPERRALEE AGYSRWVINKGMPQYMPFCGVHDYPDELVEEYYRGQWAFGRASKGNDHDEWRN XP_827505.1 MHWGYRHALEVALISFYLGVCFTLGVSLMIHSVYYTGSVAEYAV GAYVISSIVLFHMSEFLVAVYFLRHDAHPGAFMIFHSREYTVAGAAAWLEFFTELFFC SEGWKVSATSRWGWLFRLNYTMVNCAAVLTIFFYLVRVCGMAHCGCNFSLLIETRRRS NHVLVTDGIYSILRHPAYFGYFWTALFSQLVLANPFCFMAYAIVLIRFFKERITYEET VLSSVEFFGESYMKYKAGTWVGIPFIR XP_827506.1 MVTWALKYFVRVVRWSTEAFLIWPTRPLFDYATSLHCVPISGTF ITSVLLCFYGFPLFWSTAMVAVGFIISGVLFLVSPLPLLKPIGGRYSVGLVHMNGCRS QSIPPVAVFYPTNMVPEKKGLPYVPFGDDRFLRGVAAYANVPFFFIRDFSFVRISASR NAVPAALLNQYERVPPIVVFSHGLAGYHLFYSCFALDLAARGAIVICLGHCDNSASFM RDSSGKESEVPLKDYGWEVPAREAQVAQRVSEVRGTLQRLTEKDFWTTLGYINSDIDK FLSKPLQVHLAGHSFGGATVLAAALEENQNPVKGVSVKSVYTFDPWMVPIQNEHFCNP LSDGRKSYTVPTVTVHSDDWVKDSESWEFFKRMKALVLEQSAYASLNEVEKQALFGIV VTKNTNHLSLVDVSVLSPVMHGNIWATVSPRVQIMEWCNALLRFAKQNTEVCSTC XP_827507.1 MLGAGTWFIGFGIPAVLAGGFVASRKRLAYQWREELMDPDGGAY PPLTDADMEFIRHVTPPEWPLPEGQLPTAKKVTGLFVSPDVLSGDEGGALLDEVKRWI ALYGQKVDLRKVAFAESQAQSEGVDIGFYSDIAIISDHAEDIQLMKAPWETGDRIKHH KMPSSLRYMVNKMQRKFEGLGRLRHVYVEYSPSGRFYHEPKPTKAFDGHDYVVIPLRR DQNATVVTFAPLLRSRCSFVKEVLMRSWTSHDVDVIIPPGSALRVYGSARYEWGWGIR PGNVWFGNYRNSIRHIDAIRTPFYLHNILSSMACRILRPAPSVKESDAALVVLHFDGP RDFDKPRSLLLQPESLIFGRPPTVETYEKWVEEKPTTESVRNEGVFLFMVKNYVEMLR VT XP_827508.1 MFRTIGIVRLWRTSRIVRLPASGLGTDAKSCTAPTQPLSTSSAT SSSSMILKYPYRVVDTHEKLKEAVTSLQGARSIALDIEAFCTTDQAKQLGRISLVQAC SDAKPVVFLFDVLTLTPDVFVKDMQSLLSDREIRKLFFDCRRDVEALSCQLGVKPEGV LDLQVFFTAIQWKLRSVNRRSGMGYVLKSVAGLTRQEGDSAVQTAMTLGNRPVWDIRP LPDHFLEYAAGDVRHILLLSNYLVGNKDVPVDVVAVERLTAQYVEHYAVGKPVITEAD ATPAEVNRAWLERYIGPGGGCHFCGAKGHTEAECFKKQNGKAKCSFCGEVGHTARNCF KKHPQLLTCEKCGQLGHTGTSCFRTNPCKHCGGPHSSANCHKVIWQQKRLGENSLH XP_827509.1 MLRFTSVSSIWRRLAAAPPTAATAAFANVSKRQSSSNKDYYKIL GVSQSASQSDIKKAYRKRALETHPDQGGNKEDFAEVAEAYECLSNEEKRRIYDQYGSE AAANMNAGGGMGGFGGRSAEDIFAEFFKGGMGGFGGNRSAGPPQVPPLEVTLRMTLEE VYKGASKSPRVNRPVVCSDCRGFGTKSQKKKPKCSECDGSGHVVQHHRFGPGMVQQTV SQCPRCGGAGTVAKPDDKCPKCKGMGYRHLVQSVSIDIPAGVPPDVTLVVRGEGGTMP EAEPGDLHVHVEVEEHNVFKRRGNDLVVERDVTLSEALLEFDLSLKTLDGRSITVKSP KSSVLQPNSVLRVAGEGMPNSSGGNGDLYIVTKLKLPRTLTDQQKEAVKKAFGEPKKK DPDSGSDKTVTASVLRGGVREMEEALHSNWDSERGGGSQQGNGRRRSGRKQHTAECVH Q XP_827510.1 MGSKYDRVKVKVHLDDEHYYILSRFILSKMLTACKLPTTAAVCV SLALKKSLVDQERLDISQRELEAATLSTMAQHGYGAAFTRLLPVMTRFFIERTPLIVF MAGSGCCGKTSMARSLSVRLNTHNIVSTDLLLDILRATHHAYPSSALRGDLVELSDER VLPCVSEHSLWLSDTLAVDDFVRLWRTLTRAIRSLVEGEVEKALVEGKVLIVEGSLID LSLYHNYLLDSFQSQRGVIAVAFHISSDEMSRNFAVERLVSTYRSVLPLSYTDNYNGA LEWVQCRLRAIEGEHLRVLRGDSSAMQLHCIDDSLCDPATGDSTSVRDLSESYSNETS STCDTRQLRLSQDNAEIVPVYGVTNSMAASSRVCESMHGLILDRIISALKQKGPLNLE HGNVVWCQAMDSEYMSPTNDT XP_827511.1 MLRRTLRKRGGFFQNFKSDKNNFFTSLWNKPQTRDERVKSYVPE VIEESLQEQRAVLQENTARDVVVELGYKILREIENKRPTPSIAPHLDKILQEYGCKDL IAQRPLSYLLYPCSSMSGGHQPHELVSNFTENFRELVEEVERSGCSLRVGKRANISKT TRGKDTLENVSDDAATLQEASSDDKPSDTSKSGPVIMVAKEEHEPMDVTLFIRVVSAM ALANVQNGDLSSAVRCVDVCLDYVVEPSRHGGLLGMKAGILVHQRKFEEAVQCANEAV QVSGNIQGYIHGAFALRMLNKLKEVVQLLEKGREDHPMNTQIVDLIEEARKDLEDKPV VEETKKITS XP_827512.1 MSAPVDLTSSIMLLFFFFFCFVHCVSPFFSLKNLKKFPPYNDVV TQAGTVDRACFCCVCVWNNTTYGLISVGRDNGWFLITT XP_827513.1 MKLLRRGIVRIRNVAAFPIPPALLCARRGVTSTPVSMQNARSGH FRPFSSDDEAQLAAILTTKPRHVARYVRQSVLHSRRKITHFRNSVTFLMIALQSRLQR SEISPADASALVESLMKECVELRQGDMAHLLFRASIRFRKYGMPMHYPLVKHLFDSYR SDNARDLMKNMADELRGDETLRMLAVLSYQLSGKYEEGEKLLQEIPLEKIGTEDYCAL LGTYGMTSQYRRIISLTNQLLNHREAANGSRFDFDAIFSAAIIAIRGDGDMLEEVTKQ ALDNRAQLSDQAIGTLMRARLQRCRTASDVYEAERLLREDLGVNMLGMAAQTAVIARC SEILSKGQKVSDEIMLQKVQLLDSAVDESVANDAIDNLEPSYLTSLIKGYGVLGRFDD MKRCFNRLKEAGALHDGRLYDEMLRWYAHAYNLKEVIALKEEMQEQHIYHTAYTYQHL FRVLDKYYPRMVEKYMNEMRSKGIQIEYYMYPTLLRVFGVLQDYSIVEQLYREIKGKV AAGDVQVFSPAVAVQLLKTYQHDTSRCEVIIQEAENYGLLANEAVQAEIVQYYSMNNR HEDLNALVSRIPYKSPDIYRVLLRDTSKHRNRQGFDALLKEMRERHVSVNERTFGEVI TGLGHFNDLEGVKRYFHEALTSNAVRSPLFFAVAASAFARLGDAQAVDECWNDLLQSK VTITMPVYNKFLDLYMASNNVEMVQQILNTMMKLVPPNPVTATTVVDMLGKMGRLSEM ECVLEEMSRSANAAPTQVTYHQAMNAYAKSGDVTKMEAMREKMKEEGFQENHITFNIL FEGYGRAKRYERVKELVEERKSKQIAMEEFGYAVLLNIYSRAHMVEETTQIVEEMVSA GVPFTSRMLATLATSFSYIGDIPKVEHYVSLLLSHRDRRQRDVESVYLMYAKMRDTVK IQELLDSEKLPKTQFIYNVCVGAFARAGEHTKVASLLTQMEERGFTLSRNTSVTLSTL LLKAGKLELAQTVLKWKGCTPSGGREREGEEGEEIEIEDTTALGADDRAH XP_827514.1 MFPPSLCSCSLRAVSSSHTPALGLIISTQLRVVARNKAVATSQN TLMSIAVEESSVSTDAAVQRTNDDSVVSKRSAVHKGYFDDPYLRFFVKKLSRRSPLIN RGYYLRMLVITDVIERCIHHLRCLKIRCTVNTPPLPPTGNAVALPVQVISLGAGYDTL AMRLKQRPDYGNVHFYEVDFPAVMQSKSMLVKMAPFGSFPEDIVADPGGELVKLYGNN YWAVGTDLRSTNRDLVTCLREVSPQFSTDNPTVLYAECVMQYMPPVAASHLIKQIASA FPCAIFVAYDQLHPSDSFGTVMLSALRTKNSPLLSIGECPSGAAMVRRAIQQGMCKAR FANFHDLSKFYISGDNHDRVEALEPFDEWEEWCEMCEHYGITMATTLSDEEMPDHSCF KEIMEQKEEELCAGLPCKSQGNEQNALRLENWPTGRFGFEGWGNGGAVAERLFSGDVL IISFGGFSVTRGHQRTNTLHVHSLRSGDRKVLQSSLSVEPPSLVFHSMSRVSRGSYVV FGGRTNPQDVASDAYLLRLELPTDGYGEEANIVATWSKLQQTADDGKLPVARYRHAAT SVTNSGCDENTDMRNIFVFGGRCATGEFLNDAWLGSVGDGIIHWKLLRLSGDIPPPCC SSGVVDATMGSIVLLSGGLLRGGVSDSSLWSIDWVTGVCNKRDAIVGPRFSHTMCRVS VNGVTGVLVVGGSSTEPSASFQATQILLLDPVSGEITEALKLPPMCPTWTRHSCVALE DGAVGVLGSGFTCFSFGTFATKPLLLLLGGKRGGRNAHSWDVSKLESTSTSAAANAFS ICCTVQRRPVEEQSLSVGSFSVLVNHPFKPVVFRDVDMGCCVKAWSDPAYLNRVEGNT RVSVHVARNTELLDFVTKNFSFQHVSFNELLDHCLASSAALAESGNLPGKLNEERETW YLRAVASNMKSERANIWRDFQGLKDDFVLPSATVDHIKPRMHQACLRISAPPLQLWTH YDTLDNVLCQIVGRKRVVLFPPSEYNNLYISGSSSAVTNIDKPDYMRFPRFIDASRHA LEVEIGPGDMLFIPALWFHHITTLEGSYSMSVNVFFERLPHADYDKKDLYGNKDIPAA SRLRAGIVKKVKEMISETAVERTSDGKALTPDLVEFALRQALQDLMEVADDMCTALR XP_827515.1 MMIYPRMRVEVSYSATLMVLWALLLTFMSWRVNQSSEGMAVLLL TIMSVATPDGESHLFLPLLFLAERLLGEVMSCRMLPHEFHASTILSFMTTSYSHTLWK RDSRPRIFMCGIRDVIVWLLLIFIAFKDCGVTVGGGVFVVFGFVFYVTLKRILGESEA ILVGGLVGFYAFDAVSGWGFSSVGAFNADAPNKEGLHTVSVMTKAHIVSRTAIMCAVV MASVLYFASRFCLVAKFDNSKSSEQVMNVPRITFAFWMSLTIIVASAYAVGSMEIGED IALWLVRYITASRFRILTIVYWSIAVPSMVVVVDVFTKDLSKVVRRKLFHFLAVAAFT PAAIADPQFLSLSLSVATSLSILLELGRYYGVSGASYVNAFVVHHIDSRDSIKGVVRT HIYLIYGLGLSMMLYYRRERNGKTEHHNSLLELSISVIPGLVSLGVVDACAGIVGSSF LLSYRRALGRYLSNNVYTERANATITHKTTTGTLGGFVCGVVFWVFVLFVSNVEQHGQ AAMSLVLVVVCSVAECFTDGIDNLQLPLALYGAVTTLFAFSGWGEDSCA XP_827516.1 MDGCVNYSAAGGSNEAGRVHSSRFQNVRRVVSSDVNVTSIGGLP AIQNASGQRETPSQNPLSLNASESKARANRIMIQERWRSVLLEYEVGLKELVTNSMEE PPSAIRTMKTFQLYDSVTRAVAQQSPEFGNMLRMFRMEFCRAIFTQPTLTASYLHPED EGSGQSEELGATYFDQTEMLLRENAALRLEVNLGNTRDNLARLRQELENFREAVTYYE NEVSRLERENERYAASYVTARDELTSEREKNRKIKNEFDDVRLRLLQENKDLHLRICR LRKCLSDNESVFVKDAYKVIKDKRMTLLQGLFEQGDERVVLLVMLSQLESRMNELLDQ YDRDVLFGDYAGEYEQCRRTLGVVALLIEEMHLCEQRYMQLLPRDGKLINDEQDETDV FASLLSDPRMYEALVARLCIRQGGSSKTTPQLLKLVDDALPDGPEVRMSLAVYKGAQT TSPAESNTQNSVSAVREMDDTVAQVPAPSRKVSILYSHDGNPDTSSNTIPNDSFCHLR TASSPDDSEEAAKRKSEGEAGEPQKPPIIQKLGGKKFPLEMPQSFVDLPEEMFEEAKR KQEEWVANILLTSDEGDQLLQRVKAGGASSSHLTKGEQLMRHFLMKPMLDVSANKFHC GIDVFTGPAQHTQALLCSVNHVDPVEPFAVPQGTRFMHIKYRNVLREEAVVKGDDSSN NLSVSEALEEWGGKTIFTRVDCAHEQNKNEVAEMLSKSHLFGELKPSNYSKSLLKGVM ASSSTSPATAVFLRLNPLSPNRSPEWLLYQNMFGGYRPITPRLIDVSTIGHILLNSCE RHFQRAEERYLRCLEQTKTRATNSEMVRMLVESVFRESYVLTEFQESFVKELESRYCY PELVAKTMYEILCYFDATMAAQPLVDLYLSCIRGFESPTRIHYITYVMHQISANWPSS NPEEAIEKEDVLTLLEHVYKRASGVISMGPSEIISEYQLATHSAPITLNALRGYLVTI MLHYEDPLSLYFNGLLSYRAKSNSVVTMNYEQFEAALEGTWEEAVEGKLFIRYLVSGC GFNKTEELSTKELACVATSMWSSHMWKSM XP_827517.1 MTSHNIVMRQRTLNEFFPQTPSAAGTHGRTIVLPWQEKRDENNS PHGYIGWDIVTAALMESDTTDTSSLRILLQVLYTRKIISETRQVLCFGVLCHVLDHVM TAEERVQFFCFTLPWMKRAVLRGPELFPQEIPILSQSDTHRLLFTHEEASTLLVCGFF SLFQGRSQVHKFGSSTGLHKLSSFNFVRLLCNEKPDRFSSQCAKVQCLLQYFRYCARC TKEVWPCVEIYRVARTSFPDFERSTRAMEPVVISENLLIEESYTNLQVDFANRCIGGG VLSSGCLQEEIRFVTSPELLLSCLVCEELLDNEVVFVAGAASYSVTEGYAKTFRYISG CTPDIIPLSGRMFRVDSCEQGMHVDKVPVKLVGRNDEAPRFVRNTCIVAMDAVDFSDA VDNQFRKDFIIRETHKAFVAFKGIPDSTLSSVHSGPIATGNWGCGAFGGDRELKLLLQ WCAASQAGRPLIYSAFGDIALCNGFHKVYKKLREEEWTVGEVFTMILFCSSMFPRPRN QLFECFLTMPWCRLPLGTHLVLI XP_827518.1 MQTDFGDATTFSLRPDHKRKFRPNDIRPVVRSLLESRLENEVYK ADEMQSISKEIADGVRDRIRSMELERYKIMVHCMIGEQRGQGLRAGCKMFWDSDTDDY FEEVYVTQHLFAVVTVFGLYQY XP_827519.1 MQKNAPKFSVSKWNILPANRRSKFFWEKLNEYLEPLLSADFPLM DEEFRGLCNVANQPPGTHPVLSETLIATVKNLRSYCEGVTLWNPLSLAAHLDIPPTDV IEQLLLAKEAGLVSMVFVVPCQSCGCDMLRANSVGEICFRATYHKSNVIDCPMCTDRN EVDNLARVAVYFQDATPLPLLSRKFHRLFYSQEVVRRCLETVFCPQDCAFMFNMRLPE GTFLIIAPGLGVIVQLEVETGAQFLERNRPYHDVRLLLSKFVTENNSDSAETANVAQD RNIIKVKHGKLSFRVFNDTQHATFLDICIAFDVRLEFLSPKKPVVTTVPMLLHHSTRG LRSPLFYLFTPAPPGVRTSGVYVLHSFEMPNQDMEEVILSGSDSTVAVIREVHRYSLE DHSGLLLSVGVGGATFESSFMSYTAALASSLCFAQRLVTRLGNDIAGALSCSITAGEL YMASFKGQYDDDENERSAYPSVQIIGPAVYAGHHPPPAVDPPCTNELMQKYTRNVRFE MRVVSDDNTGVCLKSLAADEMLDPFLTYMQENFVGVEIKEEPQALVVVVPLDTLNAKV KLASLVDSTTYTKEIHGPFG XP_827520.1 MPVENVIDRDAALRTKNLNNDLKDIYTFTMKHKKIRCANERKVA LVPFCFFSSHYFSYLNFLFFTLFYLKKNGKTIFSFPSSLLLLSVAATPIMQLEESFFF KKKMKLTF XP_827521.1 MHWPHGKCGIVLTVRLPLLMVDAMPRKNFLRAPLSHSFMHIGFA IMSQRRWQSTIGEQRPTARRSKNAYSHPLAPKDPASRNIVVPDAVHAKVGSPDTLPAE ILQKRAQRALLMQLQQNVIWEGTVISDERHHLIQHFVKLRQNPKYRSSKQMMVVGGRH LLHELHKRGYTPRHLLVREGQQKPKWATNTGVKTEIIRVDRHVADVCSPGNDGFIGDF DIPKPPPKESLIANKQRFDRVLVLDNVDDPGLLGTVLRTAAGFHYDAVIATNHCADLY DHRVIRAARGAHFQKAVPIYTLKEEDGDNVYGMLNHILQRNDLSPVCFAARDDNDATD ELGDLVRQLRSGCAQPKSRTSPCGSPAVKRETLSDYCRNNFTKSDAKGQLLMVGPNHK RNSVRRWSKQLSIPVTQLLLDEVSQTDALIAFSVVLHALRPHGNWDYLPLHNNQEQQE TASLELQGMKASVDIGPNRFDLNEKDLSLDEEEQVEKARLDNELMRWRRLQRAQGSDY DHWMEAETRRIQEMASNESRKQVSPWARLRTRWQKGAAGMPPWVPNIVDEYRTPLDRD ALRVEREVSESHVRPENYDR XP_827522.1 MTLYSIYIFNRYGDNIFYKEWNRTTAPQEGEAGLVAGFIYTLQH FSSQLSSSGEGGFYAVRTPLYKLHYNETMTGYRVALLSDKDLKTDVVQNILRKMFSDV FQRYVTKDPNYSHTQGRVITSTAFGEALDQFFHSQKIL XP_827523.1 MDDGTGHLLRPHSIIGMGAYGTVFKAFRLAKERAGDEEAWEREG KPVAVKQTIFSEVTEDITVVLKEVSYLSNLQHPNIVTYYTAFTGQGKLESISQAKRTS AELGAPTKEPGSKRNAEGKVPKSSLSFSTEPSLCIVEELVEGASVAKVIKMAAEQSVK QMTEAEIAAVVYDVLQALLYIHEECQLVHRDIKPLNMLLDRNASSVKLCDFGTCADLS QQDGRFTVIGTIGWIAPEVLDSGMMDHRSGHITSHSFPSDVWSLGVSALEMTQVGMTR SALSEYIKDLSVMPCSVQETVTGSRKSFLQEKLPTGHLRDFIACCLRRDPQIRPKVRQ LLEHKLIVTSGVANAKERSAKIAGIIAAVTIAGKKKIGNNEKMNDEAIVLPQFADSTL TKNRCMEAVAALKREFFAAQAPLLETSRYSWCTPSHISRMTTSDDFRNLPKPPKLLDP EMSTNAKVSLPSRSSEAAKSLFDAVVVPATVESQRTVLNIVGQYRKLGGVHTSDGWAD IDNRAAACYTFEELACKNIKHETFVQLHDDLIRCFKACCMSIPDFEATFLPAFLQALT SPGDLYDVRRFIAYVHQLQRDDLRHTYHGRLDAGDSKRDAARKASCSQQQPPLPNLTA ADWMRFPRMPQSMGCIGTSGNIGGDKSDTSTDGLRHQAPLVSPPAYLYNKWLKEKQAR AMGPI XP_827524.1 MTKLVRKLKQMAKKRAHRNTVLKRKAERAQKELEENAKREKERL ERETTLEMQRVARGDEASATGESTGLSNKVMRVVGDLMLELPKQRAKKQVSRKQAKRK EAARERGEAIAAQMGKKWEAKKRRVKQRAQIRNEDLHD XP_827525.1 MYRHGLESEEQRHEDLLLLPTQSDTQTTEERTTANPTTQGATDE GGSGAADGEGAATYLTPRAVSVDSDLTLEDLFGPFFYVDKSLVVVDPALLGSRSLLRR FFGDTICETDVDITSDNEEALRKEVELARKEACVNYVYELFGEEGAAIIGTQEPKPFD CLVSSVPDKQNVGLYEEMWLTDMPKIAPNRQTLHMEPRPYVSSNPSAPLRSTERSLYT TQNVIRWSIGCRDKLFVSNARIVRWSDGSTTLRVGSDSFPLQLSRDNAITMLASPSAI SKGDLTLPAMVSVINPSKHFVAECNSAASIEKAVNEENQRIRSVSAQHNLAYSVPTLP SVDWNNLAASGTPEGEFLARSYNLRKKMMEQRKNRGEPMTLTEQLQMESELFEKLQSL SAKELLEQQQEQERQAALRSLTRQNQTAHRRRFERTVELEGGEYREGHNSMGGGNTGL NGGDFDNNDEDEEIEDDYETMLENVRRKRQREDDEADAKHARNIRLYEQARAGQYGSL IDSMQDLLSQLPDGSIVRESVDETVEFLRAGSFSPAVVIKEVPLMLEGVASECPDVDI GKVREELRKLHERK XP_827526.1 MCSIEGPPLKKLRPQPLTPTDPIPIYLDYNATTPLCMESWRAMS AIVPFSWGNPSSVHPYGLAAKFVLDEARGKVANAIRAVTPANVIFTSGGTEANNLAII GGFTALRERFPSRHYIITTNVEHPAVEEVLKFLEREHSAVVKGGDRRMSGGKKQQPPP VEVYRFPVDPRTGCVRTDEWRKLLLELPGGPQNVALVTVMHANNEIGGINPIDDLVKL VKEICGEETLFHTDAAQSIGKVPVNVSAMRVDMLSICSHKFYGPKGVGALYVRDGVRI RNILFGANHENGARPGTENVLLVTGMAEALHVACKNLTANAATMRNTRDELFRVIKQE VSKVGMDCVLNGDIDHALPNTLSVALFKVTDSGKRRYISAHGLIQAVGDKVCMSSGAA CHSAEENVVVSASLRSVGVDLDRAVGTLRLSTGRTTTMAEVRRAARIIVRRAVQQFGE F XP_827527.1 METKGSSVHVCSNAWKLTHHVTWKDDGFPFVLHVPELELRIDFP IAHYKCCWACAMLHPVWKRVAKCSPRQEYARQTNASVCIHKPTLREAGDVLSELSSFT LALDKRQKQHYPRSSVSRLRFVHSLPTIIRLGNGIKGTITATFTLQRCVADGNNSSTL FPTFIAVESVGLIDCFVVDAIPRSRKGHERNGVTLLPLQNISSGGETATYDALNIQLR FDCLPPSFDSSLTSHEIPWELRVYAVFYESLDAQVRLDDAFRRLAEWHPSKTMEERFA VVSPGVDPSISINMELRHKGWLHRHIKEALNQMDCRVEGDNEDAGHPPAELRPIATLE GADNVVVETCPQAGSSAVVAVLPRDTKWPLVVAVETEKGMGKQSPPIPGSSSLERLSR EFIKLWERLSVDD XP_827528.1 MQQKSDAVTPLPLKQMFPLALVLLNESLCSSILLPYVGYLVSFF EKCPPEEAGYMSGVVLGSFMLGQFTSGKMWGWMSDYYGRKPTLALGLIIGGLMVLCFG FSGNIWVCIIFRFFHGLSNGNLLVAKTVLADILDRTNEAQGFAMVSFTYGFGILIGPA MGGLLYDPANSNMFRWAGFRKDGVFARYPGLMPAVACFFYAIFALVICLVFLAETNPH ARPLPGWILALLPSSLRLRATHEVVPNNENIEAVVVEPEPDYFFNGLPDESDILGENH GTATWEKSQQLSFRKDGTNEEAKQHKEAAPNGTNGRVDSHLEVDREASAPALRGADEI SVATSQEKEPFGYRDSFVNPNTRCVLVTYMLICCGDVAFSEIFSLWAIAGTSHGGLGY QASAVGTLLLTNSFPCLLSNVTLHLACRVITNKLVLWRISVMIISVVVGLMPFVTYAV GGAQIPLLLMCTFARQWFSSWAFGLITIFTARVAPPLHTGTMYGIAQSCGSIVRCVIP FAITPLFAWSISGHKPIPFNSVLTFLISSVMFISACVGSLGLKVEDENELQNEQQLET NYVVVMDCEFDDGDARGGIFTGIKG XP_827529.1 MSVVEMEKDSQPEQPMRSKNFLHRVGTTILFVGTSFALSVCTPR FLPSAVKDFAPTIMWGFTCFCVRRAFKMMMTLVLITHLLASGASLWSCGFSNRVANRA LIFPSFASLAALLATWRLPTMPLERAIGVSLVQTWSISCGLILFAVFPATLISGSNWN QAKRDARFDQVIERATDQRVERFTVQSTGGVQLDAVAVMPEDPTEHWVAYFGGNAEIM ENSTEDMAFSNHLVHANWLFHNPRGVGRSSGYVCWANDLVDDAEAVVWEAVRRYNINP KNLILWGHSIGGGVAAALAGRYSDFPFPVLLDRTFSRLSDAAVSFSPFPATVTRGAIK VTTGDLNVVKSCRSLKGRNVLVIFHRSDEIIRFNISSIARPTAVKKSGLNKSSFLELQ STFVPSPHNTPLDYFPEVTQIQRRIIQLYE XP_827530.1 MKLFTPEETKRDESRQRDHASPAEGSGSNVTVVSGVCSAERSVI RDAEQAFKDVVTTSLEEILRLRPREPMRLLVDNLLKSSSTHEIERHEISVGDGTNCVL SKACTRKNGADSLCVGGLPLLRLIAPNSFEVDAAVRCLSETIDPDAKLIVFIEQPNPS VDLFFVGGIPYFANLTEQLMARRRDGNPDTVLDSAVGTDKIIAHIGEALRLGTKTIKE TIGSLAASMQRCSFIELPRLQRNFFEMFHVVDAALHSRKHDHLFPHSVTSVPGVLLVS YNPSTAPMTFTRVIATVLPLYEEVQRLKVAETLLANKTRIQKLDFHYVTEYWRGVLQR RDSRDKTRGESVTVSQRAPKKASGRNPKGNPPKPKTLPVEQLLRVQRRESEDAAFLRV VQHLQSNAVVRIQALYRGHRWRKRLGSALSDKLRTRAQTSSEAQWVEDLPLSTPPLLR QCVEKVSAAHGELFGNYVISCPPMPRIVKILKPTSRAIKVRKEDGTEDVDSEEETWEE EHIVVPVNRQTKPPPHFNLLRRLMECEYAGRCNTVKYAANIQQDCAGLSTLQRRAYDC YKSLVLNLLHIAYLELYRRGRIPLTVRNFEEYVNRWHSEIFSWFSAPHIFSRIALRKA MKPPYPVPVICTKETLEKERVLIVRAYGRGGIYHGPSSEKGAVKECKESLTEEERDEE QEEFLQTLPPLPPLDSGVDNEHYISGVYAAPVLLSQRWWEATLKRLTSSNREAKVAWW TLYDNPAVYINGQPVSLVPRHELQEVEGRPSFDELVPCIEVARKAPCNSTSSKECSNC DPISPARCRRFTLSCAGGETVSPEPTSDHRVEEGKTTPGASGAVDAATDTDGESSVST FGVSLESEDSHLVHELQQNFLDDQTETYPQYCTVGPLCQYSIPAPVHGLQPLIIAPPM PSVHCSEHGYQCREDRNTSSTGIDSACYFPSDHAVSHGSETAFNHQNSVTLEWDDFSV ASQRKPSVGQPSRTTAKKSSAFGEGANVHNVATVSAMATHVGQRIMHEGRFLHERPWL TPVCIRSRGPSFSDFMIKCLHQMRDGYKIVVAVDEPYQIMLFNAVCLLKMAAKEEEDL ERTLAPDTPLQEESVVPTLAPHELLSSETAECLSLLQPFYDELSKSVDNTVVSVEGCA IMVQKVMKRMSPGGLLFLDQIPMLMEQAEREKNQRLLGESILAVVQRIELFCWLVIFE AFLASAIRSQSNGMKIDEILPKFHTYVNASNVVHWIDYIDPWKDVPHRSPDPFHLRYS NGLRRWDNPSFIFRGATVL XP_827531.1 MWWSVRLFQRGTAAGPTAMTAASPLTESCATQQVTTKNVRRPSK LQRQMFMDRMKKRHRARVKMIAAQEQSHLATVRSSNLNGRDVIMAQLADEIFSRGHKH VCCATNISFVPDGNPAMPEVALAGRGQCGKSSLLRSLFRSSREVGRSNVKLRRDAMNF FNVGGGVFNIVDLPGFGGTSVPWSTLLQHAVLLRNFVRCRPNLKMLYYCMDVHYRHGV YIQDLDLLRFLSKEVPNFTIVVTKGDQLDTTKSRNVFRLEDIRKELLFHDITHPVLVT SAYHMGGVDALRFDMVMNCLHALPTERLTITEAKRLSERLLTQREIGTVRHLPLAPTQ LQDELRNWSEEVEKERAIEPVTPGSMEQKHEGESAHGLSERDTNTSGCSRGKCTSKAV AVEVGSSVTPADISLALDQAEAFTSLKKKVTNEGLMKYVRQTSPWRNPLLWPENVVPT KHPKANIMRCPEDPHNPYLTQAHFVCPRADMYFRRPNVGTRKGVEKGRYEADRPLAFL LKPYTIPYFPDVVDVKMHPLPWTFLGSREAYYESTGGRQLGVRMAQYVTNGEIRALND NPAPTQPDLTKELQKLERKRYGSSIAMLRPPGKQVKGLTTGMPSVLIHGSECVDQVTA APPQPLGAGK XP_827532.1 MKLVRHIETIENRGYLFEFTIDVNSPDEPELSRARRYYVVATDP SPSRAFCYLSIYFRDPSLYSPKSRWFSLHNRVFGPIGHDRFVGELLKVSQAEAESYSL FTDEPAARAALGVISTNRVDPTGGRAARGGDAHGSPFETPRDVKTAAAVQILSENVKA RLGKGVETLLGPPYTVSKEAMTSTRDADFQSQGNQLREARQLLQLERNQHEKDVEHLM SEISQLHKQLKNVGYEGKARQQEQQTTALRDSQERERLLQLTIDENVLLKQRYHHACV ELEHWRMECRRLKHKCDQKAMASTQATKCVSQEEYNDLEKRHRLLHKELHELSEEKME LESRLRKADEEAARRSMELRLALQALHSLGRGLDNVQHKLPLVLRSE XP_827533.1 MATRDRTTEFLQYRSAKTRQTDSQGLLQEDRGASTFSTFVAPLW MQKMDEVRELQRKIRKHMESLEKLWRNNLKIEFSSSRDEGREEMDIERLRVSIDNLFK QSEKVVNELEVAYMRELPDEGTDAELSILRNVKMCLVNELSNIGKLYRESERRYVMDL KKQQSVAKRWGNSERQRVIEQELETDAVMNRCLQKGMSQEQVEAMLLNQQLADERVKE FEHIYTSIKSMHEMFSDMKTLVIEQGAVLDRIDYNMSITHERVQSGRAELEKAAEYQE AGLFKTCFLFLVVTIFVLLFILLFQKMLS XP_827534.1 MNFVPLYRSILKGIHTAKQHPYHLQDIRFILSYPLVKPVYYATK PNSELKQLEPLQGIDTPLLVRTCLEDLRRAFMSVPSKDMSVAQQFVYMRELGWLKCRL ENIASESTDAILKEARVVASEVTDDDFLDDQIIVRQGRPNNKELQCVQTGNNGSEMGD CGYGVASAGAIGLRYEMFILQNVAPLPLVKEFLSSFSQNTEAAAAAATSNQKLQEFAR RYVPRTVVCQNEDLTLTITVNAFDAALTRKSTEENNPFARVHRYFMIRFDLSPSDPLV LGVDVVNSYFLRLDAGSAELVEDIGYLHAADVWKLSQELRVKGSGSSTDSDDTKDNGC VDGSVSSKEHAAEGNVNCMDHNEHEHNPTSHFELSFINPSDGPTIMKGQLYYTLRTKS SASASKMNVGVISFGHILLFNDE XP_827535.1 MKDPVVSGSPVVDAAVLPCTQLRANRRMPLLWLPMSLCKQLYSE HGEGNATTIGRNGEDNMHGNMSGDSVEQVLECLSGLERGVLNAVLRQPFLPIHTLREA FPVGRNYNFDDDLFEILVRVASNVDSVTASASAEVEVHMGTAAVVVVLNCYEHEPNLA LKAVGYVFEKYFKNCACRCVVLDPPADIESGGLREVLIPVSTGASINDVANHVLREVA FAMVRRADARLVELSSMIASIVEAKPGPSDLSSVLRFKSSSKSGKGSATADAAPSYGS GTSLMKRKGDLLLILGSFNAALMSYDEALGGADALWCAATLEAIATLRFMQNAPLLAL RESAESTFVSLAFDGSVWSNDMKKTMDEIDKVTSTLQVSQRKALTALKRNTALSVLGK RLTKEVEDPLSSQCESLRRRIRSLDETMSNERVEKYGRRALGCLRQIIHLSFHELHLY LNEALQLLRTVVGARTLLRERELNIHVKRAELLAEEGDKQSMLECFSLIKDLAAQSGD GTLQQRIRTQIPRICARAGCCRKAIHYIADAALTQRRKGANGSAILLLLMACRVAGIP FPENGLGDDITVLTCSRQGQDILSPAVANGDAKSSSTKKECASLRLGTRPCEAQAVPL LTELLDAITEEGFDSDAKCSVASMLLFDYYPFLSDDTQESLMTLIAKKSAHYQSLHCS TPPFLDDMEVLPLPPHLEPKTISLSGAQFTFIDTGRLKMTVLTLNGKIIPQGQVVWSV GTVGEVTVVLKNPLRTQVELSSVALSCCPVSSLNGDGGTTNLEEEHGLTTDAPSTYVV TNVTLQPMSKLQLCLQVLPTTPGFLSVKGVEIRFSNMPWCGTFMMPVRKPEVIPVLQE LPMVSCALGVNEVEIFCGQTVEFTLHVVNTGRVPVHKFQITVHDESCQLDTCEGCKER LSASSLYVTIERDPSTEQRSESSLEVGGVTTRRVTIASPLGSDNKAFQHIVFRTCMEL PYNQPTAPPGIPSAVPVFAVIPRRVQETYLRVFEAPSLAVTSVSLSRDRRYVELCVRN MNTVHTIELLCSHLSFANIPDALIVAGAEYLVPPIEIIKVPRGTKQIPLPWVVRGQPK CGGTLYVDFSIASAEAVCMEPLEDAIVTIKTLPNDLGGLRNQQLVNVTGGELASIILP VMKPVQLLVCVSAPWKRVVPLRVRLAMEQQALDMSVLSGAVDAVHTVGGGETNDATRL YQEVVEVFAFKMGEHPLSVTLSDADGRETTHTVRLQWKHI XP_827536.1 MPPRSAGVRERQKRTREDSKTSGQLHKRQKVLPATATHLADDTS AEPFSQEDVDRENLTNHGPLAAYSSSSVMEAASLRNELKEHVSRCQLIVRNVGSLVQQ RESSTAAMATLPSLCSISPREVVRLNVGGEIFTVPLQLLLKKDGGDTYFDMLFRYGAA GGEDSPYPTSFQPPLLDDTGALFIDRDPGTFRFILNYLRGSRMLSMLSEDRLSMLKTD AQYFQLHGLIKELSDESKETGIKFNPGPGVNLERNKFRVVYSIATIGENFLITGCHRI TFEILNSEYVGIGLISDMCVMSDQEFHKTLHCCVYYMTGVFYSNFPHHRKEDRLEALD KGDFVSLMVDMNKRVAEYTLKGSVKTIPLGNARKLRFAVVMKMNSRIRIVPEEEARKL PLFRRRLYSSDVDSVDNALSLADERDGFTQLSQNSGAGAVELPTAMTLFSQLQQQQHQ RQLPPPQGAVQDSGDPNAVDPSLPFLDDGNGGTVRPLTLDFTAEDIFPVDVDETEAFH HRSAPQEGE XP_827537.1 MDYEEPGQATRELTTFVQGLLQNMQTRFQEMSDTIITRIDEMGT RIDDLEKNIAELMQQAGPDEEAAQTASAPKDKKKSKGK XP_827538.1 MCVCSSSPFPSQIPPFFSLLPLTFLYCTGSSSYFHPSIHLFIFI FFASALFNFPLTSSTSFTLQHTYTYTYVNTCANVACVLLLLLLLFRQCVPQLLCHTGS DIKFVQTFGQGKIVDTEVEKR XP_827539.1 MTTGGKQETIYDACRNGNEARVEEYVRHGGSVVEYDKFRMTLLH HAAYSGNIRVVDFILSAQCSGQQQQQRIDLDAADADGWTPLHYAADRGFVSITARLLE EGANVNAKDGMKRTPLHLAATSGRVDVVKLLLSSGAMRGVKNVVGLTALECAKANDQV DTVSVLEHCFTKRQHAEVC XP_827540.1 MENSCPGEARTPPRNSNDGGGGVEAILTMQTMSPLDKVRQFFEE QEVQLQTTAGDEQTNTNPLLDDVLDTEPIRGELFLSDEVEADEVTASHVDPQQKKRKR QGTASGEKGRKESRKARRATASDDTVHKSSKYREKEDAKPMVLFRQSQKALRKEARHV SMKEVLCRSLPGLNDGINERSNLFTPSGVSQASSTWSSAVAADITNRFLSNVQQKKKE TFERLLQREMTNSQLSFSSAGEGDASLGGVMGELVIGDESAVMTPPASDSNFLAGEGA LVELTTETAPSLSSTQDPFMSCNGMSQGFVELDEETEQAQSDALVRKHELWRLRQRHL RAQRSGEESNPQVKLEKDDHQHKSLSSTFTGTTLSTLSTGALQGVATHDADGAIDTVL THRSALKRTISATLELSTDHIDMIRRANSFDNISCQRIVVFEAKKGK XP_827541.1 MTDLDATKISIISVKKANPKLLAEVGDEANELPVTVKEKRAHEK RCNFCCMSIGLLFSAFMVVMVASIGTGMAVYTEKRARYTVNGVMRQVGWISLPGLLVG TTLHYFLSEAMWSGRRNSWGQAWMKAFATNTAIWSVAIGTGTLLWRKGLLINAAGRRL YYRYPIPSDPLEYRLVRSEAQFFTGMGWSYWLSGVVSGQVGLVSCVGFCVWNDRPYFM MNPHGGYARRCMPNWRREQLARQANVSLSE XP_827542.1 MTKDKVNFRYSMKYAQGAAAVEDNIGITELRSPTGRCSDMNEER NSRLTGLMKWSLDDYVVKEMWPSEAFASPSTKDLQNVRGPAAFALKIVAEGIPDETIA KLIERKCPPRTSVRFTTPLDFCSSQERIALVCSHTHASKRNFQCQQVAHVSEGVVCIG GAVDISLHLHDISSLPLCILPDVSYSVVFRGLRGSLADILPRLEALRSNGFINYTHLA RHGVGLFRAFESGRQLLHREYAEFLRGYVLSLTERSPAVHKELPPFLEAIVDPKLKCS DWAGVRKGLEYALKRDEPLINLPQTGLYYPHHTLLRDLVERAADLLPKEHDSAQVIRN AVPRLIIQEKLRSVADVHFNALASLRWKMYGDRVVPGDLVLRRGDSGHATEPLDVFDA PADHINGGMTSVSHTEWLSAAGEKHLDGLLERVHLVSSEKEAQSFTTDDIVLPVLGRF AERLILPNTKMKDAFQHIAQELQVEGLPRLRAAPPATYRWLIERPKKLAYCIFDESRG WCWESDNNEPIRSQLYEDREGVLRQPSPLMAVTGKNMVARRGIRGTEQRHHYLKPARR GGMTCVVRMTLPRGTAITSALREVVQVATLDTGAIFRLLK XP_827543.1 MHKPVTARVTVSLRFTGVPGIQGQRVFRDTLSVTHPYDNSYDRI RDVVNEKLKFMKAPLCDFTVFHKDNKTNDVVVVDNAYFAELVRDLVGKALALGGTRPA SIAEGVYKLGETRDFRRNEVLGGSFDYEEEGEEVVSLSGVLSFDVEVTNEKTVVAKPS LNSGTVVPLTTEALRAHVSDGVHVAIGSLVCPPKHPNVFIRAAVIGIHFKQLNSGQSL CRLDLCEPEDVRQQITAVTFDASVQKAIRDNLKGGGREVVELRNAYIRKKNETDIRYQ VNMHPLIIGMNSCSRIEVVRLLPAPVALNPENVVTMRENLRPTGIINFGGPAVSSEKP VNSSLSQPMRQNASATGSSSSSSVQSGPRKTMLSLNSAASNTITPISGVVPSHLGEEM RRQQANFLSKRTREEPLPKEPDTIHVSVKDVRMRDMIVAQYAVREEETKNRIRRRKEV NQTCIICGVNCEDESTLTLVADLLKNNSENRGRRIPSMAELRWALCDRRQTKSGQVGN RLVCAQYFVDTRTNSLHVVHCRCAHLCTGYQRGRDLEDIVALELPMQTCTLCGMPGAS VFCYHPDCREMYHTICALFCFGYVNFGKKDPHLPCPACPKHTQVKHLLPDEAGPVTYA QSNSAWWEEDKVVFDSSIVETTDLRDPDENEGA XP_827544.1 MGYRLLRDNVFKASCAKDSDELRARREPTMLYKEDQLVAPASGT ERRYTLVLDLDETVIYAREGPLYARAYLKELLSFIRKNFEVIVWTAGEREYAECILEE INIRNTVRHLIHRHKTWFSFDDYTKDLTKLGRNLDYVIIIENSPDCVRTNPQNGIIVD DFRVSQTPPMEEAEAAWEGDGNNRERKAGSRSDSKTRRQVTDRTLLLLREVLKAMVES GETVPDFLAKCPLLTQRRVIGSDGSQIQTYHLGTRRKRGIPQLCASSPKRRRVTKESA PGATCTS XP_827545.1 MILWPQAINDEVYADGYCPSLCLYFKQELLSRVWAPPALTMGLE AAAFQDELSTRAQINFGFFDEDGVAYEFTRLSTGYVGSLEIMQLLLLVLAEDPRIVRT DEQLLPHVQKIIDVWIHDIRITPPTTKSADVYTNVQTREPEKPVLPMAKRSIDDNTAI SASNGTETNIPYT XP_827547.1 MGHWWVSLHTFHFLIKASGNPSERAIGFSMHFSCVCLKCAPLLT LWSPTCPVNIKSLLGTFYSNPTLFSLSSPSLTVTSMSSFFFILVSTPARLRLTPLSLH CS XP_827548.1 MKHELIGLTKKVSDGFSACAPQQRRPRRIEKKKLSEKEIQSKKR RGLSSHRGNTDHRNCHLWSFFLLKKGYKYSLIFECSIAFENLKKKKVAKEVFQFFAKT QVCKKTQVRRGQW XP_827549.1 MRLTALLAYLVAMLMNGSLLNGEVEAKGAAAGLVNKFLNNPGVS RGDIEDPPDVEEEEEEEASIPYEKDMEYPDGSKSDEEAGAKPKGPTNKSDDNNLQEGN GGNSGTKGAVEGTNVDTKSPAVKSDDDGLQKDDIEDPEDVTEVTKTDTCVDGGECESN GGGTEVLAASLSTNVVPLLLLVSAMLMMS XP_827550.1 MLPYLDLIIYFEINTGRAHQAFISKECSQKKLVTINVRLYQKQC LSNPKVWYDLDKLPPLRYAEFVSHIPWGMDQAVMKLRRFQNPPPKGKCYGCSLFLCKM XP_827551.1 MHSLRSVARPPIPPQRCPHRTQDPSFPQGTVALDHHWRPLLLFV SAASAWHTRRRPHHRQTQKMHASRATPIPGESVCFAQSTPNQSSPRFAYSAASAHQAP TAVFHHHHHHPRSLPYAKLNAFRLNNSTHYVPLNCMSVSPIASFIRLLVWRRYFVRPI ARVCSKVNNKKTHERSGVGHWRCKCLRHRVHTHVVG XP_827552.1 MSSDELDAFRAELLRQKVIFEAELRRQRDTFEEKLARVTQELAD READCRNLQAVVTILGKKVDTLHQKCPTTPLRRPSPTSNLQMRGTGGDTQREATPGRD SRTTRMSSPSARRPFGGRLQTQPSLINLPTSASSVCAPSVSSAGSRNTSPARQLHIRR PRLRS XP_827553.1 MFRRFCTASGILAGTCLVAFAVRVWVTRRGCLPNERSLSAKYAN MLQNGQASKGCQTYQDEAILVIYGSVSGNAEMYAKTLVTNLRERGADIALIDPSAWPY LAQHTPQQPLFPASKGTQMPVVVFVVSTTGEGEVPDNFFSLFIQMKAVVRRGLEEGAE PFKDVFYAVFALGESSYKYFCRAGVDVDGLLQKGGGTELVPIGIGDARDPLRDDLFDA WEETLTKKLEETCGMKLEALSDKPPQPQLLFRFIPDKPADTLPFAPPPSLLEPSGQYP GQFVLEAKTRRTSEREDGSYVLHLVLGFGGHTVKYQAGDHLGIYPANPPDVVEAYRQV LNIPLTDWTKPVELCSPVNARARASLRNTLPARVTLRTVFERYLDLCGKPRKSMLRLL ARYCSEIEEKTAMIDFLRGGHSLNAVAEFGTSTPSYRRGHYTVLDCLKMFCSWRGRLP VGHFVEAMPRMQPRFYSIASDMLTHPTTVEAFIRIIPDGVNSLFLHHLSVGAKVTAFV RKSTFHLPQKCSGRPVVMIGPGTGVASMIGFCYRREAMLKKQAAVEHGPMVLFFGNRN RSSEYFVEREVRQWCPHGEGKLPSAGVRCDSVLTLVDAAFSRDQPEKYYVTHLLEKHQ DYLLKLLTSRVGGGCLIYISGDASRVSRSVDKAILKLLEYGGFAEEAAVEFLARMERE GRYLKDVY XP_827554.1 MSLTAELFGAATPSSTSPSEKLKKKGTSKNSVVATSTVFEPTKK AAFDIFAVAPEVRKATRREKQRLRIEEGVSRKNGTWKGKGESATEDEIFRTVIVDNTT GGDEVGESKPDGRKKQLRHALHQNEEEDSRTVFVGNLVNDVKRRVLEKVFKTCGPIES VRIRAQALEGEKDLNGGEATVQPRGVGRAIRVLRGDVKKGEQYSAVAYVLFKDKSSIK EALDKNGVVVEGRHIVVTTLDPEGREYAPETSVFVGNVAYDSNEEALWNFFVEKGIRD VKRVRLVRDRESGMCKGFGYVEFQSKGSVAAAIALRGTLFMDREIRIVHVQKSKAVTA SKATRREKRKLGTRGEVADSRSQGAEGNGKRMRTEGKTKVQGPVDDQPPWMGVVTNPR RKIPKDLRPLVEGKKSFSSPAPRAPVKRKMRNPEKGH XP_827555.1 MPGIDYSKWDKICDSDDSGSGDSATPGKPRVTQLEYPSRVTISS SGVHMVNTPPQATVSPFREPLSGSNNKNNNNDSRGAPLPAWTSSSSNAVDGGGIIGAS SASAHNCDSPARSGGVPCGDKHTPRCNDDSDGESEDHMMYNNLIRNGGREGESHIWSQ TRDTVSVSFILPNMNTKAKDIQNFSLEEEEQPNHTSSCVISFSVKGDGGRRRYVLRYP VKVDEDTVEGCWSLHSLPAYPLRLLVVQLVKESMALGMTLWWDRCFITDQTIIDTTKI SERNGADATRAEAFRQSWEKAHEEFKRRMIEQREKRCVIDEGFDSDVEDDNGGDGEVR GGST XP_827556.1 MQSDCCCQSYHFLVRVTSVACISQNGVGTQLSLLSSSSVYRFDI GIVSRYSQRKKPIRDNIRVAMPPAGGDLPSIGPKMGALWGDRRTGKRREQVHLWSAVA LQEHFARGAKVNCPLPTLEEAPPQLPGGRLTLAQKMGLVPLPPQPPSEDEWSRIEGTV LRRMRTLPLGSNVCCICQEPFYATMEQGQVLLNCHHVYHENCLRQFERFTRQLRGKYD ATPLSCPMCRNPHYHKRVFYAGKAMEQRAAIVKIQAFFRRVLARKIYLKMRVESDSKF CGEYATKRLARVSEVCAMYATLREKCQTRLLDNIGARLQRLQLEGMTRAQWDALRERT EKSSPDGVDCPICLGRVPPNPNRVLDEPAPTAEDIVASFRKEYEARRAKEKASMELPK GYPNIRLVFGGGGEEGGPPQKPPARKSEPKRCRAQQPSRRVTASEPPKGKQKADKKSP TAHTTEPSAVNNTSCVTADPTGQQRYGVLLSCGHCFHDTCLSTFERYTEMTAVSGDSD TLLPTCPVCRSAYVKVDY XP_827557.1 MGFSLAGILQALLLCLNAMAILSERRLLSRYGLASSAVMDSSDI GSETAFSSGDELAGVPRQRPFRESIAALLSSVRTLMRWPLIFINTAVIVFTLLFG XP_827558.1 MSAPQPLSESFTHLVGDCELVFKHPVSYEPPLYLFPELLGKVPE GTAPVAVGDAVSDALVVESSFLNIAELFHLPTEDLRKGVGSAKRGAAAGDITPPQDEA EKKGPKVTLASLLASNRLQSIGLTAEVLQRGKFSSGAVIDELLKLKQRVGLNDDEAKV IMSNHFINLLAWSTAVEINSHQLPAKRGRESDNNGDAYEREEIVAGCNEKKRDRPCSD DGAGEIDIQTLQPSEDPWSNDVDLHPGTFVAAAEKDVEKRVELIENLTKLYRRPWRTL QSKGIQTDRLVPGVINETAPYVDLMKLPGAYLDGVRELGWKPAVHSNSEERCRWILQV TSTSVSDSQTISVRGRVMHFGRTAGVVSSVSNGITNIHVGLVSLTQHPEFVSPHHFSL FLLPNKSSSSGTGEDSKRREDGGTEVQENEEVSQFLWLVNYGRNGVRVQGKQWTLGNM LRLEVGDVFYPTHDIRVVVADGLAAVGLPEIRKKTDEEGEAEAEVAVKPEPVVSLDG XP_827559.1 MSFLVKTLLGDDIVHPTDTSKVAAAVEEGNKGREEPEGDYVHTR KLITRIQSCTVAQDRREALRELRECVDLPYVMEPKEVVHLCNLLQNYSEDGEVVESSL AILANVTDLSGFPTGPAYAVSERGKQSICDSFLQELVSEVPLFLSHMKEGSFWSRFHA LQLLQRLEDYDPSSMNKLLLASQGVSVLVDILSDNSHDGALRNEGLVLITAITATDTE LQTVLAFNNAFEKLFGVMRDEGDLDGGAIVSDCLTITHNMLRGNKATQKLFCEMGCAK LICPLLFAVVQRLQDAVKQAEASRMMKRKHGEEVQVDYTPLTNAQNMATLMAVSLLSC VLRDARAQPEGGTATQESFLQCGVLTPLASLALVGDAVDDAVRVEAMRTLAILLEGGS AAVEEFLQLHVLMLLRKDSSIFVAKELPAARAALHLLLGVGDRVMQPCVAQVFLTLLS TPSCEESAVKVILKGFALPVPGPSGVALPKGTLVGDCGEAIAAALFSTYGGSDCVGKY YAALLLDRLLMIPTAAVQLLEHKEELEASSGSPALKTKVFVSRTHVAPAATEPPGSVF VGYVEYVLKCFRGHGEMDLNTLSACFRALLQWIVCDVRAIQHFLKDTAHYRGFLQRAC RDEGPVHVRFWSAILCAAICVYAPENDQNGGMGNCTANEKSKKAEVECTPSPQEEVIN KEQLLELFFSHLGGPQVFEDLLFDVRASSPMWGEPPKCAFQRTAPALYDEAMKGSLLK VMKDFSDLCRTRMGIIKAAPDPKEGDYLGSQLKQSISAVTDYNSVEVRSSPPLPFPQA VPETPTQPGAVGFVTSQPSTPVETTKLLDETVNALREQYESKIRELTEKNAKLESDLH SLEEQIRADARQREEEEALREERHRSEVGNLNENIAFLEGAVSVKEEEHQALAQSLNM LEEQLRAAVSRSRGDTTETLTLRQDLQKITEERDQLLVMVVHMCEERGFGSQKDVQLT LGITENFGRPDGEPTHVSREPDVVPFNHEMNNGPSSDDAQNRLQISHENTYNPPNGMP AAAAEDVPLNRVPYNHSVTLSDVSRALLREAGADCVHSQISGGMWPVENFNPSPVAGG VSVSDSSQCPPFGVGSGVHGLLQAPETAPTNSASQRLGGNPLPLAFNPFANMGGDDPS TDLR XP_827560.1 MSKLRDLWSGADERAVNAPKPVRTSRGWNVPPEVLPTKPQAPRK RKCAYVRPNNRAAVALPHPGQSYNPRDDDHQKALLKAVKHLEKKKRDHERVVKFLTRG RDQKYNGSLSTDETWEEEVKERPEKKKKKARKTVKEGVQEGDSNVAKKEEDKKKKRKK KDKKKVSKASLLATKKTLPHRRHPKRDIVLNEVDHIEEIAAQHAKREAKRQAAREKRR LIKREGMSIKSFGRHHYTPLSLDVAPSSNLVGSLRHLNGSSVHPITDRMKSLEERNLV PARMRHVYNKRKVLKPKGEVRVKRETFGVIPETSF XP_827561.1 MAAKRSGSAGASPIQLLQPLEPNKKYIKRMKTLAKKREAAAEEE RRHNKRLRMNDKKRKQASERAHGKGGKKAILTEKGRKPVKGSTKKSERKPVKEKAGQK KDKKVAVALPNKKKVERLRDISVPFELPPPSQEEIDKQLLQRVIGGEDGKRDSTSADA PGGGGFNSPHLPFLDDYAQQKRSEIVEADGLLQKAHSYFKDLSKRECQLRRAAAKRLR ELKEINKRGGDKDGFRYVVPRNVSEVVRQMTQGADVDPTQLVSTFGAEDDKLRSQEEH LHEASDRPMHRRKRKNPCYSDFYQFQVSKRWTRNAERFLEKGRAHKSMFEASKQRRSL KKF XP_827562.1 MSRQLVVDSKQQIKAVQHLSKDPERVVGALEDMCMSLVMGVEVY LRGFDSMRVVPTLVHMAESERFFRAGDALTMIFRALSLIMEHVPTSYCGVHPYHKRLV RIATEVLARVHSREMRFSNLDRVMLMEEVLRLIRFVTLDESSVSLVHLGLRDVTKTAQ YENALLTRQALDVLLAVCSKVVLPSETRKRKFFSSVVSLFSCCKSLKDEQQENKPCVK PSVVTTDHILVPFLQGMIQQYASSLESSPDSWSLLELALQCLGMLIRRAVLFNRLSSA QRMITSELCRCLYRLLLLNDGNVALDPSVRSERLLFSETMIDIILTADWVNVSDMLLS KEARAFYGIVLDDSDPSITMLLSDPFPVGGISRAAHRRDKNHIVSIAAIRHFILASPP LPSEAFGPKPKVVLPVHKWMWEDEMRHNSPIPEEACVTLETCLARRTKEVTLRIHSKI LTANLATMKICSGFGGAELNISRKFVPFVFHVMNEADIRSVKAAKDVTGVVEYIANSA EENIGVPISSGMDKLVNRRESELCRYYVLNNSAPVPAASMKIAEMYLESLCKYATWAS GSMAMQLGVCACAALLQAAILAKKPQQLTELLRKTMRPLCDMLRTALISADKATKSVA LTMMVWLLRHPGSSEWKAAETAYRCGVVKQLENLANSKCKRLDDRQADDQDGKIRRMV PKVKLEGPENRTMHLMAMVRAILSTVEKEMSENSVPPSARSHVGCVTALWESVQELRK QTMVFGTHQKVVAQVLRVMEKTSGNITAFEISKMGIADAVLGYLLMSNTGGDEYDTNE EIGATCAAESSGALISEGVVPGLTVDTGTDPATFGGVRIADQLKRLCQKDRLKCFLQL MAEYPRGVEALIENLVSALCLISNLPFVESLMTSQRVTCVPLTKAMSAVSQLSQDVSL CRKTVYPSSSGNPCRRGVSHDRGRNQPCSSLKSGDIPSSTPSQRAVSQRGSKLTVRES CPNGHLLLSLEMIAPKRSCESCEEQLETGFGCNVCNYFLCVSCHSHFITSRDGGATGR RSSSFNGVAAGAPDTVVQPKSRVNVATSAHLFSSIGDIERTFRTDSNSTKGTEVAPCP QSTLAAEVFLERVQLVLSRKKETMSYVELRQELQRLIDGFIAQRETIESLDELDAHNK KTPVDLEEPSRLERMLHDAMEDRYVLYTSPTGRCAYQETLMGNIMQRALNLGLVDVVG QLESCLVTPESHGEPLNLGGGEKGLSKTLLLLRGDIVNGEVPPSATEDKEHITSWQLN RFHHFESDAHTHCCCGLRSREELNTAYPSKLVRKPSHLSQSPDVLLLILLHKILQPLM VANTIPINPDVFVSAILTTQLVKSVAASALRIALLPPRIAVPRWVDFILTEAKFLVPP SVREDVVRFLAYGARRALHANIRSSMGSRRYRMYKVYPSEWSKYENHKYVVSRNDLLH GAYMVLRKGAECRAPISIQFKGEVGIGQGPTAHFYTLIARELTKCRLRLWNNSSRSST THESGDSCGNRQLRSKSNSGTFDDEQRVESRPEGLFPCSSVPKVLQRFSTDLSLSSCR DTKALTERFLCMNDFFEVDEERAQLYYLVGTVLGRAFTDAVVFPLDISPALALFLCRG IPPSRIVLRCTEKEEQPWEPERPIDFMSLGIEEVEMMDKQVASSLRSLLSMSSKELSS LDLPFTMPGDDRFEMIDKGQSTCVNSTNVSAYIRRAASALLYESVVMPIRFITYGFRD VVPCEALAALDASEAMSLLCGNRIRDSEPLWTAAEIKSIIVPDHGYNSESPQITMLQN ILAKRFGPREQRAFLLFCTGCPRLPHGGISALGAITVVQSTHMPTLPDASHTEGNGKD GSNGGRKGGDRSRRISRTGNGTKAVGIDWPLPSVNTCFRYLKMPPYPTEELMYNKLQL SIMYAGDTFELS XP_827563.1 MSVSVRDGGYIKGCGSHVRGFSLTNTCTFYGLTSIVRPTTCLSF CVLFYSVFYSVLLLPMLFSFIICALPSHSSPFSLFFSFPHLYSKLLLVALHAGVPNPF CSFIHVFIIAIVEVCSIH XP_827564.1 MSENVFEFRVLEPEERKGGGALDLAYWMYRIRTSTTLPMYPRKE MEVVRRYNDFVWFRTQLCEAYPYCIIPPIPEKEVHGTFNKIVGSASQSATRTRDYRQR ALKKFLTRVGAHPRLRTAQLLQEFLEMDETEWERRMQAPVAGAGRSLRNSIGDSVGQV LSRTWNDAQTLEDAGAAYSQAVNNGAVDMTAWEGTRRYIKQLDESMKVLREQVQVLVD RRRNTSNSLHEFGVAFEKVAEVDGMVGQSSLTRVLSAVGQHNDQLSTLYVEHANDETK QVVETLSYYHGMCGSVRATLKHLLQSAHHVEALARQLEGLRAQRDKVLDQVGQAARRA KLENEISEQEQLLERARQELTDGEAIFKEEIHRFHRDKQYDIKTILKMFADLQIKYAG RLKQSWEKLSPLLTQGQPISDHLIDDD XP_827565.1 MIFLFALVICRFRWLLTVITKFLSIVVSFYQIINFFYKKNFTHF PPNTLHFTHRLRFIPFYLM XP_827566.1 MLPHPHHTHTPTHQPPTKKKKNRLLLIHLPQLNSFRTQTCKYIN IYIYILNYYLFTYFHKVITKKKPSYENALTSSPFLPFIPSCSFSLPFSHIHTHTHTYI YIYIYIYIPSSRREGEERKKKDKQKVKAKIKIKVKLNSFFKKKKEIKQINHPIHSFIQ WQKDNPITYNIWNIIYNI XP_827567.1 MRAEIPGVVPAVVSQVSEHQLPHLYPRHTAVTDSTALPREKSTL QRTDTTDSQLFSLADLQLARGNTRRPSRSRPTSPIRKDRIPRPPSVEFDVHLEVPRPH IRVSALPKHVMWPLPIPLPNLGNTCYMNSVVQCLRQSPSLALALEQCQLNAESHPAAA AMLNFFRMSEGDPKEFLVTFKSEAAKYNDEFKDFTQADAHEFLRTFLSVVHNEINTAP RAKLHIDDMGYVGKEDEETAFGMWRDQFACFDKSPIYDLFGGTTLGTCFCNSCENSSR TFEAFLDLSLPIGHEMPFGANLEAILQANFVEGKAEKMDGSNRIYCSRCKRLRSGSRC VVVRQWPKLLVLHLKRFDEYGKKNLVNVIYPETFMTGGEKSLRYSLYGVLMHSGTEMS GHYTSYVRVAFGEWYLCNDGAITPSRSVDVMQELEKAYILFYAEVPQVEPTFF XP_827568.1 MTNAQSPVLLPSQFMPQKEDTRSTDAYEELVANYISLKAKMNPL LSSQVETIRFSEVVDLRRNYIGAVGLQAVTSLLVKNDKLKELIVPCSGLNNDSVAFFC RAMIKHRSLEKLDFSGNTISLTAGLAVLSLLQHNPGIVHADLSNTQVPEGVMKKIEKA LERNRLCETAGNASGKEVATREECAEGRCAIEAFRVDAEGNRITGTVVEEKPATSTFA STMRETVRKADWMQKETVALDRLSTFTKSVVASGNKFVPLPHIESGWRLLEVAILAPP FIFHSETELLLNRVFPLLNEEFVGSRVHLNPLFSPPEEPAGVCLKNLRFAIQVDVLRD VEKSRFLTLELIGDREGDYRAMTANDMLNRQSLGAPYRLRSMSSQVVPSESPQAPPLQ PVLHAAHRRAMEVSSWRIIATRKGTRKLGIPPSLAPLLTEEPPIEHPDYLQRQVRRTC TVAAKEVCPSQLICCDAAVKEKKWQEHQVFKQYVVEGADTPELVIPDYNAEFDRCGAD GQVHLKHLEEFCQAVYERIQRVMSAHFPPVKEGHPSYFYGSAEKEKVLQKLKQYHEWL HTLLFSRLHCHLVKKNITNRLDLYVIAPPSRNSLLLHSADISAVSELISSFTWGLLTK SAQKCRVAYHTTHSALLTEEPTELRNIICTIIHQLYPNQEVYRSAIGEVDLGRLQKLF LDTITEKGKMAEQLNGNGDAKSDGNEKVITVVILDGIDNVIAPVEPCTALRMCEKTGK DVWEAPALRPGAFACLPYCLSRNVRLVLGCETDSTLYKQLQTRGKDSVEFLPVGNMTP NDFDDMLRPEVMGRIGVTLSDDDFMLIRQKEQALCPEYVLQVVDVLRSFNEAPGLGTH AIKVSLPGTVDGMVQQVYDKLNDSFGTALIRKCTRLLVCSRWGIHEPQLRAMLQLTCT RFNRLIRMMRPLIYSGTVCNIGTEGGNALNVRVCIRSRAFRELIKREDVLEEGSESDY KVWHGMLLRCYHDVVHEVLGREKGEVLLGLSSTNPFERTAVKELPYHAVEAQEAHVIH RVVLTMPYLMVVYRNSLGYHLVRELISAFNSFHESYEVGEYTFDEDVDVTTRQKPTSI LRLRDYIYFLRQYNSKLTKYPHLVVGTAIESGNRYPYVSMDARAYVTQMLTITCPLRQ RRLSFFTTMALSAKKQIHQRTITACCIPSRGKRIITASADRSICAVHPVTGAVEVQVR RSPSAVESLLWCETGAYHATVCHDRTLLIYDSAEMNIVSRCDGGTFGAPLTSVAFSAR GRFYLVTTEDLYLRAYDTEKTSVVLDVDQRHFLEKCEMADINRIRGFTTVLPHRSEDE IFYSVCHNVVIMWQLSTARNAFEKERTFSLPFSVESGKMIRSCTHFLLYPAPFPPTAS GHLPPPRQLRLCDVEAGREVAVLVSSCNHVVYQLSSNERMVAAGLEDGSVAVYRLPWS RIRQVGDAAVDVLTVTPTNHFSAFRYSALPTVSGLRFKWGDDGIFALGNDKQLKFWVL PRKHMPFPVPDDDTVDEMQGESIELMEEEPEDEVIEGVADAEFVHECEITAWDVATEP SDKAAAVVIGDPTGRLTMLRMWSPAT XP_827569.1 MDIFPLFLPAVICCDAINESLPVASPSKPGYLHDVSTALRQRHA VPHQFPFFLCFFALNYLFLFVRIATVSSLLDLRGKGGGTISGRVEEEVKTYLGINGNT YTNTKRCACACPCVWTPMSGDSEPPPQLPVGDHGYLACRRCRLIITEQQFLHEGCQVC GTGPVSREELLDVATAEFSNFIGLIAPEKSWVGRLINRTNCPNGVFATELCDEDESGE EEEEVEENDVYDEDEDGDSGNDVDNTNGDGMPGGDKLGPVMTDEELLLAMSGA XP_827570.1 MDVHSPLRCAPARRSLKFPVGEQSRNFLAFDPSISKHDRMIFHM GVLAHAESLIDSDILPCFTVPVYLPGNYTELRVITDRRHPAFGQIGLFAKRTIPANFV VTPYSGYVEIFGTSCSSRTYTMGYGSLSDDYALDAEFAGNYGRFANDPRGMEGVTANI SAESRFTARGETYTALVSRRIINKGEEILMSYGKAHNLTHSPWTGIKGELLTRYRVRS PMPFQFRGVTRLEGKYNDSNISVDNRGGNSRIVGVLSANSLQPCCSNQNRVTSGGVTE RSRSGSGQPSTSTSDTDVELFWECPQCGMWSIRNFPTPQVDFCPYCRSPRVYRTRLVA VCCRAPLPQEVLTGPTLPTEPVASQRETISSTDAQNIADGTVGSSPEANSHCSHLSMQ SKDIHNEDNIRGSQSKSSSGSGSTQASSTTLFTSHPINWPLNVPFLPWQVWDAAVPLT TIGRHSKFDTHNDIFLYTVNTLAEQEADQPLSDEMHQSDNNCGGSSSQNERNEDDEDG AEEEPHETRGKKRPRRGRQPRVRRKKEELWVKEDQNISDPHVPGKYDIAKRCGTVGSS PAAERGFCTDYFFLLSDSLICSGSGDDDANALRAVRSLLSDVTRRVFAGKTYRSGDVV ASVGGLIQLVHDTRRRPDGSVMRIPMKYFIPKRVRDELSSNHKGVGAEAAVERMALVD LLRLFESLALVVTNELMFCPCIALPDRGDCGSTSTSVITFSGSEVGANARDPSLTSQR VLELCNLQFVLTVDALGCPYIAAVATKDINTFDPFLARIG XP_827571.1 MKKAKKQEPGGRGILKHSSSPAGSESKMAWKCATAVLDKGSDIA DIFGVIKDKKLQSSAQPARGTVKGQSRLTTSDGLYRAPEKTLELSDADFFNVSSQPKE KKGRNKKTGKGGGPLADCEVPETLLRREGVDRIITVEELRKITSSNPNAGTTPNCPFD CDCCF XP_827572.1 MSSNCIRNTAYPFEISPDMYKEQRQRLAASMRTCMDDTHAAFLQ GSSEVPVNSTDVNHLFWQESYFAYLFGCDIPDCFGAVLTDGTGILFIPRLPASYAVWM GELPTPASVKEATGVEEVYYTDEINETFAAKGVTTVEVMKGVNSDSGLNVLTAQLPQP SKLNTSTDFLFDTLSRQRCYKTDLEIDLLRYVCRVSSDAHIHVMQQCKPGMSQHQLES TFLHHVYYHGGCRKVAYTCICATGHYGAVLHYPNNDAPIEDGSMALLDMGGHYMGYAS DITCSFPVNGKFTSDQVMIYNAVLDAHDSVMKSLRPGTNWVDMHKLALRVMCKHLLRA GLLMGDVDTIMQKRIMGLFQPHGLGHLLGMDVHDVGGYLEDCPKRPVESDCCKLRTAR VLEKGMCLTVEPGCYINRSLLTDAFVNPEMKPHLHEEGLRKLWNFGGVRIESDVVITE TGVVNLTVVPRTVEEIERTMAGVPFVGEPVVFVNQKNAP XP_827573.1 MTFGDFFFFSSPSPSCCLFSLPLPLPLPLPFFLFCLKIMCSIPS VWAHIYFFPPFFFSFLNFFNCYCRCLCKQFSYLFLFLFRLVPYNCACADLTNNRVWFA XP_827574.1 MKLITRNNFEEVYPEFVAALQGASYIAVDMEFTGIDRRATTNFL RPPEEAFEDKIAAARHFSVVQIGFSIFRGTELLSPQSGRDSRGATGDPSASSAYKETM LKELQSFRPQDSDVQGLVEVLQSMANKGRVDPKDVSKMEDLRKKIRQRCSQTSAGTIY DELSAYDALDEVDKAIDLATRWEKRLTSPRRQLWARSYSFYLLPAAWLDDQDPELVLS TKTMEFLRSNNMDLNMWISEGLSVVPFEPYARARRADRIAAELSDPKKEMDAKLVALR QWMQGVTDCDIRDHLLGEFNEIENFARNGELHESLEVCLPHLSQSHLSKFNALMRDLG LRVSKRIMKKLPPEATTHETIFRNPRYFGTRLLSALVTATRQRRKPLVIHNGLSDLAF LCCLMHKEPPQNIVEFKRLVREVFPVFYDTRTLTCAPSLQSIIGLTGPLMKTYLILSE QNKTVDIYHDAANNFSTDGGPKEHDAAWDAFMTGSLFAFAQQELAQVNADYRRLCGIT PVHGCIFSVHFLDDNEDCLVQPRSAAAYLLHRSDNRGFHTDSLRDKFGTLVKCVTFMY NGDDCLVTISDAAVSKRMLSQIEQILHSWAQAQGYSVTALDVEGQMNRHRIKHLTEK XP_827575.1 MRRSVVAQGFSSFFTSHLSNNYYFGDYPIAVMGSVGQAILIALA SASDPDPGVRHRGEAQLLELKANPHAFFTSCAELLVDANVPVRGRQLVGFMLKNSLSH PCCVQNPGLQNSVMTQAVVDADFSIRSVACTIISCAVRDGHWPVDPVVKVLTEIITTR RGDISALHGAMRALSQIVDDCVQLLDMRRLTGAVVEAILPFLQAPVAPGREGEEVQLG ALEAMAILLEQAGMEVNNVSYASLQPHALTVLESCLHNLQNPPSDRLATRCVKCLVLV LCFHEAIPDHLFHNLANLMSLMMTSVEGRGEEIRIEATEFWRGCLCFPRFATLIEPML DSLIPVLIHSMVYSEMEIGMLQANAEDWNVPDKIDEIRPRHYQARVNDTAADEDEDGG DGDGDEVEEWNLRRVSALTLDTIAEYYGERIVFTVLTSIDDMMQPSKPWQLLEAAILA LGAIMDGCFSFMTPYLKDISDRLLQLLRDPSAHFLVVSISLWTGTQIGQYFVSDAEIL KNFLTCVLQRMQSPSKLVQESATAALQKIINLCDDGQLSNEVPVIVGSITQCLRGYQL KNRVLLLETLETVCDVLEEPLRSSPDSVEALMGPLGAIWSETSNDSPLIFSFFSCMSG VCRAIGPSIQPALAREVFERSYQMLLLHVQKRAEAKQLGEDPPEYEFLVTSGDLLSGL FDALGGTLEPLVEQCNPALISTVIQMTLDEDIEVRRSGFSLLGDVAKNVPVLVQQRLG DVVKSAMDNLADFSDNTSSVASNVAWCLCNLLENQMDVNGVQMLDVTNGLPQLFAAIA HILGGRSLTADMRNMVENMCVCLGYMLYTNPEVESISGCPLELFAERFCMYMRNVKDG PHTEAALKGFIGATRQKVPFVVGLLHLFFDLAISVASSSAELKGSILELLNAAKAHNP SLWQEKMLQYSEQLRVRLYHVYGIQ XP_827576.1 MRRIMSPRVMCEVKFGSRPAPLACSRMFFIPPQLAKLIVTSGLL IVKAFLVAHQQEAKRLREEEEKEGHSATNAQVGTGSAALMTSSEALQILGLQPNMSVP LTAESDRQLAAVRFEHLFAIATRCKNVFLQGKLSGAYRVCVDPEWDLKDEVKDSHGNS RGNDAMW XP_827577.1 MRRLATACCLSCHFGRSQLTSERFIHITPLALLASQSDKPGYSN DDALGAEDPFRAVGDAMKDQQRQLEKNEGGKGALPDDIDPTASALNRRRNRRKRTVDT ILGDVLHDALRLRDMGHKPTADFVYKRTQERMYEEEYGHKPPSKSENAAKYVPFPPDF GLRRLVPLEMDDPWPQRAITQENPVWTRRQVEEDRAATGGAGLREWEAKTFGPREGFD STGELTDAMDELAYWEECFLRFIRGAPLPQRRTLPLLHEHYRYLTHRLIRAERHYIAT RDVVLLKCHASPSVYKMSEDVVNRVRALYSETHRSLSSPNYDPVRMKKSVTGPLLQMS EAEFEEWKNVQKHRRNRFLSEIL XP_827578.1 MTEEKQQKPLYAQRLCGMVALTTLRAGDDLLAAAAKGKIREDVL NARATRLLGFMQPQSELSSTEEVLLRAFQQEFDISQPGVRHTLLCSFPPGDESGGFCH CARLYRPMFNYTKCETADYGYYLIDLSVVYKDPTTLLDELQKRWIALTKGLSSEEPEL VLNIPEVILVVLHPSEAAPRTTLGSMLPSLVRLVSDHTGKEKEEENAGRWEVRMSGFP PRHKLNLPLRQLKQLCTVSIETRSENYTNGCNWIISSRRKSAIKSSSCNVHLVSSDFL KHSLFFMEWCVPEDFHLIPRWPALRGEGPTSDVLARLLLDVTKQEAGTTIPPEKVPQG RPRLNIDVTGKRFKELEGISRNIVPWVHVLCPQCVKGGDGTNSLSTTIHSHPDNSSEY SCGEVVRIPCALSSEAYNYYEHRVKTINGIKQDIDVRFVESVYTAVSTVPQQHLAVDS LLGQLEMTEEMFHNLSLLQGYGASVLPLSTGVAASTSVVAATCAVHAGKTATNRRVTW LLHKWEAQHRGLPERRKHTSAPPRSANESHIPEGIGSLLEVLREQFLTVTGSASPHRG PFFLSRDGEKMLETPFITGENVEEINERVVELADVAEVKCMFDVTTNSETDAEVNEEN IMVSLPSGLLKDTLKSSIVSFAPCSPILGAVVNSNTGDGADSCVCEDDDLIVFEGASG CTCTPESLLELLGAVLNDYLKLFEGSERVGCESFCRRRMAQRESVTTNGFWGAIMEEL RHALVVVRDNGVNDFNGADGVAAHFLPKAAECTLQTLRKHLRDAACAEAPATEGRGKL WLKHIAFLLAHASFSNAAAGSAHVLNHRSKLNDFSSKVLDEERFRRFVRGLEGHLFFL DSALLAVDTAVLKRWKLADEELGRRHPN XP_827579.1 MTKHGGSGGGEFGTPTKLGVQYSSEEERSIYQRVASLNGYTFEV PPVNRFHSKAAESKFVQLTGSLHPRFEAERFDNLRERLQVADKKGKDSSLQAIAVLYA EFLGNSFMKANNLDYAELERCFYPSYLPSYTSALRAVGLRKPLFWKQGMFVRLEDISR LPLSNCNANKETALTFEEWYCLFWNYYSPFSTLAYLMMVTAQSTLLNRELIDSTAEYI AYRHGLIEDPKARKAPILFLGSRTGKFGAMLNKTGKIPVPIIHVHEKPNMNPYLLVIP QHKQEEFKPHPIIKMKDQVALEKYEPSIVLFSDMIMNMDITAIIRRTGSVRECIYFGT PDSYIEGNPWDTWGCFKYRPRDADIVPPYIRDNWAKMYLPHLSRWMIYKTDSEMQMGN GAVVTWMRRPLQPSFKQRLLWRLARFKPFY XP_827580.1 MLLSIDMPIYIWIDPFIYLLKVSNARFFTNWEAIGCKKQKTTPI VTWSCVAFCCTSILLFMLPDNVVFSPFVTSFILSFAFLRFFFIHFFLFVVLPLRILKK RQGKEGGREYTLFVWSVLFAG XP_827581.1 MSLLLRSFGTHIHLYIFIVKCLEEIGIVYPSVSLFDLIFMLFCC QRCCFHAPFFFIGCCIWKMQTDEGGPMLQFFSFCVSSVYHITFFFSFLFFSAPSWQVF EVF XP_827582.1 MRKDEYRNRQQGSFPLSNPYSRQGRGMSGNQHVSLTVRKDNYTD DSDICGGAYSSTVGDNRRLQHHRSDVDPYLHHDTQHTRDKRGWCDEQRSTKSRPCDYP QMVKSSEGAIRRSSSQQRWITQQQRCDDSVREVHRWDKETRPSDEWNQQRRHSEDALG SPPYPRSHGHPHGMNAGRQPPHSHLFPIPSYDRRAPRGAPPGYSGEEHRNSSEGHGQF ADSSSHLMNAQRSIACEHDTQQQPQPEKDVRLHITRGYNSEFDVLQHLLHLQPKGETN TAHPRPLPNYRDAEGAGHHEPQSRCPKHQQEAWGESQRMEGRNWDTDQDRDAAMMDRD PRRGMDRDAAMVDRMIDGGAVGAGRMIDRRGGNRRGGRPSGSNVMPPSSLPPDKEALE KFKRLYALQEDQNETADHLRKQASSTTGVVGTLDVSQVLDLVAAHDVTIVVTDTGTGK STLIPKAILDDDPGAKIVNTQPRRTPAIKLAERVSVFYGEKVGSRVGYWVRGEHAGEV GQTPIMYVTNYTLFLYLLHTTPDCIGMTHVIFDEFHERSVEVEVSLLLMKLVMKRNPG RIKLILCSATAEASKWAGFFDGLTVGEYSKANAMYPVHDYYLEDVSRLIGVACVAPDI ESSGIMSSIQLHTIIFYMKKLLEFLATAAKPGDSILMFVPGRTVVEQLTLWIRDNLGE ELDAIPWYRDIELSYVQEAIQRKSGTKKKVYVATDIAEVSITLPDVVFVIDSGTVKRP YITESNPNSVAFPPLELMWESAVNLKQRRGRAGRVQQGFFFTMVLKEQVPQLPPCDCR LSNAVIHEIVLHCLYLTSAPYILFSMCPEKPRNVSVQLSLNTLCDGGYIVPEEDHTSL IERIDNPEHLSIKKVWSELVSEAYESRSETKQGGLEGVDSRQLATKPPANLRYHVALR GLIVGRLPFAVNAGAVVFHGLLTGLTTLSIVAASCIACNSPFYVPYDVTDRVERLKVK NCVQETMLQFKGRLRNDVVSSVGAVLEYMQMQQEGLSEEGQNTWCEKRYLSRMRIVDI LLLVQQAKDQLGALIPFEDIDDVGDLRRQYDTHAQMLSILCSAAFMQRGIFVLHDAET AQKKRFAGSGVFVNINCSRDMSVPTACPWTRNTVCVPYTLHTAYDRLVGSFSSQLSQE VYNVMLLVFSSTILFEEIVDEAAPVTFEVWQCGSRVFITCDCQTALQLLQLRRLMCAR LCILHMLLQREASITDVETMSDLLIANGSNFGIPCNMEARPDLIPAMITSNVIRIIEG IEEAATKVRVGGNEGSAVPHCKPREPPPGFNPTRQSALVFSSNGCAPYHRPEPIPEPV GVMQHPRSYK XP_827583.1 MSPFVLRWLKDQLLLAAVKQTLKNIVKDINEDQLERVGLTMGGW GVVVKLSNLQLNETYINETVLGTAGVECGGDTVAPGSTRRGLLSLASGHVHTVEATFS VGTTGRRRFEVDGVQLVFDVNPRAMECTTSSRYCDHPPTFGEGGADSKGVTEEIPLSR ETVEDAYSSLDNDGILSRGADGRGPNYVVEEWGSHSVGQLSSQHTLDDCVRALVCSIK KLAYSVSGRMSNVSVILRVPPRGQEIVVGREPPYGTVELLVSFEGGFEIDDAGSDPNG GTMKKIVRFSGLRAAVYPYGRRQTMVDADVERGEVVDTGDIFLCSGHPKEFIHSVEFH LSEADMAVGVPKGFSRAQITTKVFLFVLSPTQLGHLVHIARAIAGAPKPSEEPASLAA KGDVCATRRSATSLKITCELISSTFLRCEDREGVKRAWKALVEHFEDNSVDELLLSRE HRVILHRFLFRTGFYEVYVSGIRLLVYPTITNSLSSEDALHGVFGSDLARRCSVGIVA IEAYERLPCEDDTSEDARTELTDWNGVGNTKYGRVVLTTRVNTVSKSKRRFSVGIIIG TTHPLTPAEATRYIGEFPNEGIDAAATVFESVAIILSGIDLIVNVNLNTLERLGSYWK RLQCIVSGPSPSTVADQRSQDAALPSVFPSSGSIHSVVPTQENVGDNVDRSKGSACED PLVEFPPALPSSETASSAIPKKSVVAPYLVLRSFELELENAMVEVLFMTSKAPDPDYC GPLTRRLWGRLREEGEEMHLFTSALCAGFGDVEGPYLPVTLSCYLENVKLSVDSAGGM KISLSKVLLSLNDHKDNERSGIQCSFDTEEGEPCITVQRHPNHPSYKNIGTASAPNVP FTREYHQEEVKAEERSLITVRAVVHHVSGTLHKCEFLLIAFFLNQVAETVDKLCIMFK KLHRGERRMKGDTCRDNLSSQCDDMWSVGADGASEATSISIRLLVGEVSTVLWAPRLS SAGVAIGEPLWRCIPYEMRKVTDARLLYHQYQLRFSNVEVFSFHETSDDVKKVTVHAE TLCFCLQENLCDVWSDSVDFQWLPEHASSPEWGGTVTLLQSYGTYYNDVGGLQTPADA HGTSEVLYGRRAGGVSLCRFLNFEDHTETYDAVVLLDRIFMGHQPAWEGDNWFLVIFN YLSDPSTEVLSSAVAQKVSGVQDCSADVIDAQSDDGVSMATSAKVRVSFFDTLVEYRP FGRYSVIAGLSPHIKIDIIVPSLPTGTTVIKLYLGRDTASLFIHDNFPKSLLVIDHET GYSSLFGDRKRGTGAHLANLGFVRVLDIYQSNVSTDHVSKCCAKGGDAETKGAAAVTV SLNATSEKPLFITVHDLHVAMFFAQDSLYHFQTTVTNFFTGLDVDFLPSPYLLVQRSG EYFAHITQVKTVREMSEVDVHVVARDYGARLRHLLNSSVIAACHGVPEVFHQKIDAPC VDEGAQSFSDFDMLGDVTFGKSSWGVWNTRNDEAVDLTSHCKSQNFLSVHATYEGCLP IGCWSSTSGDPLNCPNDAPWRLPPFSTASMEIFVRDCNLVVHLYGGEDFIRADVPDKY LRTLQRFAVDEDTAPESCANQLASEERGPSNELNRNFGCDEHRDDVLGGGRRQDEHVI AHLRGIRAQFDRFAPGKAYFMQLHITVKDGEIIDRIEGSTVQTLLMASLPQSLRDCDS DLFSMKWTTILPSTLSGYAGTVIEGKPEEELYVRLQPITVALHRRALNLLPRFIEVPE NVTEENIIWKKARRDSLFFRKIELSPVTMTLYVQFEGRSAFEALHGNVFELCNYLLPS LERAQVQLPRMVITSCPAEQVWGLFCQRLGGEIGKFWGFFLLCCAVQPLQLASNVASA STGVLFAPLNEHRRNKRFFAAVCCALRSFLLTVTTESLHSAAGLSHSLQHVTSNSISY LVPASGVNPAARGSQPVNATQGVQNGLIEVTRGFRVAYDIALHCLGPQGNSICLPVAF SAMLDGLLRGTGEVLRGARNAIAPEMYEQENKIFKGKQTNPQQ XP_827584.1 MRSIPYGSKVGELCRGFVSAFSPALHGYHRGCKGFFPEPAECWL AILTDSVPFYRNSLVICPSFMDDERLGAITRRSGEHFPGCRVAALRRRIGVATSSPCC RAEGSGEALQVKGGRLPHFVSPLETVAPSSLDAVIFAGNVFGEEMLHDAPWHLSLAHR CLRPHGVVAVMGYSPVIRVVAPEAARNDADDFLKDLVDSARAAIERGEADNMREALQR VMDVSSSLDVGHADMYFPFPSVRRRWFCSEYSATPAQVAAAYRCLPEYQLLSTGSRGN SRAPQCSDMEETLICEGGSGDDALNVVRRRCIVDPLEALEGCLRALLISGGDTAAGKS ALRVRVSHFVVTCSTRSINAQSQLPPHPSAVERLPHLK XP_827585.1 MGQWLASAFKSLVGKQEVRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNLKFTMWDVGGQDVLRPLWRHYYQNTNGIIFVVDSNDKERVGK ARQELEKMLSEDELRNAVLLVFANKQDLPNAMSTTEVTEKLGLQSVRQRNWYIQGCCA TTAQGLYEGLDWLSVNIKKSMK XP_827586.1 MGQWLASAFKSLVGKQEVRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNLKFTMWDVGGQDVLRPLWRHYYQNTNGIIFVVDSNDKERVGK ARQELEKMLSEDELRNAVLLVFANKQDLPNAMSTTEVTEKLGLQSVRQRNWYIQGCCA TTAQGLYEGLDWLSANIKKSMK XP_827587.1 MGQWLASAFKSLVGKQEVRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNLKFTMWDVGGQDVLRPLWRHYYQNTNGIIFVVDSNDKERVGK ARQELEKMLSEDELRNAVLLVFANKQDLPNAMSTTEVTEKLGLQSVRQRNWYIQGCCA TTAQGLYEGLDWLSANIKKSMK XP_827588.1 MGQWLASAFKSLVGKQEVRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNLKFTMWDVGGQDVLRPLWRHYYQNTNGIIFVVDSNDKERVGK ARQELEKMLSEDELRNAVLLVFANKQDLPNAMSTTEVTEKLGLQSVRQRNWYIQGCCA TTAQGLYEGLDWLSANIKKSMK XP_827589.1 MASADSKAVLLSSQPGATAYSSTVVVKVQAGRMILQDGIVRPLL GSGFLFLLREGLLQDTVLTWVSSDGEEQCRVTLPPGRVKVSWVEKCRPSRVLLFDIDN GKQPLFFWMQSRSDELDEPVMHRIQHAIERNRQQAVAQHGRREIKMGTLQNILSDLWS EALAHDVNLVDILGSEKLLKALQEDPGFYTLRLKDYLPLGELDSGVPLDLKKLMNDRQ VQWTAEILGTLLRHETTYSQLSASFLNGTMLWGANVTSFIVSIINIFLPQNEQQQMGE SDN XP_827590.1 MLCRTFLRQFRMSGGDMFVEYKVLSRDHRRSIRVEDAIVDPTFK RTVLPLSWLELLRSPSLRLPTGYFVEETVHVSLPNATSNGGKKEARPQKGGFASGSPS VGRNEANAIIAGPVVLYITGQSVPVVLNPYFVPEGTWDMRTRDGELDLRLGMDAIEQC TLFSELRPGGLLYGKLPENPNVRRNESLRATLGRYGMKCDLAESPLVPRPWTRMRYMF IDELQRGPKLTEFVGHNPRNGTPWRFSQNTKYFRIGIWRDTIRRNDMNEGLHAHSSWQ KSPQQSVPEVRFLAPYP XP_827591.1 MATTYEEFAAKLDRLDAEFAKKMEEQNKRFFADKPDEATLSPEM KEHYEKFEKMIQEHTDKFNKKMREHSEHFKAKFAELLEQQKNAQFPGK XP_827592.1 MATTYEEFAAKLDRLDAEFAKKMEEQNKRFFADKPDEATLSPEM KEHYEKFEKMIQEHTDKFNKKMREHSEHFKAKFAELLEQQKNAQFPGK XP_827593.1 MATTYEEFAAKLDRLDAEFAKKMEEQNKRFFADKPDEATLSPEM KEHYEKFEKMIQEHTDKFNKKMREHSEHFKAKFAELLEQQKNAQFPGK XP_827594.1 MHCRLLATNIPLHITAEEFYDYVRAHSSGDAVVNALLITRPGDN GAAAPGIRKGPAKRKRAAENPFVSSGAALIDYETKAAADAARRVDFLVGDTVVMLNVV GSVAVSGQRECEKEGRKAYEQLRCGIRDDNFDSAGGRKRPPPNVEAAVHEMEVRLVGI PTHLYGNFLGGIGEIVRKEGPRGEEEVGGSDPSVARFGSAVVLRSVADLFFDVALAIR HLTMGEMLSLRRVCDDEALITVPRAVGIRLLELASEGHGLQVTVAVGEEEQNGWKVTE QSQCVSYYLSVHKPRAQVMVDGENLQLVKKHEVLRSMANMMEHTNAKVAGFVDPFGNV LIPRQ XP_827595.1 MYPSQCDIITLLQTKRSSTEEIYKRLKDVKTGRGAGSERRHIKW YKWRVQVQFMSEEKHPRPRQLAQKQPLDTEENRHGVGHHLNSLMPFSFLASLKSSLNQ RLSESSMVHVMNAVQAR XP_827596.1 MQGGNMFDEHSSASCPQRDPEDGDRRQNHGNILFVGNIPFQTPW QHVKDHFRSAGKVRYTDLIADKTGRPKGSALVTMATREDALQAIRMFDETDFEGRRLI VRLFDDGPRPQLVQREMMPPYVRQGQLQQPQHHQQQHHPPHQQQQQQQQQQQQQQHQQ RMRGFGNANANMTSYNNSAGNFGRGGGYVNRGGYALPDDGNSGVFNDVNEVVPKPRSK QMNEVGRKLFVSNLPFDCTSVALRETFQQVGEVERAEIIMGRNGKSRGMGIVVMKTEE ETRVAIEEFDGIEMANRAMNVRLDNKSTS XP_827597.1 MLTFRCLLWFTASNLCPLVLSRRTRCDLHLSLSPRSSLLLLTYP FISGRENKTWKMPPKFDPNQEITVVVRAVGGEVPATASLAPKVGPLGLNAKKIGEDIA KSTKDWKGLKVTCQLRVKNRVATVIVTPSVASRLIRALKEPPRDRKKVKNIKHDGNIA FSEILKIAKESAPNSMGASMKSVVMEVLGTAVSIGCTIDGEHPRAIQEKVQEGKLKVP N XP_827598.1 MTSLPSPALEEKRQQEHGAFLPPQFSVPMRAPVDGFPSEKDVIV PEVIRLCSKSSDGTGNARVYHGKEMLLNASPTLELPAEDAEALLTALRRHPRCAEIRE ELKGVNESYLEEAFGEEDAREDDTRRRVDYNAALAIMKSNSSKRLSMCTSCLTRGQHR HYYSWVKRYLEEGIIPNPTEDYPDLSTVIDLVQREQAAYRHKLKEEMIACEACKCETG YNKRTRSDEMPQQSHRACWNHNYIDEYVEQYAKQWLRYRLESQLLRGLLGGSSCGGGG GGVNFSEVICDLRKSIAASTGVKPTATLCERTRHLCAHRVAETIEERQSKGHTRVLPS AAVPPTLPLGVQWLNEREPALHTSNVAGAKVVEKDVSSQGQQQQEVAEARPPALPCKD IVGTFFTLRVCKSALFKLATAHLSSFRGEFCIPVSCTWSPSAQCLDISIEKPLPPSCE SRRNISAMAMKRLVDAELRLPTRGRCSGPDGGESKCYSEVSFGADLAFLCMTNCVFDL KEPKPVFRLVKMEYNCKGYNTAPDDERTLLYENFSKKEVIYMWVLLHCNPTAALYVYR VNAYSSAVVGIEQFSSATLANQVLHEYSNDTEVQSAWSCLRDILSKLVATATRQYHQR ERQHQEGMDEKSGNPEGCTFLLRKAKGHSEVSLCSVSSCYPTEPSVQDAVESFIEPAG QSVCRREYLPPCVWPFPDRIPFTYGPAPRACYTVNRTTEQRHNSEYYAKNDVWEHNLR YYTVGADGILWEQHLADGP XP_827599.1 MLHVESSEMQAAITMCQFLLIWAFTHLFGWFWRVNMSGVSTTLL MISSCLICRRKAKGRSCRWPANTGKNSRVALVTGASSGIGFAVTQQLVEHGWRVVMAG RSEERLLEARKKIMVRNPAGCAIVVGVLDLSDLSSVRDFAEVVTGQKDQLSLSLLVNA AGVLRRRLHRCDGTGMEEMIATNVVGPMLLTELLLPLLDETALRTGASSRIVNIASSC HTFLGVAPQQGPLEMLKELHSRAPLSEDAGVRDFTLWNFVGYYGLSKLCMIWWTNILA QRVSSLYLPTTEGAQPSQPLPRVSVACCHPGIITTHLYRDLFPTFVLDYLIYYPSLLI GKTWTDGAQVVLRMAVEEERLVQGGYYLCDGEYGEKSSNCCLSAYAKDMKAAEEFCAW ANMQIELQKDTPRVPKLRTKKVDLPRRLIAVKLL XP_827600.1 MSVPSAIRIDTRVAYRRLIKVAEQVTRDCPLYQTEGLRQYVGRR FLERAERNRQQYRHLLHDGSGDARGGRRKSQKQRVLTAQYGKFIAKELQQVHGLAELL LRAPGNTALTSMLQVLSAGVGNVHYQQTVERNYVRYCQFEEKKLDRDEVEEEATSDRQ NRIMQHALIPYGERLLILHRLQETGGGRDAVAEPGRLTSWQVTEAIVGTRSGVTAHHM SHGKENVVVEVDETFNKQIVYVRCETYDWSRDVERVEIAEAEELRGTVFHAEYLSVAL RLCDALMVETPLHAYRSTVLVGHGVGGAVAFCLALLLHARGFDVKNCVTLGAPKCIQK SLARYVHAVNPIRVVLEGDPLIELPVTGAEGDPFVHCGEILLMDNRARADLGSEADMK TREAGRQSEGGRNESTEDLFTAESLSDSLDASEEPAMGNEEGCVATGDYNDEGDVGGE DLLEQLRLAAKRYSEGFLVNHYVEHLTDTTVRLTYAEGDEVWDEGDYAQMKREDQLGH SFSGSQKWQDELRSPV XP_827601.1 MPLSFTMECKVGLTLKKKVLNISICLLFPPLPVPYTTHQFLSLS IVFTSQQPLQIAGLMPITESFLFAFDTLLLLLLLYIIVIIIIFALWFPDCSTA XP_827602.1 MGVGLGFVPAGYHSELGALCTACAAAASFAFLPNQFLVVAVPVW LVLQRLVASGVRNRARPRRRGDEVSCRKGTDSNVSRDKPTSSVVDESRSVFVMTRDMK DEERPVAIVTGTNSGIGFWTAVGLAVEGYRVICTCRDASLSEITAGRIREKAEQQRLK DTKGQEYREVPSTVIVDGRFCIECDDFSSVRRFVDRFRASYDRLDVLVNNAGMMRRRL EFSRFNPQLELHTAVNFLGPLLLTELLIPVLKQSRGRVVYVSSAAHRYPQLVLHEGGF LRLLFSRGAADCKLNGRLLEALKALNSGEANALGALTSSTLLYAFARYGTSKLLNIYH AHHIARHHGIAVCSLHPGCVGTNFSRDLVFSGFVACAYQLVCLLFLKSPEDGAQTTLH CAMCDAEELRPVEPRGGDPNAFVSPYFAECMNQTHPWLLRYAWDVKEGDLIVEWGKDI VGLPLNAKEDLSA XP_827603.1 MKLYSLAIVKPYPSGVEKQPVICSQAIDVSSFGFFQRNSAREFI VFLTRTVSNRVAKGVKTQITENGNVVFAHATFDGLVALAISDVEYNSRVAFTLLGDLV QQFQTTFRGKYDLVDGKEDNFLSWPHLTETLEKYQKPEEQDKILKIKRDIEDTKVIMY NAIDQIIERGQKIDDLVAQSEDLGMASKTFYKQAKKTNSGCCTVM XP_827604.1 MCVLIALFSPFKVSCSSFLFFLFGRFFSFCWIFICGHMCLVPAL SLSLPLFFYNFLLVRFFDVCSVWLLSFVLFPLRPLGLLIISFHSFAAVLRLICCYCCY VTVIIIIIKKLYTSYVSLHFFLKKREPDLKKREGEVSAI XP_827605.1 MIPAAMLVANVFLLAACLDSVAAVLLPFPPSFYVTTGTTIPFIS SPPVAQSGNIYASNESKRLRVDNFFMGFQYSFVADGKLGRGFVFESIEPITTTTASGN NNNNNNNNNNNNNSSEKKSGRGGEERISCRSFALNRGLTGFGVPDEFVRHAESSLVRG VKVWRYTGYSRSGVGPLQEVDYFIRNGTFHAVPWRVQIRRRQTALKELTGSPMTLPNW RYFGGLFFDEVAVPEGPYEDKLERLMQDTTVTLDFYNFVPAEPHPSVFSVPDNCKEVS ARFTGNDVDISLAQRFLVDLSLYTPVGREMLREELNSA XP_827606.1 MFFLFIFFVLPLLAFFLFFFSSPFVYITFRCSSLIPSPPNYIKT QNRNAPLVAWFQGMVTVAAVNSSHNVEVLEQSVKQKEKKTKSNEQTNKKKKKRTLKKK KSRPPCTNKGPRIKGSGHNYDHTSIFILSPSFCAGYALTGEKREYFF XP_827607.1 MEHFAPCAIRTKRFEVDQYVRVQLSNGRSEIGVVVSLDEVNDTA VIATRHGYDVTVRLDSVHRAFLLVLDLNGVLVARGRGSFADRPGVEEFVRFVMNNFVV AVWTSGLERTSIPIIDKVLNGYQDRLLFQLYRDSCGARPTPDKPYHTVKNLQRIFDSY PKSFNAVNTIIVDDSPDKCSHPDIALCPESFNDPERQANDRGLEMAMEVLKEVLRTDS HEPLIRAAQERLLAIAKRKEEAKEKQLNEGAKLSDVTDHMKGAAYNNANANTNGNGSG NGNDDDDNNNNNRNRNDDRSNSRKRSEGNNAKKDRSEHRSSDRREEPDTDAAAMKPSE TFFWETRLCCENISVGCTRDACRFSHDPDDGKRPCSRKDKCRRGHANRWSTPVMGQKQ KEKYQKVAVVRSSKSPQQATKAAGCTAVRGGGGMLDVLGLPEDVPLFSTHPDLQKGPD SRHPAVEPQLTASEELRQLIGLQSQQWKRPASGTVAQKPSGALHFKSDGGNGVSLLRQ LQATVGAVSGGSEKVNRRKHRNR XP_827608.1 MQCNMKTWIILVTLSFFSLVVTRAVVADCIPEKRSTLTSQCPSL PLVHHFHYRLARRMLPSSLFCSALCQKPWSSFDEQSLRLEMEQWIRANRTVGMFPEAH NFVMDEVLRLTNNLEGIANDTEDVRTVYAKRVKETYQNMPWLMVKPGIIAQATEYYAW NVRGRHIVRAINLEEQDSEPEQIAHYVQAVREWWLEAQAAVARDRHVGPPYIPWVDLE RLIGPHIEPPVLFSSSNSTGTHNQSGKSNIGLPREFFRRRSYPYLPPPLVTPPALVYY YSDNCKRCRDYNIMFDLLPFLYRRLCEHGHMSVVSCSPVLMLFRAKAPRSQLLVPRIR FYGVPCRLEVIHIAPTVKVFASELPGRRFCDPLESVEITIGSQKDIESMLVSLFIQLI RLDVINMRVVTKDALDDMKREEEEEERNDKQRERLTKGGNLSNGTKEKGNNTNSKRQR KASFMTLRDGIRELLRSHVNETWGGGADILRAADEVTWMQVYPMWFNISVMGLVGLWA VLLLWRSYVEPS XP_827609.1 MATGSQIPTFHGRAKRRRDDSLTSECEVMQLSVFPSSCGVVPPG DCVVRSSLGGTEGASRRFNLWCNAVHALADAHEETKKRLTCRQQMFSDVADDSITGGS GVLQQVEVNVTCGDTGRSYLFTFTVPPRRTHYRAMEDMGDHTSHQADVALALTSQVMA KVGLIGDRTWCLVFRGKNVRSEAGAESLMSALCDRPCGMIMLALYRTSGLQ XP_827610.1 MFRRSCISAFQPTAFLRVSLVFKQLEGSNPLTVKDRPVNSWSDE FLKPPVSKEMADKYGRYAKYSDPTLCSVDTSSEVVLNTYPEGSREGRIEATAGVALKD YDASMWDEEFFRKYILKPKLPNELEDRARVTDYALNSALLGFVILMVRYAVLPLWYVG QPAMSMVGQMNIEAEVGELEDRECKTVVWRGKPVFVYRRSERQMNDVLGTPLSALKHP ETDEARFPDHREMAVVIAICTHLGCIPIPNEGLFGGFFCPCHGSHYDASGRIRQGPAP LNLEVPPYRWVDDKTIYLGKL XP_827611.1 MDGRIKGLFRAACSDTMTPWEAALQVVYTLLGPSRSTCDVGLFH EAVSECMRVCLLHRKASEALRVHGLLNRCGHAMEWHPRKLRRLPSASAGLSPKSLQGL SSDELALLALRQQPHRVHQSMIVAEIIAAERGDCVGDVGIEAAVAQCSLDTSCGGDSV VRTWFPTKGGAAGIYSIAGLELTQLSEAEQFRIQFQQNIQLLRTTQRLGGQLGLYYLL SQMRRVVEDVRLASEVEIEPLIDLGRAVLNHPLVFTTPTSELARERLLFEDFGRFAGS EGLSSIQEKKLASLEALTESMRPLCKQPLKFMHCVTASWDALFIRRAAATLVLPGEAH DVLPRRYSMRARTDSRVGQRRSKEPEENRLGASRWTDPNGEVFGGTDIEGAAERVEFI IRRRLHHDIVVPDSCFVLQHFNRLLQLAKHREVVVPYSVLLDIAHSALEDQGSRRFHS RRVLLALMHNTTTKQTALESNRLLSPYSISMKEHCGGVTLLGLQDEVALLEESQERFF LAPDSARVEPSCSNPPLLSDAHYASVLVAKQLEKIVSVTNGRLTGESASVKGQGELDL LVSGVVEAMTEEKWGSNNSQSSSFLKHHSDHNERNICDGDGTGPPVLFKSSRLRSSRA RTPVIVATTNGSTRRAAFMVGLPMYPSVSAV XP_827612.1 MDDTRINWILENRSKYYEVLQVPKDADERTIRRSYHSLVLQLHP DKNPNNPRAREAFCAVARSYEVLMDGKLRYVYDTHGEEVLNRWESSELFSLSEVALRL VHCASAKVLYHAACRIHATETLCEKFSWTEPFLPQGHNDMFEIRFKVKRRASRRLGER NFLVVLLMFILATLKTPVLDAWERYGRIEGTGGNTYSSLAGSGRDGDVGATFVYSAAT EGGVKGVTVWRPSTVSEAIARKLVQQWIEKVCPVELLLAWASRERYEVTATIRVRRGL KAVKAPDRSAKRKWPRKLWSPKFNDTFSVTSADQLFVSSPLCVLTD XP_827613.1 MSEWEVDECCDPQHMILLLEPKNAQREFPPHHTLCASCLVDRLD KLPALHVVQALQDIMLSLQYTFSPVLVKHRTNSLHESSFVDVPAQGCLSSPPWDLLLR FAGLLQDLGAKICRCISLHHHMPLYKAGLSVLALVFTLLEEATESGLFCHTECLGMDG RSYDFTVQGHTTLLSQPPVGLSICLCETVLAGLSQEVKVTADDFSVPLPLLLLTDLAE ASPPFLWCVAEHCVPHMCSLTTALTDAFRVELLLYLLHLAFEACAKHPVWLESLANAL PASSWGVRRSPFLTYLTWALETFRGEEPVVANALYLTRFVLQYGTTRTALFTTTPLPH HDAAAGTAGGKSDSYGLPLSDALGLARNLIILLLHLNGDVVVAAAEALRELFVEKPLL GECPDLSDYIIEALRTASADTAPALVGLLNNLPSGSVPYGPLLCVLFEVSSISGKAFE DAVRGPHFVDAVIDRHTNLNDVAEKIVRSVRDGSSVSFHCFLLECLCLERACKHENCG GASLLPETISMLTNTLLHVVWELCGEATAGTEAGGNYHADQQLFPSEFRTIPCGALHS LICVAAFLLPGCEVLLMQLVVGLLGEALRQFQIRNDGGIPDTRLVFDSSLGDAILKMG STVIRRLVGKGDDFGIGDSQLEQKVCHLLRGNLLTLLLSVPSSFSDLRVSVMNDFLLL APANRDLTGEDGQIYFTFVKGADGLMSPQLLVNNSLRRHPHWAASFLLALATAGCEQP LGSGQLDSFLFEQLSLVPLFTEGARQVFASGGYSGAYRTGDDVIPSEDRCSVAEFSAL KVSLAIHKWGGEQPQRDISHLNACVSVSGASVVSEELRLSHLQMFRSAEPQWLEALGA RTWGKALLAALVSSAIIQIESDREQGRIEEAHDYLFTGSQHRDKDQVTLCSLVVNHAA GCGLFLSLLFNTLRRRTASNDHPLSCRIISFLCRCLHAEESTDTTVIIEFVRLLLEQH LTPLLLYREAAPFLVGHAARLVALSLSRLPLSVASCCDHTLFKWALQNVRQPSGQVYM WMTIFLLLRRAHRSEFALRHEVELGAELNHMVKGGDVSPCIEAARATVCWMVEGEMMR CGVGRHHSAVQIPLNEWSKVAPGNLTLRAFVICSVEHRMKCCWSSAMNQFLEVAAPLL LTWVSELMFYHCETVAASRVCHELLGKFPHLSCSLEALGLFFLLTGVVVAGTRSEKQG NDPVHSVGVAEGGWVFAALLNAWPSWAEAGGLREAVAVFLSGLGEESKLCNGAKRPRE LLVSGSAATVAQFNRPSLNVYEAVRAVLEACELQAERCGPFPVNRAKDFYLRWPVEAI SIDMERDIVFRAAEGGGELMVAS XP_827614.1 MFRRFIPCMRSGAAGPLRAVVTTARRFQGTKSGGGSGREEASTE GVAPEVSPSSDKSSSITNVNMGVERREGFLEPSDDVVLEYARHALARDGRDKEPEALI WRWDTTYPPILSRGKQNFYDYTDDIPTHVKPFWHHEYYQQREYFRLQRAKLPLKERVK TWSAVVFCISLVGGVLTFFRIWVEQPKEVRQLREELLQQTYGRVLELAAGHGQNIGAY PYAVHEIVMCDANAQQLQAIRYRIPQTAYPKYDVRRVRSENLEMFADGEFDCVVDMFG LCSLHDPLKALRQMQRVVKSSGLILLLEHGKSPYLPINWFLDYFEERHSVNTHGCKWN SPIREYLKESRLEIKELRNMHYGTTYYVVAYPEVLEALSARGGSPDVQKPRE XP_827615.1 MMVRAQGWHGWVKILNSLPVCFSLPLTLYLFPSAIAYTRKGFWS CDHFRLFPISYCFLLPLFPSLALIPHVVQGFYHERVQCYFFFSFSLCSLPIPLLLSVR GEEEGGLLINKWSTERRRKGRGEK XP_827616.1 MDAALALLFGQVATAVLPYVVNSIGRVPRPKRVDVKKAMGEAHQ CRPVVPYRAPRPYTEGRVKALFIGINYTGSSAQLGGCVNDVMHMLQTLQRIEFPISEC CILVDDRRFPNFTAMPTRENIIKYMAWLVYDVRPGDVLFFHFSGHGAETKGGRDSNEK MDQCLVPLDYDKAGAILDDDLFELMIKGLPAGVRMTAVFDCCHSASLLDLPFAFVAGR NVSSNQRHEMRMVRKDNYSRGDVVMFSGCEDSGTSADVTNTSSFGNGTVAAGGAATQA FTWALLNTTGYSYIDIFMKTREVLRQKGYKQVPQLSSSKPVDLYKQFSLFGPLTMNAS LVQHLPQEYVQPWAPHPAYQQPHEATLPASVSQPHSQPVMGIPVASTSNGKSNPGVSD GGRASGEVYPPTQYPSSHPAPQQQAYYQPPQQAYYQPPQQAYYQPPQQAYYQPPQQAY YQPPQQAYYQPEPHHQPAPPPPPKKENKPARPGYPMSYCMKFSQGKPGRK XP_827617.1 MWKQRRNDQQFIRPMALGIITVLFVLCLWFGYHHGSCAAESRST QAEAARRLLRKKELKECSDDCNELTEKVLRLNTEIGAVLAESAKFEEEIKKVTERGTG ADNDRESCPVFQGAAEHTGGSSTTSGAGPRSEKPIPASVPSSRDSKERVSPLKKALDS SRQMYKRVCGRTPGCTILSDESLLQHRGGLRG XP_827618.1 MRCTASGLPPSFITAVRSGFDAFVHLQRHRFASYVELPDGQPRE MKALWGEQTSAAATPETLCQWSDLFFLRLKAVSDEVPFDLTVGVVWELVSIVLGVLEG CGDDGLVVNGLYVDWYRSRFVHPEGVEQILNELSSSTPGKEGVLMEIVGPCMVAMQRC FLAGLFPISAQLLSTFMEYLRTFSLDIFSAEEESALADVIHLLLHPPASGVVHAAWAD TANRLLVDCKRILGNANRKGGVAMQVAQQLKSLCLDLLLMVSGDAALVLHMCCLLNLD VVDYVAAVCVVCGPPMTLQQMHHLFRTAWDSWEGTVKGPWYVAVVEQLLGCRCVADVV GVMQFVRDAVRRNEHIQSVPATGRLKGQDGDSNFVSSPVSSIASLGEDVGDGSEDVSG VYGEDVSITDSGDDHLLGDAVELPMGGKSLRGFSLLFMAAHVADICAPPMGAPVDQIS VTFTRNELIRDYVELFRWHPLLWRTAATYTVYSPFMNPAELYRTVIGQTSNAVRDRYT SLSLQTFIQTSWEDNSPFQVALRRLLHDKYPASATVSRWISAVDFYRHKAVDVLNRKL IREKMTCGDTASAVWLALESQQSKSVQVHFEEILRTPNALLSDEVQRVGQALSSSFIS VDASQNLRMLNVLCACAALFEYRKACASAQRGGGSSASLPVGVVQHLLRAADRVLQCA ASVRMHPEVILSVLDRAASIAINLPRSLRPRTLLPRLSSALELMFAAHSGNLASYNVK AAPIREKLMRLL XP_827619.1 MFVVVVVVFPLRFAEKQSESSRFVCFCVCVPVVTRWTSASSGAV LCRTTLPHDTTRPRVYIRICGAAALLLMCSLRFERGLNERECLFMMYIPPFVPLYR XP_827620.1 MRVTLEERDLHIAFSVSLATIGLVFLVQHVASTVSRLPSWFINL RWHYISLICLPVCLLVSLSLSSISWFLVSAGAGLALVSGVRTWERGATTFLFCFLLAG QCLEQLSHTVHVTLPPKARTWTSRYRGQIALWPTGEATGPEHLLVMYNDVTLTRQPCS GAVAGTIPTQAGAVTRQLGMRRHTLVSFATNTRIGSMESCLGDGANGGFLPLHQVALL GESGRLEDVTLRCGLPSSARDGNSNGKTFSRCLTLDASTTFENVTVEFYADGNFKAVV SILHADKCADALSQLQAVGKSILKDEIDVLLCLPSAVSYRFSKLVGDPTLVPTALRAE VPYFFRCVWYLPMAVRNIPEGAAFIADRVVIPIAATVVANSLAFVQTIIWTCWNLMPD VLQLLEDVGVFVTGAYCRAVKGTNSTLCAKSLLEHAEDQRFQLGVRSVDCVFETVRLV LDALELVPGLWRVLLWTLRAEWHLVLYCLNIVQVILTVVVRWPLQTLYGALQLTLSWM LQTLSLFFLDYDLSRIGDALCSPLAYLYRLQKELLAAEAQAAWGLLWWFFSGVCRMVN VFFATVCAVCNGLFCVVSLYTGTTFCTHSFVALIQTAIVLIAMRNELHDIATRDGTGY TSFVGRWPGGKYMVMLTLFAKLHSVVLLRYTVAHTVLIFTLFGLSVVGVLSKLYNLTL YIAFPWVSTTVFLEFFTADPRWSTVAWVSAAKGLVAILLDRTIGDFTAYLVGEVFFVL GVVFTGAAFVWGCQKELPLLALRVLLRLPLDSSSESSTTGAKLNTTPQPDVGRAVFLD DATGAEMTKGEIMLPDVVEVVDDTGKEEATECNKDVQ XP_827621.1 MMRSLSKEDLLFLARQAVSGVRRAMSFPQLGAQSQQKILFSTLE TLEDCFRRQASIDLRTVDLWVTSFSEELVSQLNCCSGTTAEHAEYGGNGSHPAHRQGD APYILSTPSAPGTRGDKQRDVGESALIGCARLLSELLQRIGEGAAKVFSQRGIVTHMM KFFVHVPLSKKMRQAFSTALADVMGYNAALLGEGVSASRSLLLKCSEEGRVFSVVPQQ VHLVSVLAAAISRGEYGARQEEVSLFQRDGDAFLSDLICTCSALAGDATGEQDIRGIR LTGCLAYFDLLKVVLRSCAESKAMCRSRHREKFMAAWVRTASSLHNLRLEMEMPTSNP GGSAGPIIGSEETWVFVLVDVLVEVAATNSFSRSRETFFGSLKCHGTIADSVIYPKTS SLAATFSSLAPSMSYLAVVRPTGRAVESFPSFWETLMTGESSGVWQLASLLFDCVLTG DGCSLVAEALLCVNSGGDITDCIYRYVIFTLALLCTAAPQNAKVLAEGPVIDALLACI ASNEESVFSCAESPSVLSCTIHPLSARSIEASVALLNLLTSLHANERVMKRLMDGVGK MCSQRVLAADVNIVESFLHVLGCTSFPRGALYFSGASCIRCSLDWDRFGSLFSTYTCV SWLHPKCVWREGSPLFCCEYAQCGVSVTLVIVANGRLCGLVVRFRIFEEVTNVKVPGV SFQADTWSHVVFTQHPAGFTVCVNGCRVEVRFPHDTYEAVSKEKVVKISLGGVLGVPS FFGFAASMELLDRALTMEEVMKLYDLGPKSLSEAAASCYLPLNVLCGVSFGEDVPIIK VVDEIRQRPQNLSVSNLTTFLPPNMGEIFAHHDVAGWAVRTVAGAGAAAESFPVVARL CVRFLCTTMKLSTIDGGIDRIIDGGVIERLRGALLSWRHMPVDVPALLISCTIPRGGK IMRNHDTTQSILSLMLDLVDRGGLGPHDASCLLRELSDTLLFPENVAIFRLVPGRFER LLNMSVSLPLECVGNLIVLVERLCKEPREIEQVLKFLLIEAVSKTHERVKAVVLHMLF DIARTDTTVCDLIESAFDNTGASFLILLAGGKSHGSEVIRVLALRILSLILHSREDSH KKFINSRGYEVLAAVMAGPEAACVPIGMATFNCLFQMAFGAFLPAASGGLERARQIRT ASLGSRRDNLPHEVAGVSTTEKRLHVVGSQGYLPCLIHQPLRMARREYGFGSFCEGSG GDCSQYQLCEVHELSRVRAYSEGVLREPQVIYPLLRLLECLLQNMNNHMEESCEDILD AAVVTGEFTFAVSGQGNVQRGSSFTGIPSSSSVCGERESPAVVALRVLTYIEKIVGCP KSSEMLLTFPWLTLLWDSIQHVMASPTSRSSYTGEGLLASQRPRIFAGIEKRIRRAAT RLAIRDLGCNSSAGVVRDIVRGRHPTIFRRIILEDIASHFALNHHGFSNRAEAMNIVQ NLDLLFRSIEDILSPPPVPLVLSIVNCINAIAVRNDSWVRMRMREYSRLFETRDHLSY MLLTTTEAFGKLKPVALRQVLEANENRPRTIRILLFHLGNAVTRGDTVEVGVLLLMIW HLNKVDKSNIHALHSIVGEEYARFVDNVCLRMDTTLSRAPVVAEGASAYPCVMREATT NVYSDDAAMALVREVSASVVEWHREDSDRWKVVQQRLVAAPECVGSGSVGSEEDAFGC SSDMTGVNCAVQSSSNWKIEILGHLERIKREVNTRVTESC XP_827622.1 MTASARGSGVIKAMAGDVFNTFHIVAPDGDAFIPPCFVMAAAHE MGYYPTAHYLQQVVSVTTGWCGRVTYPLFLQMCAMLEGTRALDDATIKCYRDAFDVRG NGELSRTEFRIILATSAASELTSCEAEAIIDFLDPHGTNIVKLRDLEELLMKCLAVDG EPVDPVVSSKRSSGRSRFMESSRISGQDFPPHSRSSGATDKGRKTVVAESKMSGDSSR ARGAPPHATAPRSPSTSAVSEARQPLAEGRKREPSPLPGVLEGLVSSQERTSRGIAPP KTTAPSPCLSGAVTRATSVAHFESPPSQVVSTNPGYSPPPMEDAMESPYAPNAYQQGS AEMPVGALHLDPHSTTAAFSAFPVARESTRGPEGTQEWPPGSSGFTGRTNETHTGWRV LSIPSLEEMRDVTTENSRVVSQRSNARHSGENMPSQDGAACRGSGRSVGTYTGSDMVC ASLPNQRRPKSSPCCAMF XP_827623.1 MDVCEGLRVPVGHMSGTGGLGLTAGGGGTATSSVFSDLRELNEA KVSNILSYLDDVVSTRPPFPASFGPSACRDVYMPANISDGGVALAPSAALLQPSTQLP PFGGSASCLTSHIIGCGPDVRSDVYHGIKAKISALQFSNDELRAENEELKERVKMARE REAERLGSQEAAARNELEGLRKKLRETERNYERVVQEFQRERSQLTQAVESVTSQLRQ EMSRREEEIARLESANATAIAQLKTRWQAQEKAAREKWRIAEAKRIKENTLQSLEPDI VLLLNRHKAEKARMREEFENELRQRDEVIAAKEATLEESRARLEREASATRAREQQEF RDRLKEEMDRVNRQLEEERRLAKQKHDDLEIFAEDRKNTMQREISQLQKEVFTLREAA ATEKAKIHDEVAREVANITANSNQIVSDLKEKLMLEFSRREQETKAYNVQYLAAREEE LRHKFEAERDAAVAEVTRRAEQQHLQTLMESHGADSVLRDRCAQMGRENERLRAEVEL LQGNLRSVVEEIGRKEEEMSRLRETSDLTNQRVKEIQEKVRAEDEARMHVLDSEWQRK LRQFEIKHVEEVGAMQHELEKVTIELQAVKNAAALEQRSIEQKHNAELTSINERVLVA LATKDNTLRAQTEQISVLQEAIRLRDEQIARHRELL XP_827624.1 MITLLDEGSSRKLSAGQVITNLSSVVKELVENSLDAGARTVAIR VEDSGAGNITVEDDGSGMDLSYLLDSEGRLKEDASLPLLASRATTKRRGGDSGLSSQA AQTLGFRGEALHSLAHLSELSICTMSESTRPTALLIAYDSNSRRTTVKVTSERRDVGT TVVVSKLFAALPVRHKDFVRGRKKQLLAATLLMKQYALSHPHVRLLMTHRAGPDSAPV TLVSLTGTGDPQRALAEAYGGRVIANMERVEWELTFGTITGYVSKGNAGRLSSDMQVF ALDGRLVDLPMMAKAVNDAYAESLPNAAQRTFPAFFLHVSSGESLPYDVNLVPDKRKV LISDEERHAGEVRTCGLRTFQASTDGIDLPVRNEGGWRHVPERRNTQETMPTQTPLSA TSIAQFIYQRRETSQGDNLIDNAAVAQVQPSVCLSQLLSGSSPIGRTSSPDATASPTS TTNRAPSERSAGSVESVLEYPLTFEPTQKRQRLESSAEEGNTGDTDGSSWGEEDPREL GPEEMMGTDDAVVNYVTDDTNQQRPGPPRSSVRFPPFSVLAEMPLVHSLGEWAAPSQP SDGGGVRKFSRLQKQTEEELTLYLGKESFKNMVVHGQFNHGFIVTSLDDNIFVIDQHA ADEKGNYEHLMSHYVARPQPLFSPVPVSMEPQAVDLAVDHAEELRQHGFIVQRSDDTN KLLVLSVPVIPYEVVDPQNVVELIRQLVHYNTISKPMRCVWHSMATKACRSSIMVGTM LSEKKMRSVVDRMGELEQPWNCPHGRPTVRHVSKISSLVSLMTKSRRA XP_827625.1 MVGIKCRNRRKARRAHFQAPSHVRRILMSAPLSKELRAKYNVRS MPVRKDDEVRVKRGKFKGREGRVTACYRLKWVIHIDKVSCEKANGTTVPVGVHTSNVE ITKLKLNTRRKAILERKDRSTKTDKSKGKVTAAEKAMQQMD XP_827626.1 MELTLTRRGIQSFDASTLLHGASDGAFYEGGASKNQRHLAIRTL DLSHNNITTFIGGNLLAGLAVLNLSNNSLQTFDVAFLPSSLTSLNLSHNALRGLWGLA AASPKLQELNISFNTITSPNLGELPKFLTTLSCQGNLIDSMCPFVGLQQLHTLDLSSN RVEDPNELPYLKSMRGLRHLELRGNPVMCEPKAVPMLLEALPRLARLDRTPLSQASGN QMFKVHRSRSARAAEDQSTSKSACDTLSNSSATRRATSRNGQDMEVRCLEARVNELLR LLEGSEKSEQQLRYQKKILREQVSACAGVIDSQALELERLGREINELRGEEVSLKEPV AELEQTFVQTHASLVAHRLNQSTGFA XP_827627.1 MLTFEGSSLFRHHIVCSILTSKPIRILGIHDDDEPQGIQLAEAN FLKFIDRVTSGSKFECTDSNTALTFYPGMILGGTFSHEVPSCRCVTYIAEAALLLLPF AKFDTRLTLVGSTQSEEDLSVDTLRTVTTRWLQLFGVDCSVRIIRRGVYPGGGGAIEV QCKAVRRLTAAEAVVRGRVRRIRGISFGARVAADLVQRAATAAKGVLLNLLPDVYVVT DMDNSKGSYGDRSSGYGVVLVAETTSKQCVISQESTAAPKELPEDVGKRAAELLLDQV FECGCVDAHHQMLVLLLMGLSPDEVSTVRFGQFTCGLVSAVMLLEMYFGVSCATKQEW SSVGKDLPPTTLITCVGSNMVNVWKKSG XP_827628.1 MSALVRRVPHIGPVRALHGTTLGRVVVVKLPLQHQRARICGSAR WLQGSGCGDRSAGVGPIVIHDDGGNAVTRVKRLPLTLIEEVPLPRRVLTDLELVMMAW CEEHARQYAIIMTVIRLGIFFLLLIIFYVFYRTQLSSERMLRGVDHMPADLRIGSVVY FDITENGMDIGRIVIGLLNENCPHYCEYFHRRCTGSGGNGESFRGMQLSAIVPRHCLI FGDGREMTHDVPGFSPHYLPTEYLGTGSWRGALSSIAYGTNKESPNFAIHVSAGDYAP QIFALVIGGFDVIERINSAGSKHGNSPKKEFIVVECGELCTLAKSHIVPMPWKLYKSI SHGYDEEKFGRKISYNFLESSDKGASAEEAREAGSFNSGSNPPTPWWRFL XP_827629.1 MSVSSQPNTDGAHRYARANVEKPREYWDYESARIQWSSPERYEI IEKIGRGKYSDVFLGWDTKVRRQVVIKVLKPVKKKKILRELKVLQNLQGGPNIVELYD VVRDPCSKTPSFIFEYVEASDFRTVFPTFSDYDVRYYIFGVLQALEYAHSMGIMHRDV KPNNIAIDHKKRDLILIDWGLAEFFHPLTPYNARVASRYFKGPELLVELPMYDYRLDM WSLGCMLAGMIFMREPFFHGKDNNDQLVRIAKVLGTDELFEYLHKYNLTLPQHLETAV GRHGKKPWTMFVTQENQHLCPKEALDFLDQLLQYDHVKRVQALEAMDHPYFDPVRAEC TARIREKKRGNVVTE XP_827630.1 MFRGGQSIPLEMQPLSQSSRTSDTNDHPSSPNLVADPCSRRVVL TGSCRDDCRLRGKAPVTVGRSRTDGRVSSTQNSSTWRNSTEFGNLYSRDGMPSAVSTC GGLTRSTTISSSNLGGVTPQFFASGVFLQSVDTYIGELPAAEGSVEGHEVIPALLCAR EPQDVVVIDENDPIWCDLLALGGGAESLEMTQNTVSRLMSMEAVPRLPLLPLTVEHMG XP_827631.1 MLQVLGIVKHIFWNDAMYDRSLLPSEASMEKCHLTWSDLAAMTG RNRVALLAECGIHHSLNPVFMRAIHHVTSRSGSGCEGFQIVHTRLSARLWSPSLRRTS LLAPTVVNSDIFVDGKAEGIISDETVSSNAVNEHKRRRTKYNGLLNQGATCYLNSLLQ TLFHISEFRLAIYQIPTAEEAEEKNDVNIKATKSIPYALQRLFCHLQTGSEAADTTEL TESFGWSSSDSFVQHDVHELTCELLDKLENKLGGVRKSDNGFTVEEIASNAISRLFVG ILESFVRVGEVGYYGAKEQLFYDIQLVVKNTTDIYASLDKFFQVEVLDGKNKYCLEHD GQKTYHCAEKGVRLKLTPPILILHLTRFDYDPQKGETKVLSRWVYYHTLDLSKYVPHA SLDEVHYTLCSVLVHSGSNAGFGHYFCFIWCSDAWYRFNDGVVSQASLRDVFETNFGG SRINYWGSQVPSIANAYMLVYIRTSQLKQMLRPVELKDVPLHVAQQLEREREEREKRL REKAEDHLYGRIHFIEPHDIVAKNEFLSCRRPAGAQFPSQRTLRVLLSSEALPAFNSF VDDKLGVRSSEQTLWYVASKGVRNRFSLHRRVSGRLAVSDVLGGDKECCVLVVNAANA HCIEVDGDEELEHDLIHHKVYTPMQLKVHFVGCTVISRKKRVEFSDVFEKMESFVRSA IAGIADDVAKTRDHHLTGVCLKSVEEVRTPTVAPFLPLSLGGSGYDRDINKSVNKLTV LIEDEQGKFLSSQQYLQSGDTLIWQEETPGVDARNIFYSDIVSFQHFLRRRIPVEIKL NSPPSYPTLVDAQLADDMTYEQLQRYVARLIGQSDNYDRVRFTMYNPETRLPYFMKGR RSDRSNLTRLLSPPVQRSIPLSKILYYEYCKYTVTEIEAAHSLQFKLFGSCVRPIGEY WVLMPREEQITPKALFGQCVKEISDVRATESVNSLAVSQSVRSDREKEPQTGEMTQSE LNYYASLDPQEAWRTLRLVDVWCGRIYNVFDKDHAQTFNRSTFEESAEYRIEELPKPI DGVPPQNQSIIQVHHFTLLRNRANPVDTHGNPFSIYIGHDEMAPSLLQRIAMKLGLSE AAVVDWKMALVKEDRVLEVLPTVAMGKQLFDFCDEHHYQPNKQPPTKMAFLGLEHAPL SKRCARKEDKVVIHN XP_827632.1 MSEIEESFQRISQRPNVTGIIVVNNEGTPIRSTIEDTATQNQYA HLITALAAKARHCVRDLDPTNDICFLRIRSKKNEIMVAPDKDFTLIVIQRFSDV XP_827633.1 MSGEIKMLSSRPIFSRLVLVPRSAIWGKTVICIYLICVSATLFG EVKPPLHICCKTKKKEKMMWKCAQLYGFLSGALPPVNGNCISPSQFLVLTCSLQTCGR SLGDMLAWEVREGLGDSIFFLGQQCFFFF XP_827634.1 MGAARALSLQDARLYDNKEGACHTPVEYAGQGFHSFTASARPAA GDILNPLAMPATSTWCQGPVPRIIGGSQEPAEFLSWGTLLCSGYGIIQHRDQQRLPGT PFFICRSLGTCQRAISSIIRTKMLLSGDVDLRKKRIANWHLWESPGWEASRPICCIPF ICGNTTKIIEGVLG XP_827635.1 MWFIAPTPFALKHRCPAIVLGGFSLTAAVCCEVVALKQRRYIVK ILKKGNSGAFSCSCILIGTLRWWPMALLVRGRYHEVTKKILKRQKGEKGKIFCHCATA DAMILHESPHHYCKWAARGGSEDWDYSNSFVVVCAVLLENIATNEREGECHLTFHAAT STHHDYMLVALRGKVVKAKVSFRFKEV XP_827636.1 MLCKRTPSTSKSTLHKYFDINLLLYASFTTSVLSSSPLLSFHIY HPLFLFALHIFSPLLYSRSCIRSHIPLRGHEDFTNISLVLCRSCDSIANFHFIPNVFS FLGMFSPYFRGVVSLSLMGTRRFPSFGLHIGNKVTHCYK XP_827637.1 MVWKGQAKGKQQNNGKNILKGKRRLLATGPQQEPEVNTPTVNAN SNVMKSLRKRDRPTEELQQVGDVSVGATEASSVLNNAERERKDTSAADGDTTHPNLLS DGQEVSLKGTKVDKNTLQPLQASVTLRKLSVTVKEEDVDVQQLTSLKMLKDLCIEYVS GKILNVTSLKELSHMERLCFKGSCIEELEELTLNGCEELKKIEGIHLLPNLTILNLGR TSTNDDFLKELSVCKKLSKLTLSGCPGLENVEQLSNFATLKELSLSWCSNIIHGWEGI GQLPQLRVLHLEGNKITNRTLEPISKSKSLVSLDVSFCEKLTDATPLCRVKTLEELNL HATKITSGIDNLVLLPRLRVLDVRQLQLSAFDTILSTFAAVRYGIRLSSFCNGFDIQS ISSIQTLEELSVGGFFCAAIQFGSIGGLPRLRVLRLSSKTMFDESLKGISHSRSLVAF DLSGCSCLEDISSISGIKTLEALSLRDCTNVSDGWIALGELPLLRILDLSCTKIQDVM LRVLFPKLKLDCLSLAGCENITHIGPLINVKSLKNLVLEECSELKAGFEALLELPLLT WVDLCYVNDNKTVKDTLVARGVDMRSVWSIIGNCTSLFDWWRAK XP_827638.1 MLSSLTPATAPYQAIGTPKRRTEYLLKRKPCPLVTLPLEKATAS THLNASRNGRTPVSTHRAEW XP_827639.1 MKSRWYCYSDDNTCFPPQINARLYSQFHGSKRGIVHASCHATLF GMGTPTLKRGSNNVAGSRITKRVLETA XP_827640.1 MYSATPFMTPRASLPVIDVSPLFGGAEQEIMKVAKQLDHACRTS GFLYVVGHPIKQEQIDRVLQISKTFFSLPMEEKMKIDVKKGFLKYRGYVPFGAEEPEH AKTYSYEAFNMGYHLPLHHPEVMARAPLRGPNVHPTQVPEWVDIMETYFRDMWAFMLV LLRAMARGIGIREDFFDDKFSVPQCELTVKHYPEPKTHANGNTLRMEHSDYGIITILY QDSTGGLQVRNPDDKLVDVPPIEGSFVVNIGDMMEMWTNGRYRSTKHCVVSRGKERYS IPFFCNPNPNALVKCLDNCYSDTNPPKYPPVKASDWLLKRLADLYSYKSKM XP_827641.1 MNAATYQPASTTAVPEMQSANLSLQILKHYHSFLLAVPFTVDNN GAHGYSPTRELMNLQRPPPFPPVLDGCPPIQFHILNHMLVRSAPHTPPARNCDATFVT GVVRKYLQFGPRRSIVESPEL XP_827642.1 MSCTARKQEDMRHPAQRLRVVNRTTSFAAFTFALRLPHKFYRSF TTSPRRSEEHLHMISIFSNVKGVSSSSSDFWKKTVLGFPPRIPYSTGNSCVFPTHRYA FSDFQERIVP XP_827643.1 MSNNGYCNKEVAKKLGAVEPNIFSKNGNFEKINRGQIDMTTTKN CRYEDFRSAFERARPAQCMSNNGYCNKEVIRKIGAVGKEKCSKNKEKEQISQADKNDT HGFYADFRSAFTRNGRVELGNNNGYCHNEATRNIERGKKPKTSKIDEKRQISNIAAGR KSDHYEDPSSAFSRISIDHCNAQIKIGRKKMVRNLRSSESQKISRFPRHHGEGKKVSP PALNLQWPGQKQVASAVAPQIRKIATPKNNNTSIQRNCRKHLRKEGDWWIVEGGQSHK KAAHTPLKTKPVNKEGNRKKYGRPPREVEGKWLTSLIAATTEAVLRQLGKGKSPTAHT KSNQSRVPLQHSEKTAKGIKHATPQPKKKEHEQRKELPRRPPTKRSHGANKGQGAPVR APTKTQGKGEEQPHTMRTWAQVAAPRKPKVTSKPPMAQKKAQGEKKGAAANPFHWELQ VEQLLKDAEQIRESMYIRFLHVRQSWWSTCFKAHMEFHCPVCGFAHPEETITVTHCRQ QHPQEGRLIPYTLTTTGNQVQFAGPSCSLSAVVAILSHMEEEKNFHPIIRRSYQSPSK ETTQTLVQALGLELPTAPITAIEALAHHDQMIRQLLEAPATTARQCGHCGVSELMEGP SNPGENLGIISINPNTCESIELTNQILAKTYTTSWTDMEVVCTGERKNMAHRPTPTLI THSKTFGEMVVIDIGTWGNEAVGLKRILHEILLPHGNGTATYKLVGAVIAPTPFHVVA AIPQQTRKRRWDILDGMVRRTVSQSTVDPKTVVMCVYRREEEETYDVLDEEEQDDDLL GIPNPTPRRLMISQAGPQQNSWVDTRGRRAYGSQEEQDERTSTDQVSIFSHDETRELS SPLECPIVGCTASFVGPRRWEKAKSHIYGFHSLEEVREIPRGELICKGIVRCETCATL LPTSDRAKQAHADDCRPYLPRKENIRRKRAAEREATEASAQQGIALRLERQGPYITPR DIEEPTNTTTESWWREKVATKRYLHRKEWPQWLDICRTVLLGYSASSQGERHQRQVML LDLVRNHLHTRTARREQQQQRGKDNQEEEDRQKEEEKSLAKRVETLCLLSATEGSPAP RSRKGSTGGVQPRNGSNNRGTVPAGGYP XP_827644.1 MEIAAVVKDIINADVSMEVGRRLQATSLTVLRKPNGKYRPIGAE SVWAKLASHIAISRVMKTAEKKFSGIQFGVGGHIEEAIAKIRKDFATKGSLAMLDGRN AYNAISRRAILEAVYGDSTWSPLWRLVSLLLGTTGEVGFYENGKLCHTWESTRGVRQG MVLGPLLFSIGTLATLRRLQQTFPEAQFTAYLDDVTVAAPPEELKNVCAATAEAMEAL GIVNNADKTEVLELTGDTGFGTAVKACARVLGAYVAPDPMSEEIREGVKKKAMETDRL FKAIVELPLYDRTRWRILAMSAMPRITFLLRNHDMQHTHRVASWFDERTTQVMEHILG QPMTERARNIAALPVSMGGCGIRRMAQVAEYAHQCAGEKGLQQRKTEEADQRQQDDLY ATLGGADRQVFTANTAAGAGRPLTDAQVRLDDATFGVYLRERLLVRVLPEGVKCLCGE DASNHHIHTCTKVHNKPRQMRHDIINSVFANGLRLCGFQCATEPRLNEVSKRRPDILI AGLDTYAVTDITVTYPGRVTVGNTAQGQRSVAAADPMKAALVRFQEKERKYSYWAIQN GLAFAPFVILTNGAIFGKSRDWLRRVLRGQDHRLTVTTAFDGITADVAAAVLRGNVHV YSAAHARGETLR XP_827645.1 MTRSKESNKHFTAYLLDIMVPATPDKLQHAYKTIAQQIEKLGIV NNADKTEVLELTGDTGFGTAVKACARVLGAYVAPDPMSEEIREGVKKVMETDRLFKAI VELPLYNRTRWRILAMSAMPRITFLLRNHDMQHTHRVASWFDERTTQVMEHILGQPMT ERARNIAALPVSMGGCGIRRMAQVAEYAHQCAGEKGLQQRKTEEADQRQQDDLYATLG GADRQVFTANTAAGAGRPLTDAQVRLDDATFGVYLRERLLVRVLPEGVKCLCGEDASN HHIHTCTKVHNKPRQMRHDIINSVFANGLRLCGFQCATEPRLNEVSKRRPDILIAGLD TYAVTDITVTYPGRVTVGNTAQGQRSVAAADPMKAALVRFQEKERKYSYWAIQNGLAF APFVMLTNGAIFGKSRDWLRRVLRGQDHRLTVTTAFDGITADVVAAVLRGNVHVYSAA EAMEKARRL XP_827646.1 MFFIVVTVIHLRTQLTRHPFTEFTHLAVGLAPPTMRFFREYTRL RFQKPTQFMRLCPRRRHVTQICDTFNLLRPLGIPSNHEECIATSLQAELLLDKILYIS XP_827647.1 MRPSGTLSFSSNLPYRSLSTPYWHFLALSFLTHFSGAFRRTFFI NLLLRTPRSWLREGNTFEPTAATSAEAV XP_827648.1 MRTRYYTERNTANNEKKTLQERTSSRRREQRDKPLNLTEETTIT ATQQKITMTATALVSVSYFLHKDTLDDTPTKKAVTMNYRETESSGCDNAVRNFTNPTQ IFSARSLKFATQCFLLVPLALHSIVWLNGCRPTRSNSVQVLFSA XP_827649.1 MWASGSRLAPALSKATRLQLGNIKTEYGYVSTAAGSQEKWGFLF KGRRYPLISCGYSICLVCIAITVVGTYQQYRDMIIMTEHISYEDVKDRCLTPMPGWAR LRSLQIASPLGPMTYRDPTPLDWLPFELKLGCVKQASY XP_827650.1 MKGVGSNNCFIQFRAGKMLLTDGKVVADTRRGTLSFSKESNGVV KMTWVSGSHAEHYDLPLGQVKFSRVDTCTTGRVLLFDFGKAQPPLFFWMQEKSTDNDN TYFSAVENSLVQNPSAIGSLHTHTSPLTDQARARLEGYFASALNNVRGGREQDVDLVS IVGSAKVVDALRADPDYFMCRLHPFLPEGTDSTSGIVEHVINPQVSAAAASLGLVLQT PDGFRELCAAFHVDGKKTGVVGFISGLLKQVKK XP_827651.1 MRRTAFSLMIVPPESHRYAKATFHEGVHRQAPGDSTPWRDVASP LNRFKMWWLAPAAKGTMTAAWCMTIVMGAYCFSIQQDAKGTYLLNNVLLRTLHEEAMR ADANQERANELQEYLKQRLEKLEAGGKAASRNMGGDAVKEGLINHELEISRERVRSDS IHQRNQQLVDELLKVRQELAVTRKKHSAALSEIDKLQKLLKACT XP_827652.1 MQRRDILRTYKHFLLLLLSGGCTPVVREESCTNLCFNVLLIHFY LYFHEVVVMFATLGSWPRGIHVFLNETTKRSVEPWYLGYEERRRLTRDPRVVRQLELE DEWKDTCLEGVWMGFRRFARPLNDFKITEACFHEYLSFVGISNEYLRNRITSLFRLEN SAEIDCLRVCKVLFTGLTEESTSSKFLDHCFRCIPSNPVSGDVEVDIVKKACEAQHAI TDKKFPTELRIQQLEGVLRYFNEAEEPNFNLETFKDLFFHPDWCLWASSFIKCIYEAA AKYFSAPNGILPPVPLRWIKAAEPLPFDRNDIYDADALLLRNVEILGRENGDVKKRRK SPGKARSSKRRS XP_827653.1 MDYRNDIQQLARAHQFRLHPLALKGLSDYLATLNGNIDAQRNAL RDIFALLHRACGLERFVDGEKVKSVVSLHSSKVQGAIDGVVPTVDVLSLDDTPYAYID EWSGEVRVQSTRQHGDRFTVLNQRYLFARKRCLRSGLFSRDSSKQPIDQGLPSLVPSI ALEGIDPSDTVAVLGMLVKHSSATYLEDIYGRVKLIMRGCVHPPVGVVGEGFFVVVKG QWVGGLFVVASVDLPPAERREDTLRVVGSDFDLFGRRPDDVVAAFRREKTSLGSVVIV MSQIHLDQRSTVDSLISFFREMQNRSEAELTDTTLVMVGEFCSSPIHYDDVSHLPEPF EGCDRYRSLMNILATVITVHAPSVAQLTQVVIVPGCGDITGLLGVLPQPPIVSTFGKV LQARLKKVVLAPNPCRLRFFTHEMIVVRRDFSRSLREKERTFEWSRHEASTPVISFES IAKTILDEAHLAPGVTEGILWKADKALCLPVLPHLLVMCDSTEQWECSYKGVRIVNPG PFSLGKTFLWYTPADGECSLSSVDDQV XP_827654.1 MKRARKVYSDDDEERCKKVVDFIRSLRFSIPLYRLREQNDRRLC PKCGRRRQYYCYDCLIVVHPESQPPPLKLPLNVYVILHPGETRGKSTSLAASTISPDL HIIDYPHVPTDLEPKATLLLYPSTDSTELESLENLDSCKNVVFIDGTWQQSKAMARDE RLSKFRHVRIKAQTSLFWRFQNNDPTYLATVEAIYYFLREFISQNNKRRAGCQPPYYN GDVDDILYYYINQYIAVQQRYCKSELEYTTRHFDSYIIRCFSWDQLVAKPSESAPVVN HRTS XP_827655.1 MPPKKEAAAAKAKGSGKGGKGKKQAPEPPLVDGKPPPDGRQLWL ATDALQKAKAMRNYFQLERDRIISFWEISKKQLGELKASCRQRDRDKAEAEERHEVEK KVFKQKIRHLLYEHQLQLAEMTSEAERTLAIREEEYRQKERNAAREIHDGKLLLREQE NEHREMTSALIAAHDKAIAEQQLSFERKMKEIHLMFEKKTRDLREEMDQQCREEVGLV EKRKADHIAELREMHERTFKEMKDYYSEITSNNMEMIRTLKDEVYARKRTEAHNERAM MDVAQRNRKLTEPLAKLQRQKRELEQELVNYASDKEKLKAMKAEVQQCEQELRSLSWE HEVLFQRFGKLEEDRDIILKKYNDMLQEIQQKATFRRVLIQSKLELVQTQLEGRDARL TELLRRANIDPDGISEIERRVRDLSIEKDAIIGNLQHLIGHLADKQQALVSAYEKYLK GYGITGSSSTL XP_827656.1 MPVYDVAVSKSKKRKLSTDGVDPPPVRGEERRRVEKQVETAPAD NREGNGNAERLTTVEFSEPAQWVERMALTSTKPLPSDLNADDDPKREEAFIQQALLSV VRGISLLEAGDVPWKRPDDYYAEMFKDDVQMSRIASAMEKSKARIEERAHRRAMKDQK KYGKEVQAEVLRQRAKYKRDMGARISEWRRKRKGNEDLDDILNADETTSGSKGRGAAR GVRGGGTTRAPRQKNLRPGGVKRRPGKNARRRR XP_827657.1 MPWQWLPEFVIQRGDGNTSYTSTRGKLSDPYDLITEHGRVMSLT SADKHLLEVTVVCKGIPKKFRGFRDGVPLHFVLKSTLSQLGIKPEDEKIKLNCSLQEV EVHVKLRALSPLAVVALSNIRVGAHIGKLFAAEGVRRVRETAYVDRLLNMMNCGGELL LKYNGSSGSSFDVRLVGGRAVVFLPLRNGASVYGDDVHGFIPTIGMALKEGVKCKYLL RLHQRHCEGMPRVARPGEMLIVCGYSLCMRTMFARVVEELLPKGLKLIGPGILEPSPC GVTEPQDIAFAFYGDSTEELTHIPMEFFTLESYREHVPYALRKTLKQRCESKKDVLRA FKTMPRAKMCCCTFITKGGQFNELEQNDWVTAEPTDISYRDHDTPEQRHELAQQNIYQ QCEYGILSAIAAGDITSEGVLLTRNLPSPSLKSLVLSCSVAQRVRAIYFSRASGRHGN FFSQDDHAFLTDLDTFGIDVFFVDMKRETILQYVRRPDRDVGVFAPTEKRREYLLATF FGVYGSNLVEGTFEDELHYLFKGLLTLKEECKHPQLNPKTSLALVTGGGPGAMEVGNR VAKNLGILSCGMLVDFASLSGKPGATINEQVQNPYVEAFMTYRWNKLVERQSDFNLDF PIFLMGGIGTDFEYALEEVRRKVGAVAPTPIILFGSREHFESKITGRYQANLQNGTIR GSEWISNVPWVVGTGREALEIYVRYFSGRLPVGPGNPSNELGFVIAAEYLANNPS XP_827658.1 MRPLRAWFAMCLVAILLFTVVDVSALYVRGKATRAIFIAVMASS VWICYVLLLFIFLYRPLRRCTALYRTGCCCRNGSSAANNGVRLWFKEQHSLDVCVEEL RNGMVDIEGLKRT XP_827659.1 MERIASGHRMSMLLLGVGLLCLYGSLLVNGFAFDLPANQKRCFS EEVPSGTELRISYAALPGYAQFVDAYVVGPAGKMYMTTVGQDRGSMVEYITKGGEFTL CLLSRVATGVKQSEGMARSVSVDFRLGSGKNDYANLTGKEKLRPIEVELRVLEDAVRS LHTECLYYREKEAEMRNANESVIAKVAFCAAAIITFFIIFSLWEMWHLKRYFRKKRLI D XP_827660.1 MQGEVPTILCERAETQLTQLNHLSHALRSVTFGDVVSFDPACGK ICLGENCVKNAETLKAVGCSIADAVAELARAVEGQLTEFFAYMKQKEKESISAVLSGG AKVSGEIRKANQEVREGSEDTPRPNPALCRGPLSLYHAELKAGATSLKTCLFLMMESL LTRVMGCRAALYLRCRSEGTATLRRVLHINAHRFPQEVRDSDARPLIDAMEGCLALNI RVRTVSLDTAAEEQGHNFHPFRGKEGNSIAINRGMVFPISNYGCIIIADKYPTASHHF STSDEYQAWAFASVSEGMMCRYHPRVLLDSFSVRPTKVPENCSNLPPISTRLHVRHEE ERKGADLGAIWEAVPPAAMALKVMRVTRIGTSASPTLNEGYNELNYSGMLRDVGAHIR DLEEAYGKLSAQLFEAKETMDKAHCELNRKRKQQSKLESDIHFMRSLLHAEGIKTPKR XP_827661.1 MTFVKVVKNKAYYKRFQVKYRRRREGKTDYHARRRMVLQDKTKF GTPKYRLVVRITNRDVIAQIVHAKVVGDEVVMAAYSHELPLFGIEHGLTNYAAAYATG LLVARRMLAKLGLAEKFVGVKEVDGSYAAVRTKDDDQGDDESRFPFKAILDVGLARTT TGARVFGVLKGAVDGGLAVPHRPNRFPGYNKESDALNAKVHRDRIFGRHVADYLKQVR EEASSNPDEKTCQFSKFIAAKVSPDDMEGMYKRAHAAIRADPTKRRAKKERPAEAKPK KYNTVKLTGAEKKAAAKAKVAAVIERIRDRAK XP_827662.1 MTFVKVVKNKAYYKRFQVKYRRRREGKTDYHARRRMVLQDKTKF GTPKYRLVVRITNRDVIAQIVHAKVVGDEVVMAAYSHELPLFGIEHGLTNYAAAYATG LLVARRMLAKLGLAEKFVGVKEVDGSYAAVRTKDDDQGDDESRFPFKAILDVGLARTT TGARVFGVLKGAVDGGLAVPHRPNRFPGYNKESDALNAKVHRDRIFGRHVADYLKQVR EEASSNPDEKTCQFSKFIAAKVSPDDMEGMYKRAHAAIRADPTKRRAKKERPAEAKPK KYNTVKLTGAEKKAAAKAKVAAVIERIRDRAK XP_827663.1 MSHSVYYYFTFESSPAPMGSFMYLNELWKKKSSDVMRFIQRVRA WEFRHQHTIVRLRRPTRPEKARMVGYKTKQGYVVFRVRVRRGGRKRPVHKGITYGKPN TAGVLGRKLNKNNRVVAEQRLGKKYGNLRVLNSYWVNADSTFLWYEVVAVDPMHRAIR RDPRINWIVNAVHKHREQRGLTSAGRKHRGLRQKGHKASKLRPSRRAAWRRNNRMVFL RKR XP_827664.1 MAATKREAPVPRTGIIAGFNKGYKTTRRARRPSSNDRYALPHKK LRAVKAIISDLVGFSPMERRVQELLRVGKDKRALKFCKKRLGSIKAAKKRRAKVEEAL RQQTKKK XP_827665.1 MECSVHSPCGRYVVSYRNAGDTLHINAVPKPVAVEPGAETIHYI AVLGESHIAEISAAAGVRKDFTAFSDMIYNAMIGRSPCVRFFIETCSEMRTRIATEVA QRQHASKGPGVDEEQPANTSMDDSGSGNNSLLSESARSQRFFTLDYDVDFTRAMFPVP LVATEGGANSNNGERQTGLVRAAGQQQQQHGVAAIPNCAPAAVKSDDNEVAKWRTENE KLRRENDALTRLCKEKMLEMQRLCEDFQLRVETVKEVERLKKKLVELRTRATRAEEER DEAQAALARIRREHQRLQRSRTPQGRIEHQSEKSTRQRSRFDTPPVVRGRPTSRGGAR DSPLLRRLSSGRKQTHCMPRNRSASSEGLTVTKRRLWNGSGSSGPPSRSSSCGSCERL YRSPTASSSQRERCTAKYFASRAVFF XP_827666.1 MLAATRSLGGLYKVPGRKSLGEICKLSLLEATPEPQLATIWNEH HKQFVQYWGRTISADAYYALQPRLKQSPYFVIPVFRTKGLFNVVTNWDRDLVGVAPLA EWQEKQDSAQVHMTIQFFTELARTKRMVMVRCEIKDKVFVRQDCVFVTQMLLKYYSDP QLYEMWVEVFNKRPTQFDYHMYLRNMKDEAKRDDIRIEDKKAQVRMDAYGPVIDTPPD AIAQQILKSVDSGAPPPGESLNGCNGYRKE XP_827667.1 MHMHIAPMCSDKQTCSEPPFHPSHRLFQLIMYGPLYHIPHKRYG KPAQQSSSWLQHTTKMVQRETHTHILARSYVAVDMSPVTSVLHPSSPVHPLSSSPSHP FLCGLHTPLYRERTNKFIKT XP_827668.1 MPDVAEHIKRQCGLQSTVVSGRFKDALDEAQCRPAYLLIYLHCP THEDTPAFLSEVLPNPPLRELIESRFVLYAASVMEPEGYRLSLEFEATTFPFLAVTLR RETVLTVKGLCCARDLVKYFQAMFDRFDGVLAEEIHLRHEREERMRARTDDERHIAEM QAVDRKRIEEFEKKKRDKVMRLLLESEEMRRKLEEEEEECDRRQHEEMFIQTRSDIAR TEAEMRLAPEPPADADVSTTTFISFRSLSGKQSKRRFYLSDRLSNLREYGKTLEDYDG CNFQLVVGHPPRALETESDKTIGDEPTLFPRAVVMMRRL XP_827669.1 MAVQTRFKWRHKETDRWRRLMDATCVPLDWIGQTRGPSFTQYSG HWTHLLTCAHVITPWDYPNFYPPKGPTRFVSRITLADTMTQVRLVSLQGNAVYKHFTS NQHVFVHSNPRLDLCVLHPEQNLRRSGEMKMLWMQNEGHVTRPRLELVKPLEVGDHVW VYGMTAHESLFDEEGGPEPLMIPTGIRARVHALTREHFFIDTKSIEGAERGCVGMGMC GSAVMRNGRCVGMLTATVHEESDCKELAGTAMCTYASDIFEFLLEVERQMKALPPSLN RQETMFQQRRRGEGSEEYEKQRETEGKEWDLDHTRLARHIPVPVSLWHMEEKWITEED YMTNKVFGRGGPFNQETQENILGYDMNSSKSYGERPGDIASVSTATQDGKPTMQGERK DYSPTGIYADHEDFKTSDVWDYNVSSELRSLFDKGVDAKDAHSLNMMRKSLENIRAQR AMEKMKETVMKAPGHSEDPLNVGSMGRYDVNMEESSAQAFTPDMDDEVRAAARKEEKY HEELRRRHGNKARPFGDEDLRGLWEPH XP_827670.1 MRRGRGASFFMGRADGVRKHRVKHHHFSPPPFLFLFHLVGIPLY YTLLGVCHHYTTILCVHSRLISLFATEAHSSKFRDTVGSHLYIIRICYTYCPLLRVRN APGVDPLLDSLCPAHLNICAYNIRVVTQ XP_827671.1 MNPSAQPWYPPAELVLGAPTAGLTAVRWQNDPYRSRIARCPPPP LCGDDDFISLRIESLVPVAGTKTDSPIPAALTAAAAAAVLPTAVQVPVTKGQPYRSSG VTFASVPTQAEARLQKRSQPVSRCQADLKPLWRSFATVSSLDSQGDSQEIEGATTEEK CCAARVRPARPKVPIPRPRSQLNADNAFAAARNLNKTVLAGSLDINPHTPASTPNDSS PDQTSETPAVSASGTDTTTTDGENNTCTTSSPTDVGASTGRNNTCSEDAEEPSCEVIS VKSTAQEADVDEKPQCLANRAPPGPTASKKTRLKPKSEKWTIVGGKAGVALASVQAAV VPAKRPTASIASTKARESVSRHRTPDPKAKERVRSKQRQSQAQHREEVNKCSSKDDVI IEQKSKRQRDSRRPRECWTDEELLETLMSSTTISAQTGASSSGNSGDGAASFAIMGVP LVCVTRGEELLTSQSSSRSDARQRNALRLFIRSLAMFETSNAKTLLLQRALNGLPESC HHQCPSLVCAIMLEMSASCVDVEKSLQTCYKALRLSERHNLDTFEMLSRLGITRTLAR VVDTMDARENLTRAALIAIDRLEKEALGWIVMQMGVTLEISGLFPDSLAWYEVAERLA RSTGQQRLLCEVHCCQSIAYSAVGELERAQQAYEASRLLLSNIHRHCHQRPLQNYAQL KCQLGDMAGALQLQEEELAIAKELGDTHAASRCVGAIALTKRFLGRYDEAAENYMEEF SVHCSRDPRQAVESLTGAASCWRFGGHAQKAYDYCIRALTQARDCHDLTTIAKALAEL AETELSMDRLDEAQEHLREALTAVFRVDDAEQKQYLVKAALCEVEWRCCSALGQVYAR RGQAFEAMQCADRCHVPNTVHMGRLLLRRQRCAGAIHDATNPELVSRQSVERVLKHPT LKGWNVVCYSLPWAEKLDFMVYVVMLDADSGELKCVAHPLVMSDELVAFFSGPNALWD LLDAPMFTDTGDRMYGDTPLWPQLYSKVAFDFQQNPSNAECLVSLRTDPHYCLRLLYD NFVRPLEADVAGAEGLMFVADGVFSRIPFHALHDGKKYLAERFCTSTCCSMALLCTHA VEDESIKAAVTPPAKATDGGSEESMGGMIPKRYTIVDDASHKVVLMDSFVEEMVAKSN DSSNPVGVCVRHWVVDEKQPVISCVANEQQLIDAASSSDNSKDGNGDISAEAAGNDDP DVSATKHLLSCLRRRGNVTSVRNSLYRAVHENPEGVLELRLRVVPEVKEDYCGTFVGA SCDVSASHASEVASSWELRGYALVLCSGIGTYGGLSMHETGVPVYRALQYAGARCMLL AAGSRVPPYVKVPAAAADAALNGRSVAAALREAYVRAIAADVPLEDWCGFALVGLPIS XP_827672.1 MCMNMLLFLFIYHHLFFYCYYFIFLCYVLFFLLLLSFLPLLLLL LPLSLLRLIRFFLFSLGNLLRQSICLLNFFLIFFLSFILKEKKKERNDDFSFSICLFF ILFYFSSEICGHQRY XP_827673.1 MFSFSFLFLFSFFFYYSLFRSVRWRVFRIKNFFFWRGEGLPAHL NQLLPTHRHCSIKVD XP_827674.1 MFFFPFLYVVIIIIIIITHAHTCSFPLFLPFVCLFICRFIFIFA LAFVILFCLFAFILILLLYIYLYIYIYIYIIIYENICVLLPSLLQRSILFLSQLYI XP_827675.1 MARATAIETRLEKLLPAMEEYFTSGFLTREETHEVARQRSHWEY RLVAKPLLLLDVRSAARYELELEERLRQYCVATKLVLRHRWSIIERVEYIYRIGLRHI KDPDEWELLRREYVSVLKKHRRHGQLSALYGELMVRFPTRSALWVEAALYEGVEAENA SNARALVQQAVLTSGAQPEVWNAAVVVELYFTDRLLKKLLEDKEEEKGKEHQQKQKQQ VGDEGGGHDIVTELKLENAALAEVVVDLALVHAVVEEALDSPAFGPKLVRMLVDSVTR FCYTKPVLERLMELAAKKMVAILSPVMANSIGNSSSAQLNASSSGTARQWTEVGISHF LEDYLALEAIMAEKGVAGDAASLLRSNGRTPSVGREDWKVSCIASLVSSLTLLYTDEV RFPIVSRLHSGAVSVVENLMERLQQLGCADAVSAACRCLLLSTQQRQHKGKKSEADAR EESIALINEVLSCLWKEVKGSKKKQKNQTHDAAVERVVAMLTGGAAKDAVPSAKKAMK RPRPEDPAHVWRPLSRFLLPEDRAAVRSASDGVMQHCTSAEVDLLFSLHDRDNETDAE VVGHAVVEFLQRFELIPPTAGVKDVRQLSFTEGRTPIVLWKMFLLLERCCAQAIVDSL PRRSKPQSSTTRGSTGDSDSDSDSDKKDRLQQDKDSATMDVSFAAAGLRFLAGVPCGV LPSIAVEEERLKGCWTLVTARVHTLTGLESTTRDNFVSRLRHPPTDGAVASDNQPAWV NGLRSILSVSRLCHPLPRQVYADIAVPFFEALALWAQKQQKPHSDVNAAVQEARDAHT CVLAMYTQSRNLANYMPLVYRLHPPNRNSNNNSDEDDGSRGSTVSSRVSATELNAADW ARCIAFERDVAKDLRRAKEVSGRARRETLAPQHLLLMLNKY XP_827676.1 MPVRPPLQRCTVRPLRGVLVHRPRPKILPLEAAVMLGEPHAIAA SFLLHAHISTARNVSIVRAKKASIRASNNMSDCLQRVLSCGLSRDVLLCACAAAATPP ELLPPLVQRHVRAPRDGNVVVLSSAEWRAFFLSMLRAIHSTAAGRLEFSSLALLCATC LAPSVRLGDQLARAYCGFTGEIERNGAVCANSFGSTGSGRAADGVVAFAACCTMMNIS EGMESRDTAWMRLWADVQPTLQSGCGDEATTMMPNESWIRCQELHLDCLLRTGRHEDI RKVLSPDSLVTKQFHRMSDDTLAQLFVQPSLCDTVRFRVLRALLDVSRAKSEALVALQ RSPFSRNSHLPSVTRSARMVAALLRCLIVEARDQPSSSRNSCLFSDVEALCKMCNSYA LAQSLLPYADGSNGSGADRTGVIALLMKATRDEYRSRCLAEFVAAISDAVAFARDFAR RGDELASAMVHACAAVLTNLFTLCSPCRAKHDGHRANSSGKRRAKPAWRLRLERDEAV RSHKYELLPGELPHGALSHFIAMLLADGFVLDGGSLGANLAAADFLDLNFYSLQLLGS LYHATRAIGEGQELADVVGVVESLYRRRRQVFGEDGVALLCARCQITTLVRQKTGGNL GEGSSGDAAPSGVKVMRKRMSYLRPGAADLDFLRSRSVIAAGGAFAVDCSVGVWLRKA TVQVEA XP_827677.1 MKLNIAYPRNGTVKQFEVADEVLRRVNLGDYRLGNEVDGVIFGE PFKGYTFKLQGGSDKEGFPMVQGVMAPSRVSLLVKRGAVGFNTFRGYQGERRRKSLRG CILASDIAVLNVIVVRVGEQPIEGVTDVSVPRRLGPKRANKIRKLFNLGRTDDVRKYV IRRKVTKEGKKDRFKAPKIQRLITSTIRARRAKKVRVAIEKVRKSAAERREYLRLVGA RRRAARQRKAARHHLTRVNAQKKEVAAFKARK XP_827678.1 MSRRVFARNMNGEISSTWRGGGSEANAMGVNVATFGATGVLGTH IHHLCCYHGFTSIVPFRFRAGMASGVRHLRMAGDGTVGQNFDTDYEIDKEFVVKSILE KVDNVINAVGAWQEPAVYENSQSWFSMEAINVEWPRMLARWCREMGILRLTHMSMVGA DLHSPSKLLRQKRAAEIAVLEEFPTATIIRGTDIFAENDYSYSRYLMAQRKYKIVPMP NRGQRIHQPVFAGDLAEATCRSILLDHTEGRIAELGGPVRFTTADYLRWCADCNGVLN LTWHMPKWMWRVGCTLNERLPIKQGILLGTKAPSWNTDWLERQFIDNCATPERDPELL DWEDFGIPREDLYRMEEKYFITSAMWSQDSPYLDLAPRM XP_827679.1 MQTQLYHWLCEHRHSSANKLLGNSSSFITQPLQHLLAARQVPLF PLPSQAQSQTSTSLVGVNIFKTTTTTTTTTTSGCSFDVNFASYASSLTNSTQIFTLPS VALSHIRAFLCDG XP_827680.1 MPPSKDSATPLDAAILCHIRTPYLSGSGLVGTVQTRLSATLADV RRLLESLASLARQGNDRNVGNAIGSSEAPVNVSKEEMRKIMSHALHPFDLSADFSFVR GGCGAMVPREREKSTRLVDLFPLLPQLFSVNKKNYHIGWAMEPPAPLVRILARLEDME VCRNAVAVLFVSMQTPDEMLKDRLTLYQSAANVGFSSKSAQLNSFKKSLNLWNCNVRD CFGRTVLHESVYHGNKEVVAHLLSLPFIHTNEQDAQGLTPLHIAVRKGDERIVSQLLM RGVDVLLQDASGDTALHTALRLRDSRLVELLCQCLREAGIGAEKLSQYRNKRGLSPSD VFKLRWPTFFQLCAVGDVQAIHTLYNHYLFKCDLAHAPDNLLHQSVVHVAAAAGHADV VRYLLDEVGFGRARAECFINSRLQTPLHVAAERGEFATVKFLYERYPKWLAARDITGA TPLVAVLRNRRRWGTAIAEYFITVASSETIAPNTCDNGGNGALHLLCELGLFHLARLL VESHGADVNLAHTGTVNTHHFLRVTRRSKSLMEQMKWKLKHQKTGKQAKMTPILCAIR GGRCGIDIIEMLLSHGAATREDEIVELLFYLVSNEHYEVAERVLARENVKPPGHNELL CRFCREKHVVGISWCSKQGFRQLNVMQESHHPLAVSSALGDAAAVAILLEHGANANIG KSVLHTPLALAINGGHDDVVRCLVRAGACLLSADGSWSALRAAVKRGEEFIMAGLLAE PSLPPSEVVHAMVFALQDARGRGVEIRERLCVRLARALNLAECGGVHPTELLHLAASR SCFAVVRVLVDKLLALPREELENILKDAPAAPSLAYALIEPKAVPLPKGVPSRLYALH PSPAPFKRLITLRTWQEGLHHRLHLRDAFSYCASAREESLLETLLFDVGLKPWEGPDF RGWNAADYAVASGLYNSVRILLVSGLAPLRHHHVRSGTWLGILCRSMVTPAARPSETN LLYFTLKRLVCAGETLLIRHILWDVCRRCDVSDMRDASVWLTDVLLCCARSRSLEILH ILTREFRVTWGRKFFPTAEPLLMAVLNSDAEMALFLMTHGAVPTAFGPIPKMHDNVKA SFLREERRAVSPLWLAARLGETAILQQLLQSTDLLCHEATLDNSTQCGDILQALIDGA TRRVTKERDAGMARTIFMLKRAGYLALTPDVVRCAARKGLVQVVNALVDCYGPDPFVE DLKCGGLCAIHFMVANPELCGTLRSLLVAGRSTATETVGGSVSLASAMLATPFRVNPV DYALRHGCAEGALLLLCLGLYGSGTVFAKRARTLSVVIRLAVQRRCTTGWSGYTALHA AIEMQCHSLAKTMACEMAAIHGPNSGPYAEMTIKNDDAPSLHAFMAFHLREAHFGTLL SSGVPPVVDISSPCDIPYIVWSPHASEGRFREAVRESATREGLEQPLDLMKESLRVEV RQHMLSYNENIFFRSRSFAVTALTPMACAVAAGKLSWVQLFSSCGVSLTNDSSIIAAK YSAVSTQGRIKAPPVYKLVDMRDSRKRKAPKSVRRKHVCSKDTGAWLLTAYVHNRCHI SPIMLSMAIVVECVRSGAVEQRLLQQLQIIRYLLGSDECPLREEVNLLAIVAAELQLW GLLEDTVVTLERCRAVFDPISEGDIPPFMHNVVGSAHHVMHKVARVAPREIILLVARN SSFVHVEELCDAKGRTALYHALYHPTPAAVDTLLSLNVSVSKRCCSGTGRTPLMVASK LGKLGHAERLMRKEVLDLEDNYGNTALLLAAEGGHKQVVEYLLAQGSSPSTKNAKGMT AVMVAALAGHDSLAVPMVERFSGISDLFTPHTTILHCAAVGGAWGVATTVVSCLAQAD PLAVDHFGYTALFLAHAFGNARVLRTLLGAVLHKGITVPSSFVRERQIISRSSELVPR GWLKGTLYLAEAVLGNGRKGSSSSLSSSHYGPMRSSETLYGHRTSIRRNDVPLLLWCV RNNNTLGVRVLGEINVGDNCYALHEAARSGNVEMVKLLLKLEVSSPDVLNESGMLPFE VAAVHKHVECASLILLHTKLDPVRLRVHIDHGDDPDTTANSTDSNTHNPMQLLASSEN AEVFADVVDSVQRMSGDTWPSVAQQLFDTLSTPGPDGMTALELQLALGRAAGALRLVK ILQRLSLDVAGNCAFAVSSSILHHLTNVSPAVRVLLHDMFGLTDVAKGYGMRRRRFGR LSFADVRLIGISALQSDAYCAAATSSIFTFGNEVGATSSLLERLPFEVRFVPRPFERR SAAERAKLIRWLGSSLILSSYKQLRTCAQFDAVEVEIVSHPAEEFAELVGDHLHHSIY VDGDCQLVVPDLNIILGFATRREKQRLLDEVEHLCGVLTDTMQSLPHPALSKGEVKVD WRNCNIDDVEQEAIECLIENGLKKLRVFLEGNLRDCLCGVNMTDILSVKCTAEPVTEK VHITFQYTREALKKFKPSATELGSTVDGLLCVVRFSDAGMGGLDFVMRSVLYPATLSD VNLVGVSRIRDAWVADVSRRVGQRMGGETIAFKLQLEGSELHELPLHLLKRMMADVTD AIAMLMSRPSAKKHRFLVSHIVGESLASSLRAVFVLFSTSRQPTARRAQGNLLICFNA TMTPTMSDIYQCLRRSALKDEAERLKGMLMSIVSTMGLQLSVALPAVPLLMDVLGSLR HQDTENVVSFLSVLCHNDGSLVLKPLIEGVSIGWKTELGRVVRRHVRQISVALEVNGG ASCELKENGTFVYKCPLNCAHAGSYAAHSKGLLSAQQIASLLLIQIDAMDPTMRSLIS TTKAMACWSRACGTCTRLLDAGSQRCSIVIKRRNILDQPVGQSASDAFSFRGGWSGVK VKGSTGVVRFTAPTKAGYYLQHILLNDQPLFNSPLRIRVRPLGPHLPNTKILSTFNAV VVKRPFHIQLLLHDRYLNRVAHICPLRIEAVDGGAVHVAGWKRLHVDTVEVEVVVKEI CEQCSLRFRLLAPGGAGNFVVDYTVASVTPDTYRQQFGPVKSRLMAKGLQGKTGRPQR PPPPPVGIMSKRQKNESRTPRYIFPPLRAVAKGEKNTKRKSKPPST XP_827681.1 MVKPFVPRNIVKKRTKKFTRHRCELFPQLSSSWRKPRGEDSPVR RRYKGQKAMPNKGYGSDRKTKYITPSGFKNFPVNNVQDLYMLLMQNRKYAGVISHTVG AKARKAIVRKAHELDVRLINGNAKLRKVQV XP_827682.1 MPAKKATDAPVYNLPHERQVELLSEALLREYMHKRKFTDTLRTF DEENPRGPDTISSRALMSDLMTLKSETQKDMKADGIETIMEMLCCLRVQRRLEVEDLK RRAAAEVPPTPEKLKKKKSKNHDGGKGSKRKKEKKRVFAKEFEEDSPSDKDSVSKYGE KHTKRRSSEGRSDNSSSDEGSSGDESTSEQSSTSVGKGGGPKSQIGKKTARALLEVLC GAAGALPVSFLGQGFAFDGDVDYGLIQRKKGPDGVVSVVQAFVCAFFFKGPFMDVRRH QKQCLIRSIMTVLSSVQSQARLICLVDGPISADSVETDLASINTRRDFATMQDVENAL HDFIDSWMQPNGSGVFCFLLSALLSHGVKAVTSALASNTTSSAVAAEQHLITADGRCS VVLTELLMPKESASTAGDDDFVLGNLALGMGAAGATCGFVTRNPDGNVTMTNGNPRCP VWIVHHEGRYVVLFLKRDNRRQLEQRRTTGATMSTDVFFYEPSVSDRGDTFVTITVNS EDENSSSDNFFLGRAIRSIPLWPSCAIHWNGAEPPF XP_827683.1 MTGSGGREPIDVATGTAPAVDKGETIPTKYEPHNKWWSCDIPTT LSLVQLEDPSVGVPSSDVSRRAAELGHNHIPLKGGPSALWILAQQFLNSITLILTIVM VISAVFEDWAEFGVVLFLILFNALLGFYQEYSAEKSLESLKAMTAGSAKVLRDGTVQV IFIDEVVVGDVIIIEQGSSVPADCRIIESSGLEVDEALLTGEALPVVKHTNEIPDPGN SCALGDRKNMVYRNTTVTQGRARAVVCAAGVDTEMGKLAARLADGTGSGRTELMRKLD LMMYILFAVCLGLALVVFAANRFKFKRSTLSYATAVAVAILPESLVAVVTVSMTFSVR NMARQRCIVRKLAVMEVLGNVTDICSDKTGTLTENKMVVKKALIGIDNELVVTGAPHE RYGTFIREEGEEHVNMLEVYETNKLAVEFMRCAALCSTTVLHPDSEDADLLKGSGNPT EVAIQVMTWKADAPRNKLENQGWECIAEYPFDSKIKRMSTAWYNSETKSLYLCTKGAP ERVIDLCTTKMLESGKLAPITDDDRQELTKKITSLASHGLRTICFSMNASTVNEFPIP DDDTFQVTHPRETIEQSLTFLGIVGIYDPPRPESRPSVIACQHAGIVVRMLTGDHAIT ARSIATILNIITAKDGEDPLKVLTGPEFDRIDMATIEEWPDLPLVVGRCSPESKVKMI ECLHKRGRTVAMTGDGFNDSPSIKFADVGCAMGSGTDVTKGVADLIITDDNFATIVKA VAEGRRISQNIRKFVLHLLSGNVSEVIALICGLPIRYMGEALFVLSPVEILWLNLCTS APPATGLSLDPASADVLLVPPHTAGLFTFELVTDFLVYGFWLGGLALSAFVWIIYGIN DGPKGENCNERTAVGCEMVWQARGTAFGILYFGLLVHSYTVRHPRQSVIFMKWFDNPW IYGSVIVCSLLFFPIVYVPAIARNIFQHHSLTWHWGMMVPAVIIFVGLCELHKIFKNC LFPVKRPVIDRSDEEEYRRFAVPGPDDDRDVESIAEDQLRQSFVSAAGSIASGGRRRK GRRFGGKGGKM XP_827684.1 MADMKKVTVAVRVRPILRDGISQAHVQEKFELEAIRRTGDTTLK VELERPGEPTRGSAFTFDHIFDQESTQLDVYDEAVADLVDMSLTGANSTILAYGQTGS GKTFTVLGDVKPNPLEDDLLTKDSGMFLRVLSDLMEYKRRQLARGFHVVVGLSCVEIY NENIRDLFGGTPNSPPPSIKAVMIGEEVLLPSLIIKEMTSLQAVFSEIQLAISRRKSR STEANAVSSRSHCLFLIDILQQSTTAPAPSLTAILQTKKGTKDVEAKRISTLSGISNK NGAPIELKPGELPFDGMVYRLQGQKEPIYGSKILLADLAGSEKISRSGVTGEGLAEAT AINSSLTALGNVVHSLHEGGYVSYRTSNLTRLLKPTFSHPNSRVLLLSQVSPTQMTFD ETISTLHFANKVKAMKVTTSTGMEADKIQFEYVEAGRTYDGLLADLRLFAVEQQTKVG VIRRRCRQNDGLFYAPLPSGRAGTRERRQAFIESIGANKAATEEREAGKVAREREAAA WEEELRRKRKDGAESAAATHAQLLREAKEGLAAEEKAVKHQAMQEVQHAQAAGAAKLQ AEEEASRALLLGRFAQVMSDFCRARLQEAARVEEEISRELNSTRMASSSGGNGSGAAS EVPVEDLEYAVSCWGHSIAKKFYTNCAELRELQLQMCMIGRSCLTMERWKAEHVGTA XP_827685.1 MKVPIGILRYAEEIAASDAINGVAICVDMDDIFRRAVACSRKNV KSAGPFDSSAIPLPPALGQCVGRVITKLYDAVKWLLDMKAKCVALVFGVTDSLEEVAL LMQVYKHVMDLVASLSERCQVYVNRSGTALIGIQALLSNCPKNSVNNKKKRGLLPPWK RSLVCTSDPLGGFMGFNCIVNLLSLCHGKEPSIVKASKLVGRCKLDDAASLPVFALIA SKKVSTTDTTPYHMKKEDRKEIALPINCRKGLLSIELLKDVGLLPSEEYREEETRHLL FQLKAALADLRAECINPPELSTMTKHQYLLPILFHRMHHSTVRLGKRHMHDRFSRARS IIFHTLLTGRLARIIYEDSSCADSNRNDAAAAESQIIRCRVEDPNHIQYIKSPSTRVL KDVLKLKVGDSEEMTSAITAKELEDIRRIPEHLQLYAAALKILYRTGILTEMVCRAVC RAIVSVTHEKYDIYNDDMHHKNNRNDESIVDEEKNKRPSPQEIYDGLQELHVCWIYAA LANDILHPSEIKPNLSPTPLVRYTDASKEEEDLKYLTSVLFV XP_827686.1 MPPTLPKDSEEAKAVVREVRQKPDNKVCFDCPQKNPSWCSVTYG IFLCMDCCGRHRGMGVHISFMRSADLDAWKPEEALRMALGGNAAAAAFFRQNGSTGDP RQRYTSQAAQMYKRQLDRLVYNCISGSNGTPNELVGSTGEEVEVTRVTPSSPKRQQLE KEDEMKISSPVAQPSVVAISTKTGVKQRTGGGLKKKGLGGAMKVEGELTETMQPVPRS LICDVVESDESHNHNYNYNYSYYRNKKDSDHNNNNNDDDDNNNNNNNNSNISSDIARL RGCMSNHNHNHNSDSTTDGAWKRGIDAVGNGEGGVEGEGNKNNNKNKSNNYDNRNVTG VLDAYADNCTSRVPDFSGMGSQPYDPREADSDTSNRYFNSVGLQDTLWQVSEAWDSFR EKASRSGERLGNKVKEFLDDL XP_827687.1 MHYLLWLFSIYIYIYIYFFACRRLCVFFLFCSFECDT XP_827688.1 MSITFNNLWLLLTVLCTARIRADFIGEECLDIVSYPSADVVRIL CHVAGQLRGLSQTVSSAVETAAAASSKAFEAKVQAEEAVELAESRGLNVTKAKEAAVR ATHAAEAAALAASNLAFAAANIAAVPWSQPISDSMLHKLALCDHVDEYVREAAKKCTK KAKNVTAQSLNEVLKAFEKLFSEVNALQSLRHNIAEFHKDLKSLEDHVEDIVRAQKNA EDAAAETNKIAAPDHEVGGNKEETPSQQPDTNQDQQANEKPEANQTAGTNTGPLGNSV ASPEGSVLLLMAGLFLGSVL XP_827689.1 MSITFHNLWLLLTVLCTAGIRADASKEECPDKGSYPAAEDVLVL CRVAEQLRGLPDTVSSALVNAATASSKAFEAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANIAAVPWLERRQNVGWQKLAKCIDLDMDMREAARVCRR AADNVTAQSLTAALKDLEKVYTDVSTREALRKETVEFHKELASLEEHVEEAVRAQKRA EDAAAYANQTVGTNTGPVVNSVASPEGSVLLLIAGLFLGSVL XP_827690.1 MSITFHNLWLLLTVLCTAGVRAHHGWTNCYPAGNSPPVEEIRAS CEVAHQLRGLSQTVTSAVETSAAASSKAFEAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANIAAVPWLERRQNTGWEVLTKCINLDDDIKKMASKCKS AAEVVTAESISAALNDLGKTFINEKTREALRKESVEFHKELASLEEHVEEAVRAQKRA EDAAADANQTAGTNTGPVVNSVASPEGSVLLLMAGLFLGSVL XP_827691.1 MSITFHNLWLLLTVLCTAGIRAHGDWRDCYAGRKPPPAGHVRVV CSLAEQLRGLPDTISSALVTAAAASSKAFEAKVQAEEAVELAESRGLNVTKAKEAAVR ATLAAEAAATAASNVEIDAANVTSSRLARRNPNTGFEKVAMCENLDKDLREAAAKCTK KAENVTTQSLSETLHRLENLYTNMSALESLRVEHVEIERFFEMLEEHVEEAVRAQRQA EEAAAEAIKIVAADEEVRGYKKEEINQVAGTKKGHEANQTTGTNTGPVVNSVASPEGS VLLLMAGLFLGSVL XP_827692.1 MSITFNNLWLLLTVLCTAGIRAHHVWNDCFADGSYPPLEGIPAL CGVAEQLRGLPDTISSALVNVATASSKAFEAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANIAAVPWSQRRQDAGLQKVALCDNVDKDVREAAAKCTK KAENVTAESLRAALEALENLVTDVKFQENLRKEDVEFHTELKSLEDHVEEAVRAQRQA EEAAAEAIKIVAADEEVRGYKKEEINQVAGTKKGHEANQTTGTNTGPVVNSVASPEGS VLLLMAGLFLGSVL XP_827693.1 MSITFHNLWLLLTVLCTAGIRADASKEECPDKGSYPAAEDVLVL CRVAEQLRGLPDTVSSALVNAATASSKAFEAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAVSYANAHGTKVGSMTEILWEADEELRELVVCKRMDEETIKAAAECTG TAEVVTAQSLSEALEALANLHYGDENVAETLRRKDVVFHKELKSLEGYVEEAVHAQKQ AEDAAADANQVATGNSVASPEKSVLLLMAGLFLSSVL XP_827694.1 MSITFNNLWLLLTVLCTAGIRAHGDWRDCYAARKPPPAGHVRVV CNLAEQLRGLPDTVSSALVNAAAASSKAFEAKVQAEEAVELAESRGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANVTSSRLARRNPNTGFEKIAMCENLDEDVREAAVKCTK KAENVTTQSLNEALHRLENLYTNMSALESLRVEHVEIERFFEMLEEHVEEAVSAQRQA EDAAAEAIKIAAADEKVRGYKKEEINQTAGPNTGPVVNSVASPEGSVLLLMAGLFLGS VL XP_827695.1 MSITFHNLWLLLTVLCTAGIRADASKEECPDKGSYPAAEDVLVL CHVAEQLRGLPDTVSSALVTAAAASSKAFEAKVQAEEAVELAESRGLNVTKAKEAAVR ATLAAEAAATAVSYANAHGTKVGSMTEILWEADEELRELVVCKRMDEETIKAAAECTG TAEVVTAQSLSEALEALANLHYGDENVAETLRRKDVVFHKELKSLEGYVEEAVHAQKQ AEDAAADANQVATGNSVASPEKSVLLLMAGLFLGSVL XP_827696.1 MSITFHNLWLLLTVLCTAGIRADPSKEECPDLGGYPSADDVLVL CYLAEQLRGLPDTISSALVNAAAASSKAFEAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANISAFSIDFLESANLLLFEVRDAKDVGEDVRIVAQRCT GEANFVTVASLTNALDNVTSLYSVYGKGTASLSMESVALLEELKMLEDGLKKSQVAVS VAADAADQSKLLVDAVEVSGNTAKGAVNNHNTANNESNKATRNKDQDEGRTVGNNDKE FTITSEDYTKKNQGENGDLSSITLTEDSGSSFIAKYPFHLCTIFTFYTLL XP_827697.1 MSITFNNLWLLLTVLCTSGIRAHHVWNDCFADGNHPPVDEIPVL CRVAEQLRGLPDTISSAVETAAAASSKAFEAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANIAAVPWSQRRQDVGLQELALCDNVDKDVREAAAKCTK KADNVTAQSLSAALEALENLYTDVNTRETLRREDVEFHTELKSLEEHVEEAVRAQKQA EDAAADAIKIAGTNTGPVVNSVASPEGSVLLLMAGLFLGSVL XP_827698.1 MSITFHNLWLLLTVLCTAGIRADYIWNNCSVENSHPPLEEIRVL CRVAEQLRGLPETVSSAVETAAAASSKAFEAKVQAEEAVELAESRGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANIAAVPWLQPISDAGLENLSKCEHIDKYVQEAAAECSK KAEHVTAQSLSAALEGLENLFTDVSTREALRKETIEFHTELKSLEEHVEEAVRAQKRA EDAAAYANQTVGTNTGPVGNSVASPEGSVLLLMAGLFLGSVL XP_827699.1 MSITFHNLWLLLTVLCTAGIRADDIWNDCLASSNGPPLKHIEVL CNLAEQLRGLPDTVSSAVISVTEASIKASKAKVQAEEAVELAESKGLNVTKAKEAAVR ATLAAEAAATAASNVEINAANIAELPWSQRRRDAGLQNIEHCKNLDKDVREAAVKCTK KAENVTADSLRAALEGLETLFSDVYILVTLRKEKVEMQEEMQSLEQNAATVLRVLQKA EDAAADANREALGNSVASPEGSVLLLMAGLFLGSVL XP_827700.1 MSITFHNLWLLLTVLCTAGIRGDRVWYDCPEKGVDTSRDDIQAL CRAAEQFRGLSQTVTSAVETSAAASSKAFEAKVQAEEAVELAESRGLNVTKAKEAAVR ATLAAEAAATAASNVEIDAANIAAVPWSQPSSDAGLQKLALCENIDKSLRQLASKCSK RAENVTAQSLSEALEGLRKLHYNDVYVKEILEREDVEFHKEFMWLQHHLREAVRAQKQ AEDAAAEANEIAGTNTGPVGNSVASPEGSVLLLMAGLFLGSVL XP_827701.1 MSITFHNLWLLLTVLCTAGIRADDILNNCSAGRKPPPAVYVTAL CRVAEQLRGLGGTISSSLLTVTSASSKAFKAKVQAEKAVKLAESRGLNVTKAKEAAVR ATLAAEAAATAVSYCITHSTKVGSIAEMLWEVDEELHVFSLCGNKDRDVQDTALKCTD TAEGVTAQSLSEALEGLAKLVFDDNVARKLRQEDTVFQREFMWLQQHMEEAVRAQKQA EDAAADANETAGPNTGPVVNSVASPEGSVLLLMAGLFLGSVL XP_827702.1 MHSCWIGSLLLLVGLMFFSIVCRANGTEVKPLEESAEDICAAMK SLNTVNNTVQNIITTIRNHKAKLIRYLQDVETAFERTSSAAAAAKQYGGTFRTASVAS KIANDAMSNSTRAMLDAETSHNTTLIIEAAANIEAQSARDNATAAAVALQAIWKTTVG TARLQANHTDCVYTPADQMLTSQKLNEHKEKLVVTDSKYNDEHKELKELWARSYLKLF LPLDQLHMLLQKLEWDVATTSEMETTAEKAADAAVAAQEDVEKAAELAAESATESVRA KRYSDRVARGNMKFKTIAGFHEEPLPEVVPRPGAKASAASDPLENEDGAFYVSRGIMP LSQAFFVIAFTI XP_827703.1 MSCLRSISLSVPPHALSTFSRTGMLYVMYVLLLLMPYPLQVHGA PANINVKVLLCTWNTRVPKIFTTAVNAGFNASMESRNWTIADRVKVQVVQSSTSHKTP EEFIKDELSKETDKSGITIVFGPVGDDTTLDSISELQKHEVVAFGPMTGSGEVRRWVR ELYFLRPSPTIETMVLIRHALGHLGVLRLGFMYLQGHHYGEKEYEVALRVMEEMGYQL CGVFVVLNVNDKPAPDKEFNAVFERFAATKPQAVLLFGAPKSDTGRFLRKLVADRRTS GAYVLAPSGAQVFLELMWKRVLLDSQVSPFPGKLLIAGTNPLAKNEQYVAIKRFQEVM REYLKTHTSETGITDPNYFLKHDTDGEMMVYGWAAGEVLSQALSVPEWLKDRTTFMDS LYNQRRYVIADFVIGDFGGDCEGEAAKQGAVCYCNQGGNVVYVREVEDDFSMQTPKDG TVGLAALRCNADSVTLHSPLNGLVIFFGDNTIAVKATAAWLVGALRHDTGSLEYSGQL FLHAFGTTTSDSAKALKEEKKKRTVTAVFGIVTKALMKMTNTLFIDPITIEPQLNKFR RHVIHLSPTVEQQIYVLTRYLSNNSGKEANAIVCAAEAHGIMKVIRKSLEKWGGSLNI SLVRRRGAALTGHLPTSGAVFVFGISAADVDVIERHLAAHSELRVLVLFSEVALLYEK FVTAFNGSAAAPRLVFATNLPHWYDNETSSPTILKFHATVESREKWTPMTLMGFVTGT LMSLALLRVERVNMSTLANFFFVESSVNVDDMRYGVFSDSECGSGETGYGDMCRTNYG ATRISVWSMSRVFNASIELLAEPVTPSLKFLLEWDGLTRAQLVGIIVGSTLFVMLLAA LGVFMHITLRDARDNVSAPMDPTDPVTLIFTDIENSTSQWASHPNVMADAVAAHHSLI RTLIGNYDCYEVKTIGDSFMIASKSATAAVRLARDLQRCFLNYRWGTDSIDNFYRAEE KQVAELNSKAEPPSAQLDPEVYRKLWNGLRIRAGIHTGLCDIRYDEVTKGYDYYGQTA NMAARTESIANGGQVLLTRATYFSLSTAEREQLDVTALGSVPLRGVPEPVEIYQLDAV PGRTFAPLRLDHEAYVADESSDVSHTTFNDCMSISSQLGAGGESVSNVLHALLGTFTI VQRQKELTAICERWRVPLPPWKEAVWNDEYYQEAIHRLAVKVGHIVDVAAVERVGRPT ESSDSSSVILISNPAVDQSTEDLEGDAFECGWEEKGKQ XP_827704.1 MPVTNPFATETLLFVPLYLLLLPLHTHQPHLIKRKKARSADTRM TLCDHSHSIIARMWSNYKSAGASSKFFFKKIKKDPKESLNIRMYAPVHPTRQLMHCAL LLTCVLFPTTNTPLEINTADMFFDLINLSF XP_827705.1 MRSTVIWNKDLARNTTWFYQRCSRPNRRRKQSGKGGQDFYFDSA LYQGGRSSFYEFLGCDFRGWLKCFLTHACVDQESRIAKGCNEIHIFQQLPALQFGGVH DVDGGLGKLNAMTKVNWNFTCYTR XP_827706.1 MQGTMYWVVFLVAVLQGIHLVSGQEEILNEQEFEALCRMINWAE RGLKHIKVTKRVTQEALKIGVRYLEVAGEENAAELAEAQDYSCTKRMEDRKRNCGLYK TFWEEAQRVLNKGVDSGERPERKKNGLREHSAEIRKKAMEVADIYHEIEAKSPSGQVE KIEEQLNKALYGRTHTAEEIREREERSRVCGKTLPNPPNREDQSLAVDLLCLCAMHGS WNGREICCADCTTGRNSEEWNPESNSAHRWEFLKQKCAGVIPSYGSTGERLRDAKQNF MDAVTKITTRRGEQLSKLGEKQNNNVKDCGADTSKSQGICMLYGAVDGVNLPWMKTLE SVEDRIGLLMQESQDKTSKLERIKELSQEIESLIKGDTGRGRQQGRQKRSVDTENSVP ETPADPSDPTQSTTDTNRKKQGERKPISTGNGASKSYGGDEVDSYDSKCDGENSACSD SPAKPTVKSSKSAINCPLGLILLLV XP_827707.1 MQGTMYWVVFLVAALQGIHLVLGQEEILNEQEFEALCRMINWAE RGLKHINLARQVTEEALKIGVRYLEVAGEEEVTELTELQEKACMSNKKTSHEKNCGLY KTFWEESQEALKRTARSQAPHRGRNSLQYHTAEGIKIKVMEVADTYHEVKKEILKLRT DNMEEQLNQALYGVGHFTGEVKGGDSDRSRVCGQNLGNRDATGGWSLAVDLLCLCARH ISWSSVKSVCCEECGTGENSNTWNPALDGALHWEFLKENCPGDNTNHHTEGEQLKSAK KNFMAKVSKIATIPYRTMYKLGDSKNIQTKQCGANIGTGQGICVYYGSDNGANLHWMQ ALERVENDVEVLLQDSKYKSTKLKRIKELSQEIQNLIEGGTPRGRQKRSVDTENSVPE TPADPSDPTQSTTHTNEKKRIVRKPISTGNNASKSYGGDEVDSYDSKCDGENSACSDS PAKPTVKSSKSAINCPLGLILLLV XP_827708.1 MSNLTVSTNKGNYGKAYIVKLWHVNLIKTCQSEERMPFRVIRKQ SHESVIDTITLLQSDTNTSKTQIIIMGASQELPVKVKLLLIIIVRVALDTNAKTVSTV QRPRAQTVKQDESWSTVRL XP_827709.1 MQGTMYWVVFLVAVLQGIHLVSGQDIVNQQEFEALCRMINWAER GLKHIKLAKQVTEEALSIGIRYLEVADADSAAQLGAAEHDACMDKREVREKNCGLYKT FWEESQRLLKEKHERSQAHHRGRNSLQYHTAEEIKSKVMEVADIFHEVKWELLELKVN NMEEQLNQALYGRTYSAEEIREREERSRVCGQSSRSEMIIGGQSLVMDLLCLCAMHSS WKEMRVCCADCTTGENGNTWNPGSNGAPRWKFLKQKCAGATTSYVSVRKTLRGAKQDF MDAVTEISANRRTNLYKLGEKQNKEIKDCGADKNSKEGICVFYRERDGVVFWMKALEN VDNKMEVLLQDRTKKTSKLERIKELSQEIESLIKGDTGRGRQQGRQKRSVDTENSVPE TPADPSDPTQSTTHTNEKKRIVRKPISTGNNASKSYGGDEVDSYDSKCDGENSACSDS PAKPTVKSSKSAINCPLGLILLLV XP_827710.1 MELLWGLLLLPCSLHVTFLEQPRTLFFPCIRPNNKERSLIFFMP SLQAPQRLIPQVFQPIILIPLIIRLPLNTAVHSGGVVFLSHNSDEGFCSTPCFSTPRY HVCVSVCACLSSYSLITFHGFPSPFSYSAAVSASVSPLIQHNKQFFATTMQIYNMPFP TTFPALLSLCSKFEISMLPELIFLCVSPGIIFSSQSSFSYLSVFFQSNTSFRYLH XP_827711.1 MSNLTVSTNKGNYGKAYIVKLWHVNLIKTCQSEERMPFRVIRKQ SHESVIDTITLLQSDTNTSKTQIIIMGASQELPVKVKLLLIIIVRVALDTNAKTVSTV QRPRAQTVKQDESWSTVRL XP_827712.1 MYWVVVLLTVLHSCHRALGKEDIVNQKEFEALCRMINWAEERLE HIKVTKRVTQEALKIGVRYLEVAGEEECRHIAKAQEDSCTKKMEDRKRNCGLYKNFWE EAQKAVKERAFSGEKLERKIDALGEHTVDEIKKKGMYGADIYHDVEAKRPSGNMRKME EHLIKALYGMEYFSEDIKGGDSDRSRVCGQNLRNNKATGGWSLAVDLLCLCATHNSWK GRKKVCCADCSTGENRDEWNPESNEAPRWDFLKQKCAGRHRNRHDMIEKLSEAEENLM KTVTKIGTIPYTHTYVLGTAVNSRIKPCGADINKQQEICVHYGSDNGTKLPWLKALQK VEKEMKLTLKDKQDKSAKLKRMEELNQEIESLIRGDTGRGGQRGRQKRSVNPENSVPE TPADPSDPTQSVPHTNEKKRSVRKPISTGNGATKSYNSEEDDSYEYECEGENSACSDS PAKPTVKNSKSAINCPLGLILLLV XP_827713.1 MELLWGLLLLPCSLHVTFLEQPRTLFFPCIRPNNKERFLIFFMP SLQAPQKLIPQVFQPIILIPLIIRLPLNTAVHSGGVVFLSHNSDEGFRSTPCFSTPRY HVCVSVCACLSSYSLITFHGFPSPFSYSAAVSASVSPLIQHNKQFFATTMQIYNMPFP TTFPALLSLCSKFEISMLPELIFLCVSPGIIFSSQPSFSYLSVFFQSNTSFRYLH XP_827714.1 MSNLTVSTNKGNYGKAYIVKLWHVNLIKTCQSEERMPFRVIRKQ SHESVIDTITLLQSDTNTSKTQIIIMGASQELPVKVKLLLIIIVRVALDTNAKTVSTV QRPRAQTVKQDESWSTVRL XP_827715.1 MRRSMYWVVFLVAALQGIHLVSGQDIVNQKEFEALCRIVNWAEK GLKQITLARQVTQEAQKIGVRYLEVVGEENAAELAKTQEDSCAYKREVGGRNCVLYKT FWQHAQEALKGTARSSVHHRAMNTLEYHTIEKIKEKAMHGADIYHDVGAESLSVKVEK IERKINQALYGMEYFTEEIKPGGDRSRVCGQSSRSEMIIGGNSLAVDFLCLCAMHSSW NGIKVCCTDCTTGENSNEWDPESNGVQRWKFLKQKCADHNPNYYDTEKRLRNAKQNFM DTVTTIHGRGGRTLYKLGKKQSSEVNNCGAGTGRGQGICVLYKGHGEELPWMKALVEV ENEMEVLLQDKHNKSAKLERIKELSQEIEKLIKGDPGRGGQRGRQKRSVNPENSVPET PADPSDPTQSVPHTNEKKRSVRKPISTGNGATKSYNSEEDDSYEYECEGENSACSDSP AKPTVKNSKSAINCPLGLILLLV XP_827716.1 MELLWGLLLLPCSLHVTFLEQPRTLFFPCIRPNNKERFLIFFMP SLQAPQKLIPQVFQPIILIPLIIRLPLNTAVHSGGVVFLSHNSDEGFRSTPCFSTPRY HVCVSVCAAFKISPHYFPWLSFSIFLFSGRECLSFSTHSTQQTVFCDYDANI XP_827717.1 MPRANRPAPPQRNNANQQMAGNVEVAMRRPRDENVPPPPPAAQP PQIRQRTEEGPNWTMNSEVEDVLLEDYAVLRKMTVNDFIQEFVGGTFAVAEAENVRMP IFVKNPRRYIADAEILEDIQGTDEFEEYKELYNQFSEMNGKARYLDEKEIYYLRQWEE KGRGEIREFVGPMARGRLDAAVTAAKRAEKRAAQTAGGAVNLEGVYDSICNATWSYVV SGYDEEPLGMKVFGGRPRRIWTEEEVDVTPEPANVDSEMEERPNGLEIIVLTSERGWP HNRFVLGYSEKCKAVSQHVYIRREIMRVWYIIQQVLKAWWVDRSVVRPPIHVVIGTHG IGKSCGLGSFLFYSLFHFNEGMLDVVAYFLGEVSFLIYNRKDDERGRVVRYEDSGVAV RIINNMKYEKRGHIIIDISGMMQKLLYTQLPSDIWGVTLLTSPNSGHFDEWTTNTGGR QIIMNCDDVCDIKAFMAWKKLSIHAREKVFNKRRYELRKEMEDEWRIVEGRINSIGPL PRYIFGLGCYEWRLKSVHDALETMKKSGEYSYSDIIGDIGVWQNNEVTDKLVKVIRVN GNAGVIESYSCQALSVMIRNMMMN XP_827718.1 MNCIQPSGGKKKSHSSQIKKEEKCYATFTRQESFNSGLEGGNLP KKFKSDTHRVTRCVNINWEKDIASVKKVIGRNLGRKGEEVHSHYHKGENDTILKLPYV TIEHSIKRKALLTHAAAAVAIEHDIDNILLIQLGKHIYTMELPQSTVRYFKCVTAAVR KSVF XP_827719.1 MRVYLYLYIFICIYLLVLALFLFCMFIYICIYIYIYIYIYMCVC VLYVWWSLLAIFNLNFTHLIIVCEFRILTFIYLHSLLLSLFVFFIFIYIYIYILTKKD KYTQRKGKKINKGKSSHVLNEFLLCKFSYAKVLQQFFRF XP_827720.1 MFTCCTNFTPLFSYILFFFAQFHAASVSVQIIIIKIIIITIIII IIMQKAAVLTHIYIYIYRNILDKRNEMVMKTQKTKKKERLNKMLKVADNVKRKS XP_827721.1 MDGNSEDASRRSCVAESYKASHHFFIGTHDRPIFVGESKEDQII PPTTFIYNETPSDSSGGGGAVTHTEVEQTTDICTFQCISSEQQRRTKMLKNYQSFVGT LRDYCKPMGLEYGDDASQIRQTIIGDLLLAMGVSGDGRQKLNASILFPAVIYCFDVWL TEVGFHNDNAEGFVHVDDNKYQKGEDIYGSLSLLCRVSKALNDTPENLIDNSTRILKP GGIILGSTKS XP_827722.1 MTSLTEEHEILIDEPPQFTAAAEVIAVGAEEPTVATPEEFTSAQ EAGAFLQTPVGTPPATPTSVPTTPSPNEMAAKHARKRWRMEQLALQESVKVVESEPIS RRGHSRKAEMSEFAITKAATLNTAPTLLPMSPNIIDTNVTMLGTKRVPLDNFLKVTVL HPKVTPVNLKLEFIDPVLEPVQLSRHQLGNRVTPNEGEGGGMSVKKKRGRKPLVASPS IEEAKAVESGYNAE XP_827723.1 MFAFRTSFNRLRGVGIGTFCVVSGTVSHGCKCRNGLQNDVNAER VKLYFTHFHMCLGEYSVICLCNNSFVCVCVFV XP_827724.1 MQRAATLIYTVIFVAVVLAPYFVAASGQVHGIQYGEAPVSSAPG SVKFAVDESVVTSAVPMVHRVLRQLIGNITVPEQSVQGIKLEEMQVSNITIGNMSLKL KSPNKLLVSVWDMAASVPKTKFYYSPLCWWPLLCPSGTSATDIRGANASFAMDLSARN DGLLNATVRDMMIELGEINVTVAVRGMQLLNNLINGIIDIFKGSIKNELQRIVPGIIN PVVQSKAEEIFRGFPIIYMKDPNITEQRAELLMGIFSDESSSRVVSQDEVLAVDQSLP YRSVSITSSYRAANNVLRLLNNWSMLNVSLLLPERYNSSLIEPMYPELFRLCRGCNFG VSAVLPSPPWLETVSGGAFIFNSRNGNFTLEMVSEDNVSFTVLNMVVNLTANVRHMSI DNNTLDLKLSSMDVVTELGTSLIDGLNSTTLNTDIRRFLDEVGLPLFNADPHGFRLPF NISGLLLSVSNATITVGLDPKPIFPLLNKFVDRLM XP_827725.1 MLTCAAAHALTYFNGIFSLLGPWPPVCGCGGITMLEKKKETMGE TSNGSTGWIAPPPPTHTQGLQKVLRAWRALVTCFPVGTFAHFIVDLVKPARLAAKCHK RVA XP_827726.1 MQGNNLHHTPASTRQPLSNEIITLSPLAYSCFSPLDKKGRYIQL PITFHSQPNMCQKPETSQVQRLFFFCKDKGGTRRHRKHTAFLHTRNRETKGYFISQPN APHWSHEHLKSSNITAFIDC XP_827727.1 MTGVHKERVVRVKYGGMRFSGAKTVQKLLLVTTPMNFKCSTGGG TAQDSCIHYPKKVPRGANNSAWRSVGVLWNSEYTDYVPSNLYSRYLCF XP_827728.1 MSLVKMKERDEKAREEAEKLLNEVIEQSQGKMYKPTAAAGVGVN TQPHNRRMRVETLRSTPDYIFKIILVGDSYVGKTRFLKNLVGAIGFGDQCVTTLSVDV VNHYVIVDGKTVQVLMYDTCGQERFRAMTAQFYRDAHGAIMVYDTTQIGSFDNIEVWF SQLNSFGCENTSKILVGNKCDLPERRAVEIGRARALADKLGVPFIETSAMTGAGVAVA VEALVRMIMRQQPVPLASQWAGSKSGHRNAVNLERPRRENGTGRRSERSQRNDNCCCQ XP_827729.1 MQCLRFVDGKKCSVNTVGASLSAVACVVAFIAFIAEINSEGWQY RGKVWLDAPTSRRVPFRNPRVIFVVVQTRPSPGWCRMLMTAVVTNVSVISIGMGGNYS HTIRANWLLNFLDDEGLHDDDVLVMFDGADTFFTDEINRKEMLDPFIKMSPPLPKFFN QTAIYRGDAWPPMLHMAEPDCYAPQLNITYNPQNESHWDRCARFYAMGLSEAKTFGAE RLLGLPPPVRGHLNSGGIVGRVWAYKEAFNVYLKFRETSSKWWCDQTMWTILFIWSAG NATGVDPKYIIRRGIISLDYDKRYFYYPTAAYNTRAMIGHFTGNPHQWLRYLPKYFTR LPWYRNLAGNSTYRQSVVEALRNTTVITYKYTREKVLKSYEDVCNVEEMTDPDFVVDP LDK XP_827730.1 MFLNIKPLLSTEDEIKKAASFPDDNVPGRAAAPLKTPPSFANTG VHACTCGRRLLTPQTASHNIRYAFLLPLVLFPRRLKPFTPWHRQRPTPIPFQMSASRF PHSCPCFPSQQNPLPSTINQQRNGSFNEQNSFFFGIFLLELAQCRHGEGS XP_827731.1 MGTNALICSLLFLFLRSCCGYLFGGRRSFCGSIPVKRCSNITSP PPPTPHTRFIRVKSSCFPALTRRDYWRSFFCSASNLHAPYWLVSPSTFY XP_827732.1 MDGIDVGVNFTRGPMQNTMRKFPRADDLALEKSPLARREAALIK GMLKHKHICVYFYLFRLTYLYNTSTSYEIAPKLWTAYSNSFSTAQLLWPHCLKKCEVS ALIFERVVPRPF XP_827733.1 MLGFGSVHELLAYITFMCFGMSVMVVSNTVLSFLEFFLQFYLFA AKDGENIKLETEEQKFFWKNVFTYYLATTFIVECLVVSLMLTNFGKRIPITLRLYIGL VFPIILVFSVMMVTIGKTTETGARVTIILIGLINGASTALCSSGAVALAGPFPTKFLS AYVWGVSVCGVITSTFAIVIKASTESNFKRTEDRVASRLTQSRIYFGLVMIMQSISCG LLLLLRKNPYAMKYTADFRYAARKGNAVEGDDAGDDNEPSSLGKGPADQDDDLKADCN AGKSNVMTSTVDPDTMRDTDQVENITNSQQMLKASALSVFRRVWPMLAVCFIAFFTAF LIYPGVFFAVKLGPDDNGWYMVIIPMMFNLGDFVARLFVQFKTLHASPLFVVIGTFAR LLLVIPIVLCAYSVIKGTTFPYILCFLWSLTYGYVGGLAGVYAPRTGSLTTAGERSLA ANWAVSSLLFGIFAGCMCALGVNSALPKDESQ XP_827734.1 MYAYVFCCIAFPVLDGIQCSKTTYGNTLHRFTVFWDGGQILSCK NIRIKSIITISPRVPLWNCV XP_827735.1 MDAIDLTPFTQTHLRMGRDWSDVSGSLMQLGAAYFIFSWSDVAY VMELKYGIRLMSPAECQSQLFCLFSAQGVSNSQRRAGNPAATAPPLSG XP_827736.1 MFIKNTKMKEDSRATSISRYSSGHKITTYDKSLSPMHLIESSTV PTRQLQAQKRGKWHKAEDAPVQQPQTTVTYAMQHKKASPSLMEQRTLLVQTNIGRKKK KQCTNSIIHTKQRPNTKCKTYIKPPKLMAG XP_827737.1 MLYVSAGEDGAHGTWIGHLETTRPYVDEEKWPTMPRPKSPRATL HPLKEVLKHAHQTTKSERTRIERLKAAVLAERCSAEESAYSLPPRNRPRKDKLRCRS XP_827738.1 MFVRHFKEVSSEVLVYKEHDVGGRSGRKEKGEGSSQLNKKRDLE TVHSFTSASYTANAGKGKDTNGSCGAAYRPIQEITAASPQFLKLLNQIKQFPSPKAPA HKERTRLQQQMTTIHHTILHFTIRKKCSTAFTMLQYYQTKNDIANMLT XP_827739.1 MLCHIQHTSVIITTFDENTSKLLHSFSIRSSYQFLFFVFLHILK NRIRHHINNHIPKHYLRSGLYLFPHEIRSIFIFGVISFHTVLFSLRLFYSILSFILFC VIYLRQTMYRFHVTYTPHSAPTSYQ XP_827740.1 MISRKTYLWFKFEVETLKPTNPYKMKADDDIFMRAPLYLKYLEV LPREKLNMGRAMGHVDDSIWRMRWHAVGYANTLSRDVVEEIVGYSGLCKLLFERISFR NFEKYIDYGALNEDIMVGEVIRNKLKIEGLLTVDMQDCHYAMNVQRPLDPFVEDNPNL IVLHHIANDEYRWLMLHCQALAPHNQQPPSIFQITAFWHEFTC XP_827741.1 MRLISLEVAAFIVLRSTKPANIADAANKAEHAALCNFISMAGRV IAVPTVQPLDTENYAYIQQLNFALGDQQWQEKFYKNSDRKGVKDTAAEAGITGEGAAD MWADLKKAAEALKSDSQNPLLKELEEMKLTAAARRLAKAELESLTNESREIKKMYPDP PKNVDYENNNPKSKLLAALLGAGNADVGTATGQKAVGAAATATRQATCTAGEKTTARP ASALAMLACVCQSSGTAVTNFICTEKAKDSNAWTGNAYPHDTGLRHIGKSCPKARDDP VTTTELETALNNLLALIHTDGTHEYLGAYVQTGCDGTSPNGICVQFPDLATSAPEVAT RDTWLATVAEIIQNLKILENNQAKAEYVNNQIKDKKHRALAALRRSKAIAGAIQVPTP QTAQKPKIGVNTRCEAHNKSKAACLGAKCKWGGQKDDDGPCSPSEEQAAEEEKETAGE AVTGTATEKCKGKLEPECTKAPEFKWENSACKDSIFLVNKKFALSMDAAFLSLVVFRY FIILAF XP_827742.1 MTEGKLIAKAIYLVTLSTVIATAEADPAAEANSATTDFCTLLAY TNSVVDTLKLWLTAPAKPTKDLEEQVRLLSLAEAKHYGTPSGIAYSTLRAISQDRLEK QIKKQDAATATIAAALKVFAAKAAETKVLGAATEQAELKSWTHATDGGSGKVLTTGSG TSTKICKATKQAPVTYTKECKNSGGDLQKAQQIGGALKTAKKLKIYSDTALSLGSIEI QFEAVGNLGTSNSWTAGTGNTHCQQHSGAASAAESAAAGTALFSVKVTNKITPAEITL AQAASAPLNRNLEASGDNKKLLTADKDIAEALVAAQAVVFESERPLKDEKLATLTGTS AAQAMYAALAAKQGKTSTTGPTSDEVSKLLFNKGDTASVSDFLTTLNSDTNSIADGDK PITGSTQSIAAGANFRKAMTYFYAMNLKKSTKASGSEKPEGDAKKNAADKKEEKKDGD NKTTAADFTGTEEDKCDKTKCDWNKEKNECKVKEGAVVISAVINAPLLLAFLILA XP_827743.1 MISWLARTAIFLRLTVPAMHAATNPGDNAAEFKALCAVIKLAKI QPSDLQPGTEAEKALKEIEVLNMSVADEKWQKQYGDGTEKFAWSTFESKLGDTDPKKH WKENWQNWLDTKKRLEAAKKTDEWKHTHPAPASSEARQVASKQIAALTAAATKLYQDF KTKADNLNTADREAVTSELAKALHGADKVTAEGQVTPHKTPDGVRSGTSCNAAASGQR LLTDLMCICAGQTQLTSKACTTTPLSTGWTGGFGNAATQQAELEAKCGTGYPADLTAE NIENAVHGLEILVGRHSGTNNKGGYLGKDAGANCDGTDGNICADYTNYFKDAANTADA ELPWAKHTRLAATALINRAKNFRAAEGLAERIETIKIQTESTFQLTQFTFPTQKAEAA EEELTTSKNKDCNNHKTNSTCTENKCKWEGTSETVGECKPKDGENKTNAAGTEGAAGA TNSEAKKCSEKKKQEDCKDGCKWEGTECKDSSILENKQFALSMVSSAFVSLIF XP_827744.1 MIVFKTQYIPSFFFPFLTSSFISFAPHSFNKTPCFLFPVFLSFS PSHQFTYFYCTFAIFEEVHFFCSFILHHHFYYNIRIYTLKTLSIFIIITLTIITLTNV HKIRKIKQITL XP_827745.1 MSVWNDILWHFWLSAGRNSDKYKNTGTSVGWGSAPLAAETKVKG WRHRGESSKHTGTVIMLVIASLEARVQTAKEGKTVEHLLGAEAATLNSGATSNLCIKY TKYYAATREMWG XP_827746.1 MWSFTTRTGDVSGFDASMDLTKFQLFVSVTDIFDTFFFHRCRDF SARTFLLLYIREPLCAFNLYILLLLCQYGQFSCPKRWRFFLTFLCFFFVAVRMPLATA SFIGSTFFLDFLNFYTIVTLYTFLNFRQFALLQT XP_827747.1 MRINTPIQQTMTRRLAPSMLIFIALVCSAMRCEAAGPDQSKNAA ELTALCEFIKLTEADMSALSDEEIDTNDLTIMEALNMTLADPNWSKQFSDDASKPTDE PVPCKGKAATDPCKSHWKKWETAKVKAKDNNIRPDKLKLEEVKLTSSYGRSAALQVAS LLEEAEKLKKNWETNHKAHVTGLKAAVTTLLNKAAYNVDPVPAQSNHKCNVKVDTSRQ KTCELPKAGEAICGALVCICAKGSTQSKDICGDSSTPNLSDWSSGNMKTHYATYDSIC KKNKQIKLTAEAITKRLAALKRLYRDVGNAGGRAVILGTKTDDNTCKDHAGTACIDLT KATASTSEASATEIGWEQKLQEAVDKLNAAEQAKAHRDTTQRQITSMRRQVEAIFKQV AIAPAGTLTAATQPGIAPAQPSITTAGPRLCETFNGNESGCTGAECNYDKVKSECKPK AVEGTTGKARTGEATTEKCKGKPEKDCKDGCKWEGTECKDSSFLLKKQFAPIVSDFVD L XP_827748.1 MFSTPNTAPCSLQASRNNTRRNTRQKMTLSCLLLAALAIMLPLS AEKAAAAAGDSLKAAAWQPVCQLAEALNKVPSNSKLRLRALITTTQGQRLAAAKLGVY ALLAEEAQESKAAVVLAAWYSRLADLNDNLLKTTLVDTTIDAVASSIYVKGRADEALN ILAQAKTTSNGCLLTDDSGTLAAHGPAKIGETPCSRKLEPPVLDTYENLDEVMDANGW KSSHATTAKADLAGSGGDKTCKLLTVDGAGFGAAKLDADLELGGGLWVVNKDDKQIKT VEIKKGSSTATKTGNMYQHAWHKLQALDSAEQETKPNATEGAEATSELQAAITNTLHN GQEGAAGQPETAVLAVFPKPTGTSINNLLHRANEAQLPEKIAGVNAKTKLRSIVDTHK FQQIEGALILQLKNENTKLKTSKSDEQKDDESTKNQIHCNSIAEVEKCNADPKCSYET KTDGTKKCKFNSTKAKEKGIPVTQTQTGGTTGDGVKCSDHKDQSTCEKANEGKTTKVC GWRSGKDNEDEKDKVKCRDSSFLLSKQFTLSVVSAAFVALLF XP_827749.1 MALLQSSGIRTAILIAVCGALSNRSAAHTSKHPLLATEIKPLCG LSGQLKTTALYVKQKLNQQATYTDSITQLARKLVVYSKTRTDDDDARIALALATEAQK LQEAATRKLLTMASKGTVLAVQAGLAAGIIDDFIALVAGVTPGGTTNNNCIINKDALS GTATFTTIAEQLPGCETTEIVTTEPPAKPILRGQDFTGFNNIKTLGNAATYSQTCCKL LQHASDADLTGAGYTGNKLLVAGGAIHVTSNNIQIAKLTNLSSAADQSVFENTQKKLK DFDVHKADDPATTHVALYTAALTSEAVKAATDIKLQKKRRTNQEINADSALESKVKGI IGSDADSFKNTYLDKVNKETIKLPKEWELELSYNKLENLETDAQYETILLYLSKVSQN NREQACTAVRQEQKQQETDETCEKKGTEDNYKDGCKWDGEGDNKKCVKDLDYKPKQVE EKDDGIKEEKCAGKQQEDCKSMDCKWKGENYKYSSFLVDKKMALSMDTAFKGLVEFSN FKNLFSIL XP_827750.1 MHTALICAVLLTMAMVLRQQAQGAVKDNEHGGDCAALCGLIQFA EPNTPAISLPQEITEIADELLAVNLSMSEAALQQLVTKHKDKSWDDIPASDKPAAQPY KEHWQHWQKLAADPKPETVKFKLEQFRKVSQNTMAKNKFHLIADAALELATEAKMLLQ KKTKTDIDTKLTKALNGNNAPTNTFTFSGKTRSQLCGTGTTTPGEQAGKALLVDLLCI CAGANTDTTAGKSCCKGCETNPNHDEWQPATNAKLRAEHIAKQCPTTRATADKSTTKL HHLLGDFYTQVNTAKGTGQEMKYALGTVGGNGNDGCTGKVGSTNNGRCVKYTDNSILK GTPQLDWVQNLIDAAADYDARTDNMNKIQRIADKMEVLNKTATALLWEQQPVPILQTK AATTTTHQTAEETKQECKAITKAADCNSNGNCKWTKPDVKTGTHCELNTTTSEQAAQT GGGAAGATTTVKCSDYGTKDKCEEVNKGKDKPVCGWRIGKDNEDDKGTEKCRDSSILP NKHFALSVVSAAFAALLF XP_827751.1 MWCLCGFSIIFTRSRLFFYFLLDFLTQSEHFTLDGFWVKNVPLG VERLCSLGVSGRLCSRSLISDLYNRHFTNSFPFLYLLFENKSFGSPKLQRASVKKCRV QCHRPRSIFF XP_827752.1 MVFCRLLKLASTNTSHSLNFQLLACADSNQYSLSNYELHSLAAI STATSSHCCVKYKSPFVFPVLVYPVVTCCEFFWFILYFLYFHFVFYCFFCG XP_827753.1 MCYSDSYQVFYSMLSFHSLSSVVASAYNMATPLRLHTGPFSYLF FTIYLLVYDYAYCHARVVKLVRSIILSLSVCLYSVLSTIFLMCFVSLTLTILLTRWHL LHSWHFLILFMKPIWFSQTFFYINSVQGRKNIQSLLSLSLLLLSLLLILLLLLL XP_827754.1 MTQTSKRRPVLYQMLLAILSLISTSAPTMGTQEALKAKGFDDSC KIANELMKYGSYAETITKTLTSHLFEVETILHDIKKLMKSGTLARNDDNINLLMHTRT TVDEAKAAVRTHLAAAIDAGNEGAFVAGAILETTHLFFAANNGGSNYCMSNDGAGGRA AINDIPDCKDRDAVRRTFKKEAVATLTGAQHALTNFGTGGDGSKGVDATKSCRLSATD GTNGVMGAGGGTAPTIYLMAGLLKLTGSVPAATSWSTGHPLETNNPITKVKALKPTCT ASLDAATAALGQLTTLGSADPNPTFKAITTTEAKLGLGDTEQTVEISVPTLKAAHKAI KKLRGDAVTASGKSTETTKRAQLTQEITPPPPPTECNPITTEGGCNEIKIEADCNATA ACSFNKTETDENKKCKLDTEKAKKKSVSVTQAQTGGPETTTEKCKDNKSEAVCKDGCK WEGTECKDSSILVNKKIALIVSSFVGFVEF XP_827755.1 MKNRQGEHSPLRETLITKTLPMPYSTIPTLLLIFNAATTCTANK ANDPAAEAATDVCKEHAYLTRLSQHIQGKLNDIKATIRLQKTEARKWRMAAAKAQEQG QKCLLTALELTISDALEANELELERVRKTVNSATTAIKQQQGVIAAALAVSAVHAKMN SGSVHSSTGGGSPYTATLKLVKAKSEDLCKLETDEAKETFGGETPEPQDLFKLDLTDK SKVNKANQLDGIKITAEGGCTTQNSQSATGALNACSFSGASGAVEITKAKPPASAYRT ATHFFKSNEDKTACHSDITAADAANEPLKRRAKAICEGVKLTPNTTKISEYSGKSLSG SHAIRTYVRNCWPAFHNIDDPTDNSKAKELIKWIEKGYGETADNFNDKFKSLLEGEST PIRDKGEVESKKITELTSSTEKTNQAVSLMEGIRIKRELEAEKKNIPKAIDTKKPEEC KGEKDETKCNNKDGCEYKDGECKVKATTAATGTDGKATNTTVSNSFVINKAPLLLAFL IL XP_827756.1 MHDNDPHRISLLQALNILSLFITRPQLLTMNSFHFSSDTFLYYF HHYCSYYIIIIHIHSQQSPIIIITIIIIIVSIITLSDIYKIYQIVRSLIFHILTH XP_827757.1 MGCVSGRNKGSVCCVWVFLSLSSSFPVRASRRWRWKWGAPTRLE TRTKESNRRAREEYVCENSFLVLKGRCDFAPVACAISLFCVFAQRRPAPRGLRASVPV WTRKVVSYA XP_827758.1 MNYWIAATGALALAAAITAQGTTLLHNPKAQVTTTCGASHYMRH LTRQAESALERGLTNAKGALEKSNKLRVVAAYKTGGAATAASILAANLASETAKALET IKTETSNFLEGFTAAAELAGQQTIVSEIKSAQVQDVNTLTAAQAVTTPGIIQVKPTLA PAATAACFNDDGSPVSDAEAAQPNQGEPTLKFFVVSANTPGTTHNELLTIWGHGSTGT APSTGCQNEATFIGIKGGDFLKTAAVTTTRLASSAGKTYPAITSTTTIPNDKTLNKAV TAIRKLETAVEALDAISDVSSPEDVAARPELKEAIAKALDGDKATYANSATKAKVDSF MAETFGKEPTIVKNTIVKDLKDLKPPKSAVGGNGENKLETINDPKELANAATYYTVKK FVDEQEQKKKNQANRSCPTNTDKTTEPAKSADECKKHTTAKDCKKEAGCDFDDKKPEG ERCFPKPETEKKDDKSFSSNVRVSVPHVFAAFAALLF XP_827759.1 MSFHSCVVVLKTVSVCSVCLFGWLVQGCAWAVLVIHSYWLFGTP VVPRHRRFIYVLLSAQVLSFRQRAINRATLYEAGTNLYSSATMQKTVILKVQFPSFSV I XP_827760.1 MAKKVLGFAQLATLIVVLSPSSIYANVGPGDNAAEFTTLCQLIS LAEGAAQPPAATTAPSAEYDELMRLNMTLSDEAWHDMFIKSKADKTWHDAIPATAKDP GGWAEAWPTWRKAAEELTQEDKMANIKSLNVNAANPKQKAMIITEIRKMADAAQELMK KRHTLDKSIEKPPQEYAAALKTLAYGDKTKNRKTVTAADAFKGGSTSFSTDCGGAAAS NKIKTVAATITCLCHKANTQNEDQVCGRTVKITTNTWTVNGAIGSAGVITEPLGFCSV EQGTDLTSSEITAILRQLKSLIKVTSNDGILGASDNGSCDADKTHGICIKLTNWAADG KVDITKLEWAKQAVELAKELSRREHAAASAAEIDSAIRNLHRQAFRTTLYTAHIQHNP HQTATPLDSTSKNDKGMEDKKKECEKHKNNKTSCTNAKCIWEGKDGKSDTEGECKPKD GGKGTAQTNAGTGDGTAGTGPNCASQTKKEDCEKENVGKTTPVCGWRIGKDNEPDKDK EMCRNGSFLVNKQFALSVVSAAFVALLF XP_827761.1 MPPLQSCQEACSSPHVSKHFDLLYLSLYFAIQACRTTSTSSWSH WQRQVSPHATFKSDVEDAAATFLQILSENSIVPPMKLMLNMCRYTQTHSCHLTKDRMK LNLKEGPVIFVMPFSGFNDFSKCG XP_827762.1 MGINLCVSLYSLFSEGESISLEIVEDEYIKLVSKDVRKIWKTED YFVVSGIPSIDNDERFRRKNLQGETCWSYSEVARKSNNFSGKFLIVYALSPHTNNNCE LREIVKEEAELYQDIIILSVCNVNPTASKKVGGLRNWVWEEDLTMSRKTYL XP_827763.1 MPYMHPGENFPWLAGLVIHIKIKRNTQFMKRIKKERELKKGKET KFPVPKRKWAQSIHVSKYFKRAYCVRTKKKELYY XP_827764.1 MTTLHAALFVTAVLKATPITKGTGLHNHETGLNTSYDTATYLHK IADNAKNTISIKLSELKAATAPTNKLFLLSGSLRQLLTMAAQLVAQKMLTDVQTAAQE IANQITDILQGIAAVSRLAVTQETFESLNHFTVADKNVRTANTPITGSHLKIDVTLSD PQH XP_827765.1 MCGLFEVAKRQAIEGGGQKVLCRPRSIYLRFSERVNANIQTKCV ESASEKNTKQELQLKSQAFTHLIRFYRHTLVFL XP_827766.1 MKETVNNLAVTRTLSFIQHKVRLRAKNDLQPPCLILILTNYISM RPRTSAMATECALRQKGLNRGPKMKQ XP_827767.1 MSQIYEYEHEAAAIDDKQSKKGGYVTNRSQNSISPCCRKQVPWA NKENGLEKTNTGVLDRRCVCFMETKGLNKNDTTPR XP_827768.1 MDYKDCYGYLAALTFVVSLCSGAPLTDNDPVAEQISDLCKEKFY VETLIQNLKQRVQSTAASIKDMEKLKATWEKAAAATSDAAKKCLFSALEHKADAELLR ELPKIEEATEAVTTAQIALSEHVGMLGATITLAKTKLDSGSSNHGNAGTGSIRIALSR TTATTDLCNEPATISDIKPGVSEIQPDKLFKLKLTKPTDLHKHMFVDWLTIGGLTSCT AHTSYDQNFDAALSGCNYKTAATAEAKQATTKPTYGTTEVTLFKNNDPEQQCEVTDLP AGGAADKHKKLQHSLCRALQLGNVNGRSLRQLNGAALQSDHVVANTIRNCDPVFQKLT TATDGEGTTELKKYIKEAYGSSASEFAEKFITNAEKLQMTLRLNDKIETKDLSAVSPG EQTAAALSHIQGLHNKRELEAGKKSTSAAAVDPQKSEDCKGEKDETKCNKKDGCEFKD GECLAKVTTAAGTDGKTNTTGSNSFVIHKTPLLHVCLLL XP_827769.1 MQRLALALLVIIGPKLAAGENMVYGENRAEHAALCAFIRMADRA VAVPTVEPLDKDDYNYIQELNFTLSPAEWQAKFYKEAERKTVHDNADSAGIKDADEAE FWDDWKAAAEALKQGEDNQKVKQAVTPELTKTAKQLAAVQLAAIALEVKQLLKRYPNV NPEAAKYQSASPTAAIMAAALGQGDAKATNIDASKPFSATVSGNRQTVCEVKTSGVRP ATALATLTCICHKDNGNPVTDGACTEKAEASTAWTSSATPPDSSDYQKLAKSCGAPPQ EPITTTELEHAIATVRALIHTDGTNGYLGAFRGTDCSGASNSGMCIKFTGLVASPEKE NELQWLTTIKTIATNLKHLEQAKAAAATAAISHSRALAAAMDKLSTKFSDSVETAINV RCETHNKSKTECLGAKCKWGGKKEDDGPCTPTEDQVAEQPARSTETEGAPKEGAAATG CARHGDDKTACENDKTGDKQNCAWRIGKDNEDDKEKEKFRSSSFLLNKQLALSMVAEF VSLIASQNLKIIRVLLIFMKIMNIS XP_827770.1 MGQVDVCDDKNTQDRKQQTTKYNILWKIEVRKSTAEKKMIQEKM IL XP_827771.1 MTCRSSHTFHMKNSYEGSLRAIEPYAVCVSAPLSDRSLSSHLLE YRARFLFLSFLSWSSSFVCDESELVITLFMVQGINPYLVVVYSCVIDPWGLLTSLSES ASSTLTHLWRVSHVLLQKPLFHLSKTQSCGSLSYLFLFSPPVFFFACNLVISFVTFLI FIGFGSE XP_827772.1 MCKSMTVEVFEFGTANTRFPFLSPSIAHSLSYSHLPMQLFEVIL FFLTFRFVQPPPLL XP_827773.1 MTVQACNSVSGTTIRSGMKSVATALLFLCVVRPAGASDGTAVAA VSDECGEIAYLQHLKSHDSRVAGDAAQSVATLTHEAHILTLAAEKHHGTINGAKYAFL AAIAADAKTEAVQTKLSQAAGPITSTAAERARRKGQLKALRHLNQATTAEYGETAVKA GTPTTIIGSADLGVTVKMQLNTAKADKCFLEATEPADIKNIVSEIANNDNYKGVDDSS FRPPQAELQMASRGNSPASMTNCERGRCCGDGAAMTSTNFLGLGTATKGQANTLTAST EPFKAGNQCTSPKKATSGDEAVINRKRTAHYLCNARQIQTQTTSSIKQTTVGQLRASE KANRLALAALGQTPKEGDNEQGKNAVKKLLGTDDSKTLDKFFSALETVDLQLSQDKGA SKTSIKAAGESDNYGFALAYFSGQALKRTEEAAKSVTKATQAKEDDCTGKKRTECKGE CELDGEICKPKKKEDGENKEKTGTTNTTGSNSFVIKAPILLALSLRA XP_827774.1 MRFCVIDACFCTLRFNTGVKSTVGNIISARETPFASEIRSIISP ASFSVAAFNSFVILLLQRCPHSATWAALLYAIVTLIDNLNYPTFTTPLGIFVKKLMAS NFAMLMISVILESFI XP_822273.1 MGQPKIKVDLRYILALLVTVQASPAADLHDTKLKIENECDAAEH LTLMLTKIHSTLDNQEQQLRTARQYLWQTVAAVATEAANGNPKYIPILAAQTQLLAAA EKKTANFAKPLRATAAGLAQLIGAQTSLAEITDLKLSAQTPAAAAAFFTATTKAIPAQ ALNNAKPKCKAKYENKPRDAATTPRNYAETTTVKFYHLEALTADNGALKTPKLCAKAG SAPAADCSDGEIGASAQLAVAGGNLLKTTAVEYSSKNAEKGQFKATTNNNALAPPKDF VQAALEGLHQAPEQLSNLNFRAESLRAGSITEEQAFKKAVALAYIPGADLSKLHELND KISAVIKSEYTDDSGSLTAKIWEPLGRVTLNKAETATDTTQTLKDDVQIEALGTAVAY RLIAAVQAKATSSGKKTEEDLSKKADSADKTGESKKQGDNKTTTNTTGSNSFVINKAP LLLALLLS XP_822274.1 MRVVILEWTILILLIVGAYGDNDHALVADYEGDAPLSETVCYLR CLSDALNKLYTDGEKKLLVNEEVYANVSRILDSMEAKTGESVKYLSVISGAMVGESEK LEKLISYGNTMGDLVAKVGGLFAEVNESVRTVRKEIPDALIKANKYYTSIAEIVRTVW DDLKAIPTSIDPKCENGEFDGVKEFEVKCGDSSCPLRNGVSEDALKHYKRGRIEVNVL NGSVSRCLNLQRKNLYKNGAEKHSSEVLKWPQDDATFFQLKLEVQSMFGPLIVSFAAG RTTSALLEMVENITSLRSRFKEIHSDFTSLLLNPNSTDNVNSTDSTI XP_822275.1 MFYLVTSHHVLQRDYIYYYIFSFSHRMSTSERRRYGFQRTSKEI RNERKLNKMQKWSEKLDSCDVVKLRTELRSMEKADFLLPRQKERKTLIERMIRDAELR KCVEGTAPISCEQRPNEDTQDNSSCSSRCDDIILSPRLGVGDGVVVTTPDHFIPRSLR KRCGGNNSQEGLKKRVKRAEEHLIANNSLEEDIDDFFDSL XP_822276.1 MALVRRIGSSLLSHKEYSRFLDNRKWLEGALEGFSIENVLGISQ LSSRPSVLMYGSCVSGTAFSNADADYAVLFLTQGNTEESSMANMLNYTHSKFIEVKRE HHQRVLLAILEHIRVSFCSTVLKCEQIYSARVPFIRLFKSSANNTEGSHLDVSLSFDG PRNSLLLRLYMEGDPRLRCGVLCAKKWCRSQGILDARRGWISAYALTVMYIFYMQVTK RAVRIIDESEVNNILYCMSKQMLEGVNECFPFVGDVCSCSDVDIQNVLSDLHGFFHFF GGSMCFDFDTDVVDIRKNDKLVSKESWLEGINHFDEKTRWNLLGYETIMIRDPYEDHN LGRSVDFFRGERIREVFRLASETKIEDVLNELAKQGRLSSV XP_822277.1 MVSKKLGEAQRKEDFCIPLEGQHTDCALSPEEWPLLLKNYDRLN VRSSHFTVLDCGWSPLRRPLSQYVKYGMINLDKPSNPSSHEVVSWIKRILKCEKTGHA GTLDPKVTGALIICIDRATRLVKSQQNAGKTYIGVLRLHDTVSEKKVVASLQRLTGPC FQRPPLIAAVKRQLRIRNIYSNQLIEYDKHRHLAVFETHCEAGTYIRTLCVHLGLILG VGGHMEELRRIRTGVISEDDHISTMHDVLDAQWLYDNEKDETYLRRVILPCEYLLTNY KRVVVKDSAVNAVCYGAKLMIPGLARFDNGIERDDVIVLMTTKGEAIALAYAEMSTSQ MASVDHGIVARSKRVIMDRDTYPRRWGLGPVAVKKRTMMKDGLLDKYGRPQANTPSDW FYVDYGGVSTNAEGVQYGEAPRKNGKRPRSEADDT XP_822278.1 MSGKLRLYKEKLEGYNRFYSIVKTIKMVTLAKYRAAQGRIRTRD FSLRYTELAFSKPQASRDAVAAAKNALVYIPITTNRGSCGALNSNIVRCIDSVVSSKM VLMPVGKRGIDSFSKLFPDEFRYGIINDMKESMHFGYATFVIENAYEVSKDADRYQVI FNRFVSAGVQRNAVYNIPSYEKWKEDLADAGSSDNQKNRYLFANALQNEEEQLIRDFF DFHAALAVLNAVGENELSEQAARLVAVEGQLTNISSLQQRTSSLYNKTRQFGITAALI EILSAMSSLEGNAMKGVRRNKFWEGAVTK XP_822279.1 MKLNVAYPRNGTVKQVEVTDEVLRRVNLGDYRLGNEVDGAIFGE AFRGYTFKLRGGSDKEGFPMVQGVMAPSRVSLLVKRGAVGFNTFRGYQGERRRKSLRG CILGSDIAVLNVTVEKVGEQPIEGVTDVSVPRRLGPKRANKIRKLFNLGRTDDVRKYV IRRKVTKEGKKDRFKAPKIQRLITSTIRARRAKKVRVAIDKVRKSAAERREYLRLVGA RRRAARQRKAARHHSSRVNAQRKEVDAFKARK XP_822280.1 MKTQNLLFAASAAVSAGAAAAGAVSCDEMYPQSAAFFGSMGCAS ALIFANLGSAYGTAKSGVGVAHLGILHADRIMRGIVPVVMAGILGIYGLIVSVIINNN IKTELHAYAAFSGYLHFGAGLAAGLSSLAAGLSIGIAGDASVRAYGKQEKIFVAMILM LIFAEALGLYGLIIALLMNNTANKVNYGPCQ XP_822281.1 MLQAGTKKFLREYGSTCAPLFLALGVCLICCLPAACPKENFIDE NAISVSRVPVITGMLGELHMDTRISQYTRVVRGRRSVGSESIAVYVNAAFESSVVLAN HLIYVLREKENMACDTNFYFFNDTSKDWPIPDSFVRAAIVINVTSFHTNNLCFNVFGA NGMQPNQDLFNLAVAIAEKWRFNIDVLCQNPYISFSTSRPIYEHYFLALQTALVAPLR YQPWYKMRSRGISLLAISTEKSERRTKSSYGYNMAAAHEQLIATLSYLHERFHHSTSV WIPLSVDQYVEYDVIQFATILFVASLLSTCYSIYEVEGFSFSPCAALVSATGLAALVS TLNFGTAGFFVSSGVLTVGLGAFSWDMSWGAINAVVLCLLIILQPVAGLVMGFGATLQ LQFIHVRCRKWHVLLIGAILSWIVLVALTEVMKAKLFDTETTAGVYFSFFLYPNALWI SSRLIRSTLGA XP_822282.1 MAKRTVKMGVMGRYGARYGSNPRKRAKKLEVSQHAKHFCSFCGK FAFRRKAVGIWRCDGCSKTVAGGAYTLSTPNNTTVRSTVRRLRELKQSN XP_822283.1 MFSSKTEYDRGVNTFSPEGRIFQIEYAIEAIKLGSTSLGIQTPD AVIIAAEKRVPSTLVDPSSVNKILEIDHHIGTVLSGMVADARILVDHARVEAQNHRFT YDEPMSVESCALATCDLSVQFGESGGRKKLMSRPFGVSLLIAGVDENGPQLWQTDPSG TYTRYDAQAIGGGAEAAQTVFSERYHRNMTVEEAENLTVQILRQVMEEKLTKTSVEIA IVPVSTGRLQIYDQEQIQRIIDRQAEEN XP_822284.1 MSLLLSGVVDDGKSKPEVEHTHSEREKRVSNAVEFLLDSRVRRT PTSSKVHFLKSKGLSAEEICEAFTKVGQPKTLNEIKRILSERPYVPTGPNSQHMTQPL RDESADSVPTPHPNQSRRHTSLLYAPQAPPLPEAAAATRGVDWRDLVIGAGAAVIGGF AAFKAFQLYSPYEIRLKDEGSKPRSRRSRRGGRHASSDSEAERSLVHREVPALPVPAI PVASESHVDAKQAEIERLKTELKETQEALEAEKKGKAELSITLGKLRGQVTAYSRTNE KQESQIKSLQEEVNRLKSEIERKEDSAKVNANSNVEETLDSKEEGLPSSDTESSNPNG ASSEVARDTQQQDGPAPLSTETDGTVVGGEAA XP_822285.1 MSELTAGGKSRGAENLSGVTCGNVNDFVASTDSDTDTLNATARP VTPQQSPPPQSSRAGPRPNEVVGDNWATNRSGGPPGSNVANSGASGVVSDLQFNSQDR AAEGAVPNLSGGFFSLPLNSQLPPHLAAPKDSNNGLNLTDLPTHTNSINCREEVAVPA RRTKPKSENCLAKLYYSGMARRKRVEERLEILRARDKELRNCTFKPVITDLAKTMERP GAFPYCRSEEAQLRQRALLETWESKIEKECRPTPKISKGSEQIVQRVRSSSACVIPVA DRLYMESARRQCRKQEEEEKGFQQTIPLRRSASDVNALIHRLYELEEKRVATIERKRG ELWLDTKPKRRCENSEQLVERLYRPTPRRRRPCKSEPILFSPQVNETTKELSLRANRR RLEQWHQLWSKVWKGYGEKQERQIICCEHLMILKKIDDVARSSGLTGNCTLNDFCTAM EDYERTHGVQHWRLTPLPLKPHVNEDLTFSPVILPSHRERKGSPPAHQRLFEAAKAKQ LQQREEERKRREEELRQEEKSRAVSRRASRRSSKQLDIKDPAEKGASAWPCSPTESVS STDHSRGYKSVELSSLSPSSSPERMPVGFSPPPVWLTPGLIEVAEDLKQLMDEPRGGW SYAQLNHSRTKVDAPPDATLRPSPSVGPKRSGSNHRPLRAPQKKKKRRPVSELLSDEL LLECAASRCSPFSWNVSARNREHRDSNRRLRNMGKLLYHKM XP_822286.1 MEIHAQVTTAVKKSITFLILFFFLFDQFSAVEVAGMVTQTEMEE RIRVSLEPESCDVVVLSEEDRKYGVVIVSRKFNDVPLVKRHRMVNDLFKDELLSGEIH ALTITAKPLP XP_822287.1 MVKPFVPRNIVKKRTKKFTRHRCELFPQLSSSWRKPRGEDSPVR RRYKGQKAMPNKGYGSDRRTKYITPSGFKNFPVNNVQDLYMLLMQNRKYAGVISHTVG AKARKAIVRKAHELDVRLINGNAKLRRVDV XP_822288.1 MPFVDHNKYKIQREDLPALPHFTDFNDPRFCGTNNKQKNGILAY YQWLHCVGNWGEEHSMCKKMRWYVERMMQEVWLEKWEEKRALGHFDHVLLYGVKPWKD FEPLYQPVKTNRKGAYEFWLDRDFEPLYDADASDFCEKAPILHDIFVKGKKPVYDE XP_822289.1 MSESSYGLTTFSPSGRLVQIEYATTAASKGTTALGVKATDGVVI AAEKKTTSPLADSLTLHKVFALDDHVGCTYSGIGPDCRVLVDAARRACQRYRLTYHEP MPISQLVRQISFLFQEFTQSGGVRPFGCSLLVAGADSRGNHLYQLDPSGTFWTWKATS IGKGSPDARTFLEKRYTNEMEIEDAVHTALLTLKEGFDGRMTAENTQVGRVVEGRFEL LTVEQLKDYLDQI XP_822290.1 MEHVVARVAGVQKPVDMPLRLLKKQQWVRCALDCSHKRVLRKEA FIELCTSNPYTPLCERMSKSEGIKFLQALHNARQVIVVQDYVYINPADVVDAVHVRLE LPNVARRAPVTSRNCSSGQPKDSEVTLRSDMERRRFFWAIVSLLSSAQMSILAYLTFS VYGWSVMEPICYFVTTSTSLCAYAYGLCYKRNCSYEAIDSQLASSDTLVEGKSPSTVC TQPFFMEAVELLRTVQAESDNSGLAEASSSDTKDKA XP_822291.1 MCSSSQGSLSLFLFVLFALKVSFWVYRMWTVQEDEYKNTELSFS GLELSPLSGTEDAAFKPIGVYTKSSQVFVNASTTPLTGTPPNDRCPTATLLPPQRTPG SPAAAGRFFPRSQAANVSVMRVDAPPFEPSSNNLAAAITFVETPTGGKRGGLPFTGPS ERRSPHLMMPIDDTPGRDKDRTTSQYVEWSGHHVNSYHSHNAMRPFTTGGSGNSTVSV ENLRGNVYELAKDQHGCRFLQRLLCDPEADCEIPRTIMSEIVPHVAELMTDQYANFLV QKLFDIMPKDVRYSVACVAAPKIAAIALTPHGTFSVQKMIETISSREELVIIREALSK DVVRLVKDANGNHAIQKVLQLFEPDDKEFVYAAVAVDCITIAKNKQGCCVLQRCLEYA SPAQRSTLVRHILECCLQIAEDPYGNYVLQYVISAGDSKTIDTIAIAFLPHLVQLCMN KFSSNVMEKVLCRVSPLVQEMYVDTMCTPEVAARLIQDDFGNYVLQTALTICTAGQAE ALVSVIRPLMPSIRNTPYAKKLEGKIESAMLKNANGHVPAVGVEMGRLLEMQEAGSEH SPSCHSSDIRAPQNQPLSG XP_822292.1 MSTCFCIALYIFIYTYVQMLLLFFTVFCFSFTALALSFKFLYII YFFFVGTSSFRSVWAYGMDPADPTASECDKISSIVSRLEMNEKRQHELMLQISACEAR IRAIEAHMSRNSDEVPKKSLDSQVNDRMKTDDRKQAVAVTEERICDYCLIFTQCWSCP ACGREWYCSSRCQRLRTYLHGPFCGCQRPAVA XP_822293.1 MEKARQWASELFSADVVEVMRARQALARLMSPRDLLELWRGGQQ DAAAALLNEAEEVGCPEVYASLGESFNQLLGSGCQASIASEFLILDESHIVLRFLLAG VGHEDVMRAGCLRPALIGALAVLPERMAPAVGELFCASLRSEKILRLFDENSPESEDN LRVCEFLAAHTDLPSFIVNATLEAFESDPLLVANYLIVSGIVSRHTELSTQLLGRIYH ELTNGINGFLFPFVCRACAITLNKHEENAGKYAELWGTAVAAQLDRCDPDTRDAIYDL LGAASTTQTGWGAVQSFVKEEDLLHALGAKKLCAGALSFMSVVVQSLFVPDTFFTRQI LTAAWQLRVSQDDTTRERLWEFIVKCLSRESLVFIIFPLCASYLCSSRQEHLVNIRGL QLDAATRLVASGVLPPELTERLQVYISRGLYPPGSVGVETMSH XP_822294.1 MSVRERAVVLIDGPALIHRWYYRWLYTNRNNPVDVKRFAITNAR HTIAIAHSFDPSHLMQHLLSPQVEYTHTPKHNKLIICFDQGDGGRREIYPKYKLNREG REVGEELGVIQKVATKVFLGEPKNTLLIVPHFDSKLKNVNAEADDMISTLAQHTQRIR IPTVIMSHDHDLYQLIDEASRCYYYDIRTKHLISEKGVIERLGVHPKLVRDYKCLAGD PSDNIPGVKGIGKTRALQLLKKYGDLEGVLTKGTKKQGGVVGDLLRAGVEGAELSRKL VELRDCPSVLRVCEFFLKL XP_822295.1 MISVLSPSDFEKAKEFRAFLRNLGLSKSLDLFSEQWDELVKQQK EITTNEERMSEQDKEKSQRVSSAGSTPSVTAARVLRVKHRVVSAVGIEDDLWQPVGHE FLSPAFTTGAGISLEAYEMARQNDAVTLVCTNPYFKEPPPSNLPLEHFDLRVWYESGK TGFEEEKELPISVDSIVAGRYQMLQYLDSAAFSRTVRCMDLHEDREVCLKIIRNSKDF FDQSIDEIKLLKLINSSGDTEENCVVKMYDFFYYKEHMCIVTELLRDNLYRFSKYNRD EETELYFTLPRLQATARQVLTGLRFLHSLGLIHSDLKPENILIQSYSRCAVKIIDFGS SCFLTDNLSSYVQSRCYRAPEVILGCKYDSGIDIWSLGAILAELATGNVLFENNSIPQ MLASICSVCNELPSKLLHEGRNTHHYVTKFGAFYEYCENMDLIFHYPVQGTPLPELFG YDDPLYVDFVRSCLTLDHRQRPTAEELLKHPFIHNDYGSAYSKPPPLKKQVADTNGIK DTSI XP_822296.1 MFSQKPPPPRYVLNVDTGKWSKQVVVPETKPKESMPNIQSTLDL LLYHAMHTAKTQLEEEGKRTRVVPDVAGQIATFEARHNIHANALRSFSLSRRGGCCSN PVVGLLCGIPLYDVYTALTKRKGEEWDTYGGEPDPNKLLRLSLDELFDVCTSLVKPMV NYSYVRDMFTMLPSDGEDDDNSVPLSCFFSFLVENAFHPTLNRNVEALFRAFDPEGTG VISEATLASPVLNAFAELNLFGHLRGEWERMASTLEIVGNIDYRIVDNIRLLTPEATR AVLCSSPILYDAMENVDLDGSKLKVSS XP_822297.1 MEVAAVASAPSAEEVSELRKHTRLSEAQITRLHERFTALDRCGK GLISPSDFQSIASVASNPLLSRVLTVVSSSGDGNISFVDFAKAFAVFLPQTDRQEKLR FTYMMYDIDGDGKISNSDLMEALKMMVGPNLTDVQLQQIVDKTFIEVDFNRDGFITFS DFEKLSLPISLDNAHVLQF XP_822298.1 MLRRSDLLLKKGWTHNPGRTRRGGKNLAWRPKMSERTLEQFVPL HLAFPRRHPNSWQERQFHLLGYVKWPKEIGFYNAGDNFELTPQAAYRIYKQNCDETFW TRLHNEKTIIHLLPLVEQDPGTNMVLVDDIFRHHLKRFGADHYIYNAVMQAAAFAKDF PRCEQLLAEMRGLGLEPNAQSYVNMMLGARLTGKPRDQAEAFFREGIKTGAISAVMRL DTEFQMWMDQLERLGSFKAKVGYLSVNEEGASPMPRDMWALWGWHRTEAKFISRKQMI SEQVQNRVRSGKELVGTVYQKARRQPWAKYNGMFPYDYNGPAQRPAASFVDAPTPTHN AEVCGTAY XP_822299.1 MSVRIRFILTGACPPSYSGKQVQLNFPLEDENGAPTTTAHLKQL IRTQWPENMSEMKEVVEKTEMRVLKTGNLLSDDTQIRSVLTATELSECSINPSAEGSG RADEDQKSVLMHLVFQSNQSQGRQRDGSAGGGRRDNASHDSGCCTML XP_822300.1 MLESFEFDQERKEALNTVAELIASTIEGAESQDVHDVLSSSLHL PHNWQEIVQKRAEQRRKLSATFTLFCEETIATPSTDGNAALDISEAPATCFLPELRPK TMPPVTTSATRGFECPSCGNHWDVVDARKSLRNSNTSSAVRELSNADVGFCPVCEDI XP_822301.1 MTSVGFPCDMNTSSSLTFLANFYFHTKNGVDKNVRTTDRSRMEF WVQRLPTVILQEIFMEVIRSDGFCFAWVGILSSVCRSWYCACQHPVMWAFMAKKVFVA YPLVLRAQAVPEDKTNLRMRSVSNPIIDDADDFGTVSNSRDAMELPTLMTNQSLRRAI EDVRLFDERRSYHTYVRQIRVLVLGMMLSGTLLLFSMFLFVTVCVLEGIKLGGVFTTY AALSFLWATYWGIFTIIIANIVMEAHFEPTPLFPRLRKNKPLILTSTAILLIGLFTLV LPTLLVHINLTREEKFSWMWCGATPLLFLLSWQLYVVLSCFSPSKWGELLRSPHVVLR PMKVVMSLILNIPHAFPFCCAVALYSLLQYIQYGGRTYLLVAVFPLLASLPILSTLLL LDFYMKRQMRDLLTGVSLLLASIFPLSLFWTDFRGFSLLPLAAASLLLFITHFRQVAQ QSMLELVEEIQRNRRPARWRRSHSVRM XP_822302.1 MNESIIQVPLYVSTSAWRKEVQLRGLLRNHPSIIAHSDILPPAT TAKVFSTAQLAAHHRENVLAIGLNPLSTSGACVGLLTMESAEAKLSGMSKMQWLNLPS TEPLSSVEWCEGSLLVGTSRGRIVVADVSPQTVEDSDGELQRRTCLVCSEEQTPVGDI VVPPSKHAVSTLVRCVRSNAAVCSSSVVAVCDSRAMVWDVKGATQPVHTWVPSVDSSK NSPNEQEPLLFAQWVPNSDSVVLTGSYSGSLVFTDIRTKEGSTSKLSLPARRGCMARC ADFNTLLPFALATASSDGIISVFDCRYPSTAVRTIPSLHGDIMSLKYLKLHSDIIATG GVDGSVVLWNLRSLPTYRVGRAQYKCPVFDLITTPWSVEQHVIGVTCGGELTLTGLAQ EAMATLAVPVTERLSKPSGRRQEDKTAANEATELMEREKYGVGLLYSRRLREAYEVIV DCATKRFARKEITMAMRLAGLLDVKMLPKFDYRAKVESFEAEEKCKRELGVAALSQSQ VIVAFEDALVRSSQWLFPSLLSENIRDLQKPAKQDVTKLEALQLNLLLHNNIASGCIG SVVGGLQYFIANGGNISLIDANTACSIAKKLLEVNVAEGQKFVSTLLSLVIEPDGTAV ADALAKRLLIVVQEPLVTAGMPVRQAGRHEELFVSDLLSAKRAVEVQMEIRSLGIENY KGVIAAVNKYQDQCLRNGESGIFGWLAQEPLMLFLNCLTADSNYATFFWACVQLIEAL AACPGMREVEATLFATVNSIHVASNRLRSQLQELASKPRFTPATVREAGKTITTVLDF SVVLLRVQLECENVAHESEMRTVPPVMCRIFDILSSASSDLLKVWETILGVLVACPLR SLVRKCCLTGMRNFALKVEGLLSLSSKKGGDEMLNEILDTCDEFFDRVAEDAD XP_822303.1 MRVASFRASLASFSFHTRFYAAGKPGVPRAGFKAHGDIGDGRND QQQLQSANPYKSREHMNHKWLILMCVGCLAGGSAAGHLTEVDETALKPPFKKSDVLSN ISKTFEFRPDLAAMGVRVAFLLAARRAGLTTDSFDESCAVARGLEDIAGVFQQLGTMY HISTEDAASLVAVAALKFLKAPSESIENAWRWGRNDTDEAPPRRRRNDEKFPVEASGV QSLLKGLCDLTDAECVALLACHSVGEFHEHVSGLDGATHIGSRYKLSNEYYKFLLSNE KRFFDLEVPRTEENKEIRRLPKNFVCVYASTGKRGKKKQCVFNRSEVDAILKNSTWRK LAEYYAENEAAWAEAFQSGFTKMIDSNFKRLRPYDASTD XP_822304.1 MSNRGFTPRAIIPPAAPSSRDKRPAGAPYGKNLNNAKATVPAQQ RVQGLKQNQPANSHPLPCESCRELMKCTQDARQALEKVLQNARMIFLDDTLHHQMDDE RWLRVLRALGGNKREYFTRIPSNCLTATDATL XP_822305.1 MLDSANVSDKEKKIQFLSLLIAAVESATGSKVSANPSKIVAGHE PEQTNELLQLLASCAALPSDKKAAAVKAAKSAGDATKSSSSKGGDDDEKRKRREEEKK KRKEEEGDGEKKKHKEEDGEKKKHKEEDGEKKKHREEDGERKKHKEEDSEKKKRKEGE GEEKKKHKEEDGEKKKRKEEEGDGEKKKHRDEDGEKKKHREDDGERKKRKEEEGDGEK KKHKEEDGEKKKRKEEEGDEKKKHREDESERKKRKEEDGEKKKRKEEEGEKKKRKEED GDGEKKKRRDEDDEERRRRHEERKRQEKKAAEQAQGSAGAEPSEGKGADGTTEGATGQ QQLPTRQLIRKSSAGKAPPRSKASHEVVADDGSSANVAEVIRETKRGAAGEGSKEDGE ENDWMRVAEQQAARPTKDSDGTLQDGEAKGYLGQQALRAKREQEEETARLAQDGGARG PREGGIIIHSNRAGKSGGTMAEGELSKLRQQLQLLTKASNPLGKLLESIHDDIDTMGR ELEMWRSEARSQALAAADARRQTTESLQEVHAQLQALEDAISDQILKNHNIRRNIISN DNAIAGMVRMFVNPDALTR XP_822306.1 MENYTQLRVLGKGSFGSAWLVQRRSDRVKFVAKEVRLAGLRPAE RDSAKREIDLLRTLHHPNITRYVDHFEHRGALYIVMEYADGGDLYSAIRNRRGTRFSE KEILHYFSQLCLAMLHLHEKHILHRDLKTQNVFLTSDGVVKLGDFGISTVLRNTFELK RTVCGTPYYFSPELCLNKPYNNKSDVWALGCILYELTTLTHAFDGNNMKALVQKILKG SYPPIHSSYSTSLSKLISSMLQIDPQRRPSVSEIISSPYIRESLSLLQRVVKDAHNNK GPCQLAGDKERAAAGFKQQEKAQEAPMRAEERRKEEHAKAMHAAKERLQLRMQGEARN RENEEKLRQLKLDQRQAEVEQKIRKQELEARVREQRKLLEKRAKAHALLEKQREEEWE RNMREHAEEVRRGQGEEGCARDNRETNAPNDRPCNRYGDGGRRSPLKVPPSPTAAAEL YREMRRQAALNKQRFMEEMKVEQPPPAVSGQPNGNGKLPPNRGGNALGNPLGNGQQPQ PISPLDDMEARRKAYWDMRREAEENRRRALALEAGPSAVQVEQPEAAPPKESAMPHIV KKPAPQQRPQANDNKPKDVCPLLYAKRTANNEGPPSPQLEPNTPANRVGVYPEVSRAD SPDSGTEVKRDEDYNALQTLIDRALTKKGDRESDEDFNDEAFVDSDISRFVLDGRTLV LPKVQATDPLMHRIESLRLFLEGELGESKLLGAYRQMNDISADDDEAMQRVRDELPEA HHKYIPIIAQLIVCEDAFNRQMLR XP_822307.1 MRCNKALHRYDAVVVGGGLAGCLVAGRLAMDNRRIAVIEEGEDI RRQPRWHRNLACSLLSHRCGGRGYESHTNVTTPQLSHEKENAPAPVMIPTPKVLGGGG VMGGRSWLIGDEADWTATPWDFRGDLLPRVQRLENVEGAGPHRGRRGRFTVGRSHAQS PLFRVFCEAMSKDTSITSDFNKKAFAVRTGCGRTESFVDPNTGVAHTTLQSYLMDAIE LRRPLDVICNARVFGISSGKDDPAIATGVSYTQKGETVHVEADDVILCAGGIGTPLLL AASRGPLPIDQSVGTNFWDAPQVKLQFRTPSSLSHNCFMDPLVQAFLWPNVTYGTPIS SLRSAYDDMICFWSSTGGQTPDVKFIFQPFTLNNDGSQPKNVTHGVQIIAQLLKPKSR GSICADGTINPQYFSHTDDAAVLSKAVARVKELSKVLPFSNLFSELIAEHFESAGVYG GATYPAVAPETFLVKGTRNVYACDESILPSPLLGGSLPFILALGEKFSDHYLQKLETT GKAAASEGIDAKARIIY XP_822308.1 MVDVNGTTILTSTVGGSSQSKCIKNTNDFNNSLTRARKPLGMPQ LDEKQLEVGAPESTALNAPFVMHDGLAAPAPPATMENAAPPIGLGGPVLLVGPGSPYN AGNLFTIDNSQGNAAFVTLCQQPSFHYQQPAPQPTVVDQEVALTHKCLQECPRAVLVA ALTSLLEEFPLLCPIIRQRCEKATMVLPKQQQHQQQQQQQQQQQHQLQHQQQGITGRF AGLTQSAVPWAAHPPTASYDTQRTTLISTGGNSPQTGGSRKGRGNKSREEGICSLHNN VRSMNHLQLNKQKGVYECVSGFHCLEDGCSGSASPASTKVARTPLAPVGVSQVRGGDG RGVASFAAMSGGNLMASSKLSAPVGSIQAPKDQFSDFMANSLDSGLTPFRGDVSLGNS GIVPCDDPQTAEGSETIDLDSSVVTLKSILHSVRMVAEMEE XP_822309.1 MPLMSADGSGELPPVMLHRYPVTLGLPTADPYALAIECMLRIVG AHYKKRDGGLPVTLEVPVDGRNKPAMKRHEGLTSCLQLIESATHQDAEVITSALEPEA LCVRLTAEVVLIPAFVYITHSDAGILRNAIQKAVEPKVASMWQRFRGSYSRHVVQQTS FCRSYKNMGEAIENVERCLRAIEAICSINTAGNGMFILGTERPCSADALIYAAASSFF HADFASRQASGDIIAMQQRLREGCPTLLTYVERLRGLYFEDYSAFYHLRAPTCGMEGE ATQKAAEETFVRGRWKVLAFTGVFSITYFLVANIDVFSAVLLHYLEDDGEEEFLEDEE XP_822310.1 MQGSWSVLKKNCSNFFPGLLAFAQQTQEAYGIWLRIYNRQQKYG PTDFVEQSETFSPDYHKRFHSQDKNMWVDKELCTEVSQKEVARLMTYKLDMWRMAHCA GALLATGGYAIPFGLFWLANDTWVPSSFNLTGEELRAWREAQDLYRYRSAPSYLTDTK WHFDFHAYPWNETQERAWDDLFEKNDVRRDPKVVRPAAEMYDGFIKFELIRRKSLRHL CRSMNIPTFPMLARLCNGTRVRDYWNLAWCEDYMVITQRLHESMTDEELYDYAWRRYL APYDKNLNREQLMERVEDYFEFLGPDFVAHGKAPNLVILTNYVLGYYNDPAYLEGDIS ELDKNDYDHLASWGKDAFLRRLEFENGPLRDQVEAHTQRLLAERAAIAKGDNAAAVEG RHTA XP_822311.1 MGNEPDEARVGGVPEMPKVYEKKRTCTDIVFLCLFFVMLLAFAA LGIIAFVDGEAKDSLNGRDRTGRYCGSGSPPEGFAESIPQGAPFQSKVWGENKYLWYP FPLKQTAMSLNPLLYLSLGLCVQQCPMANERLLERLLKNPSSVTEEEKNTMKVYSYGG SSVVESGMQPVNHAFPVYHTGPILRRCLPTITQPPSVRDLLMTSEYSQRVYAFVLKGV LEVKASWKVFLCAAAACTLLCFIFLFLVRFLISFVVWASIIACFLLLLSGGCICIQLY LNDGHFMNLHLDVTKYSVLLLCVAIGLWIGALVYVFVIIGACPRIRLVCALAKIASRV VDNAPSTVLLPILMNVAILCLLVWSILVALGLYNARRKTGKISFVPVTDAIGINDGSF KGIGETKFYQGVVEGVEHRYALVYLLFGELFAFLWCVSFLNAVSFTSISFVSTFWYFS NLNGGKKRVPFFGVLRAFVWTVFYHAGTLALGSLLIAILQIVRILLVYAAEKAEEAVD RHDLIQCLHCYLQYALLCFENFVSSINKNIYVVVCLTSGSFCTSACTGLSVLKGHSSD LFLISWMVLCVKILGKLFVTVGTVVTSYLLFKHTTLAPGVDSMVMPLILIGIGAYFLS GPFFDVFDSSTLALLICYCYDHHINQSVGIFYVPAELEHQLSDYSQKKKLRRLKNQQA AAHRRG XP_822312.1 MSSGLADFDGDDVRAPVVAAQPHIGVGLGTHSAVALGGFQDFCL KSELANAIRENGFEHPSEVQHQALPQAMLGADILAQAKSGMGKTAVFVFALLEQVEKP TDGQRPFCQAIVIAHARELAYQIEQEFKRFNKYLPHCTTGVFFGGVPEDENIKQLKKE VPAIVVATPGRICSLIERKALDVSRVKWFVVDEFDRCLEDVKMRRDVQTAFLKTPKEK QVMMFSATMTEELRNVAKKFMSNPTEIYVDQRSKLTLHGLAQYYINVTEAQKLRKLCD ILDAVEFNQVIIFTSTVERCEALSRQLQALKFPSKAIHSRMEQAERLVVYESCKTNQA RIIVATDIFGRGVDIDRINLVVQFDMASDADSYLHRVGRAGRFGTKGLTVAFLTEEEK EIKRENRKYTDQGIMKEVQERFEMQVQELTDIATQLNQSQYMNQ XP_822313.1 MNVYGKDQRIHNGSRTKAESWVMHSFTAITGLQASCIAVCLFRM RGRLDNVLQNSYFITSPLQLNPVYHSQSCALEVNEDIAMFRNVTYTITGENVEYFFSR GAFNTRILFFLVAMTTFIALVNHTLLWLDISKIRYHFVVIRKDPLAVFQVSAAVAGIF QNYIVSREHKYMNDYLNTCNAPDRPSLHSVTPFIELYLLFGGTLVTFLINFLIAVILR CQKNPKEELIRENELMQMEKSMTGELPFSGDQLMLSQRSRGDANVSNSSIPNQRLAHS RGPSEGKEFRHVDPLASPTSQLSFARRDSVPASRVSVAREGRLSRGESARRALPSQAS AWPPVSGRRSFTGPVSAPAFDDIIGDSTHR XP_822314.1 MTSKQENYARTPTVDLTVQHEKAYQRQTAVNENIRTASKKHVNR SGHIRYSKKIGLGFATPKAAINGKYIDRKCPFTSNVSIRGRILRGVVHSTKMRRSIVI RRNYLHFIRKYQRYQKRHRNITVHCSPCFDPKPGDEVVVGQCRPLSKTIRYNVLQVVS KSAADKMGKKFSKN XP_822315.1 MAWRYNSNSPPRGNVSANASLVSLSSANNNNRNFTDSADDNADT SALGNNNTFRRDRSAMDGAGAFLRSNAKGKMWSRQEGRDETPDDDVSSRDGTSPCSIS FARPKLAPTESTTRPHRSLIFSRNPNRGVSGAATRSGMGSMSRLSVDSALNTPHRVAS TRCVEGRVTSSSIANWRLPRHSGSPPINTGECNGEMTIISESGDFDEDATGGDPLLGC ADRNLHRATEALLAEADPDLRATDFTPTKHVLQIVVKEDGTECSGAQIDERIAPLCGL QNRLDDMLGLHIQSHKDVFNKAIGRFSDIVLAVSDSNEVLGLLKQRLGMATSSLENRA EQLQVLRMRAAKALLMEESLKRMEAALASEREIKQLVASRHYLAAVRLLRQQKARLES PDMVNIRATQSMRDFANHTLETLHKNVLDGLMGCVFDKDRFSEADKQLMGRMVADGAL ENVAGTLSFMGDTCGALSSQCFTGGGGKNGDSDFVSLDGIVDDPCDEGMVKNHLHFIP LCVKTLKELGKLDQCCEAFRSGATRSIEQCVANFLSLYDTWRRDAESSKCVGNNDDMG STVRILELQGRDVASCTVSHDEAVALIQQRDLCPLLNALFTELERVVRNADYLVRVVL LAYFPFLTDVPPRSEVFDDNFSCHEWDVTQSPLRTKNELRDIVVRTLAEAISRHSEDS VSSETPESRRLAALKRLMAKFDVDKALKGSSSHDAPTSKKGSLRQGSVDDGSIRCESN NAVCLGDVLTSLNAAAFLAVEEFPDGSEEVPACCERLSKAISSAVQDSFRSYMREIYL DWDLCALSSQLSYTVELVFKLICSNDAATSSKSDATAVNLSKQNLKHRRTTSRSWWQI DSIGGSHTNETFTSLDKMLSSHGSSGLLSAIEQIGGVSFSIVSTVNASSVEQVRAKDK KHLHSGAGTRIISSFGEVQGAAAPLKSAARLRNWFMFTPMNILACYDCTGHFFKRWKS YKLYFATVPVVEMTNFFQDFLERTYSPCLRAFHMMQLGKFLAEMPEPQGVHDGTESMG GPGDAGGTRANSSFATSTRQYMHHLKTQQEYMRWPTVTPGDNGCNGNAIHPVLTCVHY VGQVVQKLSRICRVLPSVLVDKIQQFLVQPLLIELNSFLKRKVQLITRGSLSHCLLNS DFDDAFHGTHTARWDALCDETRRGDSYEAIDMGLFRFYRYINARRTRAGADGDVEVVV PEEMQELFNHVRVKREKQHERSERRNEVFRSNGSLVSPISPRGAANGIQCEDVTAADL ALQGDGIVVALALFCHSTEWLCGLLLRAFGPEGWCQHSDGDKLVPGAAFRERRRPPRK GVTPMQEGTEGWQHLGNFVDQLYTISQACLFHLLVEARFTAFQFIPQLSEHSYNIVAG AGTPDTFVQAYNRRMTNFFALLKPYLPSKRVKFVCLTVAKPLSDLFMLEISQLRDKVI STTGVSRLQVNLLAMEAALQLVLPTDDDVRESVKFHFARPATFVRYLSNGDVTDDLIA SGDYKIFEVREMEELVRLVLKGDATASSVAEKIKLLHAGLEREQYDMISPLTTSPAIT PNRKLPPVARGSSTGCKGHFPVSGSDAAAHEEPGELDNAKSPSSPQRRSANNKGTLKH VDPNSGGIIDPSLVNSDLKMPSSPGDAREVTESHSSGSEEGDEEEEYEEEYEEYEESD EYEESDDADNDDDDSSL XP_822316.1 MLLQCGSCSQRIACCPGCGGRCFTFTDGRVAPITGVAPITATSV SVAVTESPTPTTAFELFCQDTAVQEEALAANRIEGETDITWAAGGRKRVLAEMWLSAD TPVRRRYEEAAREGRKGVDLQAASGGDLSIARQKHAGNPTQVNNSNHSSSSGSSKGKI QPPGAPVGAVPDGKANKASKKSKQRANTPTAYQLFCKRNNELHKSNRAAVFNAWKAMG AAEKEPFDIEAAQLRVSLQK XP_822317.1 MSRNPPDSVCVTPTRHIVTDALAESTVTMYFSVFPCNCEPIFCS NRIPLLREAPPYCDGNVVYIGPAREVAQQKLQQLAFPRGFGCKNMEVRSGPSYSARFE QVRKRGVLRYHRIKIASTFVALVVSENGELRTIQAKHTAVAVVITCPPNTPHYDTLLQ NFDSVLRYALHVSLDFAMWATQRFFLKLSRTAAFKELVAELEQHDRALETYSVVGAIL ANALTYTSPIPRLYSGASVTVASSASERLQSSSHDPAVSPVRNSGVFSSNPNVLVYEA LRKLRGINERFTSRVVAGFLSVSCWEGYGLSYRNGTGICVCNGIAEAQQQKGQQLNLS DGRSVGKAAGFKCDADDIYADYWRFMEEEERQREKEAHESLRNVSGGTNSDEHEPGGG ESDVWKSEPNADIPESQAAVTEERNSSPHATESATSGTSALSCPLSLSRVGRVVIFCT DPEIAKCLMILAAFFMRDRRVIASTTTPDMTPFAGRVESCAHSSLPVQWLMEDYNPRR FERLLCSPFTVENIVLVIDPRSLVCQRLRVHKTFSVSPILVERFGERHPVVRPFQKLL LGNVRVASDAVITSALRLACKLHKLGDPSSSCTKFLEWFVVCLVGRCHAIRFEKGLNS QLIKEVSPSPSQFPHSVDERSRIGKVESPSRINDGDVSFLDLISNVFGNDGATSAGGP LPHRSTHEMIESIFSGPKEGSQLLQYLPYDE XP_822318.1 MLYTRRLMTTGGSATADGAVSYSKGSYHIVPKKYTVGKRIAVRS YLDRNRTELSDRTYMPQKAWFEPYTPKKFDMEHQRISHNFYNLETKLIWTAFDTPELI GILLHDETIKGAPHLYDAEFLESAVHWTRESRYWRCIGITKPFYNKTTLRAQCWHDRG LQVGTLVFSQAMRDALMDLERAVRRKELGLEPNYVWDRWGPVGFIDGARTDHLPRFAH NPYVDPDGVEVTEVDIAPFNTHEQIKERYGAFIDPDLRPFEGVFRAPSHGALTLDDVP HQEAVRLYRDLMEKADMPVMLGNGAEIPPMDLRALFHLSANPERMKAASELSSWREVR GMLAPVQEVCDEKVEALRLMENTRHDVARVRTFYEEKCGFSDFMRTPDKVITAAVLCY LQELQRICTERDWGKPLARCLTDLERVNVMGKDAFLVYRHIEDAILDKKRRVWATRFA GEANEESTLDYLLENFGRRTEQTRNVGTTGTEFDREQEPIGRQVQRRVLDSDKASKLA EVRQKRGKMWSNKKSVFDSLHQKQLQNVTYGVH XP_822319.1 MVVNTRVSPNARARPPPRRPVNQHHLPPLQPTTSLQPLHSPHVR KTSEPAYRRGSTRNCCSVSATPVGADVVHYRAGNVEKDDPVSYAAKHQLPLLLNSMIR DLLRERPEVDVDGWIMRWFEREYEEQKRVNKQRLEASTVVNTAEPTKPEPQQGHRQPS LPLNEKWISQPFVTTVQKDVQPTTIISTTPSNMSSPTVVISLPQRRLSTEVMRTSTPS SLDSRSCTIVMHPPEDRKANLLQLETSHPTFTKFPSISSVSVAPTIIVPRSLPQQQER RPQRIGEEKEQQQMIVIRMPRPVDCRTKMRTTQYLDINGNDGAAPKAT XP_822320.1 MEFPQVTCDDVNALVQMCSIPHETAITSLKQCSSSLFVLLYQRL FNCTIVGIESSPYTVEQKKWNVKCVLNELCAKCGMNVEGIDAEKIVQLNEEHISRLIA LFLEIANRMSIHTGAGRGALPVGAGLTAPTPFPAAALRPKLAEVPRDPAEDGTWYPPA AATHVLPTHLMRPVHAAEGHMRAAVQAYLHHNESSYRGHHDSAQLGDAVDSAHEKHKD NHDSMRSGRKFYADVVEAEMSVSDVANSGDDDKATLLDEEDDGGSGGSFVNTEEKEIP TSQLVEVWSAQVIPPKRHIGMGDSPDLTELRGQLTAMDKCLRRGEQRRVRLRHQQRQQ SAAQNQRGHMDPVSDGVSAQMWRDRRAIASAFLPHGLNVDTIKGNKFYEKQPRRMIDY ERRNEKIEMLRSVRFIDELEREVGRKMVQQHRDTTMKLREEVKAALERDRQEVLERRR LIREEDQKYRNAYTAILTAASNDLRMTKVLMLERMQHLAQQHALSLRESRRMCQLLKR ESKERVRHDLLRYASAVTEWQSHFVI XP_822321.1 MSYKYSSREYCSPVCMRCVKSNGVRGSVDTVGGDTGRCECHTSI GHRHKYSVERKNNAGRQTTSILSPAPCSARGTATDAPADRQNVDGQRRSSFAKPSPND IVWIPTSQLLPRFHGTDTGGSNEEEEYCPVTKWPKPQDIPLPSMIPLPSSVLRSLPPE KSQPPSQWSSIPVNEQTIKKKRGCDGQGYEVAERPVAPSVRVEGHVCPCEKRMLHTYP SNRETAETHEEAEQQKCDVKESEEEPANINNNNNTPGQYINECCDSDETQKYDSVQMW CQSLRQPTRRCEQPPPRSRKSSASPTAEGFAACGTSQHRCTATHPDGSCERRRDSELR GGSGARENSLHPPRKSVDSKVSRGTNNIHARKSETRKLREVVNVRTTSSNSDTASVRS EPEEVSRDREERFRVHLTNMDELYRHLLSRYDEFQKDHADFLEKNGSSRRVVDEQTAH PQKLSIKKDTVDLVDDIPPLPERGYSGKLYPLSEDSQKLQEQLISLETRWKQTGRPKK GGAEERMWGLAETAVNAERDRKQTRPLARRTKTLSADRKFSSGRTYVVKKAESKESRG RTQSVKRVPTDSKIVGTTRHMSPQGGARRRDVSPQLVYNFLAAQKDKNSEENDGNGEC VSDSRGRHLDFTREQVLHLVRERKMLFSREEKK XP_822322.1 MKPCVSAAAPADWPVASDEQKPSRPETAMEHDEETRGCSSSSVR LQGYGVSGAGSLMDSMRQREMSGSAFFMFSLDGVNTDDPLAGNVSPLAALCPAGQYSK ESQHWFWKVLSNTSSWGMTACGSVSYSSWADPKSVRCIEGQLRLGAFLGIHAVVVPLP DFDEEDPQCSEKLKAAALVISKHLQHSQTTNVWVRCDASRQADRVAFSRLRTFVLWGG ENPPTAEEAPHRVVRPAPYRIVAFLNFSETFGAIPSEWLGESVAAFELPNAEKLRRAL SASDCGRHMKLPSDLMPLKDSYWGTAQPMFVSLATFVVELLRRRAAPVFAMEEFVDSY QLLNTLYEMHVADGGRDKFFDYEDVLQLPLQPLGHMLASGVYEVFEQDRTKYQQYHTA MSKYFNEWLNHSESRSHEKMWLQGPNPRDGCGCSAMGSVYVVLLGAGRGPLISECLCA ATGVGVRVHLFVVEKNPEALELVRLRVRADPQWHDWMNYSGHVVETIYADGRSVWSGE APGSDDRLPPYWGLCDLVVSELLGSFGDNELSPECLDDFYCNLLSYQESSGIPCNPYL TSIPQQYTAWIAPLHSARMEESVATAAFGGLTTPPADCHDRHAALYHSMFVSNVCRAV GLCLPQPCWTFHHFATKVQSKEREATLNFTLSGDGRFSGFICYFSAVLFTPGDTGNVE DSIALLCASAGSLSTVQYGRTTGLFSWFPAFLPVEPRDVVEVKCGDELSIHLKRCVDV KKGRVWYEYEASILVKGHPDGKFMKRLTKTMNAGGWAGHISLLT XP_822323.1 MPRYYTPHEVRAHCFEDDAYVSINGKVLDITPLIQMYQGTRYAS LLQPLILAAGTDMTHLLDKKTMDFKTCIDPETGFRTYAQPFGRFAHTPTVLPDSSIDL SYEVPWWQDERYVIGQLTRKTRKIRIVNTLNGHEHTLEVCSEETLREIVMTRYLSHNA HALSYTWRRLDSEPRDLDMDKTLDENGVLDESEEFESLGLNADYYIPALHLYYDDDLT EA XP_822324.1 MLRVSCRFFGALQEIFSRGQGVWNLDFGNSPTTEDLIFNSAPKT STPPFHVLDLEGNIVNKINEPVVPKETLVKIMETMIRSNTIDNILLEAQRQGRISFYL TALGEEATVVGTAAGLEIRDEAFLQYREAGFLLYRGYNIPQLVAQCMGNVEDVLKGRQ MPIHYGSRELNVHMVSSPLATQIPHAAGAGYAFRLENEELSDESKSRVAVVIFGEGAA SEGDFHGGVNFAAATGSNTLFVVRNNGYAISTPARVQYKGDGVLARGIGYGIPSARVD GQDVLAILQAVQQARQIIRTTNQPVLIEALCYRLQHHSSSDDSGMYRSSDEIENFLAL SPLDRFEKFLVKRDLWTAEHTGALAKQVREELLQELRRQELLPHWPADVMHDDVYKVK TPDLVKAQKELQAHYERNRGFYGK XP_822325.1 MSYRFKGRLNPTILRKLPRNLVVFDGHCLMCQARVQYVLERNFS YFSLLNLTHDVDKVKLEENKVLFCSFDSIEWRELRRHFPDVTKDVEGIILFEKVPSRT ADFLSRVRRMKKRSKSLSKSNEALSFPVASSSHRRSFTDVEDELDILISTKHTAVCRV GMKLDRWLPSVVARCMLYAMPRWIGDRWYDRVLRRRTLWGTSEEDAVRYPARVLGLKE RTWRLRDM XP_822326.1 MRRSLVFPPCHLQEARLLQSQQLFGWYHQTRHFTSLVDRLSTGV ARKLSSLTETHMMPLSEKHITEPRRPYPKRPSMQENLAERLAEQRRWRSRVVPQQIIL SKDKLYIEFVWSAATLSAAAAREMRQQQNNEEENGDGCTQKRKGISCGSFANDGIIKS EKLMSHRTRFLAEFLRAYSPSTDVIGADALIYGRRGITITDVIPVGNYALRIVFSDGH SGGIYPYEYLFHLGQCKYTKMREYIRRLREKRKSRDPPKRVPSKYVPRGRNAAEGTPK RDKTDDCGHRVAAK XP_822327.1 MTRTSRSTHEAVWYFTYIMVQRGGIVVLTMGLSLVVFCSVTTVR SVIPMLVEPGTLGFMILYGLCCILSFGILFNYVAVACLCGPNIDPKETKRLTEQAEAL PPQERCRLLDAPRRFCHICERLKAPREYHCRICGRCIARRDHHCPWINNCVQAENNRY FLMMVLYLLLSTGFVSSMLCAIYTHSVWHGSTVAARDVKRSTSHQFCKSGVYSSPILL LFGVCIITFFILLFLFGAATLAALRNETAVESFIVAQKQSAFQGSLVPFRNPYDLGRQ RNLLALFETKGDPLITHLRRGGRISNIWIGVWLLLPTLRPSAFDGMHYVVFDDVVHAV XP_822328.1 MLPWLLFINAITFAALLIAVAVPPLYFQFGNANDTPTDSYPAGN SFPGRNAEGEIVWQRLYILFAVPLCGCLNGLFLYFTYYRHMRHMQFRVELCCTEQRNL KTALFVFLHKKKKPSGMFSESEEEGNFSADLEGNWRLPGDSFIRGSFAFQPKEGKAPP IRTEGLSHRAYSTTPWLPELRELQDIIASVFIQRADKLRDEVMELLHETVLMHIERDK GLTERSIQKSILLLLQQKEELSVPVMTKCLKQIEIEGFSKVVS XP_822329.1 MRSAYCRKPSSGFMAHSNVVVALLSLVALHFVPYYIQGTVSADS GSNTTVGFRCMRPFASLPRFSSTSMDAAENCKFKRYNVLNASAVVKCESTGNATGKAE PTAVTSTCSVTIHAAFRTMGGGKDDNADAADVYRYSLQLRAINNSVVHYKGFDQNGYG LCCSFIEGAKCTWEERETSGVQEAKQEVNATTSQAPTVKEPAVVSCPLHHGEEEHIAF RGSTVKPLHRLVVGNWEARLEFWRGGPSNREVLGRLLVPFRLTDENIAQGNNNTITER SDHTTAVVVVAEQGQLTGSRGDL XP_822330.1 MPKKSEPVAPVTSARSSSPTCRPPVHPSSLGEWVNDSVNQENVK GEAANRFHPESMRGVDSLFYRPPVQQMKTPPRGGPLGEVNADPLGELEAILTYVEHVT VSLTRRERVSPPPESLRTLHGSLVTFRRAVNTSDRESTTRRALAAELHDQAATLLAWI ARLEYVSISQSISLRELEKEVGASAANIDAVLDAIEVRYRNHDVADVQEFFMGSRETL KSCRSAVSDLVGGARKVLVLRRAVASREAVRVKELFGEYWGNKGGGGTVRIVCPFVDW VEKSWFPAIEKWEAIVSGAKKEAELGDVKGAFARAQRLALTESSMIGKIIQPGETLSE LLHQYLEEPLAAVEQSLKRRKSRMEALRVAMTTKDGKRLAECLCAVEELRAGKELSAE DTELAEKARKQIVHLQQVGNITMALRLAVQAGETVTLFRTIHQANELLLQLGIVGNPE AGEETVQRCNTLLREMQNLPSGVSLTDFVVKRVNPMKMNLAHVPSHVWTSETTAAYQA AWQRLATRAMQGLCRFQHESDPESDSGTAHGLHTRSNSGLSCGSHSVRGGGNAIAAFT RTRTQQTEVGNPQNEWSHVQFGSWVEPAASERALAGRARSSDVDGFKLKIHFEAQLRV LRIQGTETCTFDEVYKRVHDLCTQQTALHHRPAGQKLRLRYEDAEGDCISLLTQEDWN VFISEQAPSGLRGAKLEIYCDFPPVPSQSTLDRTPLSTTSKDGGSSPTVKNTPQTTTS ARLATGGTQMKPLLESDQAKGAKPSRCQSAGIAHRRGNGVSRLVSNRRVSHDDFHVPK QTPKTPAGMGTSADGGKDALEIGVPRDFDAAVHEREVPKRIGAAPSVSYTQPRAFPVK QTTSSGNAMRVSPKVGRPLGTAAEAKKLRTPPKLVGGDVKNAVRGAGLAGNLAVEEPV EAIEAKKWKDDETGMESDVVTSTISQARTPISQRKAPSRVVSAMKQTVPRSAVTGHHV NSRVRNAPVGGIPCDAAVVEEKREWSVYHFRPSEIHPVANDREETPPSVGSRVKGALV TERLSGSSSTSIPNSTTTLNGGAKKAEALIRYRQLREEKQRALKAAVQKRISK XP_822331.1 MSSTPEVADALFHVRKTGFVDETMTQVLEQLFDCPQHGRVLETY MRGRHEDICMVLIKTLATTHNKSTLGVLLRLFADLARSSTVMGKTLGLCSSVLVDQED PVQMFLYLATTHSRDRMISDPALYLAATTLRYADPKLNEESLERFFAIVNESLSVNPL QVEAVSFTVHNCAIMAHAKALRHYFFDNKLVQYFPRLLTDTIGDDAASLVQLTYEVLV VTWLLSYEVDGVVSLQEHKMLPHIHRVIQRMQKEKCIRVALMVLWNFVQAERQYMDAV TNPSDATWVSDEIFKLARVNGGKGPSFIAEMVGVGMLKTLTQLARRKFGDEDISELIQ DLLNVLENSMETLTSFSEYRGEVLSGSLEWTPVHTCAKFWQSNIMQFEKNGYEVLEAL GNIIMNSTNSLTLAVACHDIGEIVRHHPTGRALLQLPQLEGVMARVMELMSHETPEVA KNALLSVQKIMVQRWECI XP_822332.1 MSCESNGDIAQPVVEKVSRLIIRDIDVENFKSYAGKHRIGPFHK TFATVVGPNGSGKSNVIDAMLFVFGKNARKIRLEKLSELIHTSAAHPNFTYASVTVHF VRLRESAEQQRDPNQREEIPNSVLSIKREVYKTGASQYFIQGTRTTQREVVETLIKEG VDLEHNRFLILQGEVEQIALMKPKAEREGEEGLLEYLDDLIGTNDFAQCISEATREAE AAQQQRLDALDRERKLRAEREALDSAKNSAIEFVKKDNLQQKTLIVLCQLRMQVVEEK LAEPRRLLKEIDNRVEKLKVTVDEKMAEKSAAEDELHKRKKELAEATKERDAARTKRD VAQKEVDRLKSGADEQSKSRKEKEKQIKDAASDMQKAQLQQQDADREAAIHQQNLNEA REQVEKLQKEYDVATERFVSIFTPLRQELDKKKADFAPYEKALVEAKEQLDTAQNRLQ LLDVSGTKRQEQLHNIASALQCNMRRIEEVERLLKGADPNKYNAELKGLQETLAKAAE KKHSINASIQDIKNSFSEGESDDRAVRFLLLQRSLKGYYGTLRQLGRIDDAYDVAAGV ASNAWSYHVVEDRETAAKALELLRTHDIGRATMIVLKEIERQIGNRMETPFTSPTPKA KRLFDLITPVNDRFRIAFYQALGNTLVVSGLTEAREVAFGGPQRYRVVTLRGELAEPG GSLTGGGNTPRGAGLKAARLPVDKEAVRATLQNLQAELVEAAQAECDAQSRIHELREK QRHLNPAQISQLHVELNTLRVTVEADSQRQARIEREIREASQENERKRRALECAVEEA ERQLGAAEKSHIKHRSALEELENKIDNVGGLEYKALCQNLKTQQERVEAEDKALRECR RLSQRLRATQERKERDIAQYNEDLNRILAESSGELEAALVTAKEIAEEVTRAFKGAEM RFNDAQLALEGAKAAVPVAHKALVEAQRRLDDEDRFRQIEVAKMADALQELAKFEQKI DGCEEKIRENVDFYGIETLDLKDNEEERRDEDEENDAVNANDESASQDAEGDGRSDNG ADDVLSQDREEEKVDVRNMTFRLSAEQLLNYNYDECVHKAKLLSEEAKRLNNMIDFRA VRLWRERDAEHRKGKAEYLRIREISDAADQRLQKLKDERRDCFMACFVRVQNRLREVY QLLTHGGDADLELVDANDPFEGIQFVVRPPKKSWKQISNLSGGEKTLSSLALIFALHD IKPTPIYVMDEIDAALDFRNVSIVANYILRQASGAQFIIISLRNNMFEMAHQLVGVFK RSDVARTVGINPVVFQQKVLSVLQERKRKRTGSTGDVQIKVEDKVACNNEAADILVSS RSASRCRSSVPRAKTTPKSSQKGSGKTPRNCGVAANGVRLKVEEDA XP_822333.1 MLNSCLCKIFMFVRFLVLIVFALCTNILSAGAKECKLMGEMEAW KHDGGSFIHDEKSGTWHELNSDGESVASFVEFTRKDDTVVLRDESRHLFLLLRPDLAA IMNNGDDNFQPLFQGRFVSSVSCA XP_822334.1 MTACGWTCEEDHKRMLERSGGVALGLPFPSTGKLPPPQITYTDS LRAAVSGTSVMALVRQVFGGAVRVWDIQSVHLAAPQESFGLRMPSVYMNRGTKLAIIA LVPLHDTPMHMGTPVIVKGSNSSDTYAALRSSYGQHDVEGDDICGDGCYTDDPDELLP LGKQLGIDEVTGRRITVDVNPFLSVAVEPGDVILLTVYTMHSFLTNTTDCWRIMTEAV WTMEGDDVGPDPRYVGSNAPGLNKWCSERDNRKKYPRTMNEAKKAWGLVPDAPSTI XP_822335.1 MHRQRRLVRGLLSNSSSQMLGCRPFFGMSGRGSGGAGGRGDSGK EGEVGGKGWSGLFSGAGGLPPGFDEQRLKAVQEIFNKQSPEKQAELMKKALEFQKVFG KVPGIGKMAQKNIEMLEKVVINNSSQQQQQTPPKANGIRSMSGAAERENKAKIKSSNE STAAGSARISGGAAGPTIDELKKINLGPEIEELFAELSSIRAKKNSYRSKFLAAETDL EKLRKEVEQLRETDASLRSKLRRVEQDVMLLNSENMELKEHEKEWRQVRHKNEKLTDA VQRLQHDNAAQDRQLSEALQVQLKEKDDMLRSLQRKLDRLRKRDPLLQFSLLCSDVAR LCDTEQNAAKDAAEDAFNMLQGIHQQKQDAAWKSAMLQDGAAAKAFMAVVRRFYLSRV PHANYDALVAVDDAEALRPLVSEMGFTLEHVAAGRYVIVAPSVEVPKTFIGPYGLCAA LYLAGKSVEGEKMFSFKLTVVYPHVSSTLFGNTCRTGVQYDTARSSGPGGQAVNVSET QIIAKLSIDGDAAYTAEAQDSRSALNNREAATEKLAKTRRQHYNEQLAKQHKPEGLER ELVAVVQSTLNGNGSSGSDGMVGPVPKEIVELVNDTVAKKLLPGAELGLLYGMLYLFR EAAAAASS XP_822336.1 MYFCHGCQNFHTGIGASEQLTQCQACQSPLIERVYSHGQMNELC ALYGLRHITIHEDSEVDEILHLLQFLQSAEERAARHSSATNNAIVEELMRTFVSAAEE SRSEPPEAMGPFSCRICLDSDDLDDIQVAQLPCQHRYHANCIRSWLQKSLVCPLCRAS VLPASTADTNTTA XP_822337.1 MQGGTKIYGGVVVRLTDRLLLCKAPGFTTSDFSIPELMWAELVS KCAAPNMRTSSTMMANNATSSGSAAPQLSYHICTDNELAFAILSDMGLTRHKAHATLD EASKTFRKMFVESVASFTPKAVEVFVKPYRDLLLRSSDGGSSDDKVNKVKKAVDEVKE IALDNVERVMQRGQRIDDIVRSTEDLQLQAQGFQRSSRDLRQQMWWSSVKGKLLIAGV ALFFILIVVFVFVGGKKEEKK XP_822338.1 MHPEIEALKRRNRAAGKRLVPIEPDHTNRQSRSTSCSVRRNGSI SSLTARTPQSLEQSAERVHEERRQVSSLTSRFTATAPISVNKSYNNRNDGMYTVANGR MGQKPQLSIPRVPARPPVGVRGKTRNNLGSFVRTPTNGEDPVACVSGPAVANVSKVGN KISPEMCHMPSSGGLSSTSVHPAEKDVIEEEYRQHVEESLNDGDEVSSLGGHLAKHEF KSVPDHIRRMLEGDRIEEPYTTKLWEQINTDEGRRTNGYYECIRCGMPLVSPKHQIRF FTRGIAAFSLMHWDGVEVRVGGVASSNNKSKSRGTSEALQLQVRCKCCSGFVGILVPD PEGEPRNIFVVNSCCLSYVKDKTTRCKLSKPCGAHGDDGDGSGMKRLNSRNSRASEGD VEIDFNDPDIFEID XP_822339.1 MGAEKKINRPTNSGEVAENVGAFTEVMVPVWSRLCDKVIAAYKA GEDIVVLQHFEELRATPELDVIAHDSLISAAPDLTVGAVEKKEDDRAAKKERLEYCWG RALLSARHLAGDDDEHINSIVESFEQQFGCVSGYLRALAINAESALEVLQLYQMMEGP VTTRVATAALHRFLTNDASEVSGLLQFLKLNNVPLTREFHDQLEKNFVMRMKTEDAEE VFKDMRNAGVEPVSTHPYNFIFMKTPPFKYMDLLDYYEDMLDRGIVPMNSTFRILSRQ TQNGGLVNQHFSALARGTTTGSDRRHETEGHGGTQAFFATRLEELARLKSSTTLDDAL RVLRRMDVEGTPLISGSVVLNVLLSVFCRAGHIEDTERVLQLAKERGCTPNGATLSRV LKKSNADRFLQAELILPDALEAGDVRLSDITDVMCHFFNNGMRSQVVRILRAYVVRCY RNPTESIPHTVALWALRLGPFDWALGFLAILLASNDEPLSDRIQRRMHEVVKENRSRE ILKVLEGHKWDRRSILLAPQLQEPTNSSDGAGEVNGRSSEGGNSGGGHHRRDMGPSWM SAMHLVLKEMGVEKEVLMGYKAKLSALINDAKKKRDN XP_822340.1 MESPVEMYRSHCQAVGVAMNSALLRGLPETTEKLRNMKVMHFGR NFLGDRGILPLLPVIKQAKSLHTLDLRNNGIGNEGVKALCRQLRDHPSLRVLELGGNP FTYLAARQLTYLCEYNDRITFIGVDDTLMTEPLRQSIVHRMQATMKRRENRRQRKQAS PNVIDNEENTTEEVTATGDTEYIDDAAVAMGVFVSEDPLATPLQPVEVVTEACVQLIS PPANTPSVKSEKVAEMTGDEMISGPSPSSSSVTPPSTGAAASSTSDSRETTQANEDEV GEPAEVFSDEEQNKVAAVEETDETSDVSVHSAGEELSGSMVDDDVQSESSVTRSDATE PQEDSIVEDED XP_822341.1 MADLSDNKFAYLKDKNIPQLMEYILQKLVTDLPENPMHYIGELM EEPVPPRIIVAGPPGSGKGTQCEAIAEKFGVVHISTGDLIREEATADTEEGRELAQLM EEGDLVPDEFISQLVYRRLQKDDAKRFGWLLDGFPRSKQQAMELDTWMCPPHLFILLD VADEEVFKRIEHRRADPVTGNVYHLIFNPPPKSDKELWNRLVQRTDDHRDTVAKRLEV YREEISWLMGHYGGITEVVDGNQGIRAVTADVLKTVESRLLR XP_822342.1 MIGTRRSTKSTSFQHLYGSQNRTLRGANGQKGFSHQRNGTAREE QQSTKPPGPRNSVLINRVVDVLPLFSINGTVRYIEAVGGRSAWTAEADGCIRVRSVPK GTEVRTLEGREGCFCTCILYVESTNAMWTTFSDGFIRVFDFNTHALLSEFIKHDKAIE CIWEVEGYVFTGGRDWQIYQWKPDTYVCERRLSGHNNTVRCLCQYAGDTGAVLFSGSD DGTVRAWDPYLPARKGKDGGPMMHIFTGHTQGVLSLELVTVSNQLWSGGEDTTIRVYD LQTLGCVSVLKHHRAPVSSIKLIGIRVWSADKYGKTLLWDPKTLTPLKDLTTHMATDP GSMLSICKVKQLVSWKVWTACSGGKIYCWNADSIPIAFDGPTAGKDAVDVSDAVQLLT ENKGLRGEIEKLRRQLMGEAEDGGSSANRGSASVSPSRAKSVPSHPRHHESKMGRGTS PSATPPGKRRSPPAFSAEYANDTPIHERANTASREGDDARGCTTCHERFFYGDAWEFI FRQDTEELCAVIRHEVATVLGIPADQVQITQMELGSAGQGCVVKLNIQHSASLPPSEI DTMLSNYPFIDVYELYGRYLAKKYGDPNEVHVSESGSGTLGGQVHRSLCVDDSRGEEE MANGYDDPTPLQNMINTLLKENEELRGQLMPKAETPPDENNAEVRTALTNYEEERMKP RIAQLEKALAERDETLHRKDERIRQLERELEATKSDTGMKEVMKDLRKREKKLLTEVE ALTSQVEAMEEDKRRAEDDASFYRRENELLRNRISAMNEQMAKASGSEAEEMQKVLTS YEEENVKPRIARLEEVIAEKDENLQSRDEKIKKLEDELEAAKQEAKFASGESVKSNGK AGSETETSLLTEVQVLRDQVEAMEEDKRRVEDDASFYRRENELLRNRISAMNEQMAKA SGSEAEEMQKVLTSYEEENVKPRIARLEEAVSQRDEVLRSQDERIKELTREIEENRRE DKKGSYHVTDEAVVASKEEVQALKNQMKAMKKEKEKLENESKLYRKENESLKERLSET NDQLKKSSPLHEEEKQKVLSRYEEENMKARVARLEEAVTQRDEALRAKSERIRQLEKE LRAAHREVKAALEESKKSSSRLHSDSTQTSAEELRSLMTKAREREKEKLKNESKLYRK ENESLKERLSETDDQLKKSSSLDEEEKQKVLSRYEEEDVKPRVARLEEAVTQRDEALR AKDERIRQLEKELRAAHREAKSALEDGRRNSSRLHSDSTQTSAEELRSLKTKMDEMEN DKRRLNEEIVLLRKENETLKRNLGDVMRRLKNPSSFIASEKQKLLSSYEEEHVKPRIT RLEHAVTLRDERLQAKEDRIRQLERELDALRYGGKNDVDNNAVEDGKTFRQLEEALLV EIQGVKDQMRAMAKSNQKMQEEAVCLMRENQMLRDRLAEACEQLGKTPSIEARETSRE LVKCEDVSPNPRIAQLEQAVTERDEILHTKDERIRQLERELEMFQHENRAICGNRDCR DAGRKLAAENQELRNLVKYIENDKQKLEHEASFFKHENDALIGRIAELESTPRAPSLS PTKTHTLSGDREALMSRLGTAIEQVHNVQKEREAAERKIAVLQEDCRDLRMRLQHASD TPVRNEALFPSSTNCDGTYQTGLHSGEDAHLIESARGAPHSSSDAIVELLPDRLTALE DTMASLNTGLHDAIRRLSSVSDNSALLRTQIADMLSQRSSHNLITDPHERS XP_822343.1 MAMRRSSPSPRPRVRSASVASIPDTQRCEGILSSRSIAGTARSI EYVGDRCVWAAAVDGRVLVYSLSDGEVVAEAAVRENTFCTVLRLVSRRRIWAGFADGF ICCYDSSTANLLNEFVQHDGAVNCLATTPYSEFVYSGGEDWKVYQWLKESCTYVRLFS GHSNAVRCVVAHIVESASTRSVSEGVRSLGDDMYNNEEDEGGEGCNARVNESMEEYII SGSDDMTIKIWDPRAPLQIELNLACLTTLRGHNGGVHSMEVFRRKQELWSGDGEGALR VWDLHDVRKPSCVAVLEGQHSASISSIVRIDSCMWSSGKDSFVVLWDAYEKVALRRFV PGGRAASRPIFVMRRLYRAAHWVIWLGGLDRNIHSLSFAADGDIDGKLLRSEKRCAAN KLRYMRLQERTMELCTLVEELEEKNKALETQVQQLNALLHRSLEASQPLDHGSGRSRS AGKGGVTFRRLKSDAEGDKAPSRLAVDTTLKLPSGIASRCSTADPSPEKSFKKDVRAW EKQEKQTITGDISDGQKLIDQMELITSAEFTLQDVSGVDGTSRLAFEAYKKEVELKLA EEELSRQALQRELAEATKYKTMWETKNDALRDAYEQIENLQRNLEGEQRYVNDLLQEM AQLSNKKVRGEGEEDLPCADNRTPTEPRDPSTGYSLYKSIPGNYWDNICTNYPDVLRD TLFSELSELVSSLGAVVTNIAYASTGECLLVEAVVGPTTVGREKMQSCLELHAFPQTR TLHESMSTPFRKDASVKKSEKDNGWKLLVEAKERAEMERDEALAVLQEATDELEAMYE YQRQVEERRAKTPGLCDITALRDQKNSSVTSSTSQACTKVPIYVATAEEYEEVLAVMG KLERERDEVLQLLQKSQANSVSTTNKATSTSFIDSQHRQHREDTAASQTVSVNEYSRV VAEKEGAEAECREARRKLQKALHELESLQKLHLQAEEQRSRTRGLAGSTRLHGRKSTN ESLLESREGTKVPIYVATAEEYEEVLAVMGKLERERDEVLQLLQKSQANSVSTTNKAT STSFIDSQHRQHREDTAASQTVSVNEYSRVVAEKEGAEAECREARRKLQKALHELESL QKLHLQAEEEWRKKLNSSGYSESSWRGGKKPLLSFYPDGDPTLRGLGSGEHVKTDDTD ALLSGEEVADHRLRGGRRNPSKGSTTFGSSDRTASADQRRSRLLPTASDIDIATGHVT PGLGSYFHNLAAKEEYASAATQNLTRPVDVGALDLKTSKFSRYRCMLGEMWGPLVKRY RALVEDVFLMEVFRATSIPPNYVKGLEFGRGGLFVEVDIYDVHSCENVNLCLQRHKFS VLDKLIRLSQSCSATAHDPHQLGEETSASQRGPGCVAERNLGLNGESRKFFQQTSHCI DEAQAKELDAFRCRRAFANSLRRGEETLRSLLISQSEIDPKVPVDSLALQREPIYCVT LDEYRCVLRQLGAASRRVTQRQRDISGDEQTRLWNEHVVKQAEKERRFARRLSHPYPQ FAKGSHTE XP_822344.1 MSVASVWITLCYQLTRVPRSAPLVFVEDTSGGGGEIERRKMHSP FAALSASNCRRCFCVVRGKERGLARRWGGERGNNERSTITCKKEKKTIASGRVSVCGR EKGKAKQRKLFSPVR XP_822345.1 MSEPREVGYRHILRSASATSKVNAKNRSPTVTKSPSTPTDSRGV SAARMRSFSGSSVARANPRIPQKDDSSREEVRALRLLLREREEQVELLHALLSEKQLL LNHNKAAEERLRQCDEQLGTLAAVLGLEMESQEKDNLPHTTRQAKGSELGTVKKMPSV RCILDRVQQLVYDKTHLESELDVKLQELEWAQTELEMLRGGTLSQKVSLKNDAGKCGS LAQELESQLRVNAELERTLADLKEKYIMSERILETLEKDHHQVSQVLHGKEKEVLELQ RKERSVAAESSKRREYEIYHMQWKEPNNLITTHHRCHFRGDSWVGLLREKPQAMQAAL LKDISLELRAPYGFITIATMESVSELVVFDVIIRHPVSLSEDDVELRLIGCSFDEMSL LLKQAENPKEGHDLVLHQLKLLQGTIVRKEEEIAALTKQLRTTKLSLEERQRQYDEES KLVHMALDETEETVKVTYSELKMRELREEELKLQLQQCSETILSRDQRIMELTAQLRE LNSTVAEESEENARIRDATCSNGPGDKHDGRSAEGRKKCVENESDGLCDTLVKIPSVK MHVVEASWKGLDPLASTEAVMTLDSRAQSIVRTILIGEMATVAHVLPLKVLSLQCTCC SLRAEVQVHISSQVEDADRSTEGLDKFRPRTTIAFLEEWFNMRELIESRGRRVQSLAD ELTSLNLSVAAFKESGKKNEEVEKAATTGTPSCAGETSQGDEEKREEKRLCESLDQQL RLERTQVRTLQAEKRAKELALQRANDKLNEVTAAFEALQSNFAGRDHRPTRDEDANAC RLADVQAKRRHGRNQKDNNMKGVCDAELAGGNESLRAERGDVWSALADAYEEYDTLYE RYENAMKQLQDLESSLREKLLLTKRSHVYEKQVEELTQQKEALIAEQEKQREKEALLR ETVTSHQRDIDRLQKELDRKTEWAEEVMRRLVEEEDECSRLEGAVRSLKQHSTAEVAT KEKVVSNDLKTKDIQRVLYTFCTTTLGIDVPEQEQSVDGVLALIARAYANKASGASMQ VAFADREEVSTAIQSLNTAVQLLQQSLPTVRRRACSDVRSRGRAAVDNSPRSSPTGVR KVPSRGRPMTFSGRGATTSPPVRAPQISGRRLLGQATPMRSAPTNTPKRHKTPQQEKV NTVGPCHPLISSATRVVQLVQQLTGTPVQPKVKPTKTATKPLSNTAGEELAEEFVRWS REQTISLALIVKDYLHDALRAMSQVALAHDAVTTTYQGLPVDHTLNLNTAKSCVTHAL KSIVQTISSLLSHREISEIEGKFGKDYLSMTPAPRGKTTKQEDPRRQCSAPALGLAPR PANITLSSGDHCRSVSRDVDGLSVRPQREAL XP_822346.1 MHDGDGNIELIIGPMFAGKTTELMRRVQRHKHAQRSCYIINYSR NSYQNQRLSTHDQLSLTANVSIAKLSEVCDEWRDYDVIAVDNGQFFPDVVGFCARAAN EGKTVIVSALDVDCRETPFDEVCRLVPRAESVLKLSAVCMECHEHDAFLTYRTIESNE RELYGGADMYLAVCRWCYKQLTMSHVDAQKTSASTAAVVPNGAHGRIELIIGPMFAGK TTELMRRVQRHKHAQRSCYIIKYTGDTRYSEGAITSHDQRALTANVSVSNLHDVGDEW RKYDVIAVDEGQFFPGVAAFCSKAADSGKVVIVSALDADYLQEPFEEICLLVSRADSV VKLSAVCMECHNRKASFTYRTVKSDERKLVGGSDMYMSVCRSCYETKRNMVQTEKYIY SCVGINEGSYSECSPGPSERSSAGTSGVQTSVKVDEQNCTEPNTEAKKMPLKRKRNQM AVDTT XP_822347.1 MLHKPCVQVVMRFRPNPGVASPFYSTDDTGAPWLTYDERTVRVV ERDPPMDRKRVEPNGGPCGDSNDPPVSKALVPAPVTSYTFDAVLSDTSTQRDVHKCVG EPVIGNVLKGYNATIFSYGQTGSGKTYTMLGPYGGLADAFFEDSPFYGERGLVPRVVE ELFNRLNTMKSEEYTWRVKTQMFEIYRENIVDLLIEGDQQPQTEYRIREGKNSQGSFC MYVDSLKEVECRTAADFMDILQRGVASRHTRETGTNDRSSRSHCLLCLFVELKHNEDY SMWTESRLNFVDLAGSERVATSQAEGETLKETQYINLSLSLLGNVIHRLAGGNTGHIP YRDTKLTRVLKDSLGGNSLTTLLCHGTTEAATKSQTINTLRFAQKALRIKTRAVVNKP SKTTIKQQQQEFYKEHTKQLEKQIRVLQEIIRSRENTEGENSMCAKCESMSATIASLT KEIERLQKELIAREEQLQQTQETGDYYKKNCETLENELAKLTEEQEANDARHIEAYTI LEEESENLRAEIEALQKQLAEKPHKDASNSEALSNKNNTRKNNYNDYKNRATMTDRRT HSNCCTMTDPVTIVMPDVMEDMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVMPD VMEDMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCG TMTDGTISTNRATMTDCRTHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDI RSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVM PDVMEDMQRRLTKELINCGTMTDGTISTNRATMTDRRTHSNCCTMTDPVAIVMPDVME DMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMT DGTVSTNCATMTDLRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDGTIS TNRATMTDLRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDGTVSTNCAT MTDLRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDLRSHSNCCTMTDPV AIVMPDVMEDMQRRLTKELINCGTMTDGTVSTNCATMTDIRSHSNCCTMTDPVAIVMP DVMEDMQRRLTKELINCGTMTDGTVSTNCATMTEVCRQSNSLDRRVSCVSGTAENVSL SGETFCRNTSVNTRECSYIARNTIFASSVVSSFVPVIGEGQFEWWCLDDVYAHALLFS YCNPRDVGINIGLFDDCDDKVLLQSLGVVHRTLGSIRADYDGSVVSVIRRFLVQQRGA LAGDGALEGCVPCEALFEVLISAKNALLVEPMVSDSSTVKGEDRVCHGDGEGALDSCV YAHLQVAMTAVFSIARERGGYLSDIFASVKVQKALEAMRQFFTSSNLFSSGSSSVSST SEANGDSSSFLEDMLRETEVPRFVLGGALQRAAALLKDDVVTKLQRRRLCGGLSLEEA LLACYLAEERITALTEIENLREEMVQPVTREALDLIDGAVRAFLKSEESEDFSAEATN HMKSSSFTTALAFLFTYVAAQTATETVGLPQDSTEHVRDALNVVAQTLLRRSVSQTSR FDVAQSNTAIDPESMQQSLRIIMDYAESQGYYPVTCDTSEAGSRSNHHCQYSQQTRRK DTPDIDVTKREALMEKMDSPRTTPRSSPHPRLSSPQEQRPQTSVVVVSQPNVTSHHIN NLVHRGRMTNPVAIVMPDVMEDMQRRLTKELINCGTMTDGTISTNRATMTDLRSHSNC CTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVMPDVMED MQRRLTKELINCGTMTDGTISTNRATMTDLRSHSNCCTMTDPVAIVMPDVMEDMQRRL TKELINCGTMTDIRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDIRSHS NCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVMPDVM EDMQRRLTKELINCGTMTDIRSHSNCCTMTDPVAIVMPDVMEDMQRRLTKELINCGTM TDIRSHSNCCTMTDPVAIVMPDVMEDVQRRPSNRLDASTSLKVSKNNGDVAVYEAVRF GDEKVVVDSCSVASVSDSFPRDLSSEVGKLQLRVLDLGKEVYMLQKELMAREEQLQKT QERADYYKKNCETLENELAKLTEEQERNDARRTEAYTILEEESENLRAEIEALQKQLA EKPHKDASNSEALSNKNNTRKTDSDHAVDGKENLGLHHVSSVRSLVHLRENKAREHLR SRSLSNGREPPGGVLPTELRTWPQTAQQSAPAACTHEKGVERKLSEELDESDVVNHLT AQATPEMLNLKPELFVKNPASFDRLVSYLTRLVERAEREPGALSCEERHLSEVLKVFS TFYCGYRSIESGTGTCPIVDGNLRSRGGSSHSLRPRAKGMWSPESLRVSPDVGRNVDA VKEDQNGKHLGPVPSASTTFRTGRSERCTSSVRGVALVGGLLASRRRSSTAPSPTEGP RVPLQREEIEDLEGMSELVSSIEDMQSQLAYFNFSNEPELRESIKQLQQHLEELRAVL ESQMSTTKMSRSPSYISSFRGSCFSQGNQQGQGVQEGKPPLKAESNGCQPATDSACDT NYSNGGQTGYLAVCNAVNTCCGGRGAFHRGSNRCSHWVPLRMHRANHDENVGLAMNEP GGSIPQGRSNAFDDGSQRKCMAPNRRNQSAVMRRLLDSMRFDLDSYVYTAAEQNAASA ALLEESSSLKEREADMWLRLNQTEFCEMARIEMRLKRDDLRELQHEIHRMHLLHDKEL VERKRRLLIYSYRKLLDFAKRMSDILKAREFCTGTLESQRLLLLDETSDFTKDVEKYI EVL XP_822348.1 MRRGHHRLRVNVSGEYAGRALNLLLVSGRHVATRKLSNFEEVAT LRRELAAAQQRIAELQLSQVAVHEALLKRLEESHQAVHKAAIHARHAALALQCSHDLM ERELRRVLSITPTSSNMSGVEVDRLRRAAVEAGAREIVRRNIGFRVEKQLEDQLQVEK DSGESNTIKGG XP_822349.1 MVVLRPKITLTSVRGRIQVTAEDGERVGPWGGTECFLSRQTGQG PCLVVRSSRHKRHQGTFFRLEGVRQVLSLYAMEGKLTVVVPHQKRLCSVFIETFADVD ALQMMAATLQDRSRWKDIEKNVACRVQRITRTNVVDTKRITNMDGGTDTHLDYKQFEW GGGEDDSCAVGGVSCNPLEGARGERNGDGVESILQERPTGLPSAHSGGKLPKHMGGDE LNPQLEGSTTPGRMQWTTDQIVATRLVCTGSNVFITGSAGTGKTEWLLHLVRNVLPRD DRTVVTASTGMSARLLGGCTIHSFAGIGRGEGGFNRVYNRVKSKPEVVRAWRQCQTLI IDEIGNISPDTFSMIDEIARSLRGAPEKPFGGIQVILLGDFLQLPPVDSPKARNEWTN GNDTDTDSNPIPGKLKWCFETATWESLKLALVGFRKSYRQMNDPDFALCLEDIRFGRY TRRVERILNECSTRQIKERHGIEPTLIVARRDEATEYNAERLKMLEDVHFHRYESEDY AAIPGMNLEKEVSLQQLLELRIGAQVVLLASLPDAPHLSNGDQGVVVSFAEQTRGPAL PVVCFATSGGEEVLVPRVSMEVLGPEGRVIATRTQIPLQLSWAITVHRAQGMTLPLVS VRLNKCFFDCGQAYVALSRVRSREDLMLTAFDPSAIFADARAVAFYEKNFPAQRQSVE DTECELVPIKGKTRAKHPRSQGEKNSVDEGGNAPEEHPLRTDAAFTAYHDLDSQVSTD MPLVPQPPRKKRMLVEELPQVTSSAIPNFTQESNNGDANSQLQHPFSQNNLMVDDD XP_822350.1 MPQDKRLIITRAVLHKVQRVCGSADAASAEGIFIEFVVPAVVVT QCPELTGKYVFQLPNRTYVMNGRTTCLIVPRLLSGGAFKVNKRHGYYDAVVTAEAICK RGDTEAARRAAQVAKTFAHFVVDSRIVSKLPSCITDGAVVTKVATMKVPSTVADEKSD RKNEQGRKGSQKPPDGAIVKGSQQYVETEKAPVGMPPLVKLSRKCITPLHNLDEQGNL TLRLAQGASGSVVRVRQAGQLVVRVGHGGMVPGEVCDNAKSFVYTLKREFPTVWKYIH EFQMTSAVTEPIRFMEVHIQK XP_822351.1 MQNVILAHDGSVVAPRRYSTTQQQTAQQPSSSTHSTRNQKHHHQ QLQQQSDADDNCAYLQRHCIPSKVEGLFSNVLNDLPNDPLLHIVEQLRASNNSNNLSN GGNPPNHSKQATNESIREGATGSGTTEGKEPVPPTTPCAPFTRTALVSEGLNPEPPTR GNNPVSGAEDPFVHSMNVATESGCRPVGENLNTPPASVDAAGLSLANATPTTTPLTTS ATPSVTTCESMNAFTLASGRARTPTPPAFSELSCTNYRGSVNGARPATHAMRPSSRGS SRNEVVQGVCGVRFGATNDIFYGGPMPPTLDRDESTRSDLSAFSVASMDLQDFLQEFR SAKVESVGAEAKMVDINALSDIIQNVNIPLPDTPIIADLFDEVRNIAALRYDTEASPD QANDMECQKRISATTTVVTGSESNLIDCIYFEAFLARMAFMIQGRYPMEVLRGTFYSI GESARQKPSADGAAQPSSVTPPQTTQQQQQQKVCAEDIRGPSTSSFGVASRPYRVAAK SGCRNSNSGALSADSSSNTHTSPTVIPTTAACPINCSAAEQAAEPSALLNGVPLAICV EEGLWRGLGLPATREEVERALHTLGIPVDGNYKCHVNDFVRLVTVLTSGGMSGLSCEK TSPWGPVGIGRESSFLQQPQE XP_822352.1 MTLGPTTRVTVNVTGTVTVPLHLNALESLDDAPLQEEIMREAVR LSLAEQLGGFDNFTVTSARKGGNHVCEARLDVGDLCSIIADVKNAYEHGERRLRELQQ LITAIREQLKESGREGGSEDEDITVPGIVKVVSDMVEDALRGPGESSLSAAIREVSND KSWLEEVPVGDRSGGAASKSDEDSPSGVGYTRLPDSGEDSNGSERQLE XP_822353.1 MLRGVTALLEAIDERAEQHARQAVERNVEINVSSCSTEGHDPAQ QGMLRCNVPDGSVAIDPAGSGVVYLPTFPEPPFPLHGFAAPPHHDDAVAPSLNTWSHI EGNGIATTASALAAVGRDSSSPLTGQKEKQIEALTQMVQRYQAAAEVAKQELLQQSLR VCKLEEALQDTKTELEEHKVKSRLLLEERQRQCEELHALLERRKESVPFYHSDDIPGQ AESHVEGVEGVKTEEGDVGDLRNSVTSLQRQREWLEAQVAAGRRETTEFRQRHSAVLQ DLEFLKADLKGVQEALDSEVAAHNHSKALLQSRQRELNELRAAVERNGGTFTASGALV ALRPDGRKEGDVLLSRQLLEKHKAMETALRDAAEWRRRCERMTNRLEEERTARVSIEA PYPMGEVGEPHSVTFFGEEYNGVVGQFIGKCGHVLDSTALHAARLLRRSSPLRIFTMV YIVCLHVLIVVVPLMLSS XP_822354.1 MELIDPVVPYGDREVQEALRLLEEPIIVFGETMSERRQRLRKHM VEKGYGSLDAVRGIQHYSGFTAAAAATPRVGRMISGAVEAGGKLSDAPSELVKKRVAL LPVTLERAERRIAKLKEAFVAPTAVRAAHHSMVDRLRGLRLTKSVRNVKVVRQRDGPS TSESASISEFFCMPFTCCAAVPALDHIAVTGAVNGVVTMWSASTCRALGSGSTCETGW GPVRHLAAHPIQPLFFSTTMFDSRIAMWRISTQPLDDTSNAGESGSSVAGANGGTGAV ADTNLFLKRVALSSSKHTAGINRIAVDPTGVWLASASDDTTVRLWDINNDTPLLPLVS HNGYEDVCGILDVRFHPDSSLLATADKAGRVVAWDLRSGAVSFTAAGKHGGHLQACTC LSWSLCGFRFATGGADNNVHVWDARYLCRGAAGAPCILAGHDDVVTSVEFCRNPSFPA VPAALVSTSLDGTVRLWDMNATGASVQVLRGPSPVRGQCWPAEGGQTGETLFTVAHSK YWSLWSCVADGESCEVTRRETEDTVVSEVVTLLRDDVVTSGFREEEGDGDDEEEEEDE MMALRKHNKTATGESCLAPNNGDECAHESTDDDEDEMQLLKRK XP_822355.1 MPRGGVRSDSHVAAEKPPRLPNADYFIDSINPDDPSSIVAAMRF LIEMSANLSDHGNQAESIKCAESVLLLRRAHENAVAPLIGPLVEEGLLPLCSVDHAAD IVLRCNSNAVSAFNQRCFDMAELFLGKALFLTDSRTTEELNYFPSAEARRLRLRAATL NNLGCMEKRRGRLEESLRYLRQAVDMEVALDRTTGGSPSTYLNMCTVLNELQRHDEAV IAVENALSAMNEQMLRQQHKQQLPTCAMMLVVGLYNLGVSLELRNRSGDVERAKNVYQ QALEASRKYFVDPACPTVEMAMMAIQRMHADPVFREERLEKEKKERGKTAKGPLPPIS KAASADHFSSATEPPPQQQQAAQETATSVMSVIPSTPTRISSASKASILTPPLSSATR PQMPRNMLPDHESTNRKGPPERSVAPDMQREPQGGSEEESPGPQDPQWSSTPGGRPSF PRTQASACSPPSPQFTLYRPETRLEASVQEEQPPPVIEIEPESTQLSAPVPLQLLPQQ RQSEEACLNPLEDAKAAPCSESSSAKSAAALVAKPGVPGLMKVNTQVTEWRSGVVRGQ SPKSLSDELRAQTVPEVTKKAPSMGWSGSSLFSRLESMSVKSGPSSQDPFVCSTTAKQ AASGPLGATKRSGIRPLVLSPIRSQSLKSGSTASAGVSDGQHRIALSSVFESKDARKL SKGSSSSRLDTMSLFGRRRFASITSLAPKDSSETASTSRPILSAQQAASVEKHLMRAQ LGQKKAEELRGSLSAAGRSAALARAKEREQKRLAKEEAKNDVALAGVLYEKFVEGMRT DELRRCHRAAVAIQRIWRGSLARTLLTRMVIASKKIQKTFRIYLVKLQAIRLAEKVME ERRQSARLKKEMENAIIIQNRVRQFLRRLEIRRCYLARKMRHRNAACRIQRGFRAFLR WREEHLAALIEAQRAEEERIYEMEVRAARRIQVAYRCYLKRKMEFLFEQEQERRKHAA EVIQARMRGVLARAWFRYYKAYHYEQELKSATNQKRIVVMQTATRALLSSRLRRRMEM DLLYQLQQQILNKSATKIQCQWRNRLARIKLDRLRAEKAVLERRVTRVKRWYQTRVLR RSFLQVRENRRQNDAATCIAQWYRMCKVREKERETARYHAIKAREARLARLQADSVVL LQASWRAHNSFVYVRSVRSSFLRLTLCAEFFQRIARGFQGRMELFAYKCFLIRQAQER VEAQRRARAACVVQRAWRCAFAKDRVVHMRRCHVAATMISKHYRARLCRMELQRLREA KRFEEETAAAMRIQKAARHFLRRLDLLRHENRKRKRKERMFILMRRDEAATTLQAIWR GRVTRRVLEEERRELAALEVHIRKVQRAWRTHKFRCGINATVLKHTDLRKRRSTAALK IQCFWRKMVAAEKVAVLRQLHRRRVKNARIIQRWWRCMLAKMELEARRLKRQEEVAYQ LYYMERWDEAVSLINAFLQTMLSQQHRLVVKRKRLLEQLTDKERERYLRRHAAIIKIQ RFYRGHYERMYARGVRRKKMEEEQRKREQEALEQRSALAIQCAYRVFRACCEVTARRR AKREKQLAEELEHYTTMDPQDVVRNLFWMHESHMKRDHIRGRLNVKARSDKAAVVIQR VVRRWLAELRMARRREKSKALRAVAIIKSYWKKYLECKRANLLEIQRRAATIIQAQFR GYIHRCEWEERRQASEKEKRQQVLGENKFDATATVLQSFWRRITAQRLVNRMREERME EKNFHVTNEAARTIQQVYRCYRERRMNQNQ XP_822356.1 MCIPLSSLFCHCPYTHCCPVYSPRISFIIHVTCHVASKGWGMAA VEPYRRFVSIELPFCLPVTVETNTDGTHSSCATATADRHTVEAFVPPSFLRPCIDDII PTAREKAERQEGGNDTAGKGEPKEMLGIATTTEYCTGEIFSGWRSLDFDADLESRLEG TADGNRSKLTTTANEEGEAERERIRRESFPSITPQLLLQGFFRNDLLVEVKRTRRVKR LRNVETGRVVKETYVDGGTDHKQTGVTVLGVVSREVDIVKPADFCFSPFTSENIESFP ELCSGNIFPPGHFIGEKSPFEVALVYDSHSGKRDMDRLDNTATSFNCDEAPLWEFEGV PTLTMAAGDPNIPPPPSQAQMDILRRLNEGFDEQGCIEVRAVKALLEERPVWVTKDLI DAIFETGVCPRTHINKKAVACLTYIIKNGPFNRMRIRMGFNPYANPSSACLQRIAVKI CRRSELGTCLRDISRVPYINEVLQEILDSKSHKRGSEEDSGAFKRPSSLPYRPRGTLF ERLARAIGLGQLYVALQLIDVSDDAFLQELLSTVSADAEIPLEDRTWRCGWIGSGCYQ RAINHIISTFTTFIREEVEPVLQRRRSGQENDQVGESAGERSPYASSTSSVSPESYGD DDNEDSLESVSPVDDLSQHPDDG XP_822357.1 MAAVMKLEKFFVATLIVAVTRCFAEEVDTVAPGKAPPQDVVNTL CGAKHLLEAVNKKAGTLASEVEKHVTDAKASLDGTVKASKSTQTLYEKLQKDVMDAGL TAVVVERMKGIADAAVEVAASAEEAYEKVIRTNESVGTAAAEIETSSNHSANLIDKIL MATAGVEDKETEEDFCSGVENKYGDGLKNASRPHCRLFDNCKLDDINGKAWQPTHMEL VITYHGICYRLDAVELSMGIAKDAATKASQMKTRAEEGMASAYQESIVVAREIAGEAE RKRLLAEKELAETREKAAADAKARKEAEEEMRRRAERERAEKEEAVARAERMEKKGKT VAEVVVKAEHDQKAKDGASDISSRRIGSLWSPLRDVWLILPAASLCLWTQ XP_822358.1 MKARSSRPVTTITVTSEETIQTHNARDTPRTFEYKTKRNELMRR LEEMRNALEEDNNNNNKGSRVKLCYYDITFNCRDPVDVTVMSGFQRFEGLGDTLSGHM KCEEDDRHKFVGLIKEIIQLDVSHRARNMDKSEIAVVRIAANFKELNSTFTRSAATLL XP_822359.1 MYETGRVATGGKRQREERRRVPLFWVSVPSCVIMVSLRCDFLNI PVGGCDSDLALRDCCSAALLGSFIPSAFALGAIRGNRRRVSGTSVSFKCGFLPPPPNF LAECPSQVPCQPSIPEKVLGKHRISAAPRPSFLSLLRCGPSVFCRPKPHSPCLPTLLR KQGP XP_822360.1 MHRMPFNSFPNLSIDAMTRGAVPCTNPCHLGGDPALPDGKFLRQ KSAVLPGRKIPKHSFTSGCTLNRSPLTGEKPVVYHSPLCSLPLFAPFTPRTPHTFQKL RDPRARRPISLSLLISSNPHNLQPHQLHHILKPPRLLCCVFGFCLNEGIHQFQSP XP_822361.1 MMLCHTSLFLISLLLLLQSASALQAIRRPTLRTTGSGWEPCDPG VNQWSGYFDIPGEQSDKHYFYWAFGPRDGNPNAPVLLWMTGGPGCSSMFALLAENGPC LMNETTGDIYNNTYSWNNHAYVIYIDQPAGVGFSYADKADYDKNEAEVSEDMYNFLQA FFGEHEDLRENDFFVVGESYGGHFAPATAYRINQGNKKGEGIYIPLAGLAVGNGLTDP YTQYASYPRLAWDWCKEVLGSPCVSSFVHVMMSAMVPACQSTISACDADNSSSADSSC KLSRVTCGPMVALFSATGLNVYDIRKTCDGPLCYNTTGVDNFMNREDVQRSLGVDPMT WQACNMEVNLMFAVDWFKNFNYTISGLLEDGVRVMIYAGDMDFICNWIGNKEWTLALQ WSGSEEFVKAPGTPFSSIDGSAAGLVRSVSSNTSSMHFSFVQVYGAGHMVPMDQPAAA STIIEKFMRNEPLS XP_822362.1 MMLCHTSLFLISLLLLLQSASALQAIRRPTLRTTGSGWEPCDPG VNQWSGYFDIPGEQSDKHYFYWAFGPRDGNPNAPVLLWMTGGPGCSSMFALLAENGPC LMNETTGDIYNNTYSWNNHAYVIYIDQPAGVGFSYADKADYDKNEAEVSEDMYNFLQA FFGEHEDLRENDFFVVGESYGGHFAPATAYRINQGNKKGEGIYIPLAGLAVGNGLTDP YTQYASYPRLAWDWCKEVLGYSCISRETYDSMNSMVPACQSNISACDADNSSSADSYC EMAGAACSGFVSDFLLTGINVYDIRKTCDGPLCYNTTGIDNFMNREDVQRSLGVDPMT WQACNMEVNLMFDIDWFKNFNYTISGLLEDGVRVMIYAGDMDFICNWIGNKEWTLALQ WSGSEEFVKAPDTPFSSIDGSAAGLVRSVSSNTSSMHFSFVQVYRAGHMVPMDQPAAA STIIEKFMRNEPLS XP_822363.1 MRLISYPVMLSLLAACILVVVLANTVYRSLSLALRTTGSGWEPC DPGVNQWSGYFDIPGEQSDKHYFYWAFGPRDGNPNAPVLLWMTGGPGCSSMFALLAEN GPCLMNETTGDIYNNTYSWNNHAYVIYIDQPAGVGFSYADKADYDKNEAEVSEDMYNF LQAFFGEHEDLRENDFFVVGESYGGHFAPATAYRINQGNKKGEGIYIPLAGLAVGNGL TDPYTQYASYPRLAWDWCKEVLGSPCVSRETYDSMNSMVPACQKVINACNAGASSSQY LCKLSRVTCDPVTNLFTLTKISTYDIRRKCNATLCYKFDAIPAFMNRENVQKSLGVRP TVWKSCVFDASKMFNIDWSKNFNYTISGLLEDGVRVMIYAGDMDFICNWIGNKEWTLA LQWSGSEEFVKAPDTPFSSIDGSAAGLVRSVSSNTSSMHFSFVQVYRAGHMVPMDQPA AASTIIEKFMRNEPLS XP_822364.1 MSTKKANENKKDTNTQTDVRLSNITSAKAVADCIRTSLGPRGMD KMIIEPRGEVIISNDGATILSKLQVTHPCAKMLVELSKAQDVEAGDGTTSVVVLCGAL LRAVEELLLKGIHPTQISECFNECAKLAEQVLEGMSVKIDINDRETLIRAAITSLNSK IISQNSDLIAPMAVDAVRQIMRDNGDVDLRDVRIVTALGGTIDESVMLSNGMVFKQKA SHVAGGPVRIANATIALIQFQLSPPKTDMESTVTISDYNQMDRALKEERKYLLNLCKQ IKDAGVNVLLVQKSVLRDAVTVQSLDFLAKMKIMVVKDVERSDIDFITKTIGCLPVAN IENLKPEKFGHADLVVEENTPSGKIIRITGVQPPSEKINLQLFGKTVTFFLRGSNNLM LEEAERALHDSLCVIRSIVKKRAIVAGGAAGETEVCLQLSKYARERAQGMQTFCMRAF ADAFEVVPYTLAENAGLQPISIVTELRNAHASGNKNAGVNVRKGCVTDMVEENVVQPL LVSTSAVRLAAECVMMILKIDDIIMTRA XP_822365.1 MGSRYERLQKIGEGSYGVVFRARDVTTGTIVAVKRIRLEKEEEG VPCTAIREISILKELRHENIVRLLDVCHSEKRLTLVFECMEMDLKKYMDHVGGDLDAG TIQEFMRSLLSGVRFCHERNVLHRDLKPPNLLISREKELKLADFGLGRAFGIPVKKFT QEVVTLWYRSPDVLLGSTQYGTPVDIWSVGCIFAEMAIGAPLFTGKNDADQLLRIFQF LGTPNRQVWPSMDTYPNSSNMLSRPEFQQTLAATCEEQFQTNPAYAKLGPQGIDLLRW LLRYEPSERLTAAQALEHPYFSVEF XP_822366.1 MTKTNGQHAARKLVRLRRKNRWADKGWKKSHTFVAQKANPFGGS SHAKGIVLEKIGVGAKQPNSAIRKCVRVQLIKNDKKIIAFVPNDGCLHFIEENDEVLV SGFGRSGHAVGDIPGVRFKIVKVSSVGLYALYRQKKEKPRN XP_822367.1 MTKTNGQHAARKLVRLRRKNRWADKGWKKSHTFVAQKANPFGGS SHAKGIVLEKIGVGAKQPNSAIRKCVRVQLIKNDKKIIAFVPNDGCLHFIEENDEVLV SGFGRSGHAVGDIPGVRFKIVKVSSVGLYALYRQKKEKPRN XP_822368.1 MKIKSHDQITFPEDVTVSVKDRIVTVKGKRGTLTKDLRHLQLDF RVNKKLRTFTAVRWFGNKINNSTINTALSHVRNMITGVTKGFRFKVRFAYAHFPISVT VENQLVEIRNFLGEKRVRRQVVADDVKVYRTDAALVKDELVLEGNDLEQVSREAAVMH QLCLVKKKDIRKFLDGIYVQTKTNIEVDE XP_822369.1 MAIEYPDNGYIYVCLRVRSVPTLSELELSCSSRKCGAGRMSPRH RPPRSTTRASQRICVSTLENIVALHDPKSLDDAACAVRDAQHVVQLLVGRSLRTVGKH SKRRPLMSTATANYFEFDNCLASMDADSMLQMPIVRNSDFIRPPEYGSQEDVYLSTAV HAVSAAVEGINSCVFAYGQTGSGKTYTLFGDAAYIQRDPGVVPRTMDDLFMRLEAIKR NYEENTETDYSFRVQLSFFEIYQNEAFCLFSRKGPLRVTFVRDSSGREALVIHELQRH FVTAADKAMPLVELGFGRRQTGETGMNAHSSRSHTILQLHVTQWRTDKVTRETVELNA LLNIVDLAGSERQKTAKTDGKSRDEGIEINQSLTTLSRVINEISQGSKYVNYRDSLLT MVLRDYLGGNSKTFMIATISPVAFCYQESCATMQYAKGVRKIRNRPVVNTTFQTRNSL LELNAALKQENEKLRQHVENLLKVAGSGHSIDGLLSESDARDSDSGEITICGTIPHKT LRCGAPIKRYGTVSEAVFSTADHCAVPLVVTVHRKYKNSTGIGVANVEGSRIGISSLT RNVVQFHLSDLLSGAEGSGQLVARPKIPQLKCLETSHGLVEFERMPSNSCERRYWVRY VPPCKEGDEAVLHKKVVCHMNGIKHSDGDQWSLTHGDVFCVYIDSVGDDSEKSFVTFH YVDANCLARHGRYMESNDMPEDIASQLAPVSAPGSAEEQLSQLKHNRTNLLEVLRWQA QSVDYQCQLMGVPLLRQGSETVKEKSDGGSDRHSCYTPNSRGFDAHYDNETSPLPRPM LPYGDHIHTHMHSVKPMDMSTAAHAFQRTSNWKCCSVGAGALAESQRDCLRESEVCSW RFAKRSVEFGEVARCLAELERSSSLSQPVTANVVNSPPMESGIMEDGKGIKFIGCGDE AVVRVEKGTDTIVHMPYQKGGDEFDGGERKVKGLRESKTSTPSGAANPLRNGPHPREG ARTSPLPGTRMSLVGDFCSELNADTVVGYSANANIFHLTSDGVRERELWVRIRSLERS VEDLRGQKSVLESKLRIAQDANTELELSEAQLKDELSQLTKEFLDSAADHSKLKGEIS NLGAILHSMEGALMEVSVVSEFEMNEQFPIMAEKILMLRALTRMWKRRAMGSVARGTF TSGSRSSTNVSLTSGEGRTRGVVQASRDDLHLELSDTRAQQFLKEHVPDFPANTSVVE HSEKETAAESVFVVGTVLVGLEREKNQLVTDVNRERDEGEHYKGLVFRVQGDVNDLKM NAKKRESPTTEKMAERMAVSSVRSRQLVEAGRKRDASDMNLWTTDQADSNIRKKSLDN KVTLLQEQRMLLKQQQREYDRRAASLACKVEELRYTLSCLREKVQDNGPNGFKDANDL YQRVEELINEAMSGFGGQGCHSTSPPDFKFTGEMITLVLMGLRILLDRLKVELYVLNR QSLHRFALIAPAVHLRPETQFRYYMHKLRGTISGIARKPQCVGADWTVTEADILSGLV SHRRRQAGDALYGLLIWYDHWDVAAKTDHICYVELIRNRDHVMQIARLVRQESLVNTN ATSCQNLLPDERRASTLGKEIPRSGKNIGATDITVTASRNILGKLSARVKNSTLGNAM TKWKKCPPGSTSFRGTSASSTLHSPSQESGLTFLSLAPNTTFTPLDFPSREPMRLSKS GHLQKQSQSNSASEKPSGSTVEKFGRRSRIIVVPVSEADITVAASTGLPRRSRTFNYA RNLSTAYFSERELQPRALTKACTRFSRSKTFAALGNMAHGKPCHLSNMASKSSSVCEG RNGKSMSSCSFLPV XP_822370.1 MLFAQRKRRQRIAGKIGHDDSEYEIDHHHNIGILPQGQPRNTPR AYNGGPPATPRRQPHYVEQQQQQPWQQQQPSALMERFDVNFTPLQVNGNALGNNYRGG LVSNFGQALPPLPPPPVQPAAAWAPLPPVVNKNPLWFKPMLGACAPVSHPLIAPGVNA CYHQSPPQYLYNCAPAAPNNLPPLQLPPVNAGTPRAETPLPYINNPIGRSCSRGDFHR PRSAAVGTLPNLNAFEDSAEAKRAARQRAIEFQQENARLCEERRRQQQLERQLQMEEE RRREDAARAERLRLGQKEQSEMEREHSEAAGRVKKGRGGGRSNWTSDEQLREDLMRQI NDKKQRQEDERRAAELEMQKEKENNKNSVYSNFYSDNGTDHLRGGRGGSPEPLEHTAP GAEPLGNGAAAGNDPVTNMAIPAGQLPGGNPHTLPQSYLPFAPHPLGTPRPFPAFQLD PILPLQRPGVVAGMASAASVPAAGNDYITPHLPPFPSIEISTPRDRAPTREAERVESH LRDMINEHESITRMLESEVPTKPSEIPLYRPQPIAHTKVSFTSPTVDNLRWNPCGTPP AAAGTGTGTASAPAFAMGYAAACGGGSDELSAEPSTFVGAQLAGNNA XP_822371.1 MQPYGMSTSRTNRRPLVASRGGPNAPQRNYAMVTGPVDPSTSLI RYELPFSPADPKRSVKLRRRFGEPIEESVVDPNVRVVLDSFIPPRAWYDDDGVLWVQH ASPKPASRTDTVETHEKLRRRLRETGAKRTGICPIRSLLLAECFLEVIRQVTVECWER GLVLLKIHAERVAAQTAHRELFESRVGYAFRLALKGEKDTSRVQQDLGKLKKRMEELA EEEKTLRQQCDEASARGEEEMLIVGKQHSDEVAAIKKEGILKRNQLEHMISMPSTP XP_822372.1 MPREVVTVQVGQCGNQLGLKWWDVLLQEHKANPQFTDARDALFD VSGSAPLASVGDKACRAGSLKARCVAVDMEEGVLRAMLRGPLGHLFDATFFVSDVSGA GNNWAVGHMEYGDKYIDSITETVREQVERCDSIQSFLIMHSLSGGTGAGLGTRVLGML EDEFPHVFRICPVVMPSAIDDVVTAPYNTAFAVRELIEHADAVLPLDNDALARMADSA LGQKTIGQAAGERKEPQTTLGAPAARGYSVAQPTQTKLPYDSMNALVAQLLSNLTCAM RFPGPLNMDINEITTNLVPYPRLLFLTSAIAPLSVARHAAASAPRSVDTMIAACLDKN HQFVDVSNGLSSALTHEAGTCLATAIVARGPQITVGDLTRNIPRIRERQKLVYWNEDG CKTALCSVSPLGHRNSVLMLANHCSIAQKLQSAHERFMRLYSVRSHVHHYEPYLEQAY FDDTCDTVLTVVDDYNYLNTVQMPADVPRSMRDLVYF XP_822373.1 MLPMASNPTADLTTSPEATASLNACLDILAHVRKAQADSVSIIS VLRNCVVEGVGCGPGTACSGVQPLKSPTSVETINRTLVRLRVTEVKLSALQPELWESA KTAVVQVRALLQSNSTVPAGAVRFGDINMKQHVDKFDRISKTLEQCGSLAQLQREAVQ SLYAQLRKLAAQSPAGVPRCGYPPFNILDAVQTGKAIPCVEVRQTDAGEEVVRFVQQL EDLMLNKQQVFDYLEAALRDAYGHFPTSVPTLDGHCSRQYGDGLNIFPSDQFVSVSSD SADGNDAASCTQPELGSCGGTQCGSSEHSAAAGSGGGDGCFNIYSVVSTGRRDQHASN DLDSCATGESMGQRTSTDMNRNRLCSRRQEYPSGQDVDHVMENAYLRCLLEAKDRLIT DLQESIFGAVDPYIYKRSAGTITAPPPLSPPHQFGPAAPPDETLVGRSGGDSSGDVNI RACGLPHTIDGQVLRDKLEEVVEIGFNLRAGLIALREEGQRWRHIAQGFQEENELWRE GGTMVRKFRGTQERRSGLSGPSIAHEGGRRVLTSRVEGKAEAGLGSLPPKCPKRSTLN GVGRNSIGDGANVVNRMPRSEIVTSTSAVSAVADGSLPASEQAGNPPSASVTVGSKPS CVRDSGEGGGAPRSAKAMVAVDSVDVRKKMPASERGPTSGPLLTRPLKVSGNTAGTGP CHSSLSSFERTNSGRAPQFQLPSLEDTPGGSLSTGGVAGTGDAAAYIEKILISAQSCL VSVGCSNGDDMSSPYSGTDSFGIPQFHCNSPQARPSTPPSETTSPAGLYCDSPLEEPL FKEPRLSEGGDGIGVKPVTTLSNGATACGVTATVDTVCNSDDNTLASSSFSPPSLLLE FPSESALPPMEDVDCSSGTEGVLPQSTVHQSMLRELRSQLLLLQSKHAGVLRQRKILR QNREQVAALLQRPDISNVKTTTDSSSLISGDMRYQVEKLLTRLDAAQESVCKREVELE KRVEAVRQYISSLRERSVSFVQELRASR XP_822374.1 MVRYAKRPWYSPLGFMSHSPQSYAPLLMVVGVTATFPLRYKISD YFERQRDGPHVELRRKAVLYYNEVERMHRRQALQNQANVKDDDSSHRISSTLQAEALR LGHMDQEYNYWHAHQRDALRAEKLLAEVRELQERLNSAQMEQLAEA XP_822375.1 MQVKHYKTLMACQQGIARTQAICWSPNNKRLAVADNNRVVNLYD EHGERRDKFPTKAADGKNGKAFVIEGMAFSPDSSKIAIGQSDGIVAIYRVGIEWGEKK AICSKFPQNSPVTCLCWPETSQGVELVVFGTLDGKVKVGILKANKSQALYAHEHAVVS IASSPDGNKVISGHLDGAVYQYTFESEDAGGGSGSKKLFNHGHPPYVLLWGENICAAG QNSFVTFYDRSGQKVQSFDYKAEDEGEFTVGSFNPSGHAIVLASREQLRLFDFNLRSR KWEEGAVIRVPNSCSFSAISWKYDGSRFVTGSLAGAVDMFDVCLKRYRLRGAFEFTYV SHNQVIVKRLATGTRIVLRSSLGYEVQCVNVHQDRYLVAHTSTTLLVGDLISCKLSEV PWQLSGREKFVFDNPQVCMVFAAGELCLIEYGKNEILGTCRTEERNAHRISVRVHDPS ITSEGEGQQGRKFIAYLIDRQTAQIDDLSSGTAVARLSHQCRIDWLELNYRANKLLFR DKQHQLFLYDLEEQNRTTLLNYCTYVQWVPGSDVVVAQNRVELCVWYSINSPDRVAVV PIKGEVEGIERGNGKTEVIVDEGVNTVAYGLDEALIEFGTAMEDHDYIKACDLLDQIA LTPETEAMWANLASLALQELKLPIAQRCYAALGDMAKVNSLNQINELAVSEARSSGGA TDGYDHYAVRAELSMLNKDYKQAEQLYLENAKIEDAMAMWEELNRFDESISIAEARGW PDLANKRTRYYNWLVETGQFEKAGEQKEREGKHNDAINLYLRGGTPARAANVISANNM KPESQLLEAIAASLFKAQVFEKAGDFFEKLKMNDRAIQAYKKGHVYSRAVEFAKRAVP DRVVALEEEWGDYLVSQKHVDQAINHYIEAKKYDKAVKAAIDSRQWSKAAHILESQTV GSDNDETVKGFYKNIARHYEELHQYGEAEKFYIKANAINEAVDMYSRAGMADHMYRVA QRHLSQQQLVALFVDQAKRLETKGDYAGAERIYVKVNEPDQAIVMYKKARDYTNMIRL VQAYRPDFLSKTHLSLAAQFEKESNYKMAETHYVAGKDWGRAVNMYRDHEMWEDAVRV AKVHGGANAAKQVVLSRAMVVEAEDGVRLLMKFSFINPGIEAALEAQKFDLALQWAQL AQPAKLPYVYLKYAMYYEDQGDFRMAEEAFLKSGKPREAIDMYLHQHEFENAMRVAEG YDQTAIPSILQAQGRACFQKSNYREAESFFIRANAPEPLLKLYMENRMYTDAQRVAKE YYPDMLGEIAKRIALQSSDPQKAGAVLEEHGEYQMAVETYLGATAEQVQNPNVLANLW VRAVKVAQKHDRNMLKNVLRVATGKLKEAQRYVEAGKCLEDCEDYKGAINMYVEGKKF DLAEYLAKHISPELEDYVKRAIVQNSIEGGGMKDAKMVEEIDPEAAFKAYIANNDWEN ALRMAKQRPPEEMKYVAGLKMKYHANKGELGAALGVVEELPLDPGDFRFYETWLEMAD RILAQLPLRPDSDLKLERFHTCFSDVVESMSRSGQKQEDVAKANAFAHIIHIYYMSAR MRELSLDDYALKLMLGLPRWIPHIAPEKAFYDAGMAARNSGQDAIAFLYLNRFLDISE KIEDGAVDSSAIDNGDFDCTDFPKKYPMPKSSSVEKTSEEEVNRWVLAISIDNSLDPH LPTVTDPQGQVEMFEGSLQSPAGVTYPECAVTGYPIVGGGVVKCRNCQRPANQDDWTR YITLAKACPWCGAADSPVFGI XP_822376.1 MPLTCLGPSKVVPGATGVFLTCALKKFTPVEIAPTVGATSPPYL CNLISAPRRTISLRRFLALRHQFSSGMCFNIATLRGWYLLAPSTHAPLSDPSGLRAWM QRHHHRYTHVCSTGNGSGSLGLRYEGEEQGVFTASGGDCNPADFEDEEDETVVEDKGD AMQSSAAASPGRSTSAGAVSPLCIQEEHLFEINDGILWEMPPNDDLASPTYWRRHRER MQVYEDTSVGVEQAELIGSDATGGSSHESVVAVEKEQYTGQLRLVRALTCKANVRLTV DDNTQLLVLMPVVDLKEGDELLLHYGREWWSHRLLSTLFMSVQDKEISDVRWVESLFA KPTDVHRPFPLLCRAFAGKRKRRLVGRERDAGREGRTSTECSNSEGTGNDAKVVLYNI ATKKKATDSEALIFAVRRSCVDRNFFTSLVGGSERGAFNASRCDDEVPISRVRRVLLQ SLRQGGGTVGEGPAKDSLDEGETQDDGSFCF XP_822377.1 MASHSRGCTEATPLSAVVEGRDLRSRRSHTNLRGSSMTSISEAS RSCLCTSSRNDAGERTLRARSVTEPTNLRPHSLRRGTSVTIYEDSCVRRAAIIRRLEK MRPERKLSSAASEPVYTNGARSLVLTCTRHGHSKEYPPVRPKSASCSVRQSQRCSSVT GTCASARSDAEKYTRKGRLSAPPFQTGLPRAEQAKPERNSFSFAPGRVERSRCRVRER CEQWFTGGEHLNAALISLFVACGASEQGGLGLTLFMKDFSQTCEAHQPVLPPKRIVLN AISSMKSVCIIQPSTDKPGGINFCLALPDFFALLTKELRGFTSAKVQGSFPPTREPPA VCIVLAALVPEALERVFNAEVVEYFRNPLTIAYALEKLESQYENQVSTVSDAVRDKAS ITISSLPQERVQHRRDVGRSACVSGSPSPVGGEASYPSISEITFSGDKSLAEAPYTGT NSTLSEVGRKAQPVPRYMKPRLLDEISTSIIARAKARKLMDRLRKSAIPINRHVCFAR IFYSQQAKAGVVSANDDCCLAFTDSDDSDSEALVQLQPDPPQGRLRPTNILKWPLYDE YARGFSGINKHRKPRRGLFEEQQGQFAQLKVCSDPPISQPCSVAMSSSRTFEIPEPLS CFSLSTSLSTSSELTANDSSPLVATMTTYQRRMSKLLDRCSSQSEFSLADLSAVRFGM YGATRRRLYSASTGGTAAGVNDKKTTRGKSRTRKK XP_822378.1 MREVLLGVFDGYMPLERNKLTSETKIGGSPTYRPSLSESQLMTI REWTTCGVCGRHMFLVLQAFSPLPQSSAGHHRMIYVFCCNSDACSRQPSSSWCAFTLQ AENMDEEALDDIQSEEAARSDPVMASELPPSTFPPCYVAIDSEPRKEIVVPTDLEAEM IRAAQENAKNPDITENDIKELEQTIDLKDKPADYEFDKFRRRVAREPSQVIRYYERFP TESCGVTLVSAVAPPLFMRPSRVKEIIRIPPCRDCGAALIHELQIMPTSVYYLRVRDY IASGSPSGDEGVDWGTVTVFVCSKDCSKDRSGSSLRKEFVFVEKAPEQQDELENLEGR VDLRTFMTGRT XP_822379.1 MDDDVGLQKAIYSSLQSKRTQDAFNRFSRNAPSHGTVRSFPRNG KRNALLREGIMPTVPRSQQVRSTTGWTFSQFGDYTDRPPWVNPLDEESCIPNMPVRLQ QPSDRVPGWTLMCSGGRIQRQNAMGSNILFICEEVHYAQNTKIHCNDLLALRTVAPLP QGKNIACFTAQIQSLVSQGRYAMFGMGITPRAGDERQGFCVALVHYDSSGGIFLSVEK WRMTEQDTFERLELLEPMKTLRLIRERVTITLLVSSEHFSLSINGEVVCPTLHAPGVR LGESLPILLATGGKISVREVAVVEGHKPPANKLYGRPPPFTLSPKKSDTNKKGIPIVE EEPLCSSGKAAFPDKVSNRKGKVVDKAVPKDKVLNSVAPCAPPGIAPELLERIEAEII ERSPNVEWDDIAGIPEAKRLLKEAIILPLLVPELFTGVVQPWKGVLLFGPPGTGKTML ARAVATSAKTTFFNISASSLISKYFGESEKIVRSLFHLARHYAPSTIFFDEVDALMSA RGGNEHEASRRIKSEMLQQFDGLCTENDKRVLVLATTNRPWDLDEAMRRRLEKRIYIP LPDKAGRLSLLKKQTATLSLDPSVDLEEISDKRTEGFSGADMNLVVRDAAMMPMRRLI ADRSPAEIAAMKEGGKMIVSPVTMNDFEDALKKIQPSVSQSSIKQFEKWAEELGSV XP_822380.1 MTSHPAVLATAFHTNHIRDRGIPISFVNTPQRVPQGERQAYTTR TTISPSAHPPPPSRARRRFKSSRRSRRPQAALKWRESDNTPIRGAPGESVDRKGQDFP LSPSHFSGTLLITFADAPRWRFPSYVSVTFLDSRGCHTPQKALD XP_822381.1 MAEERCLEGPMEYFFAALERQFPKQTALIGGAKSLTQAILRALD VLYPFVEALFVRFMDYAKSLSSHELNQILPLIAGFSICFFGGCFFTLFSTVEIVYLTS WDRIKKSAEVIHRNYVAAMEASRKDDAPGYGYTVTTGDESLSKNELLSRKLRIFLQSV DPVSVKEELAAVALAFMAVVAALRDRFAYYVTLGCILADTAPRFFPLKAILEESLPPE LQKLAGPFSNFLFGALGTTIAVLASQYTITLHCATRGSKMLVDSALELAKTRGIVVQD VKVDDSWVKAFAFGLAVIGFTWQAANGFSLPFPLNLLLFPVTVLEWIVNVIFRASGSA VWATTLSV XP_822382.1 MRMSLTTRRPFCPVLLNIGSSRAVTLSHFHAKVCPSITATVKQT IRELDDEASPNHQRRQESCFSSGELRLRPYGFSPERPQLQHESWSCAWHSYLNAVRLR GEVSAAGVAPLPPTATSANSTSHNDDEFFPMTGTLTLPVPVVVPTSNNEPSNETIMME FFRVDAFIPVRVQMYHVMRRLIKMQAVWNNIVAIGIVVPTHEVEYFISAARAAHASDG ERTPHALLSRKPSVLVYDPEGLRFQAPESKRLRK XP_822383.1 MTSVETRIQALVQRYTLMENNINKLRKALASLGEGQQNANTTPR NEATAVAHHRDPCDLKELQPCPQDTPEVAALRRWCIEHSLTTAVFRWVPSDYYQHTLQ WRRDELAAPSVHHLCKSILMENTHCTNKDCGVRENSRYYLIVYPYTEKFDAEMVMRHV KGLNEGLGKKKFNFRLAAPDVALQLTGFGHGAVAPFGTPTEIPVILSDKILQLTPAVF WMGGGHVDCKVRVDVEQFMEVIKPIVASVTTPLSAEELGQLVD XP_822384.1 MYRFWPSFSPFPTLKLLNIHRWCCSATGKPPMVGVAAIQRGALQ SAASARVRGRVENFRVRGKLAFIQLRQPPSHSIQVVAAGAEISHKVKELTPESIVDVI GVIKPTERPVTSVSCSNYELHATSIEVVSKAAVPLPFPLHDQNAKLDTRLNNRYIDMR TPLAVAMIRLVSAAAQSFRTQLLSRDFVELHTPKIIGTASEGGSALFSLDYFGRQAFL AQSPQLYKQMAIMGDGMRVFEIGPVFRAEKSLTHRHLTEFTGLDAEFTVDEFYTELLD VLESILCGMIWKLQTENAEWISQARAALMELDSTEDCGTVVPRREDIVCEVAEEIIAM YNIRFDDAASRIAPSVPTEPSEDRYHARIGTSGPKVLRMTFRDALQLIRDNDHQHAGR QQEVVGESDEEVVVDFNLNQERALGELIRLRYGVDLYVVDQFPLAARPFYTMPHPSEE GVACGFDMYLRGEEICSGGQRIHDVAQLIHSMEQRRMEAAHMRDYVDSFRYGAWPHGG FGLGLERIVLFLLGARDIRRVSLFPRDPRRLAP XP_822385.1 MRNNFPIPLQYKLGVRYSVPITSMTDDSRQMFSANGAYPTNEDV SALSMPLEDPHSRGNFFTDASAPAAANLFNVLTPTAQQTQRLRGVGRGSSAQTFTRTA AGTLTLSTARRTGTSQGCGDCIMALIDNRAGEVGAAICQLPSLTIIITQYGDSVTYAK TLSFIFSRGPAELLVPETVVGSNFVQTLLRHFNDTTITGVQRRSFDEAQGAHRLLELM STDEAALEVSNTDRYLCLAAANALVEFLEMTYNYTLLPHTVRVKYLALENYMEVSRLS ARALNIIATGTDHLARFPNQKLDKETTEESVKTGNRKPPVRKTLFRSSRELKKDALFV PLATAVNYTITAVGRRLLRSTVLQPLRDPTSIELRYDAVEWLCRDNEALAAIRRSLRL LTGDDLERVISNFSHTPKLATLKTMQRCIDAVVTLWQFLRATTQLLYTLRGLLSKGGT GNAPESEECDDVGDHSNLSSDGVDCSYESQVELHEREGVMEKENFHWPVPPRSSLCST SEGHRKPPMLLRKLLQTLTTCHMEEICAEIAHYLDESVVHVTGGDGASVGRRPRRTGG AVLQVQQCFAVKSNINGTLDAARHQYSQTIESMFAHAESLKQQYGVGSLRVVYDGVRG YHLCYDSRHERNAPDSIFLQKYSGGKHYALYHSGRAMMDVDVGGDLHGDSQQQRPPFY GSSVLHVETPEPLNTAAQRAAVTKHQVRGRRVTCTTKEMLLLRRGADDVVAEILHTQN GVVHRLIEYLRQRLGKLQAVCDGVAMLDLLIAFATYSRLNACVRPTVLRQGTSFNSSG TYFIEARHPGGLFTANTVQWSDRTNVLLLTGPNASGKTTLLRQVGQLIALAQSGCFVP AREAAIQPCDRIIAHMLCDDLEDAATSSFAREMRELSYLCMNATRESVVLVDELGRRT AAREGMAIAWATVEFLVEKRCRTIFATHYSRLTRLEEGTAGAVKNVHFVVAVEDGAGG SIPVGDDGMVVLVGGTAPLTNVESGSKPASKKSCTLRFEYRLQPGPSHVDCYGLRLAS RVGFYAPALKLAWELLPLIGGREGGRSHEGAGDEAVAATIDESEIKSFHSAGSPCCVR VADKVVADVAKSPPVVSPSTCNKEELSMIGGNRISGNHSEDISVASNSRCLRHTCLEG GAVDLSKMLQLSPCGSSTE XP_822386.1 MGMRKKETIKKAHKPGVAKGLSYRRPWATFVPTLICFLLLNYLA FGTTVDEEGTDLVVPSGLGDNNTSSLSKLQLLFEDRLMRSLFRVGLFMFREMKVIQLV AVLAFVIHCGEAGLAAGICIRCKADRRTFGLYTVLTLLGGATQLGPLFEAEKDYLKDT TNITTKDDVSKKA XP_822387.1 MRCGKICSLVYVNFLCNKPLSFDFFLCVTYTSCAFFFCLFYRIP HKIGYFTIATFRFHWEKQWFSAMWREKWDMCCEGISTLWTVGGRLRNVYNSSKSEAER RRDVWSTLLCTATFAMTAVAFAAIDAHGGVPPVVWNVLGGAKRLLRR XP_822388.1 MPAVCQSLISGYEEENQYLLVEAIHIQRELKHCGAEPIATDPCV RMFPKQYASPLQMLRRTENQPIALSSELLNTWGGTTRQDRKECYCQPKLLSANYCRVE PYCNNKVARPQLPSAFGGKGSLFPSIAARLENDVALGLAASLWPSGNVVGHCDDRLAH LLVLSSTIVRCKKIAQRDCEKLGGVAAARGVSSELRCEYMKAIEHLVYLERSVTETIL LVPPNLGVSQERLPCRGGAALQDSVAKSHTSKGTSVELSVSILSAVRVLKGLIEPATL TDRATSNPTWQYSLVRTAADVPSRYDIVDAMSYTLEPYPGLAVPRIYCAVARQLALEI LRSAGNGSIGDRAAVAALRILQHINTRTECLCSEEREAGQRFLSRLQWRLQRLTQMLA SEVVDEATLPTRELVAATRMFCGDPPVDFS XP_822389.1 MQTAPPATAAECSGVVKHHLWVFYTALRSSADEVVSAAVEITGL GEEGSHRRGWGKAAGSERHDLTRNGSYSSHARGPAIPEDSLITAATAMLGRLLHENRK CGGDGRGHVHSLTESEHMQRINTLAQVITWYCWAAVPVSENTFDFSLLAANRQDASRQ QRPVRRNVNGSDSKSTSSSHLIEDGQMAEKIFGVGVEAVVYASVSNINKGMVDAHATQ GGDGSGECASDELCHMVRMSAFYMLVAALMRFRNSPFNVTRYLPVLFPEVDARDARVS HPLLTPLLWHREERIRAAAAALLSALLRKLSTNFTYAEEPQQKRQSFLSLASLSGRVL ASIHYALLWATQTPDTPELMQGVCSKAVNVQNHRTGIHFSVFSVLVAVTPYNRCPQCR EMVGSVLRMNVLRECLVTCDSGRFKAATAFLCEVLKSESLISVVHDCLLWDEENVKVE VKNLEPFSRSEPRCDGFRALVDSLLTHAAARVEVWRCVVQLSRLHPATVGAHFSQLIT ASRAVLRLSTGLEGTTCPAGGAADATLGECLRGWVHFMGYVWQPFDGQSADPALRPNY ASHRATQLQKEQIVAELVLPVLRMAVGDSRHIEARRAALRCLAQVGDEYLLSLSDSAR QEIIEKVVAATSATESSGRAEAFTTIGVWAWKYTALDSKTLDFVDLAVSCLFNDPCST VRGKAAFALSNITSRLIENSAEGDGDDGVESAAAHENPLRQSPRHIQLLCEVALHAAE QYDDAAIMGHGIRIMSHLLGCLTFEELIAEARAECEEQQQVVAEAFFDTLLRFLNPFS CDAKLRWNASCALGFGLAREVVFDAEPKGATSAVERLCTVVCSDRIFKVRTQAASALA RVPPSCLTAGRYATADLTPVVARALCGALREVIGDTTETYAQYKEQGALRAALRQGLS VMITGATSSAELQCIFAEFRTILVAEGLLG XP_822390.1 MAFLLRAIGFTESIPGFPYTPCSGSSTTTADTPTITWTMRQGEC DESKRSVTIFSVNLADMEPRKRELVRHAMKRAKSLLLPGILRCYGAAEHRDTVYLATE PCEPLSKMKCGQMGSPLATSGDDDDEGEAFNDIVALGLKAVGSAVSALHKNKLIHGNV GRDSVFVISTGEWRLFGLELVSGFDDVGSSYLSYCSLLPAYRRPPETQHTNYESGGKT CAIDSWGLACLIYEVLGVNDQTSGKLSQSCSTEDLRSCRTLPRTLQSGFSGLCAVNPK MRHDVDRFLRTSDFIISSDYVQSMQMLDDYSLKDAAERERFMEHLANVVHTFPRDACK HLVLQKLRASFTFGILPGVVDTVVKIAARMPSPEEYATHVSPVIVALFRSKERMVRLR ILQHAAELLPQMPPAVVDGEVWSEYASGFESPIAAIREHSARALVPIAPLLTEKRIVN DVPKYIAQLQQDSEGPIRTNATIALCLIADTIPAEYRSKLFAQRFGRMLKDPFAPSRT AALRSFHTTLKHFTAQDIAELVIPGVSPLSMDKVAEVRAVALDVIHAAVSRLDANHRE ISSSTANEGNVIPPAGVVNNNTNGAGNEVKGSNAALDTKGWGSSGSSSVIQLESTTKG GMENSIPAASKASPFPQKVWGSQSSPSVREDDEESWGEEIQMKPQKLRLHGTATRNGT GAVVPDSLTSDDHPEDKRTLKIRKKGIGASRLS XP_822391.1 MNLSNRVVAFPYTPPPEAKYANLCLQAKAGEEVQVVRQVGGWAV LKLGERMGLFPLAYTVKKKDVKAGNGESSGVSVGCPLENENESVTKTDGCPEFFMEGG SVVTDAEPPAQRAVRNHGNLKRPGCDRISLDFHVSGESEVANTADDAECNVLFTRVRC LPDESEREMPDGSGGAETEVIRKGDRTTTGNELRDAACVLSARKLPEETIGRRGKLRK MPQSRHGDMDYRDNFEEAVGELRGQFVELDMEVEWLNSVTDRLQAVRQNLVGACTSRN ETCGSQQLQEGENEGKNHGDQFDAATLKQYVSQLQQSLVAYKAKSDTAPASSADGGLA GCEGATATAAKQNGGECTDGFEVPWWWVISAELRAEMQSIRECIWRELETLSYYSGQR QRLCANADRLLCLKTSMDEESWTLKESEDALEVVAPAGTFKIAYGTGSNNSSYLTDSS HENSFVNSNQDTSLSLAPEGSREDVEVVMKEGFVWPASIPGMLDNEKNVVETHQRAIK KYEEKLEKLRKGKGEEAFERMRAMEKLRKALSTGDAALSALLNRLNEKKAFVMRYRNV AAIMEEQLAISRKLLQQKRVNSRGNPKSPQQAEPTN XP_822392.1 MRRWLNIASNVFPLLRYRQVLGIKPPPPLHLSREGIWRKTEGLT SRTDGKGEITPADDLPPWPVDEIEFNTRAPMGGHDIRRAHEQQAAVLTGIDEDSGNLP SVSSTTHLSCLQPQRLTEEQKSEVRRVESTTEEGAGALEAGGWVCGSCLHCMPYRSRS VCDSCHTVRHDAATAVARWRGNPTTWFCSRCHEFNFERDAVCRCCNLAREAQTELHVA QHERVADEIQRSPVGSVIVTRNRVARWRCFVCSESNALQSSICRNCETGRFDLSVSCW NCGGQQKLSNSQLYGSEPADRIHVARPFGLHNCFPRLAPEQRCNRCRVPLHGATASTR SDAWLCGCGVVCNASLVSCTRCRIPRRFAHQSTLEELVRVWDFASATNWFCESCNHVN KSSRHVVALDRSCKNNSKSCPNGGTNLGTSGSFTDASVAKDASVKFARISHGTAKCER CGQKWHHQPVNDGQYWRCACHKVNKREDTRCQVCFLPAADGIRSDVLSFWSRGDWYCF NCQRQNYREKVVCACGEERPVDSRVDNKVAPAK XP_822393.1 MGAACTKCSREGDVAEDKQVKKEKPAETVTAPDREDNGSAGRSS SGPRVPAIVNDGNCSDGVDCEKFTSCSGKEAAPERADDLSLTSVPLSIRRSSETLPAQ PSEKSEPRGNDSSSAVGEAVEASLSAESVRSSMSGGMLSPAREISQISMVVDDGATEI SAEVSGECNTLNSVGGTETCDIGALHWPQGRFSLSVSAPCSMVHSSSPPPQRSKCCSR TSRRELQPGSESSLSIATPVHVEVTQTRRIDPCSLTGTSAAGEISAGDATTNHDCKYG PQHCPSGGKPVSGDGTDGLDTAACMKDEARGVEHDPPMQQHRQLQQESGQQRDRREYV DSAETFTPHLSMMDPGAGDTAVDTVDFINCSTLSASVGAVASCVMDETVSAAFLSHVM EQQRQKERRRLSPPVPLHDSVDPRVPRLSTPLTDKPDQGKTVHVEVSDLTPEDESDAS AYSVVTDDKPRIRVSDPSVLHRQPLQRDYLTAEDTNGGDMSNKTLRDSPDGADAVNVA RQWPMPESTASSNPQGRTTNDLLNMLRDMVQKQDERRQQQQRKYKAFTRIKNPIPLTS PKMREDNELRVDEKEAQSGSASPWSQIWQNRTPLTDGTPNTSLLPRRLSYSPPRDSKY PATKHWIDGEELVHCTDDSRFVTRVS XP_822394.1 MGASLPTETFCAPPSAWCEVPSADGRKGAVCGVIGSRCQEDFVG GAVPRRINYTTFSRASSIALDTFSLRSPLLLVSASIPHDVAEWIGPSLSYIRTGACGA TVRADEVVSSASG XP_822395.1 MSASCFTVCESLTTRSSWLARNGWTALPGQSRALSDFLAFLGRI SRCRKVHRYVTAGCICVVAVTCVMRHFRHPIARRGVSGPWKLLKGCQVWLRRARSCDP PFRQQEDAVSVEGSFCSYQSSSITSTSTSSTPLSPGATLCVDEDVNSTDVAERSFDWH RRRLERKYVAPLLLMLAEVECVGQRLLHAATLVRGAVSVPVGEKVAGAKGSSVKNEEA GSLRKLLHIKTMDADDLLTQLITQLTMIPVGDNLSLKLRREALMSSTSVFAECIAKWM YLPLGTW XP_822396.1 MTSRAPWDACTTQSTPQMGNGAQSHHLSGWRRFPRGQQIGSGSF GSVFLSHDTLPGSEWYGQLVAVKVIQLKAISDDEVAHAMNEVAILKNLRHTNVLQYVD SFVDDEQQLCLVTEYMAGGDLSLLLRGSGVCGSRSGSNEKRRSVCSGGGDASMRCGSR SSGRRNAWKTTSRGDKSPSEEHQQWIESFRIVDLVRQCLDGLAHLHECGIIHRDVKPA NVYLTESDVVKIGDFGVSKVVSPTDPKLVTFIGTPFYLCPELCLGEPYSFGADIWALG VLTYELYCMKLPFAADNVLAQIHVVTEGQYDREALHCEHTFTPKQLHLLESQYGDAFT RQEKTLHQLVVVLVERMLVMDPMERPSAAQLLREFFIFNPSRCPTPAATPPPSRLSAA EVCDLWATNGIATQTNRVNRLCCRIGSGGEMDDIFPLAAAIPTTSSPLASRVAGSYEE LVSSLMPVERRYDVLHISERSGYSTPASSRPVTSQGAASDIADPGGTDAFDTFTQEMI NAKISRIPWLRHAEAFSRLSLCEGYDGEVVRVDWKDGENFTLVSSPHKHRNSTPGLRR SRVSDGLSVMGQLALFAEPLSGVDAGSKSMSELLEARLLEVSQSPMASSLTEKSRTTE CVITLEANRTAKRQEMEGEADKECENSGGPHMLVGRCRGYSTQTLEAILRKKIYFSYL RRQRKLRAVREAQKAREEESKRLRAELNELYARSYTEKCRHMFAQGGSSRADQFPYPP DELQMTRAPCQLAQVTGLRFESGNFSHDSTAVTKTIDKLAELSCCKPHRPESTVLQPS KLAAEIVRMAADAAAVAARRAEFAAHPPLRLDFRNSDGRSMSCSYSSSVGDPSRGMNT AIYTIPISLYIVRSDIDVSCSRTSIVSDVCDGLLALPMSVALKPIRRRTRLRGIVWRV KEALKANGLDHVLLFPLDIDDDEQVGAEELSLRYVDSAGDAVVVSEPSDWRYTLRDWR KNMNQSWLQLWMAMS XP_822397.1 MHSGHPLKPNFVGRDADGNVTVDGRSYPMAESVVATESTIHRSM KEMAQTLANAYKTLKHRDTHNKGNSALAPITDENPLIIISVLKGSYIFTADMVRYLGD CGLPNVVDFIRITSYRGTTKSSGTVQVLDNLRFTELTGKHVLIMEDIADTGRTMKLLV EKIRREYRPASLKVCVLVDKPGGRVVDFKPEFVCLTAPTRYVVGYGFEVNDRYRNYRH VFVLKPEYAKRYPSKL XP_822398.1 MEPACKYDFATSVLFTEAELHTRMRGVAQRIADDYSNCNLKPLE NPLVIVSVLKGSFVFTADMVRILGDFGVPTRVEFLRASSYGHDTKSCGRVDVKADGLC DIRGKHVLVLEDILDTALTLREVVDSLKKSEPASIKTLVAIDKPGGRKIPFTAEYVVA DVPNVFVVGYGLDYDQSYREVRDVVILKPSVYETWGKELERRKAAGEAKR XP_822399.1 MFRRCGLPLLSSTTPAGFSSASFTAGLGEENERLESMRTRHTGT AEDEGWSGSAKRGSGGSAAVRPTIETYRAMTNTELETLLKQREQQVRQLRVVYENFHY EVDKCFRTMVFDYHEKALQLSQVHGKMQLGSLQISREALVKMREQQEMYSRDHRIVLT ICTVLSFAFWVWVRRHYVHREELYGVQPERQQDSSARERTRSVSGAGSFNENLFTSAK RNSRNVETSWEREVRESGRTRGHQSV XP_822400.1 MPPKGGGAGSKKQQGGKKCKDDEADFDAQLAKALQEVQVSKDKQ GGDANKKAQKGKQRTSKQESNGEANDNNDASDPKVVSTADHPDNPYPQVAEGMKRQTW PEPTIPVSQQFARGNFPVGIICEHPGEVNAYRYSSEEKRAMEHATEEQVQDLRCAAEV HRQVRRYAQSFIKPGISLLSMTDRIEKKLEELIEKDGLNRGQAFPTGCSLNHVAAHYT PNTGDKCVLMYDDVMKVDFGTQINGRIIDCAWTVAFKDEYEPLLTAVKEATYEGVKQA GIDVRLCDVGAAIQEVMESYEVELNGKVYPVKSIRNLSGHTIAPYVIHGGKSVPIVRG GEATRMEEGELFAIETFGSTGRGFVQEDMECSHYMMVPGGEKTQVRSDKAQQLLRHIH KTYNTLAFARKWLDRDGHDRHLLNLNQLVEAGAVNKYPPLCDIRGCYTAQLEHTLILK PTAKEILSKGDDY XP_822401.1 MVEYKSAAAIAQALFTTHGKDSTTFNRLLRDRIGKRGDRFTEDH PDTFLYIERSKNANVVAYTARFVDAETKKPVPSGVGRDCIIKHDGPVHAYFITLDPQQ MEKLRAKGRTSLIDDLNFVQRKMAYGCSGKSFDVASASRECDNPADFKRWMSAFDPYT LSYVALAKYPTLLLTLKPVKDSNGEENDTAVALIAVIGGELSVVKKIYVSSTEPKHFY ELPTVNYIEVFGVSVDKGSDTYEKKAP XP_822402.1 MKSSDIFFAYRLTPVVFKSRQHDSGVNQYGLKPTNAYDYINPTN LINFGRGTTFDNLGVRRAGRGEIDSSPSHSGSPVFTQAKLIGLSGEEQLTMCQSETMA LRLCMAKAGKETCERESRALDSCLGRVGHLRRAMSEACWEFNDWFIQNVSDNHTKPFQ HRPHDWRHFYAQEKLVRERQQNGHAYGRRPKQFSFGARYVKTDGYGKRPRLPYNK XP_822403.1 MDGPFATMDEETRKTYMERGRAMMEARRAEILSHSPEKFEQLRR QGYIIFKRYLQGEQWMPLQGKLHSPLITTSEEPSKAHKQNMGSSGSSHLPQDDNITAK EYNMPTTKLAEWCAPTDKPLFPESTPAEPEELHHVALLTMCGPSVSCVDGDDEDFKQL SYNKDVATLHKTMEIQHQQIEQLNAQLRNQESEIDVLTNELKGVTEANARQLQKEEEL QSLQEALQERDRELQLRLESVNEQNDSLKTQIMELHILLELKAKELQKLKDDNNALRG EVVTIQNEGDTIKESETKCKERILQLEAANSELGVQVDVSKKEVEAAHQRIKNLEQEL TRERKINEDSTVAYNKVKKELECKEKTEQQKQEEIKQRKRAEKAMIRELSELQDRIHT LESTQETENNSSTAGIPLNPFAKMVIQGEASQPRVEDDDDAVVFGVGDSVTPSVCVET GMALRVKELEMCISGLQQQLESERADAAREVDSLRHRIAEHDELLRQKLEEYKAEQEA KFLEELEACRTGNESDDAILERLNTLQACNDQLKEELRELEERQQVELANVTQEAADR IAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILERLNALQACNDQLKEEL RELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESD DAILERLNTLQACNDQLKEELRELEERQQVELANVTQEAADRIAEHDELLRQKLEEYK AEQEAKFLEELEACRTGNESDDAILERLNALQACNDQLKEELRELEERQQVELANVTQ EAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILERLNTLQACNDQ LKEELRELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRT GNESDDAILERLNTLQACNDQLKEELRELEERQQVELANVTQEAADRIAEHDELLRQK LEEYKAEQEAKFLEELETCRTGNESDDAILERLNTLQACNDQLKEELRELEERQQVEL ANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILERLNTLQ ACNDQLKEELRELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEEL EACRTGNESDDAILERLNALQACNDQLKEELRELEERQQVELANVTQEAADRIAEHDE LLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILERLNALQACNDQLKEELRELEER QQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILER LNTLQACNDQLKEELRELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAK FLEELETCRTGNESDDAILERLNTLQACNDQLKEELRELEERQQVELANVTQEAADRI AEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILERLNTLQACNDQLKEELR ELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDD AILERLNALQACNDQLKEELRELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKA EQEAKFLEELEACRTGNESDDAILERLNTLQACNDQLKEELRELEERQQVELANVTQE AADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTGNESDDAILERLNTLQACNDQL KEELRELEERQQVELANVTQEAADRIAEHDELLRQKLEEYKAEQEAKFLEELEACRTG NESDDAILERLNTLQACNDQLKEELRELEERQQVELANVTQEAADRIAEHDELLRQKL EEYKAEQEAKFLEELETCRTGNESDDAILERLNALQACNDQLKEELRELEERQQVELA NVTQEAGGTRGYCGVTVFVASTSSSVGSVRSLLGADSCLPCINLETADCGAKEAECCI SHREVVGNGSHCVDVLKGSEKVSSRVQTDSPEAIGVVCRSFVDMTEDRENGERVDYGN LNGLSYCNFSGDIPDDIGMGNLVICRKQSKCNKSCQVDDGVVPLDDSNEAELRVEDCQ TLPDVTRNRVELQREEQSRLHSENGGSEKEVHTAELDHTTLKHNVVEDLFAEKVLTIP LVVEYLFRAVLDAHEYLVRHHVNFQRKLLSRCDVIERAASDAMIEGERQCEEFMAVVE GAEVERQGLLRQITELQQEVATFKASSERVESELCSNKEEHSKVIRKLHEKLDATQHE MEVVKVEYANSLHKMELVKTENANVLHLFSELKKTAADVEDEQRREVVRLQEALREKT HTSRELLELTEQKLAAALEEVDQCKCSREDLEKQLRSTKSSLDRVIPRLERAETERDS LESQLLDATQEVQLLTQRNRTAEEASRRQADALTATVEELREANTKLGESCKEQQAQL DSLKAQLAVAETELERLRMRATQQESDAQRLNGKLCELESSSTLQVEEAAAALRAAEM RIAALEPRKAALQEEVDHVRRKNCELQGCCDELKERLRQAADTTQNAEQMHNRRVQQL NEQVEALQLEVKQLQEAHDGLVADREQLVREQVGAAQETERIKQNLERCNQQKERLQN EIQWQRESYESEIQAAQETLNGAREELSKWRHTLEEAEAQQNAMRDTISMLQVERTSN HEQIRAVRLQLREYQELLAQERGYLHETGLKFLRSCSDECSVQKSPSVPYTPQRECGT RETCSLTSAPAGCCDSQKTQGSASQKSIARLRNIVAQKSLLLEEREAQVESLYSEMKT AIVHVVQEARNAQLGSKALAPVDQRELLLNNIMHNLLLRLGRLSRVVREPSTDSTGSG SRDPANAEVSPNSSTNPEAVLNFSSAATPKERVSGGGDESTDAR XP_822404.1 MRSCCSCGKAWCCPERVEDRAEEILKGYVDCFGIDDYRNVQRMA ELSGLLSHCSQVDWSNVAGSVSIGLIFRGKHVEDDCDVVVSVLKRPANKKDELSRYVQ KEVGVLAINFPNIIRVVDYFSVIEGEWAYSMALLKWLGGDGIVEFFTQSVLGKGRASD VERDDIELRRHPTVDATRIMQGNVEGDYFDSKWRPSTPVREGNTCVVGDYEMYMDESL GKGQFGEVYRGKHIKEGYHVAMKIIKAPAKKRDETPDEISIMDLLGCPGHPNVVKAYG TYETIDDKNEPSYVLVLELCEGGDLKEYLAKHDPLSEAQARRIMRQLVDCLCFLRERG VMHRDLKPANILLTSCNIDEAVVKVADWGMAKVNSRKGSALEATEGEEMFESAVGTMA YMAPERLNFDSYDYQAEVWALGVIMYELLFARHPYIYPKATVCSADALLGAIARAEQL DMTNRAPSASTSTTDGAGGELSQQEGGYVNRRRLSPSCYELLRQMLHSEAKKRCTIID VKNHVWFTHEEPRSAPVLVRGGSPRSVLADALGSASGVTLAGQALAEYPSAVDNSVLP VENCEGGRNVLTTLEKRQTILALREYIHILQYNIVERERDPGRGLVLLSYGWDLLSAA VNTVFSECSNVAGDTGGAANATNGPNMSASSSLFHDSLDDLKQVEGYIQTAASQLKQS LQSNQGTQTTASSAADNEEGVTQHSFTLMTRGTSLDCLPSAKELMLRHAVDLIRWAAS EQLLLTPDDKREKQRGVLEAELEPKKLQGKKLWEDAMAILRLLLQQVVVYSPTVTTRS IIADATMGGSVQVLNVPLVPLTHEEDRRTVQALLHKVEGLYRNLFVRV XP_822405.1 MEPACKYDFATSVLFTEAELHTRMRGVAQRIADDYSNCNLKPLE NPLVVVSVLRGSFVFTADMVRILGDFGVPTRVEFLRASSYGHDTKSCGRVDVKADGLC DIRGKHVLVLEDILDTALTLREVVDSLKKSEPASIKTLVAIDKPGGRKIPFTAEYVVA DVPNVFVVGYGLDYDQSYREVRDVVILKPSVYETWGKELERRKAAVKAKL XP_822406.1 MRKAGANRNNERQGRNLGQQGPQMSPPGPSMMYPHHHQHQHHHQ HQHQHQHQHQHPHQHPHQHHHHHPHHNGVYGNAYDNIRQPQMPPHSFGQGVSGSPWNS PPQQTPMYNQGYNQMSPGTGGGYGDMGGMYRDVHGGQYPSSPSHMGGGANIPYGRNPA MHNGLPAGAPLPGGYGALPHQGAHTPMGFGRGGQPLMEHMQPSGMYGAPMMRHDGYPD TRVSPPAQVGYGAGAPVGPPPPPPMPAGPPYGGVLPGAGVGPGGPMNASRPPAGIGGG GGGGGNAGYLEMRARGMGPQMPASNASRPPRIGTPDPGMMPPNRMNQSPMMGQGLPPQ VGNFMPLPGVSPSPHAMIPTTPSMGDTFPGDDANMSGSTEALYDFLHDRGLVSVDNIS KFFPEGYGKDDPALKVAVDGNFCLTSLRDELRKRDSLWFLHSTLPEELLMLVQQHVEW MRNMKLEPIWVFNGLSVSGDVETFLTTEAELRARDAVWSKLEDGEIPDEVEIQEAFDQ PLGEDVQMAVARYLKEELGVMAVTAPFLNWAQMVAFHKEGIADLLMGPPEMLLLPYDE MKVIVQIDVSNSSVNYLDRDRVLRALFPNHVTETNTRVAGDRLMDLGLITATHAALSS ARVTLNLSMQEVYEELSTPTPKFRSIKDFINAHACSQETGKKAGLSIKHSKGRGYLRY SAVFSTKSRDTPLVYLVRVLDPDLTNADMPTNLAGVLGHLVPLSLFYMQFSGLLSVRI MTAITQSYLRDECPVSDTKDYHTTLGLLMTMRSQVIGQILKRIAHPPPIKRTECLSWV RWFQPILAPMDRPRDLIDLDEWEISDSDQLKKLDEDCLADYSIASVLSVTADASRPAV EESNRPAGRVPIRYNSKRETFLAILLKSFDFLGYFSHSTAPNDAVDGMEMECCGMDGH DRGGSVPAAYHEKDLSSMKRSEASDINFMADEGLKDYPSVYFPIYLRATIKANPLDVQ ASFVLLTELVRVRIINSNPCRYINPANQQVEISMDDQDTNSDSRVLLASRIACLVKLP YRRASENLPFVWAPVYSRHLCAFTVMVRAMCRCLRELVEVITSTVFLSGNSSCSLQDF AEFASILPFGDVPSTIGGLLLHYVLVFPSDYQANLTSREERIEYLQGKFRDIPDLADH LHLVMSFTLQALYLINAYMLNDKETIVAKDQLTGTIVEDTIEMMWQKWRDHIDDNPPG DIHNLYPPRHQEPIPH XP_822407.1 MALKLLSEKANSQALKVLLCSYYVKRPVEVSLSGAYATPILHHP AFKQPIIAPNEMARVILFYSVEPTSNNGGAADSSNGDGTASPVAGLTNLTLEHETWLE WEATTFTRAVHPLYTQRRQTAESLAVFSYLDKKISENDDRCVYSPAVEGKGAADPTDA VSTFFIDCIVWCAVLPALCESGVLRDSEKQQLPHLVKWFNTFQKEQKTLIDNAFENLS VQEAADFLRCPRVYKVSAKVEKVFFVTSPIYYVNAAPHIGHVYSTLITDVIGRYHRVK GERVFALTGTDEHGQKVAEAAKQKQVSPYDFTAAVAGEFKKCFEQMDYSIDYFIRTTN EQHKAVVKELWTKLEQKGDIYLGRYEGWYSISDESFLTPQNITDGVDKDGNPCKVSLE SGHVVTWVSEENYMFRLSAFRERLLEWYHANPGCIVPEFRRREVIRAVEKGLPDLSVS RKKETLHNWAIPVPGNPDHCVYVWLDALTNYLTGSRLRVDESGKEVSLADDFSELERF PADVHVIGKDILKFHAIYWPAFLLSAGLPLPKKIVAHGWWTKDRKKISKSLGNVFDPV EKAEEFGYDALKYFLLRESGFSDDGDYSDKNMIARLNGELADTLGNLVMRCTSAKINV NGEWPSPAAYTEEDESLIQLIKDLPGTADHYYLIPDIQKAIIAVFDVLRAINAYVTDM APWKLVKTDPERLRTVLYITLEGVRVTTLLLSPILPRKSVVIFDMLGVPEVHRKGIEN FEFGAVPPGTRLGPAVEGEVLFSKRSTENTKST XP_822408.1 MLYEHTTDIGSSRGAAARYLIASATKSSLQTTLQALEKIAGQSV ELYRFVVSAAVSSIWTPPQTEEKNLLVSKLVSTALEKSNALTLVSAAVDESPVTVTKE SLVTLMTRDLKLTPPQQLQFAMGLLAGSKKGKEAAAEFLNGISVAQYTVKEDVASLWS YSVLARQLGDVQALETQLSAAAAGAAGGANDRVTVLPHDGVVDMHVSLSRIIDELGTA CVATPADCRELLSLFPRPVTQVDVADVVGFFASQGSAPTDTNTYNALMASAGREPTKV QQNAAISPFPLLELLQERVQEPVDWDTVLRMLDKSNEGPFVRKHVSIVFDAYCKFKGT GTPGVYPPITIFLGRWSNVGRQRTVLEYILKHPEKVSMQGLSCDQMAPIELVEVDAAD AASSPTTSGASASPSTVPESRAGEVELWRYFPFLEAAVHVASRDGAFDAETLRPAALR YPLLMLYSLLFGSFPGTMKNFSTAKLILRDSRLPLERVATTIIPEAERRGKLNTVISL LSELTIVVPSRTIDVMEAVLKTKNAVRAFLAVGGNPRLVTAIAMCMDESNEGGGGGAN CGVSGGAGDSWISKALEGKLHFRAHAAENRFAVATSIVEVAEQLLDKQKYVPKATAAL NTFLASSLKDLLRDVAEQARILLASTDSLFPTDVENDATEFYRRMYKAGDPTISASLA FIEQLLKSSKSRDKQLCACIVSIMFEECNAIGCYPHKELQLFATLYGQMIARELLPPN QQQRAWTLLLPVIVKPTDHLVEEYGIIALEQIKPRLAEWPQYGRALRHVKDLDIRIPG IMAAINRGIKAEEAARQSQSRAQNQEKTTQQQKEVESLLSDPAVLALSTERRDNMLAA EQQKDRVAAATLHQHDIGTLLSKKNITAPPRVIQEQINFIIGNTDLHNVENNARELAK QLRPEYYEFFAEYFVVKRAALEPNYHPTYLNLLNNLQSKQLEKAIRSATVSSIKLLLS SDKIRADPGERSLLKNLGYWLGLLTLAKNIPITAQELCFKDLIILGLREGKLMAVVSC IARVLHHCMDSRFFCPPNPWTMRQLCLLWEMYNLRNLRVTLRFEVDLLCKHMNVKLEE IEQYYNMHPIHSSTQLCISDVYREINIHQSADFRVDKMELQDVVQQPHKQVQQPQQAA QPQLQTQAARANRPLQANAEPFKPKDSMVPSGGALAFEAVGPRRPPIVITPETVRVSE EEASLFGERLAVYKGYLAKTLERVTQELKPTVQRSGTIAAVTACHITTKDFLFDRDIK AQLRAADAMARSLASNLCTVAIRDALPEPFNVQVGDLISRLIDVNVPSYTERRERLKG LIRENNMDLCVRALEWQAAEEGAKRLHEKLDKIIKEKIDQITAREPPRAPKEYHDVRD LLMHMGEVLRPPHNLPPLQRAVYEEFNNSVPVVGLLTAYVRTLEDATVQHYSTGDAKV LSLTSPEFANGHFGESHDVIRTRVIGISNIINAETAIYCVGPMLRKLFVLAGAMKRAD SGTAASNDLTTARHRNVCNLLNEVYLFVLQTCYERGGDIIREELTQLYLQAEQRWSYT DLAVNLLRIKVLNVSRFDAALSKALLAEGMGRQGVVDFAGATLSRVIVNEKLLSAKEL RDTVSQLESIASKQDNQTPRQQQNQPKQQRHQPQSQQLPASGQDSGAMGGAQMAPGGA APTNAMRASPALSNLVEQHVRRLVVPTLAPQNQEDAEKIRGLFEEWLIVNARRMHSSS SESNQASGAATNAPSQEGATAPTTSSPASATAAPTSTTSAALPGNKPPPQYEYMMKLR DNKQLDGPQLRTFLTTSLRFCVEHYATKSLELERSNPAVLGRALTAEWKPGNAPPHRY QYDDGLFTHVDALSDLVTVLLYYCSLKNESRTLLTLLRRVLDAFQWALIDNHQAVVVR LQQAQNPNHLGNAKSELPAGTAYAPMFQQQPYVRFFSNLFTTLVRADSPKRETMEQIT SAFADTLHNLPPLKYPAFTFGWLELVSHRIFLNRCLRSPVPWPSYVALLVQGLEFIER FTREGNISKNVLVFYKAFFKLMLVLTHDYSRFLITYHYQLCDAIPPYCVQLLNTVLCS FPSGVKLPEFFARVPDDGSEMRKHPATDNQVKCIEESFKQHHFDGNALSEKLQDDRPM SESYMQSIVEKLQSLHNWRLMNAIVLHVCIVYLQVNDHAVKPNFPESNAMRFYRHLAG SLDHEHRYYFICSCANHLRYPNCQTNFFVKVISNLFLPHRSIRNAVVQQCIQEQITRV AVEKTLIIQPHPWGVLSTFMELMRAPEYGFWEKSFICSTSFLESMFTKLRRSVESRNA QQQVQQSTGSASTSATQGKK XP_822409.1 MRSSVPSYKVVLLGEGRVGKTSLISRFVNDTFDAQQRSTTQASM YSSVNVPLLNSDKTVNLNVWDTAGQERFHALGPIYYRNANGAILVYDVTDADTLEKVR LWIRELRAVVGDQIQLVVCANKSDLEQEREVSEEKGRRFAKDHGAQHLSTSARTGMNV AEAFQTLATAIASSAGATGGGGSGGGGSNNNNSASGAGGSVFFESEGSSAWAPKRRKR RGLLRIEDDMPGEDDEVANLVSGGGGKHSTVNLSSNPNVAAATPRRNRCCS XP_822410.1 MSGVSGVGRAGDFGPLLTDFERDAKFWSQQLVTAAVERSTKLES QHFTRNARRGITQLPSNTNCVRDVALHVWGELGFFTVPPLEEEQVDLRACRPRECNGE KNASAPDEDVLKFVSALEGHYTQILKGGEMTLDDEDGQTCKKVQGVGLPQQLRCHELR NGVWRVLFNAVFYCVAASGNQVLFPSLEEASPSHASARSNSSTLCDNGDERQSRLGEG SDEERSDQTVDFPIKLQWQSYQTVNEAVRGANQRGQRGRVSSSVDISESPAPQELPTP LKTATTSMFRQPRRQEDSTRPLRGTKVSFASMLQNPLPIIAWRFILHTLSQLGYRRDA FYVQTPLHASLDELMLALLWLTREYQLVATAEHVNIHFAYPFLLEWCRNGDEYEKKGG FGEISPTLSGTVGSLGEGFSTKCGSTDVAKQHYGDVLLHSFPAAVPWPPASFLEQEDI AYRLHQQARIIPAAGGELADTPRRPVDLSRQLLSVRRLIELSVSRLEHVLHQRAEQIS AMGLHSDLDLQLCHPAIHDTYCRLCCGLRHMLGLEKRLRDVTEQLARFGSLVAWSLSH VNRCGDGCCVYDDLRNAKGVVEAMENDEVEASSASSLPSNYPLQNAFNSFRATHRLEQ LHEMSAFFRRSAKVNNSRTPQDDVALRTKVQHNMKARYSLKAALSAELMAMVEANNSG CRGSGHDATSLKSRMPLLRSFDLATTSVNTRSGNDTCGDQTDTGSAFAGASIDAFIAF HSTRRAQAAVVGTPASGGTTSARLEAERLREVKRQLPTVHETCEEVRQLLHAATRTLH HHKLRIVPPISKAQPCL XP_822411.1 MPPADRNDIEEERVSRWCVTDEERPIPPDSYLSPNGALTVATNA VSPPPYPCSVQRVVHPEGPVHHFVDSDSPGCGYDDKFGAGDDEVKGGELYMWVLDPHS RKLRVPLSLMVPTHATANKGALPSLCLSFLEGHCRHEWCRQAHVPPHVLPMLRQQALN APTCCRLHKDPHSTTELTDRFKFIRLVGNEGSYSSRGTNGEQSDLIPAERVALTVGLQ LIIAQSAQAAKEKEEQSQNLGETNQGAANGAKVERKDVLDVPAKDVCRLHISRLCRYV EDCKNIHICREYDLQLPPPPNLVCLLNGITPSMTMINIGERSYSSTMLSLGDVTDEVF NIICDQQRRSVMNNTPAARMTPASQPYQHANQVVSCANPGVDAAINSASPLSLGDANL YTAPRGGTSPASCGMGDATPFAPASQRSLGQNGSPNLGAAAAGSSNVTRVLRIFDVRP KSAGEGGRERGSTHRGHNTNGNGSAGASPFLNGRGSESASRSRNGASVSYNNYTCPNS KNGSGNGCAAGAGVPPCGINGSDRNACSGSHRRGSRHLTGQSNNSGRGSAKRRQKA XP_822412.1 MTDVDQWKCASFKQEEDHSEATDALLERINATAIPVLLRSTNSS LDDLVNELLALEKMARLGGDALSAKRLVVEILRIYRVHGDHEKMLDTLETLMRKRGQT KQSQGAMIAECGVLLTEGNLSREQRRIVLERVVHLTDSRIHVELEHVRFAIDLAKLME DDGEKRAACDLLSGLHVETVTNMPRVEKLDALNRLIRLCLELEDYELARLVSRRINHR ALSRPGALQAKLKYFELMREYFAQRRSYFHVARCWYETFLSETDETACVSALSSMAVH YLIAEHSSPKELEDHAECAAFSPATKFADRTAAIQGITTTLRKRLEENPQLQYLLEKF TSIELIRERVADDVEALCINHPQLAGFPERQALLRSRCSEHDLLVISRFYRRLRLVRL AELVGLTPQHTEEFLMMMVASRTLYAKIDRVDGLVVFEANKNANDVVTAWDEAVGRSV ALLDKVSHLIVKERMLHNITLAQLQQSGATAS XP_822413.1 MALSLSASKRVAYHDLFMDLHGRRPSGRIRLVSEAAPEASAAWA TSWSAFASGGAQDAGMGRSKKRKLAGEHVAVAFNRTKGQASTELFFHVAVFRCDTWAL QWVARASSADPAARVLDVCCLSANIVAFLVECKDESETRAELCIATRFSIPSSENLSN VRWRSFISEARVVDTGFTAEGIRSIQALSATSLLVLTGSGRLVRATVSRMETMETTCE QVASLSVMIRRTRGTHTTPALVVSRNCCGKGGAETKTKDRTYAAVLNDNGSKCYVLDL MAPCASRKLQHITFPKDTIAERVEFAGSYMLVVTLRLKESSLYVLQFTCLVPQDSPSE GSFTMTSFDLIHLTARRTPLATMYDSEKETHIVVSQWQDTAEDSSTSEVVETEQWWRR MEFAEVSLASGPYTTDVLRGATWLPLPEPFRSDVPALRTVVGSGVDGDDGEAAEVEYH QELSLLNKERVTGSWLPLYLHYALATPLSRMGDGVREVKTVLVTVFAIETAPFVHTRL AKQWHNAVQGLLFLLGVGWNVGEHRGKMKQIADGVPAFAVPWNPGHMRRALRLFGQRA LRRLFSSVACALRHSAEPSAAQQLFYGVATTSLTDVAMQIITLSRRVGAHLRAEDVET VVLLLRASRDLGHAIVNHTTRSQVLLECIVKGRMAGRVLGGFDGRTKGDAGRGAEGDD EKNDEVKERRQESVGFLSGASEMRVERMLHNRYTTNDWSQQLLLKRSQHADVVAQARL YLNDVLPFVQHKQEQPICEEQKQQRQHQGREEEDEEVSEEEHNQKGRKTAMNDALLPD WVFMGRRPHADAGFTEYEGILLRPGGASN XP_822414.1 MMRRLALQSSIRRATPFATPLVASTKALNPMCSAITIREASTVA ISVQGLHYVGTGLAAIALAGVGLGIGTIFGNLLVACARQPNLTKMLFNYAILGFALTE AIGLFALMLAFLMLFS XP_822415.1 MTAPVSTLASQKVLLDRDTLTEAEKWRYVVDNTLKKGSLGFLTG AALSLVVFRSVPVRVAATAFGCGFGIGKSYIDTRYILGHDVSVETVWTAEVLRKGFRG STGVSDRESSGS XP_822416.1 MAATKKEAPAPRTGIIAGFNKGHKTTRRARRPSSNDRYALPHKK LRAVKAIISDLVGFSPMERRVQELLRVGKDKRALKFCKKRLGSIKAAKKRRAKVEEAL RQQTKKK XP_822417.1 MYQSTSLLFWFSWDEATSLMRHTTQHYRVKSEKVLPEAERVSNE VSEAIKKKDVSVESSLSPSMAGALSMWYPRLRSADEIDSFVSRFLASGGENEMGFSTT FSPQIIDASCQRGVFPLAFDIGGSFVYGPKLHCQRYLTQLQSHVKGFPTGGDDDEGVF MVKRLQVSKKYTRERNLSTRAASYDVFVNRKEDVAPVLSLIHAQHGENWLCHGLRVCL VHMFVNSERYRTKIVFTAIRRHRHDVGTDAKTESSGGSAMCNRAHGDHVEEGDLVAAE VGFVVGDIYTSATGAYCASGAGTLQLAVVGEVMRSVGCKVWDLGMGMDYKVKGLGCVP FPRKRWLALVFERSQEGALSGFIDSKLREEFSRGVSVRQVLK XP_822418.1 MSYSLRKLRLLVDDPNGELATNLATAKLFCAAEDDGESEELGSL MGPEVHYANFWGVVRGQVAALAVMRKEHSALRLSWSTQVTVITPNTFERQGFAHFTSG GMAAIPLVGSWFTKFSQRRVRESLVVRDGRVVFRDLSFQWGLGVH XP_822419.1 MQELIAVRVKDGIFVGNAVAAHDREFISLNKITHVVNCAGGELA DLFADDGVKYLTFPWKDPTGSVCTAVMFDSADENVKRTVRFIDEALEAGDCVLVHSQL GMSRSPALVAAYLIVKYGWKLESAISFLEMAHKDMSIKPHFLRQLRMFAKRNTIEHDI FDVDVDDSSFGLDNVQWMLRNTLLNGLTSASQRQNELYKLCSSKVDVGQPVKTSDVRV TTTRMKKAKRLVFVDTKQGSDVEPRSGTPVVTVQPLRQSEPGNHFLGYHGSIALEGRR RSNSIMRHSVSPCGRSVESDPSTNPHGRQELTLRFESKSGRSCSAFDGATSSQAARTA SGYSTIDGASHVGEYHTHLPSPDTIHAPARSPFATLTSGHKYRKGSPLPSVVYNSSPM RSVQRPSSALVSEGRGAQLRTSSYTRPTGFSRSGVARSGSPCSVSSHSSAFLATSTRL SSYRNIAPHRSQPSSNVQPAWSAGKTALSPSRVHRSLLRSSPLNNRPGSPVERPSSTP RRVSSPTSGSADACSSRTVCGTNSGSKGGGVGLLRRQIPAAIPSSRS XP_822420.1 MPPKNRQDEEKSKLTRIAVVNHDRCKPAKCNLECSKCCPVNLQG KLCVVVQKKSTISVISEELCIGCGLCVKKCPYGAIQIINLPSNLNKDTVHRYGPNSFK LHRLPLPRPGQVLGLVGANGTGKSTALSILKGKTKPNLGRYKDEPSWEEILKYFRGSE HQAYFQHMLEQKMRVLLKPQYVDQVPKVTKGKVGDLLTKADERGVKDYYMKELDIENV ADRELEKLSGGELQRFTIAALCVQNASVYMFDEPSSYLDVRQRLMAAKVIRSLLKDDN YVVVVEHDLSVVDYMSDFVCVLYGVPGIYGVVTMPYGVREGINIFLDGFVPTENLRFR QESLSFRITDDLEETTKRSVQHSYPSMVKNLGGFTLTVESGSFSDSEIVVLLGENGCG KTTFIRMLAGHIKPDNEVEVPQLCISYKPQKIAPKFQGTVKDLLQSKIYEAFSNPQFQ TDVLKPMTVEELYDQEVQHLSGGQLQRVGLCLALGTPANIYLIDEPSAYLDSDQRIIA ARVIKRYIMHAKRTAFIVEHDFIMATYLADRVIVYDGTPAVNCKANAPCALLEGMNKF LKSLDITFRRDPSNFRPRINKFDSVKDREQKIAGNYFYMVEEVAKPVKPAKVDGEDED EDDESNE XP_822421.1 MLSKMKCTLTHDVVSDLQEAFRLFDSADEGVIAMSRVRSLAFSL VPKLNEGMFSRVLSTTKLEGCDVINFPQFVVLFSTLSDVSTRAENFGVDELVEVFSHY DTNRTGYIDLNCFLQIMMEEGELLSAVEGSELVLHLRRFGCVHLNKVNYCKFVQRLVD SSLLNPFSHFFV XP_822422.1 MFRQELRRCSVILFHGGLVPRRMIINEDPQERSRELALREKVAK KYKGLSISEFSGFSYGHFAMGGLATSAAFRAREASQGNAPNFGNLSQGERRVDWLMFF TGVVLVFVSSKIIIQRLFGGVSEDLALPLWIGSVDEQATYLLFTIQYDKSSRQRIKDE YLAERRINPFITFFPWLITRYPEYGRGVQFSRDVAFGTLREILSSGNQRSLLNLAGST KDTLLRNDSPAHRVDYFLRRVGVVPMIQGVNSDSKAAAYVPPAVASNLQLMYGSEPEA IKTVLSVSPDERHGSVPFQ XP_822423.1 MGSGGSPLLLSHCWWCFPCAGSTRRYSIEWRWTVGLDVARCKFC GGSQHGRHCLLRVSVDPRALQHECPVGKKLLWILQRLVIVTFPQGVGSSGVRAIRSFP VCLWWVSNFGVHDCLGSRWDPIF XP_822424.1 MNEDGDCRRVALIDTSCYSADCTLSALVPQHAMKPYRLLVTMEL IASLGLKRFCRTVVPPPIGIKELLTYHAEDYILNLGLHSNRSWLWNTEVSKVTFSGDC PPVEGIVEYSLSTVSGSLMAAVLLNSGAVDTAIHWGGGMHHAKCGECSGFCYVNDIVI AIIELLKCHDRVLYVDLDMHHGDGVDEAFCRSRRVFTLSLHKFGESFFPGTGHPRDVG IGNGRHYTMNLAMWDGVDDFYYTTVFERALRSIVKRFNPNVVVLQCGADSLAGDRLGH FNLSSWGHGKCVEEVKKLGLPMLVVGGGGYTLRNVAKLWAYETSILCGKRLPLNTVIP LHRMPLSGWLFEESPQLLVSQDEENKPLPGVNVQRSFRVIIEQIDKHCPNIMTV XP_822425.1 MNLANSHCIGPDSPDAGLAPSCKGCPNASLCASAPKGPDPDIEL IRQRLSGVKRKVLIVSGKGGVGKSTLTKELAFAIGKRGLNVAVVDLDICGPSIPRLTG ARGENAHYSATGIEPVMIDETVTMMSMHYFLENKNEAVLFRGPRKNGAVKMFLKDVIW NDVDVMLIDTPPGTSDEHITTASLLQQCGGVSGAVLVTTPQMVAEADVRREVNFCQKA KLNIMGIVENMSGFVCPNCGSGSFIFPRTNTRGAGKRLSEEFGIPLWGEIPLDPKLMS SCEEGTPLAESVDQNNPTLDVLNSISRKLIESLAME XP_822426.1 MISPDFFFGGVSLLSDSIEVRSRWSCLKYLGDAIIVMSVVASFV AECARSGRWVRGVMALHSSIGAEDASIGRARLRLASSILRKHWCAALPLIRPEDVQYV DDLARLVHECSMCAEVPADVFDSAVVKLLTRARGKDEIQTQLFLSATKWTNWHQATRF FTMLDTPGALCRDSLMILLMNALGSGSISKQHTIGLILSQLKLTNSRHIGNGRRDHLS SISAANAAHSYIEKGTDLVKVWSALRERDGISTKLVMSLARAAIQGERCSWKLPLSIH GRNRVVGDSTEVLSKMIAICCPQHWRAALGHFVVEGRLALWLASRYSWEAGLVVSKLV SIPRREKYDILCRCAIPLPQLRGIATVAGAKIRLSNASKRSIAVRLASGYTTEELQLS AFAKVCQKNGDWETSLSLFHRVATEEFQQRAVQCILAHCPGFGVKKLLQLVNEHCPAN TWTTSMLVKHSDDWVEALYVLRHVMARGTRCNPQILSAFMDVQPPVDVVSTVVRNTTF AGRSEGIMRRLEILEISGKSPQH XP_822427.1 MRCIAHIDMDCFYAQVEAVRLGVDCRTEPYVLSQWGNLIAVNYP ARKFGIGRFDTVTDALEKCPHVKISHVATYAAGEVEYRYHENPSKQTHKVALEPYREA SRKIFRILDSFDGVEVEKGSVDEAFLDVTKAAHMKQGEMGLLSSQGELRLEDVADPTT IVIPSRQAEIAAWLKEHGREFSDVFDVTLHPQPTAENMSLLAAASRVVWTIRQKIYDE LRYDCSAGIAHNKLLAKSISARHKPNQQTLLFPDCVASVMWDLPFKSIRGFGGKFGEV VRLACGGKETCREAWLHSLCAMSKFFESVGDAEYAYRRLRGYDEGKIRERSISKSLMA SKAFSPPSSTANGVQKWVTVLSGELSARYEDFCNTYGVKGHSFNVKLGNRGLDQPSSV ANKTFPLPELVTPQTLVSAAMQCVTAIMANRPGVVVNAVMLTIGSFKKQESDESGVRS QQTTLRDFFKLKNPGKRERNHTDEAMVITLSSCPSSPVSRESLKSTPGTRVVFDVEDD GDDEEGESGEKDVHIID XP_822428.1 MNIYSWESSARPQKGKAKKAGTIVFHPDETVDLSFNRLQKEYPL DKTEHPNSQKASEGQTERKKAPLMTAGTAKNLVKRMGFEALLPCQAQCYRGVFNRRDV ILHSRTGSGKTLAYALPIIERHLIMSSFSPPASTGPFLLIFLFSNELAAQTKGVLKKI YPKLNILIAGFDNLEVRRCDVLIGTVRSLDEAIRGRLSKESTRGEKRTRSGRAREEEE EKEEAYCDDPGTDEREGDFASSSVSASNVCAIVVDEVDLTLGPRFSNIGRRMRNLLNF IRKANGSLAKGLLTDYRAHHYVLCGATIPNWVVKAGFLGVKKYYYQLVTVGTAKLPER LECFSMYCPQKARVDRAVQLLSTGNFGRTVVFGTRKQVLKIESFLLTQNLQFSFSSLD PGKDEVERIKAMENFNSGAASVIFCTDLAARGLDFIDVHMVLMLSLPKHNMAVETFVH RAGRTARAGRPGKCLQLHDEREDAAIEVIEKNAHIVFKKYIVGGEGKGSVARKRIKKG NLTPPNDQAKTTFTLTVKNPFRSTKPDAVVPTALDVLKKNIGSLFSNVCNVTEDVSGE IVTFEYPANSSHEVRQKLWKFSLKEVTPQN XP_822429.1 MWRCSTLLHKGSLTALLRDVIRCTQPCGIPLGDVEIKAKEHFLS LSNGEQEEFPLALKKALLEANDAHYIDGAKLYPCNWERVVQSIAVEMPCEGLTEKGLV DRILEFEPRFNMESASLGEPLSEWMARRFPHIILVSRSASTGVPVYRPVRGKLPEEVE CIANALQLLGREKLPVYTDFALIAPLLPATVCPTRGNWVAFLERGSVQCHFDLDVDVH IRLSPNSIPSTVCVDGTTVELARVNEVLAANGLSDSTCSLKVFRRAEDPVWSQDDIVL PSFLEPEHAIGAAIASLGARSCMRIYILCGDSAVERYKTGLLDLLRSAETDVRIFTPC NAGFTDGAISSGVDRREKTVGKS XP_822430.1 MDTFQEKLDALQKQSKEQIAAAIAAMKGACREGAEPVRVAVAIG SRLTPLNILSSFPVVCLLDAMVMAKGDETVAQVMKEFWRISPSLFVNYATTDEGLRDK VVRVVQRWGSKKLIEPPMCEKLLGAIKGIRPDEASSAEEGKGEKRSDEAQNGRKGAVF TRSEVSGFCAILHSCTKMIEKLPPHRASMYMEVAQKEMSSHVPNRPALLFFQGLHAEL GKELTLYNSNAVKNEHQLAQAVSGGPSAKEALGNLLDKLSKDHASPSLEAGDGKGHAF HVVRYITPLQSDICQRLSLARRAGFGDWYRRPKNEYHYPKRESNARKVFRAPAGAGPL VRTWFPSEQQWISENNMASLGLFRERDPDKERESSSLYAVARKRDRDC XP_822431.1 MVPLSCSLSTVSASFPFRFKRLLFLRLSARYRRLAMRAVRALTG GQQAVNELEVFLRAVADTQRRWAKRIAAQEAKVRRCMMVEDHCRKRLRRSVLRFTNER LKRLVSTDPLGHVEPKLPPASAFGAFDIPPHCVNGFGVFVMAKLHEFPSCVLDAPRVG AIADLLAAWEILPPFKKERYEAYAESVRDVTTATGTASSSGSSTNCEQRTAGVEGDAG TPTNENEAAAYGRFVRCGRRQLEAVLGPVSSAAWLGIATREWESLTLRQKRCYLSKRT K XP_822432.1 MNRRVDFICQGKPQLPFPCGWSSSKGTEGEVPGCGFRRHYLHAF RHVQDVADRRSALRDTITATGRAPSGHGSSHFGGDSMDDTVGVPSRNFLKTDPSNGVA HVEISVGRIFFGSKSVAASPDGQAGPANTEGSDGSEAQLAQRRAPDRLGRQQRPTLLR LCTL XP_822433.1 MKTENFPRGQSAGPEGSLVEIPDHGERQQEVCHIGAPLQREIEA PAAEAGQSSDYKKPHAPHLLPVMEPVKPSSDPQLKDGRKEMPFTSALPIVVPDAPRLA TQQRQVQKTKGNGSSAVPGEPDTCEGEPRAPSPGDPRPTQAFPAVEPFKRTASAKLSE ARTDPRSPSASPDNESDGARVGSRQVDEEGSRPLCTLPAVELRKKPAGSTLQEEQAKE LNTTHAFPVVEPDAVCTEARRSEELEDTRAGHAFPPVASGKQNTGA XP_822434.1 MHPLARLVHIRPERLFLKGTGADIAHPSCKAWCSGRPLSTVLAW PHRLSCRCFVRKVSHTDAEKWQRGTTRVY XP_822435.1 MFAWAPSKSCQKNYATSELFTSEPPPPTSVLFTTSSDQIPPPKR VAPPTSAHLSGPRLVYSPNQARLRGPLNHAVGHRVVGRGKSFMLALQKHVLVLLITPY YYFGGHGNTMMLLNFLCWTHIEE XP_822436.1 MSFSSDEKKPTRTRGGGALTDPHAVKLHGNDFSLDEKLGGLAPE ERKVVAEYIEKCEETLLQLSKRGCNTPIIPFNSENHSWDSLYKVNKRHFPLKNYIILA FPLLKSICCGPKRESKYIVECGCGTGSTLLPIMNQFKDGVHFIGFDVSTAAVSALLEH PIASDFSAEGRLTVFPYDLCYGRVSASEDCRRTKFKTECGTLKTTLLEKVPGCSKGVD AAILVFVLSSLPTIECMLYALTEIKSILHNDGILLFRDYAVPDHNLFRFVRQQNKKHN DLSFCKGDGTLQMFFELNFTRKLFALAGLKEVEGHGLQYHCNRIVNRKNSKRMDKIFI NGSFCLS XP_822437.1 MEEAAAEGMPLSQEQGEQKRFVLKRWNAVALWSWDIEVDTCAIC RNHVMDLCIECQASSNGPRTNCNIAWGVCNHAFHTHCISRWLKTRNVCPLDNKEWSYQ KLGV XP_822438.1 MVTPQFVQQNSSLASGFWDSFALYFSLISLDVVVEGMMKRTILQ RCIQNKSLEIARISRSDINSRAHLPFNFDVCYELGSREFTLFSSVGSTSVLVFCNVSS RRLRSVKGGQGETEFPPKRLNVKRRRQSGSADRSPVVFSAFVSMPTSGLTIEALCCSS LGLLVVDGVSFHQGPLTESMIPQDAHPGPEGHYQGPLLNQRSLAEMVVNTRGCITSVD PFRQQESFFDGHVNPWNARSLRFGHVPVHTAKPGFSDALCHFLEVFGVNDELAFFVED FAHLVHREEETAWTNVLKTMMGGR XP_822439.1 MSSLQDPFDESVSDVRELIVKARSIREAIQAKGLIASDAVTELG TVVDSVKEELMLLNDVLRVIEQKNGRVGEEVLSVNEVVRRQTVVRELEIDLKDICAFR EFAESRVTENDVSNLDRGPAEGSHGDTFYLRQERVQREEQAQQDVILDRLSHGLQELR ETGINVNDELQQQDNLLSIIQVDVEGVQARLRVVNAKVDKMLADMSSRSKICSLLGLA LVALLLFYCVFS XP_822440.1 MEDTAAFSAVLQRSAPVTRRRVLVVLFALGKYAKRENTELSPSA IMTLLEYVMVGKPVRFLFASGAGGSVLRSHDRGFTWRVVYRIRDNVTDVVPTRRQPAG TLGAVGETKALSETGGNIASKDEVIPPSAVEPENHTSCIATMDDRVAVCGDNGFLAVS VDRGLSFSKLAKEAFHPFGEGGSNNESNCSDFRGVEFLSECALVFFSKRHLFRCPLNQ KSYNSVEFGDISLLQAFSDPIGCVRTFSRAGRGCMRELFVATNGFVHYSHDGGAVLLK IPHKLGVIRSIEPMDVVLRHREIPQLPPSLIDAMTAPAVERHLSAEKEKYSYSVVVRA TGAQDKGVGDSGCDFCEGWVDGISLPHRLGSVCYRVLLVAGCGANILPYDCTALLFVA ASSNGPDTTPDVLGSLAEHVDYVPYIKSGITEPLSVALTRRPGSGGCVFARSNIAGVS FSSDMGRSWSIPKQAYVVSVLASDGGEFVCCNRRNVVNFPNSVCEEVHALQTDLRVPF LTDIAMMT XP_822441.1 MGQWLCFRGGSTEGGKECDGDELSVYSEVGEKMSREERYSNADC PDSGSSLLPGVMWSEQPVEQARFEDFGGSSCGSVFPPHRKHRDYKLFGGLFDANVSLL SLCSCEDAGMPQHILLGGEGGGIVLLNYASGEVVRRWSEAHSGDVNKLTRPLETGMFA SCSRDSTVKVWDTECNEALCTLRGHRANVTSITQLLNGTFLVSGSRDNSVRLWDIIEG QEVACCDVKQNIVHFVRWVPSLGCVAQGGEDLTVRLWDVRTSSDGMKAIDLSLGFTMA CIDYHPICCELSPDEGSYTLLTGHNGFNGHGAYVVEWDLRMQDRVRTFKAHNGTVRSI RSCPLAKGMPNRHYMSAADDGTVAFFSTADVDGAVEVSVDPANIHKVSGASVKCIDTS SGGDIFASTSDGAVIVCCQTQRGSEAVASLYHYRYFGASPG XP_822442.1 MTDNQGSYITTTKLEEVRLLAVAGDRSAAVYAAHRRMEDNLAKL PAVLADTARYHPTSVDPWVAVFAAVDSLCRDVELCLQLFTIGAQVERSKGLPTVAVLY EQQKKPAARLQSFAATVQSAFTCKGVTIPVPASLMLRFAEAIRPFDSSQATKWLQCSF EEMFNRETTGEGAGNNYVASGPTAVAATPAHATQEPKGVVVAMLTLLIKWRAEDGEDV LWAQRKRKELSQLVEENVLESLIRFEVESVAAVARRKQRVQKKGERQATKRQVKPTPA TEALPGSAVEATVPAQGVSTLGRLRRYVNDLLATNWSQNPSRAACVIIAIILLCILAR KALCTVSLFGGFAGGTPRGGRKLIDL XP_822443.1 MGSEESNNICAYKRTISLAKIYIVLLVKTAMLRYSRLCFPKMGC EEIKRKARRVQLQPTEYLAQHRMQVWQLRFKEMGPPFSRVWVALGGKMRRRRVGRQVD VKDMRYYWRPIEPQYQRLYMSRLRIRDHSNKLRQPMRLRATNADIGSGSSSIEWERAS NRKYGAMLAPPKRQDFEFRVV XP_822444.1 MTTPGTSGTAKHDVKVFRSSIDTSSVSKTIFSQPTLYMGIVNLI LMYATQRFPEEFMTPKYMTMSYNGVRARAMLTIPFCCIGSAYFAVASIITKSSSPLAG HLLGYGVSLGVGLTMMTFRRVSWYYPLLGLMYLSFGGFHHYRRMMVYGDNAPIYNWGD LTEIWSARRQRKLEGRKKHEAKEVAATEGRN XP_822445.1 MATTLDDLYQYYCRRKGCKANSCFSRYLIEEFERQGQKRVLETV DLSLNYVGRKGIIPVLDLVKNVKTIKKLNLSNNMLEHEELEHLVYCLALHPSVEEVVL ANNCFHDASVKVILDLLELNGGITTFDVDGNEISAASVATIGEQLEKNRARRAERESD ASHPSLRRWSKAQIEGSIDELNSGGHIHFGTWWKNPQYFMRTSAGSQVRIVMDVEDAK EARQVGFFVFRSSGQYRVVELSEGVIAGESACDHSHCYLTMSVEENETYSVMPYTFYP SRSVGFRLTAEMCSDDALSSRGWITLEPVDPAVDWCLSTLDGEWTEESAGGSHLEHTW CRNHMIRVQYAGSVLTHRMAAPATLIVKLSKGVDPDVNDEKSIGFHVLTPDTEEALLP PIFCKDECVKCFCPHERTTTISASFAVSCTALQLFIAPSTLNPGQVGSYNVTVFSSVP VTLSSSAFPHGWHYRKVGGSWDEYNCGGSRNASMSWKANPSIGLSVDSSQEPSDFTVF LEAASPALPLVVQEAAVGDGACSRSATPAPGESQEEDPDLVEFLRRHKAHLMEACVSV IEAKSPLYRELLTSGYTNGSFARLMVPGLNKPFLLLVSTRHAGQLGTFALHIFSHRPF VTDGVESLLAREREVHLQQYALENEKRRTLLSAAKTQQISFDGSEDVVVVRNEIIRQC MITGEKFVDRDFPRGGSSLFLDPDAKPPPMFPKETHWKRPTELMEKVVFLPDWKCDAP FPYSRREWFASVVHAIATKPLWLQNVAVGYNEGEGMAQFRFFKDGKWKVVTIDDYLLF DSTMDLCMGRPGKDSADIFFPLIEKAYAKAHRCYETLEPKVTPELGFLELVCQGLMDL SGCATINIPLIGSVRMPQEQQDVIWMEMKNAVKPNVLCSLLVRGDSNGASERRGRGIL VDHIYPVLDARFLEGYRLVKLRHWGQPEEINLCSKWRSSSDKWTDTIRQTLEFREDDR ETFWLSFDEVLYYFTNLLINEETSSVSWASGYFCDCPPGCNDRLLGSSQFSLQLGEFP PGLKKVNILLGLHQLDPRTTVLRDKEAVASYRTGVGLEVVGTADNTVWLTDFSKAEVL SRLEPCMKRDALCPLTVSLDSVGGNKLLTLVVFKEEMRAPHVPFLLSAWSETCKVNVV AVERNAAVTVCDEWPREFAIGGPSSPFWRDCPQYFIYPSDTTDINFSLKQELASGEIP KPIGFTVHDARACRSYLEYNPETVILSVAANASHKVEGTVRLLGMKARRGMPYIIVPF CTEAAPGGSFTLEAVANRFVKLCRINPQLDWCRVTKHASFMLSDGSVGGSLRFSSWRS SPQFAFTFPVGGKGRLLVSLSNDDAVDTRTEVGMTLLLGDRQWDEGKRRKLVVSQGDI IACSEENIGRSTLDCEIDVEPEQTLILVVYASLPYRGADVTFTAYSALPVEVEPVKEW EYVVMAQGSWELGYTAGGGAEEFGSWVNNPFVALNTFRRTQIVALLLQYPRGPEKPVV KRAGNKKAFLPPVIVNPNNRMEIAIDLNCQDENMTRIATTPYTRNSEVALVANVPAAD SLPFVFVPHTKYPEGNGEYKLFVYADSLVELYPLEKKRVPYV XP_822446.1 MFRQTVTSLEKLVIVESPNKVIKIEGLLSNPKVIPDWSFKQGHL KCVGIGAEKAVAMATTGHFMALKEITWITHPLPPSSAGGKPQEYDGEAFPSSGTLAEY TLEWEPLPGRRIQETLERYIEGKVENVSEIILATDPDREGELIAVHALQTIKRLYPKL KVPFSRAYMHSITEDGIRKAMKERSLNICDYDLASAAETRHVMDRFFGFLGSSVVRAA NSQMRSIGRVQTPALILINEREDKISAFLERNKSTFVVEATCQFPVPHGTTFSQVVDI HSDRRGAPSQWNTAAEANNCLEHWKLDGCHSFSIPHDPIVTPSKVPPPQPLTMAAAIT KLNRQMKLSSEMVSGYLQDLFQLGHITYPRTDSTRIDESALKDIYNAVKKNFGKEFLY RLEDRPASGGDGKRGKKQTKKKTAKRGKNSGTPVGNVEDAHEAIRPTNINVQGDSLSL SPETRAVYELVRRQTLAAFMIPQVVEKIVADVKFVSGSGEKLTLTLGGKRVVEPGWTR AFHKGDSGNLSLHVGAEEGADAGDEGAPTMCSLSQEEFYAILNLRRVLNSPNQQHLFE LRSPTIRENRPVPPMPHSEGTLIEELKRNGVGRPSTYPLIVKTLLARGYIQVNAKGRC ETTPVGRMLVETAKSTFPSIVDLGFTASFEKQLDTVAKPHPNKRPAFLRSTKISQADY VLSMFLSTFLNYVSEAACTQRARIIERSMRLKRDQEGGSGIGDAEFEESVVAARRKVT LASTDLVTLPKTYNNFSTLQRNLNEYLRHNFPPSQVNGSPAPSPTVDAPRSRRGGAGT SRGVAGGG XP_822447.1 MKQEFVRHVDAYDPLSAAQPPVLETTASTLVSDKPPNDSFDVDV NNRPNVERCFSPNLLGQPCPVVSNGDSSRRQATSGFGACGVEIHLAEPSVCSTRDSQV FAETHGSRFMRGIELLDRLPHEESRDDCQEEMASVSGAPRNHTKPQPEQQQRLNHEEL RSPLSVHSVCVWEVDAPRDNRIVITEETEPGWEQPDSQRDAALQQLLCDASKARGIKL TWLLCGLLLPFMLVLISMVVGTELLLNVKVAEPRKDVIKLSTRLTLSRLKDMYYDIRR MSQVSEVARASSKVAEKRAALDSVCSFLRGAPVVLATYSGVNASLTWTHNCSAGDQTD IALPSTMRLSDPTSPTPRISRYRSDLLIVTEKYGPEADVFVVILRRDITGLMLLGHSL VDDFTTGISPLVAILLPSFSSSRLTVSLYNNAQWLEDANPQNNPHDDEVLLLFEEWCS SGDTHTWHSVGRLLPGGLANTTVGEDVAHFPAPVPRLAGGGFFSVTPPLVMCSTFCVD GTSNTCGKDNPSSVWLVTYGSSSYRGLDGLLTTAMVVGYTGAVLFMVMLCFAYISIDA PVSYLKSLIFSAAGGTERRKEWDHTVHGWRKIWLGDLRALVNTFQILALCFRLNKKYV PQHILEKQVKNLLDVKDKICSADTDGEAAVEVAEGRHNDDDDAVDDKANVGAFVCAAT VADVKSSISKRMCQGSQSVTSLNRIQPLCGVGDLQATDIAVESGRAAALSGAADGREP VNRGMILVENATILTVHLPAVETAYFTDFGLAVEQHRHIMALLLRSVRQYRGELFQRS GECISAAWNAFDGCADHAIRAAACALRILDRLEAYRRAGFRVGIVLHQGPFVCGVVED RAEAFTTVFGSVPRQAIVFSELAASLTVFDVLISEPVKESLSSHYECIMVDVIKYHED DPPITLYELSKERQLPMTKGMPRGPSAFAEEHARVFFNFRNHEFGLALAGIEKMKRSF SKTELRLLWRIEQLCKYYMHHEKDLPLPYYRRFPTWRIYEVTESVEGSNDFLALSSRG GTVLCGDIPPSVMAHKSSFDCDAMKFRQELHDNVLASRRTGSKESGLAFSAVKEADMG TGSPSPAREADGVNRRMSSMCLLTDAHSGNLESGLERSLGRPTTSLRDTLGKGRPSTV PGGEFGTLEAEGASALNVAGRNAGSFDAMKSTNPQTKTCADEENYGDVELGVGDERRR FSFTNCRPSIIAEGRRRSYNRCAESCVSLTSDSMEDAHSFSVVDPGGSLMATYTLPKK IVAKNGITYLRSSRILGKGSFGCVYLGMDVNSGRMTAIKFLPMPSGEEEVSKVETEVV AMQKVKSGHVVQFISYAFQSNLIIIIMECMMAGSLKGMLDAFGSIPPATACLFIRDVL RGLHKLHSNGIIHRDVKPQNVLLTLGGTCKISDFGASAFLSEVVRREMEGNGLQIQGT PVYLAPEAARGKPVEQSDIWSCGIMFLQLLTGGLPYADHFLRMPPQVLVYHIGSASAK PIIPDDLDEFCLEFVQICLKSDPNERLSAQQLLALPVFSL XP_822448.1 MRPAATCLLTTAASPYLGTACRRMLLFSAGRSLHLSPKRLSGSG SLFSDNAFEREEEESLPHQKQRTEDNMTAVLSLLRDTVPLTGSDDVKELLKGCKNAKE VRHILETVVYRNPLCYDVLNGHGYRVAVLQALTAGAPRTQCLHEWFECVDRFRRLGFL LTRTFAAEGFTLIKQWLSSHFQTEGRSPLLVTEGISHIRELVRICREDRLVFDHVLYT RIVFLLTMIVSFFDRQNIYRSVFPENFSKRDGVVVEWVITSERCVDFDECVLQCDAVV EELLEMLSEDIPSHPNFSVMYRLIDYYFAADNVEKMIAVMEDAEGYGIGIAESSTAKL MQLACALNYPNVPELFMRWRASLPQCVIATPDMSRLMFYYSRSGGGRPCPVCGEPYNH RNVNVYVWQQTPDHQRNCPALMDARRRKGELDENTALPQNQDWSEVAFNLWNMSQGRS IEWGAVEWRGFLLCCMYSPRALEARRLLDEHYDHSRMDDFLRATYMRLLRHQLPEDVA ATLRGWKKKEQRVSPIVLQESLIGAAMAEEPDTRMSALREVWATLLEKDSYVMPFTRR RLQQRLDELRSRTPGPTVEEITLLEEMINMQPRHLSLLDMKDSPADFVVGTSKKNVFT PPASGSRAEVRLPERRRGRKEMG XP_822449.1 MVDCTVELPNIPYFGIRLDTSDIVGGVGRKSHDETVREIFLKGF THVQQTSSSDTHVTLVAQSEETKEWVHIRVYALERLRRDATLRDRIERSVLVGCKAQH PCIVRIMQPFFSRTDLFVVEEYCAGGELLSWVETHCREAVTTKSGFEPPLGLSMGFVK SVMRDVMSGVDHLHTRCGVAHRGIKLESILLDNCNRAKLSNLGACAVISTNEGKEVKN NPLKVCCASRHYAAPEVVMGLPYDGKLVDVWALGVLLFVLLTCRFPFEEERDNPYESS SDTAVRDGGDDELLMERICNADELLLKHPVLAHVSDPLATDLVRNMLRVNTKTRLTVR EVLEHPFLRMP XP_822450.1 MRRVFRKSLSGALSYRWCWSPQPGPSYPTPEALVETIRRQGPKE SVWNLDFSFLPKRPSTQGEDVPDDVLRSCSVALSESALTVKRLSLTINSPEAVEVAIR FLRGAQLPALRTLDLTLHNVAFVQNERMLATLLQSAAGVAGRLAELRVAMITHDIRSG LQWGRQQRVPTALATLLSASALESLSLEHLVMSSTSDEETLVLNAAILNSCRGLRQLS LSGSASLLRCDSFLHDTLMGMPRLEVLDLSGICLNDVQIQKLLVSLRVSIGGWHNLQQ LNLAGCGLSTWALGLMQNDLGDPHPLPSNSSFGLKVLNLSSNGIDDEGAFVLATVCMR CRWIEEIYLRHNRITEKGAAAICSAVREGTELRVLSFHSNLLKDEGLLEVLRHFEGWP KLQRFDVTRCRLTVRCLPSLCIALLSSKALQELVLDRNDLRLLDTSDVTGTTGGGVHL FAFDSMRSGIGSADIKALTSFEVDRRDALEGRQRHKGTGPANEEKKFNSTFIGAAPFE RLGSALASCAELRSFSASGCSLTDTAFLSLTAALQRCRLTHLNLSSNPLFTHVEGIEA LGRLLHCASEALEVLDVSCTGLGNVGIAVLADGLSDSVSEMVGEPPLRSLGALRELHV SHCRIGDDGFGALADVVPFMCSLERLFLDGNQVTSVPVVVSLLGKLSTLPSLVFVGLH GTLSPRSGAEVAVCSEYSLLRRKGITVHV XP_822451.1 MSNGYKRERWDEESGDHYHQHAVRYSDLPPNVKLQRRRQERQEK KEKERTLAYFTSVHRALEEQSAETLVAFVDGFFVELIKLLRADTSLHLLRNGTVCRTI EAALASSQLLHCKSLLYILLGHVYDLAASPTASRTLEAIIASIVRGVSVFRRTDSSAF SAEMEEGGASSNGVPSASTLITCVVEELAERAGEVLLHDAAARAVRSIILFLGGFWNS TGTASSLPHGVKFYAQLDTLGTALMCVVEPTFGVSCGDGVANIWLQVAKTPTASFVVQ SLLRVCERETKLDASVRQRLEVIHNDGSQLLRQLLMDPLGCHLFQAYLRVPVPASVLK DYDSFSSYQCSGTAPTSSGSGKRFIKMLRDVAAEEPPNPDELAHEKTCWDKALAIVVE ALDDLLDPAGSSVGHAVFALQDLVLFAPTAVHLERVWEQLLERIGLRTLLASPKLVQV LIVFTRKCAFSNPLPTVVESEDRSAEAAPLEGGFVGDMVPKDVEQSIYNDLSQGVRYF PTSTEFQKKVLTALLRVARELCPKGAAQFLLVDGGLQDKGFELARYIMHFVPAASAVF LHAIERLRRSDVETLLYHPKGSLVFQQFLRAGAAHHPQGKKGGEQQQEQQRVETMIPL SFMRRISPLLPRLVVNTYAAYVIEVLYDVGSFEVKEELVKALLPIYNEMKRSHEEKQR EVREAASRNDPSANPNNFHMSEFITRKVMTKCLVEMYSHRPDDWAKLARRQCQVQRLL QRMSSVAF XP_822452.1 MAQPVDLCELYSSYCKEEGCKPNTAVLQYIIDCGRRYPLEKLIL GNNYLGPRGLRPIVRLVDYCPTMMHLNIDENGADNDTVSLLCDVLMTHKGMQTISLRG NPITATGGKKLLALAEKNPKITHIDLDNTDVFQALLLKIYAATKANRDRIGRGAVHLI PTSMDEKELMAIVSSANTKMTHTFTEKPVIRQLPSVKKGEPTPVESSVSPRVVSPVER STTFPKPGRAWGGGQPSCETKSPLARRKGRARPPIPPITSRLPESQRLELQKEYRQRA MILREVNTSQASKVANRVREELMLLERNFRSEPSTQLGWNVEAAGTEAGEGKGDHSFD QDLEAIEGYVDTNQEETEHGAQPQMQHVEEGSADNSRPEGLINRSSNGAFGPPPNFRE PQQQVLLRRDETSPEVPFGNAGGVTSLKSINLSAISPSSNSPDFTGKIVLQSGTPNTN TEKESEDNVHTFMESPAWMTLGSGEKLQYLFNSGCRAYVSQKFDTSYMAWKEAMSIAT SVKDRQWMAVLSNNLQRLSYEMLVREGTEFLDEFKLEDADVAFQRALEVARRANNAKW ESDMHKARRDVQVAIFHKTDEAALRMFEKAQTTEPRKVTSDDYFVVAGSDVLIQHTEA YVNEWACMLLVKEAVELWAAAIRVTERIGGTASHTLQVSVKESLTTVSYFLAQRCFDV EDTQSLSWMSTSRYNYHECIMLIKLWTDMTSSADFRENHKLFAAIAAAQIGNLYLATN QLKEAEAQFSALTSLGEELRDSILIAAGHTFRALLNWQCARYPAAEEHFRTAVARWGA LRDVVRRERLEQNSFVGNTTGVNSTSNSSSNVVDSLTKVTTGRYHVDGVSDAVFSRCN ELSRLLLLLPRGYVSMMECVSYNYLVSSLACKYRYSEALEVLECGLVCKYRDMLFDKL EMNFSTRPTFAQITATPSLVHSPLVYYLTTRRYEWSSTKCSYDVEESLLTWIVPQVGD MRFIEIPLMKEMKVLSVDSLVQRVRKSLLLAPLDRLGANNARSGGTSGDSCGGEKAVD APEIITELPNKTWVEPLKTLYNIFIEPIAAYIRSSDPRLLAKNGVVTIIPTDGLWLVP FNALMSRNGNFVIEDFAFQLGFSATQCRLAALSAKRVQQRCLFQNLVLVQKQADATEQ LMSHLLFPLDAHRSESEGAVVMQTLAQNKRHVRHQCNSYVTSEITSSEVLLHDIEKLK EHLPRSRTVHIAASTTSGFRNEDAGGGAICIAGTKGDLQLLRSSDIARMELFAEHVIL SNANMSPSGIVGTHDDVLCLTRGFLASGVPCVITSQWCTPDMVPAMLFSRFYQHQSAP NKRTRSSLLSRDSIGLLPASGTQQNALSRKEEGSDRCNDNLNWHKALFLAQAIRGLLE DDTFRYSPRSWAGYYCIGYGLMPPGEA XP_822453.1 MTDNKVLYVGCVVVTLKEVRVCGKQLECEATRSGGAQFCVRTAV AVAETANHSIHERFCERVNSSIYSFKNSTMMEQMVVPVMLLRSPSTIATMNGSKHNDK VNTNGSADRVRNGNSDVTDLGANGEDMDVGLDLFLDISFLHGESMVWSLRRRVEVESL DAIASQNCGVAVARVSTDVLQSNGVLPTSEAVRGGSAACDASDGREDDEWSGLELHVI VRSMDMSEPIRLMVAAEREASAFLDLPQREPQTVFLLPLRHAMPYNALPLEVLYQFYC CFYEYFFNKPVKVFGHVVNMLRTRQPGGMGDNKTRGGAVTEGRWSELRSADLRPLLLG EDALAPVLATLFHCPGLRELLLDQNSLSDITCYRIRSLFYRHRHLETLSIARNNIHEG GGEQLVRLIRRNKRLIDVKASGNHFTHSMSSRIQRVASLNEEYIRGDPYNVFSSAYSY VVSPTSFPASIIKQALAVWAMLSAAPVSTVIVDCVPNSTFGIDLMGSPNNMKSNGSLH GSALDNGVVEATDKALESSQATSIPHCALSPLLNEVMRTVALRMSSILHDPWALLVFS DIESHWERCTITLREQKEKCSKQDAQAAADGREDGPASIRHGEAALCEVEDLYAISFL HLVVVTMRAVGRAMDWEDASSVLRDIGRKQMAIGILEENYRDAIQIFVQSLAVVCGKD EADVEHSAAFLQCLALGVRTAVAA XP_822454.1 MVDKENQVIRLRPYEYIHVMDRNTCEVMLVEGPRSFTMLDHHIS LHDKVQNHVVVPPGHYCEVENPVVKPTASSSVGTLCNEMGHREVRLSQDPFPLHPGEK LVTEPQPMRILAANEAIAVRALKEFTYSVPALGGSNNGEKGDTTGVAVRRRAVGEVWL VRGPCEYVPRVEEVVEGNVTPIFLSAGQSLVLRANCNFTDINGVKRSRGDVWAVTTAG MHFPDPSASVVRVHEGVILSATEAVRVRALRSFFDRLAAVDRVAGERWLVTHDVVPLF IPTVDEEVEEKISLTIVGERQYCEILNVVKGGVCHYGVCEVRHGPCSFFLQPGEVLVG GTVREAHILSSDEALLVVAVRAFVDEDGVEREPASRWLVHGPRKYIPPQGVTVVERRK RMVLSGSEGVYVRDICTGNVRAVHGEAVLLGPEEELWEKPIDPLVHKLLTARRHSMYA SRVCTETSVDVGSEGHPRTHKIVMFKVPHNALVQLYDPTTNKSRVEAGPLTVSLGPNE EISVVVLSGGQPKRRGHIHSLFLFLGPDFMADKIVVETLEHARLQLEIAYNWEFDTTD VEHIKRIAFSVPDFVGMACKTLANRIRAAIASEPFDNFHRNSSSLIRRAIFHSHSGTT ELRGDSLYFPVNGLVITNVDVRSVEPVEVKMQNALTKSVQLAVEIITKSQENEASHQA MLMEQEEKGALELQLMKDRVSAEEERVKLLRVVAENTAIELCGASKAQALAESEARCV ESQGELDVTGIRCEAQSLIAAAQLAGLRERVESKLCHRRAMDELAIAKAKALSDIDAT KYEKIFEALGKGTFEAIARAGPELKAKLLQALGLKGFLVTDGSTPINLLGIADCVLHK NGNDALP XP_822455.1 MLAVTARRATMLVGCYSRHWCLPYVRVVTTNSFCFVLFFYKFFF FVFCRMTAGYPVLVVDNGGYMLKALYITSDGKSSFLRGKIAVIPNCVGAASYVGRGIV GEQLFKLPHFHGFMVRRPVDRGFIVDAGLQSYIWEYLLQHLAVADESEVELVMTVPFG APKQVGELLHYLVAQRFRFRSVTFVSSSFLTLVSSVSRDWLYGKAPGNGKSNKKVREK SNFGSDGVGSESVTGCGMVVDFGFSSTTVVPYVNFIPLQDSIVRIDVGGKLLSNRLKE LISFTQVNVTEDGWLVNHIMEQACYVALDPLVSLRKAEYAKRFDKGNGAFAGLRYYLP TVPPLMPLGCREEQLTQIIGKDVVLQEKNSLQHIVFRHEAFLIPELIFNPVDVGICQM GVVEAIVHGTCCRGSLQNMRTLHGAMMRRIIAFGGITKFKNLPERLSTELRKHLKEEG ASRVSVPPVKGSAPLQEFVQWSRIGRVRIDLQYNGVGYTPSDCELQPLFGALALITCP VLEPQLKLVQSRSRVELLPGSTAKGSQNRQQASAGPRTLSAVLSALQNLL XP_822456.1 MSRRLNNILEHISIQGNDGETVRAVKRDVAMAALTNQFTMSVES MRQIMTYLLYEMVEGLEGRESTVRMLPSYVYKADPKRATGVFYALDLGGTNFRVLRVA CKEGAVVDSSTSAFKIPKYALEGNATDLFGFIASNVKKTMETRAPEDLNRTVPLGFTF SFPVEQTKVNRGVLIRWTKGFSTKGVQGNDVIALLQAAFGRVSLKVNVVALCNDTVGT LISHYFKDPEVQVGVIIGTGSNACYFETASAVTKDPAVAARGSALTPINMESGNFDSK YRFVLPTTKFDLDIDDASLNKGQQALEKMISGMYLGEIARRVIVHLSSINCLPAALQT ALGNRGSFESRFAGMISADRMPGLQFTRSTIQKVCGVDVQSIEDLRIIRDVCRLVRGR AAQLSASFCCAPLVKTQTQGRATIAIDGSVFEKIPSFRRVLQDNINRILGPECDVRAV LAKDGSGIGAAFISAMVVNDK XP_822457.1 MSRRLNNILEHISIQGNDGETVRAVKRDVAMAALTNQFTMSVES MRQIMTYLLYEMVEGLEGRESTVRMLPSYVYKADPKRATGVFYALDLGGTNFRVLRVA CKEGAVVDSSTSAFKIPKYALEGNATDLFDFIASNVKKTMETRAPEDLNRTVPLGFTF SFPVEQTKVNRGVLIRWTKGFSTKGVQGNDVIALLQAAFGRVSLKVNVVALCNDTVAT MISHYFKDPEVQVGVIIGTGSNACYFETASAVTKDPAVAARGSALTPISMESGNFDSK YRFVLPTTKFDLDIDDASLNKGQQALEKMISGMYLGEIARRVIVHLSSINCLPAALQT ALGNRGSFESRFAGMISADRMPGLQFTRSTIQKVCGVDVQSIEDLRIIRDVCRLVRGR AAQLSASFCCAPLVKTQTQGRATIAIDGSVFEKIPSFRRVLQDNINRILGPECDVRAV LAKGGSGVGAALISAIVADGK XP_822458.1 MRRALWLTAGAINRWTREDNILLLGHRGSHTEATVQLPTLSPLA RVIGCMERKDFDGVVRFYESAFREGNVTLWEFAGGIVHARDMALCLMRTFTELGRMDR VREVFMLGLRDLRPVHTVVSSSITGAREASTSLSCGKTVPFSLVNANFFNAYLEVLTK RKNFSSDEVAFVLKEMKAADVSPDALTYHYLVELHIRMGVDPTGLWNDMRQDFTVRPL PCTVHTLLLHVVPSVADPSFVVDVTREALRHGSSVMDKRLISEMMEQWLHNAQDYPPE YVLWLMFELELRCVVDKASFVQFVQKRHVAELLQRCAKCADADTAGKVLAMMDRHVMT KTADILSLVVWCWAQAQELEKAFDLLELMARKGHLELTDPFRKYTVDCLRQAMDRHFM IALADAVSSVSLLDRVISHLRCRRQRGQTVSVHSLDLLVLAASKLGEERRAMALVGSY VAEWGVQQRTNTYNCLLVGLASRRGATLQRTIYDAMQGNGVTPNAFTFRILIRQAVLA DSIDEAIEFLQKVTSHTGLRVEVEMILPILERAARAGDAETVNRISKFALDCDIGIDS VVLNNVVKLLTDAGQDVEVIKGHQPLHEALRSRSKAGRQRARNDIVI XP_822459.1 MRANDIVKGRYSTYQLQDLIGKGGNAVVYSAVDRNTGLMVAVKK MEVHDDAAMATCRNEVAILSHLKHPYVIRYIDHAHSGKSFLIVTEFALERSLLQRLKR HGRCGELEVARFMFQITSALAYLHKEKIVHRDIKCANVLLGAAEVVKLSDFGLAVNLG AVHGDTNDEEDGLLSSSAVCDGDGKSVAEEGIVGSVYWMAPEAVRGEPPAESCDIWSL GCLCIELLTGNPPFFDRKPANALYCISETDETPIPTMDVSEECRNFLTQCLDRDASKR PTAAALLKHGWFGGFLAENIVKTLADTQVDGTTSDQVASNSEAIKSWVENNLFNERAE QREEWLRSGCLKRVVAVLPKVTPKVSFHIIRTFVFAAQRCRTESSCFLTRLGEADLWD SAQLGVLGKAESLGALFVCCCERQDPRVLQYAPTHPGALWFLLQCAEVDVAIMCIDAL RALLVGTAGEEEENRASFSSRPEVASTNVENITFRRYVSQNRFVSDHAVYAVQRIIED ICYDAFRGDKDPAVGWQNIDKLFEILLQVYRKAGDESIILGPSVSGARQDDPQDSPKG GTGGSVAATATAAVAVSTSIVGGGGGAASAMGDPAGSAATATTSAPSSGEAGRKPINP HIHSPCSPNAGGVNTSSVVVGIASACGKGGKPAEELWLLALQEASRKLCPNAIELLMQ YVHLAARNDLKSLNIGGKAIAGTFLVVASNASVDTATRITVLKCLPALQCASPKAANF MRDVRCSVPLLVHTAKNIPVPAEARDDEVLKVLFALCEDNRVAAAFASYGAFIAIAID RATLACERQRWAEVTLAVRFVELLLAHATDTSCILESHALLRLLLKLSDGDKFPSIVT SVAQRLLNSLQAQTNVG XP_822460.1 MFRGSGARKCCSSSFLYRTAPTSAATGTSPPSLVTVPLLRAALL LRWRLLSLQFDGDSNMCVLAEDAADGLPRGTRLEMYGSNVQLVRQLSGAGEALLQPSV IGEVTATLEGALTDDTTERRGRQIVAVLSPPHLSSSLGGPEFKGNEDVEVIAQWTLSR KRVENVQRVTDTTKRDGVKNEEPPPLMENGIVFPSPRFAGLRVLRAARHSFALLLENE LRERTGESEVGKVGPLAVLDVSELDCTFSRVAVQMAVERGLVLEQHMHVNFVPLTPAA TATADETVRSLLRLVAHPTRGAHLDPLRPLQARCFKLGCCTFCSKGRGSAANEGSKKD EASLVSRLAGVISKIHSNGTLLVNLVGDGINHEELRQGAEMHLVEALLRADRCGVVID EVLPAADTRGTAISFVVSLC XP_822461.1 MLSNTGGYSRHSHVLGFRKDAATLQCALCPALRAKGRCKAYQLE TRLRAELAAQKERELRTTAMQLYSLQEEILQRGREQGVDTSEGDKQAVVEKIVGELKK EQSYVRWNLDVEVVERMTLLRTRPCRYSHQRDLLTVLKPRKGTAKLGSKHMEKAQGEL GAAASGGAENRLSEEHRRIFEGLSPLQKCVVCLLWQRTFEIPQKVVCDVRRQVEVERG YDSDDQEDAAVVDAAIEEEERRVVTVTTCRLSIGSVDLSSLPSVAAYIARHPNEPIIR TETWEHFTVWDAKSKSRRSALLPSSLTEKQVDLSTAACAHEVMGAVVWALRTMDEERR LQSDPNERRQERSRQQRHTQGKSAAVVLRTLTLRRCSITAADALVAALRKYKLDTTLL ALDVSDNRLMCLRFLFALRAHFAERLLRLSLANNPITRKPDYREQVRKSLPKLTSLDG VAIRRPPLRFPHPSLLSYTLAGGAATASATSTTVRPKEHSTMSDTELEQVMDAVSRFF YIWETRRVPWTAAELRHIRDQGGTRCRGRNKVSKRRRGEGTGLAITSLEDGEEEPCVP PEEDLDDDNFHHRYLHPYATFSLSVHEGLSFFASDIMRVDAEVEMDKNYTGMRLSSLD ASELRVFDVSMKNSSRNLLLGRSVLHRHARGSLSCYTAYKCTLYPPSLSVCHHFPAAV VSASKIICAAVNAEPRKQQRQEKQNSHRKQSKGDTGNAHESKTGATVFFPNRTRKPTF YTVTIHGLMTWRAPSMKRCECVRAAYDRVMTLVENTVYGEDTVEGRRSAPLLIFNDQL HLRPAGRCDDATTVCHVTPTLEYVTRLVVEFGLEACVDGARLVRAVAERSTSDASTHA ALTVLVFGSVESCESKGEEVGSPNVSASGTVHSTAVDHDDPTNGVEQTLPHFDIYSVL TSENWTVADNGCAVVECPPHRVTLADVDAAVAASNSRYTFSFSVEKGELGGT XP_822462.1 MSRKSYLTAVVTRYARSISSSSALDRSLNRNGFKKSAKHETTVP PRSFLSSCLKFTPVAWLIRNAMGIAFYQLVLEGILTAVCSLMLLGHYTTTAGIRSRLE SCHYPFTSFVDWDGGQHDQSFTVGQYHLTAEVLTAAHTAHNIASGLLPLQLVVLALTF PAARRVWVGVSTRSKALWPHKVEEQISNNPYGKRRNYRR XP_822463.1 MLWIQICTFVVLSTCLLVPEVVNAWSYGELLPVSFHLRLKRTAA ATDIPRGADDMIEVKETKNNQWLITRPLPPDNSPRFAINTRAHQPSTRLFEYATNATK QEGGSISDVAVRFQIERGLAKSTAWYPLMKTIIKKITTEKRKSKVEHSKEEHYLAGLN FHFGYQSGTFHKITSFRVEPTYSKVKNNDLELHYQWTEHRTYNPHIALCICSLVAVIA ASSTLSLIFTKKTHLTKAFSKKEVRVKNHKQ XP_822464.1 MITGTSQADAAILIIASAQGEFEAGISKDGQTREHALLAFTLGV KQMVVCCNKMDDKTVNYGQERYDEIVKEVSAYIKKVGYNVEKVRFVPISGWQGDNMIE KSEKMPWYKGPTLLEALDMLEPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVMK PGDVVTFAPANVTTEVKSIEMHHEQLAEATPGDNVGFNVKNVSVKDIRRGNVCGNTKN DPPKEAADFTAQVIILNHPGQIGNGYAPVLDCHTSHIACKFAEIESKIDRRSGKELEK APKSIKSGDAAIVRMVPQKPMCVEVFNDYAPLGRFAVRDMRQTVAVGIIKAVTKKDGS GGKVTKAAVKASKK XP_822465.1 MGKEKVHMNLVVVGHVDAGKSTATGHLIYKCGGIDKRTIEKFEK EAADIGKASFKYAWVLDKLKAERERGITIDIALWKFESPKSVFTIIDAPGHRDFIKNM ITGTSQADAAILIIASAQGEFEAGISKDGQTREHALLAFTLGVKQMVVCCNKMDDKTV NYGQERYDEIVKEVSAYIKKVGYNVEKVRFVPISGWQGDNMIEKSEKMPWYKGPTLLE ALDMLEPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVMKPGDVVTFAPANVTTE VKSIEMHHEQLAEATPGDNVGFNVKNVSVKDIRRGNVCGNTKNDPPKEAADFTAQVII LNHPGQIGNGYAPVLDCHTSHIACKFAEIESKIDRRSGKELEKAPKSIKSGDAAIVRM VPQKPMCVEVFNDYAPLGRFAVRDMRQTVAVGIIKAVTKKDGSGGKVTKAAVKASKK XP_822466.1 MGKEKVHMNLVVVGHVDAGKSTATGHLIYKCGGIDKRTIEKFEK EAADIGKASFKYAWVLDKLKAERERGITIDIALWKFESPKSVFTIIDAPGHRDFIKNM ITGTSQADAAILIIASAQGEFEAGISKDGQTREHALLAFTLGVKQMVVCCNKMDDKTV NYGQERYDEIVKEVSAYIKKVGYNVEKVRFVPISGWQGDNMIEKSEKMPWYKGPTLLE ALDMLEPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVMKPGDVVTFAPANVTTE VKSIEMHHEQLAEATPGDNVGFNVKNVSVKDIRRGNVCGNTKNDPPKEAADFTAQVII LNHPGQIGNGYAPVLDCHTSHIACKFAEIESKIDRRSGKELEKAPKSIKSGDAAIVRM VPQKPMCVEVFNDYAPLGRFAVRDMRQTVAVGIIKAVTKKDGSGGKVTKAAVKASKK XP_822467.1 MSDSFALDDARRIKRRRRLSRMSIEGRRRQLQYRRRHNERDGRL LYCDYCDLFVCSSLRSWGEHVISERHMENMECYYAMVEAYEPSWLGSICDDVSRAHVE NHVRRHQSVSGKGSSVPIPAVVAGRVDDQEIIVGGLPKPSRTAAETYNNSCNIAGTPS VRVAGVLVASAAPPVVKVGNKPVTPTPLSVTKRE XP_822468.1 MCTGITGSHCRYRFSTLLFPCVCLPLWIQGRVFVKSLTGRGEGA YRCVRIIGVLTFLLFVRFPRFVSGMKTNNLERRRQIRQQLRAFYGDNLTAKDEPVTRE SGEPDHSSVSMNTRVNSIPPGLDMDSDSFDVGEYTTELFRVKSLKGVVETDAWLARTA SRLETELRELVYRNYSKFISATDTIREIRSDVTEMGGRLHALSGNVENIDDVSKDVSG KLQEHRSRIEKVITKHRMLRKAQFLVGLADTMRLHMERKEHSECVRKWVMGDSFIAKH ANIPKMAIVHKECKELAMHLYGVLREEVLSVSMENPDASEVIRRIVGELRLLRATSIF GEDKEITPHAGTDGALAPFEEEILSVLKINVREAFVVAVRSFNATIEAALLIPGLSEM HLEERAGVLMRPRLQEALAQLKSSLAIFHSGSEQLCSLFNQRDESAFSKYIIEEVEPV LTDTIVAITTPLGNLLVAIVDAIAKDGSSLLGSPATVQTDLSTVFTTLAKHLMHYSTT MKGLGVTYLNSAMNQHSEKYAEMVDKSVLGVLLKFVNYLETHVTETNDAQKTKLGDDN ASDPQKQQEVCFNMCLSRFVLANAAVAADLKSVSAIIESVTSRRSELIDLQKKNARLT RALLRRGIVLSGQFFLNSALPAFSPATAVDPSASTASEHGGIAVCLRNTVVRLGELYN FLKQGVVPSVDDGNTKCASPPAEKHRGNDSASGSTAGGSQLLYSAPGSGRNAGATRAT RLAVCFTRKKEDALQASVERIFSKQSQNNAVLQTMPLEFRAASVVAAIAVYLVKGIVE YVRRVTFTRCGFQCVQTSCTFLLHAFTPTALTSSSPAGTSQSLKVTVSLEEWLSDCGD ERLLKGLPFLLNECCTCAYERCGEKVPLTAVVVERLVRSVLEEMEGVSVSAEA XP_822469.1 MVGTVLRVYTHRTRCIGELCSVAPLCVILEQRRPGPSFGIRSQS REDNSVHFLPCEGAVDLSLRALSTIEGLRNYREHRLRPQLLVKRAELRQADDVKTRCD ALGLRYADRCIAIAVALILIRNVVLFYWVYFLFDWNLVEPITYLLERGAVCLGFLWYG ATSSDARFESFRQFLVSRRTQRLYAQHNFNAGHWKELVVEVEGLEEQLRGLETV XP_822470.1 MDAEEYLHMKEREMMEEYGAVILSQRNEIEQLQRRCSELLHERS FMRASVAEDVRQFCVGHLQRQQAQQQRASGRLATSSACVQSIPVLHLLQFLHQYTSGV VPVMETEKRKRPRETGTGGICPNTPHQQRLVQRMITRRRLCIREGDSEESLQGIEVQS AEQPICADQVH XP_822471.1 MSITLFVDFIYFIFRFLSTHHCNLSFTWGRRDDLRFDTAPVLSS QARGRIEAEFKQKPLLLIS XP_822472.1 MSCGGAWEALIDRAAMEVSKFLSATKDAFHQDSPTAEWMLNLLD DNALRDVVMERNFNALCGMVGCAAMPPAAVGRVEGQLRQEAIGTGKNILNRNDEEDTV SDGEDDGGDAADAFRRYEKYREQICAGREAATALSGVDMTKCFCSPDCAEQFAAMLAK VPRTLVYSREGLVNSVGGLFPNMQFSVLQQLAGAEATVVPDIREKEVVEGQEKKLDLP IPSIGNEENKDHKVKLRELLESIQAVQPVWSRGVQEVRPFDTRKGTMQKMPIPLMVYD WLGTVSTDKTKSIFASVCYSNTRKSDRFPCVGGGRSTNLFVRCMQPVLDACIRKGKEL SEGRAADEGCAENPCVDPALQHQRLTLFVRHVFSGETSATLSRLLMYDQATLERAWGA WNSTGLLASLSFPSAVPGDFTCGGGSPARIYLAVVLTAAAALCAPAIWVEWLQEDNGL AEVLEALGCTSDDFIACVRALVVE XP_822473.1 MFHNSYQSGFLSILYSIGSRPLQIWDTKVRNGHVKRITDEDIQS SVLEVVGNNVSTCYITCPVDPKKTLGIKLPFLVMIIKNLKRYFSFEVTILDDKGIRRR FRASNYQTTTRVKPFTCTMPMRLDEGWNQIQFNLADFTRRAYGTNYLETLRVQLHANC RVRRLYFSDRLYSEEELPPEFKLFLPIAQQQQQQQQQQQQQQHMQKQAPPEPQQQQHH QQQQVHSEAHQQQQAPPEAQHQQAHSEAHQQQQYQQQVQHSQFQDAAPPSQQQTHEEM PQGEEEEQQQQTSPVEGA XP_822474.1 MSRVPHPSGVDILFDSKWHQYKIGNCALRSVSKLLDKHFPFDEK RALAAVAKKTGQTTEEVKASWNRQALLGKNIHEYIECKLRGLPPPTWTLLLKKKRRKE DVFRHQDIREGSKKKKKDKKSDGDSEGSEGSDGKKHASRGSKSLIDTLLHGEEEAYMV VADRVVETIECNYDIIAVEQVIASVPWGIAGTVDLIARNKRTGRLLIGDWKTSGTVAS SFRFSPFETPCTGCLLHLPNSRFHRYAMQVAIYGEILKNDQYLEKGFFGKKIEPLNKD IGEINLSPAMVNEALEYGIVQMQKNDAGSVCVEFKEVKESTVMPVDATDCTFLQLLHS VMRG XP_822475.1 MGGSYSTVKTQRELRNADLKVSPKSPNSFGRGDVRPTPSKALPS AARGTLPTRVAQVKVQREQGQDEGASRAQESSFQALPHLPTSRSQSFSNVAQKCGPLS GTPNTPSTSLYRSNILSPSSTTVNGGVFGSPSTTAIPTRSSPSQTQYLEISGYPVGLE NYGNTCYFNAVLQLLYHCSPLRMRMLELNAIYEKKKGSSRFDSSTILALTADLFAKMH KVNNNKKRQKGVPTPRGLLNRVRQLNSMFNNNHQHDAHEFAMFLLNELVETESHLMSD ERNRTLFMRDEGKESKTSQWFSSLWSRRQKETKKGAERSQSDVKEANESCTTVDCLEL PRIFSEGEVCAMKLPSLTRLKSSDFSDGVGGTSLYSTSGWDWGAPPSLEKILTGQFVS LTGCCECENVSVTREAFIDIGLNVVQGSSLRRCVEELSVTEVFDGENKMNCERCGKKV AARRAMWINRLPEYALLVHLKRFHYDEKTGKMRKRSEHIALPREIDVVEYEPCGEKCN EAAGDGSFCCEEDTSGPEFRRPLTSSPVQSTLAGIASSNDAGCSNSCIGSSCGDINST TTTSEPHFRLLQKLHVMPRCKGRFALSGFVAHRGSGLSSGHYFTCVRHESAWRCFDDN NVTELTDREMQRFWGTPVDLGEVVTTTTAYLLLYERVA XP_822476.1 MMRRTLRCMIPAASSSSTSIAAIRRRQQQQRHHSQGQTNWRCAP TFNESNVLTETNASAAVRSGSSKSLWLCEARQVTLSDVKRDYISKRLLDKKTRFVVRQ RLREGGATSNFFPLLFSQPIVLYSYEIEATRRPLHALKAPSGEEVPPQCSGGKTGKTR KGKSKITAPEKISSVPVRRVECSRAWRAVQRYLRRCLPNAPPLVNLRQQIYTTAPLPV VALQLPPECLDLGWVECKLHFRGKTKLTEMDSAEMQETVNKIVLWALRNGGSNQKGHQ FCVAREANGKVVHIHDAVSVDGLRVFKGTLIRATFVSSGDDAEDVPSPAVVLPPSTAV LKTLAAGDYGAKKLRFVVEEFVRGFVFKERNVHSYKIADASGTTQASLWDATEETKLK VGCIYEVTDYRVRVFESHNGMRLVEMTVGKTGFNVVMSQQDEVTTGEEADDNGKAKSK ESRKDVKKLRSKCGKEASAGEERKHQHQQIEKDEAKRTMKNTSDCGSLPHCTGKLTLK IDTKCTVATELSLWEEVKQHFGRGPYDPAMEERIARSVQGTPVVISTTLRHSIVRLVR FNMVATEVNLEPTLQHLIRELEPGQPYAVLVDYSVVPLQALHCCYDPRTRSWQDTSVT ACSFMPSQRLEILELFRAKLESAMQPWGITVAPKPLLSKALSLLPAPQKVSPFAKKQT NDTSIVNDNSNGRLRSVAPSGSVPLRHPPPPSSPTTTAVVGIIASHASEEESSRVKQT TQAIGRYCRSTTVITVPDEQEAVRYLVQQLISAGTEELRDPNTAAVIVTNERETRLVR WMQAECLTRGILPLFVPPCASPKQQQLRCENIRLRLRTVFATDPLRGVDLQREVPAVA QRRVLLVGVDSCHTPTVSTGSVVGILCTAERNHLLPFFWKHERRGQEVELVSEHFEVL ISRAMELYDGLDDVVVFQDGDVYSEMSAMQAHLPVGCGFTFACLHKRSDVRFVHEFRG EANTSGSKVAAGNVVKGTVVQALTIQRASEDPLLGNAVNSFYLQNHDCETSTARTVQY TLYCTSPTLDVSDVQQLSHVLAHAMATRATKLPMPTRCAHRLASIVERLIDAVPPLQC SMIPKPLNERLWFF XP_822477.1 MLLRRSHMRRCLKPGVPRHPPLSCASASPLQHTDVTSAPMKTQI AVVGSGPSGCYVAQQLIKKRDDIHVDVFERLPVPFGLCRYGVAPDHPEVKNVEQQFLS MFKSGRVTWIGNVDVGRQLPIDTLLNNYAAVVLATGVDSGKKLNIPGEDLGGVVRAAD FVRYYNTYPFPHGSPRFCPFQLGMAHEAVVIGNGNVALDCTRVLAASYKYFCPTDMNC AAIKEFMKNHIHRIHVVGRRGPEHSAFTIAEFRELTKYQQDTVRVTVDAFNPEEARRA STENPRARQRLFELMGRHIVKSDDPESQEVRGPTIPKGTRGPCHIRFRYNMRPLRFLP HRERKGQVGAVVFECTAAETSSSTDCAAATETQAYDANCGEGGNKGGGTGVKAPKVIT VPCDLVITSLGYYGDSIPGVEFDHARGVISHVGGRVKGQPRLYCAGWAKNGPKGIIAH ALMDAQVTAATVLEDLDNSALPTGGSDGAFCGKYGLVDYFVEKRMEPVSVAGLERIMH VELERGVDMGKRLEKIDDVRAMLDVALGGAVGKKANNAIRGIMNERPDALLYLNELLD DTTDLAPLARQLARDLPPVMAEQHPPGRLHPSQL XP_822478.1 MSITQSALGREWGVVACGFLVTYYREFTKEGEPLALLDMYADNS HVVYAEYNENVAQIVRGRHEILQHLEKMDAALGRRKVEVRFADFSPIAGGSVLIVTQG IMYVRGSRKVFTQSFVLAPTPNRSNTYHIASEYFRVLHVEMERIPEGSIIMTPVQVAQ HLLGEQERRKREEEAREHFLFLQKQKEEQQQQQQQMLQCADAEMVKQQENDRALAAEN QSEAWDKHPRGQDRRMGRKDYRNRAPAGARSEGVEERKERAEWEMGKPTESRTNEAED DTAGEGNERNRWEQPRRSEPHKRPERVKRNEGGRRAEGNDQNEVGRPERKDRRDAERE PRDGESRRGHWGRNEEKVDNVDTADNTRVTEGDAARSTGAAGAASERTRRNTFNNRPR DRFRNRELQQEQQPAAPADSAAAVPATSSAADNKGDAGRNDHKPLPRSEANRRGPGGS KEAKNNKKEAWASEKNDANNGGAAPDAAAAEENVTPAEQRKKFPRSRADKKIAGEGTR LEHRKGPTDHVRLIDAPKGQNEQISAAIKRITGEEPKKVVPFGRGNDIVCQLSTESAA EALLEKPPVVNECALRVARFYP XP_822479.1 MSIDVVPATETAAAATTTATYMDNIISVRKANAKKLAGGYTQTK RIAEICYFSLAVCLWMRNVAIVVLYLLHSSESSSNQLLWSPLMILSAMVLSDFISGVA HWSFDTWGTPETFLFGNFIRSFREHHVNQMAMTKHDFIETNADTTLPLIPVLLIQLYF LGCNSKNNSTFRYNIDNDNAGFHVFFLTFALFIGMTNELHKWAHLPAPHPFARLLMSC RIVLTRKSHRRHHRGSYDRSYCITTGWLNAPLDRVDFWRKAEAVVTALTGAVPRANDR ELLGVRHKEETDGEGCNEEFGGL XP_822480.1 MSSREPTAANVEATEVCVVVSHAARKFQITLPAATATVGDIKNR IEQSTGVPVERQRLLFGAHTLKQYRNGDIENVRLIDIVVKPSGRANEKGIKAPAGTND NPKEGRDDNSSSGNGTVTLTSGSVITLPVMLLGTAASAPHVDEEVTAQLHRLVTTTLE HDSRWFRCSYGKGYARQTAFVCRTCVDSGAADPSHAICYACAEVCHTGHDVEEWGVRY FMRCDCCTPVCWRDIKVNGSKEEWDKKAVNDHSSEGNRGQGDENSKMSRGVLPLIRKA EETRQLKRCCFILDSETSEPPVSREAVPLNGRNIYPRSSYAWCFCCCEDDYPPDGTNE SAGVVCMLCATCFWSAHITRLHTGMLNRLPCHGNLVEGDVVAFYCETCKGVVCGPCRL RCHADHEVQKDVVVAPYEQHGKGAVANSRDHTFKCECGCFSSDKSAGDDGLNDRNASL LPPNTAVDIMNSDSLIGFICAYCMQEHPWLADRDYRYCYGGKLPDPVPKEQHKPIVSC NFPPDAECPDDRYPFHGMLFSVDAFTEKMTCKCAPCRQAYERFAPRTTTTAQDEMAVE LHEACDHCGRNIRDENAFMCQTCELCCGKAFFVCRRCNSLRLGLNLPTPSSTNDESVH GNTQEGNTVSSTEQEDEPASWDHPSDHVFLEDTCENLFNLCGMCVAHHLGPQAEDLSS MDAESIAGTVMTVLQRTFGEAPLTFDPKDIAQHHQLLQQQKKKPNASANTQSSSNERT GRRVSPASIAKGCKRPRIEESEDDSDVRNGVSSGSGAHDGDDQI XP_822481.1 MYGHVVVEEPPTVLSLQGPLLTPQQEQEKWLCDSLETVKEVEAE MKLYIRRREQRNVWNAAAKMLAEMRTDVLAPQYYYELYLKVFDVLQVLHQFVEDEYRE GCSLEEMYDVVQHTGSIVPRLYLLITVGSVAIKSGEQPAVEIMRDLVEMCKGVQHPTR GMFLRHYLLTVTKNRLPGEGGYTGSKSTEGGGGTVDETIELLLQNFKEMNWLWIRMDL KGQQRTGDQQRTQQRARRDRKELCVLVGMNIVRLAQLDGVERETYQTSILPRLLQIIV GYRESLAQQYLFEVVVQVFPDEFHLFSLEQLLAALGQLQSKVDVSAILSALLQRLGKY AELMNEGVAEAGSSTEKELLMTMFDTVKTRLDDMADATKTALQALHGGDVNTQGKDGV EGVSILSGKSKHPYMLTFFSYIKSMYSLAELALKVNPATAPQNIGLIFTGIANRLPPA LEQNIMLGVGRLIIRVIECLKDPSVVLDLEGIDVLVQPLCASTRRSIALALCTACLHS PSYRISTIKLAARLFELIAPLVYDEGDVAGGSNQPEKPVQEGTTFTGETQIDEKSAEE QQLVCRVLHLLQCDDVGIQAKIMNGVRKQLTKGGPQRIVATLPTLLSMYMQLALRVMK GATVTLDPDTAEAGEEERGSGGEGKPTENVEKTKSVTTFDMEEARALCSKIFHFVHSG DGKGVLEVLAGEAPQQAFYLYLSSAATADVCELSEVIYEHFVSAFQIYEQSGVDMSEQ IAMVGYAVAQLHALHSLPEETYELLATKVCQYSSKLLRKSDQSRLVALCAHLFWKKDL SQDSNNRIVECLQRALKIANHAASQQSKQQQQLFVELLNLFLHYYAGRAPGVTARHVT GLLDLAQDSLTKLKQQDDGEDNENEDEKDRSVKGETSEEVRRYYDNTIQYIRTRQEVD ERWKEIGMTEGEGEAADNE XP_822482.1 MESLIKNIQSDLDLVRGALARAAFNQNPTSASKEGIGGESQEDA KSCQRSPQRGGDTVLVQPVDDREPEALAVASAAELSALREQVAALSAVELQRSKLEAQ LAARDAALRHYTQSHLALSTKEQKQRRELEQTASTLKEAEAELVMWRERHQRLQREVQ VLQHELEALAAQCDRLQSSVLELRELSRRAIDDNNALRKEKRELREECETLQSVRAAL NDSVAREEALTQELQALRDASTESEAYFKAAVAEVEARRQYWAAVQGQHESLLEALAL TQKTAQMWEDRFASECTPKVFGVNEVPADLPVDDFERPKEELLISTTEDGGSQREVNK GGDEAVDRESASLVAALEAKVVDLALHLQEQRAEAEAAKDAYNTLAAVVERESCDLLE LRRKLAAQRPECMNLIDTNTRLQAALLARESYVEALQDFVVAILDDLTGLTFHCYELE VQLAISGAATPVTVSGDGREPVARRSTALNLIQSKQQRCQESWRITVPRGKRGSKGI XP_822483.1 MVKETEYYELLGVAVDATENDIKRAYRRLALRYHPDKNPDNAEA AEMFKQISHAYEVLSDEDKRKLYDQHGKDGLSGGGDEGEFDASDIFSMFFGGGRRQRG ERKPRDLVHELAVSLEDMYNGRVKRVAVTRDRLCSQCDGSGVRPGAQQQMCEACNGQG IQVLVQHIIPGVRQQVQLTCQNCGGCGKYVRESDVCRRCHGKQMVRDEKVLEVPIERG MKADDAIRFEGEGDEVLGVRLKGDVLIILAEKPHDVFRRVGDHLIMNYRITLQEALCG FELPVQHLDKRMLLIKIPAGQVIDPEAGWVVHREGMPLPNTGGIERGNLIIHFEVEYP TKLSSRQIDLIADAFHVSEGFPHVGGQKVVLRDETARRQRRNTASARQAQQRRSRDTR GFDNPDVFSMGFGGGQTAHQCTQQ XP_822484.1 MFSRHSLWVPAASHFPKFVEHFNRFLKASPHNVELQKALCYKEE ETQLLIIQDPKARQPIFAMAFPFTVTSALCDGSRMGLGTFTSLVDTTTSLHVAAALLP TNDMHVSVSLQTNCVQPITAGEKVVMISRMDKFGKRLAFLSARLLRLDDVYENTVAPT AGRELEELERQLSQYQTLANGTHVKCILTGKS XP_822485.1 MNRNAAQSMTNVVHATDVLGSALTAIDAIVADAQQHQRKKEQRE GTGPALAGIKRQRGGEVFAVMAEPHFRALQTIVLLYGVQLMPSLDAIMRRVLICVTSP VLVSSDCWELAAMLGTYFRAGAARLLEEVLALLLAERSPFLLGSPCVVVDVVASQGLP ANTNGSTDISGKDRNAVDQEKVYDGSSTQRNLRAFDDLLVALGPFLPSSTMQQVALRY AEEVVVNGMLNAQASAGCSTGQLASYQERQQQQVEPQQTSVEAVLRPQCVSLLTTLLT LCRPLPSNTAACAVRAVKELPMRSFSLYGGVEHHQLLRSVMRLSVTLTALRHPYAIPF YVPPRDVVERPTRRVTMEEGSDGHKVESAQVYPNNEKMPAVGLPIPISGGIKGNDGHS KRREEEGNIKAAYQSTTPMESKTVATPQRQPQQFEGTAEKYCESSVSPAVSQKQKPQA EPTASQAPLTLTVSADDDDDDVEIPEIDMED XP_822486.1 MMALELLAQQYLPISPRHLSLLTLDGGSPAAVVAVSPAYDNFNR VFFLEWDYPTETGNFRLIHTEMPGVGVNALSLMLSQDGVVHCVVQCASKGKEESPKTY LLRRTEDAGNSDSDTSDNGNEEDTAVKYKILPKVMEDDSRSWTVATRLYRGNGGVRDS NNSSRVVAACGGERGEPNALKMMYLSGTRLSVQQSVELPVLSTFPLLRLCEIDGDVSS LLALCHHAVLEIDPRLKQQESVVRAWKWTPRDPLTAFAVKDNSIVAGTEDGVLVLWDL RFAAVHEKGSKAVDHSVSCGAPITGLHMPHSSSVLSCQADGAVLTWERCSTSSINNIN EACFVPRRIPALPPTFVGTPGCVGMAAEDNIAVVADESGVLSIFSMS XP_822487.1 MENKDVATSWDYNSAEIGEFVPNSCTGTHFPNGIACAPWFQQQV TSQPHLCSSEFASSVESVGGPACRVGSEGSDYCFMNKSVCRNEEEGAEEVEGNEGESN LRWGMHIHPAALRAVQASSREVVQGLIRDKELLCSQFGTMQQNIPLAITWHDTTAPSP NQTLASTFTPLPHKKRSRDLVTLLSGISPDWEAAEEVGGASAAAHVGGLEIQRKRFRV DNPTIGSAAYEVSKAATAASPKPWIKARQKRVREEGDEDVNVNVEWGRGRDGNENSGR XP_822488.1 MSKQELQLTDAVSEQDRLLGELHNSVLNTRQYALAIGEDLEEQN TMLDDLQTDVERATDESRRQNYNVGQLLWESESRGFWTLFIVLSLILVVLLIL XP_822489.1 MRRSLAFLVNFSPIYMPALSPSMDSGIIVEWKKKVGDLVKENDV FCTIQTDKAVVDFTNTFDAGYLGKIFRQNGETVAVASTIAAMVEESQDVAKLADYTLK DVEPGNVDEEAVAAPVSTTTTTTKTPKPAGGKIRYGGSLDEAVAASGPGVMRIAARLD KAALEAITPTGRGGRFTKADFVGQPGFDYEKAAPAPKGSSSSFTNASRECCAENTNGA VGSSAVVKSFPVYNFKVSDTTLLQQLLNSMPTPKAKGAAVGK XP_822490.1 MYFGFAHLPMSASLWLSLFPHIHSPSTYKWKEEGKRKKKERNTV NVAEMQNEYSPPHHHQEEEKRKRKKEKASELGDERRCKYPTSRVESSKRYNIFAPCWL FAQRLPSFSVPCDHVFLFRLLREFISFFFVCVCFIYKFLYVSTRRATSHTVTSGGWGG NCKGMCVRGGVWEIKRKSKKKGKRLHHIK XP_822491.1 MPLSSENKQKLQKQVEFYFSDVNVQRDIFLKGKMAENAEGFVSL ETLLTFKRVNSVTTDVKEVVEAIRPSEKLVLSEDGLMVRRRDPLPESIQTDHQTVYVK PVPPTATLEQLTEFFSKHGTVQAVWRRYFAGKKDAPPESRTKPSVFVVFNSSEEAEAF QKAPPMYDDVQLTAEMKTTYLERKAEEIAAKKSSKTKNGGATDRAEKKTPPMPLGSSY RVSGCGEMESFATVKNLWPVEEQKGIRYVFMPDKESALLIFQDTQTGEKMVADLKSRG TTLNGKQPDIKKLEGDDEQKLLENVEKEIVDRAMQSANNRSGRGGRGGRGGRGHKRSR E XP_822492.1 MPYFSAWAFCYHESVVSCRSLPIYWRYSSCTPPAHILATGSDNR RVPTSASVRCLRECHTHFLLRLLTNAGFFRRFLYFLCPIRQRLITLAGRLLNTPVADL LRSSVNIDFLCFLLFSTTHIITLMRAYTFPVYCNAEKITMTTEVSNDGMDIVNHMDIK VHGIQNVPPSWWSDGKHPTYPEHPFRYEVSFTIDGNQTFTLANGRLLQYLPQYRDYIE NAALPPLSSTAKDVLRQMHEVPEAPTKGKSISTTKTTGNSQAVEDDAQPEARIIWITP PTSERAPTPSEELLGKRRKKTAGNSVGEDLTPVAVPEDDDTPPCMIRMPLDERHIMAL EGMMLSGRPLELKFTRVLRPGLPTEWEDKQEWYFRAIIPVDLAPLSDPGSRELSADIQ LQPVKAAVRTEEVKKTSKRSKNMAQGLRHEEIDTEGEHPYVTCKTSAVVSIELEKTLV QLPKDRIRPAVLPTNLIPKRPPPRKEFRDSTRQFSDMVASIAERMMHDCAALQDADSE RKEEEILEVFENSGRLESYKEQLLPLVVNVVREKFLSDKDASPDVISRLTNELYVHLL NSIHCTLHNMVEGMSTPEQENANRVATAKPSTASPQGPDDGIDYVWLERAEEAETIRD YARATRCHQARIASCACAESFPDVWYDAASYFVRIGETTRAEQCFREAISHDPTHAPS LMAYGALLLTFDRFDEATVYLQAAVDAKPSSLSWGLISLLCDMHVLNLERGPRYESQR AHWEHEGTIAMREALSFSTDVDHTSVSKEVADYLLKLQHPGLANISLTRCSRGGHTEV LYARLFALGEQYNEALETLKNGEGLEPYIEEVTILRGDCYAALGRSDEAIREYKSVLC AKDKEPRRRFGPSYIHLGNLLITAGCYNDALGAFTIGIQAWPCSLTWLGAGIAYYRLN KIDAAEECLSESNTLNNTNPRTWAYLSLVCLRKERVELKTVLRQAIMQGLADPGLLTE LGRDLVRACMGELGESCLRKALAVERECGREDSAVCCTAMYYLAGAVEGNNAEEAWML YTAVANKTMDEVLRAKAEEQLAALGKS XP_822493.1 MTDVSLRPLTTHKSHRHSHNTGVLECQTILQGRFRLNGLLGKGG FGEVYAGVTVSTGEEVAVKIAPNRKRHVLAHEADVMRSIQSAVGNADPPGIPTLKFFG HDGDNTILVMSVHGPSLEKLRSEMGRLSLKTVVMLGMEMIDRIQFFHSTGFIHRDIKP GNFLMGVGKHAHEVYLIDFGLSTRHSHSGAWHRGRPTASKFVGTSWFASLRTHQGYAQ SRRDDLEQLVYSLIYLHRGKLPWTELRHTDRTERTRAIAAAKENLSTAQICVCCPPQF EVLLTYVQKLKFDEIPRYEMCRNIIWSILHPSSAGPKPSLTYEWLTPERECETPKCVF PALRGSETKHGTKNDEVARNDSEAKKSLRRRMPTASSTSHTAGKG XP_822494.1 MTISTPVGIFEYKFLLFLPNFHLWVPELCFTAVVLHSNATNVHI KMCMCVRKGCTRNKKKKTGGTGESYIVTLLFFKLRIVHLLLFIPPLQNFFLLLKMVVA AIATGFALFLLTFCLTVLLEKFVPQCVPPFPPLSPPSIGFWRFLMFVRTPSRKTRSLA NAAEPLHPLLWIPSVAQPFLG XP_822495.1 MTQLLGTAIFWCIFAAFVSHHLRAHVHVEASATHLEAPEEQWGE EGTGDTPRGWCGSHHSAINPDDVPIVGTMPPESEAKGTTGGDLISARTASVDKKPKYT NNVDDYGQGEIDSRWKPIRIRAYTQDLNDPSRFCTMAGDVRSILVSGKTTVCTAGDVL TVRKKRVIVQVAIPKAIKLHTDRLLVRRYHRRIVLPSSYAGYCSLFKVPKGHYTNGFE GDVSIYVAARPTIGNMAWASVCAMLTDGRPVSGVVNISPKYVAETDFFVRVIAHELGH ALGFQADILIRRGIMKQKGGIRGLKTSWLVDSEVAKRVARKHFNCSTAPGIEMENEGG PGVFATHLEQRNAVEDVMAPYGNLNYLTVMSLGVFASMGHYRVNFSRAEKTRWGLNRG CSFLQEKCLQEGKSKHPDTFCDHLWKSRLFTCTHDRLGLGQCSLGTHRTELPAEFRYF RNSRVGGKSRFMDHCPMVVQYNSGNCVNGQSKFLRGSEVGKGSRCVKGVNLKFSNKDI GDVCVRTNCTGKELQIRFLLDHSWQTCKPGATVQPLGRHLWKGSIICPTREEVCFDDE DYKLRLTPLPKLPTDDNAAVNPRQM XP_822496.1 MHESTVGRRAQQRRRFSTRPGTEGSVGTGSVGHLRIFPGVMLHL WILLSLCVPCSLAQGGHEVRMTVKVLSLMFNSAGATVDIINSLNVGFNASLAAQNWTV VPGIDVTVIRPPSYNVSAAEYLENYVKNADDSESLLVVFGPMGEGNIRKSYKVLKEHN LVAFAPLTELTESRKFLPNLYFLRPEPSAELVALIRYAVNHMRVLRLGFMYTESLAGA PSAHSRATELMSQLGYELCCLFTVPNDVEETASGEAFEAEWEKFAQNLPQAAIMFTRI NDYTKQIVGRLVSDQRTATTVLLAPSLLQKSLVAVWRQALEASNVSFVPHRLIQTGTN PLAKTTYFGAIRRFQNEARDYLTRHPEWSGLSDSNHFLTNDVDGELMVYGWIAGEVLM RALRSNTKLGDRISFINSLYDQRRYVIDDLVIGDFGGECATGAAAQGAVCDCNRGGKK VFMKEVVKGYHFQHVLPGIFSTSRDHCYSNAIQLHPPLSGVIMRMADNLTMLRAALEF YHGISSTASLLNVGELNRLIMLQVGSTTEQSMNDLVELRKNSIITAVFGVVVEEMLTV KNLTFIDPIVMDPRLNKFRSNVIHLSPTLEQQLYVLVSYLSKNRQGPVHLAVYSREGA EIAEVLTRTLVTFRANLSSSKIFRDVGELEKYLPAKGDVFLLGIGSGNIPIVKEYLRT HQDVRIFVQFSEVMLMYDEFVGVFNGSAGAERVLFATNLPHWGDTDSKSKTVRKFHKV VKPPHRTPLALLGFATERLMQRNIRRMEKVTSQLLVDLFFEEASITVDDMRYGTYDRE SCLISGFAAAANCISNFGATNISVWSMARVMNSSVPVLQDPVTPQMFYVDPNANGLTA AQLAGAIAGSVLLFFVLLFIAVPLYFATRSGRDNDNAPKELTAPVTIVFTDIEGSTAQ WAAHPEQMPDAVATHHRLIRSLIVQYRCYEVKTIGDSFMIACRSPLAAVQLACNLQRS FLLHNWRTTLFDQSYRQFEEQRAEVENDYVPPTAHLADEAYSQMWNGLRVRVGIHTGL CDIRHDEVTKGYDYYGRTTNMAARTESVTNGGQVLLTRATYLAMSGMEREQFDVTALG ALPLRGVPEPVEMYQLNTVAGRQFAALRLDRDVDVLNDGTDGSVLSTSDHSSSRAELS ESSQVIVTSLNALLGTFARAQRQKALLPFCERWRVVLPRKPPAIWDDSYYQEVIRRIA VKVGHVVDYCASSGADHTFSTLTSASLIVITQPRGSSS XP_822497.1 MGGCVSTALKVGAETVAEGHIDLISFAINYFKNAVPYIVKYLGR QQRPKEVDMEATLTEAKESKGFQPWKISCQPKGAVRGLFIGVNYGNTEAQLSGCCNDI MMMIGALQKRNFPLTEVVILADKEDVPGRTGEPTRANILRYLAWLAQDAQPNDVLFFH YSGHGTRANARDDDCEEYDQCIVPMDYVENGCIVDNEIHEILVSQLPKGVRLTAVFDC SHSGSMLDLPYAYVCDSSKDGSGSCGMKRVREDNDVQADVLMISACADDEAALGVDNT QDFYESGKDSGGAATFCLTAMMMREEPLTFLDLLVHTREMLKSRGFTQVPHLSASKPI NLMQRFSLEGLFPQERTLL XP_822498.1 MCTCICRSTSIPDQAFSSISSTKRMNEPCHPLRQLHVISPIFAF PYLCRRNATHKRRTKSPMYAMPDSVRQKKKNVVDMGTDSDAYGKKNRWDLRSTAASTF ATHDGSTGRCFNITNKNVTT XP_822499.1 MLVSQRKVDYGVYNTWHSIHLLLPTFQGNVVLLLDHLLLNCTVL HISPWIICSTGYSYRRHMFLRSDQHRGVRERVAGGAKTTGPGCPKLSPGRNALENNHE FSAFVDRMKAINYSFATKKKRGTEVEIITIPNIVCDGEASEEELAEHFEAESRWLDCC LREFTHRRGRYGSVSFLLVRIIIVLTFLLPALWYFWPLWEVLIDVESELHYSILGIPQ GSDARAIKRAYREAVRRWHPDRNPNCDSCRVHMMKIQHAHDVLLAKGSERYELVDRYG EELAQLRSLVFFRLYNIAFYAAQDIYYLIQAFNGDAHINGSKEFSWFLQVMCRTLTMG VFTVYDTLFISGFRVIVLLQVLFYCVSCAKSSAEEWEIIGMVKRSYVDLYREAMFFAG GPLILHCLQTYQSGRILCWADAFEFFLQLAFGVIYVLSHLYHMTPNLLDNIFMKKCSI PLSYIKLPTRRLSYLNFICTEFGLLLDDLFAFSCRVPSVYRLTVIVVHTVFLCELLWF PWEPPILSVPDRKDKGKNVHREEEGKHTDTAPHREVMEEPPRAISSEEMALLKGVDNE AVNWFDVVSTRFAKQMRSAIHRCAQQRRAYINFDLVPTVNSQEVAFVAVTREHPRAPS KVDVLFRVRDEFANRMLTTLRPPLEHILGVRLTDATHSEIVSRHARLWEENKRKNPSD TWRRRCTDSEVKVSFDLPMLSATVISTIVLLYHFL XP_822500.1 MPQNMEVRDDARRPNEKPSWKVLLTRGLLVAFIALALKNLGMFG WVDRGSETVPDVPQHAYYSQKGDTYDVEIMSVEPGLFKRDSFRRIVVGGTEGISRNYT VNLQSCFKRNCSATLKVVMRLYDLVYTQEFPMVRFLPSRRAAELHNLFTENVPLSRDE EETEANTTWKAYFQPVLTLSPVVDLPSPIPPEIRHLYAREEASGKYLPLLYINNIWVL RSHLMELNKTTAEFPLNFTIRISPITSWKLGIQYNFDRSLKQNQDKGLMRSEDAEEIK RIFLETNPYFLALTLLVSILHMFFEYLAMSNDVMFWRRRKDFRGLSLRTIIMNCYSQT VIFLYLYDNDETSWAILLPSGIGVLIEYWKLAQTAHFVRGEGGRLRLQFGDGYDKKTR KHDDVAIRYLMYLLTPVLACYTVYSALFNTHRGWYSFFIGTQVRFIYIFGFAMMTPQI FINYKMKSVAQLPWRTFVYRALNTIIDDLFAFIVTMPLLHRLACLRDDIVFIILLYQR WIYPVDTSRKEDCEADDDDGEERCVGDKGKKNVEGPTREKGD XP_822501.1 MQSCMMHMELRIAGIDSLSLFDAESFVRMHQLSQNSPQVLYANN SLVRLPRGGVNASDHFKPQVKVQFVFENLVRIESSTVEAVSDRRQHEEQIKSKWDASE IRTKRFHCNYSQAIIASLPRIVVERYVKIRVLQLAPLLSKEETIAVGATFPHYFNNDE PSLCVGVAKISLRDLIATSSGVSVTLQPKRSMIAAVESLNLNAVATNAMFGKDAGGSG VLTFQVVSVVFSQKTLSSMLCCPDYNDNATPTSVLANGRRMDNASDGAVLSTIGPELV TDDLMALHQQMNSGAVRFVLVPYMVLADAVLRFHDAFSWRNPLKTLLLLCIICVVISV EVVDMGLVFAIVSEALTMVRTMVFFYRVPPRTNMGPNAVQFLAERKGTFQAVLYGSHN HVINSLIRARLFFTQGLQPDCYFELVYLFYRLKKLKRGVSIFIAALVSGSILFSFETF VLFGMLFAFLVYPLLLRFSHSRLRKTWRRQLTSGTLWKTISLSRSMPVARVVQVIGPH ERCVPTQNFVASTSRFSTRRDVDELKISKDHSTLLGIERPAALAKDDEEKVLDPQGDV QGPRPPVNYNPAENSFSCTGGRNAGVFGCNSLQPLACRQKLAPRKSEMIKHEPQQPLH LTFVTIVIGSEVAPACHSRTTSINGTTTNSTLVQRLWRVFQRAGALGRPPPSHLLNSQ YQSYFNSVLTFLQFVGRQCTLDAYMSVDASTTLAELAGGTVKLDQVAPLCNLLEVGKD DGITSTLLAHSGQQIVLSKLQLPNSAESSARALALLAAYLLQGSRLSVYSEPGGKVCS VIIPLKMDDNDIETATEPHPCPAPLQKALVGFWKGLAGGDCSIDISPDVVLTVITTYK DTWNGDNAATNPAHEYNHISGQNDDNSTNREVSKRLMQTLNPTQMNKRYSMASAELPP LDTEDKALFFRHAGGPVRPDDSAGISPRNYHRATRTWA XP_822502.1 MDGDLSQLGFLQEVDRFFDVLREKTLRDRPDNIPEYVMQNCRKV ADTLRKDSCDIIPDAAAPELKERALTIVVLGASGDLARNKTFPALFQLFCNGLIPRTI NIVGYARTKMPDVEQWKKESLAKHFPRTKDRCPHIEAFLKTITYISGSYDGAEDFFRL NDVITKFEESFPGKQKGGNRLFYLALPPSVFMHACTGIRTHVMQKPGLGWVRIIIEKP FGHDTESSNELSRQLEPLFEESQIFRIDHYLGKEMVQNIVVTRFANRVFSALWNNNNI ACVRITFKESIGTEGRGGYFDKAGIIRDVVQNHLTQILSLLAMEKPRSLSPEDIRDEK VIVLRHVNPVTPADCVLGQYTRSEDGSIPGYLEDPTVPRGSKCATFVVLRLFINNDRW DGVPFIIEAGKAVERRYLGIRIQFKDEIRPFGVAAQRNELIIRAQPSEAMYLRLTAKT PGVLSDTHQTELDLSYEHRYNITLPDAYESLIHEALLGRSTNFVRKDELDAAWRIYTP LLEAIERGETTTYPYSAGSKGPAEAQKFVDDTGFKPLTGDIYQQRKLHHL XP_822503.1 MKPFPAFLTLFLVALSPLTTPSQSAGDDVQHNLFSWSSADNMTD GDVGSARVPEEEEKERTSVSNESKDAQCKGKYGLYSHYVLGHNHCSWEPPYGVAMSPL YLPPDISADADRCSFMWLLREMLFTASKEGIALVPHNVKRGDSTPLQCGRVALHPWIV VRGEPKEWGPIRGVSTHKVMVSSDPSDGTDMLWPLLLLVSLGVLAAFLLLILVFMMKT IRGGTLLEERTTSMTAASPDENDKKLHDNGSVTECRSVREGKGNEEDKEGGREGEKEE QIEKNEIGEEVVEAETASEGHTSEITALHSLSGSWHSEGSDQLLTSNDSPLPQPPIEL VASPLETPKEGDVKAPHGHL XP_822504.1 MDLPIELLLGSAPERQRYLRAVHILFNSVGDALKANARQQLLMA TANDLTRGLSVADFCQFRRKCFENEDWCKCVYPLLEQDENVVADRAEAAVQEALSAVR FPGGGESSTEPVLVFLSTSKRSRSEIAEEFEIPGKLRLAEVFANVGDYGAMYDTLTHL FVPLQSGLQLTARSHRLPLLLLQLQVIKRTTELWLGAGNVEAVRGYVGESTSTVLTDA VQAIAVENGSGSVYFPSHQVTVEAVTDEIEQLLLFFSIVRALCLFESGDFCGFVCSFT PEGLRGTNWAQAAGDMLVERGATQVAASFGGRISRPGVLPVIHNLRRVVEESVTTGVQ LGAMLLLSAVATRPRAEAMELVTRIDIMQLWEDIPEAHTLVHALQQANFADALHAASV LAFVYLKTDLFAYKHCECLLYQYKQTVIMRYVSCFISLDLKRAAMHLSIPLRELVHIV RELIEKEHISAKIDLVAYMLVSADAFSPTDTAATDRNLLFDCINRSRKCAEELEQSLR LLSAQRDNASSGVEFGP XP_822505.1 MGPKTQEARPPLPGTPMPPIDPIFAITGPSAGVLHVNTADLLPD QRNKLDDNISRAISDCLKSVVVPLDRYWRAASQHHTLLCSTSEDGAVDSSNTEGATPS HWPAAERIPDPENIPYTFYSTRMEAIIRRSYQKDRGEVLVAADVRSGNSGKIFNTLRR REIASFVNAIPLVPQRNLYVMIDKDAAVDPFFDIDCSPPFEWLNFPTEVAEGENLPSN GSRAKDSSSQPPVHIDTAVVERCLLQILQYLRDVVEEYAGAKLEHCLVLTGSVLVSES SVGPSAHTGATRRHNLLSSKDTKLSFHVHFRLEKNVVFSNIRELHKFMMKIRGGIDDS FNKAGDRETRSFYHMLQCCIDFGVYSRWRAFRLPYNVKASSDGLNTMNAASFNSAIDA ATKSPFRTALIPNLSVGEASHSIVHEIDLSTLQDSLLYFEPTKKQQEHHALLTKLFGV FRFLLPVVPKVTAIGNAELAKFLLAHQPPFPPTEESVNPSYRDDLARVVFELALIQRD ASNLMNEGDDKNTFNFIMWSDSAEDERDNALIQGPFGINRPPLRDGARAPVRDSRIKK LIAEVFHCLSPAFGGGLKSNLQAQSSDILRQSGSYITADSLSVDYQDGVRFYYVRQKS SKFCIRLGREHRSTYPQLYLTYGSIKIRCYSNDCSGKCHCLKWSPNDNERICGSSAVL LSVDDNGYPKYDRLAEIRDLLFPPLSQEELIRRYGITSVASGDEDIVQQW XP_822506.1 MKVIFLGPPGCGKGTQSPFVAKRYDICHLSTGDMLREAVEKKTE YGLKAKSVMDVGGLVSDDIVFGIVKESIKQPRCKNGYLLDGYPRTLRQAEMMEEAGEK VNKVIHFDAPDDVIISRVSGRWLHRASGRIYHEVFCPPKVRGLDDVTSEPLIQRPDDR KEVVEKRLVGYHRQTKPLIDYYRARGVLATLDATQSVNVVRESLRRVLDPVARLIGAK IHGN XP_822507.1 MHVFLTGPPGSGKTSVSTVLKERYGFCYVTPIEAVSHAVEYSDT DVGDKLRRYVDEGEPIPDDLLARAVADATRGPDCTNGFLLNDFPKTAEQARHLKAEGI EPDAIVVLSVPDSLLVDRHAGRWVHPSSGRVYHTFYNPPNVKGRDDVTGQPLVQRPED TEEAVHQGLVKYYESIRGLRAVYGDPRLWHTVDGFASLDSVHVSICRVLDPIIADKTR RWWSKLFWWSS XP_822508.1 MVIFFGRAQTRRVTGKVVVFGATTNVGKHLSLLLTLSPQVKELC CFDPLNDVTLRMTETRVRGIVKDLSHIDTGVVLRAVDDPQQWEPAMRNAQLVLICTGS IPNPSRLQRDLALAECAPEYLVAMELVARAAPHAIVGIASGPVNSLVPLAREVLLRHS AFDPRKLFGITSRDVMRTRVLFAKELHMNPYDVNVHVVGGKGDATVCPLITQTGFQLP HQRMVQLCEEVQRPENFTAGDHNSTGCRVDSQNVQGQEKFTCPTLSTANAAYEWVTSI MKAQRGDRGITECSFVESSIKRETPFFSSRVELGEEGAAQLLPLGALTPYEEELVAAA VPLIVEDVEAGLRLSKTGVDAVS XP_822509.1 MRTSATLQFKVAVLGAAGGIGQPLSLILKTNPLVSHLSCYDIHG VTGVAADLSHICSPAKVTGHLKDELHKAVDGADVVIIPAGTPRKPGMTREDLFSVNAT IVRDLVSACAKQCPKALIGVVSNPVNSVVPIASEVLKKAGVFDPARLFGITTLDVVRA RTFVAEAAGKSPYDVNVQVVGGHSGPTIIPLLSQAGVSLTEEQVKAITRRVQYGGDEV VKAKGGAGSATLSMAYAAGEWMSSVLKGLRGDKGIVECTYVQTDIIPGVNFFGCPVEL GKGGVEKIHKPVFDAYEQSLLEKCVADLEKNIAAGFAFGSRQ XP_822510.1 MLTLGMHTLQLLSLILYFAATVFVPALKVPSKAVVHLVAHTHSD LGWLKTVDQYTYGLNNSIQFADVTTIINSVVEGLLANPERKFTYVEIGFFSRWWSRQS VSMREKVRGLVANGQLQFANGGWCMHDEATTHYIDMIDQTTLGHRWLLRELNVVPLVG WQADAFGHSATQASLLTSRAGFNGTFFARIDYREYEDRVKRGGRQFWWDASPSLPDLK TFAEANLHATYCSAAGYKWDLADQLESARTFTQADDIIDDNASERYNVPVVLKHFKDE VARSLQATRGANVMWTMGCDFTYMVSEFWFGKMDKLIKIANDDGEFTVRYSTPYEYMM AKLDEAVNAKIKYETSTGDFFPYASAAHEFWTGFYSSRPTLKRLIRMLSSYWVASRQL QFLAGVPSGDLPLLSDALAIAQHHDAVTGTARQHVTFDYVKRLVAGYNDDFSVRLRTA LTGRLFNLSNVSHCLLSNVSACDATATGLAKKGSKLTVMVWNPSVHPNVNTFLQIPVP RRDIRVEGNGVKSFSVYGSPTQVSDYSNVNANWQPYTIGIILSLGKTSSLTLSTPGKY DIPRKESHVGYSLKSVVSRDRRGGFTLTSDHLKVRFGVNGRLKSIEVLSVGQEVLVEH DWCYFKSSRGEDPSGISGGAYIMRPVSDNVCEPISTDKVESIIIDETIGIVEQRFGND LVQRVILHGDTVDLEFTSLGIPVKDGFGRELVARFSTSVNNSGIFYTDSNGREMQRRE VDKRRNYPFVQTESVAGNYYPVSSLIFINDTETQFNVFTDAAMGGTSINNGEVLLTTH RRLLLDDQKGVSEPLNETEFITAYEGDPSMNEKGRGKHYGNPLRVRGTLTISVGRSGP SAMRRVREQLDEKYFSPIAVYSTGSTPNLNCSLELTQISPSVQIITTQLLNKTTLLLR IAHRYAVGEDDERSKSVEVELRSLIPNELDYNVESIDEVSLTTNTLLRNGLDTVVLKP MDVRTFLFHMREHKWRGMSMSCTGV XP_822511.1 MNRSTSLEDSDAGGRGGKKKREKKGFKVDRYENYRQRFAKGING KNIPKNNSGSVTKRVKAITFDEEDRREYLSLHKRKNERRVKAFVEAKQKTKRDNARTR RAQREEARQAYNKFAAVPILPNFTYRLPVEGCSDNEDDDEGHMDEGNEEMVQSRGIND AQKQRRLLAAEKTVHTMPATMSGGALAESSIGDAGCRLDDEFVTVEVKVLGSGKDSAG SGTCGSNSLPVNDFSDLPSVVEEELLRLKKEMKGPARTKSRVYMMKELEKIRKIKKHS RKGHGKKSAKGKRKNRRR XP_822512.1 MRIATSPTGRGKNSSVLPLLRRFSWGNGMCSPYYTEHRRQSTLT SSALSSPANHNQQVVYRSIRDCRERAFHLIQELVSSPIPGCGLSYSTNGSFGGPSLTV NAVSGVASLLELYDKAYYFLHLLHRTILVPRNVVRDTDDFTEFLLRCFRRPSDSLLKG DEELQMSDTAIVDGFVEWMETSLMSAGQFVSFVEVLQLVGSYVRYHKGVRWGARPSEE EWYRCGYRLHPWHDTYCPSSRAEQMPYVHLLQWLMRAKPTKLEEKIDDKEGAHGASSL FSTAAKESAMRAWYATEEFSPPSWHTVVGNSNRLGFTALDCGCHSGYMTELLLKAGAQ EVLGVDVSPHHLGNAEATLSEHLRERRSSSYSRKTVQFVRCDILPDLPDEARQTKTPP LGPEGSTNSAAAENRRRLARCHHMPSDSDGLKTETEVTGPFDLLLFHPPLPLLFPTWP LFHDLYESVDQLAYDAGRRHPHCRLSVLNEFLQRLLGSSNGEENNSERRRNAHQQLNH QRRLVAPLIKDNGYVAFILPRNFDTRAILQRMSTSSAGKNCTSCGSDGLAPLVPLSDV VTMTLEGSYTLVLKRSHSLSSLLNRMDYIQKSISAFIRAFVSPQHRSRVEQEVRDFYS NHQAIDLIVMRKIARQKTNEDNDNKDCSVRAVLQKVSEPIAYEDSFEYEEYIPAGGSP LAHHWTEMTPSFSYLEDEFFGCADSVGTNGPPNGASFLAVGHPLVPVTRQLVGVDRFG AGGRDATQGESGSFDKMFAKEMRRRGGRMRKMALTPLEKQEWYIDEKLVKSEAAKVDL MNELSRFELKDFD XP_822513.1 MVGEEVELTVQPQRSLNLVSAGSAHKRGSICLSVLQRVQTMCVS DKTGDVMNGGVATENDRVGGYEVLWSAHRLGKSLLLMDRGCNDVYPMMEADRAELKAI IDMWQSEDVELLPSRQTQWKMAAPNLREELLAVVRLLVSSASGQCHRLSLPLMPIPNA VCPSDCTLNTRSYGACPLTQREAWPAACLHFAGVLMLCGLRSYRDAEELLSTSFSSLG GTLQRFVVQSLQEEFAIMRREVMELCVSCRRSNKSEPELTTLNTGKGGSIPSSRGFYY YARTVPARCAGGVKPYASATSCDKTSPLVFTLLDEWRDDDRACGALQCQCRSYQDLTR ITCRKSDLVLFSLAVVEALSTAVVEELRQQCEKPLDFVDFIGDVVSVCAVLVYPFLVR QLRCLRYLMHSLTDANVSKSEAPLLAADVQQYERYVSAVEKFLLNFDPSFVTSPGPSF SCADRETLCTIKAGPNKGPGGVRVVSNLECNEAATPLSPRRCEEKFQQCRPLWEPVAP ALAAHLSSRPHAGGMMAWGDVVALFTEVKQSREAELLSLWNYWVQLRRETRALSAEVT YLMGERMAPTSQKIPRET XP_822514.1 MTELVPEAEVTFNCGSPSFPYVRVYRCFKTTNSGMLYRLEDDKG RWAFYNDTPLTVFNVYVKFNADSVVTSDTDVALEMTQGEWDYWATTVVLPGHTQRFVE GTISSFLLNFASEGELAKDVTFVNGTPTVGYDRVYRCFKQNANGMLFRLVKVERPGNE CVWNFYNDSRELSVEVELTLIEKDSAKPLGDTQVIPPPDGVGVALYKIVVPPLKTVPF LRGHPRFSKQSYVAKSMYDENMLDASEITFENGQPDRAVIDYPRTKVFRGFNNNGNGL AFLLVDPEEKKWAFYNDTTNYNVTATVRFAAGSVYKAAANTRVEVDPAVEGGTVCTIT VMPLATELFITEGNPEQYQISLSAECSTGPKPEENPDYQNGQPDTKVMLRWEKVYRCF KNRGNGLLFRLVGDNDRRWGFYNDTTDFIFTVTVSFENGETVKTLGNTSVSEDPELGK VFTLEVAPLSTEVFVFGDLSGYKTRFAARSVRCA XP_822515.1 MTNQSDAIFPNILWAQRAELVLITIPLQDATNVVVEIKEGRVLH FEAEAGGEKYRCDVELFREVVSEESRHAAQPRQIDIQLRKKAPLTESGEEEFAQSRSW LRLTRDKSKNSHIQVDWSRWRDEDEDEDETGGLGMDYNDLMSRMMTQKGLGEDDCMDC GNHTDEDGVGPGADKANHSDSDDYDDLPPLEP XP_822516.1 MSSFWSKKSTAFNPNKLKANLRMAITRLRMQQNKLVNGIKIQRR QVAELLALQKYESARVRVEQVLRDDVSIEGYEVLALFLDLLSNRVHLITNISDECVSG SSDNRKKGLALCPPELKESITSVLWAAAQLGNVVPELQNVSKCFEAKLGADFVAMSVS NAEFSVNQKIIERLGFNTPSNARCIEYLTNVATEYSIEGYDEQRLLDPSGLVPSVSTT ENVGTSLGVDVDTTTLGSVIDPTGVPEGGIIRTPSGFLLPALTQARDELECRLLQLKR G XP_822517.1 MRQNPPKEPSEEEVLQYIVDNVNKLLSRHYSLVEFDAIQGTDLL QILADIFGTLSPAQQIDMGVAPTDEAAASMLEFLTKTLGYRVPPMLADSFPTSFSRAE PTVIYPTLYWVLSNMQQNEKRVYLARFLQRLEIPEAMLAQDEDVRALYQQYVNLRGMF VNTHRRVDALRTAHADPADARRAVTVLEEECDRLRGYIQVAEKKLAGVPDKEALLNAC KSLRAALEEESRLAEKGVELQQQLISSRQRSTEMHNRLQNLRRDAADGRVDVIVRRLR DEIQTNKMIIEEQLPKELQQKQRENAEFDRLISEPLDMQALTTENQQLDEALKKLHQQ VKERQKPGEDGSTIATIKQQVERVAKRKVEVMEQLTGLQADNSRTLNDIRERENRIEQ LREAHHMLKDDDFREFSKQVLAKKAATESMRTHLSEQRVEYGVLNFTENVLRSQFTSL DAEIGDLEGKLGLQGYSRTVETLSKLTHEKDAIEGLKGKTLEELSRVVQDFTMAIRER RTKLAPLINELRSVRQTVAEVDQEWAEKKTQYEYQESVLMEDITKIEREVQSLHEETS TDESVYHRLQAQHLLLKAHVDRIEAEKDFAEIVRRGAEAADAAEKEGVVSGSGSLKCA HCAKACANKGWLLYHLCREHGLTVMAEKAFESAIEDLQIRLRDLQQKQRDIQENYEQN VQQVEWFGNLKRVLEAKLRTLHNEGSRCRNALDSDIKEIMGSAGAGGANVDMLVLPGD XP_822518.1 MISQPTGTTHDDVNEPVVDGSNAVAGNLEGTRLDTKKYEQVTPL ASFSPDELSKLRHVFPDAYQALLQYEQLCRHSSAIVQVKETELQRSIDVGQEVLSRID ALQLRCAQLAKERDDALAMGQEHESHLRENIEELQQLLEEREKEISHLKAMQHDVEDE GRRLQSKLSVMSLPAAAQIVVLHNQLVQLIERVEQVCNNKRSSSSVASTARMSVASIP TDGNEAETVVLLEQWLADSLDQTIQRTNELTNAVHLLDEQLQHAKHQVEQSERKCQES ENKIITLRHENDQLQGELVALQKDVQALDRQAEELNAAGFHRHMDAVNSKQHELEEVR RQHSAQLLLLREQHGEELRSLEEETRSLRAELSRYRDGTAVPATYNSPSKPLEVQMSD HQKQQLQELVNDFESEKHRLVGVIEQLENALLDGKSSYQRLVAQYEEELQQQQLRAAE LVRTAAENGRLKEQLEHATASISVVGELTKALETKELYIATLNQQIRELSMEVTKLKG AAHSSKNQGVARGSISKNYEDMEMELKQERENSQQLGASLAAVRSQLDELTVLFSEQK RRVRDCLRCPPPQLGMDRERVTSLLQASASRAATRFFNCLEQQHRHLNSLSHHAFTLY GGVEPMQTKEEGAANRKASGVAAAHYSSSISSFPGMANGLKKPRSRQQVQLEQIQKNA SRFSSPARSPLLEDECSAPGYVVLQNSETAAALSTAMVRFLNDLTEAVVQQLLQPYTG PLEALQRLHSAFNPLMGAHNEHWTRKGDTISSDIVHHSVSLDNIEVSADENASMLRLP TSLAQQEKVSPNDIIWTTVTEGIIDAFVDACTVVFQRVYRAAGSQLQQCEERLKQANR QVQANCEERIRRTALSMVEGEGNLNAQLQVAETKIQSAHQALDILQGKYEKEASRCCE LSAQVKRLEGRLTEKQRELETERLQWRHTVRELQDACGIAKEQHAEQGTRHQHQVQEL QELLRNVRQQLQAARDEGHRLRLEVESVQAEHQQKIQWLEQEQQKTRSSEGKAEHALQ NLREQLAASNTALQVKLNEETARVQRANANVESLQSVLRDAQSAYQLLQSALSDEQDR REMAEERVEGLNRELALLRSRLQVQQQRVAEMQEAERLQQCQLDAEARKNEALLRVNR ALEGRLSEVEGDREPLRQQLQSLLLLKHC XP_822519.1 MKRDTNNHNGSACAFHEGSSQMVDTSFHSTGDGSGAPTQNVQQQ EQLPHRTGTGKRRVGGFSPSVAPFALDTQDPTPQQRRTLLGRGGVEAMRRNRQDQMNL SCNEYPDPPPVGVRAPLRHVVRPGSAKHQEQSTTCIDEDTLRRAKARCPQSAQQRMPS FIFGEDPQGPRRTGRAMHAQSYKPTSLW XP_822520.1 MEQKENEITTVYASNNPDIPTLTEAATLILAPEEMVKLRRNMTA EKVAHARYLREHPEIDAIMRYAMRKLIMERSEDPVKVLLEFFSTADLRAALAEENPEA EGRAAMLREKRGLTIVLPA XP_822521.1 MYLPKQPVKVKESPFAVQKSRRFIAATGVAAFVTTAVASYFTLE KVRERQRRPDGVLLQHRNGKRVVIVGAGAAGCALAASITSACPDVHVTVIEREKKHVF HAVVPLAHVGHRSYDLNTTGGVDFLRSPATWNVTREAALVRGEVLRVDTTKNEVVVRE DQEALTVTARAETSEQPSKNVLTWPMGLPSWLLGASLPPVNEHRYPYDVLVLACGAAR SLGPLQKFLTGEQVDRYRIAVNPGITRDCLVHLYKGTVLHVKVPPTSFAEGATGVRSI STMRYVSRQHDGTFIGTVNTVWRYLFFFNKQKFCPYMAVTADRGPSDALPIEVNERVM DFWKQRSVNFMPCTYITHLDPRRSEATLYNYQTQQKQVVNYQLLLLDLPLVAPEFIRK SGLSRSEAAEGFAEVNPHTLQHVNHANIFAIGDCAALPTVKSYGAVFAQVPVVSHNVQ QQLLHGAHGTGEMSNAAVRSRFARYDGYSSFHIVMTTWRAMWPEMTYGDWRDNLSFPT ITDAPLSLTNNHIWDNLAWADVRGFLNAVYYQWFLYEVMFYFVFTRGAWYPPKWFSVP SFGDDGTML XP_822522.1 MMNLGETLDTGSADGRPGPSPTLSVGSGVTPAPLNSSTVHLTRT VDSELGDTNIGYDIAVSPLNAETESLATRRPSALDIFPPAGLNRTDDGKPSSSHPASK PYVTGGDEGKKQSECWDADENFLLKHTKHVFILTSAGKPIFSRYGNENTISELFGVFQ VLITMAQQKQDGGTLHWINAADLTIYFHVDGGLYYVLVTRGGESPYSCRRQLKQLHYQ LLSLVPNVNDILSRCPSYDLRRLVSSTDGGVLRQLIKRNSREECYMFRCLAASPLSVA SRCHLTSILTQHYRSGFSKGDISSEGGVAADCHLFSFFFFRGRVVCMVGLADGKVSLH IDDTLILLNFVRCLPLSQVGEIWAPLCLPRYNDTGYLWCYCTDMGAMVNELDSSESGY VAKDDHHGVEKPTAKEPFAETKRSELLLVQVASSQLAFAPLSMQAHCIAQMLQTSFTP LIDELEARENIPLSLALPNVVAQRQQEVHEKISRLLTRAEQQYPECLPSASHAPSSLQ LPPVPVHPYGLQWFALILKQPSNVIYSEPSPAMKLDPRLKKRQLRLLVRLRYELALRT NQNEQLLVLNTEEVNVVVMKPTPNLLTSLVQQFGSRDECVNNVSLSSGNATALCSHLQ ELLLLFSPHVPKHQMLLCAVSVAVGVMARECELSLQQPRSSKSRVW XP_822523.1 MLAKKRAERQHAITATAGGERKKELQKLKRRREESNEEAKRDGR SSERKHRLSGSERLSEDPMLLSAEDPTSVLRAIGDHVNTRLKELDFDDDIDADLFDER QRQLREEAELAAGTRSRLLASHIAEFLHKQQKKGTIESEKVESPSATDVSAVFVAHNS NAVTAVCSLGNEIVFLGDKTGAVYFVELRDNAGSTRKELLSPCLSAAVLSIAVSDTRG VRPSQRTLFERTTADVSVTSYVAVGAADGTIGIWVTDTRRHLGTLTMHRSAVTGLAFR NDTLYSGGYDEALRVWALPQMSCEDKLFGHTGRVFGLHCLRRERCATVGDDGTMRFWK VDAATQQEFTASAHFGGKVVLECVVMMNDFIVLCGAANGALLVFDVGKRKPVAVREGA HGYGFVGDGTGLESEAIAMRQKLDGSVVSETRIPNPITAVAAIPYSDTAASASYDGVV RLWRVGAASESSGKTGTGSGVPATPVVQPSSLDCLASIPVRAIVTSLYFPPTSDVLLI ACSKEPRLGRWVVQRSALNSVYVVPLNQETRFKLSSRGRVEHLPTLLYGIDNDGASDE ADRMEDATEGLSDAGGRGGSYRSHDEVGNGGEEGDDGTETDNSTGMFTVGEEGQMKFS MPMSNGDRYHGTKKKSKKRTPGAKGEVCAPKTSSKRSSTEAAEEERRKRKKKKDKKKG AGGGKHSTAGEEDK XP_822524.1 MDGKERCFLRSSGICMNEQANEIAQAHNPCTRGRVQSSGTFLCN GEETTVLTEGGRGRVVEAKQ XP_822525.1 MNSEEYSNIYFPPSLAPSRPTLEEVGFLGTKTAGGAATNANGNG NDATAGSKRQRLCEKEGGNSNGEVTACCTEQNNLEGNTSQTCQRSDTIDDTGGGNNNG GDSPQKFHDGLFEKMLVFHTIPESAMQVVARYRVTPQRLEHARNIFKLFEGTHSYHNF TPGGKASDPSSARYITSVTVSEPFVVDPSDDAMLSALQLWAPSRYYSPDDERLVSGEV KDDDEVEQERERMRERMIRIYGTPAAEGTGDINRNMGDRNRVGLEVVRIELSGQSFLF NQIRKMIGTVASMCAAGLPPDYLRDHFLNKAVRCGTPMVPANGLFLTYLDFQRYNYRL DRIQLEGENGKGKGGIYVDRVDEQAVETFRRKIVAVVLRNEMVTDAIGRWMRSLRHVM RLACKIELP XP_822526.1 MWDVVSRVGKAAYRTAALTGLAAGTEQPPYPCALPLKTVSSDSD VDSMREVLNSAFDASKDMLENNGEHQWQLVDYVDPEEGGDLHLFTRPRVGPFNFVKAT LSFMGVSPQNVLDTMHSDNADDRKRYSANLSHFEVLAKPMAGCAIELHKYWAPPPVAG REFVFAAEKKYVESENVYYVHGCSIDYTPQMETSKGFVRAACLWAWKLMPVGDNTLAT YVSCMNPRGWTPPFIFGWLKSEIGKELVACRRVLYGETVKVERTTLQGMGLSDEVNNL TDEERKRMSEGEPQPEAS XP_822527.1 MRRPMGNEKADNMNMEGNRGMDYTDITGERKEVVKVFWRIRGDW REWETDKSRSGEEFEVRSQVPSGRTFGGLMFFFRWARSALSCSFPVRSVLKRWRLWYV IDKLERWENK XP_822528.1 MAELAKSAVLVSSCTDDLLGDAKQVVVGPNQEDLHSAEAVLNRY STVGFQASNLARAFSICEMMLTPQSPSPSLMPTEGDQASESPVMVQPTLFVGVTANLF GTGCREAIRFLCTECVPLPNGVEPATPLDDMAGISCDGTGALKPSPCDSRALIHVLVV SGGAMEHDIRRACESYKLSRDGAEEEGEQFHHPVERDRSRSKGTDCHFGNVRYNSSGV ASRNLFSCVMRCLVKRLAEAQRKEKANREAAPIPEAYYDVCSWAITPSTLWYMAGLWM ADIFTEALQETGEVTDEKVASEEGLKRAKSTVLYWAARNGVPIFSPSLTDGDIMEFIL TAGDTGVPLLQLDLVADIHRLNRLAMRSRRTGMMILGGGVVKHHVCNANLMRNGADYA VFLNNAQEFDGSDAGARPGEAVSWGKLRLDSTAVKVYSEVTIVFPLIVVHVFVAWVRM MRSKGKENIRS XP_822529.1 MEGRHGFNFHSLGGAVDSHNIFSALSGRDDGNVDSNGAAMWDIA TNLVLTLLLTALSKYVRRFLMETWPAWVSKQFKRLLGTREEGVVAAADVFRSQPEEFA FDNEILVVAMERYISWVLFSKGKPKLNKGSATGANIPAEGVSEQSIPLLRHAQYLYTP LNLSPYRGRKDMFNVLRRYYRVLLVPGDGDVLEVEPGLTICFHQQFVSTSSLKSGKPV SLRGNAEVLREYPPVNFDATPLDPGAKNEDVSQSGSDDSQLHDDGKTTRQSGNERDAY LRTRKVIVRRALLRCTDADKGRERILNFARRAYEWYISTVGDVVERYYFHPSRSELQC AITSKWLDESVMPLRFPMRRYMIPTGRCGFESLFFRQKSDLITCLTEFKEKRGRFGVP GVAQQLLILLHGPPGTGKTSVIRAIAGYLNRSIVAVPIEYFQTNSKLQEILSGGTVKL EGYSAPVAGNECDLEVRKIVYVFEDFDVVTQLIPFRMSLTHQRCPPSSVSSPTSFDGV EVGCGTPTSAFEAGDDDLSTEIPQTLHEDTLLPDEGPNSPPNVDADASVKGRTSEAES KKESINDNLLEGACDESDAISEVSVSEMRRFQRRLARDFLSPEGLLRTLNPCFAQPDR VIVFTTNHVELLPAELFRPGVLTMDIHMSNWEAKTAFEFIAHHFRNSLTTELQQRFET FFLRCEKEGRQVFMNPSSLEHLLLTYDSAEDLLLELERQY XP_822530.1 MTSSMIPVDPDKRDDIYYRYKMPAIQTKVEGSGNGIKTVFPNIH DVCLAINRPEEVLMKYFQSEFGAQRTVSEKDDKFLIMGSHTEERVQEKVYDFIRQFVL CRSCRNPETQLSVERNKKGAPQVSMSCGACGKSMKLEDLGARYVTALATHFAKNPQAA LRKGAGTAEARKKDKAQQEEAAAAAAAKLAVPVEKHVIMKSDLQDTREAPQEVLARFM RQCEGDYEEILRHSMELMSTYNLKDKMGPLLVLNAIVIAEKEFMAGLRRHTALLKRFS TLPDSVLYDASADETTKTERQKRKMQLQVAAMEECARICVQHLKPEQLVVALFVLFIE GVLEGESIKNWHNEGKPPSKVDPAVYAEMREAVEPLVVWLDGNKCVAA XP_822531.1 MLKGGSSTSFLLPQQFVEPLPHAPVEISALHYGLLSRNDVHRLS VLPCRRVVGDVKEYGVNDARLGVCDRLSICETCGLNSIECVGHPGHIDLEAPVFHLGF FTTVLRICRTICKRCSHVLLDDTEIDYYKRRLSSSSLEPLQRTMLIKTIQTDAYKTRV CLKCGGLNGVVRRVRPMRLVHEKYHVEPRRGEGPRENPGGFFDAELRTACAYNKVVGE CREFVHDFLDPVRVRQLFLAVPPGEVILLGLAPGVSPTDLLMTTLLVPPVPVRPRGCA GTTTVRDDDLTAQYNDILVSTDTMQDGSLDATRYTETWEMLQMRAARLLDSSLPGFPP NVRTSDLKSYAQRLKSKHGRFRCNLSGKRVDYSGRSVISPDPNLDVDELAVPLHVARV LTYPQRVFKANHELMRRLVRNGPHVHPGATTVYLAQEGSKKSLKNERDRHRLAARLAV GDIVERHVMNGDLVLFNRQPSLHRVSMMAHRARVLPFRTFRFNECCCAPYNADFDGDE MNVHFVQTEKARAEALQLMSTARNIISAKNGEPIIACTQDFLAAAYLVTSRDVFFDRG EFSQMVSHWLGPVTQFRLPIPAILKPVELWTGKQLFELIVRPSPEVDVLLSFEAPTKF YTRKGKHDCAEEGYVAFLDSCFISGRLDKKLLGGGAKDGLFARLHTIAGGGYTARVMS RIAQFTSRYLTNYGFSLGLGDVAPTPELNKQKAAVLARSVEVCDGLIKSAKTGRMIPL PGLTVKQSLEARLNTELSKVRDECGTAAVQTLSIHNNTPLIMVQSGSKGSALNIAQMM ACVGQQTVSGKRILDAFQDRSLPHFHRFEEAPAARGFVANSFYSGLSPTEFFFHTMAG REGLVDTAVKTAETGYIYRRLMKAMENLSVRYDGTVRNTKGDVIQLRFGEDGLDPQLM EGNSGTPLNLEQEWLSVRAAYARWVVGLLAGSKTASDGNAIRDNENYFNEFISMLPTE GPSFVEMCLNGDQEALKVCEEQESREDALHNSNGKTNDRESRPRTGRLRRAVLISHLV KVCSRKFKDDIQDFFVKKVREQQRIRNLLNLPNTSRERTEGGGDNSGPIANKRTKKRA PSLKVKDSKEGGRVSELRDLEMLQTELLPLTRGMVTRFIAQCASKYLRKACEPGTPCG AIAAQSVGEPSTQMTLRTFHFAGVASMSITQGVPRLVEVINANRNIATPVVTAPVLLM EGEENHCEIFRKRARFVKAQIERVLLREVVSEIVEVCSDTEFYLRVHLNMSVITKLHL PINAITVRQRILAAAGHTMSPLRMLNEDCIEVFSLDTLAVYPHFQDARWVHFSLRRIL GLLPDVVVGGIGGINRAMISSNGTEVLAEGAELRAVMNLWGVDSTRVVCNHVAVVERV LGIEAARRVIVDEIQNILKAYSLSIDVRHVYLLADLMTQRGVVLGITRYGIQKMNFNV LTMASFERTTDHLYNAAATQRVDRDLSVSDSIIVGKPVPLGTTSFDLLLDGSISNDVL PPQRCVKRGMGPNFHTAKRHHLVPLAAEGVFRLDLF XP_822532.1 MDNSEAVRKGGIRPGVTSGDVGRIEKRNSEPQHSIFGTEVAGGL TTERFVSSTRNFATTRKVQKLLDVTVNDNFRELVLYMNDVVPEFLEGLYFQRGDLPSG GSEKTTPLQRPQQHNSAVASVNANGVTTATTTTTTGTASSLSSHSSAALRAALEGRVV DVHQRFLQEWSTLNEVFEEVYSLVTKLHRESEVLEATLGAHAAQAEDFSGLMTTLQAE LTLVHQREREIKEFQGRYHFTAEEQRILEEGSVDTTYLDVLSSVQATHRECRQMLLSR ESYQSAAAVMDSTYIAIIKGTEKVARHLKTLSGRSTSGPTSSCGEAPELTNFYLRCMN FLREENKAQWASVVEEVARLRRAAVLRQYFHLLTTGSTTTASRTYHMGGGERHNSAGG GEGSSAGIRPLEAEIGNPVFFFSSLFAWLHQAIVDEDDFFSTFFTSPVLEPRQPPLSG GKGECTDALEGGRGSPCSATVANHFDEPVGEDLMLSKSSVLDSVFDVLCKHIQSVLEG VLERFLRTASNLQDADGVGSNTGEAGSPGTEDFSSKAGSSRMGPSGPIGLTGGIARLL SAAAGRPLSLVSGASKAENRYQHVMNRSQLEALVATTVSSLTSGLQTTHALLQLFTYC STSTFTALLGRDAALTRLIADDGKMQLLRVYHTIAKHLSDHSLDSVAGVVSRSAILRR LASAEALEWKLGGTARYFVVDFLLEYKVEDERGLDGSSFHEDQMKAQERSASTQGLKP SSFSGRPASCNPYLRSGLSKHQAQAAELLRTLETMVLPVPPEVAECLRVLQATMVEAQ KHAEIMESLHVSPSYGLVQEVSGGAEAASAAPPCSEQFFAVIVQHLMSFPTVLAHQPV LSSGVDEPCKLVIQLNTMNALYETLVPHTGSSASGSKLAHYTMPLLSTVMERIEDLIK ELVAALSQCVARHYLGEATNEGDEMEKAICTPEAAKTIESTGGGDGEGGVAAKEFDTR TVMDKLNEFYSVVAASGRLYVPIVSSLASPPLRDRVMQGVLDITLAVYERRYEQLLNL SSEGGSDITTTLSSDLPGRTSVEDLHPDKLRILLDTTP XP_822533.1 MVFYSFVLVMKPRQRRFTSQALREIGVAVYSNGGLIRSITNEGI MRPYSRFRDADNTPLTYARYIILQLDMGEEEMGKVDKIIREHQDVLMALKLNNLERPV GIRSGNKELQAAYFPLDTFTRLEEEINWSPQTSADIYTQLEMNWKEFSRTRWSSFLRN XP_822534.1 MCNDDARQEGVQWPSSQQLAALADIEGDVQMKAAQLGLLSSEYR ANIARVLHHYEPQAATFRTIIYASEQKRKAVERELVWTERIALLQEQRCGTTIVSTRD LMVLEREPLHPVECSLKECYQKLENVAYNELCVLRNYEYPPRQAVATMRMIMRVRGEE DLSWENVQVVLSENYFYTFFVSRMRTLLQKRLPDDVLEELEQYCLNPEHAPEALAVVS VPLGVIGSLLHAVRDYFQVMDLVKRPVEPMSVEERRKKAGELRRALQTLKEDAATATE GMADLKARIASKFVTVRDEYDDTMCPLHDDLEKKTEDFLKVLSGDIPAEEGATGEGEC PDAM XP_822535.1 MPATREGTKSVTAETFAVEIVHLVRLHQIHTVTLCCPTEELRVE PAALPMASTITVEARLLSSLDWNCDTAKNCTFDAVLAKSNGTVFTYHILFATAVTSRD SAGAAISERQGTQLSAHWCSQLQRRLAICSALTGVPSHLSVLLLPYVPHLSWNRDRDG ILGAIHSATMMSTITARDLDDNDTSEARYDVRVAALLNAAVPLGPRVGYHTVALFLNA IDGDACFREALSPNNLRESRKRVRQSQKGCIKPGKDTSFCFPLLAEFNEKYLLPALSW GALHASTLEGKGNHYDVCGLGKDMGCSVYLRGTLDALRVRSVTVIVDGPRDLWYRVIS PYEDTAAPVASMKPSLLQLAGKLAETVEAALCRLVAENSDSFVHRAMVSPSREDGAAC VAAGPEIEVGTIVPIGQSCPLLIQSIADSLAHIVRTSQNPLFVADVQRLLQLHLMEGD TPSHVMELNADGGVVAESRGDAATQSSVGSTAAALQWAVETRLLKL XP_822536.1 MHPRVAKLYLDFMQLAPHATTFCGSTTAYRAAVQEGFSATRVVP GTPEFAHSLAKGRSVLGRLQDLITVRKYRAMMKRYSWSSYKNKQELVALLGETKAQSE LLGLNNRDVYGYDDHYCHHAPSKPMGGEGDFSEK XP_822537.1 MPPKKGGKDTKQAPKKGKMENLNKGAKKAAKKWSKGRTREALQN AVMFDKETMDKLMKEVPKYKVITPSIISDRLKISVALAGKGLQHLCRQGLIRLVSCSS KFRVYTRAATA XP_822538.1 MERVTLSAPFNGRRLLSNESLVESQEARKGGLSSNKFPGRGRSV EPPLQSQDKDPTIKKPTALVMAPLGNTGLALPRLPTVPVPNVRRRIFKRPSLHIPVGT LEPVINLRQLKKVGEQVGRRHGEHTLSRNTEVGTEWKTGSGVGELANAKKSLRPRTAL ESPTAARRRAQSETIADPFTIRREGCVSLGLSQGLKSGSVLAHTLSSMRPRSTVVDGL VCSSLRQQEQPEGVFASECGGIMGYGATATTNALFSSSNSPNKITDETSGMCMSDSFS GGSSSGDGSDIEDLDDEGNSRPHSCFGDRIVISVSSGNNRGGRYFTHESKIRDISRRV AYNNFLDEEDIPVVSTRSLVRRPNGTRVTMKEGIKEDGIPSTTQ XP_822539.1 MSTPKCTKQSINRKRGQGTSGLKASALVATADQGDPPPFSPSLE LTFRGHRSTVLAASFRPTAVSYSYSSLDGRGSPENVWSSPCILSGGADGYVFMWSARP TVRALRFVGPHSPVRDCAWSSHGQLLASAGHDGFVRLWLPMLRRTSGLTGSSSSGGGC VGSGGENCYLWRAHEGPVRALAAAPYDDYLYTAGDDKSVKCWDLNYSPTRSSSSGKSG GHKFVCGFVGGHQNWVRTVAVSNGMSALPSHGPLVASGGDDRTVQVWDPRSRRPTHTF YEHTDSVRSVDFHPDGCSIATGSSDHTINVYDLRLNRLLQHYGAHDGAVNEVRFAPTG SWLLSASADGTAKLWDLKEGYLYCTLSAHEGGVYTSRFSDDSRHLVTAGQDGLVMMWR TGLLRTQPVYATHFYAGKCPPVGAATEPLPLPAATSINTEPRPEDGFDHTHLADSTVV NREGRRCGSRDAKELGTCLARSRRNSTDVVSGHRTSEGKRPNVGVAKSKLGNRATFSV ASSQNSAVSSQRPPLAQPSLQAGGSAAAAAMAVSAEIDDCPFAACLRNDVCNGVGSGN SIAQSFSRSERRERTPVKPKTETHNGSEEFSVPNEAFHTVSMKVSVSDGGHQQGEENQ RRLQPQERHHPLPTNAQVTSAVGVEGSLRGNTSGVGEEDEEMCVVEYIDDASGEARYN GWMNCAESASHHVDHSMLSRKGDEHNMNARLERLEKAYARLAEEVQVSQRHTVEVVQK QQENWELQRQQQRAEMEQLRVMMAGLVAQQDALLEALRKA XP_822540.1 MDWALFSRAVEDSDEPTPAYIYREVARWTMHDLRLQRKLIDALF TELNTTSSPRVMCKVLRIIKAVCEMGHDGFQQEVQKGSRVAVVKRFVSHGAVPGNAQH SQLCEKVRQTAREAMEAIFGDHQEIRKAAMTSYGSGSSVDVKHCRSGIASAGGGVKQP TESTTGTTGVLISTVAEKVVSSLGILTKREEVLRRAHAKEQLYSDIIRATSSNSGEGL LSEADMRVLHCHDSGVAFEPPPSSNCESNHSTDSAWGFISGNQKVGDGSVACVKGAGV SGHSPQPPNPFQICVQRLCQVKNTPQRVELYGFVTQCLEIGEKMMQQQGNFVGGVSEK GTEVSCWSLLAEAVDEQMTPVRPWQRRLNALTALEALLLARGDDPMTSPMRRDVADYF CKNPQGVERNVSVVQATLREQARRLVELLCLPAVISNSSVTGDLVTISTAGIPVVPKE GQLVKGVFDNFKGSSSPESLVGGMEHVVSTENVTTSRGLDVTGMTLRGARHRSSNRTT LRRRAPVLEARRGDIDGKGVFSGDRGPSEISRSAGCGGASTDAFPAGTDADLCPFHYV DEKLRDGGATRDKFFTEQGASPPIGNSVLDELFGGTTMCSTVCQAPSGCALPAGGTSL WGGGTEINGFDPFSETSGTSGDRTTLPTATSQQQLRSSQSWACFEPAKQTIDSDGDGR TPKTASFVSPEVFTGTIAHAVATSGSSYQIAQPQQRMEWLTGNPQGSHSPAGVSHFQA PQAQQQHLMHLISQPQQLQPADRISSEADGLTPTVTVDHCPNTTTVHTHSHFMMGEVP DSANAVRETLLKLQADMKASISAAKLQYQSE XP_822541.1 MAEPPPPQPLRKGFFRADPHGLRPPESPRDAEEPENHDIVEDPP LPSSRNLPPPRLRPMGGGPPLAGAEAAATSHSNNRPSPGEVPPLPRPGTTTAVTSAQY NSLTPRQELFSSPQTAVEENIPAGYDNQMNVTSNDFSHSQDTGIKRIGDGATGSSAKT RSTIAHAPSGRFSEVVQERPPIESVEQLCQLQTLAHPVERFFVEYQPMATRGCRSTQV PVEEDEALFNHLAEYTDDDSFFSSGSAVLEEDTRRLQNDPLRGRAIRSVFETLSLRSL TKGRIPYELEDQERSSAPWLKQVHQRAIALHHSSFFIFPAGMKARVIAYNILHHWLTE MLLMLIILVYSMMTAAWSRDTWPTLEKPSFMFFADVFFLCIYAIEFVARLFTSGAVSH SRAYFRSPWHCLDAAVLLLMILNCTNLQSMWNFSAFRLIRVLKSSTYVPIPINMKLLA KSLLRSTSNVVKVSTILFYVLLFFSLVGLQLFSGVLQHRCVSPTTKNVTNQLCRFNHS EKNESYYHGATCPSPHLCVADTYGNPHHGYRSFDSVGHSFLSAFQIMTFQGWTSLLQE TSDTTSVAAILYFFLAILICAWIIPSLYLGVFIEKIEKTRRLFVQKQLQLFDGMLLEQ RQRLNEAIKLRDFVERDESGKLRRHPIELIRSASRRIQRSKLSNSQTSIATESESGEP AVVVKKPIGDTTKGRSRWTDEQRVQLHLSLTRQRDIASGGERRRRVVIKNDGEISGGT AGLHSQRKGSESIGERAMMVTGDFALGGRVGVVQHHPLTHTIGAAHGTDLPLAVRLDN EEEQLRFLKDYQNPIDNDIMRRTNTFEDTNGNLHPSFVLTSTQRRGGSFDEASNTIRT TTEAAGVIPSRHSATLNGHNTSRMDSNGSLDEPTSKTQTVSKRGSPMPRLSSGQVPEV IIHDPEGGDFRFAETRSQKWGIVRNILHMFTEGYPRIITQYIREHRRMQRRFGLTPLN YVNKYEDDVLRKLRQRRVLQVKEPGAPSQTSRASGDEELVEVNGNKVILTDSDDIGDL SPIQMATNIVRNVPVTPFGAVMLVIVIVNGIFNATRYFQQPEYWETALFVLGIIFTSF FVLEIVVRVIGLGLVSFLLDFNNLLDVTVTILGFVELAYARSNVVTVLNWVRLLRLFR TLPFAPMRRVSRVLLLGFADMLYALFFFSIYMFMWILIGMSFFGGPNGMVDHTFQDYY TRGNFDTFSGASFAVSQAFSYTREEWVYLTWNGMQSRGEYTVLYFMAVVGVAFIARYF FVAVFAWAWQSEEEEEENYAAIAKGGSGGRREVTRLRWFDFTVWRSFKHIHGGFERRD VAPDEVFHLNEDMRKQLRIAEAKERFTKEALAQTDLAMSQRRMGSPMASPSATMGYNT DGYAPAAQVGTAPRYVNVGGQLQRHINPSVDFVDAQVPPLNAPISQFQAENAQLRFAR RYSTVPASVYTPVIQDDGIDRPSPSARSSPSDAGERSGELGGESQQNGQEEGDGQYSP RGVSPNGTGGRATSTGLQRKSSVLGRFPRLGYDRAAGKKDGGSRSVSASAMQQTGDGN ELRGDYVNEGEVNGGSMVYEHILYPGPRLRYKHVMRNQYVRVFERCLDCNTYQQMPLR APPNVQQRTPEELHAEHCHMAAVRSSRQLVLNAIMGYVRLQKDINQPPTRDAVETVLG QAWSCGMLLFETIEYLSCSDIEQREYRTWDRTLEALQLQQWLIGLHVGEEQVGRATLA YTLAHRKREKLAVEHKSFELSWRQRSFFFISPSNPVRRLSTRIIQSRWFDIFILTVIF IASFCLCFHIPGKANDPETGFVVLRAFDGIFTCIFLVEMIMKWISMGVILFRPEAYFW HWWNVFDFVIVIVSLIGLADQHSALRSIKVLRCFRILIPMRVSNFNRSLSKISSALLD CLPTVANILLLFFINYFVWAVLAVRLLNGLTHSCSDPSFVDITACEDAKHEWLPKVRN FDSFFQSLLTMIEVSVGSKWLDVIYTGVNGRTSEHAPMDDHYLARGFFFIVYYYVSHL ILFSLFTASMIYSYLLTKNAAEGVLGITFEHQLWIRMQRMTLQLKPRVKLVPLCNHVS QFLHNVVIRPIFEVVGASVLLLNILTMALHWYGETKSKASVLAAFQYVWMFYFTVEAA MKIGAHGMRAFSRWAFSFDFFVLLLSFIGLIVDAASSEGMPFNVNVLRMLRLGRFFSA AKVFKPMRKQFSLLHEVLIRSAVSLANVTLILFLGVFVFTVLGLHLVGGVPVGEGGYF DDRYTNFNNFGNSLMMTFRLTTLENWSPSLREGMNVTRKCTEDDCSVNYGSAFYCLLL LVFLGLIVLSFYMAVIVDHYVTAARMNTSITRIEDLRRFRDLWSEFDPNGALVLHTHE LPKLLESLRPPLGLTSRHNRVELLRLLREYDIPNHRGKVHYHEVLLPLARRVLAMAFS RDTMDYRTTFDTLWRHSEKSLRALPTVLGKRSHATAAQHFAASYVQAVCRRKKACREV QRVRSELWHEGRAVCDELGLPYADYGFGNLLLEGPDPMRDLVPRSASAASSGGKGTRK GASEAENAASSPLWRAGQAESPSSPRSEGETIDGRAPAARLPGAYQPAIEEREKRFGP DVPNALRRHETRSEKLRRKDEERMLQSTPDDAVSSPVSNVRSNSQRVNVGEYQPPLGT DPTSWLGSNVNRGSTVGGPTTESRTSSVMPAPQGPTAPE XP_822542.1 MTIQKVHGREVLDSRGNPTVEVEVTTERGVFRSAVPSGASTGVY EACELRDGDKKRYVGKGCLQAVKNVNEVIGPALIGRDELKQEELDTLMLRLDGTPNKG KLGANAILGCSMAISKAAAAAKGVPLYRYLASLAGTKELRLPVPCFNVINGGKHAGNA LPFQEFMIAPVKATSFSEALRMGSEVYHSLRGIIKKKYGQDAVNVGDEGGFAPPIKDI NEPLPILMEAIEEAGHRGKFAICMDCAASETYDEKKQQYNLTFKSPEPTWVTAEQLRE TYCKWAHDYPIVSIEDPYDQDDFAGFAGITEALKGKTQIVGDDLTVTNTERIKMAIEK KACNSLLLKINQIGTISEAIASSKLCMENGWSVMVSHRSGETEDTYIADLVVALGSGQ IKTGAPCRGERTAKLNQLLRIEEELGAHAKFGFPGWS XP_822543.1 MSSLTELLTALGSPDPSVRIPAEEQVNRAKQGGLGGFLCSLLEE FRDESKPLFARNMAGTLLKNAVAPNLRETAARRALEREWKNLPVALRTEVKQCVLSTL GSPKKDIQNVAANIIGNLSRIELPAGEWPDLMDILISATESQSEFHQVAALTAIGYVC EEGHDHEDVEAALINYTGGILNAVVCGMNSGKEEVCYCATNALCNAMEFIHDNMQQQN QRDLLVDTLCRTVASSHNSRTREKAMESLVKVADMYYSTLPNYIDRLHAITTGAIFGE EEGVALQAMLFWISICETELDMKESADPRCLFYAQKGASMLVNICLQTIVRQEEGQEE GDWNIAIAGGKLLQSLAMCIQDPVVDLVMPFVYSNIEGATWREKEAAVLAFGCILNGP NADKIQDTVAQAVPGLLQYIRHDHPLVADTAGWVLATVCELFGDVFLLQPWNLQQLIN IVTPMIGEGTEKAIRGCHIVHNLSLTYEEEDCQPTNELSRYFAELLNVLLLAIDKGVD YTVKSVAQEALNALIDAAAVDCLQFLNLLVPELHKRIYNVLGERQQGQVGEMEASSLL GLLCGSLGSTARKLMLAFNEHLQPSMEIVLKILENPQGTVLEEVLTMLGSFAHAVKQG MAPYLDRITGHVVKALQCVDEPDLVTVAVGTVGDLSLGVQKDLAPYVEGILGALYGNL QNPEVDRCVKCIFLNCIGDIVLNVGEANFAQYVNIFMPFVHSMFEQSCGVNVTDDPDN EEYVMSLWESISTLYTSVCQSFKGNEIPLAPYLQNMLQFVLYTAPLAKSHGYVEVFIA IITVIGDMASVLKSVSLKELRQQAQSALLSSEVVAIVKVAAELNDGRDGFREQVRWVE SQLQQLSKVV XP_822544.1 MDAIPPEYDKDTKERIYRQEWLEYFNYIGWDRAVSEHPEQYYSI FPGGHHHALHNMNNGGSVMGDIMGKQENIGSIGVSAPILGNQRPAGGASYRAGILAPP VMTTASAAAKMFLDDGSSSGSDGDSRYSSRSSSSSSDASSLDGSKERDGEVNRGQRRY ERAAEAGASEADTKKSASNRRRHRSGSGTKRRSRSRHRHRDKSRRRSTNNDKKSGRKR ERSKDAEESRARRDDKPPRNEQKTGRRDERRERDNKHRSNDRDERRHHHHRSDKPHGS EHGRNRDRERDRERERDRDRGRERERERDRDRDWDRRKEKAPAGGGSSNGSRSHKGHY ILHEGNDKFRGRGDGSISAGGDRYDDRREDARQRRQRDEGRYRR XP_822545.1 MTNPPQDTSGMKEQTCWWNAGLPATPLSCFDRSPSLSNAIRSTV SSTPPSHGYALAINIAPAETRDGNRLRSCMCANPDCKNIISFPQSGSTCIYTCCGNQS KAVGMCDGAIAMTPSFCQSQNTSDFVCGSVLGCGNRVDATPCNLHAHDNWTGALGSHP AVQVPTASAPAHTQIGAKNCVESIDAQNETCEEVMEPFESRFPTSSCDLHAHGEECAT QSGESDKKVMIDLLFHMWRHGKTDESTSAQTCRSKCSAVETHSCAPSKVDHDSQWGKP LPWRACESSPPSHDCSNACSHCTVNNQHINASSQDAVAVGREADPHCSASGRNPPSTG SRLEQHALLNHLKKTVEKLQQNYNELYWNCFSDRSTDQNTSQPTSGSAGSEKPICSAG KRKEEFGTRTSCPVRNLTVEPGDDHMGSAPKIDARSRLSGDCVPLHTTSREADVPKPK EYAAHISAPPDVTPFYTGHSLATYIDNDAEVCVDKDQISAAPGIDTVDRINRCKEADI NDLPSSFCPFPPATLTASSPPVSGRSANEGEVCNYGTDNGLHSESAKDEKVCRKLEER SPHDSFASFLVCSPDSSLTRPLSNSRPRRSIPLTSPGDPVATGSDVYNVSPRLSDRRI VSSDDWRPLTRNAGAAQRGFERRIVSPSPRGGTATRPQGERISSHASVGSERDPSGLV HTDNSPPRRRTANLTLPGSIATTFAGNDRRSRWSPRRRIARPSSPLAASSRYVSPIPH EVRTVLQRDESLTMLDAHRRNIGKLSPQPPKDNSIEHVFARPWTDEQEVTEGGRRAPT WIRYQRGFNTSLSNIPPDTFRPSRALSSRDYMSPSTASWDASTWRGNYVGSRKCVSAE RRPRGIGNSHGSVDLDKYNLAQRDTYWHNSPTLFCKKESEEVLRSWKKLVSPEGTNEI ERNASPPSVRSTKQGRNTKSPGQKSSGRSSVARIKNKDKGKSSEKANCCPAPQKLNTS TRRSVSNDGAGTKPESHALVSTEKRCRSRSARRYGILAAALDVSGVSTVEAIKGLRRR GRSRDSSVSHRFGIRRMSVSPRNVKK XP_822546.1 MGNCLCCRDKHKHEPEPEVVMDVMDVKEKQEIGHHNKTQVSTPP TCQSDYPPPSGLPTSPPDDTQSSVSPRDNVQFATAPPDDSSNAASPRYDEQPVTTLSH DVVSSTPPRVETPPTSSPREEVTDVVPAIEEFTPAVSLGEEVVVSEASPAENPFTASP HDDTTATGSRGDNPFTASRDNAEYDFSPREDVNHPPQDKPEVVLAYDFSAPVASVYKN AAVWTFTAPKEEKSGNRVVGFASAERGPRGDVPPSTERVSEKPSGRADEDFDTDETTT GRSSKPVDAADDRAPKLRAPLLAPPVDDTVMESEEAAEEREAQVFTEAAKEGNESVGK EPPGGEGDTYQVSDAVELGDAMESTNEPEVKEIGQADVEKLKGAGTPEKADDEEESPR TSGRRDNNVLSPLLEDKAPAVLAVAGENNDETNAFVHSAERALEQSKVGKDSEENSEL EGEHPSEEGLGDVSYANTAGDLPESVAYTTHSSAELQTRKTEGSHAITDGETVRKSDS NDNALAGIIRRQRDMERRSSTGVLLPTQSDALGFLETHSSGVAEHDLVNTGVEGEDNT DGKMQYLQGELSLDFGGLETFNADNMSENRVHSWSSVESNGGAEDRGERGASGLNNEG NDRTVGGCVDSGSSDGGSERRRLADIVQPKDWGREIHEEEEVTEKYSVEEVVADKEKS AEDRVSYNEDFGVNRSNELFNGREAFFQDEKTESKSRSTSVPYRTIPIISPPLATPQN REDGDESLM XP_822547.1 MSTGAFTEADSLMASAKKHLKTGLFKRKPDWGSAADDYERAGGL YVSDGNVEKACEAYNSACEAYEKVGSLVSASLIKSKLAQFLAEQARKGCGGKSLDKKL MDSAVESYRGAARYYALDGKFDRMAETLVKAAELIDPTTHCAFASPLAAKSNVEGDRE KVKQVCALLEEAADAIEENEDNNNSYIIRLPDIYRKWMLACLRSGDVLRAIAVLERQL GITNGSGDGTSKIKGKCAGGFYARLNQPHNVAKTGLEIIVLCLSLGDLVLARMKLDGL RGISGFANTKEESTVRSLLEAFGERDTEMLQETLKDSTLMFITNDISRMAKKLVIRST EAKPDTCEGGEVIDGEEDLR XP_822548.1 MFKAIASGKSDAVWYCRCFNAFIFFLFFPHLQTKYCSFHIPCMR YAKFFFKSMFVFFYANRLLHTHTRSKEKKRRKDLWSMGDADVATDQQQQRLKQVPNRG DAINANAANSFDDEDGNQCLWHRRSREVHAHLKRRVAGILTQIRCTSSANHFDRHRVE VDELLRCDAPMGFTPSMILAGVPDIIHLLTDAVRDRRVLEVGRENRQAISALTPICQS SNFLITPNPFFVKEIASSIWRDSSKFKGDRGNNTPSNAMSSVGSNGAKGDNIDCFLPP LVRHAKGSAPQEYPHTTSASPTREGKRLVARIGKSNEVTTAAGTAAGSRKCVRDGSSS PLDKSGPTLPRIDANRQSANRHVNGTGQGNQPLQQQSNGFPSACAVSDAANAKCAPLL CDIPSTVEAMMYHCAFPLVCITPEDYESRASATHILVSTLSSIIRKTSDNNLHTECEG ISGVDNVASQSTSDDGKPGSNINGDYNCNAPRPTNAEQKNCDLEHKNMLYRLRRAALH TLHALLSIMDSENASLVNKHFAERDRQLYDAFGDINFSTDNTIMDMCSPMDETRENDN ERVESVSVKVLSGGTMGSPRAVSPRRRLSTACVKVMQRTQRNMLVRRVAPLSVYNNAV DVNVLIPLLERWTFILDKGTGSNGVLDSARFLTQRPGAWEVEERSNKMANKKLAMASI TRCGQEGHHVIPAEMHSEKITTGGGRDETVGAVVRMCEKDVEEIYLLLRICLQLSLYK HHLNVSARMADTFGGTGNNTFKSIPVLVCLTMARCMEGDRHLPLCVECLWNMLDVIPE EAAKEILHHTGDESGAHFQQEGKKQSEYATTNSPLSRLSATEALLHCLLQFLVSGHRL EYRELRNDIIVLMLIVLRTHTAGLRQQEETLLYNSELEHPALPPGSTIEAITTMAKTV FDLVCGPELQVFGTTAELTLESPELFRRYHTVLSPTTRRENLQFKVLGWRFLEAFHEW QNVRLTLELRCRPHMSRTTLSTRAPAPSGYVFDTSNTVFDVCGAGFVDLLLMYVDTEC SNELVLAWSREDLLTLQEESWRVILAMVEPHAEHSVTKAFEGVGADHTWQNGKEVNLF SGNPGGGLWVEGVGEEWPLLQADLAFVGTNGIACAMRYIQETCNGDTEMIGYLAIHVL SVLSRFPIHREALLALRTPAPTTGEPVPLLLATTVQVIQRLFNQVENSAKINSNSNGT RGMPKPDGISRAESVQHVVRDATVSKGNYGSSVRWQKDSEGRKKTLKESQSVQTRPVH KGSSLFAFSNAGCSSTQRTYGMLQLSNTHVYFPAQTISVFLKCLSLLHNVADGSRDEV VATQRAFILMDGITHILRLVWNSLTPSTSNSKFSRFQVEERNKELLAAALNCVRVFLI GNEAGQAQFVRGDGVNTLLTVIEAHLGEDSGQLFDTVEGGHPPALHLTLTILADLLRE NAEARDAFLKWFNYTSQVVEKADDGKVNAVQLLLRLWNEGAPSSETHDGSQRVESGCD VSSVATTVGREPRLRKRDFDYLKISTTSTTLTKTYDVCDEDIDDASAGRPAVATELRP SSWGLNSLGLQGRELVTAALWRRYADLERMDRFNTEASSSGELASPRGFRELLSAPIC KVLGLRVGVYACLAALGFERISEVKASPSERVKLLHIAALEALCKDEVWGAIAESVDL AGRVVIRAEKRPCTSTMGSFYGGKHRNSVSFRNSTLLVPIIPDADKLVSVLADVDTRA REMKRFEAVTLDMQGVHNFEDLHRSLLAFVRHDDKVPACTIAEQFRNGCKKASAVRLI SPPLTVHTGSEGAEAMNDLVTIDQYPTLSGNNAPTTPMGSWRPYLTMPTGFSEKKRKK EAMIKNSFKSSKAQCKKSMTSTCHM XP_822549.1 MRLWNRFSRLGNLLCACAACGCSFTPWRCSSSPLLSSFSAYNMS SGNRVTSSVGGSSGATEVCPSHTPLSSSTLLRYTIAPSEPTMGSPWQTSEESASRRLA ALTSYPPSNIRNVAVVAHVDHGKTTLSDVLLRRTGVLKGSVNAGAYTDRLLVERERGI TVKSQTCSMFLKYGGSEFLLNLIDTPGHVDFQYEVSRSVRAAQAVLLLVDVAQGIEAQ TMSHFHMALDQGLAIIPVFTKMDCVLNDTTVDAALQQLEDSTGLLRSEVVFTSAKEQL GVEALLQAIIERVPSPSGAIGLSDVCQLPPLLPGSTARVAMEAEMVPLRAILLDSWTR ECGGGLYRPPSKTSSALSGNVKIDEDKDTVTCLVSVIDGTLTARTNILLYHSQKRYEA REVGVIHPELRPTGALTVGMVGYVVFTRVQREDFSVGETLYTLPTRKFTRGGIAPVPG FRRVHPVVFAGFYPDEGEYVTQLREAVEKLRMNDPAVTVEPLECQALGSGLQLGFLGV LHMQIFQERLLSEFGQRVLVTPPVVQYKYREAAGGDDQPPKPLSVHTWRWLHEGVSCY MEPHVTATVVTPSEYAQIIDGEAQRHYRGKQLDMRVMDDARVLLRYKMPLADMVRGFF TFVKSQSHGYASLEYDELVYEEADLVRVDIVVQKARISALAVICPRHEAPSVGKRIVA SLKSNLTRTAVDIPLQALVGSKVVARETVRAYRKDVTAKIHAGDISRKQKKWNDQKKG KERMARRTVGGVTLDQSVLAAAMGATAL XP_822550.1 MLPLRVLPFIAAGGYASQLRFYSEGKPTEGHRKINLDDDERWIE AEFDEKLRSPEERYALERQRETMKGLIKKIRNEHKQHMHESVRERDAQIDNLKEQIQT MEKKLQQLTNEKQ XP_822551.1 MFHPQLVAGGANRAAPAEELRDTAETVQISSLALLKMLMHGRAG VPLEVMGLMIGELIDDYTVRVSDVFSMPQTATGQSVEAVDPEYQVHMLDKLSVVGRPE KVVGWYHSHPGFGCWLSGEDVMTASSYEQLTPRSVSVVIDPIQSVRGKVVIDAFRTTK DPHTGPRIMFQEPRQTTSNIGWLTRPSPIALTRGLDRDYYSLPITFRKKNHELALLLN VYKKGWQEGFRLENMTRFDRNTVREKMRALASLAVQSERFIVQGLDEDDVGNVGRANP IAHLQSESEGLINASLNQSIGAMINGVVF XP_822552.1 MYAMPGNSGDLQCDDGKKRHIPPLSLLLSGYGSSEGRELDTSYT PKQWLWFLYVTSWARPFFTYASSGGASEATEGSSDNRSGSAYWGRLSFDELLKLSGSP IPPAMVSLWMGLCMPTDDVVQELRIMTEGKAEGRQRIYPFLPRVAESTFGRALRSLAV RQHISSWMPSHAGDVCAALDVLVRDTLEVIQNSADVRKTARVRCEERDASGEEALEGA FRSMLSASTTAPKVVEATTYRPLFDVKASIPEGGTAQVLIRVANAARLFAALSVEAFG RVKSECAVLLLAHINQRDAPEHVDARAYGVVTGVVEYAMAYRYCRDDGTGRCPLTCAA LLLHRLVELQGIVEKDTAAGDRCMGMAVSASRFANMTVACIQELLFCVVAGDTVRWHR EHQPDGVSVCPTAARTLTLHETDCLLQVFIPALLQQVGFEWPWSESLRHAKMLDRART QHIVMEDGVRLDSRSVFEELLVSVARRTYGLRLRAILPQSFDVIAENIFVSIHDVPNE GKSSGGNPEKVADNSSSRFALPLYYRTAGEVLLEYFDRCGPSGITAEETERVLRRATD VQPMVVQLQALGGDTNGGITDCVSYCGELSRTVYFSAREKERLLQRYRCEVLLASLVV YTQLRTVSVVQQLTRQLAPLFEQLLLPLAHERTLSRCPVIASRKGDGVDDNGTPLVDL TPEFKMLVDEIHYEFYPLEWVPEAVDAHIRQECGVREKEGAPYHEGGNGPPCFAQYSL FAAIAHQFGLVLEGNPRGFRGGDGSSSEVRTKAYRFFTLMLLNNLGDAVSSSCELDGA AALAATRRVKDCQDGSENCKQRQAAVRQRGGASFHSVVSACDVVVTMTQCLLPAHLSS HPRMATTGSMSNEWMRRVGEWTRSAYSKYTAYQQQVHGLDLPVPLISLYNSLTFDSVP LARETIRAVRSRLLEKMSVVTASPPGDVETAGKQLLEQHLSSLTVTLTAVGLLPLCVR GGSHVPCATQLLWASPFFSHELLHCGRYEVSV XP_822553.1 MNSFVSHSSPPLSAGINEMSLAELRLGIHEFLRSSGALGSLKTQ LRGVITSELLKRRRTKDITAGECNSRELDAGADVSNKDGEGESAWIQRLADAIVEQHL RQTGRAFSLAIFSSEADVSFRATGDDALAVLLHLDNPVLTTAGWRAGPDSTPANAKVA HHRSSSFLQILTAAHLRHVGDSRGQRPRYAVGTQTDSDFGSEFSGESAKPPVEVRLAA VDAKYALCFARMEHSTREELDRHMETYREELKLQMEQTYQQRLRAFEQQKLLEVRREA EEHFRLLLQHKMEEQREIERLSAQRVEAERVRLSQAREDIQLQRVELERRQREIQLLL DERDKATVEMEGRLHDAKQQIRVLTSQLQQFEELCASRLAEAEAARDREMRRVGDIRR LQAEHVAELQLKDEEICRLRFRLKSLAASNTGGSWHHNALNTTENGVIMVHEVPSGAT RQHKQGSRQQASHEATYLASTPVPREAAWQSIWHSTDVVGPTEVVTAPWRGEEGVVER RSHLVDPSLHSSDVHRQGKRGSRDTNDAAIESQERSMSIQPRRAATTESGSTVTTTSS RVGSRVLLADAAVAKSAHESATAEKQETPVPQECGSSERSGRAGNDEKDEVAHSKSSS GSTKVTRSNTTGEINGEVDRSHPPVVQGSHSEGPLSLNASEGNTAIASSSKMSSVRSH KSQSVTTPRAAASHALSDLVGRINAEEEDKRKEIEKEEETNRGGIKWAATSQHNLLVQ RQTAMEEFSGREESNVVLLDRTTISDDGSEDDILYRKGDDSDDSI XP_822554.1 MPLPSTLPSPAPPSPPVATSKLDLDINGLQKILQQIDESFHASD DDVDRRLRLQHLERQQVALQQLCDAALQQQDGQAVPPALDNSTDVRPITYKVLDTDVV STPRSIDAFNPSHNTRETLQSIARKTETCLRSPHAPLVAPPRLLSPSRVTSMPHMGAG TTAQGEVKTPDHAGVATAKIFPLRSPELPPTYHDGAAVQEDRDLVESFLGDGRAKAQH PYAVEEGGVHRVRGSTGGWQQRGIHTLRGVRSCRLMAPRMSSGTDRTASPEHFDIGED EKLIRQLIGRNETRVKGSTNRNNHCFQTAPTKGENVAAHSCGTEGQIHRHSTVPSASG RQPAQGDAHPTSTSMAHNPHKEDCAARNGHWVRGKSVKELTQKMECLARHLVKCMRDR LQLQYRVDRLEGMLDVANTEVGRLRRTLEQREEGTLTESAWRATINAKERELRVCEDE IRRLRILVEQQVTRQGCGLARQNEAAALQQAKADLECMMQELGVAHMRRREMEEEFER TKQALDAAESHIQMLDKRLAQAERAAALARVWDVSGDLNVQVGDVPSAPASTALQKGL PEAKLKLQANVGNVDSWTHDAQREIARLTAHIENLALKHAESDRHAELRLSNARKESD VLRRQCNELRVEVRSHEVQIETLRRDRVAVGLREGQLRQQLLDLRDDAVLLADLLQAT TKNAKGMLQILGTDDVEHRQSKAAVERFVAGVLCDFDALGRFFGALQCIDIGESRCQM EERVSVSEATAARRDFVLKAVEDAMERPSQGFGPATLSSSKPPCVETLQAAHESHRPS VAADCILATGTRPPEDRKPPTTSSTPSPAHPVLRWVADQNISLEGVTAPDEGNQPQNG AKMAESRASVMEGCTGRNLEQQSSNDFYALSGTNTDTEPKISLFPTTSWPSPQGARRG SPPSRLSDQAESHVLIQDSARSRISTGISFLSKAPDGSGTVASEGIPHLQQPLSHSMV SSQSHMVEETSDERSSGPMLSVVAATTRHVDTLSAQAVANRVDDVDLKGVTLELLRAE DRTSVKVHPAVSWNTGAENSAEKDGVGGEQTDLNDVPVTEVMRHLQPRDDSPENRKQT PPRLMPHYVVTHASSTATATREERSGAPVGRRPAVQQAADPAPLRRAVVGGGRDGRGR GRAVALAEPQRPTAARPGAALLPSVNDVGPEPRNNTVTATMVSGEAGTAHRHHLPVGT EFLSLRQPALANAQSKPKD XP_822555.1 MSGRRVTVENTASPSPHSSASQQPLQDIISSPERQCHSFHGYYG VGGQCGTHYPLVEATAMNFSAALGEGTSSSNAVSPEQDPLLEGLREKERQFDARVAAL DKMLICAQQGCTQRDSLIDRLNTEVGRLRKQLQESKGTGSKPKEMRGSALEGDTTALE EARAKIEEMSNRLREKSRRLMALERQLGSRGVDTEEGAVVGRTAPRKNLVEEQGQQKR ARYAATLRSLQKEVGLLEQKYRVMMKEKESLAEENQSLRRRVDRIQEDAEAELVGAVR ATHKALGEKKDLETQVMATRLSMARLLHVLSEVPEMSRYLRVDGVTGDLLFIGYAALP LKETADGSEVGRSHRGGLSLSAMGTGVDDGIYGGSAKAQSHNIYLNGKWCNRLREIVD DENCFFRRAKVHTGELEDVTHSLVGESVPRVPLPSDVLQGRQRDRDFWIPYGVFVEAQ KFKNRYFPSMNVECFYPFLFSINVLWNKRMMQRVTTATKRVSEQQERRRKQMLRCMKR GGEHYLGSLSPSTGSFSPSPSVVALRRQLQLLRREVQRRVIGRSSLDLFHRYDLLVRR TLQCLEKTHRGYITPLNQAQQSGTAGSVSSDTDFTGEHVNVSPLWRDESPEEMKMNNG SDVSGSKESHYRCSPSIPLAGLLNISQRASTHVLASCRHLRELLEALRKKHRWFSLSD HHYEGDEGVRLACGAERTCVPVSTLHHLIEGVVDFVGEVQQEVLKAHNAVEKYCTHQR DGNDVVLSALNNQRASRIIEGVWNDIPCEGVSE XP_822556.1 MFHPQLVAGGANRAAPAEELRDTAETVQISSLALLKMLMHGRAG VPLEVMGLMIGELIDDYTVRVSDVFSMPQTATGQSVEAVDPEYQVHMLDKLSVVGRPE KVVGWYHSHPGFGCWLSGEDVMTASSYEQLTPRSVSVVIDPIQSVRGKVVIDAFRTTK DPHTGPRIMFQEPRQTTSNIGWLTRPSPIALTRGLDRDYYSLPITFRKKNHELALLLN VYKKGWQEGFRLENMTRFDRNTVREKMRALASLAVQSERFIVQGLDEDDVGNVGRANP IAHLQSESEGLINASLNQSIGAMINGVVF XP_822557.1 MFSRSFVGRMGNGTYGGWPWPVKLPLKRGWHHELDRHQSIAEES RRYILFGDALLVSIVLYSVYRLYYLALCSDAYRTHLSHLSGAPPAIIANSFDFANLEN NRTVPRAVLDEYREAVVDGKVKRAPIESIIFKY XP_822558.1 MLKGDLLLVCAFLRTTVSCGGLFKRKSLHRRKCWVQGTGAKEEH EVKRGERVTPVQSMEKGMINVGWHGVQGKHTTAKKVHDHRQPNVVCEGSSCRPSECST LRELRAGCGLIDPKGRILSTGVKLPRFPPSHYSANDETGTLLVENTSPSKKRKHNFV XP_822559.1 MRILVCWAVGVKKGRMMAPPLIFCLYVLSEIIDRFLFFIPDCSS FVWHIFFRFKYFGRTLIDGTICYWWSSKVAVVMDAADATGGGSVKPLAELIQLIRVRG GVTKECARPRRTNDHSNDLCAVPFSLPVWKRARGHSPPRAALPVKESVSRRPELSTTV RRRRRRMEGCHAVTTRNVSTCSADFQIMEKLSVGAYHLTYVHVDLYHHGLKRLMDDAV LRTNPKKIVGRKCCYLKPGTDLVVTGCVIEFTKNDLSVQVAPDKGIDVDVEWVKLEDV YVPENIDKVRVRVSDEVRSGLQKVKEAPKEMRRRFASQ XP_822560.1 MGIVRVQRPLLWLLGAVFLLWVLRRQRRCSRFFASLKTSILKKL TCKRLGRKQPRVAVRKVDLFVEKVLAQGGLQDVDKIVGIFPRHFELVGHVVVVKLNRG IARDVFAPYARALAESFFPRVIDVVLLDTMGIVGELREPHLEVLWSSATSHFSVNDSL LKVTKERVRKASTFTSEDAELLGSCAEAVTFTTHVENGVRYSFDACKVMFCSGNVTER MHFASIIAKDEVVVDMFAGIGYFTLPLAINGGVKIVHALEKNKYSALYLAFNAVQNKV SDLIVIHCGDNRDVGSELCGRCDRVIMGYIPSCESFLPRAISFLRRSTRGEPMGVVHY HLLSEKDQVINTVTHHVRSTLDEATTSLMRIVNFRMVKSYAPKRFHFVVDMHFSSLQE PE XP_822561.1 MRSLEVQKDSILAAIVMECVKNKPSNTSYHSSVLYQRLSAPETR NLVYQCCLELVDDSQRRRDIGQLLLNYISSFPPSQTTLQAIASKCKPHAASRSPYSSI YQELKVVVETYLNHKPLGYMLGRTLQPEKESITFRQSELSINPGYIQKCNLQPPVTQG TEKGKHVVPFSGWSDDDITSTAREKNKTRSRLWTVKYQVVGCKDYVNQLPLECTETLI EQQVRVLNSFWEKYNTSVEVVRATSFLIKLMCDAYVSKIPLQSNYSFFRAVSICYLPT LFEMMSSTYACVRSHVYDILLTLGMHFQLVDTQGLFPGCTEALEEELIWLLLTVLRKQ SMCEGDDEMIWTIAAKCTIVVIPRHKHHLIDCRALYQLMKLPTVITFHPHLYTTFAEA FAKRVLRWDPSGDDAEDMIALDEDEFNKCGNQPVAKLLFLYRQAMTLGARLAFFQVLF THTVMVLQSRATLPRLYPRILRRCYSELVRVGFHWHLHSLLFYQSRQVFRELPGHITY DMDVEVVRDYKMEVTAIVQCMLQTVEDNVRLPDVIRSAFRNAVGTRVEERTALARVVS ECVTLIPAMLKEDTDGVLYNIGWHTALYCMRLSREKLDSDESVALLDKLATNLVSFSE FKDRTELTKIKGACPDILAALMFLGRKHPNQAVEPFSILIEKFLHDTAVVDSQSVMQL YYRLFGSLAIQNGPFKGAQRADISQLLCSEQLVTAQPIIDGIGSRVLWALYRSLCTVQ TASACRARHVLASFLEELDTSGGSSHSYSWKTIMDDPYPPVALIGAQRILQMCGRTAY DRFDEAMNTPEKSEKCDSVYVLALKVIQLAESRKE XP_822562.1 MEGKSVVGGSHKLADGSSSCGTIGANPGYAGTSTPLDASSSLYF GKVVEDAVPVRVEVGETTTRHTDPQGASVPLTRKATAKDRIAVEKTSDFVFCDECGQN IPVSEWADHREHPRVVEHVTSWVGRLNKLLIRLFIDFFMWVLMNIYFREVVVVNEKSI PKTGGVVFYGNHQNQFIDAMMIRANCGRPVRFVMAEKSFQRPIIGLFGHMTDAVPVIR PQDAPLNSGEGRLIRMDGDMIYGEGTKFTMCLSDRDVIIWYREDVKCTAQVLKINSDT VLQLTMPVAACDAVTKPVGFQVSRRIDHSEMYASVYQTLQSGQCIGIFPEGGSHDRTS LLPLKAGVALFSLGAAVRGIDVKVVPCGLTYFYGHKFRSRAHVEFGEPITPSAEVVAL FNTDKRKATGIFLEQLNEELRGFTINVPNMSALNFLHGFRQLYQPQNCILATRDHLRL TRRLSVIMEEQKGNPEFIDYRSRVENYQDYCNALLVRDSQAATLGKLGSNEARQLHLM FRRCFTLFLMGVILVPFFVVGLPIGILAKSLSERHRKKALSQSNIKIVGTDVMGSYKL IVGFISVPVVFSIVSIITYVYTDLRTALTVSVCLPMTMYVSLLILQEAAIEMRAALPL FMSLISKHKQFCKLYERRRALVALTKVLVKKWDPELEEELQHYVQESKQSMKLREPSL FSLRHGGLRRLADIKN XP_822563.1 MQAIGTSPKAPLEGERDLLKGRTAMISMPDWSTMYTCNPAGNKT LFELEAIVVKRLELLGWIDQLLNSPNMKNLSQLLDEVGAHLPLERRKQSTQPAADHVV LGRDSVDGSTSDTPGSRAPRHSSRSLTAMLSTQNVFVFEGDEDLLSHRLARFVFCMSE KWRKWFVRTEEMLLRARLRLQLAKCEADFLPNLMRLNGLPCDALTDDQQQDPRLQKYI IYHTAVQGKGQLRRADEYFLVPLSLATRLIKARSVLCLRGHAILHRDQVQEVFVTMFL SKLNKGLHEAYLARMKLSSHEDHDERETVMKMLDAFLEYFIEDTMNEVQEASAGAVGA GDVRHLAQTHFPLCMRQVDEHLRREGHLKHQGRFTYGLFLKAIGLSMQDSMVLFSSLM TLKAGAAGKGDPESFAKTSYGYNIRHNYGMEGKKTSYSSLSCTSLLGLPPVVDKFDCH GCPFRFKNESAFRGVLLKEQLHPLGKGHSSIRLAASDIEDIIQDCKGQHYTRACYKYF MATHPGARRDTLFRSPHEYYVTSREMTDKVGDSLSDSTPAHETPGRDNLKRSIFTPTL REDVVRPRDSL XP_822564.1 MLRSPWTMLCGVGPPGLAPKRKPKIRIESGYERENQKYYEERGH FFSAFASISILSLGCTFLFVPLYRMYCAPTGRGADPKFYTPQAQRDREQLNEMYPVPK KLLKVRFLSDVGNTMPIAFVPLQKEVEVLIGEPALAFYSAYNRSNRTLLGVSSYTIAP PEATNYLNKIQCFCFEEQRFKPHELVEMPVFFYIDRDFLNDPMVNWLDEVIVNYTFFN LEKTKDIIFRSNLA XP_822565.1 MNVLIGKRELFAFAERYSECRIKEYAELADGAILCSLFAAVFPN MRIRTATSQTHTRSQLAHANWSALRKALSAVGIPPSLLNRERLQCGDAESGFSALVLF YFLFHLSRRSDFSAEFAADVSEELTEFLQSVDSIAALVAGGAMSLSAVPLPLQEQLRG AIMRTREGCGLPEGGKESGRSCGDTGLDTACPSSVVLAVQMDGGDNENNEKTTSDDLK RPPSFSSPEKFAERKDDRVPQETGGNEREVHSGYSVCRKWKQDDRECEEAYDTIDHCD SCRGGDAGYRRMAAGVAEEVGDELMAPIFQQNRDLQELLMVKEKKCEELKAQNLELLG HLTKLRIQYSMEKALPWQGDDTANESTKVEKGELLASEEVQHLRSVLQTTRSERRRLA AKDGVPIDELLGGIVDAETGEVIDVENEATLLNGVLADVLRDIPRDRREAQRCLWRIV SAFNVLEERLAVAVGTQKPNCERVKREANTIFGDDGGECGSPASVFRPPTTPCTTAKL VESCDDSSMFDLKAPADPSLSPENIFSRLKEEMILRQRRFERQLIQLHDNEARLQKRI HVLQNKICHLCQRSLERDLTWKSLCAAICEAERTSLLVADAESEEAVERLLEQRNACY IRADEVSASLMRDQEEQGDALIMPGGHENDCHDVCETMKVLLESVTQDRDKLLKELEA LQDVGETGRNQRTEHQQRLSKGDLRKSVRTLLQEEGHSSNGEWFAQTSTFFPFASSPS KLVLKNSVSAAQQEDGRPLHGGRCPLPPGENLSALLSLPGVIGR XP_822566.1 MYTVAPAPGCLSRQLHVSGRNKNIKDIDLGAVFFTHEDKETLAL ITSFDLSHNCIEKLLHLDALVSLTSLDVSHNRINVVGTLPITITRLNLSNNGLVTLDN VGALRNLRELDVSHNRLDSFIGLRSISTLQILRADNNRIFSVSELGCMTSLHFLSLDH NVIRNVNELVFLTSTKHLEMLSLCGNPVSNMKGYKKLITQLCPGLLSLDGLPLASDTS ECVVDVPDDDEEDEELLTPVARLGPCPAPHPLLKTNRAVHGGNVRVNGFLLVEGQGGE SATGKGGRADSSVRRSVVATKCCGNKQSAATETGKPPENDLSSIKVASSDTSVSSKQR DSLNVGPEGSERPQRGMQKQRKLQQLQTRGSGVEAPTISKTTSSYASKIASKVSHHSS EEKDGSSSFSGSQPSRDTERLLRETQVLVEELRKENGYLRARNKKIEAQLREVRRVVT HQLDDITDLRKRCAAAESLVAASKEQMEKVKRHARATGKYTHSAVDAMALEQERLRAG YEAQIADLNVQLRDAQMRSKKATMCPIQQSRSGKVLTLNTEDINGACTEIEISLGEGM SSPTREGCELSLVLSPTDTTTSDSERSVTRDFCDDAGVLNGSVNTSGIHKPKGTRDTE ESACLE XP_822567.1 MVSNGKTNGNERSITGRELRPVEGLQIRVLDDPRLVERIRVLDG YCLPIKYGDHYYDTYVRPCAHRYSQIALFHDMLVGSCTCRLERTEDEDEFFLYIMTIA VLEPYRRLGVGSRLLESVLRAVASETKVRVRQVTLHMQVSSPVIEFYKTFGFEVMERV PDYYTNLDECDAYLLRKVIDQPHLESKQHQNRKAKGGAGKGGKAK XP_822568.1 MRYYPTGLDRDSHISGVRPGGGAPVSHDVNKRRDNLPDHVFASI REEVLMEERMRHVNAEARARAQLIQASGTHGKRMAGGSVGDDRRELERVSSAEAVNAR HRALLELYSLEMEGWKEELAERGLSIEM XP_822569.1 MDLAQAKTILDAHDPRVVRVFQESVPELGLRRLDACVLEYLLRM LEGQMNPASYLPEKTIEQTLRLYLNEFQVCPDENAVDSAVVSICNAMETSGLVQKPKE DSSRLVNAVSIGRQYEENLKKATMIRTVGRAAVVNTNADWTWETKRNAAKETRKKRKE EEKKSMLAEEYEEFLQKRGIASATAIVKIHHKSDGVQYTTDIRCENIRIHMGKQVLLD NTELTILSGHKYGLVGRNGTGKTTLLRALTEREIEGVSPFVQILHVEQEVVAGGETPL EVILSADVEREQLLREEQELLKRSDDEANNRLKDVYERLYAIEAHSAEARASAILSGL SFTREMMTSPTKNLSGGWRMRVALARALFVEPDVLLLDEPTNHLDLFAVLWLEQFLRD WKHTLVVVSHSRSFLNNVCGEIIHLDDKKLHYYTGNYDQFEITRVEQLRQQQKHHDAQ ERQRAHMQKFIDRFRYNAKKAKMAQSRIKTLERMEVVAAVKYDPQFSFKFPDPEPISG SYLQLVDCEFGYKPGTTLFRDVNMGIDENSRIGLLGANGAGKSTFMNICCGKLEPRQG HVVRNQKIRVAHFAQHHLEALTPQLSSVEFMRSKFPQVEDQQLRAHLGSLGLSGDKAL QPIYTLSGGQKSRAVLAWITFTRPHLLLLDEPTNHLDIDTLDALIESLLDYKGGLVVI SHDEHFITSVCDEMFVCGNETIKRFDGDFSEYRDIVMKQMR XP_822570.1 MTKQQMCLRDFFLKGKKGMIKDACSDVIDLHVAVKGDELVDAFS SKEKHEFLDSMPPMKHSTDVSASCYLDDNVPESSSSNHGEGSTLARPSGVTAFPFQTK HVGKYGALTTRSSKRPRSGAQTCLDFGQSDIGGVTTCRICGMIYNAVMPEDIRLHRRF CRPQALSNKRRKELDAADGHCVWLASRDVIVQLDRLAGSQRQNLAMQRRYRAGAAPVC LKVQESTADEFICYVLECGARGLARSAVVSRFVEALQFDDVTLQGESDYCLVAVVQVY LERLVCVVAGRPVARTHEPTLLPYKNHCETALGGKQYLETSQKTLCDVPYIWLQSELV LATTWRGCLQQQIAPAVKSQRDVTRDFFKCRGMASEKKFEDLQQTRSLGDAALSRALS TLGRHVTYGCALCPRRQFSYDSSVVNESVLHRVAGVMGCDDVSTLYTHTGASSHFQ XP_822571.1 MEGALFLEGRNVVFFFVPFKNLFVVAVKHDGVEEQMRCVLVWED EKGLFNVITADGGGKWYRLMGRGRIRVMFRVVATVAGRDFFPPLLVHKFKRPSIFPFP LFSWELPPSFFLPTRPYFGPQRCGGVSYPFYPFPFSFLFVLFTDLYFKGVGVLEYNKD AMYLLLRCFLPLFSFVHVICLPFSYGGGG XP_822572.1 MYQDRCIFFSKMGACRHGDGCTKVHVRPTTSPTVLFPFMYPNPA AIEHIQDREWNFHFERKYLRRHFEHFYKETWRTFMELGRIAELRVVSNLGDHLLGNVY IRFEDSHDASRIVRELKAKKLNDIVLLPELSPVTNFAEACCKEDLEGKCERGPQCNYL HIMKVSRKLMEKLEKEQAKFWKKKEKHSSSSSSSRKRERSKERGGERSKERSKERGRD RQKSPRGYSSDMCHICGKSGHISRECPLK XP_822573.1 MLRRTLPFAFAFVKSPPAVNERMDSFQPGSDSARGTLEACKRLR CGATDCPIVIGGKEYRTDNAINRMIPSSHQQQVAKGYNATPELAQKAIDTALGAAKEW SQMPFKDRAAIFLHAAHLISTKYRHELRAATMLGQSKNPFQAEIDVIAESCDFLRFSV KYAEELYNQQPISPASGPVWNALDYRPLEGFVSVIAPFNFSAIAANLVACPALMGNVI LWKPSPNAVLSNYLLYKVFEEAGLPAGVVNFMPCEPKVMTEVVNSHPELAGVAFTGST NVFLSINKQIYSRLEEYRNIPRISGETGGKDFHLIHPTADMKMTAALTVRGAFEYQGQ KCSATSRIYVPQSRWEELKGYLLELHGKLKMGQPDDFQSFMCAVIDETAFDRNKKYID IAKADSSAYTIIAGGGCDKSEGWFIQPTIIVAKDPNAQLLREEIFGPILTVHVYDDSK PDFWSEACNLVNNATKYALTGSIFAQDRKAIRDATEKHLRYAAGNYYINDKCTGAVVG QQPFGGARASGSNDKPGSALFLTRWVSARTIKENFDHSAQVSYPHQLPDYVTL XP_822574.1 MAKGRDSTAHMREFIKRLRARSNVSESPQPQEGEAPPRYARSAI TGEVRVVGQKGPLTTSFPSPHRRLLSHVENITATTTSKPEEPPLPPALQIVPDAAEWE QNTGTKQQSCREKPVNPAAKSEPRHGAKKVSKSGNASDVLERHKKR XP_822575.1 MNSNAPLIFDVPPVFKVESIIGQGSYGVVCKALYGIDQIAIKKI PNYTKSDETARRVLREIEILQNLQFCEQVVECRLLFRPTSREKDVYVVMNFLPSDLSS VIKNKSVPLNEKIICYITCQLLLALRAMHRCNVLHRDLSPRNILVNHDSQVFVCDFGL SRFFDPDEQLSFGVVTQWYRAPEIILDAEYDAANDIWGVGVIVCELVLRRHLFPGKWN DSADQLNHIFHLVGTPPASVFEQGQPFARASANARKYALSVVEKKPCSPMLQELLRSS PLFQSNEVESHSVIDLAVKLLSFNPRDRPTADDALRHPWFDQFRSFIGEMIETQDGHG VGTMQLSACGTLPVEDVVKRIEELVPIFSQDLLVSDDED XP_822576.1 MRCSCAFLDKSVFAAKRRVIVPIHPTPNFPAHFIKSAFTTDPLK EKQKARFSSGGEAMREVQDIPKNLEGERSRRDLASRGDTEFQALVEFIEGASYDQLIS GRRFKKVYDVLSENDDMFIWLCHTAMSVLNPGDMRSRLIYNHLRILAESVASGEMTQR TAFRFFESAVRSPAYREIAKRQLEGGAATRLAGISAAADVMRRMGLTRRPMSSYFELY QRIVERSEAMTPWGFPPLFQFEERLSLEPRLKFFSRASQQSLERRRRGHVMTPHTALH GRRIFWIPPTWNRAGRFLGPHVTLYPGMTPD XP_822577.1 MGGCVASLIESRNTKSLVDNDDILQYNKLPCQIRVVAGTEREDA SPVYRKFDVTDEDFEKMSHDAYHGEPLIQLIREICGLRGEKVAMAYRNMQRVERNVVK DASGKSKTMDMYVFSPGRRNISYKQLWETVENFSKGLCEIGLQERQCLALFEETRWEW YASLLSAWCHNMIVATVYANLGEDALRYALREAQCGCIICNGKNVPVLLDKVKLKEMG NPVVIYLDDLPTNVDIMDLRVYKWSTVVEMGAKSEKAQLNIPTCENCDDTALIMYTSG TTGDPKGVVHTHGSLASGVYALSIRLSEVFGDPRDDETYCSYLPLAHIMELAVLSILM LRGCLIGFGSPRTITNTFSKPHGDLVEYRPFVLSAVPRVFDTIKKNVEAMLPSPGSIK RTVFERGYQARLKALRDGKETPYLNEKVFNKVRQATGGNVRLYFSGGAPLSPATQEFI NVVLGTVIQGWGLTETVCCGGTQFPGSLESESIGRMIDTVELRLLDTPEYRHTDKPEP RGEILLRGPFLFKEYYKQKALTEEVIDSEGWFHTGDVGCVSKNGTLRIIGRVKALVKN SNGEYLALESLEATYGQNKLCTPNGVCVLVHPQRSYIAALALTTEQLIMPFAKEHKIR GTYPEILENVELRKKVCESFQETGRAAGKKSFEIVRDVRLLSDEWTPENGVLTAAAKL KRRIIDERYKELIEEIFRNDV XP_822578.1 MLRRVRPLRAWNNRQHSDRERDRIARERQRHILYDNAGNVKLYG ILFLLWEEFRVPIIAVATGFFVLLAYNKIVLYYSARQLAGERELDQKSESEARLSGKL KGDRYLIKPWRQVEDPDFLNIPSYAGKGVYSSKLFEDDVASTDPLFSERRRN XP_822579.1 MPREIKNLKEFLAICSRKDVRCVKVKHNPKVTKFKVRCSRYLYT LVMADKKKADKIERSIHPTVKKITVTARSHAKKNTPSK XP_822580.1 MCPSLFAYTKWAVLVHLVTLCVTLTWRFCHFFFSPFPFNYGTCR LRVRFIKVQHTFARRNKRDFIMRSLRSICASEPRCSRFNTHSFRLRAAGTSPGAGVSA SRTTDCVTALFDVGVGSVEEIFSLPAPQLQKNCGDVVGSVFRKELKNARVGNQDFSEV LWMATTRGELLSDIRKLFRHAGVEEAYADPNVTTHPSGVPIGIRDEHNGWYSQQPHYS PPVFPSTAAVSPRSPWAAGMDVFSYAFAYGFWQQRRESLQLAHHQMQKLSSDIQYVKE ALDGDHRNRLRLSLRLWCMFLFPFSLLVLLLLSTDQILYVAELKRVGLCDYDAWQRRY PRGR XP_822581.1 MTEVAVDCFPTPTVSSHSLSNEVNNNGRGGVSSGGMVAVEDKVA LLLAGARKLLEEPTPSLSCHAEEVLPCDDEEECRRRLRFLEAAHAFVEQQHVTQRYLK RAVVSSRSIGVNAWTSEQTRGGLPHCSARDLPAVAVMIDGELTDCETEECPTRHNLCF STVLHIKPRGLWAKDAAVRRQGQYCKQCLDPLHRYKIPLPVWKEARFCYFTGMYYCNM CNSSRYSVIPAFVLKKWDFKPRPVCNDAYEFLKYHHKHPIYRVCALNPRLYERVALLR VARNLRSQLMKLRDVGMKCAVLRHLLYLHDAPVELERDSNRRPSRTTTNASATNHVAT FVASDGDAASFAADCYVPRTRRYLLENCELWSLSDLEDVRRGQLLFRQESAGRTMAFI KDTSLISQLAAYSKGTAALCGECDTTVYLKQVRSRILRHILVHSCATCLVNSVDVCSI CCPAEVAQKLECINEQTRGGTPFGTCEHFFGSLIKDVSVLPFLVYVFDTLHVFCCTGC GSAYHRRCFKEMQHTKGDLTCCPRCRATQLIKE XP_822582.1 MSTENEGESKENPSYLTVELEHVGHVSIDAIEHHYMELQKELAM EPHLRPLREEYEKIHRLLRKSHDGEKRLMAKIKELDHDLITHAANVESALKLARQDED VIEALRREIEKAWSLADSAHAREKETREQIQELRQQVVRLNGLVDKNTSSTLGQENFL RDLIKAKKEFENERLMAQAKAERLVDERLFAQRKMHKLREDSECVRHSLENTLKNYEG CLRNLSDTKRDRESLEQQVREYRVEADEHLKEIASVRQSVAELAKEEEKLKALALSER DSVGQLAKQLEEQQDRFKKEADKLAAVEAHNAEMKQEIPKMRMTLKGRHAEVERLALS LRKARKGAGVQQTEIDKQMQTRASLMEQTEKMHTAIEELLRTLDDHVKALHDEEVRLK GAMPIKTKLLTENSRVDSEKAMMEGQRMLEEGKRRNLTQQLEKLLRDNETMRKKIFEL EQNQAKILDNGQREALQYHRVLEQTRKQQGQAKLLQQQLEDNEKRLKAQQDLLDRVSA DRARTEKRLKESELECSGLKQRYNHNGEEIQLLKMQIIGKEGALCRIHMVRKQLQRDI ANAEERASHLKEDGTSATNRYETLKGEVKQLSHLIAECDAEKSKYQSKFAALVNERNV LATQLVRRNEELRLLHSKIRLQECSIEKGAEDYNKRVRAVMGKRSELEELRLRCRVAL ARMLHAEKLRRRKQKIERDLFTEKRRSRALADELQRPVNVHRWRRLEGNAPEILDGIY KVHTLERQILKKQDLLVEKTKQLAARNAEYETVRKKLAELQGPEVAGELSLYDENLQC RREQIRGLDTELQEVEQHVDVVAEEVKQLTVELCEVKRRYYNAKHKNDLLRREQGAFR AMWGGSSAVARTALAAIENASERRQLQQQGSISSRRQPLWRTRAQKRREQIKQEEQIV QVLSTGAPAPSFPLQVPPGQRIFLGGGFALTR XP_822583.1 MRGCAKGIGAIFAADASNHVRGKSVNADESCFGVFQNMHINPFP VRAAIDIGTGGMITMVVARVDAAANAVRNFMYQTQLPLHLESVAAGLGDSSSFVLSEA TRADIRNKMRVFHGALRRDNYDGLSERAAVMSWPLCEAADALALAEDLTREFKVDVRV LGKTFNVSMPFCGSVGLSANASQESMEVDVRRGRRCSNACTTAVTGGSESGSVQSFLH HTNRRRKERQAKLDSQREVRTVTDGDRVPNVPAAQQMEQLAFLAHAAVSKCVAPQRLL ILAEDCHKGICVLGADTAEPEEVTDDETAANTKGINNNSKDAVTHQGVGSPTGLLVHA LPVDLAMAHRLLVTTVQRRSQTTDEGANQRSPNPVLREEFRRLRCLLEEAMHHTLPQW VLDKSNTGGVICGSSFNGGVLNVAARIAQRSSVSLDHLETHAEMHYCGLTDVLMSVNY PNAATVIPCAALASALLRSLDAQRFEYLPEVHIPAALLLQPSLWTYTRRDQVRKALKQ KPFYAAGSLRRTFQRPHARENPTAAPTASWQKNKEWNALSYDNSTKGT XP_822584.1 MLLIVFVVGFLLLLTAFVALQLRRSQQFPTFSDATPSRVAARRS ETRRSQYNDGDYWSNESSDEGSDDDDNDDCEVGHKREERRKLRGGTSGSAGPLRHRRT RRGAGNAAEADGDGEEDKNGSQTKLSRLQRKKQEKERERQERQQAQEALLENRRVKQE EEQRQQKDREREEREREIAEEAALQELREEKKRQEDEEYAKWVEGIGVVERGEIGDEE RKKHDNLVKYLITMAGNGGERQQQRVIDAENFGEGEKVGDETITRGTESSSRGNILIL NDVARTHGLSVEVTVQVIEKLLEDGVISGVFDDRGKFVMLSEEHYKQIAEFVKLRGRV SMKELARECNRIIML XP_822585.1 MSSQDSESWGNSRQHYQYSDASEEHRPEATKLKSECFQNAGRTS PNRRRDSPAIQQESSDFATTSDSPVPCHYSQRGPASQNAQQKGKDRGDVFCSTVTSSD LFPTVELGPRMLPTNSTSMKGGRYAAGDRLPQTQETDKTDKPVRNRSERSEGTPLPNC GTALRDGSRHSHSPASSCRGNDSLVTNGTASQRETNEESCDVCGSCSTHYVSVSLGLS EWETLSPNQIKLRQCFDAPIDSIAVPSSETHRSLAHEQQRQLLETIMQKHSRKRIKRV TDYILGPICGEGTLSTVRDAINISSNNVDPFRFQRVAIKCYKQSDSKLSEGAGSSDCS QLSSLASATRRQQDELQRLREAEKRNLQCFYSTNIVRSIDVFSHEGKQYIVLPAAICN LEQLVQLAKCQNRCASCDSVRGKRNMIPSSKDGVDGRFELMPSLGKPAGKLPQNDVSL CTGAASLQKMSPYRKVTSLFAAEVVRSIMNQLLRGVAYLHRQGVAHNDLKPQNILLFA DGLVKIGDLASVSVNYTGHGTPMYLSPEICRYFYDAGNETNGRVAVVDALKNDMWGCG AILYYLLTGNTLWEDGANCQNVYQLYRIIAERNTPVSLSHINIPAETEDELISRLTES ENISFRATGGLRRPTAFPHTSLLHLAAGLLDVNPATRLTAEEAVRHPSLQAPPVDDVH DAFPTDMTQGDVMKVMLESPYYQQLIKWDRERHLQFAAECSHVLGLQIPEEICVSAQK RAADGCGMEADVTDPLLRLPSSIYRLVGTVNPSLFLPTDEFHHYLRKLKVPNYDVSSL RANPSAVKMMEDYLHTVMMECGYTGSVNTRERSGSKEDQNGIKLSTSQLTTRSREDTS HGLATFKDDDQPETLDRVLTDMPSDGRPNFCCIIM XP_822586.1 MCQTLTTDGLSGEVRPAFTEEEQNPNRCSDDSGDGVNSMETRMK GDPWRFAVAAIFCLLSISNAMQWIAFASIFDETRTYFNMTAVQVNYLATTYVIAYVVA VFLSCKLFEVTGLKAGILIAATANAIGASIKLVAVYAWPHMILLFLAQAFNSVTEILT IATPPLIANRWFPVEERVAANAVMTICLNVGCGLGALIPVFFVSPEKQEQRHFAALFW FQFGLCAGTFALTFIIPQLPRQSPSYAADRQQKMEAKRLRTLRERQNRQSGAGAPAET QPPLEVVEDDRLNMYDDDDVESVAEPINVFSTLGDTFRAMRTNSSFVFLSIASAAELG LIWSVATVLPQCLVPFGVTGSESGWISFLNLVLGSVIAPIVMHYFGQNWRHKQSLLVI SIILVVNVCALCLCYYFGPSGDDHRIYYVVVVFLLWGGVAGLCQNFMLPIMFDFVVEL TFPMRESTSAPVLTWAACLSNLVLTVVFGEVLGENPTRTDSAKVLLGTVIVCIIGCVA LVLVRPLKRREEFEHRMEELDRAALQLPQDASHERVR XP_822587.1 MPRLLLPCGVELINSSTSQPHNMLVNEEQRGLEERSVLNAEMDF FTDEYLSAHAGATRPHTTISGNTRETCNGEQGSCVSQERTTEGSIAAIDCDVSLQLIQ SGRGSGHSVVYTVRVPAAVSFSSPSTESELAANGSATSLRLQQIVNSEWRNRKEEMTN MGGAWEGRESTPTAATCCCDAFDCEEAAAGPNYPADRFRGRRLATPKATPYGTGADPS ATHFEETFRNTAFSISETGINPVSHLPPVCAVRLPPDFVFGLRLPPDTERRLVELYNG GSVTLREVKTPKIPKWLTAFFYTSGVRCQLSTPLPSTPETRLVGGLMNKLSAVSVLEG FCIAALHVVSHMGELRLHNGGQKLHVSETAGVDDAQRCLLDEGGIFAHTQEEQIRLWL HRYVPQEAYTNGRDGDVAQRDADSTSVYPSAVAVVYYIAIELARGLFPCILSGLEATI LTALQPLVSAQTLIPEVSGCRSSRLPRPASHNRAISLLTQSPMSLWWWWRQRDSHSDA VERECNGKNKALSSLPIRCPNAVATARGYGAVRFLKFFSFFCVEQITAETRHVEEARE FFEVAQFLASSSALFSELLLDREVMSVLERTTTEVGKLTRKEAEDCGHGRANAEPTQV TRILMRCFVVLICWMAFRPSGYSRSLARTYFAYILRPASCLCELSMKRGMISDAAKKD GVNSALVACVHDYFSKISSLLHAVRGFSRPQRRRRQQADFPSRNPWRPLGCTEEVSVL GNGDCGCNDDQTTITSRSHASASSFLPTHSSNGIATNPAHHLLTRGLSCATSEDELIF SSHSLRLLRHAIRHARGVLQSLRSDVLGTSECTAAFDVVTGSKRFRESSGTSTLEGAY DQCAPQCEMKCACAKTPQLHHFLGECEDPSLYVGGVLWSVSSEDEEDSAGIFTTSTTT NPTTPTTASDSGTGSAIEATSWHFVE XP_822588.1 MAQSINPADTLACTYASLMLSDAGLPITAESINSACKAAGLEVR DTLPILFARFLEKKPIESLLAAAAAVAPQEGALPAAPAAAAAGGAAPAAADDKKKEEE EDDDDMGFGLFD XP_822589.1 MAQSINPADTLACTYASLMLSDAGLPITAESINSACKAAGLEVR DTLPILFARFLEKKPIESLLAAAAAVAPQEGALPAAPAAAAAGGAAPAAADDKKKEEE EDDDDMGFGLFD XP_822590.1 MSGRGGSRAQSPCVTPSRCFLTNPFKISPAQTPKTTYLMRILGE SIRRSSNVVATVAATVVLLEDDGFSTNSEFLMKWWRMLERCLGELAGVVNSCEENIRE KDMRVLLALSHGFALAAQLSSLERRFLACLHRFAEVCEGAETVGAGEKLSGALSDITS LLKGEETILVAIRRQEANYIEKAIALQEEVFRVGEEGEPFFGKVEEPETRRTYLGSLW HALTAPFDDSEEVNNEQLVAADGGDWSQLISTFGSGGGASVCNWDDSMLLNSIVECAF ASAEQQHTLLSERNFAVALFLFSTKCNVLEELVEVCFQLRLGNGGLFGFEKLMYTDVS SQRERTVDWLSTILSPLREDVLHLELCDGNPKPPYFVAVVFLTLLWNTTYCMWKRGCI REAYEWLRVVDLGQLRRMAKAEDERGMKREISPESGVNSEAEDVGNVQEELKYTGSLS WDYIVHRAAGCPGPDRAKQVHRHSEVDFRHDRSGQLMWFVHHISCLRNACALALLCST PHDVMYIISKFHHSRRWQWRQCVYLHESAKDAGANLSAEFGVVDSKFEVLSLTNFIVE NYLARRSVRMYHFRSPPSPRKATSSDTTIEGSVVHVCDELICSMSYGRFSGVKEMEEA MFSELFGACCEGEIGEETGGEATTEGDDGSQRRLNWLEVHVAKVAVAFARDFRGNIYF QNAWVGTERGARSEKHSGGGAEEASWSSVLPLVKGLHGSAAHPPMPTDAGGGNQPINA CSQGTTHHEFEKEPQGLLRHVSCMVPVRMTPPRVEHQAENERCTLWLPSRKGVGLLLL PEETDVNGEYDSFGEVALRLLVIACRYCDSMKLLPLHSRFSHHAVPEAGRVDAENNDH LSGWDSCHALLPSVVHELNVGLSLITDELRSSAGGDVLSVWHQQRDSSISRFRLNHLV SVTLHGLCSDALTSIELQMREESSVISARCTISQAVGAVQESDQGELTVIDSCSTPRD LLLDSSTRKSNELFMPSPTSPFHLGDKRQSSSAFAPDLSFDFSAFNSETLYATKQLVR ELTEREEKWRRATEDDSLPPNTEECGISVLPWGARKLLHDRLPLMWQFAPWRLIYGTR FHGYSYSNMINACQREVNNAKRDGKQPKMILLLELDMSAVCLEDVVTEEGNEKKGGFV IGVCMSHPLSLDNRRFYGGSTTFVFQIRIPPSPQEPAIRTFHATGRNEKFINCTPQRI AIGGGGGCSLFLSNSISSGSTAACVTFDSPPLTHWRDPSLPSLSAGPSTSDSVSSFDI RTVEVIVVGE XP_822591.1 MEASVTTNEITAEPAANQMQLSNEYASSRFSLAVAEKVGNRSQE GSESRDVVDHWGQSVLQLSIVGLGGSRGSFGNFSIASELLSLGERNEEEQSVMQQRAP LTNPVGEVVHATSGARGFAFSPVQRIPSGVSQRNEASIGYNDDISRSSSCSSGSEVMR QEMRRGGVQRTEVKLHLSHSNADVDAEEEDISTIRATPTGGAVDTLNELYGIDRYFTF HYNPERVVTPIDCGDQCSGGGSGVSTVATAAGLESQRESDDNRVAMTHEEDEIVGVTA EGEFIYRRDIVEGPDRQRWQSFYQPINRRSSELGVNECVPSLIPSMTINSAPTRVESG PATTTEPYPQDQYNNRFHPLQSFERVATQLPHLSREAPHEGFTERESEWTEEERESYR LLMRYRLCENEEEFEYEKLLNSVSTLRETLHRKPIEMLQRRLEERQQFARSGLGMPLA LRW XP_822592.1 MQHFVGGTVIHVLFFNFLRKCCACTVKVRKRIQCRICRKLIAVV WRRRKEKKMMIMMMGDTLTHIFIYLYIYIQAHGGGEKTKERKMVVWIRKVAQHIELSV NVFAT XP_822593.1 MNLNCEGRDVGRNLLMEGKGKKKKIAHLFIYIYLYICATNRWGE MKISLILFVVFVHLHSFFFLIYGLINSFVSVTCFFFSVVVEEPALFWCIQLDMTILPF YAINFFIIVIVLVPFENVARTLLSLQIIARLNTFFFFFFLLSFFLKKNIYIYL XP_822594.1 MVMACGAWYVMRFNLFMQLLAVCLITCGTTTADTTAFCHELEPF LVQTLPQASAHLLETAIAKEAAGDNVNVTCALTPGKSVVVLLGSSNVHRFSPLRNPPP VIKLDTADGEFTHEVRGTFMFRYFRSWVNGFRAYSWTDPASVERFFFKRHIFLRFRVS DSTGNPNADIHLSASEVLTMAGLHSIRVTSFAPVAVEIRLRGGKEPKECSDATCSKYV DAFAEHSDKSAGLTRLEVLPQRFTCSPGYGANGWGSGVSGGPMDGEVYAFEEIGPYRG SNLWIPQWLSAWLGRRPMMTVSEKVVLDGMGRRKFLFHAEIPAVKPVCLRLSAVKNDN LIVELEESTVFDTFWLKIMLLFVLLVFIKPWVEEIPALQIFIAGLGSVTLLFVVTILY VLKRLQGMTIGKVGLVAMATLGGTALLAETFLSAVVALYYAFVHYDNEAEMIFYGSAM LLVFGLTCGLLSRHYCATYLTELTRWTLRLLQLAVLACAIMQNREATFASFVAALLFH PPRILRLIQWFSSSSSLVNNENEPQETFPSEALREVTYVTPLCMEGRTGRVSTMDSRE RLDLYRISGNEYTHRALEDLACRVNSNPDRYASKVNDAGGVLNWAKGYQYS XP_822595.1 MLSPIVDMKSVGTDDGFDIYLPGSDAFYFKRQLHYQPYTPCAFP VEWLGHQGKMHKTSVHMRISPSNLEEACASGSLGYVIMFWAQGQNIKGCESVVGGGDT LLMTAAYKGHIHVVRFLVDAGADINATNSAGFSALHMAASAINYHIVRFLSTTVLIQQ RRTTKGIVRIVLLCRTQVFLFCSCWQNIVPLISMREMKWATHCSIGQHTTILLPYVST LLRCARWI XP_822596.1 MAAGDGLLGNQSLVNGGYVTAHNMRCRGTFSMLYHEPFFAAMSV LGAVHVLLAHYSLMLLPPLFSYAPFGMFFFRNTLWMAVFGYPVQGGKPELTILQQSGI GRSFSDTIRGTWRFRDRDAASLFALTTFMLLQLRAWALMGFEPFLPFLSTSNSVVKLN ASLNTTDKDLHDGGSRAVNFMQPVMCFLTLFLLVSVVLCKLLSMRSVYKPEFGSLVTS PVWNIIKHRAYNWLHPRIIFMERHLVLPLRAFYCYEKDVVMERYDGYSAVMDCPVAAS NHAWFFIAVFCFMVLQWLIFISGWRQARVMLKCPRSDSLGEYISSSAWNLLVHALPCR DPTTIDVTGKSYFWVFMFRYIIPTTTNRLGVWLLHYSLIAAIISTYCFYRQLVAAAIG ATRMELANRTATSGNGGLVSIFPPSFVPFKDTNSAAATWTPPEGHCEGMLTIVDDLAA GNVAHRKVGSHCIYADSRFSILNIIYFLLGISGRRWRGAVAVSSFNSPISSVSLLEGP LA XP_822597.1 MIKGSDPLHHGLSEGAGGNSRGDENDTVVNSNVEVMCLDMSRSS LLANSTKPPSSSCSDTPSSCSDSNMSGIDFYCRQVPIFSQGVGVDYFVNGMGGYEGHL HQQPQGGELGFDQRNKQGGKSSSSPSNFHHNVNEECSATVATRLAGGLSDDCSGNNTR RRNFNHSMCNSPELRSCGDEYAAEARRNVPGSSRGVMAAVSQTQSLGKRKIAEGNVQA NLPLLRVSDCGTKTVHIIPLGNTNVTSQFKCSCCDHVFELSFVTNHADSSAKEKDQER GRNTEVGLTCTYGNGLDSNTGEATAVDRSAEFLFRQQPDRESTSLSLLGMKFDKGFRV SAGSVRGNYGSHPVQNETNASQGAVRHSLEDRRRRYQSGRFSQGTPKEIKANDSLFPS LPTFSPTSFVRAYVGEGNLPWEATLDNVPAVTVSCCVAALNLIAVQFLRHHVIDTRDH FCLFATGTYMIFASYFVAYYFLGHYTESFRRISRDKQFYIIANLIKAGILASLVPFAT LHLSRIILFDEWDTNTLRNLGCIYTIPDFVSMLIVKRMSWSTWTHHLCVLLFNFFSTM NDYTQENVCRCVVVYAAFSTFAYCVNVLLASRFLGVKPGVARILSHVSVVAYLFFCGL NWVWQTYYIHRLLYGGNGHWTVYVYIALVGLVMYDDVTLCQWLIHNARNTAFAAAHHK EQRRRQ XP_822598.1 MRMIDSEDVAFFFAVMTWNPELLEIMLEKAPNPLYVERAAATEA ARFAAAYPLPEETSKPVVSRCRPSARRQRRARKTKRGCGVRLSIPCAFFCEYEAPDFD EEDDFYCEDDEHAMQEKKGEGDPDSSVAEVEHDHEPDPNSYSPVEGWGRNAGNCIGRV VSDSVDSGDKPLGYSMRDFLQIFFQSFLIVSLSQVQSQRVQNEFPLSLSPLERKACEI VHRYKDPFKEILRKYVISGDCEVERHGAQPLFVDGFCIKAVQHEEGEKWAPPHEQLFI AGKDPVYQPLLMEMLGITRVVQCYAEKGANYPATLHACATAVGQAASGNRDRTVHTMQ SWHRLLTQHPKLSKSSDGGTDIATANEDFDLPCPSEEEWEKLQGDISRCVTPLQWKTY TVFGPYLVFSATLPFSNGCVTKLVVPAEDKDTYDLSVHFQEGVFRYIHGTPLFPVDVG PALVPKERTRMEDQRSPLLAKSCLLHCSAGMHRSSALVIGYLLWLVALSGGKLPMEGK PTLAFVPGRYASYTHRQGSVFAESYLTVVLRSQQRGSFPTEEVSASPMHAGAAVVSVA PTQEPEREIQEEDVRAKAQLSDEKSILHYCADHVRQQRSMAVPIATALQQLHRYARHL HLA XP_822599.1 MGRDSRGDRYGRRDDVVDPHGGSRGGGEASSSRYSRYARTKRSR SPRGGRRNSSEERKHRRRRSESVSRQRRRREVSGDSGKKGHRRSRSRSRSRSRSKPSS RRKEGGELSRFKTVDVNELLQSPTPDPSAVPSNSLQTQILFQLLQQQQQHAGLLSDPL PAAVAIPPVETTPMISVGTVAGAVQSAYPLQTDGEVPQETLIDQPAPAKVLPSVADFV PVIPKSLVGLLTTPATGSNVESGGADVSAASGGGANGGTCGVLTTATGALAVLQAPKL SPEAERRAREARRAHISCFPRGTTQQELLDYFRTIIPIVRRIRVQREMDRLTEEARAK LGNDAEAENIERREIPPNAVIDVDRVIDLAVNSAKSKPFGFLEVSLADLVTELVEESV RDPDRFTFVAADGKPYPITIRRPRDYQELPGVDHRKVVMLGFPPTLPLEKLRLVFEQF GQLLAFDVKEGMAYGEFEKEEDAVECVRDLQGEVLGNRVVVLMPLYDWLKVVCTHADI DVSLDPDDPVAGTSLLAKTNQNDGAIVPLLAEDVEKKEEPVDHMKELLELTVHLPDVI LHFSKTFPHLRSLYGSTVPIFPTRILVLMNLFDEEELVLDETYERLVEEVSEEVEKHG RVKSLIIPRRTPPPLPPKLPKNVLASRTGATKNSEMGQPKHSQNDDSDGSRKDYEFAA DPTAPPMPSNISVGREDVQSFRGDNDGIKHDQGDGSNLNTNNDSINDDEDEEAKYERE KAEYLKAREAYTENILHPIHGGLGRVFVEYESVDEAAIAQREIAGRLFGGRTVVTSFM FEDVLYPPTTEEEEARAEEKVQLYLESIGAGDGDEGENVGNGEAQPHGGTEDGTQTGA FEEGQNLPLKTEGDDGIAGIDG XP_822600.1 MLFTCSSRRLVGRTLTLYLLRRNCSALTASVSRTVPSDESVATS GGRIVSAAPAPNLARKETQRKQVRRSKPSTAATAKGESTGEEGTESVEHILSLVSASQ VRACIESHEPEVSSSFAASPQTPVTQALQLHRRLASEKQQPHIGIGETTVSSSASIAS ERVERRRKKWTVAESEFDAGITERLLPEIRRLAALHELHYGDAVMREVDSSTYFASLG GATASVADMRADDDINDADLTDDEFLKFEAEQVEVAGGPVLYNTSSSGIIEKCQKKEE ITNAANDEEKVCAHSLQTPWFVPAGGVVRLEPLPPEDVLCLLKFLMHLRQQTLDQLPV PLQAKVSATERVLRSVHQEEKSKEIPIVPRAVADGGTTCISFEDVMVYLDKAPSPILG FTENLPPGESPAFVFVLYTENVSLQNAIGHMSALFEIPQRAFLTCTAVSKMSCGAVLC AVTPNQVRREHLLLLNTMRHPGFVIRVGSIQEVKDAERIASLFGDLARWQPLHEVEVL LRRVSCRSRQELEHRLRAIKEVGAVFFCSNREASLVRAATDLLHGFYRSALLSALHRR HAPLELRQFIKRPNVITAARARRASTDPTIRQALKNYELTQGNWEQTVARIPYVWRRR WLNALRSSVWNVMASRRLSLDGASRRVIPGDIVFRPEYRADVHHRMIPTVKAEHVMVV RDEEEAALCSVEDICIPFLRGTYPPELFAPEETKHPIMTNTSMLAILRELHAPQLLLG MNDACRQLMDIRCDCAPLLFRRLIIRPIAVSFTVLEDKPPVKSVHFDAARLLLNDRLM HQNALRRNPLQKSDPSKRCGVGADGEASLPSCSSLANQDVVLDQTTIGARLMSGVLAE EFFTTPDRSDYVTLGHVTRKLHGNFVAAAPQTPEDALGTVDRLYSVHIHAVLRYGVGA MSQLLREYFILGGVEAESDSALQHKVHRMRRELDNETQYLTAPTFCTACYSRCHDALN SCAEYQHKHGKHLGRKRREETLQQLQFMGKTLPSVADTSPLSSREAATVPGGGEISAV SGVNGSAVETQQTTKGVTNASHTQLELELLLRRRNGEQKWGVHLTSSLCLANIDDVSV VAGGRLRCVCGDVTSAISPKQLCRVLLQGSVEDISSTSNEINKHHMLALRRFVAVSTG EKVDTIYESSNKTWDNGCEAASILDENSDGVKLTKVAEVFSVSSPRHPQTLTTESRGE IDTVVGDSRTLLHNCRWALKGINDAEVADRKQVAAVFVTIGKQREVRLRFRTTIDLPL SHINEHGNDSNNAGGTERCELPAVAELTLSIAEGNGSCGKSGWGVMIDKSSLALLNLS ELLQQRQVGVSGRLNPPIPSYINPSAADGMYVLHALDGIPVASQQEVSLHMGKRRQAL KGVSNGKCSSLRLQLRRLQGHPQKGVATVETAKLPGATMKTIVAAGVEADGKRDKVTT VVNSTTTVEDVSDAAESESGLTPLLPCSPQCVPLTSVQLRRSVFTIAINRSAGAPRGR WGIRVQRGTRRLQYIQHNQYFTFQVYTAKQNQAVRIADNLRLHTHQLKSSGTSEPDDG TVASETEEKERTTAASFSYFIYMIAGVNYRHVGTNEELRASLTEAGRSHEESVILHVQ QYRLAYIALSVKRKEVAGGGALEPVGLCISKDMVIKSVVAGSAVARAIIAATHEDCSL RGLIVEDPSSSGGNFSSPNQTWRFDLDEDEEGQHIQTNSPVGDAGARNVPGNSNSDAV LGRRVAHWNMVDGVALCATHDKVESSAGGESRNRFVWRILYAARAGPLRTPQDVARTF ASNIQEDTIFVQQCVMDD XP_822601.1 MQERQEEYASLSEREQLRQDVIAKTIRRNESRARVREVAKAMEA SERELMRVVDQLSALMSIGHFVGEILHKVDEERFIVQSVSGARHLVGYKKSIKPEKLK IGTRVALEITTLTIVKVLPREVDPQVYNMQVMENEKNISFQEIGGLQEQMRQMREVVE LPLTNPELFVRVGISPPKGVLLYGPPGTGKTLLAKAIASNVDAAFLKIVASSIVDKYI GESARVLREMFAFARDHEPCIIFIDEVDAIGGKRIEGSSSDREVQRTLMELLHQMDGF EKLGKVKVIMATNRPDTLDPALMRPGRLDRKIEIGLPNEAGRLDVLKIHASKITKQGD IDYDSIVKLSEGFNGADLRNVCTEAGMFALRAGRDYVINEDFNKATRKVADSKKLESA PHQYSEQ XP_822602.1 MDPLDDWDVVGADDFTPRVSSGDKNEDPSTKKDADDSLLNHEEN AVSSKDSVNVYNTPSFVKSKCRIPSEPQVVRNDSTPSNNYNIVASPGNEGEPSVQRPP AHREITGASVLQARGILHESFDNSNAQEVVHRCQYVKHAVEPQQNSVSAVSNSRLYNL IGKLLCAGQLLGLATPISDGEEQNCWALSVKLWSHTSCIIKQMGSAGQLMCFMAFLYF VSAAPVGRNKTEHCSPSINCCSLFKDGVRYFCYLLFLVGPLLRIAEFAVQKRSFGKAL HGCEVGGRAHKIVCKAVTWFGKCILTTALIVPQEPKGGAAVSYVTAKETVGSCKTKVS DTTECFARVNSASEKSVLGVNRLLFVVVCISLVSIMRDAEERCTPSSR XP_822603.1 MKLLKFVNCDAPNVSPEALHFSMSRQRVSLHSGDTSDRRSGSRS SATEGNASIGKTWRIAEGGTPITGGYWLLGDNNEPIGPVQEVILAVPEVKEADVTPRG INESVVKNVEFFSKFGRSMQRTRETFGDTYLSAPKSVIPLSDAERTFAKRAERARMPL KRRAEAVDAEGGPGGEVVAAAATATAAAANPTACVEPSPRPVKKEKKSKKITTPTLTP VRSIKKET XP_822604.1 MYAAGRFTVPFPFEPYPLQLHAMEAIREGLSAGDVVVLESPTGT GKTQILLNGVLSHMFEPVVTSVEHGIAESHEVTGESRPTGEVCADSGDGCPSFEEHRK HQRKRRKREREKRRKETFNFGGTSSSRDPFLVDQDVREEAQELSRCGALADCLSSSSS SRSSSVSHLGGEGDKSDEDVEVQPLRKPKVYFSSRTHTQLQQVTDELRRTVFCQNLVR RRSCNSVEKDGLASKVFEDAHKSPFVEPRKLRYVHVAGRQQLCLNASLKAAAGGSNER LNELCLEAMAYEYSKEGKTARKQKLQRGCADSSLPDIEDSLGSSTVSRRGCGYCQKEK LKILRDYVNIEPRDLSQMRELGQRVGACPFLVTREVLRGADVVFIPYSYLVSSEMRNA LLAGNATNEHPTDLTPQTQVVSQGLSSTCRAGSTSTHSSYPTVPSKQRWEIQNGRRVP ILPPDFSGDVIVVDEAHNLVDYCRNVTTAEVTLPELQVIRRLLDGYRLRYEKRLLTRN KQRLREMVAFVDKLAQHLQEAGKKSTPTATASTFINFTFDAEVDTVNVHLFLSFLDES RLLPKLHGLLAQMVAQVEAQISQPSGKTTKWRPTSSYSDENICSDTTNEYADPIEALL HSPAEDRRSIAATLYRFETFLRWYGLSDEYTRVILRRLPSENGSREMCRVTLELLQLE LGTHTMFPVLQQAHAAVLAGGTMKPLALTCDLLLKQSAPTKELSVLKNISSLPCGTRE EEAGEQAKKIRFTEEGHVVPPSSIAVFTLATGPGGQRLEFQHARRQSWPKIFEGVGTA LLNFCRVIPAGMIVFFTSYEIEELFVNTIRSSGMYDTINAVKRIFREPGSARNASSTP GYSSGCQAAASTTVDSMLEEYASWIRSERSSGALLFAVIGGKLSEGINFNDDLGRAVV VVGLPYANISEVDLQLHLRHVADTRVRTLLPSSANIATGSITTDSTDQVTVVPDGEFP ASPSSAMEWGLLTDLCMRSVNQSIGRCIRHASDYAAVILLDARYVERRDIRRRIPSWM QPSIHVAQNFGDCFRRVRDFFIERRK XP_822605.1 MQQFFFLTLILLKKGGEDDYEVYVRSIRTDEIFGTRAGLKGVKI EMSPKKNSASRPSNNGGGGKTGGGNGNVSHRTDNEQSGNNLSERIIANESLLATESER HATSKSLYGDCTARISGNLSCIHDRVRLRAYESVLRSIKGKSVLHLGCGMGLVSMIAA RSLASAVVAVDRSAIVDAAQVVAKKNGLNNISFFRGALVDVVQNFPVRQFDVIICEWM GPFLINDPLLEEALYARNNLLASNGVMCPDSSSIHVVGVSDYCFHMDTVEFWGNVYGF KMEPMKALVQREVEMCRVPTSSIVTTTCLAHTVNIASINNLDDKSSLNDFVVPFSVRA TKDTTVNFLTFYIDARFTNPHDPGANFVLGVRPGGTNPWTETSVALHEPLPLKGGEVL SGELKVCLLNPTRGITTVEVTARTSGNVVNIETKGTYNYQRY XP_822606.1 MLRRSRLHLLADYRTSSKISDEFNNVLNRSGWGFDHVAVDVGSL SGAAGRLTRDLIGQERDAETVKIIHKQITNVILRRIQPKKSLAIFIDGSEPLWKVRHM RLYPGKKFEGKFYRSAASPMVYSLEDKLRCVGPDLRTPPKEFIVSGPAAPGPVEAKLS AWMLDLATRALPDGAVTTPTVTGAAAAASASAGPPEVTFNDTFCLIGGNDAFLSALGA TPFHNITTVTLQQGEMKSLSLSEALEWFAMDHLLKGETSIGSGGNELQKRLASVRTDI VLLYLMANGISATDLPGLGVNFKDLMEAYTAEEANKLYLFGKTSEERCLRMCPLNLER VLTHATRRTSTPTRFCQQSADYLEILLQTHSMICDGGIKNFRWTPNDNDAVPEKQPKI PIERFIGHLKHLAAQGGETTAVADVGSTEPGLAASVDWTFALTGIETLLLSAPKAEMI DQIIPVFTKGHTLPDGVAQDIVDTKNVVEALHKVRRVLQVVVTSDNSGNDDGRIEHPA FNHYPTHYFVRTPGSRGPPPGWTYKSVNLGVRAVALGVRHRVASGMLTAASRVLDSGG VSSKNSLFVFHVKDGITTPSGGGNEDGWLETPCHELAGSSANPASKEISTLRVVTWNV QFSRHSGERTPLGRDGIDWCTSTRYVALAQTLEGLDADVIGMQEVEPAWCKYLSQQPW VREKYAMTCCEHSHAIQPWGVMLLVRRSLCVTSTHHANVPAFSGHTSVMPEVTVVVSE GVPVTVGSMHLLAPYNQNNISNRTTQLDNLTKRLRTRPPINGKQAGLIVMGDFNDCAK NYFTFPPEMGFKDAWLLLHPDEGVSSKSGHTIDGDRNPYAAQIIEKEFYGRADRVLFS SRNLQPIQTEIIGTTSVREMGITKQVDIDKDVPEYLYPSDHFGLLVEFQVV XP_822607.1 MRLGDYDSTHQILRSYHFTLELRLYFPLLPLCLFRSRYFVVAQS GNCTRSRNKEKPLVPPCSLFFLSICAMSFRYTNNLIGALKHRLLLESSYREIASRKFI GNCRGVEVVCSGYGTVLAVQLTDKAVWEPFYRKGGRPTVSGGGDVSGDAETGTQGSAT TTGATGSLDLDKLAESIKTALWDATRKIRSAKEAALHRSLSHNTRMRASADLKHWYEE DANTLRPLAFEALKHEAATPWMQLVQHGKKEEAAALLKEFEQKGDAAEATPTRVKDDR VKGTRTELSNREQPPLASTLKAEDSNPATIPIGSVHPLFTPALVQIEEAGSGSVSNEA VCRAQLWELSRDEQLFWERVELIRKGQVASIGSSHKRGYADEAAFAKDDTEEKVQLRF TQ XP_822608.1 MFRRTSRCLVEVGDTCVPNNFVHATDLAFYFSRFQPRSHLHPHG IPELRDAVELLQPPEGKPCSRWSAPRLFSPAALAAPAAVAEWKKKREKAMMLLSRGEE IMENVSGVRSAAVADVVRPLYATKFELLNGLEHLPRSEFATRIDVHRFIVKHAGRLLY FNDSWDKSDVKHLDTTCVILAHFIRSFCALHQRPFHVKQANVSDSKADRNPSSWTMAV VGGESGDAMISLQTVMDRLDELLALSEKITERQMGEMPELRWNKPKLLLLKGTLTIPL TGNLTHAQELVNQAAKTVYEFHQRKKPIVDGLVARKQEHELGLFMLVQAEMAARVFDW TINTGEVDMEVVEMFEAAAKFYSFPCNTPLDADGIMSAELLDERRFEVDAYTSCMLSF GNFLLGAPRPAAKTRRDAPVFLPKQLFTISPITTVASSSDLIYADVQRRAPMTVEVAR KRAGEALERGLKLNRELYPDQKQNPKAGWTLLAMASLYADMRDYLYATGLFASAEKTV IENYGGVSLERLLVSKLRYEFLAGVGSEEEAKASAHEIVQLLKQMDNMPHG XP_822609.1 MSTNGGVECSNAYITEGVKLSPISSEKAENTQNNDGVTLLQPSW FPREELKEAVNGSTQANPLKGPSPTDQPPPPQVLSPAQPQSRNAPASLSESVRRLHER FRNTSWLEMICIFVIITVVIVGHFIEIISIKYWHSKFPNGKTPGTLATLVLPSILLAA FMVTVMLLFIFFTTPSLRFAFCCQSLVMLFRIGCIDAIQSGVGVYSVIKTPNALAALV KPAAPLFATLFTKMFLKDKRSYGSPWLLISLAFVIAGILVASIFDLKHGFNKIGKNSW WASIYLVAVALSSLVNAMQAVYMLKFTYDPKFDELYKIRARGGTAVPSMGSQSTLLSA QNVLALGVGNGNHQLAVEMGNIPAAQEPEDVARTALKRLRQGRGTSVKIVMLTIMLLF RFAGTLAFLPLDGVKPWGESNSIGDAWNNLIAGGRCVATCDNNLPPFVMYTSGVLLSY VGSAYLNQYSVTMCSIIKQIAWPLAALILVFVPRWSIDPVVTPWYFSLVSIFILLLAV LLYMFWECSTGDEKDKNERQLKERMMYHLAK XP_822610.1 MAVGAGVRQRAAAAARHWRRVSVKTLRSRLSTPHVCDIKLPLIS NEAPVGSGPALNIRLGTNNEEIMRWCQLEYFGFLKPADAATDSHTSNTSDVCIHSGPP GQLGYPYALTAEVDNFTDAVRRDEESAEWQNISGAESAHPSRWLTQLLLDGFISRRVA AHVGLSADHLMDTVRMARQLKVPLAPSEVSPHYFSNDLLSTWGVFGELKSGDTDFVGD YVHRVLQLAHASSVISACHSVWLKGTAICNGNGGAVIILGPRASGKTTLALHCLATST PKIRLIGLEHFHIAPESVIQGASISSGGARALLMSIPSPASVGIGALIGSLKPNPSLV EAAHTFTCSAATINSLMRNSEETIWFMGRRHVVNINEAFGPHRWCPTWFGTVKGIVLL NWDVHELSRPTSSAGTQIIHWTEKEDCFKALNAFATNAGAALFKGHYLIRSMYNELNA HRQLEEMLFSGGEVDGKVGVPPIFEVRGAVHFDAVVKLICDRLLNETN XP_822611.1 MMFHTFTALFITATCVSCSRASAAAAAVTDAEPAATSGSTSRCT LLQQLLGVGRSVNFTKRYVKELVDQSVRAEQECTYYLWTARGNITFSSKVLYDGRRSR GSSNVYVKLAERAFEEAKLDHEILRETVYSVERNLLVIRSQSAEMNRNGYEAVKESKK AVAKLVRSANRYMGRYHGGDDDISETCKLAPASGVTFASLEHAMRNITDEMVDSDALE GARKVFRSLVEVEEARKKAMRVAQEIKEGKQLAQGAEARVAGHANAVFSVMRTSKQSM TINHSRGGTNASQFLWNWIKFLLLSLISAAAP XP_822612.1 MVSIPFPLQRAGIVSCAEAEGNGDNHCRANPVVIEPHNEDGPNV TSGAEALVAHSVVHNPLVRTVVERIFEDVEENQRPALRHCFRLIPCELTCCPILPEMR LGLEKLRIEHFPASSASDQQLHKVGFSFSVKNNTNVDSKKAYLQAALQTTFPANRFVV IPSGRVKSCGGGVEAMFCVVVVHSTCVMGVQRRFSDRGEFNIHALGVKHLELSTSV XP_822613.1 MEGFRYFTQRVPQSLWNPVAMKHAFIIALVVAPLWAFLLKPLLE KYHQQSEPLHLFLWALLRGVVMQFPILTSVKLRAQAEPKPSRGRERPSGHTPELGSAK RYATGGKPITAKALDDLFRSPDFEVWYGQHRQSLLEKVRIRCSQQLWASIATLAVLVF GAFALPLFSFSNEEKTLYAVLRPFLTVPTFKVSIFTSGKDKKEIMYSVIAQLTALGHA VVVLVAAFALFTTSFMPVGLKQTAAAALVAFLALVAEATRVEQMAVGAGFVLLFAPVA WRIASVFV XP_822614.1 MLSTKQLLLRATSALVAGSSGVARDSPSLVGDPCDSVSPMRVVW GRFFKSLAPPAPSVVSCQKRFTSHGADGISSASIVVTDPEAAAKKRDRMARELLSSNS GLCQEDEPTIINLKGLEHTIPYRLAVVLCNSRSTGEFEAKAAEILRKAFHMVDYSLNC FNPESELSRVNSLPVGEKHQMSEDLRHVMECTISVHHSSGMGFDPAAGPIISRLRGAM RDHNDMSDISVTEAEVELFSLAQSFDVDLEEGTIARKHSEARLDLGGVNKGYTVDYVV DHLRAAGMPNVLFEWGGDIRASGRNIKGNLWAVAIKRPPSVEEVIRRAKGKMLKMGEE EQEEKDDDSPSLLHVVELDDEALCTSGDYENVLYHPKHGVAGSIFDWQRRGLLSPEEG ALAQVSVKCYSAMYADALATVCLVKRDAVRIRYLLEGWRYVRSRVTNYFAYTRQGERL AHMHEIAQETRELREIRIAGSLPSRIVIVGGGLAGLSAAIEAASCGAQVILMEKEGRI GGNSAKATSGINGWGTRTQAKSDILDGGKYFERDTFLSGVGGTTDPALVKVLSVKSGD AIGWLTSLGVPLSVLSQLGGHSFKRTHRAPDKTDGTPLPIGHTIMRTLEDHIRNNLSE RVTIMTHVSVTELLHETDTTPDGASEVRVTGVRYRDLSDVDGQPSKLLADAVVLATGG FSNDREENSLLCKYAPHLASFPTTNGPWATGDGVKLATSVGAKLVDMDKVQLHPTGLI DPKDPANTTKILGPEALRGSGGILLNKQGKRFVNELDLRSVVSKAINTQGNEYPGSGG CYFAYCVLNEDATNLFGGGALGFYGKKLGLFQRAETVEELAKLIGCDEGELRDTLEKY ETCSKAKVACPVTGKVVFPCVVGTRGPYNVAFVTPSIHYTMGGCLISPAAEVLQEYKG LNILENHRPIRCLFGAGEVTGGVHGGNRLGGNSLLECVVFGKIAGDRAATILQKREIA LSKTSWTSVVVRESRSGEQFGTGSRVLRFNLPGALQRTGLNLGEFVAIRGEWDGQQLV GYFSPITLPEDLGTISLLVRADKGTLKEWICALRPGDSVEIKACGGLRIDQDPVKKCL LFRNRPITRFALVAAGTGVAPMLQVIRAALKKPYVDTLESIRLIYAAEEYDTLTYRSI LQRFAEEFPDKFVCNFVLNNPPEGWTGGVGFVNKKSLQKVLQPPSSEPLIVVCGPPVM QRDVKNELLSMGYDKELVHTVDGESGTL XP_822615.1 MSRPFKDLAPVPLDPVFGLARAAKAAPEPKADLVIGAYRDQNGL PYPLKVVRKAERRIVDMGLDKEYPPMTGLLNFVEEAVKLAYGNTVPLERIAASQGLSG TGSLSLGATLLRQVVPEDTPVYVSNPTWSNHVSIFGIVGHKNIREYRYYSPSTHELDF VGLIEDLNVAPQGSIIVLHACAHNPTGVDPSKDQWATIADVFVERKLIPFFDSAYQGF ASGSLDEDAYAIRHFAKRGMEMLLAQSFSKNMGLYAERVGVISAVVSDASRKEAVRSR LEVIARSYYSTPPVHGARIAHLVMSDKELRAEWEQELKEMVNRVRSMRQGVYEGLMKL GTPGTWEHIINQKGMFSYMGLSRPQCERLCEKRVFVLPVGRANLAALTPSTMDFLVKS IDDVVRHVRNK XP_822616.1 MNEDDILFAQPQVSSSRSGEGYLMDVTTTAITSEPSKSEASKWQ GSPLDSVSAESKAADVKGETSDPVQLWGDTQQSKNENGDWDDGDDFGDFVESAPCVSE ASARPSAAVGAHSEKVDEWGEAVEAATASNVAVQGIEKEEPKNEATSKNVGITGSAVA WGRCDTTSGGISHKDPLSITFNGTSSGNTFGAQTFTSNNTYGSANNNSPGVDDDEWMD FQENGPTTVQPSKPLSATSTSASFPMDCGLAGSLSLLSGGGNFEFEQDFDTALLAKQL FSFTGCTVDTSAGANRKMDYHTNTWALEGTSNQRESYSAGDIVTAMMEAFFFRRRKID KNVAGTFQGVGTLFVSHISEMAARKLRNSTQSLQNMNLGATMGGKMEERWDVIGDVDP VTAVRIAELHTIRFFSNTDSFLLQPMEAQTWQVAKGPIPICDVIAKVKALNAERQKQQ QYQSVTDVTADLWTVHPLLPTPHIGGSVSPAPSLQLPF XP_822617.1 MGGNKVSVGPRVSEALRMMEKQVKADRELVLDSWWKERKRRLRD AVAHLAEHAAQGRQSYQAAASRAGSQLNSPQPASNLHGNVQFPRGSDAPTSGDDGAEA VSGRRSSFPTVATGTTVTTSSSSLTSPSLRSCGKRRLSGVETTSCRCNWVPPRLVYSC VNTTSQLGGSFVDVETMKMNLAEEIRRLYEDFPQKHNSQPELVEKQQRKWRTLKTPTF HAAIQPQTKAVGEQKTTPTKAAVAVAKFVPTSRSCAPNVDQRFEDHMTTEQVIRELRR LYGVSDDESSFM XP_822618.1 MSRRFLNRSQVTSASAALLYSRRGVSKPSTDAAASPLPPLRCPG GPRVKAEGLKQLFKVPHAGYLAKYGQRFILNLKLTHQVAALLSRTTLRTPDKLLLELG PGAGALTRSLLTRPCVGVLGIEQDERFNGHLEQIRQYTNGKFQWTNGDVLRINELEIV ESLYAGFAQQHRRKPAADARERASSDGNKSDGGEACSSGTKDSGCCTGDFYCEDAPLR NVQREKILRKRFGKYAAFNHDTASGQNSGSAAPSSDVSGCAFSSESVAFEVSDRWWSD GDAKLEVIANLPFNIITELLMRYAVDCSRKQNLFVFGRVPLHVFTQQEVAECIIAPAG SIHFSRLSVLCQCFFHTQLLRTFREMTYYPKTAVLGALITLQPRAVPLLPGLDAATLI HFTDLLMRPGQRGMTVYKALQQHVPPEVAQYMLQELRTDGALTVLDLTTEEVCKLATL WHRFLEASSQQAHGSGT XP_822619.1 MSQYDHLFDTPAAYIAASSESRRNSVAVAASSITSNNFGPESHG HRTSQQVGYFPTDDECKQLANPVRELLSRCRCYEVLGTSTQVVLLDVDVELTTAFIAA QERGLAACVLWDREECAVCGVLSSTDYIEILLYCSDHPDEAERVPQYTIRYWREKVRN YKPSGTVNAGATEAETLANSFDRLCSLSPVPPLITCTSETSVSECLAQIMNNKAKRIV VLVEKESEDFNVKALLDLQQILSYLGALFLSVESQGSGPRGVSADTAMSSSITSREAS DYAEGTGGPSALEAVSGYRPFIDSVVASNSEFSRGGVTLPLHVRNLHDLVLNEGRENP VDFGSYASVGPYKAITDVPFRFVPQLGQHRKTPISVTLETPFLDALRLLLLHNIDCIA VVSENDVVVDAIGRSDIVRIEDNGVYNTQLTVRGALGDRPPKKIRVFYENDTLREIFI FFVRQRVRELFLVDPNTKKLRGQLNISEVVFFLVFGTTNTNNPSKSQCGCGT XP_822620.1 MPPKRAALIQNLRDSYTETSSFAVIEEWAAGTLQEIEGIAKAAV EAHATIRNSTYGRAQAEKSPEQLLGVLQRYQDLCHNVYCQAETIRTVIAIRIPEHKEE DNLGVAVQHAVLKVIDELEIKTLGSGEKSGSGGAPTPIGMYALREYLSARSTVEDKLL GSVDAESGKTKGGSQSPSLLLELRQIDADFMLKVELATTHLSTMVRAVINAYLLNWKK LIQPRTGSDHMVS XP_822621.1 MRGDVPLPVWQLKVINGCICNNDLILPTSPQPLLLEHFYNSWSE DSGSARVKKNRIPRRVGRGSVCNTKASSGLAGVQTDEWMKTIPHVVLGRHTLLPSDYR LKHGSISRLQCRVVHVENTVFHRKVLSKFPPMTWGVPSGTNSGRSTTGYKCGDNGTTK FEGPYFCLLNSGSNPLYVNDRQLPQGRSHCLCENDIISFLENPFDEEGGILQPLRPED NVNSGATGQSGLTYGVHVANGDESKYLSYNHTAPQRSNGSPGCSTASFPECGTTIPSF VEVGGKRIARYRESVAPRRLPQPLDSVSAGVCQNVDGGLSGLSPPKTNNALSSLEEGK DCKLEQDEPKYLSEVYTKTPTKGIDVRETPVVVCHDYDEDITPPKVFNCDGRFPYEMT GHSASAIVDDTISPVRPRVITRGNRNDNIPTEVEEPCVVLPPQLPVYVFSRRSPSPVG LTCRTQFVEPIKVSNGLQREGRRSVQSSVKLDAGAKKGKAISGKSSLTVPRPRKRNRW XP_822622.1 MRPYFPGANGVLRSHCISCFVSRRQQSAKKNGTSADEGKQTPPY CHTGENYCGSASVPRTEAVNARMMQTSAAYPRVHVTLHDPQKSKSEEADELSRCGGGE GVNGINDKAETVFGGNRVGDLSENEVRAFLFRKEREIAHHHQQRNSTIPYPPHPDDVV PQFRRIKRHQQMLVVALDPDYPVYDRRDNVPELPPAQSHPWVKKTPTGPFIVHGDGQL GVVGTGEVGFEDAFTSDNTTEVAAATMGEVMLPLPRDFRGLQHRSTLHQSLPSCNGKV LQETVIKNSFALTGRGVFTTRDVSAGETIMIVRNTARNLGVKSEIERLVEMCTDVLSD TYNNFCNGNPQKLDFLHDWVLTGQPSSLLLHWPRSATKQVLECIGGAEVLRALELHEI HIARLAAIMDMNSFLVESSYAVRKGMAYFPEAGFFNHSCSPNATYDVIPAHTFCETDY YVDELGDSANAAFCATNYGEYTKSSNNDIVDATSGRNTTSDTKSDRDASEDECMEDVH NADGNQIIPSGTVEYLFCCRATADIPAGSEILISYVPPEWSFDNRQYVLHDRYRFWCK CPKCAPTLDSKYARTPRLLVAMLIFSIFLQLLVMRQRDMEHATMRERETGEEEEMRHG ESGREKLKRRARGLFELLEESRQEEMYTPDRGPIPERVAQDPWARPAR XP_822623.1 MPRLHIWMLGATLAGCGQYVFCKHFYGDRYTEREEMESSIQAEK SYLLQLMQQYALLNRSIQDLPGSLCTTNRERLSVYCKIQQLREVIHNLPLKSMSAVDR KAMLLQLDGLEAAQCTPLNFRDEALTISEIVMCQFCYGFYMLCFCVIDPLLRRVAAER VRRQFLHRTSKMILHCLRIPVTMAFEPQAEYINDEKEGKLCCLVFSPQHWVEVVGFWA CPLNPLLLSTHLQLWQLSLREAVPFSEYWHEQWCRMQRELVDAAVVSDPVVEYSGGRI LQPTDSINTVKGSDSPVTSTYGYPRALRRGYEGSPVLDPEMKNLTGVHPSSAASAAGP RFVPVASCGLPRLLYINEAVARPDVRPRPTQKEIYTQLQHLQYPESLGCSSGVRKINA SGGNAKDCNLKAEKEGELLLQLRSWYSCGGIPWWHRATWGAAYGGVGRRGNCDWRGLS FRLGRPCSADQLLKEQMGLCLCLHTPTNVL XP_822624.1 MSSTGKFVDANHASMRIDRECLHDATFNESAEEQLEKLTPGGFL HYDYRTPMAKEKRLPDQFHVVQWNIERGIQWDRVKDTFRALKADILILQELDINCRRS GYRNVAKELAKALEMEVYFLCEFEELDSTLRSPKNAVGPLSQPTLHLDSRSGNSGAGG ISGQRRGQRHFHGNAILSSVATLSNVTAIPHYVGLDWEKHGVKLREPRCGFRYFMRCC IKSCERENVSMPDLYLYSCHFEIFCGVLGRARQLVDVLRDANALLKESEGMDQQPAFV LGGDLNTVVYGVVRLSKTVANDRMRFLSIGEKESCWLQRKILSRGMQWVDSAEPASQL SNIVSTLRRLYNRVINSDTMYRLLYGFSPEELEAMDNKSLCFYDPSDKVRSVTLDNPD FYGFVKGKLDWTLLSNVRVLPPELPEDIVHRLQESGSITSSSAESLRSGQPVPDGYVL FNEDFSASDHKGLLIHLMQNPGKSTETYPGDTTFRITPVSNVMFLSFLWITLWLVVAV FARSIINRNL XP_822625.1 MSSNRYTALPSRMSLIAFKTRLKGAQKGHSLLKKKADALAFRYR TVMDELRRAKLEVADQIKGSYFTITQAQFIAGDISLAVQESLKLPTYTLTLRVDNVAG VRVPAFTERNSRDESTAAGGNQQNNKSRSGVNSYGNYGSGGGPSKQQATSAAGIGRGG EQLREARDAFRETLKLFVKIASLQVSWMTLDVAQKVTSRRVNALEKVVIPRMENTLNY ISSELDEQEREEFFRLKMIQKKKKILQQNKDRKEAADNAAAAASNLLSMSPGKDDNDV TEADLVV XP_822626.1 MMNTATFSRFGSQHSAVARNSGIFLLRSRTPLPTNIRLNALFFQ QRFIGPRQYAPKGFREAAGRAPMTFEFGEECNGLAKVAHQTKNYGDAISLYDRALTMR REKYGPIHSKCAATLHNIGRVFLDMREPAAAENALTEAAAIYEEVEGAKSLKYAESLS LLALAYTHLNFIPEAEKAFRESIKIFRDVCYNHAAGSWLPDNAEAEAAALTEPQNHPL ASAAHALADCAQLFLLQNQEHRAIVFLEEALEIRRFLYTRHNKFRPIIAQTLNKLCEL KKTINDSTGAEMCITECLDICIASLGRDSPATAQATSSKASLLAARRQFREAKRFYEE SCRAYAASLGEDSALYGQELVKLGRIEELCDDYSTSQKAYEKGIDIIKKALGPSALQL AEAYTFLGSLLVKRRDLDRAIDLFRNAVELRKANKSPSGLSSNGKDPQLAYLYQKIGD VYAMRRESHAEAYFLLSIEQFRENAKVEPLQRTFLTDVLDDLGLLYLDFHHYEKAEAC LKEALDIRIEMLGETHATVAYSYSNFALLYLHREDTENCEKMCKCALDMYAKTARSNV LAQADVYTTYGHCCHLQKRYADALTWHEKALNVRRTRGESSEMATAESLNHIARVYVS LKKYAAATRHVAEAKKIAFKYDADLTQYLRQEVAKTEQQIPPMESWNAEEGTFSNTEA ISTSGLVIEVGDNKGDGR XP_822627.1 MISRKDTGNSNCPRSGRTIIRSAVMSLPATPAPLLDGNGHTSTV GPTSIKTIATRRRVMYNSPSYAAGDNSGRVKTLYSAHELSAVSLSNGNWKVASGEPPS GWPPLQPDWSIATHSIPSQRTARNKNVERTVSLDPQGQLLKEGGSGTCTDGDVCPPSA RLVRQRHAETREKMPAGSTCSIIAQLPLREQNPRSGEERQPQKLVGDGAVGVDGVVQQ STTSEKRKRKKVKCGRKRKSDSEPLATDRSYVTVLEGSQASLFKNSMCACTPSISNGS ARNSNFNDEGCAMNSFSSSVQRSASGPVVTEKWARSIIQPQDEYLLYDRRTPGAIKQE DLVRRGQVILLRERIRCGWPNCCSAHRNQLSREKLADVRTQRHSCESTNAVPSDCSTL DVGDRDDTASSRIVQMNERRIIERGTPLLFRRPYRRLFSDDEKVSKRSDICIPANSSS APSLGIGSDIPKGHGRSDTSIMVSSIYNLNFSNVSRPFGSTSSTVVTDIAASSNDKNK DCNMPSASSGAGSPGKEKIIFSGSCASQPNAQNLSKAKCQGTTSTHSIAQLLDDAVTQ NLDNLVGDPFFLFCGDENHERLLLMQEEHEARVSLKRRCSKPPGCSSSSSSTGEKGIE GSAFQSSPRKESTFVGLFPKQLDMGSRSATENEIRAEGAVAAVGNQNGVSSLSNASSG SLTQSWLIGDDEDEKKQGNLSPRSVSISTGNVDERTSEKLQVKGSTIRSTGTADRARG SEKSVHLTSALARRLNNNADDAACFAEKTRLVVRQKSDSGREGKKREEQTNLVTPLDF SVLHDSCRRSPSQAPETNVYAAPQRREKRPPPPAKGHSKSDFTKIQSVPLSSQHAMAL SRASSTSPPQVAGEPLRESLNLSDTEIKNGSVYLNNEIEEFLHFVHRQEQEKKRQKET RSANGKRSPLKAINSVVGTRQSDAKRNGSNGKGKLPLPRSDSSEVGEEQVEADDNSPM EEEAHYAQSVVSVLSSFGQMWNTSFHDVREHRKLPLHVRAQLLLFDVVAS XP_822628.1 MPPKKRGHNARGREKIFRNAVQHVHVGIGYNVLGAINMQPAGGF TDDWAPHPESEDCAFLTELNEQMLSHSTTDFKSFRWEVLDLCSSRALFQLNHRKIAQK LLLAMMNRDKFAEGFEAFARLTVAFARDMREKFFLYFECFHQAINGGIYDANGQLLAE TRRLQLIFSAQAAWCREMRPFWLLQEQRKLVELVIKLYVRQMHDSKEYIRRLAAEVLA STCRMTRELLPLVLEVMCVDVVHDFKDYCGDDARKVRGADDDVDGMDNEEEYEESHGT IGTHHEEGNRVREVMGSKTNALTLDRLLRYQKERLSFLPVVDSLCFFAADVLRGIRGS LNTNFENFYVVLIYVFGLSKRTNFSSAYDSGYGVNEQEFFGFTVEEAEGFAHYTHWKE LFLESREEYEKEGVEFVQQHGDTQTGVLGELVQMIGATSIGSALRTLIEETANSSATA ASMGDVDDDFEEDRDDSGSVHSPPLQMLTTVVATLDFTAPFLHLLQQLLHAKDCLLLW KGASLPAIRQMAKQLQFFLANRRETLAHNDVAICGHPTAGWCHLVRSCGAALELFTPL CVDTGMVPRSHMAKLHDLCLPLCRDIISTCVSVSSFKGDGSIGPQGVTLDLELQTSTR LLLFAFVQDVLQKNYAYSLRQERIIHERDDEESANQMGTVGRSRSGSDRVKSRLTSFA YALLAPVLHASCKMANASLSKLNSGDTLEDRDEASHSSGPDEESIAFRDTTHAVMMIG MMCDRTRNTSVSPLHLLTTTTLTTAFGEGSKKRNNNNNNNNLSLEERVTLMKDIQTLL LGTAALFTSLQSAAEAFTARTKAAKWADVLIAVLPPALVVAEAERTTLRQTRGNEPAE ANALFAWQFRDALLQVISAVKLAVHGAPSATSARVTASSFALFAQASALLMQLAPLCG APESDKDSMEFIRDAVVILATSFEEHRVVILGDSEVGRLLHGMECLLHAMFRLFGVEE SLVADGEGSEATVAVHKKEKAKGHVVSQQISQQRQTFLRATARLHETLDDKSQRALVH TLLFEALVSPIQSLRVVALRLLRLFCHPEVEDTKRVSGCSSVDPTFFDSLLRAELFNP LSSAGNLDGIQQALAKLSFDAASGALREPLRRVILARAMMGLLHTKYSVAWPIALKIL SDLVKSEDTVARRHGTGLHQLRDDGSPFGDNEEVEDCKSLLDPLVWETVISRYARSIV LGDIVRVASSASNECDTDDCYNNNSTSNYKGTESSIHSSSSPLFYRIQLTDMQRHEKG ELVSKDFSTAQYKSSTVTWLLLVNNDGEILMWERHYLPAVSPTYTHGRAAARHATDRA VLAKTFLSGLSDMARTGGGSAADTKDSLVAELAVELSAIRCGEHPSGIRHLKMLDERL TLALNAYTAAATVAYTEEESPLQPSGQSREDATLLTKRLHRICASLVSDSNTKLQRAA IDLLRRLKVAPFSRYYRQLVPFCDTQQNLFNFLSSFHVETDVPQEHRADYIATALTVA LPKLTSSVSRDKVKDQAVLQRRLLAFVTHLTDRGEFTAVLNGLVQRLIVEHVTPTKAA GSGVFCFGSWWEKWVREQTHCTRRLERLLRQLLNTTKLLSALLQAVGKGFSSFAGTCL LLGINAYLISCKQTLLAPLSATTFPGINGGACDDGATQEEIGWRVKSALSSSALKSLM SRMRRGAATMVASLFEQFPAEVMETLRADLGVGSGDTGAASVEHSLISRYVAALHMNG GGAVAELIGDSQRAAATPFMRLVRAWIASPHTLPLVGTFAGAVTEMIKQLFAANSTVT GTTNTSGSGLSVLSRNNQQQTAVLALHEGLRCVAELLNASDEQINVFGATEQSTLRVM FVEPHVSEIFTSLYTLIRRGASCGVREKNTRFPASRDKGGRPATTVMSFSVSMWKELI ATVSMLTEYVVVIEKQNAEEKESAGGKHANSEDTNTMLSRLLEMCIAFVAHPACMKDR ETCVAAVGVLEYLLPHVHRINVLLHYEPLVHLFNAVTNPEARLLLCRTLNVMLTQMGA VSSSSDPVSCFCNEENTDFLLDGVQRGSRGYAAQLAAVGRAVSCLNSFDDNNSSLDRY DFDLRFHTLRTLQQFFHNGGESAPVSIGKRKRAQQSQVREERDYSGDFDSTIPLDDLE APVLCVEGFLVLASNAVFFLRDPEGTISALAVQVVEAMIRYASRQNTRVAEYDTLILN QVIRRIILPSLRRGVVARDVHIRNSHMQVFGALAQHYKDSFRSFAALYDRNVELNFFV NIGHLQHRCRLNALALLRKKVDQLHPRDALRVFVPFLLATVKDFAQGKRDLQNLTEGR AKGYCDALLNTVATVAGMLPWEGYYRLLSLLLINAQENASLRLPMLQGVVLVLDHFHF LEEGVDDKIGTCSNNNNDIPEDGRQRKGAEESPQTAQGDDYDEDEDGENDDDAVAAAL EVTLRAKRIKYRNARIMHVMEDDILPQLYGFLSSGTRRSGIHASGSEVLVSAHTATSV RDEMRKTDGAQQNTTILQLPVAIAITKIVKRFPLDRFSSHAEQLLDEVVLKLRTKNDK HRERARRVLSAMMCEVGPGKLGFIITKLRDHLVHGYQLHVLGYTVVTLLYNLYEPRHS LSYGRKKTPGKKLHKEAGAKARHSVVGGGEAIRPNGSQQHDKQGGGALGQTSNSKEKS GDDVTTGNHEDDEEEELLRMIIPAAVQFDYEYGVSCLTACLDDIMTILLDDYLGEVSE QKRQVELMSTMLEVKSSRALHGFTLIASHCQATRVIEVFLQKITWLLTPPSEAANVET NTRGGGARAGALLLLQELKTKYSTIGKSAAADFAFVQKVRLLAVRVARALLKNATMEV ESSFRVVRDLLHRHNETREEKIKKFEAKEGTRRIRGSAQLIAQRPSVIATTRREQLDA NFLVAPRPERVDVDFSAHTVLATQQKQKLRTYRGRYGKDVKQAAKHFYREDPTAAVVL DTLDEFLLKYLLSVLKQVLGMGKERKSSKIAVRLDLLRSKNKQKEEQTDGDNYITHTN ASDEEGEQDASSVSSADVLLDPENLDDREGDALDRFAAEAEERDDEQRQKETGEPSKK LCVRTEKRKRRGSADLTASFTRHYQQLLEELVPVVLSTLHGEGSDAVIGYALDCMLAL LSLRPPLKIVGMYHTNLYDTVTAFFERGGSVKQRAMRVAASVISHQRFTLTEAQASQI TGLCHAELVERNRFAPMALTLFYAVLGRHVHVVEVYELMGVVTELLLHVSAKRLMRQR CISVITRFLTEYRMTPEKFRSHMDLLYRNMDYPEVTGRIALLELFIALINRLPTVVLR QEAPLLLLPLAVTLSGSEFLEARRKAGTALQDLVRNAGVDTVVPTLSKWLEKDQTRKR KTIALQTWALMLSAIASALGLSGKDNDSSSDNTKGITAEAEEFATYYTWSLPSIMDAA TYDQISASRIRRRSEELSGTLRRKIEMKGWMYVFFGLRCVEAIASAAPSLVWHDVTFA KPLVLYLSGRLILHTHPWVRGVALRLLGMYCTNGVETRCSYLVDDGWVGAHLVTSAVE VLGSKRRRSEKDTSQKPTRRSRNSAVAIQPSSTASGDTLPYMVVFRGTGPIDNTDRAE EVLNEISASLRLLLLNFAQSDVSNEKYAAHRAIARSSRTDAVRLALYLIRSLAAVSMA LLVNATANESEEGLLHVREVVTRHFVALRRQFNSIAAPAISNGSVTNVMVRTASLVQC FGGLVAAMPCVNGNGRKTSRGDNKSASETLGDVNEGERVDVGSVARWLLCNAINLEFI QQTVAPTVAVAMRCGRRSEKLSTLATQVAYVLREQLEARRHDYDTARAHADDENAAVN VSPLEGRKKLRKISRDKSNNGNCDRCTGSFVTVDDALFALTTVAEEIKNARKDELARR DTTNTLRKRARSADESYPKGNKRARTSRR XP_822629.1 MTTELNSFRVRKSEGVEGEEEDPALTELVFKKLRQTFLCPICHR PLQENPTALDVCGHVFCHSCIVNAIEKSCPSVKDPWEEDERQLTENSHGDQWSSPQKR NSRGRGNINDVRTSPVAKSGRGRSTKRLRLGQSCPICSVPAQISDLISVSLVSNLVSD IMKHPLLSAALVSPKSNDDNDLVKAGHIEEEEALAPSAEVSQVLSTLSGVSLTGNAGV QTVTLQSNNAPPVAEEATGEPEKKSEHGTHRQAIGSLPYSPSATPMGTSPLSVTSHVS VNTTNPHSTVTLSDHNFPVSVRSRSGSPEVVGGVHQTMPEPHGRSKTRGVGCSSPSEV CRPLTSNGDAENSDVLRNFEELSSSCSESGSSQDLQRHSEPCHRTNAVGSGTAGGDAL TAVATAVPTSVQSSASTRHFSQKPQEDVGVIDNVGGDALTPVATAVSTSVQSNTLPKD APTVREVGEGDGKDSSSDSSLSSSDSSSFFGESFNFRVHAQRSEIPTESALIFETKGR ANTHENQVHNGGVAVTQNLSSCDPDICSGRELLNHGKNIAGGSGARERSTSTISTSQL SEHKDDVFRLPHTFSVDASVENSTKTGEVLVSAAQMFGARVLDERMGSVDSRLRIYDP KPQKVIRHVFRLPSCQGSGTPAESQLYWKERHYAAASITCSYCLIMPTEGRLASISDD GSCISDCGGVPSARDSNLTSMTPTTACALVSGALVTDFRWIVESVAARCLLPALQYSK RPSWSRHESVSTCGGSGASVDNQTPGRWAAEGHAFMLLPDSVLQLLLQQQTSGSISMR GSSRELSATVTGGYDFCSWRRLILLSGGVLLRFPEECVRQLLLDAMSMSYNDVMIGRN GCDHDERVTAVQNAMHMANGAGRSVFNVECCPERCTASTFLIRNVIILRDSVSTGKDA PCSGSQLLFKRRLERILQSFSVLLSLVQSREVTPVRDVSPSQVFVGSKPFVTFGDVTE RYSAPHVMLRSTKWLLRTFSGRLQDSSCESCVGSDQ XP_822630.1 MWKQDIGQWVERKGRKGWTKQPQVSPPQPCTYTSGPQRLPVRVG FVPEGFEEKGEHPAVFSTASAASHADQAAATPSYAGQQWVTVYGVLPTSILDVRESLD VMFGRTLMHKLPTRAAVPSGGLTSTSVVGHSSQQNWFYVKFEDPVAAARAVYQSPLIV SAPSTNNLYSSAVCGTESKVQDGRVNGASREEAVGIAWCTDELFLQEQLKQERLIKSL EGRREGSENNYCGETLLEEGGEGVLNTVGATPCGADRLQKASLTPFVEEGRPGLRSAA SSRGLGSLIREALCSPWSARWTASPAHTHDRSDADTSGCGNTNTRGSSSPSSTTSTAN TQVFHENGSDGLGGTVCKGASSESNRNGGVSPLTEATIPSCLLARGRRYHHDAEHQIR NAQPTLPLPGNYSVLCAFKADVTERGLFKRVIRGILYIPCRVKQLLVKGDERTINNNA NFTESGEARFLLDVVSQGRKRRAMIRNRHYGAASRLHSVDDHSSGQVSTPVLAEWAPT RWHESSSLYSLFVILLLIIISRNVNYADMRHTFTRGRGEDVLQSSKKIVDRRDNPTGS SQQPFNEVVPNVLLIDRVHGSDKYRAKTL XP_822631.1 MSSQAEAQKQRRCRLILVCSDGDAADIRYIGFILSKAACHFTPP RDSSDADSVATRSSRVVLDEQETDDFDQNGVVAEVQAAFMKYMSAKRGTNVPATAIGA APAKGGKPKGGKAAGASGAKGATALSSPTEGSQKTQAGDGNSWYSVVHNRRCTRPLVI VHHIPSFDRPEEDAVLAAALPRNPNPADAVATIQKALCTIVDEMEGRTAPVSRRVSRA RSVSLRGDASEGLKEAPRVATPVPLTRMEAHAKEMSILPVVVPIRDPRVLAFLLGHGC DAPPKRLDIRLVVNFVDAPTSPGGTAQVAGVSCTPSSQRQRTTPSSKRQPGRDAAAGQ TASGSKEGDVNTVAPSGSSFAGVIEQCARLGLATTITDTDAALHAYTALCMREIVENR GDDKSSVIRRCLDSVLPTVFNVVSNMTYYTRCMSTKATYQCPNLPLPLPQEEAATFPP PSGGSVERGRPHFCEDAPRSVCPGCEPSFPFRDWSHYLQGRKVCDQWTCVSALHALAA QVTVSLARRQTPKYVRPVPREELTPVHEVHSTPHSETVSTGKELSGTHSLLPQSIYCY AEKEPILSAAFPRHEGIDDEPLNEQIEAAVDAATKRHASESKLKDRKSPGRLRKQTQS PSPIPHSPSELASTQHEGNDPAVMLPLDHTMDRPFPQPTQNDVRCLTREFVQKGLGVF KQDNPITMTLSLPNGGRSYSSMRAQELLEEPMNGLVLNMAEEGVDLRLLERVEKLFSC TDRTSGVTFDKIREAAEAAALRAPFVDEKLQRSVERSLTPRERTHVLQCFFLEMIAAA IGVSDKVVNADFPEGRQSNSVSITALTPSLHEGFYPNARPLEENISKRAAIRCLNDFS SRFGEHLCRLVRCVVPDPLESIYGNLAMFRSVGAENTRVRDKVVAVMAGGVVTPIQRQ SIRVWCHYISGVPSLDQFNELLSSESVCLGTTLTLPTLSSAPTDIAKTFGATQCFGGD LGDAIRVQLLRDLRNSPQFIIPQLEEVVRGRGVWRSEGDIQTALEGRHTLYPHDNSII EVITTDRSRICRYVRASEIICTLHYYIAPPPRAAPSSSPAVTNATSTSAQTAGEAVDG GFSACSSAPPSSRRKEEELMYFTAAFDDGVVLTCSARPRYRLQYANSTINSDKDGAYG SGFTPRDALNGRGRLQSRKSIGPFSGRVRGGLPGSAQGPTQALKRRLSRRPRSRSRGE DDGSNSGKNAQEGMTRTFPVDDSGSILLWPAVKDPKHPHETAVQLPVQHGVPAVAITV SAGGVSVHNEEHEGILWLSRHDSMRHPVPELVRCAHCVGEEVVLQRSMEVEVRRALIE DSGIVCRYFHSGTTQMLYPDGMIVTGYPVAPVGGVSSTQEDGAQPVVETVLTPEGLCY VREVGLEETGSLHPVPSGNVESCMTYDRCNHCHTMSRADGLIVVTYNKYFPHKTKKEG NAASLGAGKTDQKHGCGGGFDEMVLARVALHVDGTCITSLLGGLLHEADEHLPVPLAP LLEDAAAVQSSCDADVQYCVEGPFFPRVFLCAPLYKPVDNQASRASRAEVSFGVGDNP KVSSQLNDKDVSHDGNNNRGLLSPLSQGAEENANSSYVASFARDPAPTNDPKPYDRFY VLFGDGTVLRRRVIYRGMRGTVTPFLETLFTRRSETSVRILHESGVVIVEPAEAGLRN RSSVMSMAVGEGFPVFDVALGGLRLVDGRQHLTEVKHLYSSGPVQSSIRGYTLEHLLR QLVLPMYTPHKVTKARWQAMRREEIEAEEEDRRNRISGKCPPLTNRLREIAEEFVIAN NLLSMSLLKSAAQESKRCSEPPSASDAKLPGSATPIWGQRTQYDNLLKNAANVQPREH PQLVDVFDAQSYFPQDSSPPTVIAPLFFSEMQDGRVVQYLQLRDVNDFIRERASGVTP VFLSLSTCTGEPGVQQMTFLRLAENKEMIDADEPSVNKMSLHRSPYNWGTSHAVTASS LAAECGLTDARTGAAGNVMMLGSKLGEAVNQQRNLALFSGGTSLFPTSRWLPVVLQPP RRFLKHCRHSMKDTGKVNFKADSAFSGDRIGGGANVIDAPAGSHNLTVGDSPIEQLRM FFKFSDVAGVVQQLLLLEVSRRQRQLASLVQYSKAAGTTCGFGGTPVDDPLCEGSERP TADETASFNGTAPVE XP_822632.1 MVRPHLRHYCVVGRETPSEKNPQPTVYKFEVFAPNFVVAKSRFW RMMREKNKVKSTHGDVLSCKVVKDRKLAARNYSVDIAYYSQRCGYTHMVKEFRDVCKA GAVSQAYNDLASRHRARYHNIEVLGVKSIPNHEVRRLNVAQFHPHNLSFPLLQRRVKA PRKDRVIFVKKNSKRAVVA XP_822633.1 MTEVKGHHLSEEVASSTRQVAELACAGGAERADSVKVALNKMAC VISCTKTTKRRRRVHVGNKCERTVKSKVCGKRNKNTDGAKGSTSVSSVVKSTHVGGRD TSRGSKNVGDSKKKTVAMNRKTEQQRKSKARKFGDYFVSFLRKMSLARETAAAGVRAS GPTAEEASLPFQKTSFPPTRQVRGRESSKEPKLSSSFLPDCVQNQRFHVALPLLPPRV HDIFGRHTLNLGPPSVGSTQSHQSTMDSNCATSTCSFAVASLSSLFPTSAIKCGDEEA LSCFFPAIHNGGWFGTASDFIEHCASVSHKIFCEGAPLFPLVTVKEQQGAVDEFKEST PPIEAVEIKGTSVEKNGGTQPLYSEVVCTGATMKFGLLQHNQGQYAYYWWFMRVHMAT VQLGRKEWDFSIAVPQTTPRYAHTAAELRRVGELWCSYRFFSASVATGVAKRIVLSPD LQWHVLRVATIHVTHVVRDAYLSLSHSLDVVDTFYNSDERVMSSLTAHVTDSGSADVK VYDAAMNASLAVLLSATSVMRDPLSKSEAKSLATFSTHVATSIVEVIEQKSGVRFPFR ATYNTPGSHVKNTTITEKGEKSASMLHVPSYNVTDSVGSVFDVEEEEHIMSLALWMYL RDAACAQFPMSD XP_822634.1 MNRLFGRKKDTQKPTLEDASVKIGARCDAVDARVSKIDAELAKL KECIQRTTGATQQRHKQRAIQLLQQKRLYQNQQDVLMQQQFNIDQLQFTTESVKDAKL QVDAMKDATKTLKREFKKMSVADVEKLQDELLNLYEDAQYVQEAMGRSYDVPDSIDED EMLGELEALAMQSGNEADSSYLSDALAMPSGRLPDLMSNTQQQQETETPDPYKLETQL GL XP_822635.1 MDITNSISFEEATSVAYAQRTAGNLPEVGKEDGKVVSAYVRLLE RERKKREEANTKIVSQRGSCTFEFSGSVAREDDICNTRKLSEPLAPLPVLARMRLAFD LHEWRHQMKRNPVLDFAGSYSYERNSKEEVAAMFRYKQQCAELQKNLSEARADDLSPD VGIRNKCTLTSLLSTSPPGMALGDFERDIVEPFFDALFPPIVSQKQPQVEGFDALTWH GMGSDHMQFLSGNRNLSRLTYQRLNQSHVEAAENLFRTIDADGDGLVTWDELSTYILE CGERSLVKGDASARRKRGKSGRTSPDEEKEKSVDYVGRILDLTTVPANTTLWQKRYPL VRFLYHTEAISHIVFIDHGRRYVTAAWDGLVKLWRTDPRLANAQGKPAIVHERNLLAA GVPILDMSCSPQSLGNVEMLVVLTIDCSVTLVRAGTAEVVKTFLGRHSVPTLPVELQN GLPKTPSCLRGGTPEESLDLLCTVDVTQLQDEDPSRVMRPEYKVRAYRRDALDILFTD VSRYFTGLGIIAPTYEHLERPQPVKTDFLSRFGVCGASSLGYRSRPATRCERPRCGTR EEVVTPETTQDTGNSLESTRWVHCGSRGDGHVFEGVGSERSNRKPTPGHCASDYTDEG VAWFSRCVALANYVKFSSTSLLPTGPLMLIGFSSGVLQFYPLRAHWFDVFGQTETRLE PPESHEPLLTLKLHRAAIVKIVVSVESDIVMTVSDDCTVGVRHLSRVEVPFMTLGETV APTGAVKLGSTGSTSLWLSQNHGHRKRITCATFHAGRAILVTGSLDRTVIFWVPSSPR PLHRLELSEFRKSATSGYPVDVAFMQPPLRPLMLMAADSKRVLYFFDVDTYQCVCVVS DDSAASLRFGEMLCARYDAVQDRLILGGYYPRVWNPKQTDDYPAGYLGHRKPVVNLVH QRKWDIWFSADEEVIIVWRPAVTEVRLGVRKAAVMFPPDTEQSQQPDESDPQLEESSA SDANAHWKITTVVERSWVVDGGICCISVEQTESAHVFVALEHCRCIKEYNGLNGTLVR TFTFPSGVNELSSMACGVMEGKGTLRQSVSLLSATFEKERSLDGTTALYLLPGKSSES LSALGDNTIEAHRQIITDRVGVAAAIIYETLGIVIVGHRGGISTTPVDEVTKCPIPCT RLTDTSQHKSEGKRSIRASVSESFKRRFVGDKQQIHVSQRSSTTNALHSDSDSSDLDA SARTSTRASSQKSSKFPATTDARDCQSVQTSCENTVVPAPLDLVALLPGPLVQDPVNY TREFMQKRERYLNCNEPTRVTAPSNPSNTHAENKYDVLLDDGSESVQRKSEELPPLLL FSRDFDEFLPASKRDCGFLLSEQFANLGFVSHIVPVGTTGYIVTGSDDGVIQIWNGRR RAESFRFRVTYELDAITAMEVSRDGVYIAVSDHRGHMALLDVSNINWTISSPWETEGS MHEGVILLRRWRAHKNNLTAVRFIRKTSFLASTTSEAELSPFPAESLTEASKGEGQSV RVNTFNATAPFVDRHTAVFLCASCDDGYVYVWSVLVPSVSFGNPSECTVSCIGYFGGN SEVPPKMPSLKHNPCSFEVLDVVRKEYVQKRLFPCVRELFDNRQVEAAITRAAEIVKE DMLGVRFRGGLPSAPHGALLFGTRTMSRIGLKRSGDISPRASIFWSDQSTKTDMQQGS GSGYPPSYALAFRDEFHGEHDLPALLKRLFDEVVGREFKTHVPSPISRKDSALISPHR GVFARRRTRTDTICETPREAYGVTVLHSTPQAPALREESAALSDTFSAAHRIAEAAFS CNPAFGPAGIAPVVPSMLATPLVLPNRGSSIFASEEKEKQSPVVCVPQVSRKAMSSST ERSGRDTGTTVVNMPPNCNLPPSSVYLGLLAGTVDAWVLQPEIVVRGATCAPLPRRRC SPAAGTHKSQAKKGSSPRGGSPVKNEFSFNAVPSSKAIRDHVEREKWRGVMTLLGPGS DDGSSSGRKGLQPIRGQMPSPFPVASSDHPRTRTYSSCFTVGGASTSSSKKPKRKSEQ EERLRVMKTLMSWNTCPVPKVTGGKGIVSTVRPPNCKPVYEGSLLSYSDSSVASMTLP ALIPPFMNVTRNVRTPSIDTSPQVKSLMTVLEEEKDVMTAVLTAALQEKEQLLLRSNT NPSKLPAIQRAQSIMGGHM XP_822636.1 MFWAITGSNVATALEATTRFGEEPAAEAQSSATDRRRTQYATGA PTLEPTFRKPRPALPREADNPFYYSEAASNEPLQRLRKITYTTILVPLRVLYITLLIV LYWLLEMIIQKRGCHTAAKEVDNLSKVSCLFRYIAGTLPRWSILGLGYLRVNRRNKCN YGRRADGSRVVGPVIVANHVTIQDGLLLLFECDASLVTGNLAEANFTSMLLRGRTYNG EDRRIVKSLELKHRQKTQEEIDGTEASTNNNPQTEMSADMSDCNETEVREGGEGVVAH VVFPEPCCTNGRVMMRFSTDAFATGLPVQPVVLRHSHKYFNTSWCGAASPTSILLGTA AQLFNQVEVIYLPVCEPSKEEKLNPSLYAERVRRAMASTLNVPATWHSEADVHLALVA ARLSLPVDAVNVETAHPHFAGMPYDRVVRMLYRFSALLQRSDGGPQPQMNITPKGYMS PTALGRFLSPIGLYPTLNERLLLHLSRRASVRGCYISFRDFLSALYTEPIIVGSSDSH GASDKTGESSVPSNAHMVFIDELRKGEADDEVALDFILRRTFAMMLLAGEDLLRQQEK YRSHIKDTFVTVGEVQGAGRENVEAGDANVISCAESPLPTGTCPSSYTTVYGCKVRFL FRPCQNINRCAPVAASLAATALSVEERRLGRAEFDALLDMLFVPHHSTLWRGPATKSQ VQLAEEDSLFSYIRSGATEEWEATVGKKTVQIEEVGRGTNRSGEYITFDAFLRFCFRH RAMAEYFHACCEHFLLCDDLA XP_822637.1 MCPFPPQYPHLTVVKVCYTKALNDISVISPATHPSCSFLPALLR LNLGIEIRMLQSDVSGRAPPEEDVQWHVGEVRQPPPFYKLPGSSVTAREIGGQHSSCC CRYGGGTHSGNRMWTHSRSVSLPDSCSKMCCSSFTCCGVGATVSRHVSVHTTNANTAP HHENEEALKLRIRVLEGQLRREYQRRRSQEENFQVRLELLKSCLGTAEGAVRHKNDLF GCHRCGNNDICNTSEDCHSRDEVLEAEELDGSAPGPIRYGGLAQDTIELLDFCENIET STAPPKIVKGHSVQRDVASPSTSEREGQTPDILFCEEGGVPQGVHMFPSLPSVTCSSA DEHEVGGSANPIVEDHQLEELREVSVSCYGGEAALNNPENSRVHPYCTSLSFSTPAFG INGVGETENVSDDQKSTSCEAVNPCGSGMLALTTQLLSAGEDVSSSAYSGCSASCSET LCNPNQFGNAVDPRGSRNRAWYVHTILRDVFEKLICDHPSLKPVWEKVHKSEATLTDA FLSNPSVLKLALSEAMYLNFRCHHNKPLLETFDAPFPRQLPPFSSENTDPGLLEAFSG NSFTWSGQRQMHRTDMARVTVHAPQFFNRMRGILNLNMAELRGALTDRCVWREVPSCG ESGKSLIFLGNFVLKSLKESEFYLLKESFLLKYIHYCERNCMTTLPHFYALVTFAWVS SESCEHYILTQNVFRTPHFIQRIHVAKGARVGLLSKAKSLSASWRTRFGEDVFRDDDP PPRLLTCGKLKRAQLLAQFISDTSFLASMNIVGYSCLIGMRMHNSAFTDCGYAEATSC NGEGHATGGGGGSIFDFDGGFLSEPLTAPGAEATTPWRYVCYIGLIDVLREYTSGMGL GRLTRGLFGDVQEGNEMQPSAYAEWLQGVLCNATM XP_822638.1 MTSTTEFTGSSLLQDEKVVLKDYVASQRLGEGGYASVFLVKYVP SGECFAMKIIPNNYLMGSESLIIQEATVMQSLEHPHVVKLYKFLQSTSAFYLIMELAE CGELFDLVIAEKYFREATARLYFQQLMSAIDYCHSNGVAHRDLKAENLLLGKDNRLLV CDFGFCSKYRTEGEDGDDGSPNETLQPIGTLHYTSPEMVTRTTGSLAVDVFQQDLWCA GIILFFMLTGRLPFSGRDDEETLHLIQMGSFSFTEEEEARLTPGARSLVRGMLALEPT ERPSISQILESEWFVVDIKADLFPHRPELKRGAAFLDFSTQHRATEQEEAAIRKAFKK INIDGYGDITRDQLRDMLTTLHGKKVSAEGVSELVHLFTGKKDSMFVTFKQFHDAWVI RDLAHSSFKHSDDFQLPKIIDTEMDGVERKVVRQVRTAFDCVDELHTGVIDMNQLKRL FERCQTDVNDEEIRSLIRFFDEHEVGNRGEITFRMFLKGVVSRDMLVRHPMGRKLAAA TNLAALYRYCELRESVRRGVFVSGVWDNIVKKLMKHADRLVLIRDVVNMSDVERVYSF CYVGKSAAQTTPLTMSATPPAIESEGCFLTPKDLSHNALLGSSFCTSSIPMLPHSTGH AGQYSNVNDAHHGNLASSYEESSRWAESLKAGSVSPPQRTIAYGSGPTPKNQVTGTCT IDVLLSSGAFEYTLVRFCRISGRTHDFHEAVAYVAKLLEPERLRAMEDTLPRGESVLI XP_822639.1 MKRSDDVSGFTSFLMYSPTYALTLDVSIVLSCLLAIACRIKLFA NKSACPCTVPSLKKTGNCGTSFVGNYVGHSTVAKDTVSDGRHNSMPEVLQSNDVTMNR SASPSAAKGGCSETHVSESLTDSKPCGHCSDDALGQLFGIPVNAFAATALINYLSLVS NCLTQYFRPSQVLYATLMSFCDVLLFAMSDYYGEGTSHCRHDKCVSHSDLCDGWRGLK LRIPSVPHELHDLKGIADHKSQRRGGSDPVYPFGVEASSLHLETRGNRWRLMILGFMR SNVDDASKPKHADGGVLSKLRVRWYILPILHTISVAALVILRKYQLLFFLGTAAGYVA SCVTLHMVGYGLSALLSVLLFPFIGGGYYGTVRLSTNDERVEMLMGFFSLSGAVHVGG VGVYYVLQQTFSGVCVVLYVLMLLEEDDALYSTHVSLMRWYVNPCGQGLLDAQKLFTF LLLLGSMNRHKQRERLLARYRKNFSSHLL XP_822640.1 MVGGFTEWAHLRLRDHRPGPMNYDLTVSDAPKRSILGKYPIRQS GSGVGPGQYCIPSTIGDCRSTILRPPVAAVPGNADRVSPKRPSRSASKGRAAIRSVSE TKKYLADAPAFSIYGKLKDITPVNLAGPGDYDFPSTFGAPGKGPTFGKRTKLLTTRDI VPGPATYDVPRFGDEKPKGREIITAIERKHKTDTGPGPGSYDDPTTIAARIARTKRFI VDVPTFGVRPPTPSVAIHRGPGPAQYGDVSRIMTKTVTRTPIFREPTVRTPKSEAEVV KEEPVSDGNLPSDFDYTYSKGVSFGPRSFVLLVPSTSDVVGPGSYDLSKVPHRVRGGR FAQHPYDLHAAAKALMKSREVAVTGGGPMYNPNIDAVKPVKSFCIPGFGAAKRFCDQT STGSNFYNIRPLSPGRSTVFYRGDYNKSAYLKGQESSKLMYNVKNGTIAEGVEQGKGV TFGIRYPARATHQVCKPYDETTNINCVYADEMTWLVGR XP_822641.1 MTLGKNKRISKGGKRGKKKAQEAMSRKEWYDVVAPKNFEVRQFA KTICNKTQGTKVAADFLRGRVYEGNLADLNKTQNEDDAYRKVKFTVQEVQGRNLLTQF HGMDMTSDRVYYLLRKWCTTIEATVEAKTADGYGLRLFLIAFTKKQENQLSKNCYAKT RLVKWVRMRATNIIRRRLAKLDINDAVSLLTRNILRDRLAKRCNPIIPLRDLRIRKVK VIRTPKFDAQALIAAHGEVPTSAEGEARVVEEAQEETA XP_822642.1 MTLGKNKRISKGGKRGKKKAQEAMSRKEWYDVVAPKNFEVRQFA KTICNKTQGTKIAADFLRGRVYEGNLADLNKTQNEDDAYRKVKFTVQEVQGRNLLTQF HGMDMTSDRVYYLLRKWCTTIEATVEAKTADGYGLRLFLIAFTKKQENQLSKNCYAKT RLVKWVRMRATNIIRRRLAKLDINDAVSLLTRNILRDRLAKRCNPIIPLRDLRIRKVK VIRTPKFDAQALIAAHGEVPTSAEGEARVVEEAQEETA XP_822643.1 MVQQGNHVALFPTPRLYTADVVGNVYVTTFPASSVGETNKAEPP AKRRRVDNQSSGGVDEHPFWEPVVAASTSGAEAYGARGWCGLTPLADDQVVCCREFFF DLRLLDARNCGAVIRQYGTLHAPTGMATCGGIFPHGVVVAEGPVASVYDTRCSGAVMT KQTEAMSGGQRSVPLVSSRFTDATTRVADVCATCNEFEVAIAVGRAVCVHDIRKWTRV SITTSVLKYDIGSIAPFACGKGVVAAGIDAEVRLVLLTEKLNGASSTTGTVVHGEGNC CGGNGSKKEVDVDVNGGAAVKSDELTSVTSFRNRIDSVVSCRSTWQGGWVPSVDASCA TGISADHEFFMAF XP_822644.1 MLPLSRGTALKSGKRIVLEEQQQKSNPHESLQDDTSLNAISCDV DVIKENEEEEEKKKDEKAKSFRKEPSNESLKFSDYLELNEIPLLFDKLTATLLQERPD APLDFIISWMRLERARVLNGSDGNKNIITATTTYNNNNNNNVDNSNGDPKKCT XP_822645.1 MVGASSKRPREHETAVEPILAGSFSGGNVSKKSFNGTVVAETDN KSLGVTATLLRDVDFNSQSSMKRSVSNNSNMVGSQNDDSNDECEGEVCELFKSPAQLG GKLQVGVDSRPDVPVSNGQKTLLHAECTNSTASVDGAITTLHTLQQLKELFHTPPVQL EAQAKAPLLQLCAGETVFVVLHSNKEEIEGMLGNVTKKLSACRIFCLDLSALPLAERS GAGAQITPNSASVDRVGDVILQRVTKILDLNSLLNGTPSAEGPSQSLSIKHSSAGAQV EFENVASSAQSSAKDEICHHELTLPSMVMWRVAGGPVDEYGDITAMGSYEAIQSEVGS VPPYGKPLVVKELQTVDQLHSLSLLAPVYTVEHLLRKIGETVLFPPGNDTPSGTHTFI YMGASWCPPCMRVVASLPTMMKEGFPHSFACVVKADMDFAEPLYKFFGVEIIPTFILL NNDVLKGAGDWSAFLKGSGKCMGTCLEQLREGLRRSKMGQIQNSKVPLIQSFIDNHTK GLSFDEEF XP_822646.1 MKRWRRRRKKKGKKKLFFFFFLFVCVYVSVYMCVCVFFFLKRGK KKKRKKKTYKKEENNNKRRRNIRERERERKEKKEGNNEARKKKEGKKKKKEKGTRNHT NMQRKKKETVTEMKYDNKNKNKNKKSKEKKRIRKYTGPPVLPLCFFMCLFLFSFLFFS FFLFFLVCVCFLFFFFFAFYFYEGRRE XP_822647.1 MVTDDDWREGLKAPTKDVRKKTEDVESRRNVTFEEYGLRRELQM GIFEKGFERPSPVQEEAIPVALQGKDVLARAKNGTGKTASFVIPVLEKVDTQLPHIQA LLMVPTRELALQTAQVTKELGKHITGLEVMVTTGGTTLRDDILRLQSVVHVLVATPGR AVDLASKGTAKLDHCRIIVLDEADKLLSQEFTSLMRDLYGFLPKGRQSLLFSATFPVT VKDFADKYLRNPYEINLMEELTLRGVTQYYAFVEERQKIHCLNTLFNRLQINQSIIFC NSVNRVELLAKKITQLGYSCYYIHARMQQQHRNRVFHDFREGHCRNLVCSDLITRGID IQAVNVVINFDFPKYAETYLHRIGRSGRFGHLGLAINFVTYEDRHNVYRIEQELDTEI KPIPAEVDPELYTA XP_822648.1 MRRCPVLLAGAVAQVVRDGECSLTRVGEHIGLIGLNRPARKNAI GRQLLSELKECICYCRNPENLIRCVIVESRVDGVFCAGADLKERRGMTLVESRAYVEE QRDTLTALEDLSQPTISAIEGAALGGGCELALCTDIRIAGAGARFGLPETGLAIIPGA GGTYRAPLVMGLSNALQLILTADVVPAERAQRLGLVTELVPAGGASEAALAVAGRIAR NGPVAVAAAKAAVRGGFGRPRDEALDGELRLSQSLMETQDRMEGLQAFAEKRPPRYVG K XP_822649.1 MSSALQAARSCANTILWQSLRIDAFQGATPHVLLVVDHTPCTLQ KVLLEGYIQELNRFNGEAPEAPNVVGPLRVGLHSSAKTPCFSKGFKSNWRVTYGVLPF KADRFLDDVRTLQAFLRLCGHPSDKASSESVTGDADGSVKAREKMRQMFDSLSWLLTP EERGEMEWEDPLFERLCNGSDRELLGCILIQRNAFQNELDKYRLRLDLFNMGLRVAEH NHLEIMSASADDVLASKCDGDSISDDTNCCLDNDELVHYMRSCSFKPDVAEAIGRAIA DSIDVWSWSRKISRKEENGEGNDCRPLQVVPPASFQSALSNEGLWSLYSSGVLTWKGR ALDGEGDGGTDGSVVPETSFITGPGAPLRIICCTGQVLTYDGGMEDCLLNTGYYAAAH ASERNMVQNHRLRFAVHHAEPSNQGENAATAGAEEAAAATLLPMDGVGDTGRHGRLKK KEYLAMLKLKGAKDSTKCGLSHSCEEDVVRASGTDGSNVAGACVGSSIGGTFPVGEVI SESFDLSKLNGTCDVFAYPSLSKAVTMAQPKPATLTIVKGVVTDISPDAPDELVELIE LVRQTEGSCYVRELGIGLSPYLGRDRVVSDVTAFERQFGVHLSLGQRHPLFVKQSSKR NADGSVAVSVDGPVLKRRAGKYHIDVFLDAARLEMGDFTVDFTKGLVSSSAAPAAFAG XP_822650.1 MGWGRKMQGGSVTCHVQDGAAFLQDVVGSSTGTGESNSTFDELV SSRTHSGTSVSFKGGDYPTNGNQHKPLSRYQVQPATCYDVLFVDLFVGSDPPAFMSSL IFLQLCREALSSIGVAAFNLPKSDPDFVQMCQRVFGSQNVYQVPVPASANIVVLARCA AGAGVGHTIYEERSHVAHRHFYRRAQQLQKSHGLPYDLSSHYPIWWRLW XP_822651.1 MPYFFKPLGARAWGFIAASVGGTFAIGIFREIALLRQREIPLFN EADVVHSEEHARYPCKTFLGYDVVVTNHYVREKGRGEQSLLPRGSDAENGNCGRSDRG GVMKKLFACFSNETYTTAGHSDSVSEVNELYLVREVVGDAHAPRGDVSTRSVKGFNGD DDDAVEDTVGNRVGSSMFIASSSWPPGTVKYTSMTIETSHNEVRNDSDALLRRWSNRV TQQSLVRCDPLAPASMNCLADASYLGAPYLRIMLSALLLLPSPLPRLRVAVLGVGGGF SPSFIAAAFLT XP_822652.1 MGVIFLVCALLIALVFAAAWWSVHRVPFFHIKGCCALVTGGSLG IGLETAKQLVKLGARVVIIAARNEQSLRAGVEALRVEAKVTGTKVEYVVMDVADEVSV EQAMDKVSKDVTAAGGKCLDLLVCNAGFSIPARFVDITPAEARRMMDVNFFGCVNVLR MVLPSMLEQRAGRVVFVSSLAARCPLAGYSVYAASKAAIRAFAHSMDMENSCRGVRFQ VISPPDVYTPGFEQENIRKSPECTALSSFGGDEPVTALDMAKQIVDSIKHYRFDVSLG VDKQLLCWMVAGVEPATDVLELLLQVLLNGWLRLAMAVLSKLHYNIVWRVRKDDEKPN ETSTKESERGKKVM XP_822653.1 MPTYVEAENFLDAPRGAVVANKAVTEAESCDEAYNKQGSVRASF AANGATDTICQKESVGTHTQPKRVTHQEEEHKSIPFISVVMTYFTFLLLFTFGCIAEL RRKLFGAKRKQREGYASLLTFLEYFWQNHFYRRARDCFNHAIDSRPSRVIGVMERVST DSNRTFSFTGNIKPCVNLSSYNYLGFADDIPHITREVLNSLDRYGLASCSAAQHAGQH GPVSKLERAIADFLKKEDAVVCGMGFGTNFRGLPALFGEDTLVVSDSLNHSSLVNGIR LSGARAKVFKNADMNSLEKVLREAVVLGQNPKGAYVPWTRIVIVVEGVYSMEGEFVNL PRVVELKKKYGALLFVDEAHSIGATGRTGRGVTEHFGVDPRDVDILMGTFTKSFGAIG GYLAGDQSVIDHIRTHSSLALHCDTLSPPCAQQALSVLDVLNGNDGTDIGPKHIQQLM ENSRFFRQGLIDRGFSVLGNDASPVVPVMLYHLGRAIAVWRKCMRRGLAIVIVGYPAT PLLGCRIRFCVSAAHTRADLQFALDVMDQIKKETNIQFLPSTVPPQGEE XP_822654.1 MQQVGEPFPSEELRGSNDYQGCKWYRTEGVHSSMDSNIVSTGAA CEYSGPCVLRSFRTAPAISWESFQVIRKGKVVLGGAHEGAIAEDLAKLSNIYNKTDGP TSTNTNSNNPSGARGNDINLPVKSIGKFLEDQLPGLCDKRGDSLYRGATFLLLGVLTG NIALAERCLEWGANPNEMSFLSEPFATLDQMRHGYSPMFVAIITGNIAMMNLLHSAGG SLIVYDRWGRTPLHAALALADREVIQWLVEKGAPRCLGNTAPVLQGVTMCPDLSPVNL ALNPRPKLSIGHQVGLPGNDEAKIVDMKEGDTEKAALCHCWSGLPKGYCGCIDDMFLR WSYDRLHSRWQPGITFTETSAAHPSKASKRPKAA XP_822655.1 MDVRDQLMQAAAQTVDALSFTQTHVIDEDFRAHNRATIESVRSL QREQRSRIEQTYGPQSPSADVLALQLLSSYRGEITFLDHSRGRDGRTSPSAAAGVVTS LTHGQCLSFKKEMKQRIHEWERVFCEERGVAVTTRDKAMLRQVYELYKLAKNRLRGEE SPTNGGGEDNNNLTNSQSQTQQPQGARSSSERANSAGTVTSGVRVRTPSPSGSQVDNP ARRVVGSTTQPSGLQRQVVRSSTSSGLSANEGPGSTQPTIGSGIRVSQMSNEELAAEK RYLKRILHRFESDFEQRNGFAPTRNDRQAHSYEYIRYGELKNEIIRRMPSVAGG XP_822656.1 MRRFAPTVASALSGPPTGCLFAVTGNAVRRYQTEPLKKAAPAAP ELQKAAPAAPELQKAAPAAPELQKAAPAAPELQKAAPAAPELQKAAPAAPELQKAAPA APELQKAAPAAPELQKAAPAAPELQKAAPAAPELQKAAPAAPELQKAAPAAPELQKAA PAAPELQKAAPVNFMTSTRRSRGRFTGDDRASGLDEERGRLQRSGGAKEGRNSRREVG YSKKEKIRSRGDTYKGNRDMSLSNVVEDIQDIKIVFASHNPSPSKRQVPFAPKAAPRA PDPPKAPLAPPAAPSMPSDFVASSKPVSGSRNGTRTTIAPPTASGDPQDTVWAKSSAL SLDEEIERARATRPLVRSPVIVGREIEGLSLNFEHCEKSEPIVELADKGGLKELSEKE ATLIDNHMVPDDFSGGAFMQKHTGVTHDVVGEKELSELSINNTVTAASLETSLYGMEE QQPKESDGRSNLSSTVSSCITEHPPLAPWTCGAFTAQSGSALLKLSFDESSQHIVTIT LWEPLVSTISAVGALNEAITAIENHSVTMSGPVTHVVLTSLPGIAFFQPKHSPLELSC SERIELIREKERLFLRMAKTPPLNFVAIVNGGSLDDFAAELFLACHYRVFLDATHTTF GFPSCMLGDFPTSHAVRQLCRYFGAQRTLAASQQQYRFTAATLLDAGVARHARDTREG LTALRLSYVDHSKASPPTSLLLRLENALLALLNRPALRDFVLRRFFLTRSTGGSSVEV YSNPITQAWRRYCLAAMASGGCTHNVGESGNASAAVETGTLRSEGIYSEFLFSPSALN AARIYGHGCEIQRRVLSSPPDGGYLRFKADEAELWPRKVRALSAESCNQVTVLLDCGK SNIEAIKSLVKDQSGVLTKANVVLIGDEYVARPLIPEFNCVATISPVSAYAVSPLRDL QEVRLFGREGCPEHLRASTLSAALAYLQQEYRPYVVCRHSCSGRLIAAFVTEACRIAM HCDIEKVERVSTGVLRLLGGPFRLADHLGTSTVSRLIEETSHMNDHRNPLIHDWLPSI GYQVLRSMSNDGFGGIRTRRGGFYTYGADGSSVALNTVVQKQYLRRHLTDTELSDRLL SVVVNECCELLLSGHLLTAADANALTIATLGFSETTGGALTLADAMGAGLMLQKMEEL AVWHGAHLQPSPLLKCMAHSRVSFANLSEAVIQSARM XP_822657.1 MFLRPIALPLWVLFVTVATFFVLRALDPVDVGAHREKVYGLPPQ VVWLFPLVPVCVWLCYEAIMLMAPAGSKVALKVYD XP_822658.1 MQTPVSITRRVRVAGIDAEDVAVHGELFNTFSKYGVIEEVLFEE DRDFHSGSVTIQFQRLSSAERLQNDLSSSGRRWIVSYLPPIVHVGEKLLATSLSSLDI SLLRSLISQDVEDVAIEEKVLQVPHTLSSEAAEIAATSATPAVIGGHVGNRGAAKVKP PSSRNEGVVRMFLQALSRVKARTIGKYAAVMSFKDVHSANAYLTNNQATLATNNAVYV THIGPNDELIHALKDILLTRWALKEVKVGSVLRGVVLPQSSEGGVGNTVTSCDVDVGL ARKGRTIVVRAKTNFTKVFPWDFVEVKLTSLETAREGNFVEADLCATLNAVNCGLSGT GNNSDLLHCLARRGGPSSLVSSSSCDVLTKSDAPSSSKKELAGRLFKALQERKAAAEG GSDSSRRQRHWSSTAERVHAFPTGIHTLSTISVCILRVDDDGLHARIAAPPHVTTSTI PAASSVEWPVFIPSMFVPSEGGSHWREFAVPGERMSVAVLYATSIGGSEAALRLVASK RDAEMRRASFTLSHGAFPDEEEQRRRSGQHTNESLLLPGTSFSGTRVVWLPSTPSTDD PVYIVLPASTSPPQLFTLSIFMHETCPTSGSTHASAVDAVMSTLVVSEVVHEPCRGYY AVAVEEPTYRQQQEERRTVQSQVESEQEKRVQRILAEALAGCKSGQSNAKAEVLDEVG QKRQRSPGV XP_822659.1 MAKKVKSKVDTINTKIQLVMKSGKYVLGTQQSLKTLRQGRSKLV VISANCPPIRKAEIEYYCTLSKTPIHHYSGNNLDLGTACGRHFRACVLSITDVGDSDI TSA XP_822660.1 MAASLSSSTMAKKVKSKVDTINTKIQLVMKSGKYVLGTQQSLKT LRQGRSKLVVISANCPPIRKAEIEYYCTLSKTPIHHYSGNNLDLGTACGRHFRACVLS ITDVGDSDITSA XP_822661.1 MRSSQFLLSGLRRTAILMTISDEYIPRAFPVKSTTGLAGIAVEP LWKPKLLAAASELQAFLTSSDIPPESTYYNIAMTLVKRVNHGIKECQDDWCTLEKRYF WGWPVEYILQVTWRELETAQKWNEWRFWELDPEQVKKVAREDQNIGREGLGYNSPWEQ VVREDFDKRKKALTQEEMAELKRMDTERMARETAAYKERKDRIRDDLEKARGDMLKKF LNKRFAVDKDLQRMQPGKRYSGKTADDLIAELKSSVENNPQKSDLGK XP_822662.1 MSVFFDIEAAAYVLLLIICTATYLRQYSPTLFHRDHTELHRKFL YKCSVVGDRLSLWVATGCIVVAVRMLFVY XP_822663.1 MPRCYNAGFSSPPFFSEQTVLVLSDLKPSTNQPAPADSHSTKIM IFSSVSVSNLRCVHGVRCPCGKLVWRLSPSCRSNVIAYLRWGTLVRLCVVCLEPYFFP PFDLPVAVFSLSFHLFPGIGFCVMAALLIL XP_822664.1 MSFFRGLNFSNMNDGVDWENAVPPHITAQRNIERAALRNAAPRR AMLMDWPLPEDMGAPTVAERRLVQSTASPGSGAPDVRRATRVPELREVRQESERRSLN RSHPNSHHTQLRPQTSQQLVRADGNIFSALSGIANEMLQAQLSMVSAFNDFFSEGRSD AMNAMGGTASARVLHGNEVTSVTQQRSVQRGPNSGVQVRRVMVAAQSVNGQRPQVAVQ VDEWSGPPATRTRNNNRSGSDFDIITGVPRRILYISSSDEGTGRGDPRGGNGNGSRGA GGGWEIDDFSYENLLRLDDNAEKTGLPEAQLRGLKRTTYNAAKRSGATRRGNANPDNA EKCPVCLEQLVDGAEVHEIACGHVSHHSCIIPWLRRSNCCPTCRYEIPRLKKR XP_822665.1 MNSSSALTAESPYASYPEVDWSFILSLPIDEWEKVVNPRDLRQF AEGVSKVYWNKDDKHFADRQCAVAIMRLLRIMAKVYLDNEDRFQQENGFLQHVVQTVC DTDEACRNRVTQLFKENEYFKVAFRHEENQAGVCGMRSPRGVELSGGHGVRHITEKYD KHARELDRLKHENEQLRRTAQFCEGEKASVVQENAKLQLEYKHLVAKHKRVIEKHNSV KEMLRETRRSEVDRLQDDESELKRLRQKVRELQLENHNLIQLRNRAEELCEQREMEAL KDMTELQKLHHEVVDEERNRVQILTDELSRKQRDIDRRATQVVVERQRCPSHLREDDD KRHDMGKNLPMSNSSLGFGAMQSGGTAKRSSPSARNSSNLAETGPFRLKANIEERDTQ ILVADIQRLQQENDRLSVELQQMELRAEAREEEIVRINRLIKNYERGDEGLRRLRCEL ETSTRTLELLREENSQLRERLNAMTDSLTFSSALQELCIRVGVTQEEIERLRPRSTLY CSEVEMLKAEVITLKEEVDWLERERRHWMDRVRLQPLLDTKLRLELGLNPEQLKQLDK IVGQMKVGRVIVEEAGEENYKEKYFQELRLRRKEMEHFNDFVKQRMEEVLRELSGKTD FSSSVEAASALQRLRDRVDIIAASRVADENGEGAADTLRLREQLQSALQLLEQSELTI KDNAATQTTLREQLAATTAERDMLLDERDKYRSAFFEGLVFDDTVEDSPQILETKEGA VDNLGPSRIPSSAEGAFSPPSFPEGCNCGTPVRSSSLNYLKRTFENQLRKKDELITSL KSTVDVMKDQLSQQHAVHEEMKRKCTEASSQQEDVRNQVAALQEMNRELTEKLNERTN ILKDVEGTIQRMESVNTRELLQKIVLLRQRETKLLQRLRRVMEMHGEASKSERSMREY VNTVFKSLKEALENTSTGFVLPRSSSSVCIENDVIDEMQQRLGGILRGRLFREDSTYL LHLQRVYRNMEHIEELKVLRLEVQNQRAHRIVIEEKLEEQRVELECLRRLRDTVSEAN EVKDGPVVGGGVISSVTRWESEVTTWQQKCNLYMKRCEDKEREVSTIEAQLGDARREL ALLHEHIDNSIGSGTGTSGSGVPAVCSTPQDQQNGVAKEQRQQQEGAAIGHNRELGGI MSEGSKIYQLEREVARLKSINLGVLHYSLDLQGECKRLEIELEATKQELSLVRDAGNS QRLSDFVSAAIRQHSALRCQSELAVLQLKQARMQLHAMEANLRVAVNEATTYKLNAFR LYRKYVEQTVAVVDYVRSEQRCFAGALSPHRTEILHKRLMDAITDNERSQAIRSELAA QLAEARGNAALLQQQLELLKVKDGEGRVDALHAKLLASLSAVRDKDVKIVELREDCSF LQQKLKRAETHVQQLTEEISRLELNGTTLTAADGEAFRNLLQLKESVFSKSESPAVVI QQGDFGQRHQHEAVDMAAREYNQVMEKQGVLVHECDLLKKQLKEEGIAARKVTAENDA LKGEVGRLRERLQNVQQQLHDERQRAEERERRVIRSHEAQAEVSHRAAEHHSRCLQDM LKSKDMCIEQLQGQLQAERRKYLECQVEESTRMERLHERLFKENSAMVERFREAINGA AEQYEYGAQLPDAGEPASGVSEHVAVLTKEVLRLRAELRNARTANIMLESRLSDQVAD ARRQQQHFPSVSGCPTEVERQHSHRTPQVPPSGATPAVDIIDDQSVVIANLRQRELAL TDELQRAHTERDLLAQQLYEARNLTVEQGGVLKSVVAAKAAKPGVVETELRAQLSFLE TQLAEAREKLDEERREARRLQTDTDKWREQLDSLREEIIKQQNDVERARRLVAMNERL NADIHHMEEQNEKLIIATNLLKQRLVDEAQERDSISRKHQHEMALAQRMGNIQQESSE HLKSVNLRLQSIQKELEEKVRREEETLRKHEEVQQLAYELHLQLQEKEHEILRLKREL AAQPSKVSLQGRRSPKRMQASHERGTQVLQTVQENGEGEVLAEVPWKVQQQRREGQEL CQRGYLEGRPLEVMKSPREPLLHPALADCTNCSATPVVGSHVIDQSQVAAVARSEVEG AQRDNLNEISSLRALVSRQARDLKDVSEQLRGERDINNTLRSQLLSTRKELETRELGA VRETAILHQSLDERGKRHHRPSGVEKGHFSNPSALVSPLLQAAAGSNTQLIQQMEAKI KENEELQRQVEMLKSRLDSLDDAAQQIDFYKKELEELRRRQVNTPDCIQPTSPAGVRA SKRAVLKLEAVIESLQRELRVENEVRFRSLEQRVAELIAENQRLTVELNRYQVIPTTA VTAPALNVLTSMKPSDQNSLERELLEKNGIILDLRFEREALQLKVSRLERHLDDVLKA DSINMRVGGAHKERGRVEALETLVENMKVVVERLQQENSVLKSKTVSVAKHMDLVREL RELRSREKQLLEQSEKLSRRLLDSSMGGSAMSEQQARLQKRLQAAELTAERYRTEVQE LRQRFKDVSSVQEGDTWPYSESQQKDSGDVHGTEDRRCVQPLPSLDSDLPPPLPRAPS F XP_822666.1 MSTVPRQRIRGASFKQEYQCAALRRTRQRAPSMNQEMNSRWVLP DQDPRYNGKLTLALDLDETLVYAREGPLYARPGLDEFFQLCKEKCVEVVVWTAGLRAY AQAIIRNIDKDRVVSHCIYRHSKWFTGCAGYQKDLAVLGRPLEMVLIIENTPDCIRGH QQNGILVEDYEGGESADNTIHALQELVRRLCDSGMTVPQFISSCDMLKHGPIQTDVGD FIDVYRLDTSVWKPGEHVRVNRDLASLQC XP_822667.1 MRENGGVLHRCVGTKGHGACSVTEATETTPERYGVLPRFPPLFF HIKTPVAETPAGPRSQKSLPFLLGTKHKETVSGVKFRSTESEMSGKKRTHRSPTVV XP_822668.1 MSSKQERIADAMKERVTQLERELRECQQQLQEALQRGDDIQCAK EALQCEAHDIVDQWSRKTAELQTKLNECLCELSEARRLIALSEDRNTGLQNQLQQLEA HHLSQFQELEKYETQNKELSEKLAATERSLCFASQQVGAMEGRVNEMVAEIEQKSEQI TSLSRKEDMLAEALLELQKSRKQAETIYNEGRERVSLLDDELSRAKRLLARTRDALLL TEQEVFCRCKIIADEANTMVGALFNQLLSAIHSNESYGEALKEAQHNSASLAEENGLL LLKLQEAEQDLSKLRVEQGQETATCREQIRLLELDVRELQDARINWSRELEAVQNELG RSETNRHTLEESKMSLNAELKIVKDCYSTLLVEHDELKISVQIMRRESEAEISRLVTE KASAMNSYEMKLQEVCMRNTTLNQILKFKEHEMLCHRESNGRQSILVENYHELVGLTQ LYISKCEFIHSRMYNQVLDSREKIASLTQTISEKQLENSKLVSIARDLEEKVTSAQND AKMFRSKVVELSTALNSNREEADNLVSENKWLSDQVFGMREELRDIDVLFNCTLNDMR EQGENQQREREMLSEEVNRYEKLLQKSQQKISRCEERCSVFAAEKAAMLKTLTLAEEE LLTAKSECSRAREREQFSLKEKGILEKELSQMRRDYVSSTNQVKALMEELRTHDVKQQ LMNSSSRTEVEATKTKLNTYIELYENANVQVAQLTKSLQESRDAYDNLHESHQKAKVA LEDAKQRCCHDAQEIQKALEERQRIASERDTLVEKYNKVHDVLKLIKKENSGTMAEEV RKLSDLCSQQEAELQELRHQNVILKRGIVKVADETHNPVERGKFVERLNLAEGPLRRP KKRPATDS XP_822669.1 MESRCLSSATYTQKCVELDRLIRNHLIQCHIVIKALKTRLHDAE EDVKRLKHDRCLLMTKLTEEKSKCETLKSVHADLEKVSANEFHRQQKAIQERERELQV RTKHLDVRAKECERWAHHLKGMEDKLFAQQIRLESIKASLEGDMELVHRWVEGGYGRT ENLGRESRHFLERSNSQALCTRHCRCDAEAAFCNERECFYLEKEETLQRLSIMQQELF FVNKIISSRVSHVLLVEENLMGVQTWINRKDFRDSVPIRTKGEGPVYSKLTEGQLTNS KGWMTGGQYVEYPNASESVNSLEVGLSLKAEESHLDRLKQFEKLLTMSLEE XP_822670.1 MSYRRKVSSIIQLSTLRQLQLMCNAEAMSLPFQVHNAQALLEQL KVYHPAKYEQFSKNPTTQEFVPSRVISNADGGSVTKGAPIFTLPLPKHFINPTDSAED IIPRTFYHSSVARVVADPDAECYLPRCGLTGLFFSREEVVDNLQAAAAELHFRSPFWI RTDHPALGDFLTLKDDSEAICISLTAAVISIEDVEPFPVDLLHPKLKQALALGKHAFS EQIPPGMNALSGFVTKNPFVQSLPNGGVWLSHKQVLQQSFQIKKKSSAGESPFVLAEI EQWELHNADQLSVPGRLALNHNANNSKRTSVFT XP_822671.1 MDSCIVDGALGYMASVTTTIILFPYRDYVKAFDARAVRRVDPGS FCAARYRGMLFQPSQPLLIALPSGLLYTGFLLGNGSVSGAFCGGALHGLGKVGVRTLA YRWNLSHRPKEVSYKSVLKCLQQSVKHYGVLSFFSGASATIVISTAWHSTTLVALQRC GERGFFESWWDAFRTHSFLTFVTSPLRNTFRSALFSRERSSGIHNASTFLAGEAAILK EAKGVFSNMLRTEGVRFFVGGVLRSTFKTSLPFGLTFATFSLIGGSLPRGGEGRGNDH RRHHVPHRRFI XP_822672.1 MMRNSRFLLVVCNKAPARPGPMLYGWGRTEQKRRLEYESVESKY HKREFNKNWDLAGVEQRYTDFMVVRTYFSIGSRWGTWVYNMLQFYVLAFLPIFAFMHT LHKNVEWYDERIRHAAWW XP_822673.1 MGLLSIIRKTKRKEREMRILMLGLDNAGKTTCVKKFCGKDTSSI SPTLGFQITAFSLNGCTLNIWDVGGQQSLRSYWRNYFESTDGLIWVVDSNDVARLDDC RRELHTLLQEERLAGASLLILLNKQDLSGALPPGEIERHLGIDIIRKGKRHVYLCACS AKTGAGLLQGMEWIVQDVSSRMYFAG XP_822674.1 MDWVFFLMAALAALMVFGLIIHLVSIFSSEGDNNDGFFGKLIIV VSFTLVSYNLLMLPYSVAALQYAALIPESKSNVLLLWTIVAVALVIFGLVICPFVLVY HEVGNHNNSNAWKRVCVSVAMTLLVVVLASSAFYCGWHFAGYANVGYTAYSTSIQPVT SFESIKEFSDQSQGESLRLQVSLFVYLIALLCAAGWIPLCTFGGIGLVAVPQEFLLYF RDRPRPITASEYAYRRDEVARESQRLIDKGRMIEETSAEHSYGGHARKVLAFRQAVRE LEAYHTTLEISYHQQGGKVLQGYLCLLAGLVFTFLSIRWILYITLSNVSDTHPMYGGM LRQLSDTSLTLCVTVYSCFAFYLLCCTIKGCIKLGGNLALYHIYPVEVSKTLTTSFLF NAILCIITSSAVLNLCADSFPVYAVNSDVSVLFSVFVANLAVVKYVVSYTPYFLVVVS CLALMWLIVSPRRYARVSRW XP_822675.1 MEYMHHIQGVVVLNDSGNRVFVKYYLNEDMKARGVLTTLEKQRA LERVIYDAVSAPKRNWAASKDGDIVLHDVHSILFHVWGSITFAIVGDIKENEMVMHTV LRCIVDALQRILKTQDITHKGILEKYDALVLAVDEVIDDGIVLETSAQNVADDVAPFM ADAETDTARSALSKVNEYLKENL XP_822676.1 MRSTAPLFMAQGIWAGFRYYLGHFFYPNMYREYLSVQNAHKIER ALRIQTAIKANRVDYRALLALPVTDHAHPYKMEYPWEKVINTDKSKLSGYGKWYASKI MCFYEGLQYHKYGCLQDDLINAHGWWNRAARTRAPQDKIVHGDRRVMRARVLRDKYIY EPKSRWVHPIDNVAYFGPYVMMVCDEWEEKWGFFAGQEVEY XP_822677.1 MQKLADRDEIALAVTSDAYSVSGLIEQDRQYNIACRNLRRLDQL EKDQEYEWKAFNDSKKQWLRRARLKTAMSDIVQTEHLHRKYNDICSAHKEELESYRLA TRKDVLRQPVAMSSLSVAVRTLGDRLMRANLTGSALDMQNMYDTLQQEEREEAVNRKH ETIERKVAKKKHLLDEKEASAYRKVLLAERLGKRRGEENMQALAANLEHKEDQMASAH FRQRIAPYFPLVKGRAFGRAQKHVASRGTQLKTSLNKGHFHVPSLCGLYGGLLEVEQ XP_822678.1 MASQGETVSSPICVSNRIASTGLVGLEVGATSPVRDRAHQGGAR VRLDRGLRECEDAGEVPCFNTRIGAKTTLSAISELLELKTDAGLSLTEAQECLVRRIN FIRRHYIYLHAAYIMLIALTGATALCYTEDNLAFVDALFAAMSAVCCCGLSTVEVAEW KAETHAFLHVMMLAGGAILTSAYQPLLRLWAVSKLCPMLEGDTKDSDGGNALGGNYLS KSMRLWYASALCVVTTLMYVILVHISLAFFLGMFNRSNLRVPDVVLLAVASFHGAIFT PMEPYVDDPAVVAVASVGCALGFTMFPVLLRCFLRMEWFFFSGVKRLFSSFRQTSTVR QRSLFPADNGEEAALLGCVDVPLKKGAKEGEFEGGVKGYSTWDRAFCDILKSKQPASM HTFLFDLRETVYLGVAWVVITVIAAAPFWFQQWSGDGLLAPYSAPYKVFLALCQAAIV RFGGASFLSCLDYSDSHIAITIMAMYVPPIPVPTYRVYKKWKSVPLAYAIRPFTSRKF WLFVAVFCILIFEEEWPDGPDAPYYDMITRTMFEVVSAFSGCGLSLPPRWSALSFSGT LGVFSKLVIVAVMFAGRHYTVDFSIDIGFNSLP XP_822679.1 MSRAPPPPDFSRIAAEIRKRLGNFGDIAGLTALVGFGGLVCAGL YKSIYFVDGGCCAVKFNAITGLKNRTYGEGANFAIPFLETPVVFDIRNKPTEVLTATG SRDLQTVNLAVRVLYQPHVSALPDIYRNVGMEYAETVLPSLVNEIIRAVIAQFNASDL LVKRPEVSNRIGVMLAERAKRFHIDITDVSITQMSFGKEYTSAVEAKQVAQQMAERAK WRVEQAEQEKEGAILLAKGEAEAAKLIGMAVQKNPAFITLRSLEASRTIADLMRQKGS GSFYIDSDTLSLNTQTIGH XP_822680.1 MKPRDLWELMVEILGPCLNKSDTRDTDVIVDETLMTCSTLEDGQ EALVRDAVSGTLHFEKVCEGMLRGFCDYCRRTNLDRCSMYLIAYFVVFRYEKVGGHNL REMFYRSTTTNILVDYLEYMTDPEGVTEHSCGYWNAHYDSSFVENFVCHTLQDVATQV RQDIVEWLQAKVPGGVVPVRESTEEDVCKRLLSTAKPASTVKAPPSSLWEVKPPTLPP AEVREMMFTIPEERPPRIDCSKPPTAAVLPRKTPTVPIGFSFMQRRPLSSFRKKIQER GEAGAAAASSELRPPEVKVVTDKPVEVRPTAGTIRREALLYIKREEERKRALKEVEVS LHDAREYDDWRERERKKEEQEQAEEVVRRKEKIKQIAESATEKRKAIEEAKKAMTQKC RSEKLSLEEAYAAQKEFYKTYQQGIVEERKKENLEKRERALKRMNRARFSAAARVRDE RERLKEEANEEQERIREERLAIIQEMQALRERNRQRKEEQAQMNFESREVPSHSYVSH TMTDRELREELSRLKVENAHLEEERRAQLRAARQKEREKLEALLLMCAKRRQNVRRAR VEEIAIKKAQRAALEEAQNDEAQKKAQATCDMLKQKRNNKRAEHLAFAREDRMRQNKL VLLAKDAHTVEENRWKQQELGVVNRITLNQNKRLYSMQA XP_822681.1 MRRWASYTCFGAITMRLPIPKLAERHMHSPASLTCRKGVPTSTT AAVEMTYFQAINSALDLSLLRDPKTVLFGEDVSFGGVFRCSLGLAKKYGSKRVFDSPL SEQGIVGFAIGMAAVGWKPIAEVQFADYIFPAFDQIVNEAAKMRFRSGGQFSCGGLVV RSPCSAVGHGGLYHSQSVEGYFNHCAGVKIVMPSTPSEAKGLLLQCVEEEDPCIFFEP KLLYRSAVELVEPSYYTIPLGTGRIVREGKDVTIVTYGTQVAVASKAAQRAEKEGISV EVIDLRSLKPWDREMVAQSVRKTGRVIVTHEAPKTSGFGAELISSIVEDCFLSLEAPP KRVCGLDTPHPLHEQLYLPNEAKVYEAVKEVIAF XP_822682.1 MGHFGGMHRQHPFSVAKESPPPLRSFNVRGFHLHFTFVNRNQTS HSTSGSSIHRHQKT XP_822683.1 MVDKAKTREQHPLVVFFRYGRAGWQRKRTQSTKESNPSWWATLL RFRRTQTNKTCSKKHD XP_822684.1 MRGGGGGEERCTQPISIGYHKSPGVTCSFFFFKSSQTLPKYQNA PPPSPQHHQKLVAEIAPLPFRSFRWPVKCRMENITSSSPSLRTNYPHSSPPQRCGTSS EPHHLQKRRR XP_822685.1 MFFFFVVVVPYCGGGMFVTYLIFSSNESRLYGYCLFMPFCFVVK SFSVFFFSFHFSEKGWFMLKGPWVGGDARKRLYVMAAVMLLIVLMFMGVMDFRKREAK RWVKNSSLNDHSPGVYYVVVQTKPSPGWCRMLLSSVLTNVRVATVGAGAVYVHAWRWA WIRKYMLWKRMQDNDVMVIFDGGDTFFSEAARREEAIEYFMNTTPSTRELFSEEDTLH GKMAPPLLFAAEKNCHAPQTYIMTGVDTRKVKPRDMCMNLYEGALAVSIKEGTQALLR ETPSGESHLNGGGMIARVWALKEALEVFFALKRRSFKWWCDQSMWTMVFIWSVTRPKH ANRKLLLRRGIMSLDYETRYFHYPSGVPVKNGMILHFPGPPAARSEKMLQFINETSWY RALRDSSTQREAYKYLLERYTTEIHTVWGSRKYVKFSTVCNVSNAANPRWLIGPLNKK XP_822686.1 MNNLKVLLVLIELSVLFGRGNVVQYPMGEVDPPLGPPPCPDVKT MQEDKGPAGIAGLCKYVRTNCRFHAAQQVSNERSPFCIIEIPYPGDHRNYTCDIGALT QAFPGGGGNVNPHGVGHANANWGVDVCKPATNEKQKSTTNGAGGGRSDSKNDKAESGK VQSGSGGKGKEEEKEREGEGENGNGNGKGKGKDGEETQVGKKGTTNASNEAPGPTESP RPAPVTNTCHFSSNAGKAMFLIPFFLLA XP_822687.1 MNSIKVLLVFIELSVLFGRGNLAQDPVEGPPLGPGHCPPAYVWE ASKGPDGVINVCNFVKTKCRVGANEKMTDEPSPFCIIEIPYPGDKRDYTCNTRELTQA FPAGDDRDPTGVEYANANWGVDVCKPATNEKQKSATNGAGGGRSESKNDKAESGKVQS GSGGKGKEEEKEREGENGNGKGKGKDGEEAQVGKKGTTNASNEAPGPTESPRPAPVTN TCHFSSNAGKAMFLIPFFLLA XP_822688.1 MSFFGKFMKKFRRETPAPNMSEADCSELEEMIKRNPVAATLKDE WALFVHHIIGSPAETRKEIWVEKCKVPQTHSEIDRVGNLFMKFFKDDLIRRKWRGQFS YAVVGRENEGHLEVEVLLHSLKRKETTREVLWNLKLRYHTNAISSEVTDTHKCPNLHN IPL XP_822689.1 MMEVGGWQNMRVEQHGGPLVLVDYRTFTHIPCCTFFFCFLLAFF FLRFTCVMLFSLLLLLLLLSFLFGCLSLSYLLNDTSLFDLCVVHLFCVCFVFVFIRIN ILLPTFFFLLFRFAVWLRGDLVFVFFCFFFSLLFVFFFPFPTVGIDACGFCVRASTAG LCESAFSLFALLTYICASPPLFFLFFLFLFLPFVCVLFAHEHGLLYVYLTPVFHPFMC LLVRY XP_822690.1 MSSDEETITTLYLRRQNILKQLSEVNNEIRTAEQQINEKSNNNE TRRVEELVVKFGGDDDRESYLLDFCMRDANGLREAIRYVDALKALKECTSDDEVNRKK HLSRNTFVHAMIARSGELMVDANGSELIQHALGMLKSGGKVAPVLYSTDYIAASESDL SEFLLLLEVLKDKIPQICCDTNGSRAMQKVFDSLKSLEEVEFSAQCFSECIIELCKDI DGNHAVSRLLAAARGTPLWESGAAGDDSSSKLAHIHQLLYGKFPESCVDVCGNRHGCC VIQKCLQWAPEPYFSTLMDTIVHDTIKLVHDPFGNYVIQFILDHEQELSQRSSTGSEG ADYTNRIIRQMLHNVAALSCNKFCSNVIEKCLKSATPDVRQLLVDELTDPQILPKLLT DSFANYVIQTAIVTSTEEKQFTQLRDSIMPLQRMLKNSPHGVKVESKLVRQQRELARK NGNQKNKKRWAQPAPQVMEKGMHEGFIGTHILPGMAGIPTIVGTDEFGGPALSLPGVA KEAVPIMTPTQYMPLMLPGQQTLIGLPQGYPQQQFPMDIMKGGNIWDPQQGFTLLHHP ESKKGT XP_822691.1 MRKPGRKIVYVPDDDAQFLGSMSDPLPPRVSDLLRLKSPSMSDN GHAETGSNGTTGSPGYESIGNELLVSYGGYTLADGSGTLHPPTTRRNGAQLYKSLRKC CRFLRASLSDSMAPKLETDSESSWSCPSFCSSDPTSSSEYSDVSPQRGRRRAAKQANE QTGYNAMIVVQLRRLLHKRGLSTCGIKKVLVARLEQSDMQLSKSKEEGEEQKEQEELD GSAGECTKTERSEDVCSASCGNVSGRVTAAGPTSMLSSLLSFGSRLFRASTGSVSEGG HVSGLKRRRSV XP_822692.1 MSVADLKAKGNEAFTAKRYEEAIEWYTKAINVDPQSEGAAALYS NRAACWNALAKYKEALEDAEGCISVKPQWFKGYFRKGAALQAMGNYDEAQKALQQSLK TDPNNEELMARLQEINNILKERNEKASPASCRTPEEAKVIGNSLFGAGKYERAALFYS RAIELSTGGGAEVANYYANRAACNQQTHSYQLVIDDCNAALSIEPAHVKALLRRAIAY EGLEKWKKALEDYNQVNRLAPGNQSVSQGVLRCQRAVRG XP_822693.1 MCHIVVTLGCGSRGGEVIQGSNSCFPQMALQQTAYSACSRRVHC VSKTYLNDPFASCFVMDSTVMNSPLMNRGTWLRTEAIERSLLHFARGQCGEVGLQVIS FGSGMDTLYFRLKKDQPDIHIAKYIELDFPDLVARKRRVIDDTEALTRYTGPEYELVA CDLRKTDEMRELLKKSALNNAPTVILAEMIFVYLEERVSSTLLTLTLSDVLDKDTSAL LIAYDAIRPDDRFGEVMVNNLKAMGVMLRGIHELPTVEAHAERCRKVGLPHVISKSMK QLYLEVPQSTQKWLCKLEIVDDWDEWCIMLDHYCFVLASNKPDAVPTSLWT XP_822694.1 MFIHPVHHWSALFSPCALPASSYTRNLRLCECGVFVYLSIFVFF DVFPYFFFLRRAFLTTRCCQIAETLWMDCHFRQQLECAAQCIKEGRHNDAESIVRVLQ SIDNVQAAECVVTVLIDSAGAGVSDDMIYVHFTSLRLLRVYLTRCGLTVSKRGKGLVD SLTAYAKVIGDRLLSPPWRPVLSETALDVAVILKLGCANAEGAFDATACEKMVSEMLD QLSNCESELLVMLNGSVVIHLIEEFGLYRPPSRGRGMSLKFHRECRSAFECDGLARFL NVLLCAASSGSVLSHRVLQTIMAGLNTALSWSHHIFFEEDIPEGDPCSQPLRVSGALW HELLVAGVPMQGARRRGIEEMLQAWYLEGRICDVPFEQRPVVELIREFCNIVVDAWDL NDRLRYGRKFLLLVTAVARDLLARVEQDEEASTALSLILSGSSSILENLSDIFSLSEI MEPFLSELSFIANRLIELDRRYPDNDGIMAALDEVLSCLFRTTQMTSSAEASVAAMAL SVFDAFLSSKLTYAHSSDDQEHFTEAFTTSHITLVAHMGRQDPEKTTVLLCSALDHLQ RWRAGLGSRVGSEWEQVQESMWVVLKLTAAFIADPCDGEHISTPVCFVPYPLSASHPA LRLTTSAMNAFQDLQQNVSLASPAVMSALLDVLGNFITVYMHDPLGDNLALASACVTI IRCALYSLRVFAHDEDVGLASCKVLDASTRSVSIIAALQESPEVLREAEEMVHNNQQV QGTARGCIAAFCIACLPLDATPTDLLRILCNFDTQVTDFTSVDVDMCLERCGSLGGFL SSLKDGDRLVSCLEIIMGICNRVLSVSATRFYEKELAVRSIKMVSQLFLAYSPLLMDE PLLWLMEKVTATLHAAMKVLREDPTWCSENAEEEKQSMLKLMSSLLRDVAQWSMMESS LPPDVTHSLGLCVVSALATFLSFFDERCLKLPELKGSVLQAFQLCADAFTAEFVSSPD FQAFLIVLMFTLNSDAIDVQRIGTSVAETVVTFLHRTGADNSELFSSLLSALTKSFLS GKLSMALSPQVARCLVALCGCLPLDYMEEIIQTTTSTLSGSNPHAAAILRRMLTTAQQ CSVFNDRQRIISLRSLSEIISESLCGIRGILLV XP_822695.1 METNGPKRSRVRDQLNSLKHFQQHSRLHFIGQWKTKAHDLFKEW FAENPAWNHGVLDRQAIFAHLDMDAFFCSVVLAREENAHLRDKPVCVAAGRGNSDISS CNYVARSFGVRAGMYVNAARVLCPDLRVLSYDLERSGEVAMCLYRMIFELCPAHLTMS VEVYSVDEVMIAFDTDSYEAVEDYCNEVRRELEAATKCTVSCGIGPNVMLARIATQSA KPDGTFIVRPEDVTAIVSQLPFSEIHGAGSSTIAKLVPLLGDYLGDMEGVGEENILCQ HVQKLTKQQLQRVLGQKTGENFYNLCRGNDVRLVTRTGDEENQRLMGKRTRASIGCSM NYAVRPKTVEDVWSIARQLLDVVCAKMGRGPYCCSGLRVTILERHPLHPKETLKYMGR GKCLEFHIPVNFSSVLKSCDMEIMLACVERALGPLLVLGRTMRDEERVEELGLQESTK SGIIWTVGVDTITDIVIEDIRGMSIQATAVRLEHDGKDTPKHTTGVQLSLADAFSKRA SNQKGSLVNGGKPAKRPPSSSGDSIDFLALDDLMNHNVDDSFVSEWKKLAVQAGKQVD YTTLKALIRIAALRCAESPKPSGEMKELFRTLVSFAQGLLPHPVAFT XP_822696.1 MPKTAVLTVSAAVAASTEKNGYTPLYGILNDLLLEASDKPVKPV TCMPAKGSLRTRQMVRQLKGISSLEDLAAFEEELRGVVTCQESRCRVKMQKAFLTGQY IAEEYSLLCEEEEQCRNLLWLASVSEVGKRAAFFKVVWDEMYSRYLAYNVFARERIEN LYGHFLGKMAAVEADRRRELIELEGMCRKEVTRRRFLEASRHIGMELLFQQSYRVSFW ARCRSDNFKRDIVLLDAEEERERCSLERLSLQNLAILANELENSASRLMVTSVMRASL IRRKLVDDERMREVARLLLNVPIREMDLRAVLERYEMEKRQEIRVGFYLGWRELLNQA LKPGDAADIVYRLEWYERMCVFDQWRHGFHAIGECHNREKRQIEKFEAEHDEFYYDVV HKLRELWAEESVEYTQLKNMYHSFVWSKRMMESAMIVESEEECCRKRLIEAEIEEAMN LMMFSHLQLCELTAAGRAAAIMEVEASCWESMVRSSYATLGTQLCEKLLNDEENERIA IISEARSAWSVIVLQEAAPSSSPVDDEPVQPVYAGPDPKLVEEWYRRQYSDQWALLER EKCRRKEVVVSESYGRRWVYANILSDVEQWNRMDIAVEFQRGLCGIALEKLVDVEQFV RLDLGTGAQQRWKFLTKSFRYSRPYLLSCEVDELDGRLSIITEAETTIGALFISRGGY RPVKYCSEELWSTRSITQYMSLEELLQRLSDELVHTVEPEGRRKTAACETNERLLLML MREESVCRCHIHKCVEDSYEALRRLFDRIISREDEWQQRSAHKEPEVERTGVEALMDY FRRQEESFVTKREAQRQRSRQLFGSLFKEFYWGRDAIIVEELVGRDVLRNFVHQRSLS PPVLIREAATQVIVRLYNVALVTNDLAGSLKVAMYGSEEEKGVTCRLSETQTLFSAGA FFRICFPETQLEWSTPLTNESKVLFFRIGADGSDDVVATASLLLRAEAMRRNNTTSVH SIPLDQQNGKMNVIVYIM XP_822697.1 MNCRKRGESVTLMESLRTAASYDQVVKKRAGDMKSKETPFRFFS NYVKKCLIQCALDHIKVTTGRRDAIVLDLASGRGGDLGKWLHCQSPELSFATAKLPRE RLTKAAYVECYDVSPECIAEAESRYKKIAPDTVCRCSFTVKDCFSEDFLLRELPLTQH FGKFDIVSIQFAFHYACDTLERIDMLLGAIARALAPEGVFIATTVDEEVLAKRVAANR MESKGLFSIHFDSEPQFEYDRLVVGTRYRFNLDGFVDCDEYVVPLDYVRDCAKQHGLE EMVKFSKHFGSFYETYKDDPSKNKERYLVGGEMELATLYRSLCFRKAS XP_822698.1 MTDRNGEHDVSTRDCQSDSEHSDSEKRKYYISQSLFESLQAKGF SENAIKKSIVAGCVDEGTCTQWITMHEDHPELNTPLEEGVEVVVRVKRVLTEAEREAK VQELRGKARAKMEEEKRQALEDERKRVSMGRKAIEVKEELDKIRREAELEAVRKQKQQ DLAARRRVRIQILTDKFIREGSMPEEARRKAEEEIEEEARKKREQALAQVDMEQWQHG TSQTGTAPTVWNLQSLAAPPPVSLDEVFTNEPPMAASSLPELAAAVANFNDAVTAQQC LKMLRTILTNIRDNPFDTSKRLLKTSTNAFCTKLAPVRPALQLLRVCGFRLGVDFGGN EVITATTMVVRVVNRALAALSSYP XP_822699.1 MTQSTIPLQSNIKISDRLEENSIDFCRFVGPFNRADEYAFTAPP WCQREGSENVPGSSLSTSVSAVFVLVSSPPNCGLVARFFCTKLLHYLLWCYKDAAFFL AGPSPRDFNVYRHEDDAAAWRKCMETCLAKSLEHVESARKRGTLTEPVVIVLADCESQ AAYDTVHKMLGSMENLFVRHIWFGDSWRESNPVDCSYINVCLRQPAYRLTKCRGSSTC AKITSYLNSCLPTLHHIHLHEPLSGSPEETLRSGCPLFFTRHGQSEYNLEDRLGGDPD ITPLGVDDALTLAEFFRDQVVRNPRLFATRDSIWDETEGFEVWCSQLKRTRHTAQPSA DVLTNGNLKAFKMLNEIHAGVCEDMTANEVKEQYPSIQFFRHTDKAGFRYPNGESYHD LKRRLVPILYDLNATRKGILVVAHQAVLRAILSFFGGPPVEEAVHKPCAHRAVWCCTY NRLGEPRLSTITLRPRLQSSTEASTSVG XP_822700.1 MMNASWRGWVVLSLFVTVVGVCSWRTVKASSVAAVSDRSVFYGK MKGNWSVFWLSGNQPQRTGFVLEVSSEEVLLRREVLQSVLSNAVMSVVSFFSIFTNEA DQSVQCVPTEWSLTLPDSLRMKITVDGLRLSNCTDAKLIPLLQERGETKLGGLLREPQ PLHIPADDSGCARAPFNLQGYWIEGMKSDRFLIVFLLAGKTSNCSAIFRINRAEGEDS ESPVPLVIMLMVFAFLKFGYRFVMKGDSHKQRRSASRAFVPALTDQRRRELLQQKDEI IQKMMLEDGKR XP_822701.1 MESQWNGYEESTLLGCGVYPLRRTSLDTPNGPSRPMSEVIDPIE GEGEPQDIVDEALYFLKTHLVRRAFPIRGAGDRVILYLTWYLHECIKSLVGLNRNEAQ KAMLNRAVEGVVAPVDEGFVFSRFFSPGDDSEQDRWKSYATQLRVEAGSRLVEKIFLF PEEDGTGNKFWMAFAKRPFLLGG XP_822702.1 MGSTPHYSRVVDAPEALNVVTGRSIYYGMRPWRCDDRFQDFMGS FLRLDSPVNQFQLAKLLWRCARSKSGEEPLDDYRWLGRKEVMFYVERYGSGMMRTGIF ERYPYNQNELQRVMEEDGLRMRVWRHQRELEMESKQGKVDDLLRTNVMNTVKKP XP_822703.1 MVNFTVDEVRALMDYPEQIRNMSVIAHVDHGKSTLSDSLVGAAG IIKMEDAGDKRIMDTRADEIARGITIKSTAISMHYHVPPEIISDLPDDRRDFLINLID SPGHVDFSSEVTAALRVTDGALVVVDCVEGVCVQTETVLRQALTERIRPVVFINKVDR AILELQLDPEEAYQGFVKTLQNVNVVIATYNDPVMGDVQVYPEKGTVAIGSGLQAWAF SVTRFAKMYASKFGVDESKMCERLWGDNFFDAKNKKWIKSETNAAGERVRRAFCQFCL DPIYQIFDAVMTEKAEKVEKMLKSLNINLTTEEREQVPKKLLKSIMMKFLPAAETLLQ MIVAHLPSPKKAQSYRAEMLYSGESNPDEKYYMGIKNCDPNAPLMLYISKMVPTADRG RFFAFGRIFSGKVRCGQKVRIMGNNYIHGKKQDLYEDKPVQRTVLMMGRYQEAVEDMP CGNVVGLVGVDKYIVKSATITDDGESPHPLRDMKYSVSPVVRVAVEAKNPSDLPKLVE GLKRLAKSDPLVVCSIEESGEHIVAGAGELHLEICLKDLQEDFMNGAPLKISEPVVSF RETVTDVSSIQCLSKSANKHNRLFCRGAPLTEELCVEIEDGANAGSEADPKTRARFLA DKFEWDVAEARKIWCYGPDNRGPNVVVDVTKGVQNMMEMKDSFVAAWQWATREGVLCD ENMRGVRINVEDVTMHADAIHRGGGQIIPTARRVFYACCLTATPRLMEPMFQVDIQTV EHAMGGIYGVLTRRRGVIIGEENRPGTPIYNVRAYLPVAESFGFTADLRAGTGGQAFP QCVFDHWQQYPGDPLDPKSQANTLVLSIRQRKGLKPDIPGLDTFLDKL XP_822704.1 MVNFTVDEVRALMDYPEQIRNMSVIAHVDHGKSTLSDSLVGAAG IIKMEDAGDKRIMDTRADEIARGITIKSTAISMHYHVPPEIISDLPDDRRDFLINLID SPGHVDFSSEVTAALRVTDGALVVVDCVEGVCVQTETVLRQALTERIRPVVFINKVDR AILELQLDPEEAYQGFVKTLQNVNVVIATYNDPVMGDVQVYPEKGTVAIGSGLQAWAF SVTRFAKMYASKFGVDESKMCERLWGDNFFDAKNKKWIKSETNAAGERVRRAFCQFCL DPIYQIFDAVMTEKAEKVEKMLKSLNINLTTEEREQVPKKLLKSIMMKFLPAAETLLQ MIVAHLPSPKKAQSYRAEMLYSGESNPDEKYYMGIKNCDPNAPLMLYISKMVPTADRG RFFAFGRIFSGKVRCGQKVRIMGNNYIHGKKQDLYEDKPVQRTVLMMGRYQEAVEDMP CGNVVGLVGVDKYIVKSATITDDGESPHPLRDMKYSVSPVVRVAVEAKNPSDLPKLVE GLKRLAKSDPLVVCSIEESGEHIVAGAGELHLEICLKDLQEDFMNGAPLKISEPVVSF RETVTDVSSIQCLSKSANKHNRLFCRGAPLTEELCVEIEDGANAGSEADPKTRARFLA DKFEWDVAEARKIWCYGPDNRGPNVVVDVTKGVQNMMEMKDSFVAAWQWATREGVLCD ENMRGVRINVEDVTMHADAIHRGGGQIIPTARRVFYACCLTATPRLMEPMFQVDIQTV EHAMGGIYGVLTRRRGVIIGEENRPGTPIYNVRAYLPVAESFGFTADLRAGTGGQAFP QCVFDHWQQYPGDPLDPKSQANTLVLSIRQRKGLKPDIPGLDTFLDKL XP_822705.1 MVGKVEVIPNEDIVVGVKNEILRVYPFWKALVELRAVCKRKPAL VSCNVRLLDREPCEIFSGVDVVWMRSDIVVSTRRTGTPGTVDIQGGVQCDEEVFGFGS MHFVHRDAHITGDDGSYNLQSVVFFQRQEQMQCVAGYMLHFAFLTHGMMQRCGVHEDE GSGRASFPSATTKVLILGMGGNSMEFGLRHILGVKAHISIVEIEPAVVRTCRRNNLLD GNPNTHIHVKTVEEALLDCPDDFNFIFMDVFEPKTGKMVNSHKLIEKAFAKLAPEGLL VMNEHCIPTASKLRELFRHIGTKCLQYINVKGWNESVITASKMGETRSESTKLYSKAL AESVLHSYNAAFPGWMPTYTWIERTRGYTIKNGDEKLFVRQWVT XP_822706.1 MVMRWGFFSVNRNLPCIFLGAFIGYNVDVCCSVKGRSMYPTLIP GDYVLFIPSFVHLLARELTKMQLVREGDIVVMQISPELRVCKRVVRTTSDASVVQYWN NLQFTVPALVLGGEPSENSGGEEETGAHSDNSSRSHEWDTCLERAGNKSALWLWLEGD NPLESFDSRHTGAMPVECLRGRVLLKIWPSLTRLPSTAPKGGAGEGP XP_822707.1 MQTEALQHRMSKADLVAVFCDKGCETAMTAQLIPLARFPFKLFP VCNGPSMYRAVREIYASRNLYRCALNLCTGTAEDEELASPQVLSSLFEHFDILYGGCR YATLRQPLDVLFMMAFYAGLPLPRSTVVKADTQTDYLELRLPVKLRNANPLQSRFETV VEKKSALLRMVRQGIQSHGKLIAWEVSPVKDMGLEVLVWGAGSFAVATEPPRQQDDAF IKKWKPSIEKWAAAFSKDVLNRSGFARLRFNSSTTSEKLVLEDIDVGCSLLGLCTQLS IVASQEGLLDECVRECERAPLAPVAEVCFGGENKGYYLRASRDVPKGGLVFEDEERSF SIVTRPFVEKTWDEEKKKLFREYAWPIDADGHVYVTWDSDPNCWRPINHSCEPNCIFD ENHSLNVIAARDIAKGDELTMDYCTFCDNTMKPFSCFCGSAYCREIIVPNEESLRAYG THAWHRKVPAPHLNDL XP_822708.1 MTVKYSIIVPAYKECGNLEPLTKQVFDALADDGFSKNEVEMVIV DDNSRDGSVEVVEKVRNEGYGVRIEVRTNDRGLSSAVIHGISASKGSFILVMDADLQH PPKTVPRLLRALEKPGVEFVCGTRYGAGVEIDKDWPLHRRFISWGARLLARPLTPLSD PMSGFFGLRVDVFQRGREVVNPIGYKIALELFVKCAVRKYEEVGFNFAARTVGESKLT GKVIVNYLEHLKLLYFYVYGTALTVLLVLLPLIFYCFYILL XP_822709.1 MPLQYDIITKGTGPCPKAGDSVTVRAAGFFPDGRIFWPAKGGTE SFSFRVGLGHVIRGWDEAVLQMPLGEKAKIAMTSEYAYGTKGFPEWGIEPGASLVFEM ELVAIN XP_822710.1 MFVSDNDDYLPEPQAPGDHHMHEERLRRLEENFALLLNQRSVGN ASGPKESTPKARVTAVAADVQAADERSLKLTYELRELVPHLSPGFDCCSADALLLLSA IRNGSHGRGCESWEDRLAVVKAEKRSLHHQLEKKAQECEELKNAVAEMKQRLKAERQE ALSSVNVLSQRREQVRKQLLLEESRSQKLQVRNGQLESELERLKDMLHSCMRR XP_822711.1 MASNPDSKLHIPDEMFNFFNSLNTAVDTGDISSFHNLYENIFPS HLSKYYAAEQGEFRPLPVLQRMEVAECFGNDTAGKLYSFLCFKHLFTDRDVTAEDAKV SWRTFCDLFVSLPGSCDIPNWFLWDIFDEFLFQMTVVYQKRFAEGAEWSVTEAVQMME KVISESGIEEVMESDKADDITKSGENHVRWMSGFFGIITVAKINVLLGDYNSALSVLK PLDIYGRGKKILAEVAPANVSLMYYVGFSYLMLRRYADASRVFRQSLSAKVSSRKFSE RVRLDCAFMHVVSCILCGTQPDNLSWLMDSRKLQVLEDDKELLATGDEERFRDVFDRC SPKFLAVPPVPPTVCKGMEGKELQARLFLRAVKQQQDTIKLRVYLGVYQTTTTELVKT VLDVNDGLVPLFAMKLTSRQLVHDGVSADLHSGTYVVRAALDCTVEGDNICVVQKSSY RTIESKYFKRMTQRRPRHRHFDNPRQGRRPAPDAHNTN XP_822712.1 MSASVSPCAASQECGAEGESGRHNCGFEPRNTADGDGRELLVRE ALSLLGRMRVDLSHIKESANTRDNTERTMSLRANRSLVLKGSPDSKRLKGYVSSCGVY CGGALFFPLPTAPMTSLMLGSDEGRAVRHRPKQPAIEPCTASAAPPTLPLNKAVNHKG ASKGDERILQNPAGERQGLPVRKEARHCSPNNADDCSDDSSESLTNCSAELAGSVHEN VDDVTRSDELKVRDYLRRLNIVEAELSESEEPINDPVLNGSALRRLLLAALHLSNGNG ETFNPVHVAPPKCLDDVRLNYVAAMGTLRNLRKVSGVSVPHECRIIKPESVLVKGKSR VLFSLLRVIIEACFPTTCEKLWSSPSLTWQVDSTAVGYQPSAMRRLEREVCDFLYLQK ILPDPVAHRLPPDDAIVPPALQAPFLPPQRRLWCLRRDSFSSLYIPSVFPFLTNGAAL CDLVDKVTGSHLPVHRNPRIKSNCIDNIKVAFDELHRYCPARMSSLFLNEAHRVYHGD RCYILLLLEDIMRFAADVPPRRQPPKHSDAPYFPTASAPSTTAPPPQLQLEVCVQPRQ QEQRRQGAGHRLSKSQERRVARCLITSPLTCCAAAGSKGRKVGDNVASTAQTAAPAPV VVLSGLDYATEEVEELGTWVTEVLGSDFRYVAADQSFSFSCRSLWLRDYPVLFSDGVV LAHLIRTLQRRPCVELESIELQAKSAAAKRRNLRKCISYLQTEKRILLDVPLLDEMLL SGSLQAVLYVVQSLKNTYRLAVRG XP_822713.1 MAASEAMREKATSQLSALLQKMEEFRSEPVSAMTFARGIVDKMC STCRDKEAFRDQLMGLLANLKGLDGELLSLGPDTIATMKPKEMLSVEERRKRDRFLRK RIREHMLHDSTKMLCSTCKLVRRDRLNVNQLALDSEENGTHFEYNFDNQCQCSSRSGS EAASSTSSSSSSSDYGGGEGSSEKSLKG XP_822714.1 MLHIPRMASLPLTVIIAVASLSVVTSVAVGVESVDVAVEALHEA IISRDVAAVKLLLEKGDLKSKLKGYTPSPFYWVADEKNDSKKLDEIMNVLLEELDGEI EHDYGRYHPLTRAVHNRLSHVVDTLIHWPTTINEVLATYLLCDDVGFVPEGVTIVVTS YSRDCFFKFPQRVAYEHSKIAAVIRRRHREKFHEASKKSSSVKFDWENPFLTPPAGVK PPQNQHVMNPRAFTDFSQAFMYYFFGEWVSPYRFLYHAMWVVFGLLVCRVIVTAVRER SALAPVEPPPLAESPQGDMAAAPNTEDT XP_822715.1 MAMGRVSVSSLPSNVSAWVEALQRALHLKVEDLHLPEHFHLKNL STGCRFSHLNTPPTVGDKRESAVLVLLSPAAGMPPNTFQEMCVTLTKRTPHLRHHKGE MSFPGGRLDGEEQAAAAAQRETAEEIGIDSSLYEILGPLRPLAPLSGKSHVTPIVAVT QYSVTPLCHSPHEVDSIHYLHLSPLLLNSKQTHCRLLKYLPSSSGVPLHFPCFFTSPS QARYCDPVSPSPGLLQLSQEDGGHEPLLPNNFPGELVWGVTAFVMCELLVRLITALGG SGTALGCSPAIARDPEHPLGKR XP_822716.1 MTSNVKEPDGPCNIDTIRQYVTPLNYPLEKMSDMAEEMRAECKE IVVNAIEKHEDSYELAARHIKEQMDKKYGPSWHCVMGEGFGFEISYEMKHLMYMFHKG YVAIVVFKGL XP_822717.1 MSKEPGHWDFFKLPPFFTLQPSPSALERQMALWGNLVMDHAAFH AQHRKRDTCPLLRLYSCNSGLFRNETINRRLRPEDVKKVISSLVAQWSEHCVLTSDGD GMGDVSVLVTTSKGGLKELEQSLLAWILERGAGTTVAYLSQKGVVMTFDELVDGQCLL YSRESEQLLPRLTQEAVPIEDVGALSQEQAVRCFLHTLAERPVSHKGLFSVTLFNLDG SDRRPYEGVKFGGAAI XP_822718.1 MAETTIGFRCQDFVLVAAAGLNAFYYIKITDTEDKITELDSHKV VACAGENGPRTHFVEYVKCNMALKKMREHGRMISTHATASFMRNTLAGALRSRDGLYP VNCLLAGFDVPASAEDDVATGAHLYYLDYLGTLQEVPYGCHGYGAPFVTAMLDRMWRP NLTAQEGVELMQKCCDEVKKRVVVSNNTFICKAVTKDGVERVQSVS XP_822719.1 MSTSATSISEADNPPHTYAAPGEEAVALINRRLARIHDAVEELR RTEDIETAEFQNFSARMDEEETTIRCLMDELDATKEELVEQAEHENLRLRTSELSERI QRLLWTEACLSLMEQHTMERVNTLWGHFSGGIVGVKGSGWDRQSVRLFNHPTQLQGSH PSLEESLGVRCNETLCAPVRKFAPLSPECERLMAVIRSSSCDSETVSEVLAQFGDSGS HIVNKADEEGNTALHVLCGKHNFSTAVLKLLLKAGADASAKNAQGRSPFHIACLNTSD GAAQLKRALLGAGCDVNERTDDGETAAHMCATDDRHFDSLQFLCSVDADLSAGAFFQG VWCTPIKVAQLSGERALRTLEYLKLC XP_822720.1 MLGVRACFSHSPALLFGAFRPTMPCKNSADRGSHERKTPWDALR ELRVPPRTTVDSVATLDSTLDMVGYQLFRDGLLSPPPLSFLQRRQQQLQFPKHLTPEM RRLEKEKEQLMARMRKNGVVIRRSIKETFLTIGCDEAGRGPLAGPVVGAAVCRIPRSS FNNDLKALYDADEEFQIFDSKSVSETQRKVVYNNITGYHNLFSLVEDQDFVVHHAGGD SVPDNVLKKRLPSHTKLGKLPFKKLLSMQTPYLISYHGSNVSGNYLYFWAIGIANHTY IDTVNIYSASMNTMHRCCLGVWDALNDARFSYHVAPRPKNCSIAQYLFSRFCITAAND DRRRYEVPDQIDLVEGATDFFDAEPVQPPLVLVDGHTAPYETVSVFTDVQTGGCVQPI IEGDKRSLTIAAASCLAKVSRDEIMDYLDPLYPKYHFAENKGYPVDHHMRAVKKHGLS SIHRRSYRPCAEALARQARRKMKDSHS XP_822721.1 MHLQVYLVDGKRQYTLKKMDPDGKPTLSAHPARFSPDDKYSRHR VTIKRRFKALASEKRPKPL XP_822722.1 MAVRALRPIATRNAFHDEELARMNHVHDLRLEPLGSQVPCTSKN QPVSTLPRVRRHCMAFHSRINALGQRERDRVLGPTDWKRTLRRSSGECFYGFNSRKKA VRRRLKTATEVEIGDHFTAGRLSVLDVINAQHRGCGALYNNAVTTSTLPPLNEGESGH HSRCALKTLRNLLLPICRLWLRKRGKKKVTLAPSESREKLPSPRTREKVIAVGVVLSY LRRRCPLSSHSFRQLREAAVILQRAYKRHKTYVDAHVELNYRKIRASVEEMYLKDVED ENRRAEEEYNGLDINGSDEMSLEFPRCGERLPEIFIRYELRSLYYCWMWLCVEAGSNR RLPTFLSQQKLYSVLDNVCYITSEMRDDRLVRAHLERRPKMVVSYYGRYDPSNEWE XP_822723.1 MTQNILLDPSIRDWVLFPLIALVIFVGILKHYASILMKTSASPK METMCCANTVNCARHLLSEGRKLPSEAFQQRVKALREGPLKKKIEVNPMEIMNDPTVL GDMMKGNVLSMLPSMGMMMLVSYFFSGFVVAKFPFVLASRFRGMMQRGVEIDDLDCNY VTSLSMYFLIMFGSNSVLQLLLGEGGIPDENAMMMNSMSGGGPQQPVDYNKVFKSLSD ELEYAQDKHRWVYGDAPRLLLEGK XP_822724.1 MGSCQAVCGAATSGKGLTGRQVAAALKYASKPSGEEHEERSKLT EDAEKEQEGNKREGDTVALEHITEEKCRNGRRLSVLCPYGNGKEPMNVYEYAPDVFYL LRKLDGFDKKTFADEWDLPNERQDLELSEGRSMALFLESKSKYLLCKIIAKVEVDVLL HLLPRYAKHFREHSNTLLMRFYMLLRVEFRGELGYVLCFGDVFAPCRTLNEKWDLKGR RPKPGKYKHFAKLFQHPYDSTTGQSTTPGTDTTQYFPSGTGISGLKEMPKATDKNKLA TKKDKDLTRYFWLDKEQREKLLLTLRDDCKFLASVGLMDYSLLIGVAYEEKGISNPTK RLRSMRMPYPRCGPPEGAMENRPEWTMTCGGECKFSKGIFSLYNQEVYYIGVIDVLTP YTFKKKLAKLFKSFLWRMDTLSTIPPLKYCERILQFTEDIFRSHVDGKIPPPEK XP_822725.1 MSRLYIFYKLLLFTALLQLTVVCPSIHTAKAEARESKSLGSSDT WGCVLCTAVVATVSQLGQLHQIPAKDALGLFCSFFRSAEAVLCKTASLILLEGALQLI DEGRTPDKVCQIMKYCDTEECILFPPDKNDTLPLHKLRSAYRLDGRKLVDACRTFPTL CRPLFEDSAHDKDGDRFSTYPTKRGTDWRGRDCDDDDARVYPGRNSTDSQRDENCNGI YGVEESSKKTYEELWCSNSSPMGVIAVGDSATADFGIPLGYVSILDLSSEAVANIIPV LDNEIDWPMLSGLTGFANSTRYEPDVDGPMASVYSKLVELNRCNHRDYQNLGHNGARS GSILSILDSVARNRSESVKPAYVFFSMVGNDVCPRPQDAPTPLDYYHSLSEAVKKADS FLPPGSHVLIVSLVDARAAIGSIVNRIHPIGTLNSDVTYGNLYDYLNCLQVSPCWGWL NSNESVRNETWERASAMNAMISRVVDESTDLENIKVHALGDVIGEVVSSFEGPLWKLV NPVDGFHPSQIATALMGARVFAKMQDLGILPTENPFNGDIQRRFGDQGGY XP_822726.1 MSHDGDDGSLQDVNPDLLELCKDPVAWREQRRRALREKVFGRGT GEDSGIAGSRVGNIDRSTAVSALDPLPNTPGPNGPTRKSAEDQEAYVLQQIMSHKRKR EEATAIFGNTVAVEAPTVKTSVKEKLLLKLQKGK XP_822727.1 MCYIILILPPWVSAPQPRHVPRVLCCICYTLLLLPRRIYVISFF LSLFCCRCVRALNMGSSGYDTSYKSSLSSAPSSVGSRTSRQISEGATSVFSGETSSVS GHDPVFRNVKRNPNLQFLKPDDSVIHVSPSARNVVRYVASNYDSFPDGHYRVVDYFRV AVEMFLQQVRLEYEKQAVQAVKNGVKKPRYTWGNKSGLAISYATSCDMLKLLYDVISE ENRPKWDEVVKQFIYFLISESGIPGGVFSEQTYHTKFLDWRKGGTRYQNLSMASNVRF PSASHRRQGVENYLRSGSWLSMKTTEVTVLANTDGTSRGESMGGVTDSTPSCSNSHAS SMCYPPATRIQQRINMEHPVVPAMAKQVDDFSPRRTRWREKLTQIMGSPSARGLGEDR SNMVLTFVRLCQVCDSAEQAESLTDIIVESDESIQRSFEGNGGIAVLRRFVGEPKWGC QFKFLFGLVEKLLRMRLMSWGTESRQSWLRDLNGRGRRNLDWLRNIEVIPKGEREKWT NLVRELEARYVLMPSHRDLEQPARVKRPPTGREGLEQKVASSDGHSVKPQLCVSNSRC RRLPTEFLTLPDPEAEGDVNNFMDRKAKYCEDGQAEWRKALRKAAGVDLGGVSVPIWY DPYQLLDTSQCSSA XP_822728.1 MQVIDMFALLRDQRVTPVVHLEALCALDGIRIEPGADPRELLCF YRMALMRWERIGSDTGKGGEEDVMSLSTTILDSLSPPASAVTSRGHPQMLMPSLIVCS SDGTGTLADHRMLARLKAQILIGRTILDRVVSFRK XP_822729.1 MVTEALNRRFEHLLDSNTCSSDLIDVIRLLVAHANETDLFKKEL RSAPFSVPAEQQVQRVQGEPLTCDQEKMEQISFRTKEHILVAAESSLRSYVTSLKNVN DIAIATSSKNLREMKGRLSADMLSFQERVVRTTVEQMEQELDSLRVSWLESMNREVAR VKEELHRMGVGLRRELLGLMEGYSKYMAKGIRLLNVDRLADMHRLTAECMLSAERLAL VEKQLVPRVEIHVLHEQVKEIERQLSRIAGYSLGARQQRVESEGRCSRSVSVAVDDGV SRVKNLTLGGGCGDSRGCSGLVDVMSYSRAQETTVNSDGAALLRDPVPTTQRAEFAST IQQFLKR XP_822730.1 MYSDGTRKTMSELQRMAGATRMRIAEEGAQLETLSKATTRAQKL VDSMFNLFASGATHHERGELMRILTSEESDIDIGGVPPFPAALALANGQLPHVRTIRA AGNNRINDEVVIFLSQVIRFSAVPAQVELLDISDTKVTERGLLFVLEMILERDEPFTL IAKGLVSSEGFALAGDLTGVGERFRKVFEMVVLQRKSVIIF XP_822731.1 MYRESLLGVALGATLAELESDCLLTEGQKQQLWEIFDIAMDRTL AEAPVRSQVRVRTSAPSLVGHATLGGESGLSSIDAPTLSTRPAEMEFPLPPSEVTHTV ATTPCDVLDEEVLYPVYRVKDGMWTILLKDPILELTDATGSTETIRLDYLKVYLKEVV SRSATSVSASSRKKRKRSRP XP_822732.1 MIRRYSLAIGGSCCCPAPRLISTEAFVSSVEKVQHQLGTSERKQ DLILHGRQLFENESLDDIHSAWTPVVAGKICHAATQLRISPTKGQPFGAVVGLSLTPE AVGVMDAPSLARIAHSCLILRSPHLYEVLFTYIRRLITLAATLDTVSCAVLINAYGRA QVHHEEFYKVICDRAAVVMKDPRTFVAHTANVAHALSRVRYFHRDLFLTLRDQAERQA SQGPPLVAVTILDGFAEIGFVDDALFTALEQRLLGELAELPAPLMASLVSCLAKAGRA PSPLFTACGERIVAIGNTFDSNSIAKTCDAFYRANVPAEEVLGTLAERACKVVADFRP DEINQTLSSLASFDLFDGELFPLLASRFVSIVKQGGYVSPVDAAGILTSFAVVQERSD ELVHVCTQLLSVHRDALDGITLLQTLWACVTLNVRNEAQQNLLQCLSSGAVVLPDEAS AEKESKVVLERLLFVKKAYGLQ XP_822733.1 MSGMQAPFQGGVSNIFSTPTAPFSARQLFHIAGRPAESTVSGGG SFTQEDRLMLQLLYQQQQAFQIQLQSMALSLQQLYMAISALPHLNASTTKHDSEDASQ SAPVHTALSASVGAPLTAVDAPVTSSSVRGRAGEHREDAVVTERQPSTAQHQGEVSRS CTPPPGDSDVSRQSMMNRSSESAAPWDPSAGSRHSSATRGVRGENPLLSSLRGKLPTP NRSAITSTSVATGHSASMNVSVNQDDPKPTARPTARSSTSSASRLDYGARHVTSSLFS SAPSEEKHHHQRARKRIVPSSRKVDASHVTRREEEFIPPHTGTSGSGPVVGSSVTGGV SCGEELQSVKGWNSSRGWNQPDKDEFGETSASVTGYDSQSDGYGSYETRQYLKTVGLI XP_822734.1 MSIFNGKTILAPMVRVGTVGFRVFCAAQGADIVFSEEVVASKLI RCKREVRTYTGCPCSMVEFVSYEPYKNKFKRSIAFATVARGGCNSQGEGAPVVLQLGV AEPAIGARAALLCVDDVDGIDVNMGCPKKFSVDNGMGAALMRDPARAAAILVAVDEAV NSPEKVVARRRRVPISFKTRLLDTADATAQMLLSVMEGVGPDRVHAITLHARTPDQLP DSPPHYERAAATISQLRSHKLFSKVCFVLNGSISSRGDGRRKAAQFGFDAAMIARHAM LDMSVFSKVSSEPREDPGDDREPCTDFMATPMACASWMELYRGLLRHHVIYRTPYVYT KYHLTRSVPNITALKHLMIALQRETNCYEDAARIFGLSVEEQQSMQGVSEAELLSSLP VEEQDLNEAKLLIGETTGVDGDSHVEGIHRLSKKHKGEAEMGR XP_822735.1 MLRKSSVSFLAATRTALSGGNTGPTPYQDKPYPVQPHNLNEFQQ PPDTWRKSPFMSKLMDQALPVYDDVVTGSGRLYWQEPAKVWHLFEADDTLILEEHDRE EWNERPYVAVNHLYEPPIGSEERPIRVEAVGNPGDQQIVMCFGNCAPHVPQSTYYMMM KGYSKNKCPMCQQWFYIHNRPWLVMHPDWTDEPAADEGPAYTFAEIEAEFDRDFHEFA VYLNAE XP_822736.1 MPPLFRICPLCQQGFGSASLGIHVPQCYQKALKRWKLNPNGPMP VMPNISPTPHKGTKSSAGGVACGNGANGARTLNEHDPFANGSEHPEGNMNLHPCSRCG RKFLFDRIAYHESVCKGNVKRKVFDSSKQRAIEGQYSGGCFGAPSAKGRKKAAPGASS PAPGVPRTRWREQHREFIEAMRAARQARQTSAAMWGEPCAKEPCPNNRQPRAPPAIAR QQRGAQQRQQRTANPMGITFSGTQASSHSASSRPSHGNTFGNRCEGFGSRVSGRVGGG GGGGQIRIANDNTTSLGMLQAFGRA XP_822737.1 MEPDDGVASWMELREEGNKAFKSEAYANAVKLYSEAIKLNSKEA ALFSNRSAAYIKMKEYQKAVLDAEAAIANDKTFVKGYSRLHNALCHLGRFREATQKLK EALVVLEACGASPEDKKQIQELHRTAEEGQRGFEAGQRLLEERNFLAAERELVKAAQL FPDCAIVGIMLGESQASLYPERVIRSLTALSSAHADDTYYLYVRALASYYSGQSGLNN AQSILRHTIELDPDNRKATELLKKIRAVESQKTEGNAAFKEKRFTAAVNCYKAAIEVD PSNIRMTAVLRGNQAAAKMELKEYSSALLDCDFAIKNGAESAKLYARRSRIHEALENY DDALRDIQRAAEMDPSYNGEAQQMKISAKRAKRKDYYKILGLPQGESDDSSIKRAYKK GCLQWHPDKWAHATEEEKAHAEKMFKEVGEAFSILSDPQKKRLYDSGQLDDASSPGGT SDFPARQADIFQMMNMMFQGGCGEGGMPSGFSFTVDPRSRRQKQTFHYR XP_822738.1 MLAKDVDAGRSTTSSTTGVPYIPTDVIVKKVAPLIFLPPEHIRE LVQCFDHEGKGGLSEAQWSRFCEEHHKRFTSLGQYEIDFERFQYYGEFSATEEPSSAA RVVQGVVRFLEGFAAGGIAGAVSKTVIAPADKVKIIFQVDSQRRFSLYNACKLGMATV RKHGIAGLWIGNGATMIRVVPYAAVTFVTFDYYREGFQYLLIADRTSTSKNEGTMVII RFLSGSLSGATATACTYPLDLMRARLAVHNFDKGVIPSYCRAYRSLVADHGWRSLYSG LVPTVIGIMPYAGCSFAVFETLKSYIVRWRELSSEKSISVHERIVAGGFAGLVAQSAT YPLDIVRRRMQVTPGRYRGVFHALRVIYKEEGFLQGWYKGLSMNWIKGPIAVSTVFTV NDIVKRRMREYDEEVVKYSRRGNLVSLPEGLVCGMMAACVAQTCTAALLQLKILFQVC LGRLYSRTTGRHGPLSSGLLCWRGVAAHGGDVTMMRVISYGALTYSLFDICQTASERL LFSLTPTPATNFVAGAVATAAATALLYPIAHVGARAVKHTTPRHFHSHYWLLHDIAKA QSPRSFREWSTFAAMGVGPVGGVGFATYEFLKEHCHCTSFGQRLFAGVLASFVGHVTT YFINVGRRRGQVEQLTSSGVVDAKSVCLKPGFYASFRRCMPRRWPVSATTFGISLAVN DMCRDLVIQERKEILHDIFFTR XP_822739.1 MSDSNCYCRCRYIYVHIRFLFATISKVTRSYLLTEDKKKRMAFP HREQIDELKLIAEMLDTFARTRPEFHHQVGPLPDRIRDAIGPIESALRESGRTMTGDI TEKERPKRADGLPELVNEGRQTPGQRRSNEGKKVVITKPSSVTAYRIPREKVPGGPLQ ISKEVSKYIAATLYNILEGATRISKASSSHIFIRKDDEMISIANCAARLAFPPQLVHH RCLGSVDAEVLGSGIALNQYTVDSSRVTSSLLIFPVFTTETPRKSAVAVVHMENKCQG TMPFSKSDEGVILTTSQLIGQFMSMFPQMDWVNSFFDPVTQHILAPFEPKKRLPKPTR RGWKGKVSVTTEEPGAVESQIDENYWRKIEECEPPLLIKRESLPRLGSNKASPQGLSA VPTLREIDAYVENMQSCWNRGISNYVGLSEEEHSNNIELKVVRRELTRIKALYEEAEE QLRLYRLEGQDYECGFRSIKGELDSYIRKRNKTDIN XP_822740.1 MGETLSKPVTEKHTSTFETSHIHVGCCAMQGWRKTMEDAHVAQL NLGGNKHHTFIGVFDGHNGNKIAKYCREHLLDELMLTPEYRSGSYDEAFKKAFGAIDS NLSKMSMLRSEGGTAAICVMLTQNEVICANAGDSRAVLYRGTRAIPLSIDHKPSAPGE KERILRAGGTVQSHRVDGNLAVSRAIGDFDYKENSELSWEEQIVTALPDVTRIDIKEE DAFVVVACDGVWDVLSNDDCCQLIHQSFKDTEDDIGLVCEAVLDRCLAPCIQGTGCDN MTIVIARFKPAFFCSER XP_822741.1 MCLLIIEHLFYSPCTVTTVLSLKLFGGGEKTGKMSDVPKSQVGT DAKVAVAKKYTGEYYVKGMVGDKKSFAIEHSRGRQKKHTVNEFCVGDAIGRGAFAEVF QCWRPEEPHIVYAMKKIRKDLIVKRKQSLNVHTERDLLSDAKLRQKRVSCPWITDLVV AFQDQDFLYIVTEYCSGGDLISWLIRYDVFPEETARFYFVELVLALNALHKMGYVHRD VKPDNVLIDREGHVKLADFGLSKRDPDQAESTSVADDSYLTEDVTVDDDVKKRFRDKK ERKVMFFSTVGSPAYIAPEVLIGRGYDYSCDWWSAGVILYEMIFGYPPFFNDNNTATA KKIIQFKEHLEFPKDQTTVSKEAIDLISHLIADSKERYGFEEIIRHPFCKGVPLTDSI RNEKAPFSVELNSPRDLQYFEPAPDNADIQKQPMTAVSREDQSVFVGFTSKLCDRNQS TTWSRALGRFHELQNFSDDD XP_822742.1 MEGVADIPLRAICEATGFLVKVETTDGSVYDGKLMQLDAICGDI ELIDVRCQHRDSSLSVEGRVFLKGSSVRLVHLPSDLKRAPFLDWKNLSIQKQLKSSLK ASKGGENKWPKRTRMKPTKLEHRKKKLLL XP_822743.1 MGYDRRSSDPKRTGDPCGYFTIFVAFPSLAAPRVSMEIERSPFL MLQRGAASRCGRSLLWNFLHLSFTSVSSTSRRAPP XP_822744.1 MEDMGDLAKGNLASSKWLGTFSLLYAEVVVSFASAIVLLVLTVV QLLYRTSWRARKLWRVGNEVRFDGERYLAKPEGDIGRKILERGIKSHENVYFERPSGT LPPIVANDCDARVNCLSMIAETRKILYKQYGEPARLMSMRCCLSCIENVLPSSQAEDF LRIYESVLYGQYRADGVPEVISDEEIKFLHVFYHNSILKEIQW XP_822745.1 MSITIKLTNGDKKTVEVPDLSITVIQFKELAENAVEIPAAEQRI VLRGKVLKDTDVLSAVGLEHGQAVHIVRGQQPKTQATSTSAPVPGPSNPPHVASNSYS TASNAPMNSNSESSNPYMPLAGYMPTSLSGGVNAAGMGGSFPPFSSDAAAQLMQSPLF SQMMRDMLGNPQFMQTMMQFAGNQSRGNDAGMQQLLSDPLMMQYVMQLASNPEFLQEM TRMVPGGGPLSAPQTPFFGQPIPNLTGAPQGGGLSQPQGDPRVVYQSQLQQLRDMGFP NEEANIAALQQTQGNIHFAIERLLNG XP_822746.1 MTMLGALTGRQLSSGLKDQFDRYNRMDILGEGTYGVVYRAVDRA TGQIVALKKVRLDRTDEGIPQTALREVSILQEIHHPNIVNLLDVICADGKLYLIFEYV DHDLKKALEKRGGAFTGTTLKKIIYQLLEGLSFCHRHRIVHRDLKPANILVTTDNSVK IADFGLARAFQIPMHTYTHEVVTLWYRAPEILLGEKHYTPAVDMWSIGCIFAELARGK VLFRGDSEIGQLFEIFQVLGTPMDAEGSWLGVSSLPDYRDVFPKWSGKPLTQVLPALD GDAVDLLSQMLRYNPAERISAKAALQHPWFSDAMF XP_822747.1 MTALSNEEMYVAKAAVEENKRLYKLLNGLSKRDEIVEESAVANS NYGIFSLQRRNEVLKNDINELENQLDDCDVGYTDTVLEYNRLKERRGHLRNETQSLRN ILSHQFPKVREAERLEMERKKIKETSEEKNVEAKDEAKRLKEMKEKEMSQVSVLLRKE THLQEKLDKMILPLDTTDRQAIRSAISAADRTIQRLKVEINKYQSFRQPHQSTRADDA DCGLAKLREEYYALREKLDMLHQDASM XP_822748.1 MRRFCDVVFYPNRFYRLASSAFLKNIDKLRNIGISAHIDSGKTT VTERILFYTGRIDKIHEVKGGSEVGATMDSMELEKERGITIRSAATQCKWGDHLINII DTPGHVDFTIEVERALRVLDGAVMLMCGVGGVQSQTLTVDRQMKRYGVPRVCFINKLD RDNANPRRALEMARERLGVNAAFIHLNMGVAQDFEGVVDVIESRAVYFDGKNGEKIRF EDIPSYIADDVVATRKELISRLADCDAEMEDVFLNDVEPTAEQIHSAIRRTTIANKFV PVLVGSAYKNKGIQLLLDAVCRYLPSPMEKPNSGYSVTKVKDDEGNVANVKGEIVPLA TDDEKPLVAAIFKLEETKKTGLLNYIRVYQGKMRREHLMNVRSGKTFLPQKLVRMHAN STDQIDEVRAGDICAIQGEIDASSGDTIVKAGVTAGQLITCEDMYVPPRVISASVKIN NDRDSSKLRERMGAFMREDPTFCFYRNTETNEDIVEGMGELHLDIYIERLKREYDLEV VLGKPTVNYREVITERKEFDFVYKRQSGGAGQWAQLKGFIDVLPIDMSVEKGVKNKVT VKCSNGDVREALQKSVVKQLERKIFVKGELMGAPVWGVHFHLSGGAMHEVDSTDLAFR NATQELWETLLPQLKPTLVEPYMVVEITVPSTCMTDVSTEFAKREGVVTETTISGTDA IIRGETALDTMFGFISDLRRLTKGQGDFGMQFKEYRPMQQYKAQCRMDERNKDLGRKL YRLSGQ XP_822749.1 MEEESHALKDPWFVSYIPQLTTEIVKNNYEGDWNLAKEALQQPL DYVRTVEEFWSTLNSLPKLHQLESSSTFVFARNNVDASYEAFPNGTRIIVDIRKAAMA EKATAVILSSVIGESVSQEVCGGKPICDVLRLSSRPNKESPELVRLEVWLSDQTYGKA VLAYVRKALNDVGMSQPHVIFGESLFEKEKKKKGK XP_822750.1 MLVFVKLAGGKTTAVQTAADDTVASVRAKAGLGENDLLFYGGEC LCDGALLCDYALKRESTIQAMLPVEGGKGKKKKKKVFTKPKKPIHRHKLEKMRALKYF KVTENDDGSFKVERTRDECPNPNCGAGVFMAQHKGRKYCGKCHLTYTMK XP_822751.1 MGLEDIDVDDPPLTTSATPQTQKQCSSTLPNPPVTNVVKGASAP VDLVDLFGDLNVSTATPVVVTAATVGAATNSKNQAHYAGNTADDDFEWVTAKPSVAPP LAPKSYQGNAGTSNDPLDSFFSQAVPTPAATETAKPPDSSNDLFDFSEPVERVKYTNE NTLLEAFANQGKANQGGGSKGPTLADLSKGSSDNVVKARLLKLMNYYDVLGVTPDATE AVIRQRFKKKALELHPDRVGRDQTPEEVELFKVMTKAHEVLTDPEERAKYDAQLLGGV PSGSPDSDWFFPPS XP_822752.1 MIRRSCALLSSSWRDHGISYLKYLNVCTETLHSTVKESRRAKYE RWSKPCYTAQRPDGAGGQETIDKVPIHTKDY XP_822753.1 MPWYLSTFRVSFCAIYSYFAYAQMRWIVDAEERYRRSLTWKPAV GTIYDHKIVMKRGGSSHVQYRFDVDGKEYLGDRFRSGGVHKEEMVSNPALLGAGTQLV VYYNPSDPSESAIKLQTDRAAESAFLVGITISLLVAYRSVRCETILPNMFYSFLAVNR RLGGITGLREARTHSKQKMKYGKGTGAI XP_822754.1 MAEPRSSSSKMPSGAKSNRAGIEFDVSEFMQSMECSENHLVMGI DEAGRGPVVGPMVYTGAMIALHEHDRLVDYCGANDSKVLNEDQRKSVVSKLHELATFR TFTVVVTPDEIAAAMTGAHGTNLNTLSHQTAISIISKLTLEGMGMLTAAYVDTVGPPE SYQQKLSGRFPHLRVTVAKKAEIKFPIVAAASVVAKVERDSHIERLGIDVGSGYPSDP KVMMWVRSHVHRFFVMPRECNFVRLSWAPVTQLANDPETCIPIVFEEDTTPKKERKQS KCSGDGKKQKLLSFVKPAPRRHFVYTHLLKLRTIAGIRDTHHTALSREVP XP_822755.1 MLGNKVVSSPLVAALVALAVRLLAHNFSHHIHLPYHFGITTSKT GSEIWKEAVFWKQQFGVVPAFLTTVGPWFMEYIPPASSDAYVIFLCLCDALTVYIVSR WPSAKPQLTYALFVLNPIMALLPMLESLAPLEHVLLAVILECCRKRRIHPWLIFAARL LAPLLGFHFIAIIVALWYPVGTPCPKIALVGVALCTACIGGCGMLYLRWWGEHVERTS LYSPPDNGVMWYVRLLIMAAFHRSMEVGQIELPAVLTLIFSTGVPCEKEAAAETMKDG GAIPGDRRLLVTLLAICFSKLFCEHVILADYMITVFFLYSLLEVKGRGGFKSMLDRVR GLNIFLPICTLLLGVPLQYAFYTGWVMWDMANPNWVFFPQVAFVTGGGLFLLAFVNSL VDAMKEECVAGITKEKQA XP_822756.1 MGRRHGVIRGFIFVLFLLLCATAAANVSREEQSHAREGGGAQAT AEPSFSLLSVCKQEAHKLCPRQEHAPLKCLLHHFASSRSGPGINEARNLASANPLRRS YRNTAFFNRECAAWLRAREECVSFVRRAGYCRDDETARECLRRIPQHVLRPSCRNSAY YNSVLLYGKMKQQQQQESVSQDSSA XP_822757.1 MRVRSLLLCIRRDPLQRAVDVAYASGMLLGSGSSRGTTHFSETT AFTSSNFAADLRGGRVGLAGDGGTKCDDRNGELVRGRIQFASRCAEGPFVGASPTVTS CAHIEGVVRQVECGYVGGDRVLQFIIEVEEVPASGGTPMRLPLAVRWRPGSEVAQNRM DEWQKEMERLVGRRVLASGRLQVEECFDSGSRRLYKTPSLVLPATSTVEMISLQELEC XP_822758.1 MLALTSRRLLLQQTFMRCCKSVNSVTLVGVVHDIQSGFVYEDAV TQFTLTTTSIDTTHPTQEVVVEKDHHTIRCFGELFSAEVKQKVKEGNVVCVNGRLRLS PQLEPSCNKHFYFPYIQVQPPHGQVAVIHGDRRTVPAAVNPAVEDIKSEKEGSGGDQS GVPS XP_822759.1 MILKEETVLRKTNAENLAAVRNLNLWGEGLTDVSIVSRLPRIEV LALAANKLTTLSPFAACTQLEELYLRRNNVASMLEIKYLRHLPKLHTLWLMDNPCARH PHYRQIVILCCRHLRHLDETEVSEKERAEAEKLLPEGMLQEMLESESAEAAVGTANKA QLQNRTPVSERKQPMGEVVQGGAIHETGAGRERGHRVTSSDTSGRGPSSAPERRQGSA DDGLAQTAVQVQRAMFSSIMALVPELAVQTIEALQKELAEELCRRGKRLPPVVRDRT XP_822760.1 MSSEIEPHILRKYEIQAQLGQGAYGIVWRAIDRRTRQVVALKKI YDAFQNATDAQRTFREIMFLQALSHPNIIKLLHVHRATNDKDIYLVFEYMETDLHVVI RANILEDIHKQFIIYQLLKTMKYLHSAELLHRDMKPSNLLVNSDCSMKVADFGLARSI LSLEKEQVARPVLTDYIMTRWYRPPEVLLGSTRYTKGVDMWAIGCILAELLLGRPIFP GRTTMKQLELIINVLGEPTAEEIRSTNSQFAEAMLKDTRRTQTTTFAELLPKAPPDAL DLVQKLMRFNPNERLTAEQALEHPYVAAFHKPQDEPSAPAPITISLPDDTRFTMQEYR ERLYQEIANARRKVRREVLGSGAPTRGTHSSLATGTASGTSEEKKENARVSSAATRPA SSSAARTTATAGSSSGAGLHRTTVASRSAQHHTRPAVHRQPSGASRVLHK XP_822761.1 MYRPTNRCVADVGQGNPPNLQQVMYSGSVPQTAPVQMQLHPHPQ SQSHMVMRPQAHTMATAVNSKPKVHVVSRSPPSRSGASQGERSGTVRFVCPRTNTIVC ARYNRTVHTRAKLQHGAVICEDYMHKLHCPEGDQCLKVHVAAEHTWNYILPHIGAPQG IFQHGFTVRCFHPSMTEYFNIPSELVYYTKGSMEYIYDYNEHGDNSKKKFVLCEEMIN NRICMSGMACEDVHAVMDDFSSVGRNSTHIVDEKLLPLYPRLRPDVVVRVFEQNSTEE YKDYTGDQILVTDGARQYLLALDVEGAIPRKKMQHCAHFRTKHLCRMGSGCNFIHVLQ GLVANAEISPRSTSRSDSPTSELSISVRNRPIALRQSGSQVAEMLAEKYGLSRDENSQ VGRHASPFVKQPPNEMASLPLCDGGLFQSNTKSQGNAVINSNINGNYNKEYCRNDDLF PCGAADAGDERVTQRNQQGEQIHDGTQPVAVCSRFRQISPPRRNNPYASPVLSQQASP HPRETI XP_822762.1 MPTADSTSSVFLKTPAGRKYILEPPITIGQLLDVAANQLLLEVS NAKFFLNGSLLYAAGKDDTGANANVLQEEIIIPGGRSIIVVAKPLQCVAKWAAAEAMR KKVDIKNSREPPDQSSPKRSCASPLSPSENASPQSGGADELANGPSLYEFMKQFIFLQ GRRGLRQMLYIARNQYGDFPRSLQDHQTMVSIVEYVDRDPTRLRDVLRTILEEEPDLF RWIEANSEVFLAIINGPVHRQEAASPVWLIRNMTEAYHRQNSKCGLPRRFGQPENPQP FHGSESPQQNGEVPALRLVAATRPRNLETTHNAAAKSSCQSDILVDAKYRNAAAENTL GADEEGRQLVDESIEAVQTTCNAWEVAKDRWMVPAEGNTNVQVVNHLMCSRLREAQES LLQSMEGYMVSQPPLSMLQKVCELGQQLWQDGEQWLIKQNDVLGAFQHALACLLFETG RDLLTDFIDANSKSPRGSLRKKIPAREGVEYHPLLDPSVSWITPNGPESLANKLRDYT VREEVTVVVYTKLSPNHANAYVIHTGRVYVEPLIVDDTARYELEDLEVLYSLISSREF LLQDPGMRKVTESNWNDLMVKLYKRFISPIGMLLPQPAHDVSSPLCEVKQVCFIHTWS SRHIPIPFHALLNPVDSKPCVLRWAPFVAERPWHLLVSEGIPWSTPVPQSPVITRLTA PIAFRREPREPDSCLVSKTNMVTYVNVNGTHCSSFGEKELNQPLGVLNNGPVADEGGM WQDVPWKTWLTDALGDVRAGIPTHASMFGRDERHFKNTALLIHEVTRGSGDDANCEAN GADGSAEVIRAKTHSVMMDRRVPYNQGSDLFVSHVCGRESGVGIHRCALLPAFKVSEY EPIEVYNAFFRLLVETENATTAQAYRMALLESWSSNFKEEPWRYTSVMLFNYGGPMKT LEHLCGEGSEPLSVVSEETIINNVSEQAEVPRTHTVGKRTKRLSAHRDTTNGRYVEGR AIDVIYDVVLHGLSTSRPEGMVAILDCMIGCIEKNRISLEKSIKRRQKKQDKASKQLS LYLCSRSSPTGGHSARGSPGTASARGKAHASRGNCNKANDEIQEGVISPPSGRGGKRS PFKRR XP_822763.1 MSVRHLFGALQWVTTGSVAGRPRDIEERVELNGLSVGPKDCGRV VGVVNPHQITEEPCDSLEGRSEGEHDHQILMDSTRENCVNGNDNVPVHCGEKQSTYKR GGGFNNEQVLLQWKLRQFDQLSAKIEANMARYRQSGDRRRLNEMEKELQVIRILRGSA VRCLKDVDNANSQTSESGAQSPGGVSPSPVLSASPSESASHPADSVSDNCVFDTRAPV ENGLFQTPLAAPSGLPSGATATDAVLNEATPVPSTGVSAPPVPLAPQSRESCKADSDD AVHAQDALQQPKSYDTRCPRRPEHPAATAVNNSIRKQGIHPAGSHSATPVNRFSSAGG NSEGCGPTTREGSPTVQSFMHPRGSVGQAPTAAAVQNKKPKEWHGDYFEPCDIGGGES TLRDKARRASAIATPTSEVIDSAAYRGSSGTQSSVSAVVHEMFNRLKNRGSHLHGKVA PPAAPIVPRSEAAAEVSDGLSSAAQAVSVLRKRGNDLVKKGQYKEAIQVYTDAIRCDP ENNVLLCNRAVAYLLNNQYRLSLMDCENVLYNSPTNLKAHWRAAKALLYMYRTKEARY HYRKASELSTNAADERLIADELELLHSVEMYHSCSKEKHWSKCLWHAKQLLQTFSHIS PINIPWHCLKLEALLHLDCWKALEGVKQLKKSHPTCAELFFLHAKSLFYCAHNQRSVA EALELVRHAVELKKADGEVEDSRYIALERNLTLFERCRDRGNAAYKSGNWSEAYDAYT SCISIDPQNTSLVAMAYCNRAATCMQCGRWAEALEDVNNSISINGTAPKAYTRRAHIN IHLFSEKGGTDIRLLKQAVSDLRTVVKLEPSKANKQHLDEVVRMTQEKEKQIQRAHND NCNRYGSGSERHDWRGKGHQKHSSSPGAQHKAEGQDNRRPSVATASHSRAQLVRLLGL ETTANLDEQALVRAYHKAALQWHPDRWVGAPKHEQQVAEQKFKEINVAYQALKQVIGN R XP_822764.1 MGSMVIGGDRKSISSVEPLTALQSSNATPNKVNQRPTPSQREQK QETSKSPLVMRANAAADVHQWPDFSGFRERNDIFNTSSLPRSPNQKQMDTHAENNSKA ELTSPASEGSGRVMERSMTAAVSARTFSDDRVLDDESDIDIVIHMPTHYQPGPPAQIQ EKEVVSSSSPSCNTGVALSTNEVPCRDIINSAENEKVSFTGACQQKEIAHLNEFVAVV KEAVVKAVVEIPASPKPQRRPRLTRALLNRTREVTFKPYTIEEWLGGVQLLGDQPAAV KICSVENVILTATIATMKVEDQFTGSNGCTDARLEESTN XP_822765.1 MQHINHRFSYFIDNYILLLAERFGIPLSTEAPSERSGGDDVDDI DGSGLAKWEQVAECVREALSRPKTAEERQALLHVDVSMLDAKVCRGRLQYLIWQAERS VEGGVGERIKGKESPSFSVFNITRDSLRDKIQGIYASVRDHLPSTIAPRRNHNGNSTG PAVQSGSQGDKDVMDYDSDGDGSEGSLHVVDVVLPTEAEARRNRVVAEARDDLLSKIQ KMQLDFFEKHRRWVDVPFDFDTVPNDGSGPEETSPQSDSTGLSKSAAREALENGTPTR LPPSSEMPEPTMRNELAGESDGPKLDPIMSLFNALREDRRVGRPPRPSQMREEDYEKQ YVHASTMPSAPAGFPNALDGQTIRRFNGRPSTESVRTPEPEKPANEPLPIKNTISNGG AVTHEGRAPQLRANRWNVVEYDGEDSSESESSTDVECVT XP_822766.1 MNPSYLNQILSYPQRESLISECPALALLCYQELVAAFRKGKRIA DPLSLRDDVTVLLSIGSLHELTDRIFSAAPHESALPPLWCTLRKALECAWDPRGDGER QCELTMVGGKLRFYKKKCDRMPLAQSSMRNALNMSRTRIREVIACALSGSLEPLGGRR NEPIAALLQFSRLIPPPSEERVVTSEGLAFCMQPLQQQWWTLVSVVLDRALAIMSGKG VSRATLWQLLAVLFALNTSDYVYLFPSKDDDLEAFQLLARLSEVGLVYPLICNGEKCF VLSPHFHHAICWSSTPSLCTAALLDDTRGPSSRLRREDEDTIITETNFRLYAYTKNPD MLRILDQFAVKEVDVVGMVVCYRVTRASFASALAKGIGANHILQFLTVKAHPSMIKQS NSEAGDPSCPVLPAASVGFGNTSEYRQGNIIPQSFCDQLFTWERECRRLIFRHDVVLL KNIGKEQMEIILNCLSNSGEQHAVVHRESGTLAIEQEVYTRVLSNFITPD XP_822767.1 MRRGPQWFIQSRSRERFHQLWPWALGGVCATLYFFNREPFEEMA GTVVTKIRKPLLKTMEWIELHDVDPETMALELPPDVLFGPLEFSKQMRFLMAALRADN ELADGYLARVLVDHMDLSLDPPLLTEKEILETGGRQLLDFAIEDFLGAGSRKTRKHIF FRPDQFLRLINFLAVYPSLTNYFVKERNGVDMIFRALRHSSDEFARVLALRSLCLFCF TQREDGDVERRIMQANGVEQIVKAYKQSTGDPTDTRYITLLVSSLLRHYPLEGGKEFV EADGIQAAVDNLNIARYKGIPQHVRVLHDAKRLPPAAIGGRSINSRIEDADFIPIAMG LLDAFPEFYEASSEILLLLRSIVPSCASPFNLLEYRAMPILSKYYVRWQNDTVFHTDG TLQLLVELFKLMLEDPTCQRCFDPSVASYELQECLRTARAAIEAESSKPVVQPTL XP_822768.1 MSSSEHDSRVAYCKEHNVHHLFELMASRLLVERPENPFEYLREL LVTVENSKKQTAHDPTMLPSSPLGAKDKLTKITIGTFGIENAGKTTIISALGGNIEKN PMPTVGFTPTRFQTDRCDLCIFDLGGGANFRGIWVHYFHDCHGFMFVIDSAADDAVVE ESLNALRTVAQHKHVRGKPVLVLANKKDLKSSRGVEIVSEGLLEELFGDVSLYHLVPS CGIEEDPELEKGVDWLLTKIQKEYTHIDKLVESQTLEVKMEAEQKRKKLLEE XP_822769.1 MTLIPQNPYRMSFSRCNNERKASIPLQPTNTNGGISADNTGVDL KAHRVTPFTTTFGRRHTLYGETEIREAKETLAKLFVPVAKLGMYRLRRSRLHKKNSEL RSYTIDSIVQAYRSSNSIISTWPMDVLTLLAEGAQYHYLEDGEVIVYAREWYLSTGII VVLFGEVQETLPKSEKNFTPNKTSGNIRHPERSVLCEQTVLCRDFAPSRLKAHGYADV AVIPARWVWDAINYTSMEPFAEGMLDSLRMTVAPLCESVLERTYFPTALTLRRSWLWS FFSAGERIRLARLMEVKVFCAGDTLFGEGDRNPYVYIIRRGAVRVVVCKEPILDFGPG AAFGEVSVIFDEPRCCHAIATCLCEVYCLHRRHIMKRLRKKAHVCDSVIGTALRRREQ WLEDAKTRHVMSLTVLLGGVPCLSQATEKVRAAIAEKAKTVVLPPRQVLFKKGTLCDH LFIVGRGTLLMEGAEDTVATRTSTGFVGELFLHPHLWPTDVTSLTSVDGWMFHVDVVK DALDSINAREQAVDICRQGIELYRAQYGPESVMEPTPAPRKLGPARKGTTAFSSGAKQ SNSLRQKGQPRSPSLPSTPRLVAVAKTTPVKEEPLEKFDWNAYAIEDKVALPSADTDE SDKRSERQQTEHVIEAELGGQAGSLLSAGVGLGISAIDCDFSDEVDEMRQMLLEQALL IPSNRLLFFLRQINRSKVTLVVDDGAVVTGECSLETTVGDDLTFPQYVLPPSVVYTLD NVNTYNVDGAPFNGEDVLNDTNRQLADGVGTTAHRRARTPEVALVTPDFMSTFRSTDE MANTTLKGQQFGGLSLFLRSGRPCSGLSGKHHLQHSSSLYGTLRRRSLSGVSRNYTPY PQTPFTPDVHGSTQYSFSNIYTRLNSVDYTQQAKITASALQSALDRFVKLDDQNYFQQ VVRVCLPTEAEQQLITEEVGSGGKSSCDLILLLMHVRKCEGLQLSDDMQYPIVKVYTK TRVLIRTPIMEDRVEPDWPIEVASFITFIRRDADVSFCICDAGQEDKVEYTANLAAAD LGENRGVGLRKLVMHRGATESNDEINETKATIEVCMMAVTTSKHHALQKHLDEEEDAC KSSDDFSAIYLQVLGVKGLKHRIEAAVHISVEMDGKTKEVLRTHKIAPKTRSPAWPGQ TSFCVAQGDGVISFDLFHRDTFVASYDTSVDTLAFGGTGVQCLPLLRAQGTGGDPYGD LYVSILGTKTPCGEEDVVERPSKLLVVHVEALSLRQNLDLEFVPDPFVIIRGPKGEVI MRTAMNFGTYEAKWSEERASCFILCPSLPGCVVTYHLEVYDNNESSKIGEATMTVTVN GTKRNRMMLDIGGKGLLTVVAHSFPIQDVISHSQPPGSGLTNHLTDTSSSSIEEGYFL QVHICSCESLQGTGFDDFQIDPVVTARVGRKRIVVAPLVSGCTAPQWKYPKATFVLPF VPDVLSHIVLEVWDTNIELCDVLGVARLPMEEICRTGTHKYTLQPHKDQEYGRRRDLG TIVVNTRFGRVNGAVVSKESPLFFSAGSSGSGIFRSRLFPSTNRGPNLPITRVRLHIS SCCSAVSAWPFDFIKVTLTCLHHVLLEVRKSCKDEFFVAWSVDDAQTIVDLRAIYGRS LRLAVSGGKEETGRLTTHIGAAHLPFSTLASASPEVVSVRSLPLVDQATEERSSGSRS GRRCVTPSVSAQEPSITVSLLALDSDHSVSLGSE XP_822770.1 MSGTNHLRQVVPAAVYNPIPPAPPFMVFCDPYAKVANATAKYRN QPPVAPNAAAVFQQQLYSLPFHGVAGVPAPMREEEDADGSFEVHDSCLKAPITVGRRV VIPTTGSRGLFNCAQLPSNGNSGGNCNNNSNSNGNGGKSFARICDAHPEGRCISGEQC PDIHVQAEYLTAQRQEMIFWLESKEREFQNTLRADPTKMFRVFCADLKEAVEVPISAL QFTKGLYVDPSVRARRARAGHQNQFAMLASQLPTACGLFLSNPSQCRWARWCNQIHVD FTWMQTKKKEFESWFNELEGRFNALPDDYEFHVHDPQLKMGLRIPKASIAVFSRGLFQ GSPKKAPSVCMLFQRDRCTANVCCNQIHVIPRYLALHRLLIQKGDSITEEERGNIMNQ MKEILESQKRSMQVADDGDANFLARELNPQAVPYVPPQPTSVWRTGGSAGSSSPPRTA AAPIPVPEPLPTFRQPPQWNEHSPSAAVDGSPYASPHRRVERCGSSGTRDLHTCSNPL EDLIEFVDGDKSRTSLLASQSTTSQASRSCKHTNNPYAFGASYKCTASPTFGWVQPVY SAANSGSTHPANRSWGSGSVTGGCQPIRVRVGGGGIMEHEPLEPFSLDQHDMGASPFE CRSPAHRTLGSRTIEDRLSSSPTWSATQKQR XP_822771.1 MTGGNSGISATGAGSVVLLPRGVYATVFEPINSAEEQQKVALED SVRRPLDDHQKANISSMAGAAGRFEAQRRLRKAMAAKEATMIQLKQLIREYSSPGEGP NRSKEQLKMVNDKVTGCSLGEINGIPSPAVALGHIEKDISVHPAADLACDAEVLRLKL ENEKLSVKETALKREREQLERLVVDLRKELEASGDALRDQTELLQTIRISEGRHGSHV FFKHKPEKLSEPITTAAPSEERKKGGEKDDENEKGNKEEDKKEEKKKDTICEGDKVSD MLKRIEALEGLLALEEKRREAVEKRCEELLAMSSERKAGDEPTPLAARTADWGTVAGR REEEGGKTLKTDPACKENLFEPAPAVEDSPGKSAKDGRDTNLNRVIRVLVEKHRAARV VWTRREKEFKRYTQVLESVMTRMERGLPAEEPGKAALFNLTVSLVACENLLNRRNNGA LFIDPFVVLYSPIGEKALETLPREDTNCPQFTNAGDTVTFKVVRGLPWSLTFEVYSRG VNNTGLFLGQAKVPVESFLEDVAVDKRFQRKVRLCPRDREPDSEVIQNAHRLGSIIFT ACISVVGRDRRSSSIPAVSPRNSAITSSGCRRSVLDVKRSMEAEHYDTVNVDVSCSAG EEARCCSPADAVILRVLSAKGVIKRPSGVYSNPYVVAFDSGTGEELLHTPPVMASSNP QWEHSAQGRCIIRPDHARGSVTFRVYDHDQEGKDRFLGEAHLPKRLIFSGKELHELKL EPRRDESLEYIRRKQHKLGKLVVQCVRKNARSEGERSRTQSGGSVCRSAPYPGAVCGF TESSKILHEDSVTNCKTILLDALPIRVTVEACNGLCIDRSGGYVVVCIVSPNLVEYMT SAVCGTADPSWTGTDNSATFSVHPLSSGAIQFHVMAQDRKCGYGGRIVGCAQISTLDL FRRGFGRKKLQLGGPPDRADILRRQRQSGQGTITVFFSLLSSPPVRGEDEVTCSQEKR AAAVSPAAVTNGQSEPSLTRRQDRNTPASTGVAMSIYIKEAHDLLDYDKSMFNVLGVT DPRVTVWVGPNHAFTTPEKRDTVNPKWSLEEAEFQVSVERGHVIRFEVQDVDTTGFDS LGSAVVDASEVIESPGARTLPVMLREKQYGTLTVVFTLVDKKDSTKE XP_822772.1 MTGLKIDIDMLPNDSDENLRMLHEGIRLGELTVSAEGLTTSMGQ KYVLSPEDVLVNNTSFLGRGSSGSVRRATHRKTGKEIALKEIKFTGQTRMMEIRRELE TLHRGGGPSPYLVDFYGAFCHEGSVFIAMECMDGSLDGVAGSVPPKVLECITRSILRG LSYLHKDRHLIHRDIKPSNILYSRDGSIKISDFGASSCLECTRGNAFSFVGTLTYMSP ERLKGEPYSFPADIWSLGLAVAELALGKCPFIDRLSRANGSTEGCFWVLLQHLNGDGP VISLPSSMNASMTDFITTCIQREPSKRPTCDELRRHPFVAEGDEENDKNVIKQWLSTM QPKSSSHDIADVDGVCRMQQVPCSMGGADESSFDLDEELNKLVQ XP_822773.1 MDREAMRRAQQTSRDAYLRKRAAMREKAASVVLQEKITEIEAGV LPSSFTEIELLSKRAQEVKAAERLQVAANMRQEIITLDGGPALGEKRHRDVVVPPLIQ EEMIVQEDTQRHAEASHGFVAMDKAIIDDRIEKHDYTEEVTEEEIKRERTAALQNQNR RLQEQRRSLPIYQSRGALLELIRNNPVVIIVGETGSGKTTQLLQYLYEENLHEPAPPT SANAEGVTSEPVGEVTQGKRLICTQPRRLAAISVAERVAQEMNTRCGSIVGYKVRFDD RTGPLTRVLFVTDGMMLKEVVGDPSLNTVAAIMVDEAHERSLNTDILLGLLKDIIRGN KQLRVIVASATINADKFSDFFNRAPIFTVKGRSFPVDTSYITEPVADYVKASVDCILM LHATKPLPGDILVFLPGQEEIESCAAAVREALVETAGQLRPLLVLPVYSSLPPREQKR IYEVPPPNTRKVVIATNIAETSITIDGIVYVVDCGLCKQNYYNHRAMIEELRVLPISQ ASATQRAGRAGRTREGDCYRLYTTYTFHNEFPSETVPEILRSSMSSVVLQLKALGINN LLQFEFIDAPSTASLERALDHLYLLGAMKQDGRLTLTGRRMAEFPLDPSLSKSVIRAS AMGCLRHMAIAVAMLSLDSIFINTRDPKERIAMTSARDKMFSSGNGDVVGYVRLMEEW LRSGSLKQEFCDTNYVNSKSLLRARDILDQILKTCERLGFDLPNGQEADALSVEALTK ALLSGFFMNVSVLGLDKRTYSIVRPIDPTVSRLGAASQVGSGNRFGDAESAVELHPSS YLFRTGTSTHGERSKGLPPTPSMVAMEERPRLVVFTQLRCTKKRYMMHVTAVPSADWV LAVAPVNYFTKEELEVETHKRKHV XP_822774.1 MLRGTRLMLMMQLHSLPTPNPSCYTFHIPSSTYDNFIPDGQTCD VAHMGLAWVHPLSQGIFEQYPQEVASVFIAPRHTSITVHPHVDWNKLEWSISSFIGHY LVFTNACFPAAAEYALLEDDLVIHEDDSEVLQCIKELVREQVRPMVQRDGGDVKLLNF NEKTGVVSLAMLGACRTCPSSQNTLKDGVERLLKHFLPEVKEVVEAKGHAFYEEYGLL FDSEKALREEAARVDAVRRRKISIYTTAPLMPFEALNEPDGDE XP_822775.1 MTLRTRFESSDDVGVFARLTNAYCLVAAGASQNFYSVFEQELAS HIPVVYTSIGGSRVVGRLTIGNRHGLVVPSITTDQELQHLRNSLPDSVKVQMVEERLS ALGNCVVCNDHVALIHTDLSRETEEVIRDTLQVQTFRTSIAENALVGSYAAVTNKGCM VHPKTPAQDMDEIASLLQVPVVAGTINRGNAAIGSGLVVNDWAAFCGLNTTATEITVV ERIFQLRRDLGGDESNLLQQLRDTLVDELA XP_822776.1 MSQRFGPYRVGETIGRGTFAKVKIAVHELTDTKVALKIIPRKVM DDSKSSTKLTREIGILRTLQHPNIMKLYQVVQTKQDIVLILEYVSGGELFDYICQRGP LAEDVVRHIFQQIAAGVAYCHRYRVIHRDLKPENILLEKNTNTVKIADFGLSSYTHDG RFLETSCGTPNYASPQVVSGEMYAGPDTDVWSCGVILYTMLVGALPFEDTNVAALFQK IKRAEYLVPESVSPQAHDLLRRMLVVNPLERATMEQVIQHPWVRPHYPPCLLSLHYDA ILHSMRFGKSLELCGEELDEKVVEDVAELFEVPTSEVAAAISKYDNSMSGLFTSNTGT DGEAATRYPAQSFYESYANAVDVKHWPSPLVISHAEKALRDKENNMYVSYLILLQRKQ QETPLQALPSSDLTTDAVGSFFMSMGVNQGYGSLTANSLQPMSLFGISLPQSLAPQSN GDALQLMQKQGNVTFCGSLPAHVQAEKLELVEIDAYMESYNKVVEPFIPLYSSTSKRT LLGKLFRVTEIPVSVNSDLSSLRGSFASTVDEELGGSLIRSMVGSRSAPASSHRDPNR LLRPLSSTKKQKISKTTAEKSRAKRVDTAGSAAGKSSEVLQCNVVAKPGFNGYMHNGV RFVNIDAAATLRCVYSSLKAEGLLWKQIRPFYLAAVMHPCVKLQVRIFRIQANDQVVD VRVSSQSGMMGCVTAAKLIDRLWSKAVALTPVKVEEGPRLGKFTVCT XP_822777.1 MRNSLIQHTRNLSATKQITGIVCDHVDVFTWGHSPPEQHGNKFS SGKATAPFYNAGQRRCTLCDDRLETSFSAHCGYVGHVARVGILERAMEILQKGEKRAA NGKSGGLGGKITDLDESIKALVGTWWSRLNDTKREPALDYKRIPSLSASTTKKRLWRM RFLLQYLRDRGIIRYSLTPAKVAGAGDNAFVRSARFERSEMIGDNIVKVVVPDRLVRL FPADEGGVTYKLASIQQLLDSNEGLLEIYDYLGLNNIIGVRLPNNKTKSDVVEALFGE LQTFLWASELSCGSCQYPAFPTAEHRYVRALVDHLLNELTHMVIMWRVESTLENSKEY LAKYLLQGARQNGSFSTSASGATIVKEVDCDRSRYAVLPLLLTFPYSTSSANKSAGGK QPPLQSSSLAAAKSVQERGTPRMLFTSPVAMPAVLHSHYNPPLRIVRTIKDYQREILT VLEEEEVVSALIPCTDSSWGMKEGNMNSRETGRRKPPHGQIRAPWHELALEKYPRWRC DTEEAVVRQALELRLMQRKVYTKETNWRALTTAMAQRLLPNSPLEVDPVGVRPVRQTG RLLLTFEGTTERRAALATSMCFPSLAQSAG XP_822778.1 MSLTLQSESFQHIVRLLNTNVEGKRKVPFALRMVKGIGIRFAYM VCKKAGVDVERRAGTLSPEELEKISEVIADPAKFKIPEWFLNRQRDPKTGKTEHLTSS MVDTRLREDLERLRKIRAHRGVRHAYGLRVRGQHTCTSGRRGKTVGVSRTK XP_822779.1 MSLTLQSESFQHIVRLLNTNVEGKRKVPFALRMVKGIGIRFAYM VCKKAGVDVERRAGTLSPEELEKISEVIADPAKFKIPEWFLNRQRDPKTGKTEHLTSS MVDTRLREDLERLRKIRAHRGVRHAYGLRVRGQHTCTSGRRGKTVGVSRTK XP_822780.1 MSISYKELIALRRAHLARQGQNAPLSPGRSELQASQVGASSETA VVRVDDVSPQLEIEGRPQETLPEWLVDEQQPTVGLSHLPWSQSVEGRYTTEEEVPRAE EPKVMVPHETIKGDLPRMEVMRRWRRRYDKYSLLELLKEKNVVADGRFSWEESEANQS LLPLHVFDNVSYEELSPSDWMSKRDETGGVVCLALPDANVCSWVRGWVTGWDEETNVL TVRLCDAGAATGDPKQTKGTVVRLHRLYVCFNAENPENFAERFAGAYRMRNLLKSVLR QQTFVDAIPTDEFQTVDPYALERVHQLCLNTPKLLQKQDLLQVTKVLNDVRSDYSHVM NRELLNHTVKNGALQELLGGAELPVDRPHVQVQKCGVHELRHNCFRSIVSSFLSNSIT ARSEIAIAILQRVREGNEVLMARRVFRTSKVPDEQVTLTLNAFEDVQVTSVRENAQFV REKWIPGITEFIKRICSDCDENEDVTLKVRECDYETCKVRGLMNTITLQMEDTLRWVL TNALSEFASFVEECAKYTVKVHSMFDVEVVPHPDLKRARIVPLFKVSLVDGEERNLVW SSSISDFLSMLTEVVYRCLALHTGARSVERRLFTFLFDSHTAFLSSLHDDDPACTSCL RRLTEAVERAGKPLDEYIATFGDVQELIALDVKQYIEDFKQKFPTTKGVEEEILRYNQ KQKDVSEMIPRQKDLGLFQVDCTDLKKRLTWKCNQVVNSILDVMLNRANTKATFVINS FQELRDTLVKPTRTPEEVVDRQDFIKAIPEKVFDLQAVISEIQQVYAILDTFHVPFSE EDFNKKWTAISWPARLDDDIIQRQVDLEQTKRSLTLNLRKSQEAFSEKVVQLQHTVAS FNRRSNVNKMNEIVGDVNNILENIRQLLETANEFNTHENLLLLEKTDYTMVRDLQSDF EPYASLWLTVHDWNVALREWQARPFPEIDAEAMEKRVMNNYHTISRCCVDPKLTELLT EIAKKTKAKIEEFQPILPFVKFLRTEGMKDRHWDQISKEIGRDIRPGKTIMTISDVKP LMTVEYEEILLRISEVASREYQIETSLRKMKEEWEKISFDVQPYKSTGCYLVTKDAVD TIQEVLDDQMLITQSLGFSPFKKMFEADIAAWEASLKRVQCVMDEWLICQKAWLYLEP IFQSEDITRQLPRETERFKKVNGNWHYLTNEAHEKKLVLDFCAIPDSLSKFKDNNDEL ELIQRGLNQYLASKRSAFARFYFLSDDELLTILSEARDPRKIQPHFRKLFENIMEIDM RDPGNEMFGMYSQMREYVPFDQGILPRRNIENWLSEIEHMMRISIRSQLSKGVKDCAE KGRQNLILNAPGQVAIAVSQIMWTASCESSIKEHGSLEPYMPKARENLMSLVETVRQP LSTLQRVNISGLITIEVHAKDIVEKLTEDKVDSIYAFEWISQLRSYWENNDCYLRQVE AQFQYGGEYLGNSTRLVVTPLTDRIYLTLTGAMHMFLGGAPAGPAGTGKTETVKDLAK AVAKQCVVFNCQEGMTYASMGKFFKGLAQAGAWACFDEFNRIDVEVLSVVAQQVSTLQ EASRSKQFRIPFEGTEIIVDPSYSVFITMNPGYAGRTELPDNLKVLFRPVACMVPDYA MIAEIRLFSFGYSNSRTLAQKMVATFRLSSEQLSSQDHYDFGMRAVNTVISAAGLMKR ECPDEEEDVLLLRALHDSNAPKFLDEDLLLFSGIISDLFPGVELPTRDYGSLLSTLKE KAVTFRVEPTDMFLKKCIQLYEMNILRHGQMLVGPTMGGKTSASRVLQAAMTKLRVEL NEERYAQVCIHALNPKSITMAQLYGGFDDATGEWRDGLIGELFRIAARDTTDTKQWIY FDGPVDALWIESMNTVLDDNKKLCLISGEIIAMTPYMSCWFEVEDLAVASPATVSRAG MIYMEPLGCIGVDAFIKTWKDYRLPSSMEPYKDELEKLCSELFPLLIEFVQQQVVEYC PSVWPNLLISCFNIYEVLLEPFTPTRIAEVPEEQLTKLKAVYMHLFVFSVVWSFGATG DRESRKPFDCFLRTSLANLGVLISLPPIGCIQDYEFCVEQGRWIPWTERLPPFMAKVT ANTFAEIIVPTADVVCYKFLNRLLLQKSFHTLCCGPTGTGKTVVLQQLLMHEMPKEFT PIFFTFSARTSANQTQDLIFSKFEVRRRATPQIWGAPVNKKFVILIDDMNMPVKEQYG AQPPIEILRQYMDYKGWYDRKTREFFNIVDIVFSGAMGPPGGGRTHISQRFLRHFNLI AFPEVNEGSMDRIFGSILDAYFKPFSEEVCQLLPQVLKASIAVFNTVTKSLRPTPSRS HYLFNLRDLAKVISGLIMATPETTNCAVGLLRLWIHEEMRTFKDRLITDADRQWFDQQ LRKQVEERFHMRFSEVVPPERGTDGLLFADFIGKKDVANRYQEVTSPEELVAVLKKKL DEYNFVAFHKLHIVMFSYAVEHICRIARATRKPNGHVLLLGVGGSGRQSLSRIAAFIN DFETFQVEITKGYSTNAWREDIKKALRRVAFQNKQVLFLFTDTQIVHEAMLEDVNNLL NSGEVPNLFEGPDLDEVFTAMKPVCIAENISLDKVGMYARFVKFCKFNLHVSLCMSPL GETFRGRLRMFPALVNCCTIDWFTAWPAQALHSVAHNYLSNMKLLTPKEVESCTELCV MIHESVEKMSERFLEETRRHAYVTPTSFLELLQTFKLILESQTAKGNITKQRLQNGME KLRETEDAVAGLQQSLAENQPILLQKSESIKKLMEEIIVQTESAEETKQEAEKEEAAV AAKQRECASIEAEAQEQLSEALPELDRALDSLANLKSSQITEVAGYKAPTPGVVMTMQ GIAILFQIKPVMRAGGPMEEKKPDYWATAKEQLLNNPNALLQRLINYDREHINERLIQ AVMPLVSSEDFTPKKIAGASQACAAMCQWTHAMVKFHYVNKKVEPLRQRLAVAQEDNR VFQEKLRIAQVRLEAVAMKLERLQDDKTRAENEMQELEQVVRMTELKLARADMLIDGL GGEKKNWTNTVREIDENFKYLVGDMLAAAGQIAYVGPFTATYRSELLTQWTAELDRHK LVHHPQLSVFYTMQDPIVTQGWNVNGLPTDMLSVENALIMSNARRWPLMIDPQTQANK WIRQTYPDGLEILKPTQKDLVKRIEYAVRSGRPVLLENVGENIDATLAPLLAKQTFIE GGQEMIRLSEHPIPWNPDFKFFMTTKLPNPHYIPEVMVQVTLLNFFITPQGLEDQLLG VVVGQERKELEMRRSDLIKTNAAMRAEVANIQTTILRKMEEVKGDILDDESLIEYLKQ SKTTTKEINDKVAEAEQAEIEINASRELYRPVSRHSSCLYFCCATLSNVDPMYQYSLQ WFVRLFINGIESSEASDNLETRLQNLQDYFTYSFYQNISRSLFEKHKIMFSFYLCIRI LQQKDAIDESEFRFLLQGPSVTFKTMPNPSPSWLTESTWLDLCYLSKKFPVFNGFEAH ISRNVDFYRGIFMSPSAHKEALLPPYEKEVTPLQRMMFLRCLRPDKLMEAVQDFVSSE LGERFIRPPPFDLFTSFKESSPTAPLIFILSQGADPFEDWKKFAEQNNMGKKLSDISL GQGQGPRAERMLQEGMENGTWVLLQNCHLATSWMPTLERLVEGMKYGIHSSFRLWLTS MPSPHFPATVLQNGVKITNEPPKGMRANVTRSVLSYRPDYLDTCKKSTEFKKLFFAMS FFHALIQERRKFGPLGWNIPYEYTSGDLGCCVAQIRMFLDKYEEIPYKVIKELSGNIH YGGRVTDDWDRRTLNTLLEVFVHPDVMKDGYKFSPSGTYNSIPVGSQKSYLDYIETWP MNTNPEIFGLHENADITCARNETFETLEAILSLQGEAAPKSASGQSPDEVVVELAKLI RKRVSEPFNIPDFQKKYPTMYEDSMNTVLVQEAIRFNRLTAVVRETLEALPLAIKGEV LMSRELEEAYRCLYNNQVPAQWAERAYPSLKPLGAWVDDLVMRLEMISSWYEEGHPSV YWISGFYFPQAFLTGILQNFARKMQISIDTVSYGFEWMKDDPEKITSHPKTGCYVHGV FIEGARIDRSSLKLVESMPKVLFEQAPLLWLQPIINREKPTDSVYICPLYKTLRRAGT LSTTGHSTNYVLTVEIPTSVCPKHWVKRGVAMVCALNT XP_822781.1 MYTILLVCCFKNYCLCSFFVQITVTTSLPLPVGLLHLCLTTGQR ISNMTTYVPKSSRDDVYRFFFTEGVVSCKKDPLGTWKGTLGGKTFKLPTLQVMQLMRS LKSRGLIKEQFAWRHFYWTLNDEGINYMRKYLYLGADAVPNTHKVDHKTFEREGGRGR GRGDGRGRGRGFGRGRGEGRGFGRGRGEGRGRGFHSERDQYRAGAAAQGEDAAPVAAV E XP_822782.1 MTTYVPKSSRDDVYRFFFTEGVVSCKKDPLGTWKGTLGGKTFKL PTLQVMQLMRSLKSRGLIKEQFAWRHFYWTLNDEGINYMRKYLYLGADAVPNTHKVDH KTFEREGGRGRGRGDGRGRGRGFGRGRGEGRGFGRGRGEGRGRGFHSERDQYRAGAAA QGEDAAPVAAVE XP_822783.1 MLNTVKWAEHSGAEDEPNPPVYSLSYHPSGETIVAGCGVRVVVF DATTGAVRLSLKGHTDAVYTVTCFSDGKYFASGGADKTVILWTIDGEGVLKYQHRESV QALAHNPVTGGLVSVAGRDYGTWSAEQMKVGKSSLPAKGLCCAWSRNGQTLAIGLSDG SIIFVPSQGGEKVTVKRTAPVWAVVFSPISESGADVLVVGSCDRRLSFYTSDGKPASK EKEISCEPCSLSFYGGGKFLLVGGSNCEVSLFTKDGNLLVKVADADDCIWSVQASPKH QQLCCGTNSGVLKVVDINIPVVHSIFNDQFVFRDKMSDAVVHQLTLDKRLRIPCNGYI IKLAVYRDRVAVQLCDKFIVFELFYDDERKMKFQNVAIIRKRVSCDHLCVTFNGLVIC CDRRLTMYDFQGNMRQEWTVESSVCCIKVIGGVEGRECLLLGLKNGLTLRMFVDNPFP TTLAKVNNSVKALDLSCDKTKLAVVDDNGLLQAFDLRNKNEVLFMANGVQAVAWNSEY DDMICYTTAVNTLNIKTGALPPHEQRLPGYVIGFKANRVFNVCGTIVDKIDVPHSQSL YRYIEKRDFESAHRIARLGVPDGDWKMLGMHAMMHLQLDVARKAFINIREVKLVELLN RLEMQQRLKGSKGEEDDGLLMGDIFAFQGKYQEASRHFLKAGHENKAIDMFCDLKMWT DAQRVCSNESHLKELIRQQARWAEDSENYIEAAALFQACGDKSRAIAMLCNAGDADKL MEMCRAMSKSEVALITECANYFKKQKLMQPAMYAYEKVGDYRSLICLHMEMKEWRKAF ALLDLYPQYIREVYVPWANWLADNGNFTAALDAYRKAKWPREAVRMMESLAANSVICR RFQEAAFYFIHLAGEYGVLEDDQQLTEAEWAVRMKRSAECVRRAEIYYAYHFVYLHVT QPFTYDEVTLFNASRFVIAMTSNTTVPLNVGRGEVLYTLAHIASQLDMTRTARMAFER LHNVVLPTKVMEQIDVDSLVLRSKGFNDNEDLLDVCFRCKQIVQQFANAGDRCPTCAH PFVRSFVTFVNLPLVEFTLSNELSDTEAERIILTGAGRKNTENKTDGNNGEYNGWKAD TGADVITFAEEEDNIDALMDHQLAALGRGGAMGTDPFSAQLKYILRPGRTNKGYQPFV VNADMLCRMRRDEVFIVKAGFGKLPVQNKYYRLVNRSVGIILCPGCQHFFKDDDYEYE CMKGNGCPLCQYRMGKKPEKSMTKVLNDVLASMEKKKE XP_822784.1 MMQNGFVLILFHLLLSVVPPGRGVEWEGSSDDQKHGSHHQFFNV SENERLLSKLRLPFRLSLTSVKETTDLAREVVFLQRVGGMCPRLDMWERVEVLFGIGT PLEEKYGVERLELVQALLEPAVIRFHEDDLETVSDPLGFIGLMVQGQGTVIWVHFSEL VVSHMVGQPPSLNVNVVAVFQRVERWAKKTRLNRHRLQMEAEYARVRSEHLIAIDHDR HKMKEEATERRRRKEFLRDLREFNKQNSQGTVHHNKGLENAGLSDDGAAVREQIGTRC ENIRHAIRTVKSQGVPTTETRMQYLLKKEGRVCVTPLEEEDSNLTDADVCDIRCLAYC DGVSALHNWSERSGSSNSVSQLHALYHTVLFNRTNSSMSVSNDYLSYLRQLVMEDVER GVFSTCSDICSPSPSLRKEMNFFAGVLALLDNGGMRNKTAQKVKLARVDSEWWFRARS GYLRIWAAARSGSERALKALATMRENGMLTPQQSRMALIFLHVSLAQDKSSIWNIAAE TLTAPRGSSFSIPMGKLLRTERFFGMENSWSAVNEPYMTRSYVGAVGVEADGGNDDER EGALYYAPSQSVQRDIFIAKLYIAGIKGVQRDMQRAECLLLTILRKLEYTCDVEHAAS EDEVYFSGGGRGGGCEEHMDQLRVLRYKLPALGRCRFSTTGNNTASASRTEALLRFGG MSWSEESFPLLHDTLLLLSYIHLVDGLKFNLSAKYAFQAIQLGAAAFHTALRSIPEAV VAEFYAYRNNSERLKVLMRGRKPPASSLLMHAMRRGEPRDDLEDFTRSGFLTSEALLL LAVAAPQLGAEGEQLMRVVAESFYGSASCVEHPSRSSCVIQLSFFLLREAARLWKDEE REPSVFDSSYGSPHRADPFLLMALLLQKGKITVEDIRQGSVFNKALYAPSTSHVLRPT AADTRDSYVSKILKLARQTSPYILKPIARENSKNGRRFMDNSTTLREFQATRLAIQRS LHEHDILPLLVVSDLHDYLYENGWTRIQRFLGNLWDTICAPFTKLKEEYLEEQFAEEV ISEKQGGNALTTIAEKLAESISASNSVREGSIALQLLSAALLSGEPDGFSILLTEEMD RKNQHLSSFAVFLSEHLWCRGTITGVWVEEHKAAQWHDKKPKTRGYGALFDVNTQAPF DYTLSESRLEMFALLALKRALSRQASTSHGDVISSSANRSLSQEEVDKSVGELALCGG VLIGTFLEKQRPFPPHKPYEGMHLPVGNSRCLRQLLKHIEITGVSPFKGISAAEVEIW LLNTLVSFTELQSKYYTISLLGRYRGLSSKKEEWEGRRLRKRLVEPWRRVNGEEWTEM WVPDVGEGAVYNRRLHDISGTYLGARVKWFFKNVIFYGSHLLRWFW XP_822785.1 MCGKVSFSIFVLLTYRPSRISPLSFPFNPRLINVCKKHRRMTPG AMYLSSVTLTLCIVLLATGARQSAAAAAAVGETVILDQGSSESIRIVESGGSTTDASF DFVGLWPHGEHRESDAMFFDEEDAKYEKWMVKEREKKRKQEKEKREEKQKAMNKSKDK PRKPPKGASTFQLTQAAVRARTTERRSRLRALRKPNSLLFDAAWSAVLEDSDNLRKLL SADSIMRSREGEGNNPESQYVQLLSTAFSHTVRNLTTESRSDWISPLIYALPFPVLLD NGALVSAEQEVRALMTDLQVMKATPVPQPSSNGSEKKVPSSTDVEATTQLVEDNLRIA STTISASKSAHRVVAQLALPIMGHAKSSVSDQLREVEKQIRANDESTVAKRRELKDLA KLKKVVKATKDRIHAADGELRNAYFSTTPMLSKSSFLISLALICRLRLSRNTYVDAVD MLPGIDAFADFTGSMRAELYSFLFYPLGVGVAVSAATTWCLLSTRQLITKKLKRQAGR LLQQTSTSGGRPGKVSGITMFALRANLLLEALSPLFVPLVTFYIINRTLTKDLLYPIV ITVKPAQRLVLGFVVISVLVASVIVSRVLTAVDRWSLNRHNANKRKVQ XP_822786.1 MRVTCVVACGLRSSSSDLKRRRIRQLERQYGPAWRYTAGWTSQL SPVGMFDALEVPVFPPNVVQTRELDHVGCASAPRTTVVSRGLVQNGNPRVKDSQPTSV LDENNAYFNPQHDAGTSSSDDPLFEFLRHDDEHSALLLAMWTSLYRCCVHASELSEFL AFRVRWFLHHLIHMRATAAAVRFYHRLLLLGVHLQKKDVSLLLSSLPYEHYVVNVDFK GESKKKCSRFRTMRQADTTHVDKKSATNGDKGGTVVFSGDGGTVGEEERLLFASTITS TGADTSCDTSARVCPAGDTCGVESVDFELTPAGSRYLRDQPEWVKRWVLYEASMGNID LYDEENATTLRADKYVDSSSVGLDCGDECTPSEVKWAEGCIDVVTLLNHLMLLHDGNH LIHRETRKRRVNGKGRSGITLRGEMQRHYWAEALQIAGAFLSARSSRGRERKAEEGQY EVGCSVPPEFRSELCRALVAAGSWKVALRWLKVAEQYRVGFTHSEYARIFLCLAESEP WETSRAAERFVARNMIPLLPEETVCGYASRAMWLAHVCSQRDNRVEECLELMESTSGN LPACVKQSIVYIKLAASLLRVDADRFFAAAALQQFEAASIRLGVFHPAADLSQRHSPP IQLVTRDMASAGSGALLTVQLQDSFVLVSIALPRVVELVSVAAASQEDEVQLATLLAD VLYGPNGLWKFFSDVCLVGESKQLTSHRTSEVVRISLYMSLCLLKIGVALCRVVGHER QGVQGNPHLREKHLTLILGLLEESLGAIPARNAVEIKDRRIMLSLVATSAKLTKHVFR QIGVYPCNEKVIFGSFSEETSETVALMLRIHVLLWRRVSSLRRHLQLAAGSALRAVFR PTSELGKHVRGHIPRHQQQELDSMLAYGRLRKYGERRAGAAIATKSKSEARVHSPEDV ARIKAVAKTHRVVYALSLQHVNNGAALERDLITYLSRASWDGALTMLHVSLHHLRLPH GTFGLPFFAGVLARLCKAPHRTKSLWFQAIGVYWDAVEHVPRPHVSANTSHVVDSKSQ LRLHERNVLTQLLLPLLRFSVSTRQKEMGWSWMRRWDLMHKSDERDVEYEALSVWARS VLEDRSALKRCQRLMEKYQYQHMRQKNLPMIPPLENILCDVAVNHADWCAALHALETV FWTPQRGGDFITTPLPPRAATAVLRILCKAPTNLCNTARRLREIQGSCWDLRSANSLL LLLVRQRRWQLALQHVAEMLPVVQNGRQKSDVVGGNSVFDMCLEGDGKTEQALFLVHG LRACANGGRAEEAATLYDLVKQLAGLEAVVRAADINVESSVTYALKRPEDMLFFMCNQ TDQPQDTLACDEDERMRMLVGQARVYFLRAMTKKTLRCNRR XP_822787.1 MAQSLVWRYLGPEDTHRCLDAPDVPSVRNLLVEIDDGMDGKLIN CRNYNKGQLMIVLDMLAHLIVFTKTCSMSLEKVSTIVGIMLELHRESMSNQYTRSQSY HRLRELMLLHSVPRPPFSCGIFSVADVQHIDEYLLLSYFRHYKMYVYAFVPQRLANIH SVSVGHNHCVPPLGLPALTTATPRAEWIAKVEDTHRQMEERQMEVEDVRSAEYEEEWL KHRYLNGTHYSEGLREQLQSIKRDVSDKALNQLDLIEARLVEIEKKVEIVQQRQDGKG GKRKK XP_822788.1 MERGGRCLFRRWSTRALPSVSHTLRCRGTISNSGGEGNDAIAEP RRVRLVPSAPHGNNSSELFSVGVDPRSHTCDPDDPYSHIQIYRKDMVADAGGRAFQLS VDVPLKKFVDLFSDFDGKRCKLCNESYMQWHSHSSVIPHSGREALMLEMVRAYCGLPE DIAKMWWHRLNTSPAFNRIPKLSHDNSHTRKRRLQYLLKFLKDRDVIRDTFNVHNSSG TAGRSWEFERLEWIGDNVVKYVFNDRFNVIFPVREGGIRGRLGYAQFMIDGNDGLARA YDYLELQKLTLSDRVVSKFKSDVVETLFGELQLYIWSSETDAGTTCYPLPFTGEMFSL RALVWHVMEELAHVMFMYHVEHALAAIQRVVRENQLQFIRADPALRGHADLQSELANT VYAKKAASGPSKLTSTKVSPGARFRDGGASFYHEASNYDGFKRVCTLGGLLPRPFSPR ELTSTTSFLPHLQEDSAMTRRVPVDWTRKLFDDCTGNATKKPGAPNFLPWQAVTLQPR SRCSAEEAGRSTSRLGIPELKDALLVAELV XP_822789.1 MSQKEENSVNGDETNTTLPRAVLKTRTASQLYKEGGSVGTMASF NSLPQVEQLLFKVQAAEMTLLAALDVAERSPEDKKIFGHATLTMAENYLSEIISIIPH CSRSTDAPHLSINSSAIQRLIALYGASDDVVKFVAHLSTSQPRVAELSPRDVTTVVYN ISEVARLFKQSMKESGEQCGVFTKSTTSLQPSPMKESESAVADEGTVPPAPTAGRKRG RPSRNKSSDTPAEPGAEGSPEHGTFTGEGGAAAKDKRKKSEETSSRKPKSNEGTPSGP RKISYCRLRALTTAEKRVTTSLNQIVQLLGHLYAHQYNDLLPVFGFLEARVKQLQETI DVERAAFAKKDIATRSPEPFIGVSVQSIIAAIRSSLHTYSTEEWCRQEFDEDLLHPVA HACMTEVNRIEGFATEPFVGITAEALAAKIATRKAARIKLEEKWEKERERAREKEEAQ VKYNEERRWLRARFGDIDKASLVEDTSIDNPAVSAPVYYSDLPLQDVELYERALFVWT MLVSVPQALRLSQMPFNIFLKSVTTGVGGTDTLIEEIVKSLLEVLVENLRAGNGSRIN IKGKYWFDAMVEFVAVTSGNRRKRDNKQKKDIKEEEEEEEEEEEEGEETEDETVEEQE EDEEEAQEKIEGSTDTEKTGNDREPQNSEDLKQDVEEEKSKEENEGENLANMIRGTME NVAELRNMATWFNINLPDRLNLLYYLVLEALHTPVVQGEAEKMRKRYEEQQLLVGKCI KEVCEEACKEFKGLARKLAVSKSEDEEQSVEEKRKEILQECSNRLKSTVEEQIGVQDG KDVGTIIRPIGMDRYKRVYWRLPFDRHITVQSTQATNLDFPALRGVTYLAERTSHSFA GNLLDDDDCETGVQVGSTESASAQGSANDQIPQKVWGTVPLTCLGTFIEGLDRRGVRE GELRRNLEILQPYLASMSEITGGRITRFRSQTFGYQNKLRLEL XP_822790.1 MRTIDCEFSHFAVHPGHGRRYVPFAFLSTKPVLTFARPKCFAMY MRKKNPRFIAWTRTYRRIHRKTTTDRVGRRRAARTVRTERAIVGAELSYIQEVRAKAK KVDRTAKGKAVREEMAARKAAKK XP_822791.1 MISYKRRNMFLADGRRVLVGNFIMSISTIIVTFYLTQGHLQVGQ WRLLDDISPRLSEAARKIGMLEVELPYPFNHVSAVVASPTGIADAGHKVMRFFGTNLR SNIITEKVNGEAANLLATGFSSESTILAHLLSPKADGPLGIMGILHLCSATSCMAPYF TQQYATVTVRPSGNYRLFVGALVYGDVFLGSVVENDLAALDVVLPRASRGREATGAMP LHGVVGGSYAGKSVTVKCSVSINSCQYEFRDDDTDASHPSVTVVHLDDVKLGEAELPV MGFRVVHIDLNSEEQLAIVTYLTGLLHVSARPEHIIVTLYPSPFLEETITAVDVLRVS VPYNVFIEGGRCRLTTNSSTVPSAEVLRLVLRRAGTSASSALNTRSYAAEPLCVLVLS KSITSLSQLLEHVAPTKQEDVMKTFLGTSLDQGSHRGVPCRGKHSKPNAFKWILLITV PLLVAGLLACGMLYGRRRVPLLWEDKRP XP_822792.1 MRTIDCEFSHFAVHPGHGRRYVPFAFLSTKPVLTFARPKCFAMY MRKKNPRFIAWTRTYRRIHRKTTTDRVGRRRAARTVRAERAIVGAELSYIQEVRAKAK KVDRTAKGKAVREEMAARKAAKK XP_822793.1 MTSTATEVTQTQSLAAVRNFIRVAVSCVTYLRGLCSDESYQPRQ FLGLQLKQLVRSSGDAGAISRWMEEGAFDALNRGYLKELSLCVHEPNCTELLERYSFM VSYSPGGQRAALSLTGESMKENLSCSFGTVGGGGGGDKMGKVLRKRRCRQEVQQALAS IITKLMEVVEGLPPLLCERVLTMQLKYYEDVTPPSYEPPCFAPASPSTVAAHYREEKN STDIATLDTGHHTLSINIRHKFFEQLRPHNLSQMNMYDASSSSARRDPTKGGKDDAAA YGCRNERGTTENRTSRGITNDWAHADEVAFLVFTSFILTKSPTVSRGRITMGEIEEYL RVACPMEIEMKQVQSMMRRLETSGIVSLEAPLEWAVSEQRSAAVVSAILERQDVVSLL SVQTRMDLRYLTTGRKVSRLSSSKGRKRVRA XP_822794.1 MRSVGGAVGATCCLVPSVGTQRRQYHAFQFAGFHGWKIPYEGKT KVTKEELDNIRFFTQRSKDKWDYHRVDENINSSSEFRKTHDRPEFEQGMREDNSTTDH GDLHTPKKYRLYEHFDEYTKPGVNKKVAACYAVKEQWDAEEFYYPGEAWKKNRPGFRS VPKELLNRQTWYHWSDTIMKWDEIQPTIRTRSWNPDWPPPGYKVPSMHCKKEFVFGVE DPAVVSEVERYNWYRSWSDSNLRCGWKDIWLFGVLFGAMYYMARNALDIVSMRAMMSN MFYPGRQFIRSFGTPRDWETDVFWWQRPLEEFPNQGEVWYINESRYKYINYIKKRDAM ERALAEAEMNAV XP_822795.1 MVVALLSCPFPFSSVVVFVTLWVKEGGGASHQNPPLSFSLCSGC RGGGMRAAEGDHVAEGAENTTATSPGPGSVRESSKSPKSRSRCHSPRNGRRGDRSKRG SVQHTPPRNSVRDPSAIPKQLDIDAMQVAIATSLPRRLDQFCDEFHSIPFVIRNETFP VPDSLKRNSWIPGEVLRVAYITWNMAHAKPDFYRVSKYCICPNAHLVVVCTQENGCNW YAKKKQQRQWRDHITQACLKNSYELVGCNSLWYIHMLVYARKHDVAPHVGHVEKAKVR TGIGNGLGGNKGGVGIALSISTECRFNALSDPRGHRRGARASKQQRQDISCSHMNPIE CGGGDDSLHSALPQPARFTILFVGAHLAAHQDAVGLRNRDYRNIVKMLHVGLRGKFKE FHASIRRSRALACCPLDTESARGLNITEDQATRQNAVCSNGGCKAMNGSAAVSNERDE DDGGPVGIMKLPFCMKNQPQEERRDATDEFDLVFFGGDLNYRLDGTSKAIRDIIDNKK NVRSVLCNNDQLNRERAKGEVFRGFKEGNLFFRPTYKYELRSRNYSTTDKRIPAYCDR VLFKKPAQSCVGKVRIRLYTDVQAVQTSDHRPVVAIFDVATIPSNK XP_822796.1 MTYSRRATHAGSWYEGSPNALKALVDTLFSTASKSPLKESEKMI GVISPHAGISYSGNTASHVYVHLRDYIYGHKGRSITRIFLLGPSHHKGFDGVEVCAAQ RYETPFGPLVVNAKVGQEVEKELRAAGVPVGTMHRMTDEDEHSIEMQLPFISHLLHYP PNGYKPAMDRVELVPLLIGGTNRKMENLIGSVLSKYLKDNQNFFVISSDFCHWGARFQ YMYHYEKAEYPDIGDAIISMDHEGMRLLEARDMDGWYKYLSTTNNTICGRRPISVLMA ALDSKKEAVVRFLHYSQSNRCKNMSDSSVSYAGAIVTLDESGDKSAP XP_822797.1 MKARRRGGSLHAELPFTYTLFSTIDVYGDDLLDFTGDDKPERHA EVTEFLRRRGSGACRDAPLGPSSYLHMREPFSYIAEGSISENTSDAGGSFARVEDAMQ HVWFISQRDGISTPFALNFSTSAQFLRYAERESLQFPTLRCGSSTMASEPIAAHEGPA KWLDIQTKNKTLVTDILSHFPVSADTVEHCCYPDDMDRVIAHSTLGYFFFNLMCTPIA LGEVARGRHQVKSVIQQRLEAAMSAGPRSSTPSAVAVSVIVFFDWVVTVHEEPFHEFD DLLRFILINCGNRAPASNNRRLMQVMTAPFIFASFFQIVVGHLLDSESLTMIVDEVSD LVFLSKSTEGHNEEVVQRITNARRCFAEYAAELTRREYIASVLLHSHMMDSFLTKDKV CRTQIESAQAYLYRMSDEVGDCRDTVALTNWYQNVKDTWHHLQYGNKALRQTVLFTEL INIMYPLITLQTLFSLNVRIPFAYYEDQMEKDLKPFFIMMGIVLFFLLLCGRAMYALW HKKHWSTRLLAT XP_822798.1 MLSRCFVVYRKAVAQFSARDFRPRQSIALTPENVTLMLKSKLHP NDRLLRQSTVGPGASRFLIVDRNQCVRGIYRPLLCLPYPMAGSSVLGVPVESYLQVVE QKLLSEENTTLIFVSHANGFSFTVYGIDGSLRLPLVHLKLPGERSVTAGKMSDRDMRP FVNTTNLMDDLAELVTDHYATSIHPCSSFFYLSGSDSVLSFSETKAAWRERGYADKLP LSFEDKRWVSLPDLAAQHRGVTPLYCTDFDGYKVVDERGLTTRVKLGRLELDS XP_822799.1 MRRSFVVAPVVATACSLSTPIRTIIACKGIVENRITKHYSNRTV EEAKAAREAQIAKAKHPNPRNRKEELSHLTHHCSYEYVPEFQRWANDMLAVYSDPHGY GHDMAYHYHRIWKAKSPTRRGIEDGSGAFDVCKIHPK XP_822800.1 MPWWLISLTFIYRLFLCATIRTVEAPDEWWQSTEVAYNMVFGKG HLPWEWRYGLRSVFFPAVVALPFYLLKLLGRDTTWAVWFAPRVLQALVLTLIDVSVFR MGATLDELLAKRELELAEEMRQSKTKGFSYFREVFVSRSRRGICNSISYTALLLSLSN WYMAYCGVRLYGNVIEALLVLLTLQQRRYVPFLLLTGLASAIRVTSAVVLSPLVFRHL ANATREHGFTRGLFRIVLTGLIVLVAVLGGVMVLDYCFYGRWVLTPLAFFRFNVLHNL SRFFGEHPWYFYVGPVLVGIVGPHVLFTIAAPLVLWRDTASRAVSRPVLGMLGIGAWT LGFYSLIDHKEMRFVFVVIPLSLITAAFVLVRWSRTSAVVVKMNRLFVLFNIVMIYLM GYVYRRGPLDVMAEVRDGPRINRLDVIATCYTVPGYSYMHRKVNHLGFVDCSIDLDEK TGLPKVTEDIMFRRYPKEYVLWRYDGKHSFNMGDLEESRKASELQSVVMPKSAPHPDA MVMTRAVAKEIEEPFLKRHGYRLYRTFLHSPLTLAPYEDIYIQMWVKVTK XP_822801.1 MESPPSNDEKHELAVALRLKEEDDALLCAFGPDYFNGARVGAGC GGVFTAFLAWWSFVDLKEDHKLCDSALPTHMRDRSWTIRRGFHSRPFFTVGCVALGIT TLMKATKFCLANYRYQEFAADDIGFELLKSMYVSSPEGHRQFEAFVKKTIGNEKVLEL PSPSTNFATGGKIRNNQNLTETLVSSTSSFCDRKPPSFWDGVAVGIMGSVMDCYLPCK PLYSYYGMRCGMRL XP_822802.1 MVLYVMGPPRGRGGANTSRPIIAVLADVSFDTFRVRVLCIESIV NRPMAFTALKNTYCDAYNPTSSGPFLSAGNSDSLMDLTVDDKKQELGALKGFQASPPS ALGSVLIDIPLDTSHMSPDDFMSIAFSPRCNPLGSFHRLSVSAPLVKAAYIHDYVIHG PDSPGRNDFIRAMERRGSATRRDTSTRSVSPLTLPPTFPLPSGVYEPLMWEEVEARAR LVHLEDVTRRQCHSAEVSGYLRAQRLTRCKTSFHFSDFSAKKRIHEAEVKLLREDMEV RAKRERAEHEEFMRAMTRGRTQLLKLPTITTSGKKYKPTEVDKHVARVLTFRKRAANK SDSKERHFLEIL XP_822803.1 MSGQGNLLRMFPLQHKKMSQFSLRFLSVGVCEMMNCAEREYMSS FTVHNKFNRSAYAGINPLSSSSTIQSPLMADVVAAGLFDSYTGHSCSRFISKYLARAL SMHSTLPEEIAWLRGELKDDPLVTVMTSSLSRSRAMHGTQIDKQGGNGDMHTIRPLFS EWDMQQYAMLADIAFLRACEDSKFESSLPATELANEGSRAVWFTATAAPFSLHEQRRC ERSREEASHCGMAPPPLRSLDMPQVASESQRRASADGKVGKKSPKFAADEKEDFEREF CVDVLVSNVGDSRAFGIARNPLTWGQRSLLDSTRERVVPLSVDHKPLRTPEFQRIVSA GGEVRSEVGDMIDANPFYNVSRSFGHWSMKRNPKRAPSEQKITAVPTCSSWEMLPGDV LVLCNHAVFETRSQEDTSIDEAAKVVAREIKHGASPGEAAGALCDYALRFGAGHSLQV LVALATDGGEHCQQDKSGEPYRYDSVIPGPIYVQPCRHSLSYSAALLADCRRCGITLP DLLEKRWLHVRDELCSRYKLPLMYCYGKECSALQQQMEEEALLFSGVSFPPGKRELSE LTEKELRCVREEFEKVARSIMPSKNRLPERKV XP_822804.1 MHHTGMPNTPPRASQPRYNWLADNRALTQVLTHSDNMGRLPVDI VRDAVCGGVVCAFTDEKNRYDDQVFLPSSCDLTALAFLISEGFFAPFLHRTVGDWFQQ YTILTESGFFEPLSIPVLLFPDCFYDASFIDTSLPRVLSPTPSTNGSISSMPSDSISS TAADVLHLHNLRLQVGVLLLKSINEVLRDAEVWKLKANRQKRGQTPPSDVVVANAVIA LFYEVKMLLLCCIISTEQAYPKDGSHEETPFKSARSYFESIFSTKELRRIFEERSRPG AEEVMRWFDSTLGALTSTVSGLFSSLQERLQELLNDVSVDGRRVTGTPVQILHRPHDS TSTNVLQLPFVQSKLPHLPLQTGELATLLSPNPGEMLLCDSVPSPLSLLLRRCATVKM SALELRDGVEQLAMNPWWALHYAASQTVQRQLSDTQFIRSAVGKNSCFLIEIVRWLRD VPSDEAKDVAKERGSACSNSEGTKQQNGRTNGESNREQRLLLGLSTSNEIIDHVVHDL PFGTAVAQLIRELVGSNLFTEEQLHRWIGRSLKDVESKPPATIGCFAALLVFVLLHSR WELPADIREMTVQLCSQNKQQQDCVQLINILQRGA XP_822805.1 MRNYNNFNRVWKAPRRPFEKERLDREMKLCGQYGLRCKREIWRV NMTLSKMRRTARLLLTLPENHPRRLLEGSAIMRRCHGYGFLDEDKDKLDYVLSLTVPD ILERRLQTVVFKHGLAKSVHHSRVLIQQRHIAVAKQIVTIPSFIVRVSSEHHIAFADA SPFGNGRPGRVKRVKRNAAKKGSGGGDDDE XP_822806.1 MSKRVEVLLTQLPAYNRLKTPYEAELIETAKKMTAPGKGLLAAD ESTGSCSKRFAGIGLSNTAEHRRQYRALMLECEGFEQYISGVILHDETVYQKAKTGET FPQYLRRRGVVPGIKTDCGLEPLVEGAKGEQMTAGLDGYIKRAKKYYAMGCRFCKWRN VYKIQNGTVSEAVVRFNAETLARYAILSQLCGLVPIVEPEVMIDGTHDIETCQRVSQH VWSEVVSALHRHGVVWEGCLLKPNMVVPGAESGLKATAEQVAEYTVKTLARVIPPALP GVTFLSGGLSEVMASEYLNAMNNCPLPRPWKLTFSYARALQSSAIKAWGGKESGVEAG RRAFMHRAKMNSLAQLGKYNRADDDKDSQSLYVAGNTY XP_822807.1 MDDGVEAKPLCLTREQIDKQVERLSRRPEQRTLPDPFPVCPTVR MSKEQLEQVTKRVFYHYSEKHAEALRLAEERREKECGVASTVLSASDVDDIVKRLYYE GMERVKVGRKEASDRLLFKSTKVLPVISLKRFVNDMYLRGLEREKKKEEKLYEKYILP TEIPNLRISKSQAAESAVRLSRRHE XP_822808.1 MEDDADAYLRRVSQLKRKHFRKDSVTAYHPTPVEVETADTRPIH TVARRLPMKKRKRMCDDDEFDVISLVRDAVNVSSGGVFVADIPSTGPLVGCSGDRKVL QQPVKITLMEKWFPGRTLQNATLDPAQAPLYQYTEVSTKRMKDARERFVSLKAGVEDA LKYSFVGECTNFDEVVSRMTKESWIELRNTLFQISSRASLRRKKGAVRAMKYILSTNV GEHVPKSSILRHWNEYLLIHGPEQGFQPTLSLFVWVESAGITALFTAVVSRALKLFKQ GKKPMAYSFYDAQEESEPSCCDEAATSEEDECVDSGEVLSCEGSVEKSAKESEPIAVG TGEGNDNNLVRPPSLVERLPRLGGCSEDVISLLSFLRVLMPVDYLDEDGRMIGRSAGY GVWLYACLTAVDTPFDPDLDRLVHEFFRTCCLQLRVLGEAHNVRGDDRGAILKVFPSR KDDPPQSSQYNSLGDVRKEDVLALHTIIVVLAKLFRLNGNRLVPL XP_822809.1 MYPLLVCADLYGEKYNLEVRFPSKPTIEDLQKRIVTVFSTEMDS RRPEGYPEADFSIALVHIYDDKSQQWEKLLSDAQLHEYDQLYVFQPQTRWHMDVQKNL PPPTTPSKDHRTATPHSICKSPQSKGCDDVYSFSQNCEATVTAKTRLEEQRRRERVLR EELFRVREETERLEREAALELSRKRLLKWQVMYTSTSHKETSGIYMASMCNYPPLGEC LYTVDAPKPVSPGR XP_822810.1 MRGLIKSFSGRSAPTISTVTLRASICHPAGPLAVPSRLQSTSPM EQLIINGAAWESSNYYHRLGFQEAVRDSSRIKEHYHILAKHFHPDNPNAPPNATAAFQ NIKEAYDHLMEEVKDEVPKSHPHTGHSYRFTDHERRQQQMRFLGEGIGLFMAMTLVFI FIVSRHNSNRVEGRYLGHFAIIFLTIQVFPRLLAAAILYACHSNYLVSIAERTEQAAV SLIVEQRESHLAIRAEGIDKRVRDRVVMQVTYPHNDEPRRHTEEGSKDNENNNKKETD TRKGNLGTSPRVLTTVTFDSGVTQVIVPATRAGREPAECRVKVVDEARGFVIVDRTFE I XP_822811.1 MATTLPLQQQRLFDKLARDFDAREYAKGLRTADSILSVVPNHAD TLALKGLTLHHMGRKEEGREIIESALGFNDTSTVVWHSLGMCHRADDNHVEALHAFQK AHEYGPSNVNVLRDISSICVQLREWEQFVDVRRKMVTLRPGVRANWIALSCGHRMLGN KELAAAVIDVMTTIMEAGDNRAEKSEVRLYQVELELACNAPARALDLLKKHSQEIIDE YEKASLRAKTHALLGQKVEAEKWYMELITRGMAEADCVAAIAQLRKIPLDAARRPKRD VDKYLELLKQVQEVNPKSNYAKRQVLECVPIEQFRDQLREYAGMFIVKTIPSLFSVLK SLYQCPDRTQHIGEVFHQWEEELMAGDFSSFGGKKDPTFILWVWMYLASHYCRIREFG RALEYIGRAIDHTPTFDLLYLMKAKIQAKNNQLDEAAKTADMARRLDLQDKYLNGKAA KYFFRANKIREGEALMQMFYKTTEVPDDTYLTALESQCAWYEREVGDAYYRMGDYISA LSNYLMCESHHQRNHNELSEFHNYVFRRCTMRAWFNVIACDDNLEENKFFQKLCPRIV RTYMKIHEEGEEAVRAKYAPRPEVGKYSDVEEGKRIANLRRTFYLHNVDISDPLKKAG RYLQASLLHNALSSEVHLLAVEFYTMCRMPFLVARELLILAKLKCPSTNELVTQFENN LFREMASSMDPRVESIIKETLTTALEKLN XP_822812.1 MKVNCFLPLLLSVSYCTLADDSALTLKAGESICKLSKRLKSVFK YVDRRTDIALEKISDLEDMLEVVKFKIVKGRSNNTNRECKDVSDFIMSKATWLIRKGR KEVDRLKYVGLAAIGNAGLAAGRLDEMVNVWRRVYSAGTGNFCIGDEGNETKRTALPD CYLTDSERDDFTGIDEGDRLEDINNVTADAFNELLELHTEDDLWSSPLTSGMDGKDCR LTNARSGGGYLVGESPSGNLFWGDGVLGVKRGSRGYDGRTSNVRSKLLINDVVWEADT VEHTPIIRNVHEDLQNFFIDAEKIDELVGETGDKWNWEFSHEIGSHSGHPSEFDDEET DDVADNTSAVEEAVGKAFVGLGNREAFQEEMVLEEMALCGECNIYTYYQLFFLVFVPV FV XP_822813.1 MLKFVLVLILIIVGGDYCYRGNAGVALKLQAVKSVCRASKKLKS VFTFVKDKLEKADRNFYEVKLLQKLLRLKLLTEWTKGEKCGTMRVFLTNIRAKERNMR RELSALWKMGRRVVGEAGIAAGRLDEMVNVFAQSYGNESETKTCIGGTNGSPMEVLKR CYANNSKTGEWEFLTITEGGELFDNEFETNLETTLHVMLSLGNRADPWYDYGKDMGCQ LTKGAPGGGYMKSHNLTENIIWGDGILGVSKNGNGTTGSKGNTRDGKYAHDVVWEAEP TKNNPTLRRVIKDFSAFEELFAKVESSHKLLIDAFLQEKLFAEEFEALAVRTKKKRVI IGGSTSTKNKQNNALGGSGAGDDNEVSMEEWLSQVDGGIVEERLLAEEMKECT XP_822814.1 MDKQEMLILLFSLLFLRNPAEAYISRWYLRPDRDPDCTDLKGVS DVLTMMRDAEVAKYATKNCTQLKGEDSRTGKQTGNCTICVGHYICHSLYKCVSKDFVR FFGNGSQEEPASEEKKDNESALDAADTSSSGTGTESPEETTGGRTNVRSNVEDVNDGK ENVTSPEEESRGAPHGTAVPPLEERSPNPTDRNNLTRNHVLTLFAGKFSSNSQLVLNL NNKIMLSTLFFLL XP_822815.1 MDKQEMLILLFSLLFLRNPAEAFESRSYVKPDGDPDCTNLTGVS HVPYHNDMDDYAEENCTKIKTPSHNKEQTGNCTLCVGHYICHSLYKCVSKDFVRFFGN GSQEEPASEEKKDNESALDAADTSSSGTGTESPEETTGGRTNVRSNVEDVKDGKENVT SPEEESRGAPHGTAVSPLEERSPNPTDRKNLPHDRFLALFTEHFLSNSQLVLNLNNKI MLSALFFLL XP_822816.1 MGNFGGTPLHAEKSNLTLRELSTGTTPAGTNVRERGSGSIVWMG GKACWMANNAKMLLCFPRVSYRRRLLVFHFSGVAIAHSPPHIHCPIFRYPSVCLPHCQ LRALKIYLRRIRSALFFSGNAAPQLTRRPHLTKHPRIAVQTTRNHPIIPAYSCLPLWF SRKYANTP XP_822817.1 MPSAVLFSCVRWWHVGVVMLLFIFLQSRDAWGLKELNLNTTFLR QDYRTPLKQLSVDPIFCRRRGGRAILHFEMNQVQGTVAVTLTGCVAQACVPHACGAGW GNMKVEQLRSFFLSFVVVADAAQPSYFLWGEVSVHEMNGTAGNHLAIDGQSLRTCTTA SVIPPLSEIPPEVFIVKAKKFRCQMHEKGFGDSTTCP XP_822818.1 MTRRNAMSDNTTSTSSVTPERYPFCIVWTDIPLVSWLFPFVGHV GICDSTGRIFDFEGSYCIGVDHMLFGNPVKYWDISAMYVPSSRFPPGGLLSGDVEERR RRETEEYDRALSGVTTRFRKTQTYNFFTNNCHSYVASVLEEMTNGPRRPWNMFWIAWG LAIHGRSLLKSPSSLPRYRRPLCDRRCTLGLTRSK XP_822819.1 MTVYPSLFVCHGGGPLPLLRDEGHKMLVDALKSHRKLLENRFGL PKAIVVISAHYETNTPKVGGAERPSLLFDYYGFPEESYKFRYPVPGNAPLAAAIVNAL TVGGFHAEMEPERLLDHGVFVPLMIMFPEANIPVVPLSVLRSGDPAEHIRMGKALRPL RSDAVMFIGSGSTMHHFAHFNREGAGRKFGDALTSILCKNEVATLPEDRLKAMERVKT MEGFTEAQPLREHEHLMPLLTLVGAANGNIAEEVASISFGRANVRNYLFTE XP_822820.1 MGLHILLFGAPGCGKGTASEFLVRRYDFIHVSTGNLLREEVKKG SAIGRQVEGLMSEGQLIPDHVVVAMIINRLQRPDTKGRGILLDGFPRTRAQAETLAAN GFKVDAMIFIDVDEIKLEERCVFRRLDPVTGRIYNLKSDPSPQEIMGRLLIRSDDNRE KHRRRMQVYLKQKASLMEYYRGKVLEVDGNPPLPVVLKSVATKVDELLRIEKSKL XP_822821.1 MSAKAQQVKQQKLNRLIADDLAEDDNSVVVLNAKRMDELSIFRG DTVKLKGKKNRSTICIAMSDENCPEGSIMVNKVTRRNIRILLGDLITVSSHSNVPYGN HVHVLPIDDTVKNLTGDLFETFLKPYFLEAYRPVKTGDLFICRGAMRSVEFKVVEVDP GDCCIVAPETVVHCEGDPIRREDEERLDDVGYDDIGGCRRQLVQIREMVELPIRHPEL FKSIGIKPPRGILMYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESEGNLR NAFVESEKNAPAIIFIDEIDSIAPKREKAQGEVEKRIVSQLLTLMDGLKGRSQVIVMA ATNRPNAIDPALRRFGRFDREIDIGVPDEIGRLEILRIHTKNMKLHPNVDVEKIAKDS HGYVGADLAQLCTEAAMQCVREKMAVVDWDDETIDAEVLDSMSVTNNHFLDALSKMNP SALRETQVETPNVTWSDVGGLLDVKRELQELVQYPVEFPWKFEKYGISAPKGVLFYGP PGCGKTLLAKAIATECQANFISIKGPELLTMWFGESEANVRDVFDKARAAAPCVLFFD ELDSVARSRGHSGDGGASDRVINQILTEMDGMNSKKNVFIIGATNRPDVLDPAVMRPG RLDQLIYIPLPDKASRVAILKASFRKSPLAPDVDLDQLAAATHGFSGADLAGICQRAC KLAIRESIAKEIQLEEARANGVLNEDQDIDPVPQITRLHVEEAMRGARRSVSDADIRK YELFATSLQQSRAFGNVSLDPGNQGGAGGDAGAGDDDLYS XP_822822.1 MTSREGIHPPYEVPVDAIFQPEGEGADISRSGTLISGAASARTV ASPSQTQPADSRLSLSPQLKSPKITATPREVSQGERFSGESLDQPLTPGCAKERVGPS SSRGSDLASVGDGFTRFTYGSVQDCDELDRHSLGPQLTDALECSFRSGTFVPSSGQSY TSLGRAAFHIFKGNVGTGVFLLPAYYRDAGYALGGVVVVLMGWLIIDCVLALIRAKQI IGHTGARTYPAVVKYVLGKLWMHFAKFSLLFTQFGFCVVYIQYASSLFAEFFTGHDLY KLFVFISIVVVTFMTFVSHRLGFLAYMSMIAAVFVMVVLAGATAEEVCSLSTTGVAPE VWAIVPTMRIFLFISGHVFSLEGIGVVLPVENSISPEDYPKFEKVVKYVNASIVALYV FFGVLGYLAYGEALESSVVLAMPASTMKVLMQVLLGLSLIFGYPIQFVPAIQLLDRAL GIELHREKSMFVMVRVTFNIFVGAIAASIGAETVSLFAGFLGAFTGIHLMVTLPALLA IFTERVENARSSTDVDGVSAELSFCDYMKIFCTFPDNPFDCRWYAYIIFSLFVWVAGI YFTFAPMLSK XP_822823.1 MATILTTSNVHNIPAVCRIPEKGTATLVSPGMLLTSTHVVGTAD ACASLTAIFFEGTKKKPVEVKLLPQKYFFAAAYPEYMDYCLVACEEAGLLNVVPVTIP LTKDQWAPVTEGDIILVVQHPAESSDSGKADPEVGDIAPAAGSADPPLVMKRFVEVLR HRDDLFYLKTTRDVRTAGCPAFNDRGQLIGLQSQVRHPRTGIVNHVVSITAIVKHLFA NKQLWSINRNQTFEEVWNTWYVKNDITRILSITANFKSRAITRETILKLCEHAAQREI LNTLVREGGAQAILNALDIFIDDEEVVFACIKALWNISFSEELIRLCLGGGKSLGMIL DAMEKFPKNEQIAEHATLFLHNICSGNDKLDFERDVEERALTLVHSTLRTFKGTVMLQ KFGFAFFNSLIATNLRNAEILVGQGVIAHLVRLAQERKDNVLLMEVVMRFLGFIAQNP RAVNMYVTSRDVGMGGGSKGVSVITGLLVDIMLKHKDVDRILLDGSQALWGFGNDVAC RAVILQHPRAFEALRISLPAVISHSKVL XP_822824.1 MAGPSNAECHIAVVEIICTEHLQRTLLMCDEATAFQRVVSERVA ASGGKWTFEQYDFMLRHVVGDGDGDARNCRNEHLLALLREAGGERCWGGITAALRRRE EFMQKEGLLLRRIAVAAKGIRRSGASLYPWCENQL XP_822825.1 MDERGILESILQFTHSPMWRTPVDNFIDERCALFTDDEEMKVEQ TEVHMQFRKITDDILSKYVKELGITLEDALNAVVNSMDTASQTNRLGKKFMQEIFYIE DFPTFHKMMVRRNIELDILAQCEISQKRENSGAKTANDEEEAMRLAIEASLNDEEKTR RLMELEDLQLQEALALSIAAEHERARNTFEKISQEAVEEAKVVGKAAAEETKLKKLTA AEEEKEKTIVKLEERALEVRQQAMLQRLTATMVVEPTPVAPKKEAPAPAPAKAPAESK PAATAAKAPTAPPAVPPGPLSRGRPIMPSLHTDHGADSGKKFGFSKLPTHQPSFKQLE ATMAKGIAGASSAGGGAAGAGAASSKVEDEELARRAEHMRKQRELILQKNRETREEEL RNYMNTKTTNPADSSVGRVERQMTLDLAQRLRNDILDETKK XP_822826.1 MWRPPILGLLKTALRGSSRHMAGRKFLHTLRDEDLEDEEQLARL LVQDVSAPPTQSTVTAWVSTGVIESQELVRAHLEGGVEVTAAEDNTLADAMFVEGESA ESLPSSSPITPTRRANNHLAKYSHIRQAIHTKRLKDGARLVGEAALEWMCCGCRTYNF IGRRTCRSCKQSSLESCKHNTAPARHLPLFPAIWTCHSCGHNNNPCPADATNRSKFSC ESCGDPFPGIREWYCPSCCHINSRGSVQCATCYADRPFRWSCSSCGHDKNSIFFTECR NCHSVRRRLVSDSTVLCPSCRQRNDVQWEMCFMCMTPLGMMNSVRKLQLKVESGLAPE DKSAANSAVEDENCAQSNNRGGTPPTTNDVAEDAGEGKVGPSVECTGEAHDGTKAESE QHNNHREQVQLSLGDEKNTRDSAVMEDCAATAARVKAAERGTWWCTECNVLQRRNVGF CDICLKPRVVVDSRGPPKSIAADSLTSETKGTASCLTETGTVLPNEGQCKTDQATVGG GKHLGGDGAEVTETKFSDTFIPCSVNTTETGEWRCPYCRNLLGATVTSCCGVTREAPF GYWRCSACCSTNRDERARCLGCGAAPQAVKPWRCYMCRRRNEADVFQCDYCGSAHPRH WVCGQCGSKCQQSGDSRCSSCGSVKKKLEVVVCPHCSVPNNFLRKSCFRCRARLVSDD WHCEKCGYGQNGKNSRRCTGCGEPRRFNMDEITWVCDVCSTAVASGGALKERKQCPRC NSDRTERSLEIPSRWQCRSCGVANAYSVPACLECGNARRLGNMRTHTSCRACFRLTFL DEKERCEHCGAVMSEVINDVGSLVSSSGLHPLVRGAVDSLSVAEGTRENGCISPTPAG VGPRDDSIIPGTLSPFTATDHRGSVVANMITKDVCGVSFQPIDSSVCCYGPKTLGTSQ EEGDTVECLLQCSEEGSGTETSAPESCLVSDAEEWCAGDLGCEELDETVDVINGEWCE SIPSWICSNCEAKNLDEADVCVDCGIAKCDK XP_822827.1 MYLHSMKRYIRAPLAPLLNVGRSNSSCGGAVCFCAPCAGRTAYC PAYATQVAAFCMPTAALLQSWAVRTNRTWMCVKEECRHVNAPGATHCESCGEVKPNLK GWLCVECSTRNHKGVKKCHKCAAPAEKSSEFWMCAACEKNNRIDDLDDNSCCGFCGYD MAPMTMTEAEALRIQQERSERLRETQERFDALSAKDADEQFGDEMAGASELPAALKQG VPPAPRTMFDIPEVKPFAPTPQGTKHSRILRKPRPASSPGAPSGPPGFDWMCREPSCA VINSGDEECCTGCGKHIEPTEWECCHCGAVNHMTRARCFNCRVTISISWVCSDCRTAT CIYERSCRACNKARPPTEPKEARDVQPPSQNAGRAGFSANRRRMPFKQDWNCEECQGL NFASRTSCYQCGAARSTADASYNGGASGGDGGFDGGAGLSVSHNNWFCRHCQASNFRT RASCWQCGRASSESGATTWSEDDSAPHFEKEGFQQTSDDNVAEGQVNVWNKKTDDWTC GKCFSKNFKNRQECHKCGATKTVAVAPRRAFVRKPVKI XP_822828.1 MSSLKEINGRLSAQPYVSGFTPSKEDARIFSEMFGSNTAVIQWA ARMAAYYQAERVQLTKGATASKTSATTKAAAGDDDDIDLFGEATEEELAALEAKKKKD AAAKSSKKVIIAKSSILFDIKPWDDTVDLDGLAQKLHAIKRDGLLWGDHKLVPIAFGV KKLQQLVVIEDDKVSGDDLEEMIMSFGDDVQSMDIVAWNKI XP_822829.1 MLEKRLSVLLATYLNNFVCDLNEEQLQISLWSGEVVLRHIQLRA NILDQLTHFLLPNGEESLDGMNNQGTDGGSGAFPVPFRILKGTVSELIITIPWTSLEA EPVMVEARAVEIVVTPLRSAPYDAEEEKARARKVKVGQLKAFEKMRTGKSCPPSERIP EGPLKFLTSGGFVGFEGYMEQLTETIKQNICIALHNVRIKYVFDYEGLAHRFTSALSV VMEKVRINTTNTSWSECFVIDPAEPCCKKVVMSGVRLSLHAFKHCGPTDSEGFQHEEN DTSWGWSENMEILKVDELAFNILVMKGGNCPATPNRPGERKVEVSVTASSMITIRGCF GALRTLAIIRDSCRYGFQSLKYRKNLHLLCDDGKENVKGVTLARRRWWFAIRCILDDI CPAPLAAGGRSRFIKKITQLCALRREYCSLWKRAQGVAWLPHLTMEEESRMNAVEELL HTQQIIFFRCLTYAELRREKRSMSQQKRYIEDAMRRNQPYVGGADSEAVSAAAMTDTS AFTGGGDSSGNTVSNSSWLTQWWFASPNTNRGTYLVKSADDTSSAVKCFDDDFEEEWV IGRRFLSSLQMRRTEGLAGSPSWESRDSGGLISVLSLSFPSIEAAFYPQVWSSGVSAP KPLQLYVDHLQQHLFGRLTSLCCSYTNTPSPCESRNGLLLTVDKATVSICGPISTPLF EYDDTYSCGTGRRTENCGRCSNAMRKSWLTVTINETRSRMSVAVAFTRVVAQPWHEWR WWCDAIKAFGGALLESTNRSCNPSKCQTAKTFSAIDICVDGVIVSVPLASAWSCQRNL TLCVNNIYLSKLGREPQVSWKLVSGVETPISIRCTGTSSVLTLWDVVVVVTFEKGVPL FGTLRAELTVEPLFFAIISDHLSNLSLLDRDTLQALLQHITENGSEWRLESDTVLSVG NSTFTFPASQCRNYLAVLYKYACDSAKWAAQALKSTNEQVPHGEVSVGAVPTQRDMLF MEGVELVIYSGRVIVQDIAGKDVGYIDLPHCMDGGEHHPSVSSLTFEGSRALRVETSI SEGGKHMVAAVRSPELHVCSPCGQYMLVLENFTAKYVPYAASLSLTAVAAQVVICSSL VCCVEVLLGAYASLWRQSLSASDLAARFFGGVARAVERDGALPNDTETSTKTSSVKSV FSNQVVDVDIGRLDVRFCSEEADGIILVSVNDLVLQRSVGTEGDTTKVTKVKAYGALA GIEYMAVGGETMGPFVLFSSVKNISTRGSSSCSTFKFCSSGVSEEDTTRTHVSFDIIG GCVHIFYEYWVRLLSIYWTPSVMEMFSVFDSHYSKQGFLLASCEKVAPAKREDRRVGK SFFSARSEISGISVFVATDATQRIDFLKDDTFITLDIERITLIYNDMMKDTAGNILSR QPFRLTAELQQMRHLFTGSGSQSVMVPFISRASAVINSQMRLVPGADKEHYVHVGGGS NDLAILLNIDPSAFESAPDMVDVEHGAGGVSVGNSPTRGAIQCLKLTPRMLASFIRSF LFNFCEVCSTPKCPHSAPPDAGGSAPKMATYVLSTVSPLRCTLIEKGEDDEEAILEIN THMQLYSTNGTAGGEPSTVIRFDALSIDDATPSRRVLLPGVPHWQQQQVIHQTTKGHR RRLIELGKSSISRVTRKQRGPLSEVIVDCVFDNITVFAARHSCLALLRFVVACRTWLA EWGRTKRATHLYREGNNVVPPATTSVLNVLLRRCAVHLEEPSGEPLVVFHIPSLSGTL NVGPLERKFKVALDESASVWSYGEATDAVRVTQDSVQLNTGRYSVPALAQVGAVCLTV IEPFGGTEGACSSDLVSKEETHIHYIRTIELSAQSVCLNVDSTTLIRLLHFGVNESSA YVSIVFPNDKSGNSTCEAGPGAIISELLLSRITVVVRDALFYPKTDYEVKRVQVERLD VSSGFTVKEVTENNSGKDGPMHHFTAVYTAKITGAAVGFSQLPSLSFPNVEAQAEIPI AGALHARRVWDTTATSDNDEGEPMAFTSGTSSATAAPPSMGRLIRIGADQNVSFVISI SHIRELHRTLRALASSPFFSGGFSPLSAVGTTPVCYSTKVARDTHSTGAFFSVLIYLP SFSVSLTGDDAVGRSVTDITASEAESKSITTLMVAKVDGVLALASVALPGEGKPFKGG LTFHGSFELSDGDGNVIVHWQPATGYSEVLRPLSATQRWPNSFPSDVGLQLDIMNSVS EQQVRLQIRSFVVALNSHTVDFLSWIHCIIDPMKYNGPRGNCSGEGAGFDGLRNDVTP MKELSPASFECSSYRGKQTIVKVCIKGLSLQLEQVALLNCSEVRGKFGWGTALNSSSS HSIPYEFSVRHVSVVRVKDQLAFFEVAESQVRVAGAEEVSVAARGIILNNYNVTFYIC LWARMNDLWGDAGRKLHFPTKGVRTPVRSIDVTIEKVNVRLFPLANDSALCSNNGSVD LLFPAVEVKNTADRAKDCLMVKIERASLCYKSPSALFSPLAENVSFVFSLEKNLLELS RHVSMRFGNIELSAPLGDVLESCSMSVLQATLPLMGILPFMHNNEPTWTHTGESATTK TFSSDTVLFYISFLSVKLYAPQSGGEFVGWRHHVLFGVELHQLSFERERTVQRERVVA RVLSITSAADSAIQSEFITAIAPENAEDSSMKRAIYIRRDVCIDYSETCREASVSPNL DSECMRPCGKLGTKVVSYVARLHALRLVVSPKLCNAISDHVLRPISVAAHRTLQTAVH WFSSCQIADRSAFQCFCGIDGSPSVALGGCGRLITISGDVCLCKDLLLGGKSGFRLRF VKGSAQGNPINTIFVRCIGSAKVILAPTSTRHHLLESLILVDSRLTVVFQDVPFIVNH GKVADYVVLGEGSFCVVPDGIPSSSHSTAHTSIFPPSLLPLNELGWCNVKVSFDVLCG VTLLLCSNNETVTVFGRTSARYEVEKKCYEGASSIVEYVGESGSVKLSPVSVRYGNSL TAVDEPSELIIDIQYHRGDRARGGISTTQVNMIVPPVCSTVPLRYLLLMGNIANLLRK AFREICSIRQLVKSCDPRCAPYSSEALGLECTGLSRTLGQDVIAHQPVFQTSFSPAGI GGLESWFEFGLVAPHVEFVITDDFTREKFVLALTGTCIRGRATRNLSRGSCKGYAALH VTSCQPNQPPCNVVSLSPEFTVEYTQHAGDGYSLQGLLMLQSVSITLPLITLARLTRP SPSRWWVGVPGFCNNTGVPLTLVTVLGPHNSQNKGNVFSEFHALPNGKLMQLSDPQRT FTSFRIVCGFVDGSDRCCSALQDAKVDVDILTLRRVTTHRIPFTDGFAGLLDIVLRYD EVNDVVNITTPVTVRNELTDHLVSVPDASCSPLVVPPKETRYMTFTCLRQPLSLLVGE EWRFDQQDEFITLELLISAFVLLSSGEHEELPLRGGLGTSTANSQEVGQKVRCRDTAF QCSGADYARRGHMRGNDVLVLPLWLTGARGPEGDQAGCEYETFGVLFSLRRKAFPVED PTKSRLMQRCDVELCIRPMITVLNNTGGTLAVVISTRGNEFTPLDEPRFVQCGEEYNN FTRPSSGEGYSVSLSCQLPNGVRLTSSKPTPLNFSERATVGMKVCTVDGDETPVVGLV MERVEPDKFVVRVPAVLVNMLPFPIRVADKFGTPLLGEGEGKYLAPKREFPILMPLME GKEIAQSPEAPVLIRVMIGSSTPDTSGLFACNDPMSSECIRVADGEKVHLFRARRCKE VTNNMQVAPRVCLEPMWILRNQNRALSLAVRCLGLDTIVVIPPQSSVEWTTFAAASAT DPLVQVCYVHKEVGDLFVWSNKVRLLTLSTLNVPIVMKHYVSTGSTDGRDPAFRNLLN SMGVITLRSLRVPPLYGDDPIPENFACLSIVPNKRRSRFLVDFDLDGGTHIVVENRTN RLLIYEEMPHSLSGVSKAERSYIVQPFTDSVTCFESDDANAAIRLTLHGDYGYSQTCT INLDEVATACEGVKVAPDMFVLCTLDCGQQKYHISVTGDRSLESALVFRPQRILHLEV LVHTVSLYVASKCVPKTVHSLLDTPLAPFVTQNKFHFVPTTNSSFTDAQALSYIQCRE LDLILMEATGIYCTASLNQRHCFGRMDVGRVAVVDCTTPEPVHPVVVLINTVDAVGAV SGNILKKPCLSLQLHVLVPERRAAIDMTSNKKKVKTIRIRSVSVKVAPVTVKVSDTFL FLLQQAACCLESEQCQMKSTEESYVTGSNVCKPSTKDELSTTELRERGAVNNYHIATL EVSPVELEFTITRRKDGLYDPFAGLFSISRFIPSVERAPLSINGISMEDINQRSNSVG CALLEALWPLYRNQLALQFPRIVGSMEVLGNPIALLSGWKRGVRSLLLGTAEMNMLKG LRDFLCTTTSSTLHSVGLISHAGSRTAANFSWDNEWLEQVSEGHHRVGDPAKKGGVLW GMGQGLRDGIAGVFSRPLNGVRTSGLTGFVTGVATGAVGLITRPVAGILGGVGNTAEF YAKVLQEPDATPNIHPHYLESGRNFKKTAVRENTAGGTLPDVDSATFSNDALPYIVGG EKSEVTCGFLEAASCESDANVRCNAVERVVGSSDMHREVCNDSVTCVDDFVSYGAYES VRRRVQQNCSASDNAERLFIESVGAHNYALRASWSSFVTQTTTEEFYRWLHVALASLI KDEIHLLVRAAPSKGYASMEQAHLRSNSSVSSEQTDQTYILRRQQARDIRKAIGTKDL LKYVSAEQFAGVCTLEEIKQNLTPEAIKKKLPPILPALVRESVRTLLIGDYHGRHASI K XP_822830.1 MELNEQELQTRGYVKKHRLNELFAHFLQLLIYNKPADPRLFLQQ EIRSLLKGNPLTPLFTEQDFETMFDLIDVTKQRWVTVTQLRNTCRNLATAEGEEGLGI PPAQEEAIEKAADAEGRVTLEKFKEVLAMQLHTASVAKEN XP_822831.1 MMTEHIYIYRFAFNRNIVPVVVMIFQDTSSSSVDSSEEAGTAYQ RAPHGISNAARVNANGDVESDAFSGDFSSTPDNDPKMSASLTPPSHRPKTTTAMPSTK NPVSTGLPPTDGTFVLVNVKPATNGERVPAATPPGASRIEDTHVAAVSLTSSRCSDKI SPRRVHQTSMTSYEALGLGYSTSGLADAPPLKNADGINQRAAYTSTAAGLDKDKMAEV TLRTLTPSRRSVSVGKNIHTAFSYAKTQGTLPEEKNSDQWYSGHPVPRSSPPMGNSIP RSANNSTRPSILNPHGSGYDGGTGAATCNSVESWRERIMWSTRPRRNSPRHTSSYNSS RAPSRLATPRAASVPVSSVGAHAHRGHHEDLARFVGAYNTPSRRFPNDIPYANRSNTD FIAWGSGVEMSHSPRYPSTPRRFKESRSYRVKHKLLDPPEPTATGLTTKDDIVDPLAE LEKYQKRLERAVAIMALEREHRMLRHRR XP_822832.1 MLQSKVVGAQARGAGAQPYQAVNGNLDKHNNPMSLSLKEHKRRL RSLLHPSHSGSSDSSYPAASKFSLPDRSAQASVRPSPRIRSYRMQTGNTVSPVNSSTP SAVRRQRSNISSTCLTPGRCSPRRALGGKRRPPEDTFNTFATYDRVLQFTLLHSGRFH SKTTNGDSAEQESTATRSQQDSQQLSAISKTPKDQATPPEQPVLHRARSVFVDRPLNG TEDAAVPGLRSFISTMATPRPEGGQAPLFSARGRYFKSPVRTRGGNAPKSGDAAETED VVPATIVFKLDAALAKLCESKEAEVMREENGAPMGQEGTSLNGVDGEFCQKHSYHDSR SEDLSCSAVGGALRPGENGVAFDGKLGAVERNSLRKVVSSGSHLKSALKPPKADITRS QVLSSDGSCLTPANSLADIPSAAKNLPSPTTVRPRPRQLKLPSTLVDSKASVSEEANN VSSDRPVGKLVRFSDAENIGHAIMKESPRTPLRRGVGRSTLPATPSQKMTPKTRRRDK LLPTQDYTEGQLICVSSSRRKKSGHTVQKGGLVSSTEASAVTKVKTLPSGNGEVKPTE DVTSKVVSDFNQVEKVTPDGGKGSNITSSRKSASLDSSVTAKSSIQLGVAPTNEQAPT ES XP_822833.1 MQRVGSEREGRRGSYISSHSNEADAAKAESGHLTAFRAATLPEP KTWLQRTGHTIVVYKSNMFLFGGTGPNSTYSSSIFCNEKMTLQWKECRGVGVVPTGRA NHTSVLVENKMFVFGGHRELEVFDELYIVNLDSMRWEKVSYEHVQGPGPVFSHAAVFI PPTQAMIVIGGFHQRKHNTYIAHSFDIRSRTWTGIHGPSSVNPLHLQLVNAVYHHQSI SLIVVGMSMKSCGSPRFADTPSIFAMNIYSCFWTELTTAVSPSSPIAFRMPLVWEHFL EEHISVGGIYDERRQEFYFPIMMAPIEGALVHQHFDRRPSSATSVTGQQSSRSILRSA STVREPEGDGKVKFDGCAVFRLQLRTMTWSLTPVVIPKRVVNSLLAYRAEVRSGKGGK RASSRMRRRATNDKKASSVTALFSSNGVSVYQRKYAYACISSSALRKSGGDQKHILMH GGLFDECIALLLAPVFHDNPMDSASLDSVSLLNASVYSTLTVLTTDNVNSGKSAYEFS RSDYSDAETKATEAASSSTGGNGSMPPPSTEENRDVSVTSLHLRRRSSDVHNSRAFPS PRVVDSKDHFALLYHPNNAMNKPQHLPDANYPVAVLRSEKEVRSWAEDFYQDTRDKVI ENVLALREKDKKERKQFSRSRKAQKSSSVGGSDEDVFTDDDSSESKGLSDGKVVEKAQ SPRQGRGLFGIIRPNVPVIPRDFFYEKNLDVFALREHWCYDLQHREKKQNTSQHSRID AISRVNMKVRRLPENNRLPLEVFGQASVENISGAASLAAYLLMEAALARCEDDTAKSR RQRTLIRWRYLRVMVLNGEASSIMYFVNAEANRGSGVNVSTTSKVVLGPELYVKGPNP KKVPTRPVPYTIPQLPSITLRAAEVTPSGYTLYRYAPVPGSGGRRSKNH XP_822834.1 MSFYRTKKVIINSLFSDSSWYCTFRFRNPMTSQRRSCHEGIPSG RVTGNSVTLLSEMRENNAIGVEAVGKERKRPDAMTVLSNVFRTNPAALRLHRELTICI ASGETERASDLASVLAGLVKRTTRLDDIEQKCAEEGGRSKVPNDPVPVVGEETVEFLT SNVVRGVSSQSRLESIEILHNDAPGAPYLGEKVAEAAEVKDSEPLPFWKNPDALRLTL LESAFEMALADDNENPLQPGSVEADCLEKERMEILDAYLKREGKRWELQKAAVARAIV ATVKDLGVVPEDIHAALQAEGELRLNGSDKRFNVLKHCNIIVRGEVPEVMELQMKGTA TRNVEASVSQELDVLINRMSSTGTPLSQRDKDLALFELVMSKSKMRYVVGLHRELQVA LDTAPALRKLAVEHRAKSGSVLFVERALKMLNKVAPDGSETTAEDVECIDTISTPVLP FTFMLKMCLWFEAPVAM XP_822835.1 MVLPSLAPSPHSNRFHDVTQDRVEGASVEEIFECVNDSVPAPTV RVLGDEFSTFDQKHERSNKTSDSNVAGRSKPLNGKGGTLPTSAEVEKPFEINKHAVGN ISSVVAVDDDDRASSIKFTFVDGESVLSRSPTTTSIVFEVEPSSNMRGTSASSLLSPN RSKLSTDTADGAPLNSCRPAEAVRHGTPASSGLANERRRAGSATPIKRSSVKSCFFEH EVQEQRGLRGNRCSSASSLDSRRGPGGRHSSRSRRSGSGSVTPLSGRREGIRSASRTD GGRRSYGSHRSEGRYTNSDASSYSRGRAGDWKPRYYNNEPPSSNTGSRRPSPHTQLDT PHSQRSRCLLASPRYSDLTVSQHYLMGTNPNVSHATSPALEMFKVHRSSCSPMRVRQK KRQELLAKIEKELTAVRDEMQSLDVELEQAMLSDPHVRLYHMNNRRDRDERRSRLLLY LNLENARNQLLSEDDETEERRLRRRREVERKRSELFERLHQQSSARRHSSQGSTPRRR RTPSSSFDDGLHERLYSDAAKFREWHEERRQRARQEREQMELEELLFTRVLRKVGLDS SERMLTPQEEEECAAVLCTKLLDDPEKLQEYIRPKRLNREQEELLNARLARRSEINLN AIRNQMEVAQMAECTFRPRTNSPQSRNRQEAILSINKPTAASIRRRKANVESGSSTRP PSRRGHSIPRNRTCEKLYNSAKKSEDRLSALKVSSESMKKLQLLKSKLKEDHHFRRRA ELDPSLAQRYMDSLVV XP_822836.1 MGVPDFLKFVSRTAPGALCRLPRDSVATPLCFDFILIDATNAAQ TIGLEVLGAFLRRSQVQVRQAVIFAVDGQRQRSDTARAQRTHSTVLDTDVVIQRFGSD LQRHYEQCGGESVPQVLISGRHVAGEADYKILDIQRFIIMQVFTNGGSDKLPTFCMVS EDSDVLCGALCGPAPHTVSIVTKLRDTMIDMCVLRVSHVLAHVGVCMEAFISGAETSV EEEKDMTVDSGPVGSTDSIFHAEQMETEGDGEEQITRRKKVDGPMVSTGVRVVLGDSD DDDDHAQSEGLRDTRNGGGNMDNELHPPKAGPSTCVPTMVSQAAVAEILQNSCVDMVF LFIIVMGNGGSVPPVIRGATKVDIQSCWRAYCKMKYNTAESEREKEMGRTLFDLNGIL SIKDQQSDDIASVVVDCSFLCTLLDTAQYADVQSRPMTDEDKERALLYLSHAAYAALR YIVACNVGRVAAGRVDDTFLDTRNISEVVSVPSVAAFLSVLNQTKKRTLHFPLVGSAT TDVLSSAAKGVSAVEEAITAARIRSGRGESRMVLQTDVAGTLASAVTASGAQRCVQLS RFLAPFTTAEVSRKNIMDCLKHSPRNYSKISNSVAEFGGRLSTFSRLLLAWRRTIELC VPTLGALAGKETATSTLGAVAGDEGKGVAALSSSGLLTTGRSCAKMSYSFELRRMAPV LVSEQTNHKSNAATDATRRDILFKALRISHDYVEAPQIINSKSKEPELSSSGDVDKKC QLERKRHREGETLISEREGASAPGKRHRTQSVKDVIRSKGKNKKVKRKKLS XP_822837.1 MSSTDAMIGRVCRTFGEAFAKDEATAKEQKKKYWIKRVLGSGAT GTVLCAKRTSDGETFAVKVVDLEGMNEGDKNRAQAEVHCLMNCDFFSILKCHEDFAKK DPQNEVNVLMIALVLDYANAGDLRQEIKSRAKTSRAFREHEAGLLFIQVLLALHHVHS RHMIHRDIKSANILLCSNGLIKLGDFGFTKMYSATVSDDVGKTFCGTPYYVAPEIWRR KPYSKKADLFSLGVLLYELLTLRRPFDGESMRDVMNRTLSGQYDPLPPETSPEMAEIV SLLLSSDPKQRPCSGKLLDMPICKLFMSGLLEIVQTQPAFEGPLRDVIPSDIRNIKQL LRADKRSVVKQMDESYSTAISTAVLEGATPCSGLGDVTLYEGIIKKQSSDMSWKRRYL CIRAELENGEDLSVGKLPKFKSLHLVLAISKDTLQRQCIATPFTDLEDVFPVPSKYTG SNAPNVFAVAFKAGRRLLFQARSPAKRDAWMQKIQEVLGL XP_822838.1 MSSTDAMIGRVCRTFGEAFAKDEATAKEQKKKYWIKRVLGSGAT GTVLCAKRTSDGETFAVKVVDLEGMNEGDKNRAQAEVHCLMNCDFFSILKCHEDFAKK DPQNEVNVLMIALVLDYANAGDLRQEIKSRAKASRTFREHEAGLLFIQVLLALHHVHS RHMIHRDIKSANILLCSNGLIKLGDFGFTKMYSATVSDDVGKTFCGTPYYVAPEIWRR KPYSKKADLFSLGVLLYELLTLRRPFDGESMRDVMNRTLSGQYDPLPPETSPEMAEIV SLLLSSDPKQRPCSGKLLDMPICKLFMSGLLEIVQTQPGFEGPLRDVIPSDIRNIKQL LRAEKRSIVNQMDESYSAAISTAVLEGATSCSGLGDVTLYEGIIKKQSSDMSWKRRYL CIRAELENGEDLSVGKLPKFKSLHLVLAISKDTLQRQCIATPFTDLEDVFPVPSKYTG SNAPNVFAVVFVGGSRLLFQARGTPERDAWMQKIQEVLGIGDAE XP_822839.1 MGTSSTDLTVVSPDAVVLSYRNSDDIIATPCELDQQHQQQLQLQ LEQQLHGNVVMGCVQSLKASEDAFPEAFSPSESITGNVVIADTSRHKYSESERESKSR KCDSSVPSIDWDDVNDATMRRVLSVMSLSHADTGESFRSISFVDAVRALKHDRSSWEP YAIPLRARGRGRCLRLRGFLRKVFFCCNSSSSLDSQTNADAPIDPKNPKQVLQQDVDF CSTLPSMPFDHSNPIHRRLLITLRNVLIRDAEEHNGNVWNEWEKLGFQGSDPATDLRS TGLFGLLQLVFLLEYYRAFGFRLWDTCIKKGEDGDNVFEELPFVLIGFNFTGVVLDQL KDTRTHAEMMRRARSSGGEGRGGKQNISPLPLHPQCEETLRREFPFLITCCEYYVGCL FQFLELWLELKKQRGGRAPMIGDFNVVKVKLCASIKRKGAPQIFSACSSARHPVPSPE GDHDDNNEE XP_822840.1 MLVFFFFACTIIQGILMSTTSFGYRVTGDHAAHTEVSRALFSSN DSSTRQHLCSLCFKYQIKQCIPLHPLEHSDTERYCFACRKCASQWRNVRGSEGTPILM SCPSCFVSMPTNAITNGVGDGDTIIQTDKEVGEADTSSSVPLNDGFTDVERESGICGA CEREGANLFCVPCGFPLCEICMVSSHQRGRYTTHKIVPLKSAIHMEPKVCNTHPSQPL NAYCVTCSTVICINCYLEGAHKGHSMESVESAARRTRLEVQEQVEKLRVSAAATQSLS ADIEQRLLPLCNKALVFRQEAVKKCFNTLRNALEERECLMMNQVSNDVVHLSNTFSSL NTQCKVANATFQYTIESVRNTLEAMDDSEVTKFGEMMKKSLATVQETSKCMSDCCHST AQIQSEQLSSRYGSENLISVAQEDEVIRLIRSLSFSSNSSAAPPASRPTVALRGTTSV THVDVKTPELTLECRKTRRAACIERKEPICPSFTSLERRTVAFREIPTLGAHRHLKAE LQGMEDVSYNVLQMGSEGNVPAKTSRRLELSKLELKKWDSTCGSAQVDAVAIGKHSVG EATDDSELLVGRKRSR XP_822841.1 MSTSNIAPEDPGDVPLEVENPLTYEVVKESLSALSSNADGWLVY AQAKLCSLSLTSIDLLSSYVHLQRLSLDDNRLVTLKPLRSLCCLIHFSAAGNALTNDV FDDLASSSVTLERLNLDRNALTSLGGLSKLPFLMDFSAAENGITELHADDFSLLHSLT RLNLKLNKISRVNLDTFSKCLTVRALNLSYNSIVDKRFVVHLAGNLESLNLEHNAVEG FSDFDVLHSLVFLFLSNNNIQNWDGLEGLSKLKNIRVLTLEGNPVLRSHDGTTSGNSS SLSYEAHVQQDVDFAACRSLNVSTQKEGMPLPTVSSALLTSLTAPQVRSIIHHLPAAP YGDVQWEVDGNHELSQLPYEEQCRFRVISMMPQLQVLDSTEVHAGEIPRAMRLFCKEM KSAEVLADARNFPSGSGLKAIGLHRLKSGSKYMT XP_822842.1 MSSSRVTSANHSMESCNTSLQRSGLNMSVGDTRSIVLALQTLQE KIRRLEQDRNHHQDQYEKALQAHEAYKQDMEHQLEQERSHHRRREKELHEMVQRATEE KTRLQSTLEESRKDLGTFRTELEEMLMKECDASKKRESALNSEMERLRHELEEQQKKH RTLLASIEELKAEKEAAIDTNHHLEQAIQELMRRCGTGSVDNSRMTDCDVTRLTNGSC RRNSRKPRRSNAFVGPVRGRLQEARHLVNISGHSAERRRASVGLAGNTRRTYRDPTYS SIQRDARRTSAGRALELGLNNSYHVRPVSRGRAATPVNQSHFDSVEGGLRSGAMKEVY EELQEELKSLHQQYKDTVERAAAEEISPEVVTAALNRISTLMDRKTDQIRLIKEAQRD MANAGVTVTDVPVPRPISSDRRRNPTPVGADKTTQRSLIVNELRSLYSQHR XP_822843.1 MVASSTAATAVKNPERWNEAFEELWENILKKKDQIVLSHEPNSP LNSSLLKARLMVCVRTLPRLGVIKRALRQLNANSRAITVEGQGNIDCVPSPQFCKTLD LQWSTTTIWAVESTNEGRVIVIDALTGAVVMVITDPKRGVLISSLMHAPFKGLINRQL EVIPIDSPKQLLHVRESLLINTDYMWIGFGDGSIRLFPASAQRVCESDRSAMMAKGEI ADIVFELPKYHKGAIVAIARSPCHEDDKVTDVAMESRLSAAVHELTAATSQLGGEGRE HLSLVCTASEDSCIAVWDLKKIYGCIEEMRVICRARSSGMKDYNSWTTSFRADAVTFS VNSPSGGSPGNILSTCTMVKVRPLFKLKGGVGGLRTLKWISTVVTTRNYTKPRDVVAM TRDPKEATASKLIKSRRAFQDMTRWERREEHRFMLRLSEREMRDVERELEILMPPLAP EPVQSKRVNLIFSGDVHGTVHLWDLDEELESCLANDVSDPYPHPVRYSPTTSALDGFA DSSRFTPNLSLGNRSNSNERTNRSLTPQGNDSFCTPLSPRQRVNTPRSVAGRLSTKTN RSSGLNTGGRAGRLSTRTSASTPRLAVREQSQGIGVISQVSARGVSRVTKTPAQKQQL SSLCFTTTSCSTRKNGVATSKGSDACQPHKSTSRRGTPVSTIKKQRKVSPPSTARFGA SVFDGLRGSLCSTPRTAATQWTSQTTGKKVAKAVGKVKRSKAPWDPFTSSTRDRRDVP GGGVASPNGGTTARTRSAKTKKRKASRTHRTATGKVATVLTESTEHDVSDFYRTPSAG RRSYLPTYSVGARYVEQVSAQSYVNTCRSPSRREERGRTSGAADHRQINDLYARKAKF TKDLIDGGTVTGIVVDLPPVITVTMRLLPDPPELHYSLLEHPTPEEVRRRALANTFDE LTNNRALYCVFNNLRFYVSVEGVVMNMQCAPKLRKGKGGSSSPGQSPRRDPFQRTDDI TFSIVFQRRIIERHSQPVVLLFNDQMKSQLWIARNDGLLSVFSTMTDKVITRVPHPSA DTALGPPSLVDWKRQQVGSLLSNGRVVHLEMHRECKKYPLAHFVNFAPLSVLQQFAIL KVSRICFDANDIKANRSSLFCRPYCGTSIVKLDARKTLDAHAKSRENNFSSLLLTLMQ CRENAVSVRTAQRDLYNSLYCGISDRVGMLITRYSTINAFNAAENAFRAWRLHCKYFR REHMLRNIKRKNFNSLSRMASVMLNAHITNVRRMWWNRWIWATYDRVMQRGRVKLVRT LRIEERAHLKVKVLSFTTSFYCLRKYWQRWRNVIAAAPPESGGMSPAAKVPPTFNASP TIPTLSPRVARYVTHRPAPRTRGAARRIMFQDLSSIVNHIYSCRYKLINFRFTETAEN LLDMDELWVDAIEAATGEVELRTAYNLKLAVFKMTFLPLLDGLLSTAEEVLPYIDEAS LSMEVRSMLKGCLLCVDYLYADADAVGGRDVDENSTCELMTPEKTQQGNAETNGGTHE ERGLRAMFDEVMDDRECYSQVEKIVTRRATLEEFLRLCRFD XP_822844.1 MPRKVKTKVSQKPKAAKVSPTPKNERERPKNVDASGKAAKLCTE EPTAPVIVPEEGTSLMESLFTALAGGVSPSRVASDVLRLYCEGNRQELCAAILNLVGK VSGVVDGELGAAAVEGNTDMNTLLDEMFAHVPENAPAYLFSQRDPKSQKLRIAYGQFF MRLVELSYSENLMFDQFLLPTVVAWLVAMSESKARCFRHTSTATLLYVVDALSCVIQT LNGQVCADKRNKKHADAKQRVIQAVVEQRNQILTQTVHQRARDVAPEIRLLVFESLKG WILKFDEEFAENKYFRYFGMALYDKRPEIRAEALAMIQETLDSIPDSGSRMFLFLQYF SKRLVEMCNDVNLHCSQLAIGVIRRILRIFSAEAEDKQLLNNEMIDSVLLNIFSECPT IRREAGALLHDFIETRLPTDERDESAGLQAMTELLCTFAAMLRSQHGEMMPERYIVDS LYTPPQDIPPLLREYGPILKLAQSDAATDVVVALGFLSALLEKLRGRTDLGPIPKDDR KGAQEKKISADKQEAITAVIGSMSRDVGVVLTGVLERHRSDVAVVGAVAAVISAMDME AFISLQDVSQIKSLLVLMRKVTAALPHSDQLSLVPITGAWHALVSEEHPLVVEAKGQL QELRRQVVKQLTANGSTPSRASGEAWEREQLHLWTRVSIVSSLVPMGDLLTLFKSSFL HHVEARASPELIQLVLTSLVRCVLWQLREAQEQTHEHREPTTPGGADDSGVTSDVRDV ISQLIGELFRCVSLMWTAYAEHVGADATGLLVDGMLILCDLCVLPHYILSEAERNEVL DRFAQLSQLLGSKVTSAREELKKAEAGALEGDQPIPLAPLRRKVSYLESSQMRVSMGV ARLMMLKRLPESAGPRVLAQWGHAPTKAVSDIFRCLFRKLRDHSGDSLSLEWSVLLAA REQSADSLSAVGVKLSSMHWPLPDKYYSACVGIVRCGIEFAATVDPTVLLALVPYCSR LLRNDAVALVSILSQREKLASSTDHTVMAFVSSLRRAAKLDGTPNILPASVTKRPRDV SPSLDLCGNSLPEHMPAPRFAGKGGVSPQTGDQRSSLGTHWVGNRMLSADGWRIPHKE VGTNLSCAGKVGMEGSNLTASSVEGEFIGSSQETHSVMGSLPTVDTPTLTLTQRTNTS CLDGFDNSEVFIATMEYE XP_822845.1 MAKKTTKKKQTTSERAASAETQGRKAGRGALSKFEEFDVSQVLQ KEQESQQVAVQVDGQPDVAASGEIAPLVSTTSEQVRVGMACEGDAAAGEGAADPTAAP LAIPQLIPPEPPIEVPADDELVPLPTLQVVPMMNRTAEAQCGLLKEPAPPTTIRRVTQ PGAPAPAMPTSLMELLCS XP_822846.1 MFKNQYDTDTTTWSPTGRLFQVEYANEAVNNGSAAVGVKGADYV VLTALKRNPVSGLSSYQEKAFKLDEHVGMAISGLVADGRALSRFLRTECMNYRYMHDS DAPLVMLADMVGAKHQRHIQFAGKRPFGVGLLIAGYDRQGPRLYQTVPSGDVFDFKAT AMGLRSQAARTYLERHFRGFPACDLDELVMHALRALGAATSGGVELNIKNTTIAIVGK GTPFTILTEEEARKYLDGFKTRPEDIPAVADNEEDDDELHEQPPDVEE XP_822847.1 MDNPLTSAEVFQLNSVSGGLRPGTISFKTLTLQSDKYVCIRDVQ PDGQTSLVIVDLGKRESMRNSIRDAESAIMNPMAKILALRSGRNLQIFDVDAANRLKV VVFNEDVVYWCWIDARTVGIVSNTAVYHWSLDTAADAPPQLVFSRAPEFDASFQVLSY QTDEQKKWLMLCGVMRTAEGMVGKTQLFSVENNSGRVLDGHSGTFISTNTPTDPRSCN LMCLAWNNPSTGGKILIMELPTGSKTDLTVQRRMIDVPFAQGDFPVATHVSPRHKLLT VVTSRGSVVLMDLFTGVVIKTHQLPNNTIFCGTPYTKTGGILCVNNAGSVFHVSPNDN TIVPFVKNQLQNADLALRIAGSANLGGVDDLYRVQLDNQLRAGNIEEAVRTCLRAPNN ALRGPDILSRFQLMPPIPGQQPAISTYFKVAVAETTLNAHESAELARAVIPKGGVDYV KQQYAADKLTASEELGDLMSAADPELAIKIYHKAEAHAKVVGVLLQRNETQKAVEYCK RAGFTPNWRVILNNAIHVNPQGAVGLAQVLHRDMGDAPVVDPIEVVDMFVTAQHIQQA TEFVLEVLRDNTGENTKDLQTKLLEINLKHSHPSVAEKIFARGVCVHFDAMLLAPLCE RASLPQRAIECYVMAQRMDPGIDNLANIRRCFSNAQVLSPDWVIEFFGKLSPGDSMKC LEDLLANHHQNFKIIVQVATKYNEALGADKLINVFLERKLFDILYYYLGAVVPYTRDP EVHFRYIEAAAEVGQVQELERMTRESPCYDPERTKNYLKNKKMTNLWPLINVCDQHNF VDELIRYLIDTNNEALIEQYVQRRNPLKTPAVVGALIDCNVQEDFIKNILNSVGTMCP IAELVDVAEERSRLRLIGPWLEARLAEKKTDTALHNAIAKLLVISGNLPEKFIEENDY YDPVVMGKYCEDRDPNLSYLVYRKAKMSTELVEITSKNGMWKQLARYLVKQQDPALWA SVLTESSINRDRLVEAVQQTALPESEVTEEVSTTVKAFMDAELTEELTSILDQIVVRG RFRKNRYLENLLIMSAVRASKAKVMEYVTTLDSYDAKEIAGIATAAELHEEAMTVYDK FEMRMEAATVLLRDLKDLPRGRLYAQRCNLPAVWTVLGEYLLAAGEVREAIEVLIRAK NPNYVDAVTAAAERSNQFGDLVKYLNMARQESTSNDNKIESVLLLTYARTGRLSELEE LLQNTHNVQIQPVADKCFEDGYYDSARLLYSMSMNFHKLALTLVRMNNLAEAVDAAQK AQSRSTWDAVNHACIEANDVRLAAICAVPLVLQVESLQDVVNRYEAYGLYDELFAVLK SASTNSGAHMGIFTEMGLQLAKYKPEKLLEHVHMYSKKINAHKLISVCEEYHHWLALR VLHVGNEDWLAAAKTMMCHFADAFDHDVFKDVASHLGASDFVYNAISFYVNTCPQNLC DFLTSMFKVLDPDRVLREVKNVAPIHLILPYLESAQPRNSRLINDALNDLYVEEENFV ALRNSVENYNNFDSVELSARLEKMELFEFRKIALFLHRRHKAFDHALAVAKENKLFQE AIDTAVESADPKVVEELLDFFAVERPDSFVSCLYACYDYLSPDVVLEKAWLNNRINIA MPYLIQAIHDFTQRVSRLEKGANDGMQPSKDGSRRGGVPGYAGGNDPLMIQAGPAQPM GVPMHNVNIHPQPGYGGVPGQGYAGGMGNPNMMPY XP_822848.1 MADEASTAKRHRAEGGNNCHRCGQPGHFARECPNVPPGAMGDRA CYTCGQPDHLSRDCPSNRGPAPMGGGRACYNCGQPGHFSRECPNMRGGPMGGAPMGGG RACYNCGQPGHFSRECPNMRGGPMGGAPMGGGRACYNCGQPGHFSRECPNMRGGNMGG GRACYHCQQEGHIARECPNAPADAAAGGAAAGGGRACYNCGQPGHLSRACPVK XP_822849.1 MADNMQMSNARTCYNCGQPGHMSRECPNARSGGNMGGGRSCYNC GQPDHISRDCPNARTGGNMGGGRSCYNCGRPGHISRDCPNARSGGNMGGGRACYHCQQ EGHIARECPNAPADAAAGGRACFNCGQPGHLSRACPVK XP_822850.1 MLADFESVLRSEFSLKDCPRIGPFTWHNIPGVNDAAEDGSALGL NNPLGVSADRTDDFSVMPYSGEELTRDPLCTSNCINSDRRIWKLMLPCPVPRSVPKLD MKKGTTTLGFHFDGGIIIAVDSRASSGQYISSQTVMKVLEINEYLLGTMAGGAADCQY WERVLGMECRLWELRNNCRISVAAASKILANITYQYRNHGLSMGTMVAGWDQFGPSLY YVDDKGTRVKHEIFSVGSGSIYAYGVLDQGYRKNLTVEEACDLARRSIFHATYRDGAS GGIVTVYHVHPKGWTQISRDDQTKLYDRYSSQSA XP_822851.1 MRRVSLPLLVVLPCVQRRPVNSTGSKERKVKHWFPKKKGVSSSA AALQRSVDVADAEKLQDVERHFPVAMSHIKKAPTTRYSADERRKQTWKDITRTRNVDG YAPPREEKGAAPSFFDEGSFDIRSDVKRQSECLQWRGSPSDSRTRLNALSPDVLQLSG ANPKDHGFAVAEESNVSPADPTIEPEQGEGNAYGDTPVQLTDMLKERLMELKAEKLRE DRNDTYLPSRLKLLSDSEVQRRLKKNVPRCDVLDAKDLSSFGGQADTLADLFPDGSHD GSPSGEEIAMPSTDPWKDGEVLSPAVGKIIHSSADLVPGGSIHDPVSDFSRRLTSQGE GKLVSSGGSVHLLRCLPRAGFCSRREALAVIASGQVRVDNVVERNPFRLVRAENNIHV ASHSGRLRFAPPRLWMYHKPAHVIVSRNDVAGRALFTKHARILGMDHLVPVGSLPMRA HGLLLLTNDGELSRFLENPKCMIQQTYLLRVRPAVDPVLAHKLNFQGITINGKQYKNM EFFVNPAMKSRFSLKVKVRGEVMPVAHLMQHLGRTVERGGRISFGPFSLSGLPVGSLR EVTVPPYYTRHTGAVWKEFVERDWPFFRRQRVSRLRRLARYRELTPRELEELDGFTYE EVKDALSFDSQELKTAADEWLDRVSIRPQTGDTPLPDDFAGDHVDGNCEVPAEEGIIE DITAAV XP_822852.1 MYKRACCAAFFYFPVVFVCILLFRYTKLFLPLSQSTAFFRINFS PPYCSALEAVAAATSAWALRWQMQPVRTSVRNFIASGFPSKKLRYARLVDVEECASRP QFQKLLQSYLSDFFAKSHENGSTGSSPWFTAVPPSLRNSVEETVNYLGMLVAIDFRHW GEDPPDATPTGSRVENICGFYAELPEGSAETSGSVSYGGKRLIRGSMAMVHLLCRAVE VYHLNWHCPQFLQQFATTEDAMEALERCFLGYREDGHTSMWMPAARERVELLLSLSRS LVEKDTSFFKMLCLSEGYLYHPIFPHLGFVEMLVELHPRYYDVCVLRGEVGGGKDSDQ GDEIVIPMLKLAQLTVMAIEEAVSAMDTVAANTPNVTEAPFPFSGAHGVFKDKHHLTV CCDYQLPKALRSLGLVEYDSYLASLVDTGVLLAAGGVEECCIRVAALVASDLLLDYLR SSCACTTGREWRPESTSRVWDAPALDCMLWWIGRHYVDSAVKHHLCRTIMY XP_822853.1 MNRGKGGGSPKKLRLPPVQQRGTSSQRLPQESRRKTTSKERTIS TGSMSQQRGSMRGRQGSATSRSGPQGRTLSSDTSTRRSSVWGTGSRRPTSCFTADRLT YPTGSRGTTSKSGGNTSNSRLHRVAMEVSVSRLQRWFRVTLLRRLVDSPDALASRIEK RYTWEHRLWKLRTRIAKRIIVEACTAYALSLDLKGKEEGRVAEHNAVMVMTFLRALES LRLKWRKQQEVFKHAVSIIERAWIRCNTRTNPMQTSRERKLIRLLMDEESIERRELVR RRLLFVINCHQLCYNDPLVLETCLSAKTLHISENLFTNNTTDEKSWCGPATLQEEAPT DGTKERQVLSHSLSLWTRSSHCSSILKSMTNLTMAGYMDECGKGKEEKNYAWVNLADT ENPSEGPYRRRANACFVTAILLEKGHLTFPESMGAGQSGLDPRPDLASGSAPVQCRAR IQDTEKALEKVEVRFVREGPLSLREPLHSLSTFKEFRVLMNSRRKQNSREQTKAFILG PNVTLDNLHYLYPVYRKVKKGKDLKPLSHLSVTSDAMGEEWSKLLYVAWTEECLSRPP SHSFAWLSLARPESSRSYHRRPFHTGICEDSNHPLHDLLVGTGGKQRSLKTNLMSVSF YDDESDKQQQNADPYVDHEKIRYEVERLLIQEYRRRKCIEETHKAGIHTIEGMIARER GTAFSSVKKPSSAPVGRGMSTTFLRLLRESGRV XP_822854.1 MASVEPDGVGNLSRKQPSIHEICEAAVDEVLANVNTVQYLIKSI EEISNTPFRRERIKCVPQLDSGGVANASWAGGSNTMADGTSAAGYMWRRARRDCEKGD ILLIEQHVVLDKATENDSIVPGAKTCTAVERNLRHELIHAFDDARGIIEASDCMHQAC SEVRAARLSGDCFVGEEMRRGRFDLLSGGIQCVRRRAITAVEKNPLCRGFSERAVERI FKQCYSDYEPFAAPLYSMGSYGDEKFEL XP_822855.1 MNNGGPYNGYPLMRSGCGTSKQRKNGPPERAATGGVEIAGGGDW LNIVSQTSYQRAILSRSSAQSNDVGGSTPQQGTSTCERSHKDAVSGSNSTFTLADPAA VAFPPLAVGSTSKSSFQSTERCAAVPVDISCPLPIDFLWPRAFFGRMHVATGGAAASR RDTHGMGPPAVGMEDMSSGCSLKENATKGGSVAVRETQLSSPSIDDSSTMNSLQAPYP VDWTPTLGDDLAAPGERGFRELRGLLGAVFRECCPKLFSALRVPHYFMEKGVLDDAKL RQHRGGRRQEAELSERDMIPLPLLNEINNVVDERDRSPKYTMTSQSDSSDLLDHKTAV FRDPSSLQNAPQEGQNEEDPAQIFAGLLHQLAVAGNDSSATDGVSVSFTLGNNGDSVQ DSATGGEGTFSPQWLRSYSYR XP_822856.1 MRNCQPASLRETSAMGEAAQPRGTIGSVSTETATVRDEGRVWRK VLYTRQPYEDNYVDPQQFLQDLRQNVNVATYEYNKVVLDTFVVIQQFSFVVFYLFVFS MMLSGRVDECALMWVNATLFTLAFVFCIVVQRQQAVDRGEIPTPFTHYLMGLCRQGIP LVGVLILLSPVLQTLTVAYSNDTIVTLSSLSMFVHVLLTDYNYLNCYSERYQQNTAVN AATFGIILIASRIQRVFQSGALIMFGILCFTLSPIPRHNLKHVSLRAHVVLTFTICAL AIYFLMEVPVFALLYCVVVVVISVVIPFFFVKLHGSMKDQINGPWDEAKPTNSAAAVE WANAGMLQ XP_822857.1 MFKFLDTFVVGRSMAPCRDTKRKDEHEAEYRVFLNHSAASEVKD ELERLVSSGSKSSFPYPLSSDTGLELSVLRLIQSNGACRQLPAKMLSLMLKRLEYACT KLGDTAKINFLRDSIPVLEAALLVNPLKVGLLMLELGFDRHMLHILQFLRQALSDEKM GGSSVSHQVSDSAAGNVSTPLDPSDDIPLEYSEGGGDEDVVTATRTCFDSCEPPRATE IRYRELLTILRDTLRVLKVVYVRCGPSKRPSPGEAGVYSDMGNATGISNSQLAKGWVR LRPDSLYAEMHKRSCPAEERKGSTSGSTLRTHTKRDGILLECSRLCLHCSEVAIGSVE RGGEEERTISEALCNLPLVVLSLLRNPSELVVRNSLISIGAELTHFVAVERTGDSELQ TLRQASCSMLLLSRLLRESPLLVVKAMNSVNFSANVVRLLQVISVRYHCVHCDGCRIA GQECARELRTLADAGNDGLHLDSGCVVSLKRPAFTRLADNAVVDEFLHCYLGLLETQR EVRRTAEVHRDLEAARHFMQMEFSLLEALLHSGDALAPTSCLYDALMKYAINSLAPME TPVQERRQVNTAGSLVDADFYRVVFLNPVFGVFQKDPRLQHAVLYLLHHRLNSLPPKA ESSDGGAAIENEVGLILDLLCSIKVGEQPTESVEGEAAGAGDDNEKQNRGGVVARQEE LADVLLKLCVIFHSSLCGTNSDAVATAVIRCGGISKLVGLLRECYTSGRLSGDGISML VLHLLLRLLQRLDVQCSVLMGEVDIFFSLLSVKEIRPNAKRMLLLLYTHSCPDAEYTQ RMDQLVKCTWDALDKCARLESGCEADNEDEEMFLSVLNCMSASLSALRSDIFQWGSMR QLQNALCGGKENAMDAFLRLFHRTAAPWRVVDSSYGLSCIMNTVVMLVKENPPLRAVL IHTIGADQLVESFKTAWFTSHNGSWLQFIRCMLLLVYEDDEAHVSAAVGSVGPSCGFD NGLDGRRSVVGGEEGTLTVRNPELLLPLLRLFLDLPEFKEDHRDALQCLVTRLSSDVM VSQSSLWMVANAGVFDSLTALIPVVAGTALIDSVLSLVMAIAGHHVTVRETKQFLMSI AHAKSEEERRFLVPIVIEVLSAASRHILNCQATQQNYIAFRQHNGPTGLKAILSDFPL DAYTLCLWIRLETHGGRRTRQCIYSLQSIEKRTILELVVKSNGQLAVSYDVEHNKATE VDLGCQLAALVWTHLTVVHSQSKFPFSASEFVVFVNGVEVCAQPSVRYPQLQGLFFHV GTRGEDIEQRTSSNNFVGQVAAVHFFTCALSPKSVLELFLEKSGGESVRRGFFSGIAV YVDPRFGERGQLHNLAALLRGKPTERPLITYEGTVACNTKSIMDSVCVLGALQTVVIP LLVLLVNPQLPFYCRVPPGRRRPASEATRKAMNELLKFVEALLLSNIVRADVLEVGLF PMISHAVQQFTVYDCPELPRRLYDICVALVSDEALFDAAYHSLFLSGDLLHVCSEPTQ LLLLQVQYLLCHNNAPARRHLRGLDLPHFVVGQIMQTYNGTSEHHREMREGFFLLMEA VMEGGLTMNDADAIQRLVSVMLRKQDLHRDVLIEILVRTRVLVANREPNLASFLGKRN FVKDLLPALNNSPREVRNEVVLFFILLASRSRKTQELLNPTLLASREAVHVTRDISLS WLRDKLNDCAVDVSLYTTLLAALIGRFDVSLQQDVTITADDKICFAPVLTPLLLLMKR TPNKVMKEKVMTDIATLVQQDSVAWRSILSVQGWYASIAELYLSDAESLEARRGEQSL FMATTAFIFSRTIFQALIQESYGASELELLITYLFRRRANVFLNAVLLGVVKEYTSYL TNRRDDNTGGCLSLGSQLALANFTAFLSVVEDVLFYSTTAYINCDATRPADAHRKRGY SEEEELVVFTDEGMLKLEFCEGYPEGSEYRRDEMLQNGVSFLSGDSMLLRTAPDGVWL HAALAVRTMQLLTTSGAILNSTGTWNNSFSSSSGNGGSAPGSDAVPQGLRPRKGGFIR LFVRLFRVVCGFTLRDAAQLDDILSLALRWVDVVDNDYSPFALLMWQWSEVKEHSPLS SCMTLILSLHELLNRRLRFSLLGPSLRFPDANQEILRRMKAICILHKRDLNQMQFFSR TVPSSVGEQTVKQCTLEWLCGRSGEDSMKEFVEVASREDYEAFISQCTLAMERDQLTD KSMARTIEQYHSVTMARLHGILTDFSISRRTMLEVLEQHLQDGTEGEGDDAAGGVESS AERFATLAVREVATVFFNTVWARFLSRCRGTIWDVGPGEQRNMKYVRLQDVEQKLLIR RKFEFDPCGTDHANITAAGAPVSDTKVFGKQLPRARGGEQMLLTSDSSVDCDEILDKQ VSHEDTNSITGTNTAQTPKPIVHFSSSCEVPSMMHCWSAMLIIRDCELCVFFDDENKA YNQRVADEASSLVVKPRDIIYPTGHIAQLAPGRRFRMRRSAVEMWFRDGRSVLLNFAS VKEMRTAVNRIRMSVERHKVPYHPFYLFHETPRKEPLLMCRTNQWREHKISNFEYLLW LNFFGGRTVNDLTQYPVFPWVIADYKSDRLDLEKSSTFRDLSLPIGICNGPQSREYVE TRYEEMRQTGDVPAHYFTHYSSPAVVLYYMIRVEPFTTLQVILQDGHFDCADRMFHSL ASCWNGVLTNSQDVRELIPELFYLPEMCVNTNGIRFGCRQDGRPTDSLELPPWAHGDP YEFVYRMREALESDYVSLNLHHWIDLIFGYKQRGKEAIAALNVFNWHSYEDLDRSQTD DVDERLLIDSLDNIGQTPIQLFTRPHVERRAMEYADPISCVLGMRAVDIRHLCTRVAR VVVLDNDRVLVVCGNGAALLYRISVSPVMRRMQQLPSPAVTPTLTTPRVGPVADGNVK SHFSNQTPAPSVAAAVAHTSSYLLGIVSGAPAAPVPQGSVDGSGFRRPIVDVAEDFER RIPPLPPGMIPNIGQKAGGPCETGNVAVLLLDNEVFVALGGVFDNTIVIRNFLTTPAF QEERLHAHCGRVVLVAASADSRYLVSGAEDTTFIVWSCHFQRNRLKLRVDLMFTVYGH EDDPTAVSVCPILDVVATASRDGMLMLHSLANNRLERSLRHPAKLPIDRVLIQPNCYL PNILFTSTIDNVIHQISINGVLLRSVSAPGRITGWCTTPKQTVLVSTAPLTNTSASED SRGILHFMHAFHLNVLKSVQCPLPATGDTISSCACHSSNPQAVVCGSTHGYLSLLCLG SKVDAPP XP_822858.1 MCTSFDAEMLTDAEFSRLLDISLAPLSKSFQHALPISYATGGPG VPLEGDPWCGRSFPSLSQRRSVSMGAASKGCDAVRRSVHEGDEIAAETVLRAVARTGC ETAVEGLQQWLERARHGRQMGERCLRVLKGLVDCLDSMRGVTVAVQEQSNKLSSNASE LMVRKARLEMVQEELQRMIKHFSHIDALVREVEQQTLSATSQRYSSILQEMEEEMQFL TCHPQFLSTKAYTTKLAAAYQQAYQCLKDAIIFSIRTAQNCTLSADVYRSIFYGNQQR HQEGQETVTPSVDTGSNPLVMLLQAVLGGDMTSAHHLMAGMLASVDNVFCARLNEKAS LRRLMEAHGGGRQPFEETNDDDIFDTYRDARVLIIGPVLKNWLEMMCGTSGGSVKEGN KTVPQFVGHLVGMMKLALEHEKEVFDGVWLREDFSARLFPQIVSDISGEEYHVFRSHL LQVDDLEVLAQTIEEIQRASAKQYSSAELSGLLTKMIQDTQERLVFRTSVFLRHSISQ YTLTRDAAQQFLNHEASASDTYIPALTNCVTLLQLLYPSLEFPIFSVFAEEAINCTLL QVQELSKLVGQQAVEHAPLKGILCQLRHLLHLRDELSLIDENIIVVEKGIDLSKIALR RLEIVQSSRESKKDVESEIKLCTERAAQAILTAASSPLIGIARKAPGAVEPAVECARR LLADQEELLTIFITHASIRNAIMGPVRSRMDELLAEADTIRQQPQERRE XP_822859.1 MLHVCLRRLQGASAPQSVLRTLQRLRQQYQRPLQRRETPMAGCE TSLSKQRLADEALKLLRREVRGSDMLSDVSVVSSSFCIEVGKLLSVAAAFGATTTTQR VAEALQWVRINKSSLLTTRQVMSVAMPLLNLKDGKSLGRQFVMEELITPLLTSLEASV STATMNEVEMKQHYSAIVSVFSLVNRLLECDDNCGVGDAGGGETKDIEPEGPSVGMAG KTLASGSAASVLRRSVAELLTKAADALLSATASASSFDVQDCTHTLLAFQRFESLCSD VDADGVKDMEEMHAVLLPLQPVLGTAFGSCASGAAKRPGDLCHLLSCALKLKHRESMI FFSRGAVSLLPLALASATVKEMSMAVSLLVRLRSCAPEVADGLLVQRIVGAMRPKLFL LAETHPETLRHIESSLLLANLTRLDTEVSEDLVNLLCSSFASHMDSVQPSHLIPFLQG LCRFGDYHTRSVKDESYVTVWDAPLLPAVLPCLRRSADRVVTLASARAVTTVEAAQLL LLFSQLHSALSLTVYASLDSQLVGAASRFVEVSNVTCDESNDGVSDGHAVIGHEDPSG VFTLMNALDTFREKCVPVRREEEELLLRAGELYEMVRQGAMGTVKEIQDPKSLVKLLG VLIPGLGAPFPAGEEETAVHNSAATVTYSPSAQRSFDSAANQVIRLAPMANAYEVGAL AKYTRELLSKDLIGEAVAREAMKSLVEQSGRVEMTLHDIQSLLDGMRQVREVQISASL LWRAGTLLSTAPKKTTSFLRCVLPIIKNAAIKPCEKEALSALKRIAMQNARDMLETDV MTISPRDVVLLAYTLAQLQAISSEPAAEGADDSEDVDSDAAEREVSSDLLSKGDDFGV VHDTFTMIGDAVCLQMQQSPYSCGVATGGGWQMTPQEVVMLVQSFEKVEVGHHSLLYE LLPLVRDMSPAMGPLELSLLLSAFARLGVWNGRILNTLACNVAERMQMCSLKQCQVVL QALQSSRFLRPTVFLPSAEYQESSSCDWKPVCTPDGSANADPLVTLAVSIVKRMDALV ENMDSVSTILESYTLREIMAFVSVLGFFEHPPQPSFDTYMAICVKKLLLSLRTLSGND VSWDCRQNLMACVSLAGYVCKLRKYSHQCTSARVIAGVLSPLYIESATLKAGLLLMPE EKLSELYCYRCAYAMLYGAAFAADEASLFLLPLFEKLKAPEVSQDARRVCRALRSMTR MCSRDAGDISMVSEIVLHCASAIHERRPGNMGEGSEEGSVGAEKPFVLQRRETVALIE SLCAAWLFRSRSDERDRSFLEVLRFSVDNMLRFYHGTGGGEDLRIATKDVGTSISAGE CGLVLLGLAITGAATNTCEAMHASALPQLTELQRTILMKEHDLSVHDSVNILLARALC SDDTTVCWEVVECASRALQSQMGKTDRTGLWLHSELYRLVTAGDACFVTYCVGLPEGG CRTVFDTCVRVVPALLHALRSEKRNISPSLSLHCRVRVAECLRQLLQLAPDREGIDGL VAALEELVEDVGTS XP_822860.1 MNPFVFSLYVAATVSLSLLFDCAAAYCPSNGNTHAVYVTSNAAD NIWAFDTEGRFIGNVLNKESFPVRVEKLRDMKFGPGEHLYIASARGKFSRIFAVSGNG VLNGTLGKNCTRDYLFTVAEQDDNNPMLDHPYAIVFNPDDDSLYASNQNSVTVARYTR VDDGKGKYPRWEPAENSVFALTNGSAASKIPKKVGLFVSPWSNEYALLSVRGLAISPP LPRFLVEQAAPAGSFATGEGLLARYLVLCDIALNKLLVFYADTGAYVFSITVPSPVQV AFPSRYFKPIESPASYFEVPHMYVTSKEDGMVYLVPLVGKSSPVAASDFNTPHQRQPS YPVTSPVFMHAASGIYENPSHDLLLIADRVGRSIMSYVSPFRSGATEGEGPSPFLGYF VRALPDMPEFVLTTAVEQQSAIPFCYELTGDGTFRYVALCTAAYIWTTVATIFFALLS LIYTTRLCKGCVRRLKSSYKNYFKHMGAREAEDVELLQHEINSGYGTNN XP_822861.1 MMLPTSTIILNFFFFLLVALRLNSRTPAHSFTHPRRKKRKSRPK RRKRKSGRFRRKGKYKMRKTTRLLSRAVFDLSNAEAFRSGRVLNQPTSFINGCFVTSG AADVISVEDPCTNVTIGDIPNLGKDETLQAIAVAQKAFETWKDTVPRTRAVILHRWAQ LIYHHRNSLGSLLSRESGKVVQEGVDECLYAARFLEWYAGEAERAYGDVVGSPRPDVS TTVLRRPVGVVGAITPWNFPSAMVTRVVGGALAAGCAVVLKPSELTPFSALALAQLAV EAGVPDGVFNIVTGNAAPIGDAVLDSFDVRKISFTGSTRVGKYIYRRSASTMKKLGLE LGGNAPFIVFSDAEVDRAVTGLMNAKFRAAGQACISANRVFVHSTVYGDFMTRLLERV NSIRVGNNFDPSSTMGALVSSSAVERVVSLVQDAVEKGAKVEAGGRRLSGPGYFFEPT VLSGVNHDTMRCCQEEIFGPIIPIITFDDDTEAIRLANATPAGLAAYVYTQDYRRQKQ IMEQLSFGMIGINDSGLSSPCAPFGGVKESGLGRDGSKYGIDAFLDVKYVLESRV XP_822862.1 MLRRVAGTELSRTVARLSVPSRRYTNYDHLHYATDTKAVLCILG YFVALWFVMLRIGSLFSCRAKYKDDYLRVWRRKLGTGYQWSDAWGPQIDTFFRNVPDR AV XP_822863.1 MSVSPEPVVQSNVLYSDEKGEFRYQEGLQYMQNGELEKAILAFS KASFFAPHKPHPFIASAECHVSLYDFQGAVKQYRRSLWLLRSSNGRSNCCSRASGTGR DSRSFFSPQGRPTTAADGSSTAFGSDLMDCNGLDGVSFSVSTDVTGHTIFRRGSSACT TEPYDDASLERAVRRRLAGILDALSIVLFNVEDYEQALRFTDESLELCKDPQVVLHRC AYLIALEREDEAEKVLDKHIEENPSFFILSCSLLVHIYCLRQSFSPAKALLERVPLEE RQHPQVLLAQHIFSRTYKTYRNRSIDHSDLQGLTRCISVFPGDSTLLLERAKHYIAKG MDRKAVTDLFRCISASEGRHAHAIDLMTETLFRLGSSNDGQSTTADAIKYYTTSLMWR QDNIPVLLARGECYIKMGDYQKALFDFLTVDRISPKHPEATQRIAVLHDIHGCELHRE GKHEEAEREFSTAIATCSTEPVFFYHRARCRFDMNQPRYALRDVLSCYNLNPTDPQIR NFVHAHLSAFDQKVIAGGEHGGDLLGGLPGVTRPSPPNRQNVTKSTGMLRQNRRDKQL VEGKRLILMREGDGLSRAFGDTLTCRKVPLALSDKGSRGHSTTCT XP_822864.1 MGIAGFYLWLKRWYASCMEDVPQCVVEAASKSSPPPKEYMQSHK FDNLYLDLNGLVHPCCHDTSPLPEPESEEEMFERVFTQIDLVFKVVRPRKCLILCVDG VAPQSKMNQQRSRRFRAAEERAEGEVMSAKCADVLLEKGLPYPKVRERWDHNVITPST PFMERLGLAIEWFVVKKVNEDPLWKKVAVVFSDAHVPGEGEHKIMQYIRGLRMQPGYD PDTSHVIYGMDADLISLGLSTHEKSVTILRNQLNETFNAAHGKFCYFSLVKFRECLKR DFDGIKEMSFERVVDDFIFLCFFVGNDFLPHVPLISIKTRGVELLLDHYVNEFASHSY LTDGGEVRFKALSSFLARFLNDYGSVLRDESSFGERAKERGRGNVAERVNKYQVELKK VLASVKRDKTNAQEVSDTAHKLMVSALKERVSFVGDKGSLGFTYHDEDYRDKYYQKKF GWDPEKRSKFEKRVKRCCAEYLRGTQWVMRYYTSGCPSWNWFYPYHYAPLLDDLARFT DSVDVEFERGTPRHPVVQLLAVLPRMSVGGLPVELHGAVLDPNSVFGPFYPDHVDVDY SEAIFAYQGVLRLPFIDCKEIEAACNTLVELQPDSGVTLLICNSGSKLSIMLEEYLGG VPKKKKRSSMKPIPTEVAAKFPIAGRVGYYRNEWKRDVQIVCPDAAIAEKTAHGGAIE CNAARCYRYELDELAVYRPELLLKYGMDGPPKAVTAEEVSVGDVAAQPEETTNVKDSS PDAQYPETATVAGECSTRRKKRARVEVPPADPFNGSDDPPQKKPRLKKAAKKRGADRV IGR XP_822865.1 MLTVTEDKISIPLIPDAELCDVRIGVTDPALFFSIKLEKPSQVL IEFQEFNTASRCVLLLSPTDPHPSKHTAVWKHLSPDPNKMLTILPADPSYSLGTLYLA VRYVEDNGNSFIRLKLTLRDSYEAEWYSLINKSLYCGSWLGFYYHGFGRVIYGLDARN VEEGAVRWSAHSLRKQNSRSYRREEATPVARASLLGVSRREVSWSIIALPLLTAEVYE GEWVNGKKEGLGVYQWSDRSYWGMWKEGMREGFGVFCTSNGLHYEGEWHLDNKHGLGK AFYPDGSRYQGHWEDDVRSGEGLFVYSSGVAVTGKWENDELCPEVRANYPDGSFYVGE WTKGCRHGNGTHTDAPGNVFVGKWEDDKRTGEGKLTFANGVVCVADWENGQRQGGVFT FPNGEVYVGGWNDESLCREGIGCCTYPNGDKYEGSWKDDKRHGFGKFVETGLNRSYAG EWFKGVRCGLGVQRAVDGTYHGEFEDDVRCGEGYYQGCNGSMYRGSWKGDRQVGHGIA LEGETGTQYEGLFLLGKLESYGTSRSAEDIYEGTWLDGKRQGVGVASFPDGTVLRCLW HQGASQDGFVHYRYNNGDVYEGDWSNGGRCGSGTLRYADGSVYVGEWRNDKPHGCGCF TDACGETHVGEWCSGTQKDVRGKIQFIDGSMYEGDVCNRRPHGKGRLCYPDGTVFDGT FKNGIYAA XP_822866.1 MNLRGDGSAQKYASVPTLYNVVVLGCERVGKSTFIDQVMKGTFR SDYVPTTLETFIHRTTVDGRNYVLHLCDSSGSEAFVRHRLLYLARADGVLLFYSTTDK ESLASVVGWVKELREARHNIGVKAAMPILLVGTKRDDRRSRVVTMPEAEAVARSCLSA LSLKMQHMNRKKVVEAEGFAKLVRDAISSTLPVVEVSALRTNEVLHALRIMILMISNF SKRRLPAPVTLTSSIEFATPPSLTPCFTSFDERPGSAFFRMRSPIRALGERSNIAVGG TTSPSAVVVKRTPSLVGVAVASRRNSAARRNTVDAVRGPTECEDGSAPWCSDVVLVGG TEPEPFSALHENGYCVSEGPPNQTETQSTLLRSLSHDGEVHACGLERQKKRELSGRRR EVGCTGSCVIM XP_822867.1 MAHSGAKRTSRSGTSTPLRTPTRRRLELHNAAVDEAFEEEDGEG QREPHFERTPKTSRQSVSASLGDGLGSGGTNHGSTSSGVQHPEGALAMSDAGERPVVS PQVAKAASARSVEGIIDYSPSLCSEQLEVHGFSHLRESISFGLPQTRGSVPDVRSSCG VLEVGTTLVPHSTSRSSALSSHTSSKQSHFHTRQSSDGRRPKHGGSRSDLSFLSTRSA IAYLKSTAPAAGVGSPKTSGRSSQATPSSNSFSRSTLGPLELSPIPKENSNPHGRRRN GYLRSRHQPPPSWPPVDVPVAVANCSSSTVSVTEVGRIDSEMRREFRLFLAYCIRIVI MFIVTCSAASFALFLAAPLVVQRSGSDGLFVRQYVESVAELQLIYGAPSSSLTSSEAK QLYLRMVSESLERLDQATLNARPKPSEGPKVHEQYYSRMTRAYQAVRYRVALYARSRH RSWFYRNVLYPLHDVWKYGVVRHGAQVTREDCQEILLYSLWVRIVDVAACLRQDENMP CPTLNFLKGEVRGSTGPGGPVLPVASGYPSEGNGVQGEVSSKALFAFVAKNFRHNNRE YNHLYFEGGMGSVFF XP_822868.1 MGVDDVGSAEEIPEKKRRRMRRHRPKRRISQATEADDETLTFVG EEGGEEQQEYPVDEEKAASMPDVEGETSGRRKRQREEEPGKLEQPETNGPPIKVSRDR EKYPPVSDYRSLKLNPHIVTALENDFKFQELTGIQGRCIPAALAGRDLLAEAKTGSGK TLAFLIPIVEIITRVGFRQRSGTAAIIIGPTRELCLQIEGVLLKLLKHFNGSVTFLCC IGGISRKQEAFKLVSGMMVVMATPGRLLDHLKLTTDWLTKNLLVLAVDEADRVLDNGF EDDMREIVSLLPRQRQTFLFSATQTTRVEQLARVSFYRPPLFISMKRKEDKATVDTLE QGYVICPSQQRLLVLYHFVRRNLKKKVIVFFSSRNAVSFHCELFNYIDVPCVAFHGKQ KQHQRSATYMQFCNAPSGVLFTTDVAARGLDIPQVDWIVQFDPPDDPVKYVHRVGRTA RAGRTGNALMFLLPQEKLFLKYLHDDAHVSVSEYTFDLNKVKGNVAEQLEQLVSANYY LRSSARLAYEGYLLSYSSSQLKNVFDIHNLDLAAVAKGFALSEPPPLKLDLSQSAAHM SKKSRHEFRRMMESKDSQRRRLNETTLKKRRENISGEW XP_822869.1 MARYIYYIMLYYLRFLHQQLSPLLCTRGGGALLPGIGWSNELGS AIPLGYLEVPRHYVIEGVLWCCGPLPLLVERMNPSSGALRSLLSLPSGVISSDIYHTV IMVSACVKKAYRFYCYVCLRFCFTALRAV XP_822870.1 MADAYRERALPTLSVLERLRCLRREHVPYASHYCEENAYKLVEA LYRDFSLPDHAVFAVFISNDCKSTPVWKQRLGNGRCPLMWDYHVITLVNVGAGDEGGM WAFDQDTTLPFPCEGLRYIEESFHPEMQLDDKYRQRFRVVPGRDYLEYFSSDRSHMKD FDAAQPPWPLIRGCRAPSDMRLGLYVDVHQSSSGEDVKKEQGCGKILSIAELISFVSP XP_822871.1 MVKEKLAGGKKRPREESLRTIPPNQSIGSELLDNEESKDVTFKS LGLCEELIGACKEAGWRMPTRIQVATIPVVSEGRDIIGVAQTGSGKTGAYVLPLVNWL LTQAKVPYLSILVMVPTRELAQQVAAQFVMLGSSVGLRVATLVGGADMVDQACELSRR PHVVVGTPGRVKDHLNNTKGFQLVKLHALVLDEADKMLEMDYEKEINAILEHLPHNRQ TLLFSATLSTKIDRLQNASLNDPVLLEVHRKNTTVDTLKQYYVFAPFAQMLPYLHLYL TRESGNHILVFCRSAALVHRITLTLRVLGHQALPLMGRMDQKNRNIALTKFKEGRVRI LVCTDVAQRGLDIPRTDVVVNFALPDRVEDYIHRVGRTARAGAQGKAVNIISQYDIVL LQKVEASTGVKCEEWPISDGDVAAVLQRVEDAEQEAVKEMRESDQEAKFEREARQLTT ARRAKRERGDNDMGYDDAKHGTADFSTLRLRREHESVFQMTKKQQHKSLWAKRREVRK QMKS XP_822872.1 MFRLTAASQKNLNSWYTKGTMRGGVPRIYYAWMRPGSFTRRRFE KMRNPFVDLETGTSLYFRDTRDSAEAIAHAADSKGIKGMDNAIDLYNEYRIVPDLYPE GFQWKHKLNTEYNQWRSNTWLTPDLIPKEHRGRFLCNFQLNIVAYDMRVVKFSPKDHR QWIYCVLYVGSGKGIAGWGRAVAPSTQEAKKEAIREAFSNIIAVDLEQEGPMYPVRVN ADGVRVLLYPARRIVANFRVADILCAFGFQHAGCRINLKATNNPKSPTHTVEGVFEAV KALRSVSEIAASRGKVPHSLIYNIYPYLEEIRRRKGMMAMHPPGKDGLLMPDRVVDNR LPDHLKKGYYDDVYWKDFFAGSDEHLNEPRMGLRGDEMRRRLEEAQTSPAPTTAKDTR RRTLEDVLKRLGKTTRDLGSIPIVNPRVDVGLPTHIKRNYQLH XP_822873.1 MNDYLANELVLKLGVHQYAHITNDNDNGTVLITGPMTRTLGSDE RLTKPITPFHVVPHGHYCCIENPHEVAEDGVTPVCDKSGQVKVSLGKRKIFVGPLAVP LYPEEKLVSVEPLTVLDTNSALLVRVIHDYTSEGGVRRSQGERYLFKGPGTYFPRVEE TPLEVRHAVNIKKGCAIRLRALELFTDRTGRTRKRDDEYLYLTPGSYLCDVDEQFVEH VEPLIIPPDEALHVEVLRNFVDERPNAFNRARHAGEVYVVTHEDCPMFVPHPNEKILR TVHKMRLTDKQYAVIVNASKSRRRTITNVSYYLQVDEEVENDAIHGCYLLGEGQALLL RALDMFQDESVEPPVQRVAEDLWLLHGPREYVPHSLVEVCKDKNGNDIRERILLCDGD GIYVRDKTTGVVRTVTGPAAYMLGVHEELWEKSLPPDVEGCLQRQLSPMEGHIAAGQG PVLVPRNLVRSAYKTVVYKVPHRSVTQLYNYRTMKTRTIFGPDRVTLEPDEEFTVVKL SLPSSDGTSPAKCQSKESSRVNALHLFLGPSNVTDIVHVETRDHAQLALQLCYAWHFD VAHGDEAAARKCFGVDDFIADACSLIAGRIRAAVASLPFQQFHKSSVKVLQQAVFGIN PVNGDPMSELRFDANNFVVTSVDTHTMEVLDPRTREGLQKSVKIAIEMSTQAQESSAQ QVALAREQQSSALLAQQKMKDQVENETQRKALLEAEAKSAATISSGRFRSAADSAAKA ASVEEETNLKCTRVRMKSEDIMNGALCEIEQNRRTQEHQYEAARASLEREFKRELSKI ENDKFAAVMSALGPDTVEEIAKAGPELQAKLLESLGLQGYLVMDGSTPINLFNTASEL TGHVQQ XP_822874.1 MAAMQRYLMNTSYLSSQLKELHSLHNRTVSDYGELVENLTKECS ILCDVIPNYKISATIEEEDGGQTGRKQKEVYQVQKLEHEVLVQYEHFLQLLRRLQRKP HPEQQALGSRLCARLVPRASEFNHADKLLSLAVTFANAKSTRVARPALTALAELLDGQ MVSDATECIVSALLDIVRKQHYAMNPKLLNLLLHIRVAMVDMHRRDLTEEVAKNKRLK KEDKELARQLQKSKARRDRAEIVVKQSRILHRVFVIYLRVLEASKSCSQQHQTRILAP ALEGLVKFAPLVNVELHQQLITALKDLVNDEATSVTTKLHALVSVASLAQRDATTTAS DWRVDLSYFHEVLFRCLPEALTLPKCVESNKGTTGEGGDGDDDGDEVASQGSTSSAGS LSSQAFSITESMAQEKFVHSNASREWTFRVGLVLRAMDLLVLTQKHLPVPRVTAFVRR IMQAIPSCPPHIGLSLLALNHRLMLRYPAVSGIVIGGSDNFIAGRGVYTPGATQIASS NADCSFTWELSLLAKSFHPTMRNVAEVFCKHFYKVSKLTHGQAPVVTKQLDALGPYEL LESHDPSSGEIRPPPPLPETMKRRKSGKLTAGVKRAHNDEASDPAGE XP_822875.1 MDSFASYNTRNQVRRRAASVTARSSLSSGADPSLARSRRQMSIS QQPRRLTVRDLWERAPEEDRLLFTQCVRRVQCRLKESLSSMNFLRELASYYTRTAPLI NGFPFCVSLSYATFLFHMQMPHVCIEDIQRYAQLIATAIDIIPDTQRSEHPFVRDVIR GDVFGLSSPSLEGPAHYVVLVPSLQYRAFSLLAVTLVEHGVVPVDIINQWQRKLRELC RATSSLVSNRALTLILKTAEEVDREEQVEVLMNVIRSSPRRMHVEQIVACYERLKRAA PCISTGPMYGRSLSIRLTSVFLTLRSPIRKEFVESFLYPSLCSEDVKDTLNQPAVRMH LYRKLLRLCTPGMGTSNPYYLCICAIIQPFLDDVTEGSLEIVSLVKCLMPHAAYFVAT LTLDTRLQAEVLAGIVVSLVGSCARVMKRVLMNSPVARAVESPATVYSILFLLREVVR GCSISSSERATLMLQCIGAVANPSVMKTLGKVTKEAFEKFLPSGGDTCNSLVGGGAFP YIVDPQLLCAEMDMVLHGRHVCEAIDIILQHNWNIGSICALCGETRGQSPLCQASGAA HYVGTASLGRVLVTITECAGSEVVREKLKSLMCDSSRRLDSAVHLLLFHIFAHAGPHR KELYLEIEPYIRSTLVEVLNKSRAFGVLDSEERASLLTLHAKLVILLGNAVDRSHVDA LLQALSCIQIHSNHDALVLWYLANLLLRRGGSNVDLLPTAPDENNYCVEYPDCAPTCK EAADNAQLLLKIIHRAHYFSPQMRKLVGCCVCKLIQDFNMQADNIITALLSPFGSVPV SLNSLVEYALPVGANSTFWSFFLRQMKTSAPARTALLASFVKSVTRRFSVASPVSCMP ITGEETTAELFAVMAYETVRRCPPLARVVLHLLTSWVKQTRSTPGRFVSLVYTSLQMV MVIFRRSVGADVAEVGAETRQDAHHFGEAVSKAVQEIKMQVGRINDMAREIRDENVVF FRLLVRLLKRTKFVVKETVGESCDEIADMLGAGDSLDNEEEEGAGVSVEVCDVAQPDG TPYQGGELFSYSLEELQRPSDDNVFDDLTMSHGDFDAVPAPIPLHLQRRNEQKVEVTL TRRPHANTTFSSQLQDTVTSSADSLSPCSSGGSCGLPPAFDSGTGAADASGRKQGGIT PLSKAQERLNESYRQAEGAETILTGRAPTVSKGIQTSPQEGQVKRINPATSPNGQLSN VAASTFPEAVLVESNPGRSKRFSEMLKEASTAIGDIGANSTWHEMSVFSEGDEEPIDD TTVPPLQGTNSANCVTVPSALALQFFATYQTAGDVFDELQRQDERRHYGECAGPPARR QVLATEGERRGDLAVYGFDRGTASQHNLVSTAAVAPHAAILPVVVEGRANNYDLPHSS RPQVPGRLVVAHVNMVNTADRKRAQDGGEAEGSACRMTAEKKNRVEGAGAGNPGQLVT YSPQPEQGQNGGHHDDAKQCYENRGATTTLLPQQETSAALRELEALLGHSGATSVSPT FGGEVATTTSGGVAMFPQGVVPRFFVEQGTGTTTLELRQAMGARDPNGVSSLTNNERR VRGNGIMGANVDGEVGNTWRSDVTAPPVPEYARDPQYSLEIV XP_822876.1 MMSRRPPVGVFCVDVEELLKATAKLKIDREKVDDRSPTFSTSGP RGSSTKRSSARNSGGRGDCPHSVRPGSMSSAGGAHSPRSSAGRRRMRSRKAKNRGLKA GVEDYTPLGKERGEDGHAVARPLFDFPSPNIVNTTTCSTSRTPICGGFRDPACMQTGD ACNDTISCWKNGDQAIRGPESDCTFSSQLQLTIPPGADEDSVGPEQEEKFRLHDGTET AAPPITPERVDPVISNVMQAMQNRLLGVSAALCHRDAAPRDGGTGFTSSEKVSCGQRI SNGTNNVAQFSRKVNDSVFLSQPSIYGTEDSKKAAGSWGPPQSLQAARTPRKLAAIGK HGVKEYGQPPRLRDARGVERVLITGVVTKVPKRATSSLGAPQLAKPLLTPVFQNIARN FAEKSKRPKRF XP_822877.1 MPPRTAAERGGRRKSVKAPPPVDPLVELTTLESVHDALAKAERL RNYFQVERDKVNDFWTITKGEVETYRNRLFNAEASIEELERSHQVEMKVYKQRVRHLI YERKKKAQACKDESDRLLREAEDRHLQRMNEIQAKLQQQDQQLRAAAADHEMNVYEKR DSHSYMVTVTKTQSHEKELARLQVSCEAKLKVLRDELELRRRAEIHEIEERKNEHINA LIKQHEEKFHEMKTYYNQITTNNLEIIHSLKEEIAQMKQNDEHNETLMYDIDRENQNL VAPLEEAQREVAELQQKRKQNEQNKRGLEVTRVKLRSLREEIRRQREEHQALEERYAC VHREREELKGKFESALRQAVMVVEERNEVLQQKLIESHALVEERDVQLEGVLRAMNLE PKTLELIATEVDEWLQRKNQLIKDLHFELKKGEKLYSATLLEMERRCQAANIASLPRS NFE XP_822878.1 MSSETVAIQTLLEAFEESSERINALYNKVKSAGDDCKERATTID VFRVLHDCFVFHTDTLQNQIDALKTYEEQEAENIEEEVEELEKELHLLDRISRGCDDA GCPLPSVNDVSLAAYQAFVTRSVDLSAQLSVMLEGLRHILTLTPPRLSKAQSIVTWLG VANKATWSAKEKQLNASWKSLEEDARLASASMDEPSLVAVRQLLSDVMQLGKKAVSAV GSGSRAETERARDVEHLGSQQRRLVLWCRQQQANLDVLTEPDHIQEFCKSLLEHYNVM SDNYHVVLEKAEPYMDNETVQEWLLEASEAWLHLQVKALEQFRRTLFEVHQDSLLEDQ VEGQSAFCLQLGTVLGALECTLTPWCEVRSSACGRCIQLLDSCRELRGMMPEYEKLSR QLLELTDRLRIDREAYDCYRAAALSHVTYLSSSAELLAEAARRKGEYKACVYELQEWA VKKVRCDSWRNIRDKVRDIKDLLEQDQLLQRHRGEPV XP_822879.1 MAKRTVKMGVMGRYGARYGSNPRKRAKKLEVSQHAKHFCSFCGK FAFRRKAVGIWRCDGCSKTVAGGAYTLSTPNNTTVRSTVRRLRELKQSN XP_822880.1 MSRTDFSCAICYEVASEPVVTRCGHLFCWRCLSRWLHPPRSAVN TECPVCRGRVDENVNGDIIPLYGKGRSEGASSSFQRSSRWTQGASHGPPPRPAAARVP SSSDGNSFRLRGAFPFLSSTSFFFFSSDPYSLIAISLLWAMYQLPWREWLTNLSTYLG GVSNTVPTADEGGSNVGAVPSPQRSDDLHSREVGEAITRHVRSAMVLALGLLATSFFM V XP_822881.1 MALHLCHLVPRDIYILVAFAFLFASCILQLIVVMSRVSGRDVGI IQPQHPGTSGYNAVHVSASFVLYLEGGKKICEMNDDGDKIFVGCARFVSSFYVVGWIL LYSVVTSYLSFFFGMFCVVPFSKTTMTVFALEMSLFWVPLSLHSAAVGVFWDRLLPSA EKTVQLNMGQNTDLMFVQSYISILMSVSQGLLTLSFFLVVFRFFVALCRRKAVKQRAV ARGDIDRLMRCHLVNDDWERQKEVLEDYAERLMFEIDIREECKQKSAETPSTSNDPTD RPTEEAHLNLIEENVSCEPVEC XP_822882.1 MFRCVVRFGAKDIRFGTEARQSMLKGVQRAVEAVATTLGPKGRN VIIEQSYGAPKITKDGVTVAKSIEFKDPFENMGAQLVRQVCNKTNDLAGDGTTTSAVL VASIFSEGIKSIATGTNPIDMKRGMDRAVEVILKNIESQSRTVTNTENVVQVATISAN GDVELGKLIGEAMEKVGKDGVITTQDGKTLTTELEVVEGMSVDRGYISPYFVTDAKTQ KAELEDAFVLVSAKKLNNIHTILPVLNHVVRSGRPLLIIADDVESEALTTMIFNKLQG KLKIACVKAPGFGDNKAAMLQDIAIFSGACVVGEEGSGVELDAEKFDASILGSVKKAT ITKDDTVLLNGGGDVAMMKERVDLLRGLIERETSDYNREKLQERLAKLSGGVAVIRVG GASEVEVNEKKDRITDALCSTRAAVQEGIVPGGGAALLRASKALDGLLQDQSLTADQR TGVQIIRNAVRLPAHRIVANAGREGAVVVEKVLENTDAAVGYDAQLDRYVNMFEAGII DPARVVRVALTDAASVASLMMTAEAAVVDLPKDDAPAAGGMGGMGGMGGMDGMY XP_822883.1 MPEVSLECEDICPENVSYPFLRGIDPKRPPSSITIPPRDLEAMA AMERQYWEVKSKHYDVVIFFKKGKFYELYDQDAAMAHREFGLKLVVDTTNRGKMRLAG VPEQTFSEWARLFVFRGYKVGRVEQMKEEGESSKNARPKVVPRELVEILTPGTITDPM MISGYGAVFVLALYPMGSGSVDGMAVDLSRRVVFHCPCGTNGKESAAGFVEEVLNEVS ALLQQIRPREIIIPRGAVDAPGEEPKGSFGRRLFEWVEGEGFQVELVEEVGTSLRKLP LEERSLKEAGRFLAQYFRSLKLSNVDSILLEARPYNFHLLKQQVTSGVPSNDRSRCSD STLLWYERREDPGLVLDAATVSNLELVGNLRDDSERGSLFNLINRCCTNGGKRLFRSW ILRPSASPRVINARQEAVRFIIENNLNDLWAKTEESADVTTPICTPNSSTRTSEGPTQ EFTQASGTQCGSKRGRTTNTFESRFTNLFATDFERNLSRLADLKGDSQQIAFVDPLVQ YKKHLQLIISTVVAFEEMLDWSNNVQKECAPPLLQELWGTMGAVAPAVASIKACFDRK AAEVSGVIVPSQGACPAYDEATECLDIIEKKLDEVLGELRDNIFNGAAITYSHIGREN FLVEVPLMEAPKRCPPGFIERSRTSACVRYTVAGLEPLVEEHKRAKTKKADALLLVVR NIASHIFNYFPVLYEATAALCYFDCLLSLASLHTSGVATCYPVVQECDAGAYLLAEEL RHPFLKSDSVPNTVNLDATHGRILVLTGPNMAGKSTLMRTVAVNVIIAQMGGPVFATS MRLAPVTRVFTRIGARDATHKGQSTLYVELSETAEIVRFAGPWSLCLVDELGRGTSTH DGYTIAHAMLAAMKKRHPVPPLLLFSTHYHALAQEEHKSMQKSTSSAASETGGVQLGY MDFAVSAASDSNIPTITFLYRLVPGICARSYGVEVALLAGISPGVVNTARVKSLELAK WYERQRDLGTVRGFITLSGTQFSHR XP_822884.1 MGCAPLVSGLRASVQKISEPGARGCSKGSCRTRLRSTGPCCVES FFFWTVWGVGGSCCDSRLAAIVSLLPLQWQVCGLTCFLSGVIICRRGGTGYITTRGLI VCWRHTRVYQHVVGWCGSNLTQPLKVLSHHARQRVLNRSRCIPAHMGGDVVFVLLLRE ATCSCIKKRKKTYKGRFQ XP_822885.1 MFLTRQHLERVTLLPELMARAWPHFTRSHMPSMFHIFSAYRRTT KSLPLREGTSLSRSKGLEVGAVSAYLCSCRVGSRNCSVIAIFPWGNLTGVRVILRDPA RWVLAWTNSRESTLETPISGDRF XP_822886.1 MKRVLLLFDVDGTLTPPRLCQTDEMRALIKRARGAGFCVGTVGG SDFAKQVEQLGRDVLTQFDYVFAENGLLAYRNGLEIHRQSLLNALGNDRIVKFVKKTL RLIADLDIPVQRGTFVEYRNGMINVSPIGRNCSQAERDEFEVYDNEHRVRASLIAELE NSFPDFGLKYSIGGQISFDVFPVGWDKTYCLQFVEDDFEEIHFFGDKTQEGGNDYEIY TDKRTIGHKVTSYKDTIAEVEKIIAMK XP_822887.1 MDHPPAGPFGGGECRCTGIRFCQHCIDSDRAQSIVRRHVSLANA SDVVLRQYTDQRMSSCSFACVGPSLLSMCCACRTVFETAGGVLKCCGDHKGFIARTDI ELSGLTIQPGFVSPNEEEYLVAFFDNPAPFAAWKVSQSGRRKQEYGPKANFKKRKLKV GDFRALPHQMKTTLDRVRSFVAEQTMREYCIVEVSVLEYTAECSCLDPHIDDTWLWGD RIGGLNLLDDVTLTFVSADEVAVTVFVPRGAFFLLTGVSRYEWMHGIRREDVKNRRVS VTFREFADNLVVDQEILKTIVMSATTFI XP_822888.1 MLPILKAGEDEGGPRIPPPLWCEAKREALIDGKGKVNLEVMLVH FLRQGRLSKHDALNIIQNASSVLRTEPNVLRIADPSVVVVGDVRGQFYDLAKIIFIGN MFSRAKTYLFLGNYIDSCFFSTECILLLLAAKLTHPSCVFLLRGNHECRFMSNIFDFR GECLKKYNDDVYEAIMTAFDCLPLAAVVNNQYFCVHGGLSPDVTSVDNIRLIYRFREP PSKGAMCDLLWSDPFWDVENPSSVCEGSRDDYYTPGNGPSYGTAPCFLDNEQRGCSYL FNYHSVKHFLLTNGLLCVVRSHEVQDDGYKLYRFNSVSNFPCMMSVFSAPNYCDNLHN KGAVLILEGKQIGIKQFCCSPHPYVLPKHLNAFEWSFSYLLDSVRDIFASIISCEGA XP_822889.1 MHLKECPPASSVGWLRPSLTIYMFGSKSLALSSAVFVLDCFTMG RKQRLLSAVVNVPLTLRLMLYFSASYKGEEPRLPPSTCVLGSSQGNNFLLLPGAFAES GCTTFFPGREGLMSELQDELWLQLPSRLLILARLDLANFLFAVVGRLPNSGINLFQQR PPSSLAVLPKRCMGMTLVALTTL XP_822890.1 MVDKLTPPFVPPFQHTKMPAVPCRASKGHHQVQLQHSVITFGSP NVEDGSRFFRQVVEGVRTGSERVLPKDESRRCLSGESDGATSRRLQQLNTDLQQALQK LRQRDREETKLRRRLSVVQLKLEEAAERHSATIEHVLGLMDVYGSRMEEQRMELQRLR AKVNNCDKTSEGLRNEGVISAPVGVRGLKASAVQAENESLKNRVKSLTDEREELRKMT QRLQDEKEENLQTFSNIRNKLTADIMDKQEQIHQANKKLKELSDEAAARDILVQQVRL FVQMVCQPDFHVVKDTSLQPVDRSRTEPTGFVLVPLTLMLQGYSLLSNEDRDDLVELY RQRLT XP_822891.1 MSVAPKRTAELLWLEQQRARQYEQHRKRVEQQKPCVDNKTPRNL SLSNKRALMEQERRKCIDEENRRLVVNMSAIMERGGGIDNKEPWRRTNGPRDAEIRRR REQQKLAEENLKLLHRLENVKPVYRLEKWEMERDENEILVDRISRYPYIPMNRRKGVG E XP_822892.1 MVVNSDGVLKDNYTDDQTSEEDPNGDNIRTNRSSDDGPRRGIMR FTEPLFSRVIPHGGTLSNTFTFASATLGGSIVALPWAFHAVGIVMGTVYLFLMTLVTA YTVTIIGFVMKKSRFSGFEQMSLVVLGRGAAYLMSVVMGASCLGAAVAYVIAVRTLLE PLLQQSPLTSNFFGTTAGVRLTTFFVWLLGMLPLVLPKQINSLRYFSAIGVVCVVYFS VAIIAHATMAGVPKRGDVSMFQGGNIAVEGFGIFIFAYLCHCVAFQTYYEMRIPSVRK LFISTTIAMIFCSVLYWFAGVFAYLEFGPEVKDSVLYMYDPISDPMMFVAYVGLVAKL CVSYAMNMLPLRNTVYFLLQWEIQQLPYWKHTAVVTVMSILVLICGIFFPKISTVFGF VGSVCGGLIGFIYPALFYMYSGNWSLATVGWFHYIMTYCVLMLGVASVVFGTAATIYA IIN XP_822893.1 MFRCVVRFGAKDIRFGTEARQSMLKGVQRAVEAVATTLGPKGRN VIIEQSYGAPKITKDGVTVAKSIEFKDPFENMGAQLVRQVCNKTNDLAGDGTTTSAVL VASIFSEGIKSIATGTNPIDMKRGMDRAVEVILKNIESQSRTVTNTENVVQVATISAN GDVELGKLIGEAMEKVGKDGVITTQDGKTLTTELEVVEGMSVDRGYISPYFVTDAKTQ KAELEDAFVLVSAKKLNNIHTILPVLNHVVRSGRPLLIIADDVESEALTTMIFNKLQG KLKIACVKAPGFGDNKAAMLQDIAIFSGACVVGEEGSGVELDAEKFDASILGSVKKAT ITKDDTVLLNGGGDVAMMKERVDLLRGLIERETSDYNREKLQERLAKLSGGVAVIRVG GASEVEVNEKKDRITDALCSTRAAVQEGIVPGGGAALLRASKALDGLLQDQSLTADQR TGVQIIRNAVRLPAHRIVANAGREGAVVVEKVLENTDAAVGYDAQLDRYVNMFEAGII DPARVVRVALTDAASVASLMMTAEAAVVDLPKDDAPAAGGMGGMGGMGGMDGMY XP_822894.1 MDGGFPWTKHVEWNRFRNLGEGVGGFKGFRCCGGGQMRGLCGTE LSVCCDCCLAVNESVHNRLAVGLKRATPEPLWQPTRLTSPYCILCANGLRDDFYTSCM SWGRESVALSLGEKVLLFKSSSPRESAVSLNLSGEACGYSESISSVAVSQFSDSHCFL GGVNGAVGLYESHGGGELSLSSSFEMPPPLFGGDLASEAAFSGATTSIRCLSTTNVHP WVVAAGTAAHGLFVLDSRFTTPAARMCGSDLWNSVDCRHSQRPLYPSEAISLLSSLNG ICGVSWNSSGSLVATGESGGLVNIWSLSNTRSPVQRIRLPSAHTTVKAVAFHPTNPYE LVLGGAADDGCVRVYDVSSATPHYKWGVSTGCQVTQALYSPDGSFIVSAHGARLKASV AIDAASAQSHATRAGVSRTDSGGGGWGAGDELQWLTEKFERAMKCSENAAESSIDTCL GFNRASSSGLNEAKPLLSEAPPFSLVMWRKGTQHQGTTPMSFTHGSGIHHQCAAGSPS RRCEPLPLVSMYTMMGHRSRPLQLAVPFSQTADQGCIASIAGGADCTIRFWKCFYSRS EMVNWGQRVCASLRTAITEEDVEEMTAMPLR XP_822895.1 MEYRWLNRVLTVELCMSIIAFHLVHFTGIDWNAYMQEVKGFLDG ELDYMKLKGDTGPLVYPGGFVWSHSALYFMTKGGVDVEMAQWLYLGIYVMVLVLVAHL YYNSGLRGRLFIRLLLSKRIRSLFMFRLFNDCWAMFLVYLSVICFARGRRWTVGCLLY SMAVSVKMNIFLFAPGLLLILCKSLPFTGVVRCLAVCALWQVVAGLPFLLHNPRSYIV RSFDLGRVFTYRWTVNFKRISEEIFFSSKFSRSLLVMLAVSWLLVCFRRWSKRAYRRG RCEKREGTVVLVGASDEEVFHNVTLTLMESNMIGVIFARSLHYQFFLWFFYFVPFVLS ATRLPLVVKVVAFLAIQYGFEVYPSTTASSSVLLSGFLCVWLGMLLFPSEYSETTGKE TTITLTAVKRGK XP_822896.1 MGFFSFKSNEKLKSGADGRNATGSGSIKPSGPTDGDGGFSGSSG RPVALTAEEHHEGVDPLGYMSHLPVTDIIELYTSFRNSLQECPDLVQKRYFVSTVKTL SSQMKLRDFSEKSISTHDGTKLPIVKRVLREVPSVRSRLALALQNFCHVTDWELENEE IECGTGLLATLRGESSRLRSGHEVDEGESAARLIPRMDSFDPTHIYNLVSTTDKLPSM QEMAELIRRTNELLLQEVNVVLVSAPCVVVGDIHGQKKDLIDNVLAAGGPIAPCGTDA VPEGKPDDGAAKPAGRNYLFLGDIVDRGPESLGCLALLFAAKLMAPKSVHLLRGNHES SETNRNYGFLRECWERYPINSPGGGGPTACTGGNTAMDCVWELQSHPLWVLANETFRS LPLCAVVTGEAASPSALPNCGKHREGDRQGGLTLCAMHGGLSPFIAESLDGILAVNRF RDIVDGPLADLTWADPVSALALFSPGNVAAAATTAGNVGSELSDTNNGAPPQQQEGRF QHHRMAVQRSEPVPTTSATVGHVLSSRGRGHNFGEDVTLRFLRKNKMDFIVRAHQCVM EGYEWQHQRRLLTLFSAPNYCGCGNKGAILIVHERGDPELVQFEAAGIQICGAIAAVS PKPSPVPPKEFCS XP_822897.1 MPQLRVEYAKSGRAKCSAASCNKPISKHEVRVGIAVMFQPVGST SGEPTLSYKWRHVCCFTERQIKNAEAEGGLDAIEGLEELAEVDRDLIAQMMRGELIDR MDVMGRVGDVENSPASRGSLKGEKFSHSDGRAATKRKRGDGSESRETGSPPDLSKRGK SKKGGVVSLTPSRDKDTNEGEISDSTTEEFEVSVEATRPPCPYGKECFRTSVSHMAEY SHGAESDRAVPARLRAVIRHSV XP_822898.1 MSGPGVDLTPVGAVAPAGVVLHSGQQFVTPGANGHVIRNSPYGS KRPLYGWGDLFRPGKTAIVPGWSNIHIGVSSLNAVPGADRRMRTLMTKYQKKFNCLEH CYTFHNVGDEDMWRTWRELALLPMNSGPSSSPAQSPRQRDKGTGVKEKADTPCKYACG EKRRRASQNEVTTADVEASFGYDDDVDSCANCGNQHSPFMYTIKANRYLTHNRLLVMD DATKEHITQFFVQRCTLLEHILGPVLFQLPPHFPKTGEHVARIEAVAACLPKNIRVAV EFRHVSWYAEDTRELLQRLQWAQVVAHNLDVSAGSVHVDTGVPFMYVRMHGPLGRNVG DYGPLILSSWAKRIVEFVRADRDGTDGKVDGAVTPKREVFFFFNNGDSSVGGTTSSVV DATCLAEKVRMLLARQRGAIQGVDYVDVNSSESSCNEDDRRTVKETFIISD XP_822899.1 MRAAKEPPLPEDIRVRLDNLNGGSTADEWKAVGNDCFSRGFYLS AIRCYTKALDLDSSSAAVYSNRSAAYLKSTMFAGPALALKDAEHAVRLDPGWYKAHLR VADAQFGRKKYEEAKAAYQKVLELNNSCVAAKDSLKLVEQELFLQFLDDQKKKERKDE LNGGDQDGVSKGDSFSWSHNTTGSGNNVTASPTPSNDRAQVEVSESEVERHIRLWTQD TVLREDRTGMRAFGATIDEADREAGVEYKKNLLSKFRNRLETDEPLRSNVERRLDRQM RLGENVDYRNPEKHRAILMRGTDGVGLGISTDAYKSYKYESTMW XP_822900.1 MSLRLGQPTSEQRNRRVFLRFTPIVNEGTEEGKLSSSLSTTTMT SNTSLVEEQSRNIATNVDSTPKSSMPPLPIPEKIGSKSNTPQGLLSPHKVMKEEAGAM QSPDNIRSPGNRKKKNDESPVRLSTDSLTQQESMHLGTIYTRYASFSPKEGCLVNLLN DVTGAGSVSECDVWLYQLENVLGVPRRELCGGTLGIRPESSESPKQQSYGPRRMLTYG EVLRFATWLKSAHKMRIGPRHASLPSNSTPVKGAGKAADDTPSKTATHSGREVKKLDG IKRPKESAKFNIGSGKGKRDSGPSRLFTPTTGSSEVHMPSIGKRPGSTSVSTTTRGYN LELQSFAELGGGSDGTGTIRLIDLKRMLQSFSIEATFGTCVGRVIDKNNTGCITFEEF LWVLEFGVRGMGPAAAIHDHLAAPLTPQNVVTPPVSTERSPASGNKGRSTKPSPSKKG RLAATLEAIRKSFAAQSEKLSPYLTSLKTERRSRSGRRSPNNLRKDRRKLSISPCRGL LTRSTPGRRCSSRKKSRGRSPTNRGTGKRLHTARKDVALGA XP_822901.1 MPSSQWVICLASIRFDIDIGPVVDHVAPHDVLTEEGKRLLTQAS FPDCNPEYGHDLIFYFQMKEDSLGASNTHRKDAEGSRNGANTSVSTTVKDPTLRTLTS ATNIYGATYFRQKNDASVPRGYVQQAFVLLSRLPYLVVHELILRVVAPRLCQCCPFSP DTEVKPVLSALSAVPFTAFELDPLFNPTQWSQEGVLERALEEIGGWPTPHPHVQYDVT LLGQSFSFITVTRRLQALGVQRPVGPRRHVMNLDGRVVFLGNKHQSMCEYSMLPLYTL LNEHLSNLTRIWELILSHEPLFIWSNTPSMASGAAIAVVSLIEPVVYNGLLRPYLTVQ DESFAYLSQLGKAEPFSRSDSVVVASTNPFFFRAFDGWRNRLTVMDRLARSSGSAKVV GGETVGSGNDSDPAVHDDNTVPVVSRQNSGSACPSPIPDGNPSGCIPSPVSPFVLTYE SFHPTLTAAKKSVARSASPSQRAHTALPSARGASSFTSPFSFLVDHRTQTAVLLRRLE QASHLNAEAQLAMLNMHNLDACGCSDDNVADGSEGGVGAVGSGDHGGGANNLRQFFQH NIADDIVRKFFVTLTQEFLMPVRAWFQVATSNFNLFHLCDPAIYAALSSESFLQFLKN SREGIPSFLSHHPYKKYSGMYKRFARGCLFQSFLLKLVDTKIRRELEELQLDVWAAEY TTERERVEMIVSLLKLVEREVAHSLDPDVVFVTSAVSLLVGMATYVSESLRDELMAAI SRLKP XP_822902.1 MLLSDEHLALLAKYYATVEFTGEQKDALIEKYWEANEAERKAIA RAYASLFANDADFIQRLLAHYDMHVSPHVSQGASSCNENGEPCKSEAELSAGAPAEQD GNGSNNGSLPARPAKPSKGPSGQQLTYSQLVLRTAIQDQYSKLSGDGPFPMAFGLVLS EEERREVIDLYSLQFQYPDQPELQRLVILPQTHSTRTRRRAKGSYTWYLRSLNTNEMV CAVTIMAHHYETHHFVEVPLFATGVGYKKHGFGRLMNAALLQWCVETGFEFVMISADV KAIPFWSHLGYKTMEKSELTRIVFYYEHNCYKFKGAEVMIRYCRTWPTDGVKEALARV QKVIVSGHVGLMDA XP_822903.1 MNSMDDAAIVNHVINNRNNYYRILFLERTATNEEIKANYKKMAL KCHPDKNKHKNASDAFKLLGTANSVLSDQARRRIYDSQGAEAVRRHDNGGMGHRAPGH GAYYNANDLFEEFFGRAHRMHTERPFAHEAEVPVNLLMALPLIFFVILALLIQSSLTD LSDYSNPHMRRGGGGGDGIDTFSLTPDPERGHVVERLTALKGARVKYYVKPKWNERAS RGSADVRRMERNVVQQQQESLARRCEAESLSYRARGRKETPPVCSEYESLRQAMHR XP_822904.1 MPHCPRSPLRTHTAPSLPHEQPGSYTATVTLHGERRVADAYASE VCWGGQTGTRWGSTHRGVEQADVWKTALCPQAAFQRRALSLLGEGHTGTRHGLLKEPH LRCCDAGETARPTRHIERHRSPTTVGGDAVQQLGTTLCHSSYCRMPSVNPPATAYERA LAKHGKRLLAAVQREQRRKLEEQRNRQVLERRKALYERYTKWNRQSTHKNGSEQTPAA ASRCEEVEARQHSALTVTIGASETVGSSPSSLPGGGTLETTLQATKHLLLEHTTEVVG CSTAPFNEPVGGKHNQMPRNTMYPKELQEPQNHNLAECECSTSFMAYLAGRLSPRRRC GGSRFNPGSGGRGDFIHVCWPHCFTPPRPLPCRQVPHEWGRNLVASLATCGDADGEGL RWGLPSPLSCVLPDDIHQTSLRRSPRTAEATALSQRYCTSLDGSVNECAGEERHLLTF MRPMDQPRPLLSYHRPKTICEDQGGAYAASACP XP_822905.1 MEETYSPFTGRQGQYNQGYNGGGRRDSRGGMGERIKPVDWGNVS LVPGNWKVLDGKAIKKAGEIKTSTPEAGQLSEEEATKWREEHVITIFGDDCPPPMSSF DHLCGIVPPYLLKKLTAQNFTAPTPVQAQSWPVLLSGRDLVGVAKTGSGKTLGFMVPA LAHIAVQEPLRSGDGPMVVVLAPTRELAQQIEEETKKVIPGDVYCGCVYGGAPKGPQL GLLRRGVHILVATPGRLIDFLDIKRINLHRVTYLVLDEADRMLDMGFEPQVRKICGQI RPDRQTVMFSATWPREIQRLAAEFQKQWIRISVGSTELQANKDVTQRFILTQEFAKQD ELRKLMQEHREERVLVFCKMKRTADELERQLRRWGYDAMAIHGDKEQRQREFILARFR KDPRLCLVATDVAARGLDIKQLETVINYDFPMQIDDYVHRIGRTGRAGAKGDAFTLIT KKEAQITPSVLKELIGILERAQQEIPDWMIEWNAQQPRYQVKRNRGMNGFGRHQSAPF LRNGHRPSFSANGNYSAHGNGTFGLGKHNDDAVPFSSSAIQYKRFDSDDEAEPSRKKY AR XP_822906.1 MNTMQGIMRDLRMVMSPFLLVLVVAISTPASAARFLLQNTQPIC FVEEVGEDTRFLTGVYTRSDSLPYPPTVKMTIKSPSGSVTWEGKVVAGTNSFSAPVSA GSIGKYQICVSVSTWGFRASDDGSSVVMDVNIDQKTTVISKTEVPMLKRQNVGGMEVF TFRDFGGQQKDILRPPEYFKRVEGALSKLLMQVKDVSSGIDHNIERFSRMFTTSENTH KRIWAFGVLTVLVTIATIWLQFRLLKSTLREKKLV XP_822907.1 MPKIRPGMKRPPPGFEKINDKLDEYDAEMRLALSEDPSQAELPV PSKRKDKQNKVEATKDKHAQSVQRGDGGVAGHSDGELDKPEPPLWRVARINRERTRYV FNACFRERIIAEEVLDYCCEMNFIDAGLVRRWSLAGYERLCCNTCCLPGAASEAARMV NKFANRDKKDRRTNGNDDTGGTCICRVPDEKRLAKAFTRCMVCGCSGCGSGGKRKHDT EEKDSVSDMKKVRTDDYEVKSGK XP_822908.1 MPPPFCWGTHKPHLFQNGASFCAFHLRTNCNGKYSFTAHFVYEK VRLLAGSSVAQTNLSLSACRTAHAGATFGRGNGEIGFITRWAIFGSHLCVMTSLSVSD GIRMLNT XP_822909.1 MMEDDEGLDGQQEVREVVKERPVRLTSIVRHDCSKSFANDVLEM ANVVLDNLPADRMYKDVATLLKRQLDETQKGTWHVIAGSHFGANVTNDAETMVNLKID DMYVLVFRSGPPDRPLNANDAKE XP_822910.1 MQPLQATEQTKKKLKSFGKNRREIRVRTTYDEKGNWMAVNNPDK LRQTECPALDRYYRDLQRLCTPQEWGDEMALFRQPLPTTFWINDTDPLAPEISRYFES LPDTVVEAIPWYPIRGMAWRIKAGKTEFRRPEMKELRSFLIRHTAIGTVSRQEEVSML PPFLLDIQPTDKCLDMCASPGSKTAQILVSLGRHKVVPHDSDASPFPFDYDSEGLVVA NDIDTKRANMLVHQVKRMRLLFPFALFTNHDAQFFPNMVLTPGEAQPHTCGQQTTGDD GATELRFDKILCDVVCSGDGTIRKAPHILKMWSPREAISLQKVQIQIALRACHLLRVG GRLVYSTCSMNPIENEAVVAQIIHRTKGAMKLIDCSSLLPGLRFTPGLQKWVVTNSKG NVVEAPCQEAHEALFPPGTPGAYSSDAVDAMDLRLCLRLLPSHCNGGAFFIAVLSKVS EFRFHKHDETTQPAITVPAHSARIFEREDNTSVDTEVTQDGLPLGKREREENALDLAK DEVRGRGVPPQFVAAPEPIINVITDFYRVSSFPTRLLVVRTANGQRELKLSGGSVCSI VSRSALEVLQHKTDTLIVVSAGLRVFAHENLDGGWRIASESAVLFSKLMSQSPRRLCV HVSLVERLLQGGKLKDIPFNDIEDEAVRFQLESMDIGTVLLKIEAPGAVGGVLYSVAL RARKRLQLLVDQEDIVGLRLRLGLPATEAAKVEDTNAQQGEGGVIPADCAEEGAERIP XP_822911.1 MSTAKSFPMAQLSTRAQYSRMQREFVQLQRQENPRNINFTTSLK NRHKNRYLDILANEETIYPPVLKAVGAQPGRYPYINGNLIDLDLPHTFVACQAPVPQG VPDFLETLSEKKVDLVVMLTKLREGGVLKAERYWPEEEEDSLSFPESGHDAIKVTRDA EASYEVDAELDIVRRPLVIHVPGKPMHRVLQVQYVGWPDHGVPESAASFDELLSVIKN CVTTSPILVHCSAGIGRTGTLIGAYAALLHIERGILTDSTVYSIVAAMKQKRFGMVQR LEQYAVIYMTVLGRLGVDISGLVSTLNLKA XP_822912.1 MAGAEQRQFHSVEEVSVELGRASLHASWGFKTYDGVCPLRVRDV AAEIPEGVRRGDEIIVINGIRPGSYDEGMSLLHQAQSTVQLCVRRKVSGSKCATTVDE GAEPRECEYIGIERNSWRNRASLHSLSPSAEWEGTISPQQQQRKPADQTTATSCHHSE SGVRTSAQLALDKVLLAACGKDAHCEDTITEGTTDTEGPPSGPSRAPLSINSQVAGIW RGILTRKRKRLDDASRDSKNYMDVCSVLPKLTHQVIYDCQNCPFSIEEGFVPEFHKSV QYIMTERALSQKHAAGDEDGDGDAKVMLAPVKDRSDEDLSAVMAQVEAKKAQCEKEVS QRDTVADVHRMKKMLSSQQSGMLKVVESHRESLLQKLREDVGWYRETECSAQDFFALH SKAISWCRKASIKQSPVDSKVKYPAFHHGNREGHKRHEHPQLLPPPPPPPPPPLSQPH FPPPPFAAAPSSAAVVPVSTVSPRGRVGYVAPPGYARRLPQHPPLFDVRTLNATLYHR XP_822913.1 MEAVVYEIEQDPDTRLHRLRNAMLAFVSVPMASPPAVALLVSLS LDEPPGSSRKQQDRATTKATAVETQLRSACDDRAVLLKWLRAEMNIVLDIPVAASFEP SGAEQLSVLSTWQQDQHRSPLRKADIVLLSLRNVAPLWDNHETSSSLLGRQRDVCAPH LGLFLLAHQSLLLDVTCCVGGGKLELLSPAAFSPLRARHIAKGRTAAVAFYGCWLSLA ATPSGFHILQKRLQSLNEHVLSAMQLPLCCSYGGKVGNLQHNLSTVPSPAGAARPREV HTVSAPLLPTRPLRDIFSLLGFLLACPHVDYYSEATKFCTRVATRRKTALVTENKCFS GCATHSEEVVKRYDRKRMRGVIRASLSMRSKRQQHSSAPDKKQTFTQLITSEEPLWYE DCGS XP_822914.1 MMQGFPSLGEKDPAAKPPAEGKPTSASGEKQPSEAVQGIPLYQG DGDDKEGLKRFVVQGYKDVWAAILYILCIFAIAGIGVHAMWSRGSSEEKQEVKKTTAE NEPSNSKSLWSVYFLVGVAAAASIGAASVSFLLMRMFPRKTILFANIAAIIINIISAL LAILSGHMVSGILMMTLCALHIMWFFFARHRIPFAAELLKASIDVLSGYKAVYLFNVM LCVGCTGLLMLWANAFMWTYQRSQAQDSSFSGIIYLFLMLFLFWTSQVTTNLMHVTTA GLTATWYFAGKENMPKNPTLASFKRGTTTSFGSICFGSLLVAIIRLIRWLVSTAEDSE HEILRCVFLCIIGCLERLMEYFNTYAFVHVAIYGCGYIEAAKMTWELCKQCVFSALFN DTLIDVTLGLLATCGSLLVGSVFGFLLKSWAAFALGFAVSILVHLLIFSPVGSAVTTL FVCYAEVPEGLEHSSPDLYAALQRTDQNGTSNGAAPPRV XP_822915.1 MNKQYGTETNRYDYDVWQENQRNCRQECSPQAVPLQGIPLYQGD GDDKEELKRFVVQGYKDVWAAILYILCILATIGLGIYNIVKPDASGEEEEESVVSVKS SNPFSGVFKSVYIWIAVAGGIAIAVASLSFLFMRMFPRKIIIVANVVSIIINIGGAAA AIVMGVLPLGIALLIMCAMHILWFYFARHRIPFAAELLKASIDVLSGYKAVYLFNVML CVGCAGFTILWGYAAVPSLETASNKGDSGALAVLLLLFLMLVFFWSSQVTGNLMHVTT AGLTATWYFAGKENMPKNPTLASFKRGTTTSFGSICFGSLLVAIIRLIRWLVSTAEGS EHEILRCIFLCIIGCLESLMEYFNTYAFVHVAIYGCGYIEAAKMTWELCKQCVFSALF NDTLIDVTLNLLVTCGSLLVGSVVGLLFRSWVAFALGFAVSILVHLLIFSPVTSAVTT LFVCYAEVPEGLEHSSPDLYAALQRTDQNGTSNGAAPPRV XP_822916.1 MNKQHGTETNRYDYDVSQKDRRNSDSRECSPHAVPLQGIPLYQG EGNNQEGLKRFVVQGYKDVWAAILYILCILATIGLGIYNIMRKDSSMKDVESTNTTAE NEPSNSKSLWSVYFLVGVAAAASIGAASVSFLLMQKFPRKTILFANIAAIIINIISAL LAYRSGYIVASAVMMTLCALHILWFYFARHRIPFAAELLKASIDVLSGYKAVYLFNVM LCVGCTGLLILWANAFMWAFRRVKWETSASFGWPLLVFLMLFLFWTSQVTTNLMHVTT AGLTATWYFAGKENMPKNPTLASFKRGTTTSFGSICFGSLLVAIIRLIRWLVSTAEDS EHEILRCIFLCIIGCLESLMEYFNTYAFVHVAIYGCGYIEAAKMTWELCKQCVFSALF NDTLIDVTLGLLATCGSLLVGSVFGFLLKSWAAFAFGFAVSILVHLLIFSPVGSAVTT LFVCYAEVPEGLEHSSPDLYAALQRTDQNGTSNGAAPPRV XP_822917.1 MTQKGYVGVPEEPYRSKFTPEVGPYYGFEGEGTHAVPLQGIPLY QGEGNNQEGLKRFVVQGYKDVWAAILYILCILATIGLGIYNICQQGLRSLISADVVNI FWACNDDSCIDSVCLFFLLAFAFSVIVCLSSLSLMKRFASGIIKGCNVILLIFYVVAF LFSVWALNNAFAIPWFCMLVVHLLWLFFAGRRIPLAAELLKSSSTVVCNYKALCAVNA VLFGAHVFFSVMWASVMKPLYAQLAKMELNPSAGGARNEEDLTLNILFLSLLALLFVM FWATQVTTNLMHVTTAGLTATWYFAGKENMPKNPTLASFKRGTTTSFGSICFGSLLVA IIRLIRWLVSTAEDSEHEILRCIFLCIIGCLESLMEYFNTYAFVHVAIYGCGYTEAAK MTWELCKRCSCAALFNDRLIDVTLFVASFIGSLLVGVIVGLVSASGGGFAFGFTVSIL VHLFIFSPVGSAVTTLFVCYAEVPEGLEHSSPDLYAALQRTDQNGTSNGAAPPRV XP_822918.1 MRALFGGFVFVVGNDVPQEVLSLVVACGGRVCRELTAATTHGLV VGPECGDSIDVEQLRGLRIPILRTHWIRACVAALRILPMKGAAAAFDPFLFDSLLFTT TMMRCDLKDRVAALIIFLGGSYSPHLTISTDVVLVGDDAVESISGSDCVVDVSLVDKS HRGPAKPLGAANAGVKRGVRSSKLKLAASRSIPCVNLVWLQKCISTGQVHLPVTGGL XP_822919.1 MSTAPPNLFSTVAAYMDAILPADNAMKVLLVDEATLHIISMVRS QTFLLSRGVFLVARVDNHSQRKCMGNMRCVVFIRPQVLSVNAVCDELRNPKYESYAIY FSAAAPSELLDSLACADVRGVVRSVCEVFCDFVTLNADAFVTAAPVPNVLLPGFMNSG SLKRVAEGIASTFVAHRRRPYVRYDQRSAFARSLATVLNDVLGENIELYDYKSKDTVL LILDRNSDALTPLITPWTYQSMLNEHVGLQYNRLHFSAATSQNNQEQKGSEEGEGEYV FSPNDDSFFAANMFANWGDLCQNVKEFVDKCKSTINIDRNTATMDEIKDYVQRISHTK SMAGSVEKHATVVTHLSSEIKKRGLLETSLLEQHMIVANDPTGHWNRLQDFVCQRNRG SGDGVATVTDIVRLCLIYHLKYEKPHQPSRVTEVLRGLGANYGDLLRKLRQYNGDRPT EELFGATGVIATIVKSFVDSENIYTQHEAVLKRTLLQLFSGRLPVEQYPYLGPSSPGN AGASCFHQPQQPFTFKPKEVIVFMCGGYTYSEAAVINAINTGSAYTGSAASSLPQGPV HACIGGTGVLNSETFLSLLAAHA XP_822920.1 MPSTSSTAILVAPRGPTVREKGDQIPSLVELLLELSSSVSGDFA SGFQRGCPAAALGTGNVTCVSAAHPSSTSSDGSWSNSVRVPRKRRRHVLDAFFDTAAC CPTSSPAPLRRRIRELFRNAKQNVLHTAKTHASMLELLCSLIAPCVVRNCSAPNGTDD RELSEAELMLYIVLRTSIAAAPTWQKPPVVPRCWLSSSITAIVERSVEIQQALCWSCD PDPVVTAVLGINAVEHRRLALSSFNFSQWLNDLPCHVDGVRGAAASSPSEGSRLTGFS RYSKTHCSWVAVESEDVRRLFERKRTHVMASEEGPAACQYGWLMEGSSACGTSPLDVA SRSIADDLTSSCFSLFREVYPSHSPFCSLLYVALHRSVIGRQDPYLLLSLRQENSDYK DSPTNSLSPPFRSPLLPRVFATPGAPSSSFPCITVSAEFLCSVRCSKGCKDLGGKASQ SSITDGTEAFEAASTVCSRGVMAMLQWSCRCGTLFLRLLHLCDVAERPEFRVALGLYG RSAITALRRLLFHLQRLVVDISERPGGPRGVSFSELVLASQRLRPVVEDVITLSDIFH VHAEAAWDPDTAIREISSATLLSALHGHYQRRLTNKLGCGTSPGVSRAQPDVVGNVFI SVLQPLHKTLWMWICRGELHDPFDEFFVVASAGAPGLSYSVDVSPQRLPSFISVEAAH KILHAGISLRALRIATLHIQECVEKNQRGQRGLVEDVDAAAMLRSKVEDAARIKGFLQ DFMKALTGYQRSKELLSTPMVDLLLKEGELPYWHTFYRACSGILLPSCGDVASDEAKN DEPENGTAGWEGSSLVVLEESQQPGEVGPSGSFGSSDQRSVSVVGVEVVSMSASVSHS TGAASPPQGTMSVDTTFATGAVGKGETGASTTQATLCREYELGLLHRQTRQRLQQWKA QRQSLNLSRASAISRTVDELADFYENARRIGPLRCGAPGRWTEEESIGMDEGAPDHNI LYRIVEPLRQVPLINVEEDGAVDNLTIASPLCNVSAGESGTVSVSCSLTSLGCASKSR LPPRRSSRGEVIVGASSTGFGHLSGCGSVRGGGEAAVVAASDPFVHSPEMYVVADIND DEYLMKRAGPHVTDTSAADELIALLDAKEATIRNYDISSEEYQRGCEAAALEILRSVE RCDGGSVAGAGRIISNVWWTDPTDCEEDAAYLQNSYEEGKDGPTVADIPSLSASPNTA KTLTERQAKNLLHCSHYYLSLGHFTANYLTHKAVQILLLEPYGILYRMTRQFLDVCLL QSSRVADRITGLWQQVMRGSLEKGHFNALGALATLNNAFVEEWEHCVPYGSDTIQLRV GLAPVGVERNRKRAVDEVESEVEGCKDEGTSGELVLSGTTFRRRARNPSTSRSPKADR NEEGTGKGVTSVLVPDNPFDFISRLCLFQERADVSLWPIPENAVSAYGDLFSTLLFWS SVSQLITRVWCIGIKSDTPEVFFFCNASRSVLNAVSQHVWYLVSGRAREYWLSLRCGS STIYAYRRIEDFTTGHAAFLEYCSFAAMLTPIFASARRHVYEMVQQLEEVERLLLLVV ERRTVAQTAQEEHLQPDSNSPSVEVIGKGQSNKNKQKVKSKSKKTTKKAGPVADRHSE PQPGSPKKPRERLKEGSKAPHEVNTKTYPVDYRRKVRDTIRRRLRSFAEMTGALIEGL CFARDSIADTGQKDVKVTEDEEEEEEGLRGNAQAVARATALTSLIRLLESMYASINNR I XP_822921.1 MSNLQKINDIEAEIARTQKNKATMAHLCQLKARLAQLKRELIAS ESKKGGAKGEGFDVQKTGDARIGFIGFPSVGKSTLLTKLTSTHSEVAAYEFTTLTCVP GVVSYRGAKLQMLDLPGIIEGAKDGKGRGRQVIAVARTCSLILIVLDIAKPLQHKLII ERELDGFGIRLNKKPPNIDIRKKDRGGISISSTCPLTHLDNETIKTILGEYRMSNADV MLRGDYTADELIDAIEGNRIYIPCIYVLNKIDQISIEELDIVSRIPHNCPISAHHSWN IDGLLECIWDHLNFIRLYTKPRGQVPDYDEPVILKKAPQPTVERFCLRLHRQMMKSFK HAWVWGASVKHQPQRVGKDHLLEDEDVVQVVKKV XP_822922.1 MLPPPHPREDEDLTRQRHSPAHIIVFVGPSGCGKSTLADEIMKR WPNRFAFSVSHTTRKPRKNEVNGKHYHFVSKEKFLQLLADGLFAEHSKSFTKAKRNTS DDCGPRGSGAEEDDEDTVYYGTSKMALHDVLSNGRIVLMDTDISGAIKIKRYCDEINT QLTGKTEQSVVQSNAGVIRRLQLHLIFVKCPNLEVGEQRLRNRGTETLTSLQRRFLSN RQCIQWYNAHTGFFNLTLVNDTLERCLEDLFSYVSGKILCSPSSKL XP_822923.1 MGSTSSACRRLETACRTGENVADAVEAFRTDLQEKIEQNDEQAS GDMIKEAMKEAVLPHRCDSAALAVGAELLKFLAHFDHKRDRKALDAIHEMNAAFMTIP ESEMTSGWRNAQVNFLTSAFQAWIQGGGPIVIREECRDTDIEQEGIVYINEELCSVFL RFSRWDKTLTTGNRSHALAASAYKISHQCGTKLELVAAAVEEVQSLLKEEEKPFLIAR TVYGVLAATSENPKISSQYALKLAGQLLRADALTAGPSAISSFLHDILKILEIKALAL QADREAELCKVVEVLCRVYKRSLMLLGDLNWVELVKQF XP_822924.1 MEKKGSGRGAGARESKSSAVAATCKHQHPLPPSKPGGVEGTGAV ETGTIKEEVASRDKIRERRNWLIYELYVRQEYSQCCAVIDAQLAECNGTCEYALFVKG LLTRRSGDLTISFQHFQKALTLNPGSPACLQQLAQTSLLLGKFYEAIESFQRAEEARA ARGFRKDWSLQYGVGLCYEYMREYRKAEEAFVSSMQIQRFDCTVLRLSKVLVLQKQHS RAISLLEEAVLGSPDNPDMLTVLGLLYLRVDRPAKAFNYLGRCLILNSSDSRATMAAA SIMQENGEFGVALNKYRVAVPKLPSSACLWSNIGMCFFGQKNMHAAVACLRRAASLSP FEWRIAYNLGLVFLHLKQYASAFHYLSASTHLHGKYALAFMHIGVCLALMSDSDNACA AYNRAISIQDDPLIRLNYCITLVHCGKDAEARDQLDKFIQMRERGKENSQQHPQLDVG PVVPRALTLLTAKLRK XP_822925.1 MEPLEKLQSEIDRLKREIAVLSCAEDEEALRDIYDEFRMDIKEH YSNSIGVYVLKCFTVPIIVGICVFLFWLSYENIRSWWMRKSVTKGLNNISIVADEISE RKLTQAAWRSTRRRVCFFVVIKCFIAVTCIFFFVGMRFLMEASVRVWLRNVLVPSMEE NVTAYDSPVGTSNDFNPMSGIRYEVHNFLASLLGLDWVRDLQSVYLFYLFGPGLLAFG LGVMRSAAIDMMALGEKFSLPLDTLKMYRTKKKEVYDLQMQKRVEEVMTKLYALADPS IPLLEEDKRVDDEEEMPGE XP_822926.1 MNRTGGSVYAHALSQFAVCRQPWNEYIGLLTKQDSTPYHTEPQE KPAYRGRKQGREGWLFGQQVQLHYHRFPDEQLITNLSRWRTGETVGDIAMQQFRNAQP FDIEDKDPQGVQRPSPEVYMKLNYKNPATISRFLTRTGHMYPADILPLNPEAVVKLRV AKAQAIRIGLYPRFGNPFWFRSQKFRPKAYQENYDPTTYSTKRTVEHFAYNWVQTDRI RRYFRELEAVHTSGSASARGSGGGTTAEHKQQDQFYSPENQPISLHRNNISYMEDVGR SVKNPTVPGLMSTKGMKKKFHNLYSSTSTKRMGFSNPTLGIKKV XP_822927.1 MGVGEMSEVTATKLDMWASMQKRLAAEVCVPNTNGMVELYEEGT ECVKAPRDSFLLPHHLVEEFASSAIGPQLERDERRRGRHSPPILRHVGGVDISFIQGG DTAVACLAVMEYPSMKVCRTFVQRCEVKEPYITSYLAFREAGPLVQLIESVRDELFEE ACFPQLLLVDGCGVHHPLRCGLASHLGVVLDIPTVGCAKKFLSIDGITRDSMDARFAE EHTVASRRFEGTYLSSSSGVCEACFVPIIGESGRLWGYAATPNRRVKNPIFISPGNRV GYAEAAALAVSMCKYRVPEPIRAADLHSREFIRRIQLNEC XP_822928.1 MIFLLFISFIGWVLWSLYSLLSYRRVSVAGKTVVITGGSVGIGK HLALHFLRLGATVHVWDDNKEKLSQLSKEALLIPSPRPTKSANCDTEGLTETSANELE GNDCLKTVVVDLSNRFHLHRLVKQVGTVHIVVNAALNVSSKAFLDHADNAIERILHVN ALCPLILARAFLPAMLERRDGYFVTITDANGLLGNASQPDFAASQWAAVGAHESIQML IRENGCCGKVRTTLLCPYNVVSSQPTLLRTPSPFSSSRVMNTADAANTAEGQSVNTIR RSRILGYFLSIFRRPVTPEEAAEACVWAITHGVERLYIPYSLLFLTLFRFLPVPWFMW VISPSTQSTNVETSKGNCPSESDSSRSSSEK XP_822929.1 MVIRVGINGFGRIGRVVFRAAQRRNDIEIVGINDLLDADYMAYM LKYDSTHGRFEGAVEVQGGALVVNGKKIRVTSERDPANLKWNEINVDVVVESTGLFLS DDTARKHIQAGAKKVVITGPSKDDTPMFVMGVNHTTYKGEAIVSNASCTTNCLAPLAK VLNDKFGIVEGLMTTVHATTATQKTVDGPSQKDWRGGRGAAQNIIPSSTGAAKAVGKI IPSLNGKLTGMAFRVPTPNVSVVDLTVRLERPATYKQICDAIKAASEGELKGILGYVD EEIVSSDINGIPLTSVFDARAGISLNDNFVKLVSWYDNETGYSNKVLDLIAHITK XP_822930.1 MSAGSRGPLSLLIARERDANGVNGDVNATAGRLRDRYDGKGASA SERRQDATSLTNEYYDIVTDFYEYGWGQNFHFAPRYMNETFYESLARYEYFLAYHAQF KPTDTVLDVGCGIGGPARNMVRFTSCNVMGVNNNEYQINRARQHDSRYGMSGKINYTK TDFCNMCFGDNEFDGAYAIEATCHSESKVKCYSEVFRAIKPGAYFMLYEWCLTDLYDP ANEEHQRVRHGIELGDGLPELDTMRQVVAAVKAAGFVVEESFDMAERFESGEPKSVPW YEPLQGSYTSLSGLRATPAGRWLTSVTCRLLEAVRLAPAGTCKATEILEEGAVNLVKG GELGIFTPSFFVKARKPRLGEELSC XP_822931.1 MVTPEQAALIEGAFRSMDRDGTGLVRLEDIFRVFDDSRHPRVRD GELAPAATRDMLMHQFGATAQAHGGVSFDVFMRFHERMAEDAAVAKVNDKELFLTDTI IGVWRLGTLLQPTLIRPLFPVNVRPSGLYATQYMSLVWVDEVAGPGSFVVHVVRDVVR PIFSRGDLPPQLRGMFAYPTELAGMKIIEERLQIATQRWLDFVWEYEEGKHAAVPGII SARVDPDTLPQYLRDMIVEHDVAKAIPSLFFVPTSVAVNPMYKRSSEEYGYGVPEEVK RMSRWKDLTYSGQACGLIYHGRVGKFTRERLNAPVQDVASAMNI XP_822932.1 MPTLPLSKYIDELKALEGLLAVDGKPRMLKFVGDQLYWLQGMDQ ELYSVNIADHNATPSRVIDRCRKGCTAAEGKEGSTVETKEEELLRERLRSRVTGISSY RVRCRDGAVFFTSGANIFLYRPASGKPPLKVLDYIGDEELKQRFSSEKKPFLCIEYFS HLANDAGACDSTKERADVISFVYSNNLYIATVVEGSGEASHSREPPLRVNVECVTTFG DELHSCGDADYIIQEEFGRYTGHYATAEYVLFSYTDVSMLREVMLIDGSTDSGVEKMP YCRVGDPNARTVLVVYERRTKRYRVVPSSAIAAIAPWAEYMPRFGFKDEHTIYFSVLS RTQEKMSLLSCRINSLPVVDAEALASCFSEAARDTDVAAVAAGTTLATRAATVNLTTE WHQHIPWAWVDVQPGVALHLCGKYEVLVRHANESESAHSHVYARAQGGDEGSWRPLTC GAWNVRAGGLIVKDDRVFFLANARNRLESVLYSVVINLDAGPVSAEELTQLTPSGEHV YSFAVLNNTLCYVSSTATTPAELHISSINNPGSRWVVPTRHLWDDTSEKAVTPRTTLE GLTVPHIINIVNRRGVPLSGRLFVSPHAALGKLNPLAMYIYGGPHAQLVYDSDFDGAC KPIFQMLASFGISVLVADGQMSNANGLRDHSICKHSMGSFETSDYVDWVRHMTNCKEL PCGFCADPERVAIFGWSYGGYATLLAMSQAPDVFKIGFAGAPVGDWTLYDTGYTERYM GLLHTNADGTSGSKGDATAKCAISDAYTRSAIKAFASNFPEELNRVFIAHGLLDENVH FCHTSVVVNAMIDAGKPCCSVVYPGERHSLRKKKASRLHHDAMLAKTLMEVL XP_822933.1 MSSLDSVREDILDVFHIFDEDGSGSITMQELKRAIYTITGIRIS RIDLSILVRTCKEEMLKESARKSEAGANVAGKAGEKLWTPEPESEVNTVDPQLFAAVV LKTLNRRTQEQELLFTFRLLEDKDYPGFITKDSLKRASADIDEHLTDQEVNEMFDKLV TGVSAAAIDFVTFSSLMETLRKSI XP_822934.1 MTGNSHCVLCKRSFGIFLWKHKCAKCNRNVCDDCAPKVSGVRKC EECSAVKPADSSAKTPANQPRVQGSEENPVLEAAMRRRQQHMLGVGPNTVNSEEKIRL LTEITTILKQRGEDEPFGLRSMDETKLRVYLRHIREKYGITAVKQS XP_822935.1 MPSFTFTIHRRRFLFSLEAEWHPALAKTSNRKESRVLIANCVSA GVCASQNIEKLWKARCRFDMKTVYIVAMCAGGGGLLCILLVITICVLRKRTKTSNTDD DDSAAQSGCALHYIDMSGNERVLDVNALGARDGITLLATIANHCGVQDSSAIALTYVN DARRCVEVDPDLLLRSDGELSDRLMQSKFFPLRLGWRVKPPQPEQKRHQKQRVDEDAK ACSAEESRIATRIHECPQEMANGSYKDVVGSTPLPLILPTNSHITSSNPITITAAQYT VEGSNYVLDVNTVVAMQEALRQHTPRSILLEDGSVILVDPARRTVCLRTPQGNQCAVT EHPAGELKYTIDNKGAGQWYPYRCPIYLPPGRWCVRAEASSADHRRVRTTSRVFTVDV VEK XP_822936.1 MSLCKQISYGKHLPFRTEKLLDFLSLFFFSCLGGYHIPIRLFII ISRPFFSLQTPLFPPRPCIALPVGGKGVRRWRHIAVQVKKKEENESVAMNISDIVGEV ITDAVDDRHYSHLEALLEHNIDELIRRYHVLVGPLKTLMEMECGEAAAVNGPSPKSHT GGSEFPQCFAMGMTYFSLAIMRGHAAGGIEDSEISSLILTLVEQHLLSQTSGAYKQLR GHAHALQRFGQLLKGYVDLLDHSDVGNHLRKLSYLQSAVTLFSPSTNHLTVAHPLLVR EAARSNCPAPALTVMRQPILELSCGETGAGLACCCSYYYEGGLLLAAMQCWESSVSWL RNSLSLVKVVSRVGSPELKVVSATPSLSPDDTGNIIVSATKAFVLLCISVYGDWGDAA DHMRVQQVIQQYRNSNVGSYVRLLVAAQRRDFGQWHTIKRNFSPVWEQDGTVSLLATA ESRLQRHVVLDIAKVYSRVRLSTIASALRVCCLSETEGGGNTSSGSGDSGESTQGKIN AVELLLSMREDGELIASVEHVPRREESEEEAELSEAEETAGDISAQQQHLAVRLAIPP RRIPAELGESCKVFHDLYRQGGENQHVIDAKIQGVLHGEIERCKRAYAALMKVAMGSR GVVPPECEEAQVIV XP_822937.1 MFLLPREVMRKYAIFSLAIILLLFTVVSSEPGERDAESGVKRGD EKQNLVLTKLIVLNRHGHRAPNAPYWSMCPNDRKNRRKYNVGAEDLTARGMKEEYELG EYLRNAYRDFIGPRFNRSRHFLRAVGEPRILQSAQAVAQGLFPDGYGPGGYLPRQPQF VPVFSDMDTHEYLLDDVPCFRRAERDMRRWLNTSLSDFIADPKVSEVLNYMKRMCGVS EKDMPPVYAFLKTVADGMTFNADFGLSVCHGKVTPEMLFKIRKVSLRLLMARLYHTDE QQTYTSVDLPYRILYMLNRSTSPGAKGVNDYSDTEQEAILYFMHREALYAVGEFFGFT FNVPGLPHGELPVASTIVWERLVPEKSVGEEIVPSRTYVRLILWTPKDGTATVAIPHC RTPQLCTLKELQDIYSARVKRTGSWLKLCNFTITELDHDTDIR XP_822938.1 MDPSKRICLKNIPPECTKREIAEFIRNRTGGQPHSIDLGLDSNG RVRRYAHFSVEGAKNVVSALTGATWKGVTVSALHANPHYTYRLAESRRRREHLESEEK QQREELLRRKTERWLAKTGGELPKGRPPKPFFASRQRYAEVASEIAKKSREEHRAKRL QGRMQQTVGGPSRRWARESLIDKATSGPVQPGVSFSNYLRSSSHCEHEGGSTDPNSRV LKRQKTERAGNPAMKPVQQQETPQTVGPTREERKLSGLKAKLEALRAKMK XP_822939.1 MRCFYLADVDRMVGCRRLWTGALSTFRVIVRHATDVVGRLSNSA AERCILWNYGLDGKTPPECRFHQQETPEEPHHRCEVVALVFLGQCLTSFMNGSYQPTL ITIYGSVVSSLTPFKRHLEQFAENVQFYRLFLQGSGTLVCGACDAPLLVWLVMPWEKD GPAIHKFVIRLAQSLRCNALVVAVPLNGSCGQKETVKRLSVLLELGRQRARAQRVVLG GSNLAGMFVLLYLQERYSSLRLGPYAAAAPETAHRAVCCSVILVDPFVGWEVAHLFHT NAPQRSAFQGVSDANRDSIPPNPPQAYSARSPPSTPISVKDRFKHAVMMASGSRVRKW FPPAIAYEAPPMVLLGKESGMWIEELRDFNAKVDRFCVEENRHRVLFFPYSIRTTAAG MLVSVNCRVELERMLRMVEGFIIRHLSRDGWCAGVRHSNTPFNWGEPMERQRSPAGEV TIWAALPPSQRGVVETSGRR XP_822940.1 MATSIQQNPLRVRTLEVLWHWREIDDEALQFGLQGGSIEGIVSI DYNRVEDRIVTSGGDRYIRLWNLNIAAIDRWLKNSESGMEDCVQFICGGVTPWMPLTA RWAPNGRLIASGHCDGKICLWWKESSRDGEPEQWKDYRHLSGHVIDVHDVCFSPDSRY LLSAGGDGTVVLHDLEGSTMPVVQLQEAHSKFCRGVAWDPWMHYVASCGSGPALYIMQ GPKHGAKRASLVSQRKAQGDFIGESCSASYRRLAWSPDGAILAVPYGKVSQHKHSRSA CSGGGSEDATAGAAAGEDRWKNSMVHCVYMYIRNAPDKVAARLTVRGDAEVRGVQWAP CFLEPIDEITCNEEQNDTTAAEVSNQARKSGPTAEEKGSWGPADYRMALAVWTADAVM VYTTDSEVRHSDFTDLHMRSIYDVAWSPDASYLLTAGLDGYITVISTGGSLGVAHRLP LFSKKPTTRRLCTVLQNVKTESETLGPGRGATKTTNAATKKEPVGGEEAENASSNTVV HAPVKKKKKLEPQAQEMYPAKEEPDTAVSLGELSKLMDNLND XP_822941.1 MMSIPNLTDAPGGILIAHSHNQSTSAVPSGGSVDPNNDVRGNIT RLTARARQLLVSDIDTRVVRNGLSTYEMSRKTATDARLWAQVAGQATSSQASVELFMA SLGFNLQEQLRLLHQLHTNQLPIVGRNQLDSLDAPWDTQASDELGTALEVFVANRRHE IMQRSVDEMHQRVGEMMQERSEAIIRSSWEKYSVEIADVFETASLKAGSGGGRGGNSR NSISNGQLMHASTLGGAVNGVAAAGTALQSHAGVVALLNGRDTASTKALAKVSAFAHI VHTQPPQKWSSHFTNHVVDDTPNSVEEVAVLWTTVGHILQPIIERGSAATSITYVSSS RRVMERKALAAVFSIMLKVESDRLSDMENMHATRFIGVVERYTSSSNPWAHIFTSMRC GRYDAAAAIAAAAGFGLVEKTLSSYASGNVMEQYTPSCPLELRALYSEDCTRSDPYRH TVLFLLLAGKTGESNEVVQSTVASLSSKVARSLEDTLWIRLFCLHTVDANNSEKIQSL SDMQRLLLDDMQDLVALTRGNVVRLASLMFHALLPSSGVRLLTENDSTYVDGVHLAMC FHNSKMLQCSDAEVPLDLSRAIQQYCSIALLDADRRRMNASQVGPAIFWYFFRTGLID TFVDYCSNELVCAKLFGQRAGGGSNDGALFQHGGVPPNELLDAMVRIAEDAIARGKTE LAVHVLTVLDHAATLVSDDARSGYALSRAVQKICPALAQAFHNEPTSESANLFVHAAV LRERLAQTKCVIPSAQTDTFHLLCRMGEVHANAVRGNAEMAVRCFCNLPFVPASPADV ERCAELFDTAPDTVATAAPPIIIHALRQLLRLVKEQRALHDGASDELLLQRNQAQQII AWVRRWKRHMNRSLLDELIVLERLFVQ XP_822942.1 MQQRLVLIATDFVTLYQEALSRQLLTPAALTPDAFKDLFDRINV EYMHYAGAGATQPYFEDVVENLLQLAAAYITLPPDAAPNSRAFGVYLTFFLYATQPAI ETSPVKVQISLGTLQRYVEDIDSTARDNQGVITSLGCRVSDGEKRLLLALHKSGALKV MPFIDDSLYVRTLIEVHEQAGLPLLTCVAPQRSNPSPHIALEGGTCVDDDLSNQLHAY REMRRRINTESLLKRK XP_822943.1 MPAELPPSAPQFFKDLAELCPSETLRRQLLELQRTRNWNDMTAV QRAAIPLALEHRDILCIAPTATGKTFGYLFPSMLRLAMYAGTDGSSNLTGSVSEDLNR PNIEKLMQDKMEKGEVCRYCELSVTEVRVCPLTGQPHPDPVPEDAAKQQRSPMWVSEL SSVAEPLLLVLVPTSQLVMQVYHLCKKLDADFRVKFLVRASSAEEQKRHLNALEGCDV LITTPETMLPALYKRKLSLKRVKVLVLDEVDDLLSTNHFEKVKIILGALPKGPQRPQR LLFGASLPPSVYQMIKEQMLLPSHRFVLVDVKTDKLGHPIAPAPGSICGAAVCASITH VVFMVSQAEKISRLAWLYSSGKLRKDQRTLIFCNSRHNVAYVCERLQNLVPGLRVTTL TSHSSATGKTGTMKLFSRGASTCLICTDILSRGIDFADVIYVVHYDVPTEFETWVHRS GRCGRRGLRGYCYTFFQPENVRLAKPLVAHLRQTQQLIPPKLQEYARQSLIDVFKTSL FYHPTRRYRPRDPQQQAPVLGRATPRFPDYKQDRLNKNFRPL XP_822944.1 MFRNHASRITAAAAPWVLRTACRQKSDAKTPVWGHTQLNRLSFL ETVPVVPLRVSDESSEDRPTWSLPDIENVAITHKKPNGLVDTLAYRSVRTCRWLFDTF SLYRFGSITESKVISRCLFLETVAGVPGMVGGMLRHLSSLRYMTRDKGWINTLLVEAE NERMHLMTFIELRQPGLPLRVSIIITQAIMYLFLLVAYVISPRFVHRFVGYLEEEAVI TYTGVMRAIDEGRLRPTKNDVPEVARVYWNLSKNATFRDLINVIRADEAEHRVVNHTF ADMHEKRLQNSVNPFVVLKKNPEEMYSNQPSGKTRTDFGSEGAKTASNVNKHV XP_822945.1 MSSVKSKGSSCSTADVVRIDGIYYDTAKLAAIHCGGPTMVNMAN GTDCTRVFLSYHRRRFPHEKYKSLQVSPSDVLDGAVTDEPDTTNFDKYLDLCSLIRPV IAPTGGFAPWHYFIKTLFWCSLVFFLDIYAIFAFRSLYLTIIQSLAMAMVGLNVQHDA NHGAISRYPLINTIFGLTQDILGGSRISWIIHHDFIHHVYTNEPHHDLDLDIPLLRLH RFVPKRLCYAFQHIYFLLLEAVFGPVHVISSMKFVWQGPTTKQRFLQREWTMSRILTL IPPLRLALNILHAPTLLHALISTFLQYAIGGMYLAFFFLISHNFHGVRKDGTEVGECF VKAQVETSSSVGGWWLAQLNGGLNYQIEHHLFPRVHHSYYHYLAPIVRDYCTKLGISY TRFDTVLDNVVSTSKHLAQFGKGIEDKRL XP_822946.1 MPAVRTKSGHGVEYTDEAITATYSYNTTRVEKEANGDVTVQPIQ LHLKFRTQRKVQRTGVMLIGWGGNNGTTVTAALMAHKHGVSWRTKTGTKQPDYLGSIT QSSTMSVGLTSEMEEVFVPMKALVPMINPAELVIGGWDCSGMNIADAMRRAQVLDVTL QDALYNYLKDMHPLPAAFDLDFVAENQLSRADNIMQTKNKWESVEQLRADIRNFREKN SLEEVIVLWTANTERFSEHITGVHDTADHLIDAIRRNENEIAPSVLYATAAIMEGCSY INGAPQNTLCTGLIELARRHGVFVVGDDFKSGQTKVKSGLVEFFMDAGIKPECIASYN HLGNNDGYNLAAPKQFRSKEVTKGGVLDDMVSSNSILYPPGSRGPDHCIVIKYLPYVG DSKRALDEYNFSIFMGGEQTVVLHNTCQDSLLAAPLIIDLVVLTELMHRVTVTQCDGE GCCDKKEKMTSYTHMETVLSLLSYLLKAPRVPEGTPVVNGLNRQGQAIKNVLRALVGL PPDNNMQLECRLPFLRGVGS XP_822947.1 MPLRTDYARYPRDVREKQNVTRPAGERRLADLHLTAYPSVLNSN ALAAADSSETPPKPVAQNKPYMEKPPVELMTTNQLTALLSKMAKEEALKDTTKGTPLG GVECTELDRKTTYRLDYCNKDVPGFHTRQRVIPDYMKNWTETEKDDIREARKYPYLSI PQSVLNCRPTTYRRDYIPTAQPNEYRTLSHKTNLQDMPPSFAYSTYALDDPRRIIKYD EVVAACSKPKCMLWKSYSPRDSFRAKTGVAKDVAEELRLLQELRGPSNRSSESSSVAG KQRESSVECKKPILLPGLRGMGYRMAPGDGKEYIWLPRDHFCHRIVYDY XP_822948.1 MASDLQKSRNTVSAVRKMLVGDLKDNPDERLKVYNMLADTPFKG TGKSPSDHWVSMMLKAVQDPWSRIRKLGRQCLLRIASTQTGVQKKRYIKQLLNLVRDR WPRVRNWYEKEGLLLLLLDVLSFAEPTSLEEGLLANMLLLLVLPSVSDPQLPVSDAAV EVALLVTQRSENLASFTVDYNVVALRKTCIFDKTGVSDPLTTAGHLGCLAKLLALHAG LYTTRLMADLHPILQQLSAYPAASLRQQVARVWALRSVENFDMALTTMVEKAHAPSDS DEWWCVVETLLMALQEQLTYYLCFPEKARALKQMARGTIVKSLYAVLVLIEAKQFEVA RMAKQVLPLLTQFVVRFTESLAFLGELLGKEAARFQERTNFAFPFLSDLVWFLVIRRY VRPAGEATAVQKAVMQYLIPSLVGEGDVSGNIDTEGVAATRGHVDDPLSVILVCTYFP ECLKEPAGKRLLKFAIDEAAWRKAFSCFGNVVQYASDFTLLIRQEEVSAGQLLPLWFN WLAGAYTHQQCLILDAIKVAIAPKEQLVGRKRLSFAYHSAFYAPTMKDEGEEVPLGFH WLKQRFPSEELLPETQPVPMNNMEGVEDVLGDLHNVVYRKLYAYKGTEPSVLNAVRSL MMAECGAMPQHKTLAAVVSAVVTRLDSVAPEWRGVLVTQGAKSVGETTAATSRTGDAP SGGCNNWDDSDSEENVAGVSPDEEIRNARTTLSALVYTHFDGREEAFIQAVCATGSED VRKLLR XP_822949.1 MPYACLHGGSLCLLISLLSCLGAQAVQTLHLVQLVHRHGARSPK VKHNQSQICGEVPCGYLNAAGKMMLVNAGEFLRNHYNSNASEPFFPEESYNSCVTYSR STDVPRTLQSAGCLLRGMFPNASEFFPAIHTADVSTDWLLRYDVIPQAYAFSHLDEHW WRNVCNPKLDTLIDTNTLLSVSREVFSEGFCADPQNRCHCAATLFDIGVAMQSDGRID KHPLLRENLGRLRDIKFFEDSHRFVYNASDRTHAKMGSLGQHLAQEILKNAENHMNGL TSYKLYHYSAHDTTIAPLAATLGDSTTTGITPPYGQLYAFELLYDHEVKGYIIRIRRG APGQKPEEGYAFSWGEFQMKCMNENHTVYTAEDNKCPYHDFRRFVKSTKPHDPAGLCY LNRRYRELFHCPGNVGKPPNKQCKVFRRVCPAWSCEEGYTLNSVTLECVCSSRKCMEN RDLSISTDTLGRNLFTIFIFVLLALLIPIFSVFVCIGKKLRARTRRTRAKG XP_822950.1 MFGRMFDMCCSGPSPHGAGRSFFFVGGRQHCSGEVPMRCISFVR LHGSYDRNNRKGNSNSPPSRWPQDGRVVFLLTFASRYYRRGSRRSCM XP_822951.1 MVELVRLKIVKVRGNEGGNSNWTSCTGIAKFLKRVGSKVNRVKR RELKRLRYLGYSAVGAAGIAAGRLDEMINVWRRAYSSGKGDFCVGNSEHHATRNQLLN CYLSDSEKDEFISLGGMHRMERVEEMNMTRESMNELLRLTGGGGNPLERYVHSANCHL TNTRPGAATSPKTAPPEEYSGEIELFPLNEVEEDSLGGQEKPVQRF XP_822952.1 MFPIPPATFVHQFPLFPATCCSDSTGFFKGLALPVSPLTIASTP TALTTRRDFVVLRQPWRQLVVALSLSFRTPGMLLSCLFDQPTWGGVAFLRAWRVRCCC FQDCTVSLRV XP_822953.1 MSAKYYIPLFMTVLFTSTACVPGMANEAGPIFEESNAEVATPPA DAVHDDFFFDYKNATGYADDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCN ITGDCNETDDCDITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNITGDCNETDD CDITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNITGDCNETDDCDITGDCNET DDCNITGDCNETDDCDITGDCNETDDCDITGDCNETDDCNITGDCNETDDCDITGDCN ETDDCDITGDCNETDDCNITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNITGD CNETDDCDITGDCNETDDCNITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNIT GDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCDITGDCNETDDCD ITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNITGDCNETDDCNITGDCNETDD CDITGDCNETDDCNITGDCNETDDCDITGDCNETDDCDITGDCNETDDCNITGDCNET DDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCN ETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGD CNETDDCNITGDCNETDDCNITGDCNETDDCDITGDCNETDDCDITGDCNETDDCNIT GDCNETDDCDITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCD ITGDCNETDDCDITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNITGDCNETDD CDITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNETDDCNITGDCNET DDCNITGDCNETDDCDITGDCNETDDCNITGDCNETDDCNITGDCNETDDCDITGDCN ETDDCNITGDCNETDDCNITGDCNETDDCDITGDCNETDDCNITGDCNETDDCDITGD CNDTEVSDAADGTDGMFLKSSCSLKLVAFCDGCSTEDSPKFSNAKGKGSSVSAGLLLL VGSTFLLLAVGLSAVLFLGRERQNAVVICDNEVMMEEVPRCLSDASFAVPVTQSSDEA KP XP_822954.1 MRVVVQRVLEGAVTVGEEVVGSVGRGIVALVGIHHEDDMSDVDY IAHKLLSLRIWRSEDGQKTWDRNVKQVDGGILLVSQFTLMHVLKGNKPDFHLAMKPER ASELFNNLREALCRDYAAHKISTGRFQSYMNINMTNDGPVTLVLDSRNK XP_822955.1 MPEINLDCKAPSRHEVENWLDRIDDITAAVQEILNEDPMEAERK REEREARRREAEARERRDKVKMRYDPRYYSRFENDEFIDTLLKETDGPTCKGKGKNCN KDEALFSRAERVSLDEALRMKEEAAKAVRASDWETAFKLYSNAIGLNVVDASLQVTLH NNRALVQLKLKRYLDAVEDASYVLREEPTNVKALLRRATALRHLRRPLDALRDAEAAL QKDVSNQEAADLAQWLRRIKSEHERCAAFQHHYSGDAEQLSNATCDLIAAVKELPNVS EASSEENRENVAEKQGRKRKCMIRVLKAVCRCLKVVQCFHLGAAVLFALRDGMDSLTE LILGLLSGSAEERFGVVCAAGAKDMSPEGMVLFASLRLLSLVLVGSEACADELEPSSI HEMANNLTAVLVEAVEGMDKGAARGVNIETCLSLVSGLLQALEGLATRFPNEVHTPCG PVMEKISESVLRGGRPAPQPLYFLCGLLEALLKVEVVAKAMTPTLEKIGVRVVDAALT AGPTLLKEVGLSLAVRVSCTNHTCAKAMSTSSFTCALAQMLPPPKVCASAPLSARAEE GLFALVYNLFLQAESRRDYVKQWCDTMVETGNGKMHFALCAWYVLRDRAQSGGVSTER ATVCSKMTGVLSKFSPFDDSLRDAILKDEGTLWSMLDSALSILGGDAVEIYEAEAKRD GLAVDSEGSEAKPSALWEVVEHTTTLLAGFYSKKLLSSVEGLWELERVRALLRVVQLG GDRHAVAVGNAALICSFVPPAGCEHYVALRGVDVLLESLRKVRASLFSLEHEGKNGTP QWCHARAAQKNVAIALSRCCTVESQRERLRELKGFETLHAVLEQQQAS XP_822956.1 MSARCAAKHTKETIKFVRAYIKFYMHTRIYIYIRVCVCVYAWKF VYYFVVIRVFPPSFSCFFVCVSCYRSFGVTNRLVSQQKVSAAQGRVMSRATYSRSTSP YRSPRGGTPSRSTDASAFIRFLLSENIDQRIADTKRWLRRIREHMRNNAPRRCSRCFD PSMIFCAIRASSALLTEKPNRHRMSARPRRFRCSLNSFLDGTPFLTPRDLCRYNTSVA KRLSDDELELMRKYVFDYDEVAFADLLYGDDIIPDDSEEGRDESPRSRRRILAAVRAF NERSRIAMERLFAAPGGLVDAVMRITLLVPLVSNDMDDSDEG XP_822957.1 MLSDKEVMNAAEAITRAYLTSLFSPPPTGARARTSQKHSFHFLS LIVQGQQVPLLPHGTAVGDEMQTLRGRCSELLRYDAQALHVLCCVVNMVQEGLLCTER DVYYRNTELFPNGQRDTHCSIERLCRWMSCVCPLPPASSAGERRRYTREDLRIGASGK SILLGNLAFDIPTVSATSTSLVSGGAQELPTCVPSAKVPSVEVNAKHHISGVLVSTTL GLHAHNFRAAGAGRGNGVTAALVVVEKESTLHTLADVKSALGSESISDRCAYLCSKGY PCRASRLFLRSLHRELPELPILVLVDGDPHGLRIALTFMGLFGEDINRGRRCQPAERV PELSTSVVAALLPIRWVGVRPSCLSHDNKGRAPLTEHDRRVLKQVIQRAKAALEGLPG TGSSFPLGQYCVGGGSAEDIIRVTLDEMLREAEWMQMMETKCCLQAYVEGPLRLIIDF LHR XP_822958.1 MNTSFVLVKPSACRDTFIEKARDHFDSHGIRVDDMQLLTGQEVS RGAYVERHYSATAARVMSSSLEMGTFASEESAMLFFSAFGESWTVAMEERRVLTPEDA MVALNTTMEGLNARWWSSKLRVRLEYGFYVSYFKEEGLYVINGFYPLLFNSFTAPTSK ICFFVLSWPEARYSWKRFCLEVIGAADPSEADSTSLRRLMYENWEEYGLSEQPSIADN GLIYANGPIEALALRSVWMHRGINEDDFGRQLLQEGVGRGFVEGLMKNPPISYKGACR SVFEITEDMQSSEATCLVAAVYADERQKCLSERKNQADTPISPSRIADWTIVLNDEDP DEVRNRALLFVKPHANTPETRALVEERLTQVKGVQILSQHHISGSEIASRHVMEQHYG TIAKYAAVLTPDLIELSPKTMQEFQERFGMSWKELVRSGRVWNADSALRILGDISSTE LYEMWCSCTDVLKVAPGAYVARLSEENAFVINGFFPYIRDSYERKDAKVTCYVVSWLE EHLTWRSFREELVGCTNPAKAPPTSLRGLIQNRWRELGLQGIPTTTNNGVHASAGPLE AVLERYLWIGSPLTHDPLTLRLQERGLTGALLYGWRSNPAVVMADGVRSGHVFDLLEN LQTSEVVDLMCEAEQHVLAEYNERSVNRAVVVLRPFAVNEWTIETVRNCFAAADVAVV RELTLLSRSVEKRYLGSNEFLKLAHWADFKKDDMCGNVSSSIKKQFHAQFGYTWEGCV ISGQLMGATSACEVLGLTPTELLHLWESVQPVQLHSNCWVVHLTDHEIYVLNGFVPFV RETHGKPGSVTHVFDVEWKEDVWTWHDLSERLVGFSDDCIPYKATEGSLQRTFADEWK GFGLPFKPASRWSAAVSVSQGPLEGTVDREIWLDVQLPEDHFVQRLLLEGVPLSMLTT YIDGERNPQDEDDEEEEEGVKAIKNIDDTSSPPPKSWTSRKNWSATGCFLPQYTRSDL SYHVQSSEVVRRMKVITSRFLRGLKMNYAFLWVKPHACTKHVEAWIPKALLQHRIEVI SSGRVPMAEVFDKELADRKQCTLYRNAMTRAVHEIPISTKQMAEFDATFGITWNTAMN LHLVINAAQAVDRIGVLQLLKDWDEAPRKVCLAPSLYIAYLEREGLYVVNGFYPYLRS RMYAGSHVSWYVVSWDSEIMTWGDFHRYVIGTDVPEKAEESSLRHTLYKSWVELGLQR QPDGIDNGFCASATPVEGLADRVSWLGVSLEQDVFGRLLVMGGVDPGYLRKILENPLV RHREEPLESMGDAFDKLCTENPLLIAQLIGLQSSGGMHIVSPQAPRFVPVPPELSSSH EYKVKRDTGKECVDGCKGVLRAEGKPLCPEGDTSSTLKDEEGNLKRNYAFIVVNPACT SSEASDRVINYIADFLRHYNIRIDGSGSMVGGNQDLSSVAHAVQDGVFKYAVRQSPYQ YALDSEALSLFLSTFGTMWDPRKVFNAVDAAVEFDYSAAYLRDRWLMCEPLARIAPNC FVGKMPDHDVYVVNGFALHTVETFCGEANMKYFLTVSWNSSDMDYAHFMDYIIGDPYL KEARPGSLQQVLCEEWKAAGLRRPPDSFEGAVMASASPLEAMRLKQMWLSLGIKRDAV CRFGMDELSITPYVMRRCLTNPRTPCGDGRFLFDMARRMNSKEALQLLQSENFAHLSE APRNSAFVLMKTGSLCRGFALTVETVFARARVRIDEEGDVSAATLRERDFVQHLYAVE LEYADCDVSTIKLSRKERDRVQLSFGVSWCNLLEGGVLVNAYEALVALSNITPVQLFY KCTAASRRLMVRPGLEIFELPFDGVFVVNGLMPGLKCFLESSEAMHHWYVVSWEPREM DWPTFLEEVVGSESPADAVPTSIRGQLNRRWRDYGITEPPDELRNGLHVSQGAIQAIR ERTKCVKYSVQEDYLGSLLLRKGVLECVLQTWVENPEVLSGGIKMSIFEHLGQCDTSR VMFMMTALSEELKREEEEVDPTGAARLPANECAHDGGRDGTASTPTQERHSTLGEEAE GPMTVSSRAPQPAETGPSLLYRNTAMIILKPHAAGNVKVMGLLERVLNENGIRIKREV RKRTTPTLIEKQFSSPMLYATTCTNGYLDVTPGGEDDFYDAFGEEWKEAAKSQRVVGA REALIRFSLTPSQLYVKWSLPQQQHVHLAYDMEITKFHAEDVYVVNAAIPFECERMIE SEPGVNDVHCYIVSWDQRDCSWKKFLHDVIGDPDPERAVPTSFRGELFSDWEDYDLPV RPNRIDNVVLASEGPLQAYKERDLWCGTGDFAPDPLVRALCHVDHDPTDLSEWAENPL VRYTGSKRHELNDGYYTGHMFSFVHGLDTKQFIDVLTEREGTFVPLAPAHIGLDEKVS GNGPDVECERPKSREDDWVCDPQHYFGNPARNSDEAALKGWLWESLLHASAEDVPRLW VHYGGKLECKEELRKQGYVSSLLSRVAASSAGNRSSICDILRSTIDGEAFERDIKMLD FFGQPPSKGKMSKMFEGMRRRGNGRMTYEEFRVALAMIHQM XP_822959.1 MEEVNAYSPYKAYYGFDRTYIRRMSLLDYMKEDEDATSVEGLRC QTDDAKRRNGLLTSRDPNVVFRSQFPVRDNCGRELCVLYQIIESEVQHELLRVEGRGD ATRNSAVRLASGTGVSNERNHASVTRPVGHVEEQDHEGRDSVYRPTPYVNLPPMNDTE SNFEVSASTQRFSSLVAPSESYTLTPAAAAAGTVPMSQFSTYPDRRANPCVNPFPWSE GVVGQPRQQPRDQLLFQSEVISDGRHSAFPVLLSTGEDLGQSSENQTSQLASAAPPLR SSTASPLEWQGAVNDRLGGEGVTSSSSVLHAKTSPECPADGSASQRAGEGLSEFRTTK QLFPPKCGPTPQSTVHHPVTIPTKVYGAQSQTIPAASVLSFVNANVEAFCSGGEFYTS DASAPQVSPGKVLVLEGRFYRIVCHHASADVYEAQEMTEGPTQGVPSVIPSCSSAMGS PPQALIYRWSVRAVQEGVNEAHRAALGLSYVTGSTSAVHVAGYRYSDGGLTVITLAKG YRSVPLSLLPLSPCSFPTCMKLILKMLLDLVARRTVHGNLYGLNHIFFALPDGGGAQD MTSALLIPVHWEKLVDFSMFVDRNAGRTIPLTYGESCHRGECVFHGHDVATLMRALLE NENAIHLHQQQVEKIRSLVSGVAEGMQVAAYLVQLNTAMLAIPGDAVALINEYNAALR FPR XP_822960.1 MPATFSQCFPDPLSSQWPATNHPVLCECRQLYVLVSVCCCVPSA HLLVLHDGACNTTVTSMPKGPTYLELRLTEQTSSETFCSFVSRAETASSTGNNIVEGK QRPVNIICLTGLAAATPSVQSAIMDVLQFSVVRVGGEVRRVPSLRIVAYCDARVFATA VPEWLRAGFALSTYMSSFTMESAAVIQSTDNDESNVVNRRNMLEVMLSEDGCELVDTV TLSCEVSRYLRHLLIVLRGAMLHHSVPGCYIPGRVPLLFRLLKVVALLFARPLLSRAR EPPFSLRSPALLKYQRQQRQEQLPRDTTPITSFVHVMVSPAHVMGLLCPMVAHLFTIR REMATTRIGDIVHGYTNSGHFVPNIMISAGGGDGVSGNSNSNSVENDDNRAGGELSLT VFEMLDSVALRWLSQEAGQRETEQKTAGRGMNGIRGSTNVGLHASRESLDKVLSYPEC RELICVTVIKHSAPPSG XP_822961.1 MRFVAAPSQYFFYGCGVAIDLTAVFLPSLFLLSQRYLRCPALCA FYARHSRGWLRFFLEYFPLFFCVPLATLLFFKRNFPFLLGLFVCYSFVTECWATSFPS FSTCVARYVIGSVVLQHLRHIP XP_822962.1 MFFVFPFPTSPRQRVERVMRVLYQLGAAIFVISYVVLLTEADVR LQAGMHGSFSAPGANLSDSLEMVMSNCEYEGIMPIYGVGVVVGVNAKSLPFAIISMLQ HMRAVLVVQFLAALNGVAGGYCYSTNIRHMHLFGLHVPVHLILNVLAYVLTVTIIALV AVSGPVRSYYSASLEFCAAKLAEEDNEKLLESGFDGFHLFGTRLEWAMGAAIVNLIIY TIGLVTRVYKSHDPAIALFSMSDVPWEKTGIRLSVDKAALSIHTAARERIISEARDAI GRGERVRIVRSYALMTEADYNEQVEEMRRLFATRAQEEQFKNMHSLLEDEPHAGGSAM HWGSSGLQKAEPLRPDGTPVVQGVGDTVPPFGSGMMGRGADNLADVFNVDNLSDLSLN RAGLAPGAVGGFDASVGDNQAATVQQWQRPGSIGYPDVFGGERQNESTAFGDGGTAFT PDALQGNDDFSHAFTNISDTRRVVSRRRTATGAVAGTRANGSHEPHNLSGEELWDVSN FGDDNALSPRIDSPDAL XP_822963.1 MSDELCVLRKLQLIYSKNELYHRLEHVLGRPESAAEAEGLYDDV EGLVSLFAEANEKKRDSDDLKEVSAEERNKSLVDALDSAINGDENDEPPIQLSQLQDL ACLMRSLLGDIDWSKCLAAGALAIVEQERREIEETLRQKILQEEEARRDANEGGDSAA GRQRTLQDLSVDPAERRAAMKVRAEEKMQLAQLVRTGLLDESELLQVKTDDEDVEQVE LNEEEPLFLRGLVRHKPINYRALLPSEQLMRASTPAQREAIIARRVVQQACAEEIETN TMKRAALAQQQRNKERQILQAKERRQQRLAEQARGFYEPNDAADRKEEGDDEEGNNEE DGTHYKGNTFLDRELLERPEEHLHRGGLGRGSRSALPPWMKHSFGEKPRFGMMETSES LAEQRRSLPIYAFRDRFLQHVDAHAVTVLVGETGSGKTTQIPQYLVEHGYGKNGVVCC TQPRRVAAETLAIRVAEEYGCRLGEEVGYTVRFRDVTSSLTCIKYMTDGMLLREALLD DSFQRYSVIILDEAHERSVNTDLLFAIVRNATHKRPTLKVIVTSATLEREKFCSYFNV HDVFFIEGRTFPVDTYFLAEPTEDYLDCALKTVMKLHLEEPPGDVLVFLTGQEEIEFG GDRLFRWMERLRGLSDLPVPDMLILPLTATLPQDVQSRVFEPTPPHCRKVVLATNVAE TSITISNLSYVVDSGYSKQNVFDPKTGMEQLKIMPISQAQARQRAGRAGRIGPGKCFR MYTEIQFRQDMDPATVPDIQRSNLFHVVLQLKAMGINDLFALDLMDPPPQETLVTALQ KLRYLEALDEDGLLTPLGGRMAHLPIDPSHSKTLLTAVDLGCSEPVLTIVSMLAAQKR GVFYRPRDQHEAADAAKRQFHQPEGDHITLLAVYDAWVANGLSENWCKRNFLKHRMLM EARDTRDQLSEMLRKRHTNIEHHNDSSLTEVRRAITAGYFFNAARRITDVAYATLAER REVYVHPSSCLRDTPPKYLLYNEVQLTSREYMREILAIEPRWLVELAPAFYSKPRKGK LTKEQRAERLNPILRAWESGNSWRISKQRRRR XP_822964.1 MGNGQINARASTEEHLRKWEAALAALEKVSNSGRRAMERDRVYY GGAEDARSGNEEVLEGSMRGACRSSQVSFSTTAGGSESSCTEHQPPRRQNQTPNENNG RSWGTNQSGNTSNINNAEQSRTGSLVGQHRSRQQVVEIRKARMAFFKSYEVQRDYEHK AIMECGHFGAAAVALSYLLGGARGCRDRKKCVTMEDIFFAVHLPLHYLHSGVQTLQVM SDILREFIDVDNRFKNEYGLSVVHFDISPVVGQVELGRNEVGDRQTCMQLHEFTKAIA NDSEEQIQAVRIVNYDPYVLQQETFVDNFVDGDDDVSALADSIRVGLRDQERQYSKNN NGVYAVIVEVRCVVELMVTLAEGIVDDQLHVRLFEVPAAALFKAMTVPLENERARGFM RIFRKDSVPVMSHDEVQSMFSPELAGGNVLGTTSMGTHASAISGHTSPHIIAVAWAMH LLGGDRASAHGHGNGLPVSDIVRTMKFPAEVLIDSTLPLGEVFKYAREYIRLTERNYD VSIYPILTNTSREDAVPTISVFELESILTDVKNANKDPDAPEHVMVIMYNANVAHNVL YVSNTPQWCVLSGYDEESQVVSLIDAHPKKFMKLWTCSLERLHRAMTSNGYLIFSKRT AASTHVGLSHDETWCDSLPLGHESQAPRVGALRRVDPTVQRYLALLRDQDALGVTRRE VMRTLCFPSLPLSPTMIALTLTELGCPTTFEDVIVALPFEISSLMLRYFTLESMAVCL TTYVEHVGLNVSVKAYHTDRRNGNKARVTVEEFEQIMEECLADRGKALVMLFNTNEIE VFGDSRPFGSTGLVVGYNKATGGVTVMDTNPNRYFRTWSVCLHTLFRAMHDADTVHRR CGFLKVSHCTTLPAPSFPLEYSRETPLRMLPLRNIFHVSPSPHFQALSCAFTQLGFFY SPEEIFYEAYLQTMNRQRRRGTQAFAWRDVDVSLSVINKQIDTVFLAQICRMFLESRN STRGSSDSQIDEHNDEEWLVHVEVMEDVTEGNIDSILRDATRRENNNTVLLLNYDTAI AHDVVGFGRSAALIKAYNPDTKSVLLWEAEHTVFGMFWTVDVPKFIEIGNLMDKGRSP YGLVKFEKVKRKLSGRRTLMKHLDAHEGGSPSKGFDDVPSGPMALRRNREMMTRFLFS PQESPDRRR XP_822965.1 MSTVAELRQQLETLKKRNGDEIAQATKEVERLQKELEDIAAITE PIEKEINELQRQCMPGRRNRNDSKSSNSGASILSNVMLGLVDPNDGKCKKR XP_822966.1 MIFFFKKRYHVFLKAPSIRLMPCSFFFFRSFIKVIFIIRCIFFS SLSGDSCPTQRSRSTLACMFTSVPVAPTVVPGVVYSSGVHEEWKEAEQKLLDGCLIHS EGDGATKQIKEKLTSRNSQSVRCDLCAKMIESRDEEQIQEHFQVHHAALSLWCREILA SKDNLLHYGCIPSGHIVSCGNFVLESAATLDMGRKTFDVIERAFSQMSQVISCFVRNL VLFPFGSCVSCGCWDGVSDADFTAIGLQDMKKGKWPPEDEKKVILRLTAALRKAGFFF GELEPLVRTRVPVVRRVQKVRVPLRSHGEHDTYSVVWSNSKEFSSPPRMLVEAAISST VERKDSDTVTFHFKDSLKAVKFFCNSAMCGPRDMEVSWKTGSQLPEMFSLDFDLSCRA QGVRNSLFLRKYFQQDPFVRTGYLFLKKWSKLYGINNAKNGYLTSYAMSILWVHFLLE NGLVKFVRPADVEPIPDLSQQKMSYLPLLRDDGDGGERPSDVLKSPELTMLRGALGGL IPLFFLYYTRIRWDKVVVTLRVPGGGPPVTPDSLGWVEANEVKCGPLRDRVWYRLCID DPYEDNFNLGRHLSPDKASFVKVQFMRALASIVAGRPQQLLVDEQKFAEETMPAYVTR LSVQGELRNLRPVTVSALRQLLIDSAGADCVAIYEASHNWETLLDMASTLNNKSKEGD DDAEGVTNNQEGEPPDHVESCEAPRRHLLCSKMHSIDDALLVAGPLGVSDANIPAGLL GVYFLARGRAFRTAEDRDNFLMHAEAVSAARARGCTTREEILERVADAIPSIVRNGTL LDDLLVSGSEENITVQSPVVVETRCAETVQRKKSKGSKKRKNAVRRGNHAGQGTCSEC GASGTDLWEASDKSADDGLYCGACWKAYNCQKN XP_822967.1 MDSINSVTFEWVRAGPAYTLDGPHVYHSGCLGSYQPAIGGLEMP AGHGKYFYEIQTSDTRCKVGVCTEDAFLSNVNLGDVELHAAQPSSSLNSRLSEANCCL FNCLTCAVEVNGVEVRSLWRLLVPSAGARFGFLVNTDEGAVQLYVNGEYQGLIADTAT GFKGKKLRPCIALDAPPTCGAGAEANKSSASVVPPRKIIASTA XP_822968.1 MVFQKKKAEVTVRTSQFKVNKLLNRKQFVVEVNHPGWCGTVPAK LIRNRLASLYKVADENQISVFGFKTKFGGGKTTGFGLIYDDLAAMKRIEPNYRKARLG MGKKKLPARKSVKERRNRNKKIRGKAKGKMQTKKK XP_822969.1 MVFQKKKAEVTVRTSQFKVNKLLNRKQFVVEVNHPGWCGTVPAK LIRNRLASLYKVADENQISVFGFKTKFGGGKTTGFGLIYDDLAAMKRIEPNYRKARLG MGKKKLPARKSVKERRNRNKKIRGKAKGKMQTKKK XP_822970.1 MSFEPDGREQRKRTLGVDCVGRLLWRHWEPGGEYTQRLRIRNLD MKKQTIVYRLPLRKTVFIMDFPDPVTLSSGMATDIKVSFRPTELVDICDMFEVTVVGR GSFFVKLEGKIPTARVEVPPHHDFGYVPTATTAREIVAMKNVGTTTVSYEWEVPAPFG ITPTSGELRENETVDLCITFTPSEACVLIAQAVCKMAACGSLLSTIKISGVAKYPFIR VSKEPSTVTGSTTPDDSCLSGREMQLLQDGRSLLLDFGTVYVGKVHTMEFDVENPSVV DAVFSLEVEREDFSCPFTAAPRTGVIPRGKAQKFKVTFAATSVGAAFSDKLCVKALAG NTVTLLLRGVVVAPTVVASVTSMNFGDIRMEKNIVVYEHRRALRRSFYIKNLSDVSVN FYVLGVSPGASFVVTPTTGELPAKGTQRMWVEFCPTYPMNYLRRLHIIMNLTRHVVFV DLFGSAYDDSIRPMSFGLKEVEEFFWRHEVGLGASEPQDLFLLSKVLRGGKVPDTLMH LLSTSSLAMLRDLHRDPTTSSELVTGGCRKKSGGRISFARWNSSFSTGAPFVLSTEAL VFVDSRNEPQYVNVYNRSNTVATAYWCLPADCSFSVTPMQEDILPHSYCTFTVTRSRA AGTSLRDQHLECYVNYKQMQSFLLIEEGSFTPPHYFTVHCQQIIQCSDAEAGSIVSCV GAPKHIVFPKCLVDGTTHTVIELENRGGVIVSFAVALRLESQESKGKDCGSDAISGHG SNSVFGCNPVYGIIPARSRVPLMLSFSAKGAAWMRGEMLVQLSNSPKDELRIVLHGEG FRPELLVEDSSMITMRPACVTGVTERSLRITNPTTVPISFESSPSQPLQGVVFVEPPV GVLQAGMGMNLVVSFCPQDPVLYEGHITFSISTHDETQPSSNATRRAVDPLVGRERES QSSSCNNTPRELSLSDGKRIISCPFMGEGRQSVVEVEPVVIEHEGPAAQEKTFEWTIY NSSVCEVCYEVRWLTKTRRMNWEGEDTPMIHLSNNRCGALAARSHTVVLVTLRPPAGV TDCILYTLVGGRGVDLSSIACPTNLHEVQQHPHCEVRLKGTRPAVQITDVRSLQQHRS QLWCQLAINSINNVLAAPVQSVDVERDSFAFPQYVQGVEPIYMDVGVGCVDDDDKEIM LCVENAGSCAAPFRFWYPIDHEGGNETWFVEDEELEDVQQILSNRLLEISPREGTIPV NSHAVITITYRHVSVGTHCLPVLLRLDKGKKALLVLEGRTVADNTHALAFHHPPVYQL HPIALGDVEPPLQSSTIENTSSHPMTYVVQEDLVEQVCAANCGVPVFQCMNPEGVIPP GGSTQLHWYFRPLEVRTYTIDVGLQIVNGEGYSMRFCGVGYHPKKTSTGEACNTINDA FLPIPVSPSLRLPSRLCPVSLSIDVMRIGAVPRFSLHRRICYVENRHNSFSYSFSWAT SLEPGSSTISVSPNSGVVRPGQRVRCVLALQCGKLSQIIEAPIFCRVVNETLIEDKSV SPMYVEAAAAPEDEEADEEDDTDPLLDVKPQTKTRFERSTCTKRRATYMGKKRPSVIE APPEYQSLRVLSAAAAPALHSGVADHNTMSLPLKKRADEGPVLAHSLEVLVQARIMPV DEYQRLYGECAVRQVYFPPLYENYVRPQSASLVGDRLKQTIKDFTRGNVRIVRRMLEG LLRTVVDCPPVRNAFTETIKEEIPQYRELVSMASNSFVRDPPSSTYGANKSSDPGSGA AGATEAMPPTTGVTQRLTTTAGTPCKDQGVLPPWNNSALLNLVEKLLNDVAVRTITQD MGAGLLGRRS XP_822971.1 MEGNGSSPCFTQFTDAEEEETSFLVKGPKQEDPASLGPEKPVAL TFRNITYTVQGDKGRPKEILRGISGYVRGGELLALLGPSGAGKSTLLDIMAQRQKSGT IGGEVLLQGHPIHLGSFRRISAYVQQEDLLWPYLTVKESISYAAQLRTPPSFTRSVLE THIQRVMRLLGIDHVQNSRIGSQMVRGISGGEKKRCAIAMELVSQPSILFLDEPTTGL DTFTAQHLLTVLKEIAAGGVAVVFSIHQPRKSIFQLFDKLLLLTGSGEQAYFGPASAV MRFLEGVGVAPPQLDNPADVLLDAVALPPSEEFFKSGVGQNCALAMSRTCSGIVTAFH TSLLAVVEREIGAINERCSYGYDGLPGGDPSPYYRGITKQIYVVAWRAVLSKLRDSSA AVARIVAAAFFGTVIGSVYFQLGNDQLSIRNRMGSLFFVTMNTALSCLATLNLFIEER AIFVREHRAGMYCVLAYYIGKIVQDVPITVVTNLLFDIIVYFTMGLQQGVGKFLLFSC TCTFVVLNSYFLCLFLSSLSRNIQVANIVAPLVLVLLLLPSGGVLMGTQSLPFFWRWL KYVSFVRYGLAGLVVNEFDGLKFVCEPKVPFCFPDGATYARLQGYFPEELPEYIVACA ASVAVYMVLAFFALLARAKYLQ XP_822972.1 MAVDCLAGEWMRYVREWLQQHDFSKKNSGVGGLTYTDLLNLLQD GPPAPPSLCATWEKRVWNLQDEPLALYYLRCLASSFENVYSFSECAFLISATSSSPFP RLPHGSDIHGVLHRRPIRVVALRLLPTTTDIVQLGWRGTDFVSSSTERLQPALCSRTH FVIQLLDSMRHFSAWAYGDVGTQMRLGDVLCAVMYASSMDDGSTAYVIHNTERCSDVE WVMRPMLATAEVTRDPFSLVSATPPLTFKQLTRAFAPEIAGQELCKSMLLLVATHTIY RSLRCRSRRPLHLLLLGPSRSGKSALLRAFLHFLGSCATLVGAHVMRGGQRSTVASQA ITAAYPHVHQQLLMAGAVSAVDSLVIDELPANGSGAFTNHVGGLLCGLCPINSGGGSG TGGAATLRGGVVRTRAQITAASNDDNLGIAAIVPQFTLVARTTANLLLQNAALVGDEV IAASVARSQSKSAPRSRSTSLGSSRVSMCHGPLSSATLHIIVKEAPCAASLEAAIPVN EFTAFYLSRLSERCQYGVTECEKTFGSHLVVLWELNIARLILNGICNVEAEAQSGDCR RACVAGWNETLAEEVWLCYKHHLWTADTAASASSTTLQSAVSVATTSAGLSSLDHFVS EGPVYGPASRSAAGAHKRKRVGKKAACLVLLRQMLAEQRACNGSAVPEEVVRCLFERM GGEHHIGMCLSSVIQQLLDAGLIIRRLNAYAVVAEV XP_822973.1 MLVPGLSLTRRAVTSSCCRPLHVVRGFSTTCTLFGLEQLQDVPT STSRRPTGLHRGPGKRQTSEREAAQYKFIRRWELQMRDEWDQLEPFKGLPKPKRQFGN EAAEVIWPYALLLERVVKVHPFTKSIYVYYAQRQSTARGKLAAEIARSFAREFLIPIT FHNSQVYTEAEMLLEYSETPWVVLHSLDNGQKPRILPVAPVEGTPAHTAVEQLLAEVV QGCEALGASVADPVTATRVLNERPLQNQYVRVDYQWFGDTPDERASHLVRWEFEPEQI EPKIRHRTRHVLDWLNYDGNLPTHRAVHVNAMREKARQKAPRTVAGPRTFYNSAGSRA NARSSRFGGQAAVGK XP_822974.1 MLRHSKQEQQMCRKLPGVDIGYVCQQHEGRCVICDLQFDEVLPT MREVRICSDCGFGREGDERCVMCNASKPTEVAYYCQYCVALEKDRDGCPRVLNQSRHQ RIATVRTR XP_822975.1 MTPLQVLFILLGVAVVAQWNLLLRLPQTVVAAVWLAVMTAVISA SWSTMLLVERVCGLCEANAHGQLVNPSSSGTPLRKTVFMALFYPLLLTFSAGVSVTLA WRDSLLLGGVASGCVAFLEQQLEMWPRRRDLKFRVVSCTLCGFALFCTALLWSFHVTS PREESSMGSLAQFAVIMWTAALTTGLLKLLLFATLHAWHTSNVLTGRAGSVDFDPMEE VGLSIRICCSLILLVVSGEHLPNFTGILVHVVVLAPFLDVLYAASILFRFRHVVDSFP EVGTAADCVICFDPVTDSARGRQLRCGHIFHSRCLRRWLMRAARCPTCRQYVFHQENA LFPVELFYDFRANIRHRGVGDEDAQRGRRPRELPYAGRSVGVQTPVYQSLFPVGGHAP SRHVAISSSPAVETALGYGVTFADEVAFTPGGPSVRSAASSTREAVIASVHSDEFTDT PIAAVPVVVRQRGVTAATRYKSGGELSVGGGLGERSAVEATNIDGGGREACTVVSTRS GGRGRKRQRDSS XP_822976.1 MTCNTDRSFCFRSLRPGSRRRTGTFSVISSPSPFIFLLSFFLSV STNQTAEVALATKKGPERERRSYFLFISLRVSYKLQSHITVTSMFTRIGRVCLPTKAA LTQCRYLNIHEYQSKALLKEGGCKTEFGVACSSIEEVEAALGKIKGDKKVVKSQILAG GRGMGTFVDGFKGGVHVCKDAAEALACARRMLNNTLVTKQTGPKGQKVSRLYVTEAIS GIKRELYLALLLDRKTASPVFIGSAEGGMGIEELAQKQPDKIKKMRINVQEGINHDNC LTFAHELGFTGRAAENAAEQIKALYNVGKSKDCTMVEINPLVELENGDVMCIDAKLSF DDNAEFRQHDIFALADATQIDPKEVVAKKYDLNYIALDGNVGCLVNGAGLAMATMDLI SHGGGKPANFLDVGGSATKEQIVAAFEIITGDEAVRSILVNIFGGIMRCDTIAEGIVA ASRMLKSKGVREVPVVVRLSGSKEEEGKRILKESGLPLHPAQNFEEAAALACKLAA XP_822977.1 MSKNERDTSFNKNGCLVFVSRLWDLDKLGMFHHPVSAEELPDYH TVIKRPVDLSSIRDGIEKGTYATDVDVQNDVARMITNALEYNAKGSTWYQEAMSFRKT YLDLARQSGLVVDDDEAYIPSRSFKDDESTLRRAEKRNKEDLDEVLRGLEAEKDVPLE ELRAKYRRVENITEARGADDVDSSEQGEDEESDEEGSDEEDDDEEDSCASEEVEESEG SDYDSS XP_822978.1 MLQPCLRRMSKCSSHPFVDAVKVLISSGAGGDGASVMSHEHGNE FAGPGGGNGGRGGNVMLKGSKKVNDLSHIKAMGTQISAAPGSVGFARTAHGKKGKDLL LELPVGTTVVDVDTNEVVYDVDEDGVELLLLQGGQGGKGNAAFANKWHHSPTESTRGL PGNTMLAQLELKSLADVGLVGYPNAGKSSILSAISSSKPTIAPYAFTTKRPYVGFIYD LYGNTCRVADLPGLIEGAFENRGLGHRFLRHAERTQSLAYVIDMAESYNPSSSTKPLE PWEAVETLRRELEYYLPGLSSRAMMIFANKMDMYKDTKGNFLKEKAEELQRRVQLPVF PVSAALGIALGAEHPQAGFKCAVELMCKVVFQKKQRCKDMREAQRRLETFTLDKTFCD KNIGVFIPSGDTEQRKGDTSLVDQQFEPCGFSGLGEEFSAYQQATAARGRLHDFRDLT MKGRYWSLTRRNGERVTSEKWQ XP_822979.1 MQDDIPVDGEPCVKRCRHETVPAMTFPYELDAFQRDSINALENG DSVLVSAHTSAGKTTVALYAIAKAVREKKRVIYTSPIKALSNQKFREFTDKFDSVGLM TGDTTIKVDSDCLVMTTEILRSMLYRGTEMLREVGCVVFDEVHYMRDKSRGVVWEETI SLLPEGCQYVFLSATIPNAREFAEWVESIHPGTKVHVIHTDYRPVPLHHYVYPCGADG IFLIVDELGKFREDNFRRAMASVGAGNKGGDANGAESTEVAAASRGKQRSMRKSTEPI MEIIKLVMNRNMYPIIVFSFAKAECERNALALSKLNFNNTEEDALVTEVFNNAMECLA TEDRKLPAIEHLLPLLKRGVGIHHSGLLPILKEVVEILFQAGLVKVLFSTETFSMGLN MPARTVVFTSVKKFDGETNRYLTGGEYIQMSGRAGRRGLDRVGVVIAMVDEAVEPEIL KQVTGGGADVLNSSFHLTYNMVLNLLRVEDVDPEFMMRRSFAQFQRLRNRPALEMKAE ELTKDITSICVEHEEMFRQYAHCQEQLEKKRKQIGDFLKQPVFIRRFTNTGRLLRIRR STDGALFNWGICRSSRAKTSNADEKDPSSFSVDALVICRKADPSQPTQLVPCHVKDHT INTADLYTVTFDFTDIEAVSRFRVNLPADLDSASSRAEVIQSLEKLYKNHGDDVPLLT SEELGVKDPKFKKLCEQLGNIEKQVQKCELVCNPTEALEADYESFKKRANLERELDAI KQELDQVTQAIFSDELKKMMRVLRRLDYIDKDNIILRKARVACEITTSDENELLLTEL LFKGVLNSMETEMIVALMSCLVNVHRTPDGFSLPEEFRQPLNDLNEIVNRIATLSIES GITQENTSVEKTMPSLMEVTYLWAKGAKFSDIVTKTNAYEGDIVRMMRRLEEQLRQMA GAARSPAIGCMELHDKFLKGIQLIKRDIVFASSLYL XP_822980.1 MPVIKEYINPAGLRLDGRRPNEPRRLALEFGKISGCDGCCTVVS GLSHVCATVYGPREVSNRLEGKYNEVTITCDVVVAAFAGERRREQQRYSRLSEDISAS VLDVARSVVLLSQYPNSQIHICIEVLKQDGSDKAACINAACLALIDASIAMKDVVYTQ TVGLIEGFDVVDLTTEEIHSQCPSICITVQGHDPTNIVWMESNSRLAPEVITRLVKVA QKASTDVFETALRGPLEEHAATVLRLQANLGNIE XP_822981.1 MSDERILLVTGIPTKLCRGEELYKVFGNYGTIQQLRIGSDASTK GCAIVVYELCEAASAALEALHDFKVDRDRHLRVSVYDETRDRRALERRKRRREMKAEY SRNIASASAEVKAED XP_822982.1 MHRKPLFETIWNEMLDSNALMAITVFVGAVSFYMFLTRNDHQDR RRESPPPTPKKHGASFLRRIHLGEFSGRTICISWETLVEKHEWRDCAKETLLALSSNM IVYLMCHISNANEKGKVLSMVKDIPQLARHNILFCETEKGYEAFSRQIKPAILVTHDS AQAEFLSLVLPNVVLVGSSATGSAMTSISSLSELLF XP_822983.1 MLDAALLLHDVSTEEKWCVISDAVNAIFKKSLSKYSFQQVHHSV FQMCQTQRSGTLFDLLHHALSKEVTIIREQLLTSTDSALLQDLETQWEDFSAALRRIS DALFYLDKNYTNNQKTISQMGESLFYAGVLKNEVISNTFVSSVRQSLEFGFASQKSLK CLTSKLRELYRDTIFEPLVERPLLEVLTAKYKGEMELKLDSLDVDGYLTWALTTVGQV QSDVSKLVGDSMDQAVRQHMRGLLIKENTQKLLYSCSGGGSTMVRKMNSASLGRLADA LVTVGETDALLEMLISTTKAVGCELLSEVENEDPVAAVGKVLTLRNNLQQLVEKLPGA LRGDKPPIVRALAEIVNECPDFSTKLAYYYDVKARSRGTHDSMDQVAADTFSLYRLLR SKESFEQTFKLLLAARLINCKPNDSLVHEIIFVEHLRSECGDSVANHLDVMIKDGRMR TEINRGFLSSLSPDVQLPLSFDVTVITAGVWPIYPGFEINLPECMQQCVSLFQAYYIP HHNGRVLSFHTGCGTICFTLNHKEVYELAAPTSFVNTILCFQSCGGIDEPLTVKDICN KTKMIETDVLPQLEALVNTGLISNVPSGGLSRFIFNKHFAHHRTKLRIGNVGGNRTVK ASAEVRVTQVASETTHAESIQAVLMQIVKRNKTLSHGEMFTQVAEALKGSRITPVMAH IKQNLEILINKGLISRGSRPDIYVYEA XP_822984.1 MRGGFGRGGGSGFRGGRGGGAPSRGRGGAGRGGGRGGNAGPHGR GGRGGGGRGGGAGAKVVVEPHLLHPGVFISKGKADSLCTLNMVPGVSVYGEKRVEVGA TQSGDEKKEYRLWNPYRSKLAAAIYAGVGSIHMKPGSKVLYLGAASGTTVSHVSDLVG PEGMVYAVEFSNRSGRDLVDMSKRRPNIVPIIEDARYPMKYRMLMPMVDCIFMDVAQP DQARILALNAQAFLKNGGHYVISIKANCIDSTLDAPVVIAAELDKLRKDRLKPLEQAS LEPFERDHAVVVGVYRSMKKAVQQ XP_822985.1 MAPYAVVGACSAATYGREETIEILGKRLEAGDTLLKVAYCAKHY GVALFRSGCLILLAPGSPEYHGSTVQNGEDNVKDVAAGDTHIVFCKEDGTVHSFGYSN IYGQLGDGSVWTSHKAEGGEDSDDRVPALSAPKMISGFGNGSYDVDGGDVIEGRRLCV PITAVSCGSHHTLLMTRKCNAVYGCGLGLSGQLGGKRKPPLQASFKSIRLLFGLPIRQ IAAAGNHSFVLLQTGKLLAFGDNTSGQLGLGSTKAVGTPTPVTIRSVSPQPRGNENLD SYAIKTLRAAWGSAESMYFPLRVERISPELGPGEARIVSIWCSANRSVLLTSDMDWLS CGLPISRSRCIGDGQQQRMDRYGALGRWIKDKRESTWFGKMRWSERLGVAIKTTFPSL AGDALLEAARSEVRLVCFNHFVVLLIPGKDASNKSLLFLQGEGQSVEAVQGGERLSVA AVARADKLLQDREVDDDSCEYALSGGHAIVATDHFLAVI XP_822986.1 MTAWHRLPAAARALFGAKGGCTGSQRSYDDVLRVMYELTLRVVN PTYRNRTLANTSGKSAELVGLLVERLNMRRCLDTLRFVHVAGTKGKGTTASYTAALLE AYGLKVGLFTSPHVKDVRERIMVNNEVLPMDTFVHYFFQVVDCFVELAGADKEVTWDL PAPCSFFCLMFLVSLVAFAGESVDVAVVEVGIGGSRDPTNIIIPEASVITALGIDHTE ILGDTVEEIALQKAGIMKPGVVCYAAPQGDHPSTRRVLKDYAEGVDSPLVFADDVAVP TDGWPCLSIGGEHAVENSRLALLAARSVMGIPLTQPLDDVERHVLQRTTVMGRSQVLP VGDGSKGTFYLDGAHTYESLRCATRWFVKESTKRTCDSKPRRVLLMYSSRQPERIVEA FRPFVDSFSKAVFVCALDPKGMREIWKNNSDAYTQDKATGLIRCWKTLCGAVPCFSRA APFVSVEEVVEVVSAASSDDEDTSKPVQVFVTGSFFLVSDIVNLYDSQGS XP_822987.1 MDALRRVGCPNSSQEHRKGNEYLGDAAVRYARRGFPDQMCFGEC CADARQVLQHLQFLYQVNGVVEYGKHDYSGEGSTAFPALLSFFFLMIFFVLCFLPVWV SRRSDDRKHRAFSIWMQGKVFQFSAFGERKGCFAPLQWKLSKRRRLN XP_822988.1 MTGDVCPSSRDLERALSLPMVEAFHVSAWYVLQYYEKAIDSSDL LSLRGLITLSLNNLCRSEEAADGIEAFELLLRMALRDAKWLRVRCIETQYSCFIRVAS ALEELNITPIAKYVISDTRSEGEQLVRVLDGKAIFRPPLGCREEIREILRTARVHELQ QLDRCVRYEDGPPSHNHSAVHYTLAGNHSYGGESITGVQTAGRSSWNAKGCSSRLLPE KEDLIDRILSVSDEEGQLASAWDRTVGPICCVHQLFRDSILKISELIHEVTAPSIRDD ICKYPGSAPAGCRSSDTTILKIVSKLMLRQSSDLRGPWMLWPQACRIVGGESSGGVVP LLASSPKALVSFREAIELCQRLCELRHAKTLKQCSADIVLEYHSTIEKKLALLDSRPL TADDCADETARRKRTYSVTTSADVSDGCALSVEELLHHGGLLYEHLLHFLPKYRWFAC AELLVPLLQAHKRWDLVNMWLRKLLDEPVYVVSTSFGTPTFPMYYRYEKRGKWWNLLA QGHAHLGDKEGAFQLLEKRQSAWREQDSPQRYLRMERAEELPVSIQRRARLLCALFRV GRGRNSGSQKAVALYNAVGEHHRLHFYRRSDRIAIERTLLSLQSFLRRWTPTSPNLSS FTAHMLSAPETTIPGDKDHSDATFWGDTKPSTGCFSAEKCVLRWFGLPKVHESGDGTC RPSSWTGLHCKGRWVNYLGRLLLWDAFHFDPDALPRGNESMTGSVPEFVWLSPVQETP LDLETSSISFQQRRRHIIEKSLRFFERCSRDVLIYYVRQRCVSENSGACEDNSKQKSN SSSLKEPLHANDVGADFISGDENFVPLGQPVRSPNSQEKDGPTASFIPAEQLLDPEEF PLFDLLQAVPQGALCALLRCLYLPPPEDGYSVHFDSFPDLVLWREVPSQPDGYEFKLV EVLGPNESLSEKQISTIDTLLRCKFDVTVAHVTDVDEGKKKSNRSKGRHDGTEHGRGR NRQDGAHPPV XP_822989.1 MEKKRILPISVSLGEVMPAKKGAQQSPLFEVSPRWMQRAAEGIA SRNGMLLTDFVVHAEMGEALTIRDMPEHSGIEPAIDQLRQRLLDSVEQAVGETRVPPS GHADDVKERQLFVEVVLRVAITGALVKYYTESYSIKDADDSKGGDDRQERKQWITQLT KDRFALGLLHVFEVFQEVHSMRRTNYQHRQSNTTVGTVGWDTLLLLHFVHRIPCAARD ASPGVIDEATGRIVRSWRKLLQSLQVVDPGLSPEFSRRRGALAVCNGLLSILFRRYNV HQCRVLINTIVQAERVTETGGAAGREVLKPAQHMTSEVLTFYYYKGRVALYDHQAREA FAALQQAYQLLPPPGSGNIVQHRNKQRVRFFLTVAGVVSGRMPPEEIQQRDDLIVYIF QPILKAIQRGDPRAFSIAVDAYGPILRRRGVYFILQRAKLLCFLILVARVHATMDACG EDSTRIPLRVLTTMYINIAREGKMIADQDSAARETTERRPKRQRNEDEIDDGLALTDS EMTWWVAKLISTGLLRGYISCEHKVVVLSRQNPFPTLVAGESAAPLQG XP_822990.1 MSAPTERERLGNDKVTEVQPTELLDVAGIERRHVQQVYDDIATH FSATRYKAWPRVRAFIESLPRYAAVVDVGCGNGKYFSCAQNFMAATSNAPDDGANEEG QTGPAYRFVAGVDFSERLLRLALNQLDHEASETSPSSSRSLGTRGRTELLLADARRTA FRNGAFDAAISIAVVHHFATHERRLDAVRELLRLVRPDGLILIYVWAKERPEKRPRSG ASDVFIRWEMHEAHDPERRVHHRYYHLFGEGELERLVEEAGGVVRESYFDKENWCVVL QKSKRGEQ XP_822991.1 MFRRPVAQHIFPVCFARFLTVTPIPMPALSPTMEKGKISEWVKK VGDAVETGDTWCKVETDKAVVSYDNVSEDGFVARILVQVGEEATVGDAVCLIVDEASG VNSDEVKNWQAAGSSPAATQSKVQEVPSPTQVAPLPAGGKEAGGRVKASPLARKTAAE LNVSLDTIEGTGGGVGRIVRKDVEAAASKREHAAPAAAPAAKPVVPVIATTPSTQNYT DIPVTNMRSTIAKRLTQSKNVEIPHYYLFEECCAENMMALVQQLNSKGDGKYKITLND YIIKAVARANMLVPEANSSWQGDFIRQYRTVDVSVAVATPTGLITPIIKDAQARGLVD ISNEMKVLAKKAREGTLQPHEFIGGTVSVSNLGASGIPGFTAIINPPQALIVAVGSAK PRPRMSLDPDTGKYTVGAEAEMFVRFTASFDHRVVDGAVASQWCKHFKDAVENPLSLL L XP_822992.1 MSVERIVQILSVVYAAEDNGDRRRATFELHEVEEQMNSEEIVSI GTGLLAVTDRGAAVQAYGAVLLRNAVVSGRIPPSVVPYEELMTWYFNEPTLGRLLCAD IVELLIECMVREWPESYPDLIARVCAPPTQLAQQPRKLHFLSSFIAHITSPHVGGVPV NRMKRLKKAIELYGRTILVEVIQALFDLYTQAGGAASNSCAPGTKESVTDCLMTVANA TPCLPVHVWSEVGLTNTLSALVRWGPAAQEALAATTALLRCDRLSCAGESTAADSLRT ELLNVALGSVDMWVAESNHGNIEEVVGLLHDSPDTLLQPAAPVAGRVCLLTLSIPSVL LASVACSIFRRLGDAAFTQINPLELLARLAVLVPKNKLHPCCGTCEGGMQISEHDFGT VEAFTQAFSEFRSLAAHVLTSVARIYPVVANQFMLQLVSNLSDSRGTEEDARTQCGFV TQRSDTFCNWEATQFLLYSLSESFKHSSEYVPQIINELVKREPEDMVIFPVYLNMLSL LWNCRDDTALGVWQGTMGIILKSLGNRYREVNDIDVVSARKRALTLLVTACSKHAKQL VLLGEPFLCQMERLLMFSSTSAMERTLLYEAIAAFTTALPEDEAQRRLQSFLDPVAKI MVDHTTNMCQNAFNDIIIGRTTAQRDEGYVIRDSINVFAGVLRRCKTLPYVVEAASSL VPSIMKLMEFAHNIDAKDLPPEYKTLFGTYNGDGGAASHLRSREGEGAGGRKSDVYRA RKILLDIRLALYQVIGALSSFFSVEPLENMLQMLVCVTRLLPIHAMRQLLSHCLFPVA SAQERLIPATLSVCTTFYMQRNRGASRAPEAEAVDGRQLFGLSKDIFAFIRQNVVDPK RLDGNPALCQIVVDVTLSVLESGVNIAEASRFISAIVSNGNDANRFSGTANAQMVDMA LCAYRRMIDFAVRADESLLPPREREKLLVTLADTYVSQYPLYVTAMKDRYPQPQVDEL NTHLTMMGRIDVKRRYFKEFLQRFAGQVCVDGGQEGDR XP_822993.1 MERCLSLGVISRLLDALNLRRKVALLSLPFETSMIRVGKNLDSH RVSSSASPSPAVPYAKLLSFPLEAEMEVQPLVRGAVLEALGESTGGQRAADGGCRRVE SVRSKEIEIGSILEGRCFSSLDFACTNLSASFNRCALVSASLGGAFVSHSTFNLTDIR RSSFIGAQFHSCTFLATDAVGVDARCGRFSHCTFCRASMSGWDVRGATFYRCTFTMCD MSGWTYDSQTTVVEPVGWECCRRLNWVPFGEGAVGDCRVVREQGGERGLSLRPREHPP WVK XP_822994.1 MPDGAIGQHEGFLHDVGCNVDVYTSRMREDILTMLGRYVNQQHQ SIKESLHAEAVRRENAILDERRKIEVTAVGALREVERQKETMSRLVGGLGNANRRMWM QKRFHDWELWVLRKRHRKKLYKTIECHINGIRAYHVYTQWRLLAAARRHHRLAVAEQS QWKCSEAELVGQLEVLNKVIEEERRHSENLEEKMRTAFVRGVCALNKEAVQVLKGAQL ADPQASPLCPGGMRATRTSPPRYGATRGVSNIFDGSTFHTGAGTTMTAGRTADAEDAT PLTGQFLPEIRDCSPTPTKTTPARVTPPRHHACYAPATCAYSARTLPHQPFVVSVDPA AVRSYGDVPASKKPPYVARRPPMGFGSRSTR XP_822995.1 MRRLQIRASLPFSSGELLISTTDNSWPSFTIRVQRRDTAGLSRE QRRSQPNADPTTGHQISVVEPPDLTHHIQFGLNNLEYVTLPDVSEADRTRTDMEKERD MQKRFGEGGPGERTNKSSQQVRRDMLQGQQDVDGLPWEVRWRKTVIPTPEEMVQDVRD RFDLYIQDPIDREQEWYLHWKDRSFKIQKDRMIWPQGYTDYLDHYDAHGRRRVLPSDQ RWSDTSWKHLADTKYKDRMWLIEGEERNAVHQSLKAEHELLGEEEQRQLEMADVYNGI VSGVVDLDPEQTYQALSGTADPLEVARTKMKLQAYGARQALECAKGIDSPRLDPISGL PKADMAPLPTGVTVEQGVAIAAHANIKNEMLEQTADIARQAGQDPVPALMKAHYKASK QYDDRPALRSLVEEKIERTTRTLETTSDSKLGDAGRIVEQIESSAVPVIGCKLNESEK LSEASCTSSETERQASKEGKPHKGTPAGFIEVKKRPQGAEPDIPTELYHVPCGRETEG MPEWYRRTLVETEPMIQSYGLTHDPLERRIVDTHEYYDPPQLTEDTRITNDDAVAGVS SFDELKMRKMKSLPELVEGYKPLPLYREVASGKGDAGPQDNSVSGGSAKRSDLLRKER QDRVRKAKVHYDPDILLPSLPWESDSIADPYRGVAKGDAIDFNKADLEKTWRAYRDTF RQSMTEFGNLTQVTTEDKCERMLMDVMDRFRRGDVGDHPQIPEELEAIFRMIFEAHTK HFLSDFYRFKGNRVTEGKTVKAEADEILRRASAKCKLLGPNFMNFIQEMTSLELDSVR NNPAQRYAIMVRDRAYEPLGKPFINWINSELSEFIVNEFQGIEQLETHMEFLKRHVND ARAKCPMRLEGVRDSARDATVEAFYQWCRGALCFYAGKHLQRLCIDFADTRFRTRAEE LFEDSIEWFSNYAKASNGIVQIPIPDSDPPYEYEEKDFLDGENAIYEEISGHLDKAEG LWHSGTSRRWYPVIDETEYIWYNERRGRITLALDISDRCLENVNKKTHPSVHPFPERA RLFLEGAPLTQETAEHLWMRHLGDLYYEHSELMFRNGRFQTARIYREKCLNLYHLATR TAAERLPPSWKAPLLTRAKYLMRVYEPGSGVEEHIKDVERVVDRLFAEKEPPHWIEPF SELPYRLAVVHANLPAFGECITARVKSCVKNSPGNELLLKNMEQRSRGGAQLPETEEY FAAVDRVADEVFRKRILRWRSQECEGSDPYLFWTHLYFAFRVHPKSCEEVAKMWDMYE PAYLYIYTQGALASRNRGQKLINDALRRVCQILLPGDEKQKKVLLRELVKVREKLSHL VHRRELDQTIHALESHLADPSRQTFCYGTSLLYREALTHERIANNPSLRADLAARDYA ELKQRKASLQTQGSSLNPQQAAKLQEQQGCTMLVDEEAIGLKPDPSTKELFETK XP_822996.1 MHRRCPIIPAFPSGRTTPLPLLPSCGGTGALTVFPGGFTQKRHS SVYPQPPVNPLPPYPPYASGYQSFYHPHAHQGVSQVPPPFNSGMGASGYVGVGVPELG TKERPVVVVSAPQKTTWTTRLWLLLLFGIGASCTLSLIEELMSRMQDGNSVTKIGGIS RGQQIGMFGSPDVKPVDLTGLDVTFDTIRGCDEAKKELKEIVEFLKEPEKFHKLGGRL PKGALLVGPPGCGKTMLAKAIAKEADVSFFYSAGSEFDEMFVGVGSRRVRELFAAAKA RAPSLIFIDEIDALGGKRSGTDHAYSRMTLNQLLAEMDGFDSKDSVIVIAATNTPDSL DKALTRPGRFDVVVSVDPPDMKGREEVLQIYLSKIKAESSVSAAAIARGTTGFTGAEL SNLVNIAAIRAATLNNQVVTMNDIEYAKDRVMMGAESAKVIPEDERKVTAYHEGGHAL AALLLEKEGAEPVHKATIVPRGNGIMGLVQQLPEKDKYSQSKRQCLARLKVCLAGRVG EEILLGSDDVTTGASSDFHQATKIARNMVRRFGFSGDLGFVDYESSDTPEGAYMSDET KGKIEKEVSTLLQNSYTEIKQMLLSHREELESIAKHLMQHETLSGDELKRIVNGETIP ARKEKVSIHHHCSKMPPAGTESMKGKQRPVSIT XP_822997.1 MSFAGGYSITSGDVVKVLHLLRDNGVNVHNSKKAYEELQRYQSD STFFVLLSYLFGAETCPVDVDLGGNWVQYRRLAGITLKNNLERCKLALGEAAIREAAG CSLAVLVNPTDVRIARVAAQIVVKITVLTSFSWWEECGLGNLPNTLLNDLLMAGEVKT FSALYTLQYLVEDLPEEVGLASKDIIERVAVLVLDPNAQWGVRKAAFRMCSNMYEQAQ SLDWNVESLSPLQIGLTSGSRTFTNLCCLLSEQNCGGDVELKIQVFRSCGVMLDYLEY FTPMPESDMARFIKCWSRDTICTSVSAGIVTEHDVQLKISAIDLLTLTMEMYDKMGGE GYICALIQPLIESLPSLVSALVTYVPMTREDVENILSEDDCSMRDPAAVDFHLNEQKY DISEDSALDDNHGTATLRSTALRCIDALCVLSSKQTFPHLIEKVKALWDGEWQYKEAA IVLVGAMANGCYDEIGDTLPAVVEQLISAVLSPSENIFVVSMSLWSLSRVLEWTYAQD DSTISKIINAFASRLQSRSKRVRHAAVTAINTAFMTAHTMGTTEKVTGDLPSLIEMVI SCLPFYNDGNLFILCDLAMHLAALSEDQNTVALLSAAFRANRIERTKGFETTYVAYYI NETPNVFVDKDVFSVDRAIIGMLTRFPDPAVSLELLDAWSVVLRDIIERNIRDDISLL LNVLFIAANCANVTPSSSLAGWCSRTQGCIAVLAFQLFNPSEELAVQVAAVTLLHRLL KNAGTCAFPQGIVEELLGKLTSSVITAGDLQNKLEFVYLIMLMVKSYSHESPNGVFCA FKAAVDVLRSDAFSESQLLFSQMAFEVCSALEAAPGLVVHARPDVITRIITECENAYD KSVATIHLVNVLLAVEDVARDILPDVMRLVYSWRQSACNFPGTHESIKLLLSFYSNKH SSLLREQLGLVDSNIREMVISSYGLIC XP_822998.1 MHPGTKRLVKYTDTPRPERVQTLMRRIYHLLSRGGGSKHCRLVV SNITHSLTCTYDHQRKLSLRLPTTPTCLSSSSFLTLGCLGNSPRRIPELASTFRIRQG YPNSITRLDCIRSGNEGGRA XP_822999.1 MEWPSLGTGSMLYSKFAPDKIDWTRASRIADKPASRPHGTDAQS MLILLVEAPESDELAVTGTADDVGDDAASPVTSIRWQGLWHLISFLSGRLLVSRNVLA ARGILFVVCCWTKRDGSRLLDSTLCLLLGSLATLAQKAVAKPSSSGPNCYLSFRGKSE KNIDTGRKQPPTPQSLNANRLRRLVKVETAMPASAQH XP_823000.1 MYNCSSKFRGEPAASNRMNQPISSYILCAAASLQALYRHKRNSL NEGLRLFTLGRPQTIRSRNGWRPQRSPNAASPTPEEPSPLFGRHDSPSTSTCISVGPK CDPPFPRRTTAFFATLEGRWTKHPSTTRAFFPFR XP_823001.1 MAGKGNAKVVVTHPKSRKVRQLQRQSRREKRISEQKGKRNAKAA LEAARFFWFREQCLALGRTKLAFSREEAQVLTEQYLKRNDEEIVALKSMRNPTAGRIK ILEAMKTSEADDFNSSKGIVIPDITNSDNLEILTSIWDGRADTAGVVRRVGLTRTGVS PAAPAVTELEGKLLTIDEVRERSIDFTSRKARKFQTMKRVQSVKKTPTAEDVWLRSKK RGVDLQAKRLRQGREAVLKTRRAN XP_823002.1 MINNGLEADKPRTLKEFAETTGLKMEQAKKCFDGFGGYAPALAS FAQSYTTLPDNFFEDKERAVKNAAVINAELKLIYKRAREIKERSGEGDKDVWGSYYKH LATLAPKQQEKQPPSAPPQPTEPPKSIFGFCSAAFPTQSPATVNFGFGEAPVNSTGSQ TSGKPNFQGFGQAAKPVEKTNDKPRTEQVKYCKPKYFTGPFFDMPLFWEEEVEALCPL LNLNGGFLEENRGGLRGRLERWVTKASFYRQPVQYIEIDDEDEETVRVIIKDADRTFF HPDHRKKFVSFLNAMSHEFKAYGQAMSYLAGICLLVLNEEETAAVLRFVSKEYIPGHW AAEAVGFATSAWVVEHFMKRKFPDVAKHLEDLKFWPDTYLQKILTGLCVHVLSFKDLF VFLDLFIDGGINFLIKFCLAVVERFRSSILRVKSANDANTLYEIMRLDSKVTDSNDIK EILQRARLMELGDEELSIDVLRSKAFDEHVAPRLQRAPKTEAFEPCEVCNERKPKWWN EDIGAVCTECKEAAPGLTYTKY XP_823003.1 MTDRTIYDALPKLPGFSFPELNPPPTNGIPQLCTIRKGIRTVFD PSGSSKRSEKQGGDGCGGLQPAQIFAKFPDWKSLDDKALRFFGYYVERVDESSIEKMR VRKVKMYLHLSDGSISVYETPAVVNSGLRRGLTVSRTIIDGVGVRSLFVGSVVNIRGL QYHIVDCDGATREFCEAMGIPQAEPLDYPSDTFEQSVLVQRNPKDELHVDLRHNVEVM AATAAGTHVSLLTPEERETARNFFEHDREVLRFAATWEQRAFKLLYYIADKTMSVMVE SVRNDGRDPNPVFIRRTKIPKYPVTRVKETETLNVPLTRPVEYITEDDLQTGQTINLM TREFYIYDCDKFTRDYYAAKGVGQPSFPKPKTESDSLMPTVKPSKQPTKPTARKEARG VSTMTFEDTVVEKDRLKLIHYCNDVFRFAARLVSDRYEDEGRKFLFCYYLADDTVGMY EIPVHNSGHLGGKCFARSPVAEIPEPSKLYVGAKVKLAGAEYELIDMDERTKRYIEMG FPHMDESYFSTQELIGHVKNVIFQRFSNVTDAFRHFKSREEGLTGEDLKRLFLECGRR LDAAEFDRVMASVDKDNDQIISMTEFCENLLCQQFLSDFSQTKDNGLPNVSGPLRSQQ DLEAYKNREKEAHEALRNLISCVEARRTLLIRAFQQEANASYDGNLAMEDFKRALTER MGLTFTDKQMDSLIFKFYSVPGTTDWSRRRLPLKEIKRLIMF XP_823004.1 MRCFRKKVRDEEEFTLTSSVSEELQGDICNDGKPYGNADPQEQV PETGDITQFFGSFVQPNASMVGNSFCTTPFPVPVSWHNLTYSLQGRVILHNLTGTALP SRCLAIMGASGAGKSTFLHALSDHLATSKDRKLEGKIQLGDVEYRHQYRKVMGFVGQD DVLSNISTPKRSLRFSVRVRRNPDPETTKQQVSDVMDELGLQHCRDTTVGTPGLVAGL SGGERKRCSMGVDLICDPKILLLDEPTSGLDHVTSAKVVQLLNTIARKGRTVIYTIHQ PSAGVLNHFDDLMLLVLGRCVYHGTMEDSVAYFESIGYVCPETYTPTDFYMTLMQDSV QAKVLIKQWKRHVKRKRTLHTRVVELNHQPWTSDTAKFLHGYIQRFKGSAVSQFSELV RRDFTELIRNRTFIITSLFQSLIFSLVAGLIFFGVSSDMTGIQDREGVLFMVVINRAM GQTYTMMHRFYDFKALYIREQQVGSYPPLLYLLSKTIVETPYRLLFCLVECAVVYWMV GLYASAGAFFTFYAAIALLSEVAASLGFLLSATCSTSVGATGFAPIVLLPLTLVGGLY ATTDRMRPYWYFLEKLSFFRHAYILVLRNEMKHIDEIECDEKTNASGLCGYIPANGKE VLELNGLEDGQSENWIMWLCLVLFYVLLRTGILGALYKAARHKL XP_823005.1 MPQNEYIELAQKRYGRRLDHAERMRKRNARKVHTEAKRLRTVRG IKAKIAAKERYAEKAEIRKKIREHEEKQTTERVKEKGPKNALPSFLMDRSEADRAKVL SNSLKQKRKEKAGKWAVPIEKVKPVSEDEMLRAVTSGKRGKKSWKRMVNKVTFVGETF TRRLPKYERFIRPMALRFKKAHVTHPELKATFQLPIIGVKKNPQGKMYTGLGVITKGT VIEVNVSDLGLVTPSGKVVWGKYAQVTNNPENDGCINAVLLV XP_823006.1 MWLRSRKCGGSLGEIGDGGRQYGQSTSVRARSAPAERRSKSVGV SDVEKRLCIVKRNRMRHIYDGTEGNDEDVTQMSPPTPPLPPAIAPPCPAYPTFDLVRT PKHEQHLIEALVAELTDVIVFPSAVLGGK XP_823007.1 MLSVQGAISNSSIMMECTASQDSYKREVFLLSELERVWDAVSTC IRHYVTEGRNVRVCNFGSFWFETRPVASDGRERYHARRIRFGIDGKFALRYNIDSIRV PQEPSKLEYVKVNMADVVAIARVPAQTAAMALREFFSYVGEGIFKKQVFKINFPGVAT LVIKREKAVLIVEEGLRRDIFAVDSRKWPVAVREAAAGVVLDEQRRPVTRSSISRPST GCSISSRMSNAPKPIEPRPAFMPAAPRNRLFAEIEKDPPRRLPLQHALQNKGDENCSP SPLYNENVGYVKESIFDALDPWEMETPSMEPEIELVEEVPEQLPSLRAYEEEAPHPVV CGRHSYHDHSSVRDLLYGSVTPRTDPMLCGRRRYINRDADQVATLLKDL XP_823008.1 MDQYGIPQQMPEEQVFECDPIRWSWGLYPSNRIDAARMVVPLGC VYAPIETPCIELSSEPLRCVCGGIVNPYCSIDFRSKMWGCPLCGKENSFPPHYAYMAG NDLPQELLRGNETVEYVSFADRNPPTFAFVVDTCVDTELELFGLREFVGCAFNKIPEG AFVCLITYGATVQIHELSGVTDYPRSLVFRGSQEATVETLKGVITDAQRFYGTRASLQ QTMDSIFQELQQDLWPVRKAHRPLRCTGAALSVASAFLEMVSPNTGSCILAFISGICT EGPGIAVETTREKFIRQHADIRDGTAAAAFWDSSCAFYDKLMQRIVKNGHSLSCFTAS LDQTGVAEMKLCIQASGGVILNDESWRKETLRQSLDRFFERREDGSLKMALNVTMDII TSPSWKVMGVIGQCVGTGKKSSSVADSEIGMGGTCQWTACMMDSTTNFAIYFDTMTVP EAQKQPFRYVQFVTKYEIGRETRVRVCTRKHVVQQVTSMPELAASFDQETAAVLLARE ALHRADTAPLFDVLRWLDRTIVRLVNRFGTYIKDQPSSLSLPQEFVFFPAFMFHLRRS GYLHVFNSSPDETTILRLMLLKSSVQDSIIQIQPTLYSYRMDAPPQPVSLDSAAIQPD NILLLDTFFEVLVHLGSTIAAWKKAGYAEQEEYANFKEFLQYPVDDAARLVGGRYPTP RFIYVCQDDPDARILRNRINPSRSYGSDGGRGYGGSEEELVYTDDASLSVFIDHLKRL AVSQ XP_823009.1 MNKEKVDRRLDLVGSSAGSIISVATWCALHASSVDVIMGAINER MQDPATSASTRCALLYVVHELLLTCAANGVPEAGKRRVLTAVSRVIPEAVTAVRALSP ADSDEFERGLSKVASWWSLLNIFPRVWIDQLGARNDEVPSSESVAGASMSAQLRYIAG LMSRYNEAKEEWLRALQTSPERAATLRGEAQQWLASVRAAVENKLEGGGSLATWLSAE LSVLTGSAPNPGGSFVGKEEEDDVLGSFFQKGK XP_823010.1 MGQGLTGGAMAGAALSITALPLRLTLADHLGICGAATACGLALD FASDCCSKEGRVIIHRDVLLKAQDPLRHLSTFYTTCESNRMARLFRQKHEWVVLESHG QQYYVVQKNPATGDVLMDVRNSLRAANDVGLQVAGKPMHTGEIRQHRMETEFDLPSDL QVAYVIAWLRKEDPRWAFSTENSRQFTARVRYALMDF XP_823011.1 MPYKSGVAPTKRDLVVVPPRGAGGFSYRVWEINSTPRPDASAAE DEPEISRKVQQRIHTMLQNWEQRTSQIILKWGEMGVKSPEHSRLVWRQAMEEKEMVKI QRGRDKLKAERQRKQRWSQKSGWSATKAWNRQGGAVTTGGTDNGREGKRVVGPHDSMR TPEAAPLASTSLYSSTSHRKSSEWRTTSVSRGTSVSLYSSIPKQSTLYTNDTEGTTRN SSDSSDYSSASD XP_823012.1 MVSFSIDGRIEQRYKILCHIGSGAYGVVWCALDRVTKMKVAIKK VYDAFGNRQDAQRTYREVMLLSTLQLDNIVPLLNVIRSINGTDLYLVFELAETDLSVV LRHNIMESVQRQYVAYQIVYAVAGLHARGVIHRDLKPANVFLNSDCNIKLGDFGLARC FNTQGGDNDLTEYIATRWYRSPEVLVKSTCYTTAMDMWAVGCILGELFTGSPLFTGNS TLHQIGLIVAALGEPSAEDLESLKSEETWPLIDSLPAIDPDPLPERLSKYNADAVDLI CKCIVFDPNKRPTAREALQHPYFAPFTSPSDFEELDKAVPIKLPFPDEEERPADQYRS ALYDIIGNRRKQKLAL XP_823013.1 MYPGKESPEIFRGSLRAFPSVFASTDAKINSGSRVILPPTCLQK LSTMRVAYPLQFKLRNGKRGVTCYAGVLEFSAEEGHIVMPAWMFTAMGLCEGSTVAIE TCTLPPGGLIKLRPQESNFLQLSNPKNVLEMRLSDYPVLTKGTSIVLDYLDRDFVIDV ISITDDTGKSVDAISTVRADTQATELKVEFERPLDMPPSPTESERQMPQGGNIIGADD AVEFAPFVLQPPTIGNQPQPARTKQPKEEAKAAFVPFMGVGRRIDGKSTVEEKSDTGG HRVAKTQEEMAQEAREMRLKAFGRFNAAKN XP_823014.1 MRDVHAFEDTLERPHHCPSWRLGVLFLEVVCTLSLCAPSFFSFF IPLGGITIHTCGQRAMIKEGGNEVGSLGWAESQAVSLVATGDLDGWRIFVQQHLEGAA RADIWAVVAARSFNILKEMVITSPHCAPFALEAGIVLLGAHSRCSTGASPTIFAMREA VAEWCVLAGNERLAQQTWCSMLPAKDDVVSLEIVTKILSSALRTRDYAVAEAEYPRGI SLYKALSEDPTAATAVNGFLYYLGTVLMAWHRFTDASLRFAELYERTKDATHLQLAVV SVIQSDASPVRTRRLRVYQKDENALLLGDLQAILNRAAQLHLLRPSELQCFLQLAGLS SDDDVAAAKEAFAQHNLEVVSQMYCNATFERLGVVIGITPADVERLVSRMVSEHRLDA RLDQVDEVVIFNRLKRTSVLEDWDGRISIISKELSHAVDLITGRYPELCESPLL XP_823015.1 MKTLQSSSRGRGLHRGRGRGRGGDARFASRFTDPRFQLTRGGHR SGRGRGTVQDAARQDPRFAKHLRQIQGGEGDSEKEEEDEESDEYSDMEDGEEGAEALS DIASSLKSDNGGGMDLSDDEAEQLDGEVRAWSPSDVEYVEPKHRLAIVNCSWDHIRSV DLYAVLFYALPLGGQLLNVAVYMSDFGKRMLEYERMHGPDLWEKRDEVSVKPPADADK PIKEGSKKKDRKNKGKESGMDYGVGDNEAEEGNIEKEHTMDDDPWEDDNIAMLQEEGE DGELFSEGKHRKYERDRMKYYYAVATFDSPETAEAVYNQLDGMDIEASGVVLDLRYVD DSEVFENPVNKADRIPANYQPLAAFKAAALSQTRFRISWDQEDVFRHRSLRDSFTGET ADDDLAAYIAPPDSSEEDEVDDNVENSRVNGKKMKRAKDKVRIRKKYAALLEEIGGLP EDYGGSGEDGEADEENSDESDDDSLNRFSDVEMEKSEGSCEGSPEVFGEVEATLDLDA ESKAVKLQRDVRIKQQMTSSDLGSKAELKYKLRRSEMKKKKKEMIMQEREAETAKQHA EREERRQVLRAALGTDDEGAIHLSGKEKRKQHARAVKQRLAAERAEKKKMRASNNLGV TREARQRLASDAAAEAIDTRFQSKLLSDPRFHLDVAQKDRRHNPDVVGLAATVVNARQ GRRQHERNEARDDGAVEYFLGRPSKKAKRE XP_823016.1 MRLTNTKPPPPRNAAFYITKLCTIAVCVYVLKMAKLAEVMLRSR KIIQPVMLLSYASFAIFVALWFYTGLVVKPKHPNWSETHKGLLHTAAGAWCAGGFLWA VAVWPVFHIWTIPLGLVSLVLFLNLVAIIPSFSRKEKE XP_823017.1 MQLCYSCRHAFPPSSLGQCSFSDIISHRLDEIHNIVNEMKVETA EGTHQVLQLRGALRELCSAIHQEFGALKQDKGGQEAAKRRNLQEQGELVTSFPNIKQE NHIHSPATQMTTPTIPRHSYGGCSPRATFNGTVPSHKIRKMNSALELVSASIYCVLES VAIRTRAAVALLWIPPPGAVSAELVAPFVVGRDVSRLRNSAPYRVGETSIPCAVSEAG IALNLKPRPGAFDPRASENSPLVDLIETSNAAQLLVPVFTRYGEVQRSVLGVLHLLGT PVIPCPFTQRNEEMAVQTAATLSIIVSSHYEVMGGEWANRIYDPSLLISASAYQGTLD MRSTQKVVDDFAPPPILIYRGVNERKPEDDIREEVKALRNLMTRRPERRAEIYSVKDL QHYATTMEQNWVALLGTITEMENKINKLEENALRTDLPKKQQCMSSSLEQTSNTQSES NGLHSSRCASPSTGALPSLYDARSVIKPEQLNSIEIAAAQKLRALKGDPSIFITEKEV XP_823018.1 MDYSDFDFPPNPTDENDEHGAVPCLNNDIPLQALSSTPVEQLPP TTLPPNQQRPIRQAIQANQKLVMTARTQHRAVAVKKNEGDHDDREQKEEMDEDSEEEE NAKKLFGWRKRSRFSWTEEELVDFYKFLSQYGTDFNAIAVLYTDKSRDEVKRLYHREL RRRPADVRAALASRTSIDLATFNTRLKKREEKRQQARNRKLDKEEEETLRQLEAGTLQ LLPTSEVATDPGGTANADVEFSFDFKEESHAQKSDMVESLPLPAEGAVGEKEDDKGLV GSPENVLEGTEKTYTDDFIIDW XP_823019.1 MAWTCSGVTNAGMIQRLEAASLLVTPAVIEAFRRVDRGWFLPHS PPEVAYSDQPVPIGYGATISAPHMHAIMVEIIAPFLLRTPEGVKPATVLDVGSGSGYL TAVLAELCSGRGGTVIGVEHISELVVRSTEVVNKHFRSWVEEGRIKFIEGDGRNITGL LGQKVPDFDVIHVGAAAATVPQVYIDALKPGGCLVIPVGREGEAQTLRVYTKDMDGHI SSTNHGGVRFVPLTSAKHQRGGI XP_823020.1 MTVSEASASTYANKREVLDRIKEVLEDYVQLGVIDREDFKKLAK TSADVLPPPVAAEEVMRKTLRQLVEFLNECGAEETVIAPIRAAMEAIKKEQTKQIEKE EKREDKAAPLLSLSALRERMSRKREELRRQREETDAANDAATARHEVIAASSGPAAAA VVVAVAVAEPKPESAAGNGEPRAKEQRTEASVRAPMRVSREVDLYADLVTATNRSR XP_823021.1 MPGIAQGQFSILQGLGPTSFNWHGSIPTTRADNNFEPNFRHFDF FLDTQAMPDEALAERVYKVPEGESHWNLRTFWGQNIRCTPVVVTSLAGAGFINFFYTR FLNRTAASIRWSFWKCYVVVFAWNNFTKFIARERYYQRDFQRNQLYSYDEIRDQRDRQ RVREALYEKQFVNNPVAEYRIKAWQVADRFA XP_823022.1 METGGDKADESQEQRIAARRQRVLKMMRCMNGDGDEIQNTITVE EDDAPKDLGGQRIQEVREEMAEVYNKAHSALTNWDVAACANESDRRSREEVALIERRK KREEDAEENEARSGAIQLRFESIYKLDVPHDMQRALDDQQKSCEEVIAVKDRLIEALR LQLEEREEEFVVALRRNAEDVRSLIEEMRNQTEKYLDSYTRKLREVESTYEQERQGRI AKYNEEIQQLMKVRRTRETEYRKKREAKILEAQKKMDDKHCDSREEYNEIKREHLKEI HSLMEELERCKAEFLLNGERLSYNLQVLRERIKENKNTQTLNKRKLARLQDTLSSLVS RYAESEKRYQRANKDLTAQLHRVAGQYRDLQRKFQLFEKADREKYRRLWRMHEEKNTQ LVQKCLQADRVIFEDILGMPWKPPELNYWHEDQLTNVVEEEDAVSSDEEIELSEEAVM LLGILKQQAPFIADNNVLEAIEMVNGITEERANIEAILSTLQIRTTEEMEDMLQFFIV DDEDGEATLISPQDAVSALQAFLNSRTQKQAQKLESQKQSDKKNTQTEKAKQGERQRI AEKEYWTRMGDSVPVDHRRVWGFLEKGLDRYLKQLKQRKALIEQTDSLRAHNAELCDL LGQYVQRGANFQLNMPPKLLLETRAHT XP_823023.1 MLRHGSHPEHPMQAFPNPVAGLLCSICKASAHPSMTEWYHCAQE GCRYTLCRKCQEIDEQLFDTPQVVIRSPILAYDNMDVCLRTRPRNNAHVLCPLYPARL MVAVASARGSIDPTETYYRLGNGGWTNAAHVVRVVPSQQLIEELAGAHLVGGDCSPSD RMSLRDLLDCIDESFRLMEQRSPYGLVMASLIYYPLIQYCSDWGNVSSEGRVAFVRAS LGFIHYVFHYVLDELNQWLENYDPMVKTTILSLYVELLGKSLTVARDLALSQAIALPS ELGPLVVETARVIVELVPVLDNGRRHHIDMGKSSMRDPSVALHQRDFGEEQLRLLSCC QGIVETAGWLLLHVPVFTYGRSDILPFRVAVSFIPNSECLERLLCQVTTSALEKDATL QKRVHDLDVVEVAVSLAARASCAESQLAVFRVIAAVAHESPGNISQIAPLVIAPLIKL ATKALNTLVANAAFDCFTELAYNEPRPNHHSCDVGNEETESPSLCSAVLHPNTAPYQT MHAYKIAGRQVLLCEYCSQTHLPAGSVPSMTPQRAHFHCYCRYCPNEHVTQTSRSPTV GPTSAIQLMINEGITHLMLFWLRCGEFAVANTVGRLSLKIAVPPEVIVTLYHALLRQS LVGYADAALAVAAQAYLPVVKEMQRRYPNAAISQYLSQESSSVGCKSLFADCTPGEDT DGNDVDGLSPSPDIALRSSDGGSVVSSVSPPVTDLFTMPNGYLNVAI XP_823024.1 MLGNSQGDTAEFGSCPGRNFSSLLRYPPLALYVVSIGLVAYLIG WGPGEGTVVNAVSGSAVACFVVARTLRGVLHFLWRERGRITFGRVTRCTRSRAPTGLK STGAIHMSLADAALLPLCTLVSTFHKNSVVSYLADVLYPSGIKYTLVYHFAWVFGMVL TAGCWNVLLPLEEGRLMPLEKIKSFLYHGAFTLSAWLLVPTLTFLSSALPCSGSKMTL LFNERCLSSRHRRYVAEGYLGLVLHALVVDGIIGSLSTLGGWCVNLQLCDEYLLQLTM AHRLIYVYGAVFIGGPFWSGFCALFSASLLFKMRGSFFSSCRLLQYVAEASLELCVLS SLCCMIEPLTPYAIFIWLGVFFGGWTVIFASLLHRYGLTFCENEEEMLFDL XP_823025.1 MFSSVLLRGASEPGRRAITLPTLLDTVRRDPGMTAVYYANRYFG KERIMEVTRVLWGELKFHGQVTVDRIDGPEEPPRWYPVFSVPRKLHRIRRHCAEEEDL SVLQHTDLTSDSAAPAPGDNDASASFAAAVEDNIINLVHSIPGRNIQFYISELPTSMQ PYGPMAFKRLRQAEVIIREQTPQGTFVWK XP_823026.1 MDRIEIYYQFSLPFPLYSPLSIVSGCLLQLVMSDPLVAQLEKDF RAIEQSDPSIADGFRVVYDREVPCELRGADGSGDGSLEGLKVKVLTLGDDHTLTALRV EVTSECDLFFHQTCVINHVGFLRLREHQSLVCDFADFLMTFLKMLNRCIKEPQRFLMV YVVGADGTAKMEFVENLEYKIINVLSLPFRASPEEVVREQIGYRYSAVRARLAILTAQ LKSATVTLGKTR XP_823027.1 MALTLAAHKTLPRRKLVLVVLDGVGIGPRDEYDAVHVAKTPLMD ALFNDPKHFRSICAHGTAVGLPTDADMGNSEVGHNALGAGRVVLQGASLVDDALESGE IFTSEGYRYLHGAFSQPGRTLHLIGLLSDGGVHSRDNQVYQILKHAGANGAKRIRVHA LYDGRDVPDKTSFKFTDELEEVLAKLREGGCDARIASGGGRMFVTMDRYEADWSIVER GWRAQVLGEGRAFKSAREALTKFREEDANISDQYYPPFVIAGDDGRPIGTIEDGDAVL CFNFRGDRVIEMSRAFEEEEFDKFNRVRLPKVRYAGMMRYDGDLGIPNNFLVPPPKLT RTSEEYLIGSGCNIFALSETQKFGHVTYFWNGNRSGKLSEERETFCEIPSDRVQFNQK PLMKSKEITDAAVDAIKSGKYDMIRINYPNGDMVGHTGDLKATITSLEAVDQSLQRLK EAVDSVNGVFLITADHGNSDDMVQRDKKGKPVRDAEGNLMPLTSHTLAPVPVFIGGAG LDPRVQMRTDLPRAGLANVTATFINLMGFEAPSDYEPSLIEVA XP_823028.1 MPAVADCTVVFPLRHDPASTHPDVSGLVGKAFERVNWRDAFDTF LAEERSALWAAKTAFDNTDTSAYIAARDALFPQAVSGVHGAVAFRNRAGHKLHETMEA VGLWEYLKGGATRAKGTFTFVDVCGGPGAFSQALFAMGKEHKLRLRGFGLTLRNVKGL DWYTDLPSRSFFPCYGIDGTGDVFKLENIESLCSLTCKENVRLVVADGGFDVPTEVVN FQETISCRIVYGQWLSAVKLLRPGGCFVLKLFDCFSPFTRAILFLTTHLYESVQVVKP RHSRVVNSERYLVCIGFIGAPKQWLEHFERCYQEGFVDNDNIPTVLPTSLFSGDKIFG ADVERMSATIASNQVSGLHAILEKLQSKPAMEEVKS XP_823029.1 MRETILSSSSEHLHTKQCIPGAKTNPSVVRTFARERPRDTPQLQ DGERVPSVALMRPTDVYAALQRHVLNVKYKWSRRLRRLRNHIGSCIDFAPEKPLRRCS VTYPSNTSPLRLR XP_823030.1 MAPKKKVPDAQVIDDKQQALENIKRQCQAVEQLLLLASEEIRCI RHEKSVLEKRIAEMVQQQEAAENTTSEQVRAMQRSTSTLSYQLSQRIKSLEQSVATAE NENASMRNELETIRTKKTKELERLNGEAAALKKELEEKALNSAVLLREVLLTK XP_823031.1 MAIHVARQGRRKMYYWVGTFICVVGILLLLVIPFKGVVREKLGM PSFIGGYCAVFATLLSFFQILEHLTCFSDPECQTKVVRILFMVPLYAMISWVCLLAPG AAEYLNILRDAYESYAIYAFFQLMLALMGGVDTLYRALMLEEWPPVPHIFPLCWLEPM KVSPTFVRNCRLAIFQFMVVKPLGAIVIIILKAKHELGGILDVSKGHFWTALICNFSI TTAFTALVYFYVGLKEFMEGTDALLKFICIKAVIFLSFWQGILIQLLAAMDWLPNFGY WTKEEAPQGLQDLLICIEMMFVAFAHRYCFGSDVYDPANLVSIEESQGNLDPYAAGRT IPPIRYSVRENLKYTLRNEDIFNDIKAIVRNQ XP_823032.1 MAAQTPRKEVGVDLRDELDADVECGSGYISIFGPPGSGKTTMLL QYLTSREHHVRNMKRSFLLEYVTGRALAGDSLRRLAWRLLPQTKRRRTECSHLQFGLL VRQWLDNAVEGSELHFVVDDADEVEEGAEGINQWLSVCVGGSQQPCNSNVVCLWVVSQ MPLRLSNCFRFHFVARPDASVVQSWLNTLFATNASPPGADAEANTPSLTLEEAHNITK GAVEYYMTHLPMRASIVTQDMRQLLQRVHQILPFLVAHAVVGRLNAMHYSTVWGRWRE LSSSVATAPSGALVGSRGDPLVAVLRRIGCSAMLWALSAFYCGAVPKSKHALVFGDEK LARRTNSTEVNAASHKAAVLSSLAHVVHLPRLMLVYRSLLNICVNLQDPLEFVPAEIA VQHHQTLVSWGLMVPTTHSTKGYHCHIPVTSAISLSQHLSLNLYDLIPR XP_823033.1 MLWVDKYRPRTLDDVDLYPELTEVLKRLAESQDLPHLLLYGPSG TGKKTRAMAVLQQVYGPSVYSLRLEHKSVQVTDSKVVDIATLSSPHHIDINPSDAGNH DRVVVMQMIREIAQTVPLQSGTPGAAKYKVVVLNEVDKMGRAAQHALRRTMEKYMATC RLVLICNSTSRLIAPLRSRCLAVRVPSHSQENITKVIRTVCEKEGRMPPSPAFLAALS NQSEGNLRRAQLMLEAAAMTKVDFSGSGANIPQADWQVFLEEIANDILTEQTPKKLFE IRGKFYDLLGQCISGEVIMRGVLEALLNSVKPAMRPAVVSLAAKYDHNMKLGTKPILH LEAFASGVMQLLKRN XP_823034.1 MLDAEDFVMSLVCARTEDLQRRDALRRRRADYIVREDEARAKRS EEELKHVLYRGTDYISLVEHNFRRSLDDMGRWWDLLSEHVIKEKRECEEAERLQKHMT DMCLSTFTKRELSEQVSVVEKDERRLAELEELLIAEDKAAKEESVEEAMQRVLMGAEK CIDFLDSNSLTNRSTGSLLTCTALHSFIQSIAGVPVSIERSASITFGMIFGHHPFRLV PSTFLMLLHTLYNKMWSNEPAVNRFDICKIPLFLVDGPKFSGKSLVSHHVATRLSLLH ISDRDLVNRALEAYHSEREGLPTLLATESSDDVPYIRAYEEHDENNDETAYHSHERVM QTVESVMRLSPWAVAGEEIEGGLLHGRPVEPSLIVHLMQLQMKDTLEGCSGILFDGAV AGLEELQRLQLIIPPFVHPFKGALNNWPSPPPLPPSSTDDGAPTEENQAQRLLVVQRE ARLEQPVVRGEGKTRPSKKGVDLSTLPPPVLPEVVYPELAPEEREAIERWEGREEDTN TLFSAMVYIHCGTQEIFNRFAGLRVDKETGEQYHMIFCPPPPERVPHVVNLDRTRTST ALLHRVVLEQRRKWDALRRSLTHENGSGGNLYEVDGEQALDGIVSNVARVISSRQRIF EENMLMYEAAMGAKKQKKWIEDTIVEMRERREAERKRLIKIYTESGVPIPHELEDTSP LPSVYTMPEETPQLFFRGLAIFTSYYNGIYGWTGAAVESLVRILLGYRKVAMEKYERF WNQPDEKQGKLDRFVENYNNIPLQLLGQAVCKNELHLLVDQLRDELFSVAEATGRDVT TRIDLITKKENFLGPWSTTVCNVAIATVKGEIERFMATLNMVLIYFSTVVNEPCVFEE LESEAVMTRAGVDGVQELAKGKDKKVQGSKKPQRPEDLAEKTGEDMLVEAIGKLVTTI TNYVEKLQGPITASQRVRENAKAAAAAADDGRFSLILTKCMPFVHEELASMQERIGRI RQFFVGLMREAEQYCANCRDTLLAKAKEGRLSSASAINTAIYTLRNAIETERAIPPLH LGQQTFSVLSGGPKQDERAVTPLSPYEVTSVHEVPMIHRTLSATRLMSMIRTFRNVAP DYTLASAEFFYIVQPDDYAGAQTRSVRLKTRREVFESFDPHGCGYIDWREFVLHLMLW CEAVPDMTRRDEPNNYYIRECSIEELLETKTALLGAQEVDEETFSKVPFYFAKDLSDD RLGAYMQALWLTFADDDGKLCPTPLLAMMCADRQPVRGAQKAFAVFPSAQDGLLTPWE IDCVSHMLATNPRNMCLPDVFSKENIDILYHGERALSFDSVCELVMGRSMLNSTKAFL RKTFAIDVD XP_823035.1 MAAEQPTTLAGVLLTDVWRGPLLATSRTSERLGHIDFAMVVPPV RAFIYYMLCPFLCYPVAALHLWGYYRCLKTLVLPKPYKEERYASHVIYGVQKRVYDSR QKRLHETAVTDAQEIQRALQVADGERNHFVDDPSAPGVAYYVVEQRIMRAEAIFTGEN WAWAFISDSCRYLSPVVLAYLFVPSCRRLKVDLKLWSQGRLRWRQLRHPVLNFFKSYR DYNDAITRINITKPPPKGKQPWTTNL XP_823036.1 MRLAYPTARRSAASYVLHNVTVPEPYDYLEDPENNETKSFVKAQ NDLFGEYMRSTEALGNKLYNRISQTFDFPRTSNPSFRNGRYYFYHNTGLQNQSVLKRA TSLTDSNPTTFLDPNILSNDGTTALKATAWSEDESLFAYSASDKGSDWQHIHVRRADT AEDTEDVIEWAKFTGISWLHNTGFFYTRFPALKGDVDKGAETDAAKDPFVCFHRLGTK QDEDVVVLSLPEHPHWGVSAEVSNCHSYLVVSITDGCEPKNLIWITKLPIDGAEKLSP STLTYNKLFNEFVGSFEYLGNDGTTFYFVTTRDAPRKKIISVDIATGKEQIVVGEREA VLDHAALVKNTLILVYLEDVKSTLYYCCLDKPELKKITIPIGAISSLFADRKVDLVSF KVTSFLLPGRSFVLDINDPEGSLRVFKDDNIEGLSADDFITEQKFYNSADGTRIPMFI IHRKGIVTSESPVLLYGYGGFNISLTPSFSSSRVVFLQHLRGVLAVPNIRGGGEYGEA WHNAGRLTSKQNCFTDFIEAAKFLHGNGYGNPATTAIMGGSNGGLLVAAVANQAPELF RCVICQVGVLDMYKFHKFTIGHAWRSDFGDPDKEEDFKVLQKYSPLHNIKSGVKYPAI LVVTGDHDDRVVPLHSLKYIAALQHENPTEGGPFLARVEVAAGHGAGKPTSKIMQESA DIYTFIAKNTNAQWTD XP_823037.1 MFRRLSSSARAVVAARFYTPPEGLKKLYASDFENSKYPLNIVPS DSVLFAKFLYKAAEEKGNFDNILSDFQKIAAAASKLPIFWERTAVVEKIPEFKQLSEP TFFTLVWMQNNGMLELIQEVAEVYETFVNAKQKKAVAKIFVAPGGEKNVEEARRVAEE LHKGLKELADYTLVLKTVVDRTIVKGFAVELAGQYVNKAEGQQKQAGRADEVDYTNLP APKPQKTVWDDNIETEVLRKYLDGLSQYDMEEAKYGV XP_823038.1 MEAVLRKVEKLKQNFRHSGGGSKFFNTAWRGEGTALQHELNSND KSRQKNAVKRIIAGMTMGRDVSHLFMDVVKLGQTTNLELKKLVYLYVLSNAKLQPGKA LMAVNTFLQDTTNPSPVVRALAVRTMMCVRVDSVTEYTLEPLRRAVNDLDPYVRKNAV IGIGKLFHNSRQLYEDQGFSTELLKLLTDKAAVVVSNAAAVVMEVNSNGGTPIVLERH HIMHLLDQIPGTTEWGQLNILELVSCTRPGDDRFAEDVVSRVMLQTNHTNQSVVMGAI KVIINYLPHCGQSTVNEVGVRINSALVTLSRGDPEAQYVVCKNIHALLVIFPNLLINN LDSFYVRFSDPPYVKLEKLRLLLKLVSNSSANGILKELEEYSTEVDPFFVEEVVKGIA ELALKIDSVAQSCVALLLRIVKNRPELLPQVVTSCKNITRKYPKLLVLGTLISECGAD GVVEEEAKVSLIWMLGEFCEFVENGMDIIRKYIEELMLHEPPVQLSILSAVIKMFLRD PQGMEPTLNTVLDALTTQSSDPDIRDRAYAYWRLLSKGIGVAKMKEIVHGHHAAVAVE STFSDAMTMGDLLKSINTAAAVFARPAQSFLPPYGFVTEDGSDEEEEDEEAEPQSSEP QVGSTEAAQPSLFDFGPPAASQLPAGPNNAAAGAAATSHRDPLEDLLGGGLPAVPPKP VVQQASTLDDLFS XP_823039.1 MPRVQRVRGLSFRQEYACNDLSCAGCRGSPKSSATQALIAPKDK RLADRPTIVLDLDETLVYAREGPLYVRPGLEELLQFLDENCETVLWTAGMKHYAEAVV RHIDRHNAVHHMIYRSSDWFRGGSTAKDLSLLGRDVATTIVFENTPDSIRGFEQNGVL VADYVGGELEDHTLSAILGLLRDFVERRRHDTNLTVPEFIRSSHRLEQREVPTDDGDM MLCYCLIVREGMYGYDKTNEVDGGMEPLHKRRHNKLVEAKRRWNLLVR XP_823040.1 MVLPSPHWNYCESFYAPVTEGASFRIQTDSVKGKGLVAQKKIRE GDVVHEETALCCSQNLDDHLSKVAVCGNCLLFLESPAQSVARVTKDEALTSALPFRES CADIRQVPCVRSESGCAMVFCSVRCREAAWSRFHRCGCRGRMSEEQKGAYDEFVTHDW NLGALNYSDTVFLGFRFVCMVVTNIRFHKQPVDVAYQPVSQLAKLPLTDFRLTYLLGP SKENGGIVAERGSESSSKSGGEEDDNSGQQWQQHWLRKLEESRCTSVHRYSAEDSHAA GVFLEKGVELLDRVLRFTDEERHFFTPTRWSELLGAMLLNGQERSRPSYYEQLKCKVK VIPDAAARMDAFEEKVRAAGFDARQLMCGSRGQGIYTIGCLLNHSCEPNLQVLYTAVG DETLSIEALRDIEPGEELNISYVDETLPYPQRQLILYEHYFFICKCPKCTREAPDWER QVNGSEK XP_823041.1 MRASLCTRNMWRAHVSDRRTVEEMYNCLVQKGVLREEPGQRLMV QACTKLANSIKVRESSSGKKGAEPPLAKGSGGKGTFANSGGYSGITSSYARLETCRAL AQSLWHAGSHFLRRASPDTVTRDIKVHWPENLGVDKRTGLYLWGDVGIGKTLVMDLFE LSEIPHVSKRRVHLHSFMCDLVKRLQKAETELRDHRLRPMDTVVNDILQESPILCLDE FQTIDVTHASLLAGFFSIALPRGLILFATSNRPPQDLTSISDSFARCVPLLWYYCDIV HCENIRDYRENASTGHHDAIFLHPNTIEAAAQLVRRVERGISGSRTWVKGATIWLYGR ELVVPYHCGGIALFDFRDICGALGPPDFQCLAKTFHTVIITNIPQISRLNRNAAQQFV ILVDELYQFNVKLLFTSEVPWGQLLRDGLGESPSVADSCYSEGEDERSGYAAYYGFRN EEELLSFNRIASRLKEMGCRHYLLRDHNHFVVLDYNFAALLE XP_823042.1 MSELELSELIRKFQAIQRQDIPNQITERNVVEIVNVLQRKKFVD LLFTVDGKEYLTWDQLRREVIDEVHANGGRMNVTDLPGLLTVHMIHIERVLPEVLEEP NIRLEAAELMTDEYLDSTVSAAQDVLKEKGFVAVSEFARIHRFTSLFAQNLLTGAVES GRLRAVLQGGALHTKQFVGAQKVVLRAGLLAATEPVNLTTFFERHNLFAPLVDVLIEA VRNDLPGKIEGRVYIPTYFEQDRANEVENVYLSNGFIEYALLHRKGITQAREFLSTKY NPVMEATAQGDAPKRRTRRVQAASTANTESPSVARSEKYPNAGHALSACFLSDRFLAN LVVLEDLAHGDALVVDLSTHLPLSVDFEKDSDILLRRLRELHPIVTSCTLLDCGVLLH ESVLPTVKKRLESSYDLQIRESGAKGRKSKVSREFGEEEEEILLRAVADVTGLPLEQY QDVLAELTSEWKVVAQEVYSELAAAAEQHVSVDWKRMRTNLQSSLGTAWCGMVIAEKG VLWATTKFDETSCVALNRHLLTDRAFALLRDILLNEGLDSAGVYECVCEALMVKEDQQ KQQPPTAALLQKALKALPEQQRQSLSPLVDAAMGKSVETFTKLLRDMSCTAQIAVSGF HQLNKKVERETLASMKQEVKKRVEEDTFTTDTARSGALFALLCSLLIHSRFHVHVDLP GRAVGGAVTCLAAEAMGVAELLKECNQIITGAISGKHSLSDDDVEKLETLRRLVLEDA XP_823043.1 MQRGFCRVGTVTVSQAQKRAAELINSSDGFCSNVMRTVQFTPTG VKACDGESMGFSWRANQRALNGFRSTHGGALAILADTFTQIHLGAARPAAEFRSVSFE INYLEAIPENAECTCITRIVGDGESDIHHVEYSFQDERRRKVFSRGTHVLSCTK XP_823044.1 MICKVLERIVRQILQRACIAMTARRLADILHGVVSVDNMTAVCL KMTESGVLERWGDDAFVLKDSSNAAVRNILVTPEYQDLGMTVLRVGERKVVKYIPREA EHRFFEVRQSILGRRAGLGLFLRSSRALRQGTVMCEYTGRLLRRPPKEHEQSIYVVRL RTAAYFVDGVDENGEHLSLATFINDNGPEAANAAMQEYDMLAGRVFVVAARDIDPGEE VFCTYGSTYWGCSSYDELHHKINGGQRKRPRDDDPDEFSCDFFYRCRRCGEEVTKRLM SLHARTCGDKLVKKKLISLNCLPFNEFTAMENSSKVLPASRQRMLRRAKHFVDLEEPQ TFGLSHEDVVGDVTFTWSAS XP_823045.1 MRRIQCCIPGGISHSRRWSQRFHSVEGVPRLSQEELRRLVDILE SDPKILGDIIGRLDAASRRRLIVAGGAIEWFGKDNAVTEMKRAGVDKDRFISPKDFDH WLEGALRRRQEEEDEEVTDRLQKQAMDEADELVPYSTLLWVALVAGLPFVGFGFLDNA MMILAGDAIDNSFGLYFNCSVLTCAAMGNIFSGVLGMQLHGVIEKAVQRFNFRVPTLT ENQMKGKRVFFAGHIGGTVGIMTGLLLGMIPLLLLDSDDAKNERTAGESLAVAKTN XP_823046.1 MEVLVERCLFKREWNGANVEDIVECAHSGKAHFIATGAFNSLVV KESHQGDVESLPIAARTLLLSADTSEIVVRGVNKFFDIEDVERDLLMWKGDVAKLQGI WLQRKLAGFVVTLFSLDGKSLGVVTKHVVEGPHVDIAYHILESMLSTEQRRRLARDLF ELRAAVSCECISLKDDSHHPVPERTVFDNKLVVFSIHKRDDMREVSVPPDIMQKMAKE WGFPSVPCWRVKNCEELITWLGERQHWEGKDPAGEALAEGYVVVIEDTVQRVSPESQS ISPFTALLIRLKAKTVKYRVLRSLRSIVSGESAAHPLLFHKILGSWTEHISGYTCLQQ AVTNRGVHQIYSEFESYINDQGNKRYRGSHLSVQEAYKGLLGFTEKEAQTISYAPLDV IFLCGLPGVGKTTLARLIGEMGGNGCSTFRYILHLSRDEVAKCVAEHHGINDSSSKHK KRRLRSMVHQFVQRAFRQSILLSFLLEGPGLLIFDACNAKPSTRQRWRYMLPRELASF RIVYLACAERNILASRIAGRRNHSVLRGAEEAQAALYAVGKEFVAPLESEPCFCLDAA AASTEDLARSVLLLYEETNGPAPSCRHRATVYDHQQAERELTKHCATFATSILGDHYN DSMTLARSLEVSRKKAVNTVAVQLLVKFDELYEIISDVVKSITVVVDLPKLSWWERCK RGLGLGNRRRPGVVEKGHTHWLRGWLLDGREVGETPPESVWFRALEDRYECQPALPHV TVFHGPTSAATWVSVPAIGSAVDVTLHSVLIDPFALCLGATVVLNGRRQELQLSPGCA VPLHVTVGHTRRVKASYAGEMFDLFQQRESHNRKLEHMRLGFSVKKSRSKFFNFVQVR LAEQVNVRGVVVVV XP_823047.1 MVIHLCGLIIVPTVSSLITIIIITLLYYVHYMMCCSCCFFFFCM LVVAHTCLPSPLYASYTHRVGRSYIGGMSGWFGSPAPTAAPPPIPLNNPIGVTPQPPV LAVPAPQPVSLSVPKAVPIPQISALQQPLSSVDANLLMPLLSTPPLPLSTLPAVANES RLTAELPPTLSPGRSSEPLTVSLEGCGGVNVAAKAAILPVAALGGSEGQSGEQAAAVV GGAEQISPALGGVVTDGDTLAACRKSLPSYVVFGGARYNRVDVPPGAVKVLKRSNEKL ISVAPRNTAKYMSHQLRLASAILRLDSRMRPPSSSFDAAARFSAVAPQDAGPLECDTF SLKNIWTIAYAGSVKDLHAFIELDGSAVSARGFVVYNRRHYGLKRLSEKFVLGLGQKA TPLQYAAIAGHVDSVVLLLCMGAEDDSYPYLRDILGDELETTVKGVNAKLRSRRKHHC SRRKGKRGPAPTVAPPPPAAEEDCPAGTQP XP_823048.1 MNRKRPRSPAGVQYWLMKSEPNKFSIDDLKKCTRSPWDGVRNYA ARNNMKLMKVGDCVLFYHSNAKPSGVVGLASVVKTAYPDHTALDPKSEYYDARASKKN PWEMVDVQFEEKFASIVSLEELKKEKKLQAMQLFSQSRLSVQPVEKSEYDHVVSLGRK K XP_823049.1 MSDTTRRVKLLWTRVRRKYLEVHHYLDTSFYKQCRSILHVVMDL DRDGIFARDPSKLPDYRMIISHPMWWDLIKARLTRYEYTSPSAFINDMRLVVQNCYDY NREESPFSTLARRIEIAMEDLFVTELSLDPPDPREVLRLGGSASKSHGRQLWEIICRY EGCKRGETPKHQQIRPAELKCATRRRLIMELRRLSAKARGGTHGGRSEKAASAQRAQP PASSSRRPEKAKFPTRSILETDDDVHFREEQNVPQEPPRKEPRLERVPQNERAGFSSF ALLSPVEFDEGSDEVQFNEEARVEN XP_823050.1 MRGSGSSVHSLTWLWDKMPVLVAEKARSNGPWRLRVSAALESTR RFVGERLGYCDISCEKGHQVDGNVWYAEQYLYPNHWQPGSGLRPKLVDDGESRTWLRA WARAVLVPLSILLFAFFLYISL XP_823051.1 MEVRDDNPSRIEDATKRAVEEIISAEIRSAAISKDNTISPCGHV SSHPFALTTFDTSSTLPAFLPLTGVTWPEAVKAVWKTFRANSTVGLFPELSRAWITID NKLLLWNFGTGRDFFLFDEVPELIVAVGTPVLPIAGVFQAHITYVLPVSTPKAVHLLG LCVFGGGPLKKAELYVVSLGFSVMAPALFTKLVGVKELGRIFAAGADGCLYEVKYAKE DTAFLPKLRLVNCSLMFGSVPVLGAVSSLISAIKQTWAKERPALRDVAIEAKRKLLFT LNSESTISLWRITNDGLSQLATVRGGALGGTNRTSVWSGGAGVELARIFCATSSDDSC SLIAVAANGEQYRYVCQDGLWGGADASLSLRESLGSYIPQGREVTVCFASEGISVVCH AEKSEGGYSPDSVTVASSHKGILQPHHNCRDIVAQFEPEATCMTRVDAISEVPVEGGV SPNELCSQVSCSPRQFVFLHRHGVSTFIKLRPVDTLHMIIASSSEQVRDSLLQRLSSI YSASDYCCMLVQIAVGCTNVPVTVDGPYVERGDANASFSPAGKGNGKSQIPLSVEQLG RQLNETPSQEVQRIAREILRSAMAPSWYEGPGPDDGTRHIVVQVSPFASGVLAYISRA LYAVWNTALEGVTMRELAVVETALSSVVRLLNSLKRDVWSDKFVQPAVPFQWDKGKVT LVLPPHRSSISSYDIKMLQSALLCSSHTLCERVLQTIKFLKQTAAVPPMAEDGKVTVA QIVSDQSVAHRMARHVGKVVLSSEKGAGYLPQDGVGSVMQLQLECPYFFNSIDVQEYN VRVELERLLSANGENFHAFPEGKMAEWESSVSVMAASLWYSGALLDICQQLRALKKEG TAVKLLLHAACQLDPNNSAFKTYLAERSGNLNQAVSSAVGSTYQQKLKVLGEVVSTLE NAWNTHRSTVDGLLGGPLSSGAIWQIEPTDELAHCYLFDWLCLPRDDAHIKAMLRETL VAAHSPFIEAYLQRNISTLGEQYVRYLRKTKKNHALAIEVCASVVHAPLTGIPREERI SYRLRCLAEAKGSAEESKSDQLKSLEQRIGLMEAQLHLSKIICEFINSGHSHLDRRVA VDGRGLMTERQIALEQMEMVENHVLSTVQLLQVAGMFCAYGGAEVQLDVLNAANVTDS SLHAACIDRCFQRKDATVEEIARRVIGKCMRTIASPLCHVVKILEAYAFQRSPEGSTL TVDVLYDCGVEHNVIFSTIAAIFDKRDFLTAPCETFDQSAVTDAFLVHSLAAALHRAV FASHISSVQMYFLGNALNTVREGISKVAIQPGDERSIRALSAAEGLLEQCNLALNRAS SRFTF XP_823052.1 MSGSRLDARGEKITTFWGIKDQGYDYVSIDLRDNCLQNFEHFGT HPKLLELRLENNMIESFMGLTRQPSLLVIDLEGNPIAAHPLHRVMVLLAVGFSVTTID GVPVTTQEYDTARAMGYHAALAVSYGWKLEVGVRSQEEYLQIIEACKKARRKSPAQRG TFKTIDHALEERRESVIIRVPPNQTSVENSQCFSTMEYERMTERVKHLEELLYEAKKE LKSQQQQQQQHKVDDVEMSRTDSLSAAELRCAHSILFTENIRLTTNVTTSTHEESSGC VQGALMFERASLVFLTFMSRTRLAEFPLCDLQVELHQNVLRIRGNHGSITDVFFGDTR TLRCVHKLIYLRRGCDVPLIFISSEAPENAKLLVDASVNTERGYFVGDNGPNDSFYPE RGHGGSSIHANGSLMPYMNSFHYETAASAAGEDKQLPEVTQSALTLTLQDGQTPNTSL IQQSFANTYADIASEREATDETDDKDRSEVSQETLFSTNAVTPRLFSGSPQAVPIDEN AFGPDIGAASADNREVAHTEAPAVSTPRDRGPPAVRVLRRQSSVFATQQPTSSRMKAN TRTRVTSQTQVSVVSNSLEKDSSVLQDSCTLSLTDAATGVTMLSRPPPGAANSLSSSQ LLVSAASDNGRSLVPIVEELVEGNPSVADPPAPSSSTPHVDNRLTPRVLVSRASTSGG RRSPAVRELRQRPLTTADHAPVVRLEVNAGESPVLQDHVPGAPTTTENGTVAPNVEPS GQGATTVEHLNAANVSTVHTSESVAPQQLASRAPTPGGRPPPPLRVPSRYSSVMAGQS PLPNRLSSAAGPRTAPQVPTTRASVPVGRSSPPARVRYKSPTTASGGLASTVHPSEVN GGESPVLQDHVPGAPTTTENETVAPNVEPSGQGATTVEHLNAANVSTVHTSESVAPQQ LASRAPTPGGRPPPPLRVPSRYSSVMAGQSPLPNRLSSAAGPRTAPQVPTTRASVPVG RSSPPARVLYRCSPTDAAAAAKAPVPLQQGKSASGAFVGPMPMSSRASTSVERASSTA PILHRSSASGIASDALFAHAPPSTDGTSCQSCSPVATSRRSILSARGSSSTRLSRRWR PTATGDVVAFNHRGAALEGRVASRGSTSMVLGPAARTSSAMRFSRRRPPTAAGTGGSS TGTPQREDMCNPKNSLTAKSERLAGDPSFLSSSVDYKKFLNEPEPDSDTDVSLERLL XP_823053.1 MSFMQTGPASMLKDGSTFVDDPVIKNIDACRELAKITRSSMGPY GLCKMVINHLNKLFVTHDAATILRELEVEHPAAKLLVQASNAMQEEVGDGTNFVVSLA GELLSQAESLVRMGLHPSEIVEGYKKAGNKSLEILETLTVGTVDDVLLKEQVMAPIRT AIASKQYGHDSFLANLVVEACINACPTNTRSFNVDNVRVAKLDGDCVLGSRNVRGFVI ARNPEGVVRHQKKAKIAVYACAVDVPSTETKGTALIETADELLQFSRKEEEAMEEIIT NIHKTGVNVIVSNSTFGDLALHYLNRYGIMAVKVPSKFELRRLCAAVGARTLSRLDTP TVEDIGSCDNVDVTDAGGKNIISFVQDKDDSKLSTIVVRGATKNVLDDVERAIDDGVN VFKALTKDKRLVAGAGAVEMELQRKLKLFAETSPGLDQYAIHKFASSFEVVARTLAEV SGFNGTDVVTQLEIDHNAGKVHNGVGVEDGTTIDSLEAGIVEPYLTKYWAINLATEAV VTVLQVNQIIVAKQAGGPKNRPDQARDDD XP_823054.1 METPRSREALEKLCEFLLREAGHSEVSDTLEDLFATAPQKAFRK VDVEVAFLVSPVAHRVPLYYIVPHEIHQGSICIVTPPPQRRYKDEVLKLSEDGDAIFQ RVKRVIDSNKLSVKFTHPVTVRALAKSFDNFFVYGVKKYPPQLTGEFCGHQRHPIWVP RRSPFAERIREAAKTVVVPRRGHNSVTCCIGHTGLSIEKLDENLRSFLTQLTNDPQGV THENILRIRVMGMDYKNRCAGLPIFCHTFQIPRTLPEGEEEGRQTKKRKKE XP_823055.1 MYRRFFRSAAVKHLGTTSAQCLMRATKYPCGAMCRNASSCHPQT LGYQQRFQSTTDKKFHCSVCKKPFRLEMAAKLHLQQAHGGDGSVEAGPGPGVEASSVN VVSTPVPSPISPVERTFVDEEERRPRRMRPTPKPLHQPDRDIPAAAMEEMLGVWDKIG LNRLEGNFVHSTMVMKVFAAPPDVSEIPLYEHVAPEGENPFDSLDHMTTGTVDATYVG HDAFAEVDLVDPFVAAPDQTLNPFRAGKVRNPFTRISPTQREVVKPLQPPQPKEEPLK APVTPFGQLPMFGQTREPSASFAAAAVSVQAAANPNEVSSPFAAAVSSSPFVGQVASP FAPAQDVAGSPFEASPCAASSPFVTAGGQETSPFAAPSTPASFGQGSLFPPMGTGAPG FTAITETEQRQQELEHGCPTCGKKFSTFEGAAMHSKSKHGIVLESKKVKDRLNRKGVP DLPAYVPSPVDLSSTSPFGTRSAIGASWAETELIPHAQCVSNITIVGRVLDVSQASEN VSHVTVFVEGERSGEEETLTLCCFGEVSQKIRGTLKRNATIFASGTLRLHPVYEASNN KYYVSPVVHVSMPTGTLAVIT XP_823056.1 MTSKAVSVASLPPFVLWRCATATVPHCHLFGLNVASPHTCYDRC MLSKPVAPLLHQSCFAAHCCVGVVRSPCRGQMWGEPVWTCRLLLAECGRSAAPSPQTM AICSQEMLALKCRGLPFVEYSVNNLREGSLVHVVSKIYKKPRFVPIHGTYVEDTELVV TEQFGSLVLLGHL XP_823057.1 MRAIFLVALALATMRESTAESLKLTKENFNETIAKSEIFLVKFY VDTCGYCQMLAPEWEKAANETIDNALMGEVDCHSQPELAANFSIRGYPTIILFRNGKE AEHYGGARTKDDIIKYIKANVGPAVTPASNAEEVTRAKEEHDVVCVGLTASNSTSLST TLAEAAQSFRVSLKFFEAEPKLFPDEKPETIVVYRKGGEKEVYDGPMEVEKLTEFLQI SRVAFGGEITPENYQYYSVIKRPVGWAMVKPNETASIELKESLTEVGKKMRSHMVVLW VNISKHPVWRDFGVPEDAKYPAFLAIHWGANYLHSTAEVVTRESLEKFILEFAAGRVE PTIKSLPVPEVETVDGKTTIVAKTMQKHLTSGKDMLILFFAPWCGHCKNFAPTFDKIA KEFDATDLIVAELDATANYVNSSTFTVTAFPTVFFVPNGGKPVVFEGERSFENVYEFV RKHVTTFKVSEKPANVTEEKKSEEENKSSRSNESNDSNESNVDKQDL XP_823058.1 MDAKQRESIEELKEQLRGLEEALLIQEKVMQQRNQVILSLSSSI ADAENSIGESKEGLKKAEESLEEAIRSNTIAHTERLVLQEEATKYSERDEYLNELSEA DAGLNAAEAQVKDLVIQINDLSREPQNKNALQRVTLVRLISMLNDLHASLKHSVQCVP HEEDNRAREVLKAIRELSRERERTFGHCLRKKREIMGVMELKKQRTDEILLESKKNVA LLRDIHEKTTLDAVEKIQKERNLLQEELENIKRANQLLQDTLGSTECLCDTKGSDSAS TPASISMNVASCQSTDVQKEREHLREYLRHLEEKVTQLRSTTDELRESVGSQMEKNTL KLKDLRHQVRSKQNETHRLENENRKLKSLCDSLASTLGPK XP_823059.1 MQGKVVSVHGADAQWYEPTQIPQDKYLKIVQGRNLFLVTFITGS AVIWGLSLIPQWCMYRSVSWMYRKYCRGRVLDLTPKVADARDVRYYEMSNAVRVEFIV KERVDDDEYKTDLSLSEEEQTERRRALTLGFMLKNDYNWAGSSITFDTVERRETEMPD FRKYDTVVIRNELLNMGQEGARKMLNSAAAYVKEGGYVLLMDFGKPRWPLLASLTRWF GAATRSSMNLTHDYGRWVAEDNCYSIIAERRCLMGVHYAFVLQRKP XP_823060.1 MRQSSLPEEDAFLCLLKQIEREGYTPNIGERAVICRTINGVFNP LGVYFALIGASCGYFGLGMAVRASQRLASSVVGFLYMAELSWSFQERRPCAGFLNDVR SLDGQLREKTGSQHLLGEFSLMDRSLIGEIFDFVTLDKTIRWLLCLTSSCASGPHWLP FVKIKWFDGLPLDPLTIWYWRFVLSFYR XP_823061.1 MADFFNVSDSDESIDEVIQRDDQVERNTAQIDPKWFEITDDEAA EEQRVVLSRREKSLNEIQTNADVFDFNVDQGTWVGAEQSFKELREKSDIHKRRFQSTP FPFLECLRNTPDLKTHLSERESFAKPEEFRSLKGLIKAVEEAMETYKDDIERLYDEED GDEGDEEEAEKELTEDDIVKQLRESVTCTGKKASKYRKLANECKRKGYKALQITTCGI VADALLEEDNREVYVSTKTWAKSCDTLEECFGLIVENPGIRLSDKFSDKLNKRDAFIK GGLHALLQSLSKHLRRITQFKDGIPSDYIEIVHLENRLVAIADALFGYYRDNSRGRAV CCQILVDILGSRRQEAHEILFGKMPPGQREAVSDSVIETVRSLYEQLLLIGDDESKSL ALLHLVYQMGLEGKYREGRDLIRRSGGAEKLCNSNHNSVLYNRAVAQLGLASFIMGDI MQAYELLSPLWNSWEGPEVLIGQKLPNLKDEKGDEELRYRDLLLPPHAHIPYSQLELA TMLSTLVVGTVDEAKKPYEVTHHHRYFYRVINQMQFQPLLGEPIEFREQITAAYTALK LGDYARSSEVIKNMKVWDNMPRGTEARDTFLQRLKEAALQIFCYNSRRSFATISVEIM AKKFDITESTVKHVINGIISENNTPLIAVWDRDDQYLHVDRSNISRLQYLVEATARSV ENIAHYCEKGGHGNDFRGGRGQGYMRGGRGFGRGGGSDFRGAADYGRGRGRGRARGGQ XP_823062.1 MEALRVQGLKFTFGVEFCRLALLRCFGELGSLYDVLLDVDVGEA LVTYAESVSAQEAYIKMNGFLLFGETIRVSITVPPVSEVPGCSVTHRPSRFVIIRGAT SLWVELNLRHVKGVEQHQIVGANAAVVSFANEHISTKIKRMFDG XP_823063.1 MADFFNVSDSDESIDEVIQRDDQVERNTAQIDPKWFEITDDEAA EEQRVVLSRREKSLNEIQTNADVFDFNVDQGTWVGAEQSFKELREKSDIHKRRFQSTP FPFLECLRNTPDLKTHLSERESFAKPEEFRSLKGLIKAVEEAMETYKDDIERLYDEED GDEGDEEEAEKELTEDDIVKQLRESVTCTGKKASKYRKLANECKRKGYKALQITTCGI VADALLEEDNREVYVSTKTWAKSCDTLEECFGLIVENPGIRLSDKFSDKLNKRDAFIK GGLHALLQSLSKHLRRITQFKDGIPSDYIEIVHLENRLVAIADALFGYYRDNSRGRAV CCQILVDILGSRRQEAHEILFGKMPPGQREAVSDSVIETVRSLYEQLLLIGDDESKSL ALLHLVYQMGLEGKYREGRDLIRRSGGAEKLCNSNHNSVLYNRAVAQLGLASFIMGDI MQAYELLSPLWNSWEGPEVLIGQKLPNLKDEKGDEELRYRDLLLPPHAHIPYSQLELA TMLSTLVVGTVDEAKKPYEVTHHHRYFYRVINQMQFQPLLGEPIEFREQITAAYTALK LGDYARSSEVIKNMKVWDNMPRGTEARDTFLQRLKEAALQIFCYNSRRSFATISVEIM AKKFDITESTVKHVINGIISENNTPLIAVWDRDDQYLHVDRSNISRLQYLVEATARSV ENIAHYCEKGGHGNDFRGGRGQGYMRGGRGFGRGGGSDFRGAADYGRGRGRGRARGGQ XP_823064.1 MEALRVQGLKFTFGVEFCRLALLRCFGELGSLYDVLLDVDVGEA LVTYAESVSAQEAYIKMNGFLLFGETIRVSITVPPVSEVPGCSVTHRPSRFVIIRGAT SLWVELNLRHVKGVEQHQIVGANAAVVSFANEHISTKIKRMFDGQIGYNGKPITVLFL RRL XP_823065.1 MKRQRSGDTVIKTLFREYQAHSVTGFVQQAIKDTEKIFLCEACL SQFSSLPDLIKHAEICTYRYWIPGDEIYRCDERKCVIMEIDGRKAVCSSFTRRIAYLS KFFLDEKTTLDDLHFFAFIVIFELDDYGYHFAGYFSKEWRKTLSCTNTLSCLMVLPPY RSKGYGSLLVELSYEMAKIEGIAGTPERPLSTGGKRIFSRIWREELLRAMFAIHKERL PLTLRTISSKSAINIEDTALALHHLGVVFSAPDGTTFITVPQSAINESEKTKRLNNKL LLWVPLS XP_823066.1 MFSCALRTSRRTYINAFNAKAKARPNFGLRGVGYWTSEVYHKPG QNYWTLLCTTGPFLVIGSIMYDGFWAKLDDIAGGGPSHLDYGWRKQDRKPWDFAFDIG EGYAAGPATLRPAPGAVDLGHH XP_823067.1 MASLLADVTNVQASHNGKEHKAGVVLHTTRPSVIRHDPYGWKCL IQPTLPATAIAFPDIMFSSKNLNEPENLHDGDEAPASPATAPVCSALVQFKCHQKEFS SPILVGKGQYVVVEGDRGIDVGVVIRVNTDSCKTYVERSGPTGNILRYATQQEVDYWA IDLKEQEAIAVTYCQRRVHRHRFDMEIRHAEYQFDKKKLTFYYTAKARIDFVQLLKEL YREFGCRIWMEKVRTYN XP_823068.1 MGCSASFSFFPRCDTATNRIKKQSYMLTGRGQEVAEHAMTLADA KPNLPSPREGRKEEKRYENGKPQTGITNILMQSQATVENGAGGPLRLRLSGLPFPLED DEEGQNIFPHCPKASRSIKVRWLPSKRKRNTRCSCGLWLFGWRAVRAQPWICGNTGDI RVARKEIKAAGVRGGSLKKQTYNSDGKLEMNKRGQRYN XP_823069.1 MTSLGLLRGAFGREKTAPTVQSCCGGRRPARQLEWGCGKSLGPC SVLSMGETRRPRRARGAPDW XP_823070.1 MDVDKYNCSVVFDDFKVSIEQCDFYALDQEMTGVDNGERPQSGT MSLTELYQVSRDVVNRYIAFQLGVTVFKRVDGGYEVKPYNFYLLKSVGDFVVNIESLK FLADNHMDFQRWLVTGMPYCGKVDTGLRGDEGGSVSSLRRGLSEYLVSRIKKWYNSPL ARDGECITFKTVICEEIERLTLSKLKEEQVFVSFEYDKSRCIGSPVSLTVHRGTSHFA SGQGKEKATPDLEPIKVCGFQHFWKCLTDCKKPIVGHNFWLDIMFMVQMHEGPLPEDY DTYKRLVHQLFPCVYDTKTLGREVIINNLSESFCLKDLYDRCLASRLKLGGAPEFFFP PGFGRYDPDSLESECKAHEAGYDSYMTGVAFSICRDLYCSGENSTLDKWRNIVSVYGS NYYMNVNGKDSLRRSATFVVEFREEIEYPFGELLLCRDDAFTNVDRDVELLPRDCIIS YDNKGLCRTIIVVFEDDISEDEVQTRIANSARRWSEVTKEANAYNIVFPEILSVYRLS G XP_823071.1 MRRKTTLNIGQVICFSSWNDGSEGYEWKSRALSEKRSLALEFLG NVNKRVSIHDAIRLKADINKKAISNVSCPSFFSGIEGADEDEDQSDMSLCSLLGMLEG EIETDCITHLSPSDASLLKEEFLCDYDSSDTKRMAKWVNLRSETSDYQSYGAIPEGER SLWSAWYLRNIKAGKKPI XP_823072.1 MCLLSFFSLYPLGSFSSGKSNMDTPPISDDDKEVIDRLFASDVP QSLSRHAVLILHYHRTVFGSMVPSNVLLAGCLLYSCKWLSYPSGNSFLKNYFQGMKTS DIVGLEMVLHGTVRQNFMLVEACLRSEMSEIVRLNDVPHNRERIVLVCLNLVRALYRT RWCLLPETAARGALLVACEMCDVHLKSLPESFNTTMVTCAVDYLRSIFAQSRC XP_823073.1 MEEDLGDWGLLSISDILDTDIPRKNEVSPSSAISLTEVEISLLS CVPIYAIRYVQSLIKNSKSAVDQRINGLEELDTTRSIKLRRSLATSEFIREKMLGAVE SFVLMTGEETNKPGFLRSIALLGPIVCPDIRLFFNAFVEGVEAMIFRMDYTQSDISQC VEVIQSFQSGANVDVLTAEQRRRLCSAEFSLALRCGKLSAVVNCLLHVPKSKEVEKCY RTPPMQYSIGCVRTEMDCFLTRVCDLPCSVHPVPVYCFPNDEKLFLCSRSRGWVLDQL GMMGTRFKVRQQFDISSRKGARIIHCNEKKFLAVYQSSSATGGLRYVMERWDREPGKQ PETSEISFCFDDNSAAARDVVFDVYGSTLTCLVCSKASRLSNSKCYLVSGDVDVVLSK SRSEFQVGSQHALRRGPCSWPLRNKCYYFTKTNCISVGRVTLKENFHPFSIELWVYPC NCSDNQAILSIGDKNADEILIEIEPTSDGVLLRGGTRTPHLGVSFVSYHIPGKPTFCY RWWHLGLLFKGNSWELWVNDSVVAQAPALVWPEAISDAVCCLGKSFVGFLVEVRIWNC YRPAPQLYRDGRRSLTGAEATLVGYYPLDEGEGDIIADHAPGGSHTILHHGQANWSSV DTFPVEPPRAMRYIDEFAPITWRESSGSIYFSSSPGYVALAVLADGPCLMICEYAVHN LLLMFQVRVDLPSRTALKDLAYNGLRHSLVCYASSADHPRRLLVWELHKQHHLFLKET YKSIWDCERDLLTQCSAYAGRVVSSERCLIDQLACFVQLPTLVVDASEGLLGGLLKLI RVVLLEQKERDHAAQLCSLLHANLLYRVERDPEVLKREIGAPFPDAQSLINVAQCCGE ATDPRNALLRLSFQESFFDTGLLSMTCRCLLSGGSRLAFIKGQAGRPRLTEKEDMLFR SLLEYYESVQASSSLITNAESAQLFCTSLMAEEIFQVERWISRRGKAVDAVKSVSRCL EVFQEILLAKTVEERGGDCGGYVASYAKLLLKTSEKIIESFLKAIHTKPENCWSGLVT CMEYSTVGSLLPSFSVAFSLLPTSVQANCVPYLKGCREALFSLTEALPGSERLPYDLG VALTSALCRIGCSLLVSSDMVTVKVDPKYLQLMSCGIRKIGSERDAIIKNLQQGVGSI SKVVEELRREDPGALLVLRDSRLMKLECLLMASFCALLMPTESLRRATKQNLSPMFRH VHNMRPLILSIRQEDPEYLEVIGQRALFLARFEPICGSRTEGTPRVRRYAKDVNPQKK WRRFFQIWKALRSLKTKLPQQRDADVGDMSAIIVQFLHDKKMGRDSVDRLVAQQTQRA QYRLSGMLLLRQLMEEARVSEPLAKIVLPVLAKTFTGWHYADSVQCCSKEDFLRLHGA FFQLLELVVGAVGSEERNLWADTLLALLTSELRVTDFQRMRGDVATKLRTLWRFENRR TAGCSVLNGNIPEVIRSTLLSPGGTAPSLVVGRSGCTLKGLGCRGTSVAPCEWSLGRK SVSYFFEVQIVDLCPGANCCIGVGPAEYNVSRLPGWDGDSYALHSSEGVLYEGNTLGR PVGCTFGIGDVVGCGWNTEARELYWTKNGRYVVATAHVLQQQLHPLIGISGRGLVKVN FGRDRFVFDKLKVSRPPRRNIGERAWDVFRMFSLRAALCAVELRNDSSSSPDSAVEDI RARLEQCFGCICAEIDRAISLPLSEPFITSLCSHLTVLAKVLTPAQGEILTPCVQCVV TVLQKGTNYALTDRTCSSRLRCSLITAWCSFMNLAPPQAIVEDDQYPRFLETLLSLAR ELEWGAVRKLGSVGEVFAPIEAWTALALLQRMNCHNSTHYWGEKLHAWIVQSVGDTSD YTNISLALRIINGASRLAVPGDRIVVYKSKHTKLVATVVDYSLEDETCEVIECEEKRQ TVSLRRADIVVGDDSSLFPQTGNMFHQIQLTHVFQLAQSLCSEREVEDVTLKTSLHYR VLAIFWQCAQRGFLTTASGLIPLLSRALDNVESTPDGYQVLLQERLVIENCLLGRAVS ATDSASNGNSHDSKPPAIPEVGDPPGASARELRARLAQELSMRGYNIDLCFMALDETG NDPHAALQLLGDHHEDFMSARRRTTPSTTDDEDISTDEAEETAEYDMHDIFSPESEDG MNENVSVDQCDSLTDCIRFLGGYVSITFEHSLGDQFTVDLQLYLFDVTATQDVLYQLA AQGEWELVARVQDSSFYCGWMRRGDAGPSLCKAPIGYSSTTRWVQLTVVQGESRFSLY KCGVLQCDMEIPFRGRLFESGLHLGGCADDEETRLNGGIRDVRFYSCALTSEEVSRLT AMRSDGRLPQCTLRLECTSETIKAVTADPRLNASLAITGAVTWFEQISGHGRTGDVCN PEVDLTTQNGEGGIFVIETVNEDSSVSAVWFKRKDQPREQIFAAIQKLDRQLICYYAV AITTHLMSRTPEPELCLTPEQVRRMVQFVVNSSDANLFKSFGHALQHIAMRENISIIN YAMQELVDVLQREQKMLVLESSHPFKSALETAHEVHVPGRREYELHFDTRCSSNMLVT FCAEHTLSTVIAHAPGYALNSLCIRASRFFIDVRIDISAAQWGYKIAVLYDLSVARSA AQLVRTTLSAVTAKGMERVPFLRTRECFGGLENAVRVNVGVTRRLILSCLTDLFLHVL EYAEPMPPVTCVHDLRRMSERLFRQAVGPQHLHSRFVQVVSEFYVAFSDAEQCLSLVD EERKKVLGRVYDASADADRFQRKRLEQRNLYKRREGLRVNVEKVLHVESLRVGVNQNK TILACSELSGSSLIADVPLGRGRWYFEVRMMSTGDVFIGVLPSRTHSWTDLPTLETFV AFNGKTGTYHGVKNVAVAPRRIWKAKDYVGVVMDGAQKICSFFVNGQDTGLFFPFGEN EVEATAAAGARAAGEQEVIYHPLFVLDEAEGVTINFGGAHFEYELPRNCFPLDPANLT LGTLIPYNQVRAFHDLAAYFASGGRTTLPPFFHEEANPFENSTERLGPPHVSLHSTSG VQVSLLHVRNTGLLFATVRANCRVTGGKWYYEVMLRSQGLMQIGWSSSNESREDSVGD TAGSWSVDLFRRVKWHDGKAEPFTSSRRWVVGDVIGCAIDLVAKKMIFSCNGRLLCDT NLFDCTFTGLPDGLSYEPAVSMRAGNEVMFNFGSSTLRYKPEGFCALGVPDSWNERID AFYSTLRPSTTLLRLQALRSIWLSADKLLRDEVLTPYENVVAALEQYCRQHGKSIVQV TEDVCADCFRDSSVSVKKALEAYRVLVAFSRVAQTVIPLLHLDTRHPNVSTKLFLMCR TLIFTSIRNEMVDDIVRETNVRCEHFRVSINRVNARANKASWMSSVFGQTFSLVADQN PRIFCTNRRFWSVVFLGEGAEDVGGPFREHISEMCRELMSTELPLFVPTANNVHNTGS YRDAFVPAASALSDTELSAYVFVGQLMGGALRNNEPLGLFFPPLVWKFLCFYPITESD IDDIDRICLQCIREFRSLNDRLGSSDMYDEVFDSETFTTRLSDGTLKELIAGGSGMRV TFDRCGEYADALSAARMSEFNTQLQKIREGLLNVIPETVLCLLTPSELEQRVCGKADY TVEELRGGTVYEGLTSDDRRVQLLWQALEDATMQQRRLFLRFVSGRDRLPVKLRILPL LTSDDADSTLPRAATCFFALELPDYSSLEVMKAKLYYSIENCADIDADFNPPEVDEAE APQLMIGVEDARQDEAESTTPSD XP_823074.1 MVNAALSFIIFTFHRFFVPLSGAVGMPPTPLRLTLFSPLLLFLL TAGTVCGTPGTDYIQVHSVFPPILRNFWEHGMRFWSFGLNTVVTDNYIRLTEGRRNVS GYIWNRHSNRMEAFELNATLQVQRHHRDSQTTASEGSGMAIWYTTVDRFTRNETQFFG FRPSFTGVGVLLTHADEISLVVNNGTTTIDTQHLTRKRHGYCRVPRLGSEHLTITLQY TNQTFRVLYTVHFTKKGREQDTWGAPHSTVLCTTGPAPPLDSSYYFGVTAANTGLSQA AHELRSIIMTPLSNITHHAEEESLAAQARLFKEDNKVTQELSD XP_823075.1 MDRSETFKVFCERVEAFLGKGVGIDLVLKCMLGLTRLRMVNASD EATKEVYAGFAMAVINGRMLGNHFRYPSSFSLALRTFKAKEGPLFHWFLFGLSFLLRT FEQMTGDLNYYQMIIMRHWSRSRLSHTYWFFKSLSLTCLLLDEVLLLRLELRSPQWRE KTSEERSSFLRRKVISVMRCLLDMCMYYQWVPWYNPYKTLQYCCVTASGFLGVYSGWG DVCDSLAASKARRVDSIKAD XP_823076.1 MEGCAHPLTSQLQPIFSELHSGKLATLSSTISKLENVLKDQGDG FFQSNSECQSREANLRLSVWISSQIGQLFRRPETQRAAILCVKTLLDVEYEAINNLDQ TFLRLLEDSVKCADMSVSRMAAEVWGLWLNNSGTSTEGIAQSRLKICFADLRESDKVM DKVAACLFLEELLKRTPSTVAPLLDVVAECLHPAVLSSSDLVQASAATALRYVLLLMY TSMNPSGIKKWYETFLSASLDALRSGAPERRTGAIRCFNAVMSSVTSNVSACDTAVTF SETSVAHVHEIWEYVSEQVTLTSTLELRRELLQSLTILALYDTEAFKRMSIAKVVEAA SGVFQTPTEKDLEPLVFQTLGKLAGVMPDQIVTFLGCSMRHIEEVLKQGRHDACGLGA IACVAAFAEVNPRAVRPYLRQILAPILSGSLTVDFAKGVARICAAFPELRSTCLSKIL EVAKKQLRDDRCNAGGAGALCDGGVSPAAQILSALSNLEFAGYPTLPFLCDTAVAYVS VPNGEVRRAAIKLCFKLVLSGCLGPQSPCRQTSDGVVIHSGCEHTQLFNKVIKKLVNA AVADPESDIRLDTLRNFTEEFDHTLALRDVVRSLFPALHDKHQNRLAVVRLLGRVSRR NPAHVYPMLRRIMVQCVTEMQYFEHAKKQEQAFSVLGAIIESAPGLGKPYISSLLNMC AARLSDGTREADVCAALLSCVGKLVRYAEGDDIRVCARIRPIVVQHILDSSHLPKKRE ALRALGDIVRTTKDVNVYEDHAELLPVLLQALHGGFKELWPVRKDVLQLMGIIGAVDP VRVKEILRGSRVNNNTKLVPFLPFEGEDTIAQTVVRSVLHILSLPSLTEDQSVAAVQV IVGIFSLEVLSPGCLVSFYGEVISSIQKQARVQVRKREEILVHLISLVRILKGHLRPH LKEITSTVDSFISATDLSVLRQVLALLKELCCSLREEFRPYMSSLLGPIIVLVEENVE ETSEIVLDFFSAMGSLLEDHLHTVLPVVCNIIVDTSVPSRCRIVAVKTLICFTKRLPD LCFHASRCVHCLCRVLRESDGGDGVGDEGRLGFSAMEALCTLAGSLGKNFENFVLVVL PAVADRYGETSGEYCRFCHDIYEAIDGKRAPEVSSNGVGKAGGGGAPSLPFTAGTSAS PLKDRADAYASLRFHLRKRDQASDEDWNHWLPQLAVNLLRSSSSPSHGRALTLAELHE PFARQMLHSAFAACYADMDEHTQREVVGLLTEVLRGLRVPSEVMQELLNLSEHMERQG IRLSAGGKASIKHSSNFCPFDRQVLMESSANCNLYSKALHYAEIEFFETVREYERSIL RGCPKPLPVKDWQNLIKLCEKSIYFCNLLGQRESANGILKFIRQNLPLLTGKKVTELS QMMDAHLFDKLRWWSQSLQAYERRLQQEPNKVSNMVGLLRALDALGEYPRVLEMWRQF SKRVSKREVSKLASMGAHAAWLLRRWDDMEHITSFMSDEDYTGTTALFYKATLAARKK RFREAEKLIDMCRKRVDSKLSALVAESYDRAYDLFVGIQQLSELEELAMATSDPQSAM HWRQLWERRLSVMAYEGWPGTLANHTLLVPPSSEIDMWLRFVSLSRAHGQGSVSTEVL RELLGNQSIESAIENGIPTPAVAMGSFQHLYETNQRDSAIARLQLYVSKVEGSGAQHV SREREDMAVCHAKLAEWLVHQKKAHRTEDELQKIFHHLRRATELDKSNGSIWRTLARV HREAATKPADGSDSSGASGHIMEALSAYLRSVSLSEELEDALGFLSLWFMYGPLLAVQ VGSTLKEEIEEVNPTVWLKVIPQIIARISSPNGTVADSVYNLLVLVARRHPQAILYSL NVAHSSYQGKGTADGVEPLKGSHRVLARIAEIHQNGKAMVEDSALVCRELVRCAVLWP ELWINELGRALYQWERQRSAENLLLAMGPLLEQLKRPETMAEAQFAAELRQPLENACC HVERAVSSRHEQFMEEARRIFVSIERRIREQISGMSSLALQLVSPKLHQNGRNLSLVV PGQYREDGNYPLIASFQNVLKVLNSKQRPRRIYINGSNGEIYKFLLKGHEDLRLDERV MQLLGFVNTILEKHSVAKRHDCLIQTYSVTPLSDNAGLVGWVDHCDTLNKIIEDYRVN PRCIRMELDLMRSMCDNLYYLTAIQRVEPFEFALERTEGVDLVRSFWVKAPSAETWLE RRTTYVCSLATMSMVGHILGLGDRHPSNLMIHAFSGRVVHIDFGDCFEVAQQRSIHPE KVPFRLTRMLVKAMEMGGIEGLFRHGCHTVMNVLREEGGSLLALLEAFVHDPLVSWWR DEAEGFSGNGQAASSVQTISVIGSMTNVAEEDSVGSLQLSQLSVQRRATTQQRVNANI EKDTSQTRKPKSVVKRIKRKLRGLEFPQSQEGKGSDGFTVEEQVSRLIEEATSNENLC VHFLGWCPFW XP_823077.1 MADENQVEKQVEPTPVVEVIDVEPDTLQDAIRIVIRKALEVNGL VRGLSEVARALDRRTAHMCILATDCDDEEYKKLIKALALQASIDIIEVDSREELAEWA GLQRRKQDETVKTFKCSCVAIRDFGERTKALDMLLNKLSH XP_823078.1 MTERRDNVSHAPDAIEGPNDGAHAEDTSPGFFSFENLGVAQVQV VGGTLNGYVIGYVAVYLLLYLTATECKFTTEGACGGAKIYGCKWSGTTCKFENPKCSE GSDPSDSCKNEVAYTSVYSGIFACAMIVGSMVGSIIAGKCITTFGLKKSFIIVSVTCT IACVVVQVAIEYNNYYALCTGRVLIGLGVGILCSVCPMYVNENAHPKLCKMDGVLFQV FTTLGIMLAAMLGLILDKTGASKEEANMAGRLHVFSAVPLGLSVAMFLVGMFLRESTA TFSQDDDGKADGGMDPNEYGWGQMLWPLFMGAVTAGTLQLTGINAVMNYAPKITENLG MDPSLGNFLVMAWNFVTSLAAIPLASRFTMRQMFITCSFVASCMCLFLCGIPVFPGVA EEKVKNGVATTGIALFIAAFEFGVGSCFFVLAQDLFPPSFRPKGGSFVVMMQFIFNIL INLLYPITTEAISGGPTANQDKGQAVAFILFGLIGLICSVLQFFYLYPYDANQDHEND HGGEPVEQKTYPVEASPRN XP_823079.1 MTERRDNVSHAPDAIEGPNDGAHAEDTSPGFFSFENLGVAQVQV VGGTLNGYVIGYVAVYLLLYLTATECKFTTEVACGGAKIYGCKWSGTTCKFENPKCSE GSDPSDSCKNEVAYTSVYSGIFACAMIVGSMVGSIIAGKCITTFGLKKSFIIVSITCT IACVVVQVAIEYNNYYALCTGRVLIGLGVGILCSVCPMYVNENAHPKLCKMDGVLFQV FTTLGIMLAAMLGLILDKTGASKEEANMAGRLHVFSAVPLGLSVAMFLVGMFLRESTA TFSQDDDGKADGGMDPNEYGWGQMLWPLFMGAVTAGTLQLTGINAVMNYAPKITENLG MDPSLGNFLVMAWNFVTSLVAIPLASRFTMRQMFITCSFVASCMCLFLCGIPVFPGVA EEKVKNGVATTGIALFIAAFEFGVGSCFFVLAQDLFPPSFRPKGSSFVVMMQFIFNIL INLLYPITTEAISGGPTGDQDKGQAVVFILFGLIGLICFVLQFFYLYPYDANQDHEND HGTEPVERIASPVDVPTPRN XP_823080.1 MTERRDNVSHAPDAIEGPNDGAHAEDTSPGFFSLENLGVAQVQV VGGTLNGFSIGFVAVYILLYEVATNCSLFKTTEACKAVGSYGCEWKDTEVCSWKKECD SDSDGVNPCESLIGYSSLYSGIFASAMIVGSMVGSIIAGKCITMFGLKKSFIIVGVMS VVASALNHISVATNEFWVLCAGRVLMGIGLGVVCVICPMYVNENAHPKLSKVDGVLFQ VFITFGIMLAAMLGLILDKTVNYDNDPDMAGRFHGFCAVSSVLSVAMFLVGMFLREST ATFSQDDDGKADGGMDPNEYGWGQMLWPLFMGAVTAGTLQLTGINAVMNYAPKITENL GMDPSLGNFLVMAWNFVTSLAAIPLASRFTMRQMFITCSFVASCMCLFLCGIPVFPGV AEEKVKNGVATTGIALFIAAFEFGVGSCFFVLAQDLFPPSFRPKGSSFVVMMQFIFNI LINLLYPITTEAISGGPTGDQDKGQAVVFILFGLIGLICFVLQFFYLYPYDANQDHEN DHGTEPVERIASPVDVPTPRN XP_823081.1 MGIDYYKVLGVSRDASPSDIKKAYHQLALKYHPDKASGNREEAE RLFKEVAEAYDVLSDEKKKKIYDSYGEEGLKGGVPDGSSGGPGGAGFHGFSSGGGTYN FSNRDAFKVFESFFGSNDPFAGGDMFGGGPGLHRVFRGFGGPHGFMSGFGSPEMSPAH EVPPLEYTFSCTLEEIYSGCTKKFNVLRPLPTGEEKKLFEVAVLPGYKKGTKVRFVGE GGIVQGYPPNVMADLVFVLDEKPHPRFKRDGADVLTTVQINLKQALLGTTISVLCLDG TTQSLPLTGVSKNGRKLRVSGKGLPNRKTKQNGDMYVTIEVVMPTSLNEATKRLVEKC EF XP_823082.1 MLKRGGLNGVKVIVIGDGNVGKSSLLRRFVTGTFSNQYNRTVGV EYMEKSVCLRQRSTTVNLFLWDTAGQETVSSVKDIYYLDAAVAILVFSTDSSESFARI EMWKRCVERVCGSIPMVLCQTKFDLARQAAVVAEEVEKLAVKLQLPLFRVSTKDGFNV TQLFEYVAAMCVSEAPGGECGPSGKLKSEADGNGSTGAGNAGKSSKSGGAGKKSSKKS KKKKCSVM XP_823083.1 MPLFKPSEIPDNSATVPPVTGCRDGCVASGFDSYPRGLIDRCSV GGRTNIWPIHPDVQQAIQLGKHLNMKAVVRGMRGTGKSTVVSRLCGYVPATRYTPSTG ISAGTFFYRSRTSTNTMVECGGAKVELWDVVDDATPSGTSGTVADMHTVDVYRGCHVA LFVIDRRRKESLEYVVREAPHVPPTTCIVIVINFRDAPRESLVVSERDVSAVCKSLRR TTTPMVLAVSGGRPPLECYSTSAIFVSISAVTGDGMESLWDAFETPFLLVKVLSLEGE INSCFQHIERHSTRTLDESARRQLHEKEELRRLRKEREMGEARSGHNPRRGDCAPPTP RREVLSLTHATTRGCGGVVCEEEDVEENGIAKGFFDDLEGDETCEECFGDVGGHIGVQ SSEAAADDEAETLSSSHNASCVRRLPSPVVAAAASLLRGDKAVAGDSLTSIGGYTDSF HANMPRTSNLEGSTFDGRGGGFSLGEAATLDDGFFSVGGDEEEEELRLTDEESLAGNG SDADESLPPRTRIQLKEPVSVNSLPGAEQSAVMTSSMKANIDVLLREMQSVLLTSTGS KPKSRPERSSRQRSNRDRKNRELRRRRAHGAAECGEKKEIHCDKYDDGTFEVIRD XP_823084.1 MGLLEFLLKIRPFSRRTRRILMLGLDNAGKTRLLRRICEEEVSD TFPTQGFNIQNITADELKFVVWDVGGQKSLRSYWRHYFDHTDALVFVIDSADMERIEE ARTELHYILEEEKLVGVPLLLFANKQDIPEAASQEEVMSSLNLRDTINRPWHIELCSA ETGEGLSSGLSWVVDTLKKRRPSLRPDGQV XP_823085.1 MQSGVGNITTPTSPQQLVVEAPAELLETCGVQYPAAADVNEGEV VDSKALSAAPGERDVLGCGPTLLSLLYSTQKKDEVGHRAVTPMLWECNGAQERCHSSK RDFTTCVKARKSPPPGSATGSGTGAIRDVLRPYRESHSKRFRAKVVDCRAALSVDDQI ITLKNENAKYKAQLLTKDVQLKELEAALRAFRAPRVPVPSGVTEPAEVGGCYNGTDTE VARLTRENGEVWRRLQQSHAQLAVWKRDARVSKLQELKMELAVYQAEVARLAAEVPSS GGAGGAQNHPARSKLQREAETSRAKDLFIAELKERASENATALRKCMDDAMRTKSTLE QVREENELISKELCLFRKTALALTRVQEELRATQCELAEARERLQAFEQMMHTVGGPE EVKAVVKERDALLTLLKQHNAREAAYHQEFFTRQREMKESMERCLQEAVQQERALAQD REMQLRRSCLMWKERCERLLPDKLFEQGRLIEGGGTVVEDEEQQTELHQLPKKIVTPF MDNSQRQRLLTKHIDDVLIVRQVSSTSTPASSSTTLSPVSGLSKLSITDTTQSQSSSH GMQISHPGNEDASSPVKGNVMSSQKGSSGDAPVPPTERTDPDGGAVSYPASSAVISAE RTSLVENSKGDIVTSISTEEGAVQLPRGLMTAGVDSSDDSGSELSDLAVGIEEGKTPS FRLHGNSDGQLIPEVVSRMPLTLRTVSSDGVAAVLTVASPPPRVSVPPAPVPRESAGS IASSENKLSDVNVAVPPPRVSVPPAPVPRESAGSIASSENELSDVNVAVPPPRVSVPP APVPRESAGSIASSENELSDVNVAVPPPRVSVPPAPVPRESAGSIASSENELSDVNVA VPPPRVSVPPAPVPRESAGSIASSENKLSDVNVAVPPPRVSVPPAPVPRESAGSIASS ENELSDVNVAVPPPRVSVPPAPVPRESAGSIASSENKLSDVNVAVPPPRVSVPPAPVP RESAGSIASSENKLSDVNVAVPPPRVSVPPAPVPRESAGSIASSENELSDVNVAVPPP RVSVPPAPVPRESAGSIASSENELSDVNVAVPPPRVSVPPAPVPRESAGSIASSENKL SDVNVAVPPPRVSVPPAPVPRESAGSIASSENKLSDVNVAVPPPRVSVPPAPVPRESA GSIASSENKLSDVNVAVPPPRVSVPPAPVPRESAGSIASSENELSDVNVAVPPPRVSV PPAPVPRESAGSIASSENELSDVNVAVPPPRVSVPPAPVPRESAGSIASSENKLSDVN VAVPPPRVSVPPAPVP XP_823086.1 MSTGHPVDCVPLHQAAESCDPVAKLCAFLMAKRGWSHHRLAQAL GVPPLVLEECIRNGVSSGALHGRLARWIAEGGGASLPQSLVQAVVQAPAGILSGSDTP ESQLDGDPLADLDPVTRAYFVELGSSIGQSFISILNDPRQARDNFRWGSWFVGIVSNA LDHKTYMKRAGTVTNEQPSSDTLMARYNQNWDYMPYLQLVSGTLSENNKGVEPLANVP AATPQLGSVLSCALNENLPWPQGVPEEFFDTSYDAANELRLLERVIEERGGSKIDEDG VPITATTFSDDFEEIEKRMKKLREWEGAVESCLLRHVQSRADQFFIVSQDFTDRAGEA RRALDDLQQTRADVDAYGTGFVREMMTIAQNYRIRHGLTASRDLAVGMMETLSALSVN ENWISLPERDMTELPAVVENYCALYKALWCGAAAGAGEANNNNNNNNNNNNNFSETGN FTRVRVLRDVPERMLLAKESLHKMIMREIELTFNPLPGSDVEEGRLLRAVRAAGEMNL LPTIIHQNRERLPDIMWTNARSVFVTGMMDSGAVGEKGANSLLEVAVAGDSSREEKLS LIGLSDSCRFPAFMRIFSAIAVQISQAVRQASRCWALLVVGASVRSSFRDVGAHDGEE SLWDMSRRNLVALFAAAEGTVALFLEARSRPSCVPTNVHDLETLVKSGYDFMQGMVAV FHDVAAMVGDLGDPWSCLSGKQLKAAVVRLGKEHVRSRHAANIERIRLTLEGEKWVPC DSDADFQSRVSVIFNGGDEAVSALRALIVFTDFKDSRETHPSSPKTCQEMAVAPSKKP DAVPDLNFTAVGEGKVNKPFILPSLGGQFDGRVVSVSLQILLEMLHDYDGYLGRFPFL AFDIMGKVYDLLRLYNSQCAALLLGAMAVETGTLESITVPHMAVASQNLALLYDGIPL MQRRWERVVDGDNLPSSISEDLERVRQGCQMCRLELLGKIAALVKEKVGVLADTARRE WQDAGSPWVMTTLRETARVMHLLNPLVPVSDCRGVVVPMLGTFARMMRTVMMSPDIDE VELGVMRSDVLVFKANVERFGFDVMRCMELCASPTDAMKESKEPCATDEAVLAWFFGQ A XP_823087.1 MRRCLFVVRHPKAYAAIHIAQHQLVSASTTSCSLERTPLLCVES GNVSVEPARVQFDGLIKEINEFQVRRKRSERRLNVPASNIPTTKTKPAQVERNVARVP QPSGPTSSVVTDPLLSSVPFVLRDPPVLTDVACTLHELESALFQISEDEGALLDEKVN VVPDATAANNDDGYVGASSELEGSCVDGGQSAATEDRQAAADAGELLSAQPDSQNSGL RCWLDDTVVPTSRICSDTSLNTTSLHVEWLSERTVALELRENPASVVDLVAGLNSALS TLEAVKEWSHGLVVVLRTARDIPFYTPKHSELELTPINRLEVMRAKSRLFRRMGEASR CGVVFVGEIGVSALDFGAELLFTCARVEFPISCADEVRVGFPSLQFGVWPSPDVLKRL SGVVGYGEGVTDVVPAFHTLPWGEWRKLYPQLSLLSDMECQSWSRVWLPEIMRGWWQW RLWFYQKMCGAFRQDLTPFCDAVPHNTPGGLWNSYCALVTGTETDGGADVLDKSINMY AELMANRDIYNSACVVAKLDRVHNRVLNPLPCDDRFVVSPGCSAGSLTSSAPFLIFAE DALGGAAKLIAQHRSSFDLNSPRYALLIGESDAVKDVAALLDCAVVATPVCAPKVAPL GGKPMVEVQVLPAVHVADNESKVALSSALAYFQSTEVPYIVTRGGDVSKRLLAALSLE LCQLASETECWRVEGVARRRLGFSTCPFELMDRYGAAFISAVIDRYEHMKNFVRQVPP ASRLLLAAAGTGGFYDKTGRIAGSVAQRLRSEEPTDGEIFVRLLGTVLNESCSLLLDG TVESTDDINLASVFCFGLKPSVGGILSCMDDNMSLSTLVREMLYMSRGCSGVSTVPSP LLRAMDDASESFRTLSADTIRRARGNMLK XP_823088.1 MVLHKWAVVSRSAPPPRGLRPIARTIPTHPRLRPVDYKIPYVLR TFIKDRHTSEVQHLENRGMFAEELSIERSRFPRFHSTFTIQTDGSLNEREFEFAVPPI VTLFHDRLSAHRERQLELAKIGKLRKERNWETEQKGEESVSMACNALAFPYCIPKNML KRSRVVDPLNSKSSTQGVTSGGG XP_823089.1 MKGLACRCLSHNIKYSHLCTHLFLQLRRESVSMMNSHNAIVQRL SREALADIEGLDALKHGFEREECCGPVPAKLPGSMTLTEHLFLSSDVGAKDWESNLRN VAGYDALNSKVKELENVQLTVFHRPGPDECLLRFLYDEKLQSVIITQYSCITSGEFPW ESKGSVPCDRSNDAFVFVCSHHQRDGRCGYCGTVLLELLRNAIKEKKGGDACIYVYPC SHVGGHMYAGNVLVYTKRGGICFGCIKPSDVDSLADLLVRGDGAIPDSLESRIRGKIG FTQGGLNCSVM XP_823090.1 MSTEVVHRKLNKYEWVEAAPGKGASPRQNGMIKELLGSAAACTE KKFYLCGGFDLKARRSVSQVVEMDVATKQWSCGVPLPERVRDAAAVAFGDCCLVFGGW NDETYTDNLWFFAPKPSDPAVTPPPGSKEPVSCAWSLIPPVGAAPSARTSHNMVLGML SGSDDAAPTSVVYLFGGFDGAKRLNDVWRLRIGPLMEKNEAEWEIVETKVGVPPVPRD AAAVAFDAAGERLIVFGGFASFLQNDLYIFTLRGGVNTWTSQACLSVPTRRQGCVAAV SGGYFVVCLGGDERGPLPQVLQLSLADFRWAQLSLEREDLSGRGGAVGCVSEKGRRIM LFGGGIPPKLNTSLLELELEKPDAGGSRKKGG XP_823091.1 MSDRLRGDRKRGVASDDEDVGDKPAFADAETMAQRRIVRVVRTT PAGPPPSLGGIFKSLPSALPTGTPPLVTKFGSVASGSASSSGISPPPLNGAFPFIPKP TEGAAPAFAMPKVNAMTATDFSSTAAGTITNTGDGNKTDTKPEVGGGLAGTGSLFGGA HSFGNAVNSFVAAKERLAKAAEETDDKGATVDSPVSPTPGDALANADPVSVATGEVVA TIPSKLYLFKSDTKSWSDCGVGEAKIKKHESNGGEDKSGGSPKYFYRLIVRDGYALNT PLSKNFILTKSEDTHATFSFPVGTKVVTYLLKYTGANAAECGPAFSKALKETLKLAQE QSK XP_823092.1 MDRASELMDEFFKKDPDIRRMLSPCLDELHGLDDAIRRVGHKHS LDPNNQDVTFEYATVLISHTRNSYVESGVRLMESLAFALWQRRWGGPTHAQLQQACKI EEPLPADGSAAPASPNLQQLVSSEEGREGQQMNGDVVSRNGKYGQRGCENPTRCSKDT LESDLVIFHYYLAVGWIKLKKYDNALSSLNRMLELKPGHPQGIALKQYVEAVSRQTIT VAGLAGIAAISVAATVLMAFRRS XP_823093.1 MLRLIASVRASWFLPQMALLGAVRWGGGKALRPPVRRAREGAPT ATRKAALPSGLKGVSTAMSVHRATRSRPRTPVVVNEENKRKKGGRPTAHVRSSSKDSE KRVVTRKGPTHTHAMQKIKYNKRVAAIAKLWRLQKR XP_823094.1 MTFEQCSGSRCGSSDFFYVCKSEAENRLRDFLSQLVEGVRSEEA LFRATCGPRVAWNPYRPARGEHIRQGVLNSEIYEWRSRVPEDSLALVGNPLVMKESFN HSLATGCQRTGGLERVTEICESLVCEPSETNVEARTKAHTSLTSFEDDGVTREFTISD SCPVIYNEDVLRRVVAGVFDVLWRTVAVPWINEQYDGQSSPFHEKAPVAQHTAPFTPV RARNEEHSKHILQGGQELQPQHPRRWQHRQITSDTTRKPPPPQQGLTIVPGVTPLRGL PALSVQRDVHSVSPGDYRRAVTHLEVHTGERHDQLGLAGKSDAYLAKDPGGTKQHRGS SCVLKLRREDSKGKIEMVHPASGNPVCPKQSPSSRQLSPQDIRYSFDDITSAQRLTSR LTQTLCGDRVGTGVRGDISSRLGRCHRLAPVLIGVKQPPQPNHFPSPRRLQRQP XP_823095.1 MPPQRQCSHCEKEKAVLKRPRNGKLLCQRCFFNLFEAEVHETIM KEKLFKPGDIVACGASGGKDSTVLIHLMKLLNEQHEYGIQLLLLSIDEGIAGYRDDSL KTVHRNAEVYNLPLRVLSYKELYGWTMDEVVKVTGLRSSCTYCGVFRRQALDRGAAML GATKVVTGHNADDLAETILMNILRGDLPRLSRCTSAITSGESLLPRVKPLKYAYEKEI VLYAHFKKLDYFTTECTYSKEAFRSEARTLLKNIEILQPRCILDTIRTGEQLRVKEQE CATENPPSACTRCGYVTSQSLCRACVLLQGLNMNGPAVCVREQPQPQQQQQQQNVEVE GQ XP_823096.1 MDGDDGSRMGMPRLPPELTDAQRADIKEVFSILDVDGTETITPN DLKVALRALGYEPHKDTIKRLVAEMDRSGVSSNLILPEFEAILRAKLFTDDKEEVMLT FPHFTQGKSDYITLEDLKRVTQELGEDIPEDVLKRMIEEADVLDHDNRVSKEEFVRML LTPKK XP_823097.1 MTDDVLRQQLEKVRKAFEAQDYQTCETLLQKMPKDDLQVQHNLA IVRLLLNHADVEQTIAALGDTSSDAFSSTNDKDTKPNVTLLYEGHETAHFNRALLLAR SGLVHEAAVILRALLAMHTSISVAVLARVLSLLQAITRMPPGSRHRQRSDDELIQQVL SEKLNAFNEDPALSLMVKAAFSDMGNIHELPHATDGEGAAHFNNLGVLAMGDSKLNVA SLCFAKAEKAAQQCNSLLVRQPIVYNAGLCALFRGEYDSAITCFLSVQELMKSSPLFW VRFAEASIGKLHAQKRVRSREEYERMQDCFSEQLHNGKLLPNYEFLTLPWAVITQSPL VDLKDNSVGTALEALASCAIQNALALLLPQNHTTISATDAFPHNAQLIHFALFYWCAL EIVRKNYTVVVNVGSDLLLLHDRRPLSPNLHTALLSYMVEALVHLNEPDRALKVLRRS SLSSLVAGSPPEQLDAYQQGRVEVLFINLAITHIVNGSWSQATSVVDSLLSKLYETRA AKEKDESSSGNNQDAVLAYHLLVIFLELAQGNQEKAQEALQRLSWCA XP_823098.1 MLRRIWSHKVLTTFVTLPVASVGGIAIYVEYRQRTRPVLPVFAP VVDGNGSLLLDGKAVPKPSRWVVARRVVELFLIFFPVAVLYVVMRLRRDWYLLWLQLL LRAVERAGPAFVKAGQWSCTRQDVFSPEFRSVFKKLYDEVDTHPYEVSLQILREELQQ DPAEIFSTIEEKTVGSGSIGQVHSATLRHTGEHVVVKVMHPNVVETIVKDFCIINTLA SFLHHRVPALEKYDLPALAIAWTTHLAAQLDFRLEARNLTLFRKNFRNEPYVRFPKPL LSTQRVLVETFCKGEPASVEFLAAQEEHARDKIANMGLNTWCKMLLHDKFLHGDMHPG NVIIDASDPHEPCVWLIDAGLCQQISEDEGVITHNLMEAFVHWKADLCCDALLSMGKR QKYADENKFRSDMNWLFNHWRPLHSKDAVVTNILQAIFECVRVNQVHMDPPYVSLLFA VLVLESFIMNLNPEFNMVRHAAPWLVADGHLTRGLMKNIVMTRLDLIKREMGVLRGRL RDGAHNDLAKNENVHLNANAW XP_823099.1 MVGSRTIPTSTLGRNLRETEGAETDRFGFFISSEEKAMEEEFLR VHPEKLSVRERWSKALLRWDGCAHMKKKKLCRVGVPQSQRKTVWPLLLMTYGWQLEKY GDYHLLMSQQPRDAAMFEIIERDLGRTFPTHRLFNKPGSTGQMGLRSILRAYANLNPE TGYVQGMGFLVGTLLIQIGDEESTFSAFVSIMENPRYSMAKLYAPGFPLLFVRLHQLQ KLLGRHCKKLLKRLMEYGIELSTFAANWYLTLFAYHFNFGLLSRIWDMFLCEGWKIIH RVAIALLLLHKSALDRARDGAELLIALNTAHEGKDEVEVIRKALSVKFKTADLVRWER KFCQQL XP_823100.1 MTGCGCIYFVCFSFPLHLFVAYLHGGSWCTVNGSVGSVSIITVL AISSLKITMFTVRSGVVRCARLWVLFFSIHTPAIAHSASWNGKRANKQIGQLGGFWRR GAMHIHETIPVAIVDPAEPNAAPIRRHIEDRTLFAYRNMGFALTHYHSSFTGANRHPI VIENMNQLRVYHRREYTYNLLLRRTYNVKTFQTVLGIIADSREPFNNVNQLQNTKLYA YRNTSADQEVVHRFSKEAVGGSYTPPQYMRFDALITGPHASAFITSCDSITEEFVINF VRAGHYTYYLHQHLSNPRYLPAWEKIYGPRGSRPAKPVLFYIGVGPQEFPESSMSAGM QRSLHAMVERCVDCVETPPPGIAADLIPFSVRETARKYGVRLLQRWRMRYFALRC XP_823101.1 MSDGGNVLGRPGDCNNCIETAKSNFHCSASTDASAAQNVPVPVP DPGLNATVGADSVLAPPVGTDEQDDDSSVESASVSASGNPENEENLLVDEFGFIIDEE EHDRVQRYIRGIDGKRVARREVKWQKMQANWESMSKKRRSKVKSRCRKGIPSSFRGAA WQLLIGSYLEMLNPGNEGTYDCLRLKDISDEGLKGTISRDLPRTFPKHVLFREEGGIG QTFLRNVLHAYANIDPEVGYVQGMAFVVGALYTQMTEEETFWALHTLMNGEKYRLREM YKPGFPMLHKLFYQLQRLMAKLLPNLYEHFEELGVHPTYYASRWFMTLFVYDFNFRAV LRIWDIFLSEGWKIIFRIAIVLLKLEERRLLAMSFEEIIFATKTLEQGKDPDELIRRA HGVRFKTAELEAFAREYELNKREGQV XP_823102.1 MKAAQPVVVDVEETFDSVAEKLWCALPSVAKFHATFEKTPILGA ESGNVGVVPQQSSVEDTSEAAAAKLDPPVTIRDAVVPVDEMQHLAGCVYTACHTNPVD ADVEAAVAAILDKGDEENSVEYSWGDFREFLERVESQWMEWADMDQKWLAVTERQHIQ VLKDLLESITYDVEPFGLLPRDKVLTMRSTIVHTKQLRTLFKTFVDFVSPPEYPAVYD KLWGLLIASQNGCEVAEVSPETPLELNSFTKFVLWFCLSIFVNATEAGLVVAAKTLWL RHLNERGVMLKKQFVDVCRYMCKFYSVGGSDVEYFEKCCARSEEALQGSDELPPFALF QQYMQPTEGRMLVDPNDLYIPEELERSKWMEELYRMNCSSNRIIVHGRRGVGKSHLAA SLAKRLGCVHLDAGELALEAEAAAPADPLGAQLRECTDADAPISLATLAALVRKKICS SETRYRGYVFSDIPFFSSENDAEKISFFTDCGLLDELVPTTFVLVDCENEFHPERLEA TLAARESDHQEELSLLKEEKEEEASYEALAQKIEELKATLSQITTKQEVEGGAEAPEG EEANAPELDPEEVEKDLKQLLEDQTVQEERKIEQADSRIARTKKYRELRLRRLVAQTL SEGYESGNEMSLTALPCFETALCRARLMGRCLTVDCASVAEEAVTYIVDTLSLQPCVR PWALVDKVERDPEREGSVFDDPDIERLTEEFATNFGVMTSSRWKRFCPVTFAEHGVLV EGSIAFGCVFRQQLFYLASEEKLSMFRANPCLYLGTLPLSREPILLLSLVEPQNDAQL SPTDMQILVRKLHEQLDLTPMAFSEFTTLWDSHRLLKGKRAEVLSNRTKYEVVERKQR ADRLKKRLAQEKRKKKSQKSPGKGKGKKAKSVVEEVTVEEYKGWEKKAEAPETIATRI AKNLEERLERQNTLVPVLVHALDDNPLSGFDQLFSEKVVPRTVVVLQYEKPTKAEDSA VLEPSAAEGVEENSGEVPKVLLPQEVVLDKLSDLPDGGVDIVAEVSPHDVAIHRIVVN DKDVHALVTEIMQAVCPGMDPVGVGVVDDAVGEDDEEDAQEFDDDENEEDDVPTAVNP AIKPGKTFLNQFGTTLEFCPVTLCERRLLVRGQSDHCLQYRGYVYTFASLEAKTKFEF NPLRYMRSSYSLPPCRMWIVGQSKSGKKTLAQSLHEAYDVPYFQYNRKLFDQCVEVAM TPTGGVISNIFIPPQTFDNPYLALAAGILKEVQEFDPEQERRMKLREEAERELERREE AANNGDEEEDELDEEAEARLQEHLAFEPETEHDRQLRLSEAYLKVAGCVTHIEPFASK GYIMVCPPFSDGDIEVLSSVDAIPEVTVNMEVSDEIYIKRNKEAMSSVSYSEMSSGGI PEVREEPVVNEEAKLLRRLEYEDRRKEREVARWRRRHIGADDPESDIDEELGDEGAAG GGNDESQEDEANERPFDQDLIVEKEAVGEFAEAVEERSIPMIVLNGDLSRNAVFRRAV RRLSRFLENRRSLLHAPQIVRYEDATRMLESGEATLSCFGSTDPVTLYDLRHGSRRVC KWRPDGAYLEEEPLIEPDSSSSDAQGTAVPEGSEGKDESEDTLDSGDRKPEPPQLDTS STEGDTESGDDEEMSELDSELLDEITEKFANRRRREWLRTCQRVALLHGRLFFFESDE TLLRYMQNPLLFIQQPPPQPPLRSMPVITFYDDDGAYPPENSGPSRKRCTAEHVAFNL NWIYLSLPKLLSWSAVNASLLSLSRKAIDAVLSGSVDDALVARLLGHRLNAADAKQNG VVLHNLPRTPDQYRLLLAWGLKVDKIFQFDDNYRDVATLMKSTATIEKQLTTARVSVA GLSEICDCIDGFVVNEGRAILSHLTGFPIDIDNSYHTVADIETHLSPYRWFCPYSWCL GENLVDQEKADCRFAALYDGQYYFFSSEEYLERFLLCPSQVTLPPGFKALPTPLPVRV QPSTEYAFELEGCCPVLLYDTRENRGLRGVLEPVARKGNPSCIVEYGGCYYALLDEEA VRRFLMRPWQYVDGAKLPPSRKVPLPEGKTMSTIDEEEFIRRILYDPVAHALIAVAEV RPKYYGLSLEESALKYIALHMKCFNPKNSEIQAKQYKKKFEIFSKQSTLYKTITMHSN SITQNEKFAELCDEWENSKYGREKELSIHCGCETEPV XP_823103.1 MLRFRVRLPKGKEPVSMDLDGTTTWGSFVQSISSLTDVPCSQLR ILSGFPPRPVEVGDGAASIASVLPGGGNVIVQVGEADVVKGKTEGRYVPPVDGKWHFV RRVCPSDNSCLFHAAAYVLRNKSRVDGPSLRKECADIVQAYPNVFNEKTILDRPNREY VDFIRNPNAWGGAIELMVLSFLTQTEIVALDLTSSNVLHFGEDNNYTVRAYVVFNGQH YDAIAMNPMFNSPQESEDQVLFSSTDAEVLNRARRFVADEAKKLRLR XP_823104.1 MASLSPLESRRNMQLSPYASPRRNPMATLHTREAPLEGREAEAA LISATLANAQLRASQAAVEQRSEEVDDLREARDALEREMAEKDEESQAAATYFEKQMT LVKEVNRKLKEELVNERATAELRMEEVVGKMQEELQERDSLIATLKQRLEDVESDLRS VIEFRDARDAHEKEIKELRVVYAEECENHQRNEREMRLQCMEERVRLREKEEKYERER EEEVARLARSYLSKKTRAIEEQNKSLETHISFLSSDADAARNEAETLQKTNNGLRRDA ELASSVETMHAVHHAKQRNEIALLRDQVRTTEDNLNSSLEEYEKRLQKQEKIHAAEMM KLSSERDKWRSTAENLRADLLKMRSISEKLIEQRSDMETFFHQALEQVRQEVSEERRN APHKICFRKPPKGIPSDLLQLTRGREPLMIGDRSHVMPTSHSTLTKKVVDANVSCTNS SVVSRSADTGGGSLPLITMSGSPFFSPANVLVPPIEWRSTSREMQATNGGRLVEERPL GISDLLSVPTAPKIKDLHTVDISQLSWSDKERVLQLLFKHMKRGATAKKTEKRVPTHD TNFLSITPSATPEVNTFLTD XP_823105.1 MIPVAGRRKGLSQAPNLGSAGNNETNSSLGGGFTTSTGAAPSPA TAGDALSFLDFDNDTGPTQASAPVASRRGAPTAAPPQRDTLSFLDFDDDEGPSKPSAP AASRRTAPPVAPAPAQSAPSFLDFDDDDGPTKPPPRSQPPASAASRGAPAQAVPSFLD FDDDAPANVPSTPQPSAAVSLEITNRWHELQKELQRVQVETLSCEEVIRKFESGEHEL CLEVGTLDEQVQQKQAAGEKAAAAREELERALELRRQQLTEENEAKHKQLLVEGVNTM RERYEDELSTLTASVEDQKVNLLAVEEKHSTMDGSISSVELYERAVCQLQERLKATLR DISAAVCLRIKPSLTNCIRDTVAQFAQERSDMQCNDRRRRREQLDTFQKNVDKGFSSF CEERRTKHQSRVDSIFGGERFRFQAEVERRFTTSRMEFMERQKELTAEAKKGALITFE EMTRRAAADMEVFQRKMKEELRLMEERYAAELAHLARLQASEKAAALRPRCENDELGS SVTAATPSVCKAVEKDVRYLRERVEQIKQSVSLDIQDLSIASQHPRCESLSALGKERM LAELEEKVRLLSRQFGAQWQRFKSAVGPLHQSVQLMVRGLQDGRVRAAAQQQETECIY REWTQSVRKELSMCLTSGGASSESAELQSGLVSMTRVVEMARAQVQAVLEAQRHRSEV LRGFNSEVQESLSALVKQRVASNGTLHSVFDEYERLSHAAAEVEAKRRALEVAAENYD HAKQVLEKERETFDGRLKVAQELGVKLRIDSEKLERKAARYARARLSGGSQFVRAVTM EKPLRDRLQCGKRMEWRSQSHGHSPRKRAADCVSGHPSPSSAEYRRSATASNGGDVLD FVTLLSFDDAVGQGDSGDLQHKRQPCQYRSTTSFDPSSCGSDGTYFTTPSTLN XP_823106.1 MNMISLNFTLYRFVCDKVTFSNIFAVDAESRRFPENSPFYCCWA IVPRQRAVSTVKAAEWSGCTPASYFKSHGNEIVLPFNNAVGTVDIEGDTNVITFNVRP YSNSNEPISVVAKGTLDPRPYVGRSNRNYAIKLRDVSGQTIGKLLFALEAREESGCVI STPLGIDGQPGVHTGLSNNLLNAVGVGASAGNLALRNRNENGRNGYGTVDGGKVQYDK REPYAQRDNSSFSNSVTPQAGSLEGMPMQAFNCLRAPTSTSATTECDPHSYVKLDLCI ERIVVKDDSSGQENPVPLLLGANYYLKVRFGGGVSTTQSVECQDPRKITYKDHMTFIE DVCSNDRLRFSLWENGKQVAGFSLNPTKFRVDIGMQKEYAIPFRYYPTRQSAFLELTV QRMGEPSLEDVEKTIDSFRVVRGIPFGGMRFMDEQLQLRRSEGESSEHLNTKTIGTTS GHSTTNLSSHTPEKAVEKGQRPEKLCREECGKQHTPLRAEHSSPPVAAEKKDFGQPGH HQTKAKNGDRHDTHTNPAVTQLAPSERVGENITNSVNSSFIVKQVSPVRKGSSAKRHA LLRRMTEEEVVPASAMRQYPGNDAPTSMKTVVRSMETTRSASPLRVTGAPRGDQRPRK LEDEYQSLTGGSKLLSMEDASQPRRNSPSTSPDQRVPDNAIDRERLVDSLLHRMNGRP GMNTTLMEEWMEWRHTCLSSRSSRSGSEGSAFCREDSVASLEIRSHAVSPRPTKSLSH AADMRPYTPVITRRAASDGRPPIPGR XP_823107.1 MVFDKELRNADNWRLYHLLELEPPVTAKQVQWLFRKVTLRHHFY QAYNILRDEERKSLYDSLGENFVGYLNSGSWGPLIQLLGAKATVAVCCGVWVLMILLM LFFFLAVGARADRLITWTWMQVAAPGLTVTLIVLIATAVAMAVSFFLRQPKEEGMRFV DRIPAIGNFVAAFFYCIFAFIGASTVDSYAPQRHNNYAPYFALPILADVIYYLSTMVW RWPRNRRLDLEVGMQESKPILWYAPCVFAGLHIILSIVQWVLLAQRVDRIIKISWYAA ASPIAVRAVVRVAESCMTSLARRAIGIKSVLGVVFDTLGSLFFNGLLLCSVFFTAARF VRGRRVVPLILVFLPVYLVLFYLLFCTIYTLFYLLRRITENAREERMNNLKWTPVEPQ AGDKVVPTLLRDFDASERIIWDDIDDESTMQYSTGYDEVTFETEGYDDYETGEEEEEE EEEELFARGAMVSGSSAPQTAPAHQRNVPLSRQESELVIDDDHSVVTIESSEEQPVRR HHAYHDPYESRTGTGLVGPQSETEYTQYDTNNDAYEVGDTNLESECASSDTSSSFEAS GTAHSERHQDPPSENVRRN XP_823108.1 MGMHEGATEVFQALAVAPQERLESFLSLFTELCPAECALLALRE QQQQQSSSKAATDMAGASFTAEDGDFAENVWRRFRERQDHNDANGTNSHISEAMEGEL EVVELDESTACRTSSMTAFLEHGMTDVVPTVGVFVEGLQEELEKNREGKEMEGDPAEP AEESGTNILQEVNGEGSVGYGGVAFEGGPSSDSASDAVSVESQESSRSDISFGDINLP GISASGESKGEVPTPCPHVFLKADEVKGLEVDEDYVQPFALDPYFDYEATIVGKSVRL HPREFV XP_823109.1 MPVHAHAMWNSLCFYKAYLPPEDTVRATGYVVLALEEPEEEVSP VPRENSNERGVSPSLSHLDWPDDGALFPGDRLTAGAATNSSQLSDLPPSHERSVMDRD TKLQFFLFRKEDVSPPYMKGMLGKIRHCFLLCLQGDRGNPCHCHRAHLLNEDAWRLKL SSFDFSTLCVSSNFSLRYIDVTHVRPTAGFLFTCGLLHERIIVAAQPILCRYNDKCRY GSQCLFVHADIGGDNLTKTTAATPLRNLLPPQEGLDTFLAQLEEVELQTVGDVQRLST KAFDAFVGGCEPQWTPLWLNISIFREIQPKASLESVLISFPGVTAPVVLPPNLTNVLS LLDMSQKDFYKLKLTINVQAACEKIRARFWSSRDYRTLDLKREGAKSFFTNITLEILQ FRSTMADCSWRKKDPMRQVVTSLMTYVDHKKCHCNVTCGSRRHWSMHKPALSSNGNGM SNATFPDHSWCTCPRSTVMAVNYELSTPSGSRCSEQNALGKLASMGLPTCAVREVFVH GEHQGSKKDPNPLFPCGVCENMLRKVAHDVLEIHGGDVMLYMYDSTHNPKRLVYLPIT EISYRDDMGFREFVADLHE XP_823110.1 MTTILENDRAFRIESNRAMTVSIHLIAVVLAFLTLILAPTEVEG VPAIQYKTSTRRTMNCDRFTKNIDECDTDKYHTLLLLQALPYILVAVSLLFFIPIYFV CKYVFDCCGGRRQSVNFCHPDKRSATVYNRMDLLRPRIFAVVAAVVCLAAAILGCLAM LVIQQCMRDVRSRVRNIVDMAVGYEKLHMSAMKVALYNSETDSEYPFLLSSLESNGPQ LNTLFRSRVETVKGIYDRTVLSAVNAGRRAGFWMLGLFLAPTVLTLVGLPVAFCNYRR YVSMFLFLFIGVFGIIVWTTAGAFAALNFFITDSCFEVEEFAEGRSNILTALSECDET SLTRPTAIIESLFKSQAGKTCEILKPYCYNDGQDSTSSATSGSVFSCPKDMSCDNVTD LQMAAWVDSTIVIAQGIVNNSGALQEAKNKGHFCSSVRDGGMCDLRKCASDCKLGNSL SNVGRVAKSVLVGVTAVSRARAMHETVGSALGSCESVLTTLASAMLSPCKTATKSLFV VEECLGLLGLGCILAMFVYAIGAKRFISLKKAYVPQND XP_823111.1 MSSLAERLVQQLLKFHQRGAELEQEQKTLAEEEKCNQTLQERLK QMQEQVQKVREIRAVLNEHNRAAEEKYEQAIKDDEAKRALMSEELQATLSEVNSYAEE IAHMEAKAAQENKLLKEQLEIYTRFHLDGQDKYNEIMRSREQEYAKLEAKKEADAARK PQLLAELSEENERFLQTRKEEKELEVLMDGWVARVTELQERFLKARRFFESFKEEKER HVKRIRSLESEKTVLLARVERCRAERDKEQAKAKAFEEKVITQKKQIEKLSAVAHMLE SGSLAESTDAAT XP_823112.1 MVSTTCLVGPTWVAPQLVITITIINIVDVISSHFVVWVSSLCSV LPCRCGCIHALHCGLLFVFFCLHVTPHLKKKNTRQFKMYSDSESIISEFDDFTSDEDP EMDDFDRLLDEQVEHQEDSLYTLRLSYLRLFEDEDGPLRSAFPDLYVPSKEILLDLSN VLSCEGDCEEDGENVEYEGEDIDWEAAAKDHEAFDKHGEEGGDDGDAEENHDVRLQPE NGCAETTLRTVGKPSPATKFMDKHRGNSLLVEVGLTVSRSELRERHVAQYPDIDFVVG YIQREPTGWALLMTEDPNTVEGIKSYYPSHRLATESDYVMFFRSTHPKKTGFVTIPNP PQRQQKKGRRQYIRQMQSSAALSAHIEAMGGMQNAIFVFLDVEAAVVQKNSVPLPLEI ALVPSGGDHSFQPFHCFLHPGVIENSQVALGLSCGAIPSSHHVPLNNVTFLRRDYTKV AEEISQFLSCERVVLINKGSLMDVQALRWVFGAARIAESSNMPIPKLEDIACFDIQAL KKLLAQGEWRETKGDAAETHGEFCWYHAGMQEGLRREIVGYTESHCALKDAQVIHGVV QRYL XP_823113.1 MFRACLRFLAVSPFTLFMMDQKNNPALKGCAISKRGKLLSKMYK ELSQNQRRELNMRAARHPNLGKRSKTEITDEKRSSRRKSKGTFATFVKNNYASVRGLN YRKRFAALSKLYNLSRPIQMEEVIKSMPKGHSLAALPGGKGSASVKAKEKEVVIAKAV QDASEMLRAAQKEGGKSDTSEKKPTRKGRGAPKKEEKPTQKEKKVTKKK XP_823114.1 MNAPHRTPGSNSAGNTGPGSHRSRCISLDPRVHEHIPPHFLPNL AKYKYSGSDSGIISNYVMQPYWNFIVSLVPMTVAPNAITVTGFVMCLSSALLVMFFYY FGNAEYPCWVWLYAVICLFAYQTLDAIDGKQARRTNTGSPLGELFDHGCDVILTPFVQ MMICCALNTPPCVTFVYITLSSCAVFGAIWEQFVTGTLDFGYVNGPTDGILLACGIFL ITAIMSPAVWDTQVAGPYEVPLPSWLGSCGGSFVIGSVRSMLFTFYVVSGTLTLLTNI LHVLKRPNIQKPGMAVTTALPVVCLLVLHVWMYLVYRPIHEKYPYALELSFHVLISYT ATRMTVSRLCAMPFNLFSGLFIITLLFTVAPLFIHTYLPLAEEKYVLPSLGSATVALA VLGFWQYFHMILSVITQMAYFLRISVFSITSRHDSNTKWE XP_823115.1 MNSNSLLGELAKECAPLLLAPRADDPDAVVRRLRILPADGIYRC SSRDSIITPYVFSGDAEHSAPPNHSLTPEQSGSVVTSDILGISTEVCPLQTALSLASV ECVAANQKHLFELNVFLQLLRQAPASYTSSLFWSVLIRRLGELGFYEIMKGNINTLWA RIGRQLLRSGEMFNALRLLQTSCDVVLHYSEHAVQYPPDATLLGEFAVLARQMWAESS SPVVRRCALVVSAFLTKFADLVPLDLLSDASETKRDAPHLLAVVAKAPTLFKEEEETA AAGENLRVVLTVAFTTTAYSIPTTMYFRGEGPQSASLSLVQHRFASALHQLLVLASYG FWDHFSAESLLSLTEASAVYSRLWNSFPPKMFTLWGRICQNNLVTSCKMYTSSLFNYC ISAAAYHYSLGELEGITRRYAVGDVSHGGEPSSTEPLQAADEGQKRGRQQEQHEDVSE RILGSGADACEKLDITPHLRETFRLMVTGWGSFQPGDRNFLRSGLVVLHVLLLNYPYQ LEELGKAIGFDVGQLLHGIVMGLLASDVDSAQIMETVYSIIFRFYEMFDIFDVVSNLL PPQVMADVGLKCETTEKALMQAQLYGALLETHGEDISPLPLLEAATHISRGAILRFSS ATSFFVKWFTVTERSLLLQPRDALVDLFSLKGAGDKLVQCLCEGALALVRELQSGNVI IDGFPVAPVLESVISCISSAVLYGGQQNALVACAIAVHGEGDVPASLMLFCLVFAANA NITLLESSARLLAYVVEHSDEGLFTTLRLHTSIEMKKRLLAGLHQQLGSAASADSTGA RMVRLATLHHLLRFDPAAFYYLMAAEDHGKESAELRITPFLRDVVKSSDTTALEKSEA LACLKALDDVGVSTTEVATLLSNMRVDSPITGGVLTAAAVYYACGVYFHKYKLDGGES SVAPTGKGVTQRLRLSDSFTVNMMQGKVLIGPSDEACKMLKFSCEALKQCISFYEQTA KHLRYGDANNATVLPSIDSYPAAHSPLVLSVAGTAGRSAGCTDRRCRSLVDPRQMCNG QATFSSCLLAAEGISMWEGVANVDLDRLASALVAITRLTTSIEAALWILYGEKEVDSV TAQLLEAALCAVQMLGSPVPQLRDQVSACLDGALTLAQTAAVILTRMPSDGNSLLRTG EFIGLFSVFVKTLSLYRDDDDVISRGLKIVGVFKPVEVADEEVVIHIFDTIASVVERH ASSSPTDFLVPIVAQVTVIFTSIGEFCPTKCALGIVNNLWSCAMNVSQMIVIADPCSP LSNKFDVIVEAVRAILLQCDGILGYLSYDRIMPMATALGQLSNASCYDALDHLYKPQA WHGAWLSLLRLFHAVLIGCGGGSENTLGWLSIISSWATTSPRFREAVSGFTYCRGGVS RSSGPHSWEWQEMHIATLIISLLSSLGTSTAPLTPHVQRCFCRIRHFTCQTTGTPCAA SLNELFLATVRHQLSYLIFHSPPSGYMEGEPPIVTISRYRYPSNGEASTSDGLLLQKA AKVNEGDQKEEQLSFYTLRCFIFRELSILRKSCRDDSSATGALTSPDNTPVMRSSSLN TSTDGFSDIDVRDGGLQVVAPHLLNVQLALILFVRNGHFQVFQRCLDLWEQQELVEGL DELHRFLNLLSHYARRLESTKLISVVETVQEELQGLAERLRSGATSWRTTGATL XP_823116.1 MTSSFLAHPKVLLMGLRKSGKTSIQKVVFEGMQPHHCVDLTTTV QPEKSTVCSYDFVNFEVWDFPGQTDPFDLNNTVHYDVGVLLENCGAIVFVMDCGELID DSHARLVETVCAAYDRDPELSVEVFIHKVDKLSEDHQADLLTSLQRRVEAEARQRLNA TAQLRLNFNLTSIYDHSVFQAFSSVVQKLMKLQIPYITELLQILNSNSNLDLSYLFLS RSKIFLSVDERNRVKTRTYEICSDAIEVMMGMNRIYSKGKEQGEGDEEEVSSGARGTE AEVYAGANIVIKLSSDDCIYVKELPNSLTLVSMVKNESFRNRILIDHNISAFYNAAYS IFRRN XP_823117.1 MDCVYNSVGPLSPFLWPAPHANLVPPGYSPGTVADTMLHISDLT LSLDVLAKALDHSYHPVLYAMETHQLHTRVLYKRQFDLMQYGMVLDEVERLVIVRMPA LCGDSGKKVSQEYAALCEDDLNSAPCARHPILPEHCLIVYQLPAKGDGNDAVPTPLFR LVFRNESFNESMKRELADKFPLYRLRSVYVSHKMYYPLRHQVQLYNEASAESVDLPDF DLEESLGLKPLRQLLTAWMMVLEGIAPSWLVDKGVGPDVVTLFLAHHRPEGKYDVKEV LQAYDQLPVENRVRCSYYLTAKRMEQRIKVMPRFPNMINTWRKGSYDVEYFSEVASGT EPTKCLAPCFRMLLCNRKPGRNYVEDDEVAAFIPTDAECEPLQVYNTLAAERLRLWVL KGLLTAELHGIHNLMIEFDVAMVVSECVGLLWGGDEEQRRREVIFHVCKIIVETVEDY VQHSGVIWCATIVTSDEESIRSAELCKDILEVTQIAKARVEIEEGLRVASSGKEDTEE APSASSETGVSTPGDEKEAHEQVDEVAADPVDNLLYIPGTFQTITNCQIGVVPCRQPF ITASKISEDVRDRAMRCGVRLSDAELYPIFLALSGGEETVPISKVVGLILEKQEEATN TGGRRARGSCSMLWPHDHPICALDFCGVPWDVVSVTNFVKGFQRRPYRAWGTKDYRAS LMMSGRCEESDTLNYVEFSIMMLALARQ XP_823118.1 MSATVAQRPSTAQERILASNCPPPPPPPPGSPSHRQRAAYNNFG SGVSAMFGFQPTGLVTAIENETQGPVVTRRTKYRDTSEIILGPSKPSQIKHGVRRYDG HDDCSVGLALTDTWIRGYADDEEPPAHVVRQRGPKDNLEGNCCRVKTEETKPRKMLAP GNPPPRTTRPPYSVPEYDGNNAEETCPKRLYRHKDSGNIICPEPLPKGTVIDDRPRGT VRSHRNRSNESVDVLNLGQYSSEQLNEVERKLVAGPREFIPPPLPPRQRPIIARVHTP ANATHDIFGTGQGVEDIEPVHCKKRGESAPKRSGEFDIFDPTPLPQQPTKGYNPSDLL VYDEPYVSVQEKREKAAREARRNPEKYCPGNVVTSSHKIEKKTESGVVFHSKSQEITA HGGRARGLYAPRGSSISLC XP_823119.1 MLAGRYCLTTHDAPTRHIEWLPLYFHSRHYLLSFFLFSFLFFFP CVVCVCQTEKGNKAPAMLRVSRLSLAIGTYSLFMKEQKNNPALKGLPVAKRGQATAKL YRELSVTEREELAKRAKAAPSATRKKSVPKTKGKEKVTGGGGKRKASEYTEFVKSNIS KYSNLPQRERMTAVAKLWKQQKQMRK XP_823120.1 MLRFTVALCSIRKMALKLFRDEQLHSANLQGLSMAQKRKVIEKK FNHLGRNEMRVLRQRAREMHDNVVLAAGAGAGAGKGDFQPRHPKITAYELFFKEQSSN PSISSITSPRLREKRVFAIFQTLPEKTRKQLEERAEQLSQSGGQRKISPAVKVPKPKK EIQTKASKKAPTKRTAAKKKQKPSTYRAFVKEQMPLLKHLPPAERIKTIAKKWKAQKG EKKPTKRTGPSENKAAGKK XP_823121.1 MLRVSRLSLAIGTYSLFMKEQKNNPALKGLPVAKRGQATAKLYR ELSVTEREELAKRAKAAPSATRKKSVPKTKGKEKVTGGGGKRKASEYTEFVKSNISKY SNLPQRERMTAVAKLWKQQKQMRSK XP_823122.1 MLRFTRFVAAAVKSGVGKKAAKTPLPVGNAASARRGARVDAIPF NDNVSVPKVNAKKMVPPPSVSNIGKEKAVSSMKARGGAKVNAKPLASLGKVTSEKPSG KVKKTPSPTRKPTEPITVVETSSGRKGGNKAASLAPKLKKTVKQIHRKVMQKNAGRKP KKAMGKASA XP_823123.1 MPIKGSRKSPTKGPKEVCKNGSPHVGPDSVRRKENPSESLISRL QFILSGAVGGRPGGCADRVGVTGPPKGGGELVRLATILHGCFLITMAGKAQLGVGKID HCVIATVDERWLEAITRYCGFTVVPRDIIECGAAFPEWLSAFESVVEHGVSNGGTNCA HSTPVVKVKLVRNLVPSVAEAEQFLRERWGGENSWRHGRVEEVLNSSSTAAVSSNSAG EVLRFNEGAGAAATSVVGSVSDEELLRQLSAELRATLTGERLKGVLAQMRREALGLEA REEELINRRQRQERLLSTYDLARVLLGEKRSACNVAELVEQMVRQNRFGDGKEGIIEQ LVCLTKVKESGMTIIRVDEGKGTNESKRKRRAKATSDTASLTSRRVELSASSTLKELE ISVLRLDRTLASRAGLHQVLQREQPQ XP_823124.1 MGLPFLCHTRVCLFSNKIPFVLCGSRFAPATLHAHHTAAGGGET LNFPELSSPSTSKEPSVGSDSPQKKNKKQAFDALARWCGGHQQLLMRIQQSAEDTSAG VVFETPLTEVDSAAIRWLQGSTEQLTCGQSLLVCSFVCEVLYCQLNSASGGIGVEEAQ RLQIHALTNRIAALLQRADESNKLESQPLSVLMSCAYVVQRLKYVDNPLFGETQRSLV KVPPSIMFALLHKLRGDGLGKLFQLDERTATDVCLSFLFIATEEHRQAFFSSGDAALV SNVLRRLVRHTASKTRRMRIQKEGDIIDLLTVDSPSDGDARQTPVCTSGTGFTSPSMR ECAMIMQNVSFSSPSNRLELLLYLLCVRRNLAQCSLKDIELVPTVLSTVANIRSAEAC SIRKKIISQLWLPENNPTLVAQLLVHSGESIPRYVTYLQGVPPSKLSPGDATQVILCA GTYLSYESLQLYIMAALRDIMPSSSLITLGAETTSASVPATASVPTRVPACDSLETVL SVLLMRVEEKGGSLSEVEKDACMEYIRRLNELIDWCASAPTSSPKLALQRLMLLTKLF NRGLVVHAPETVVELAVQSLQLDPGNTMMNTLKCVSEALPLVSDDKKRSIIIEKMISY SGTRTTSSVIRFLLLLAPLVDADSPHSCELVEQLLKFHTLNPHKLRQASVEGVAKGID VYTLLLINGIDFLLASGDWRSSPSQLQNVITTWVRDYTLYVMDPARKQKIDDGTAAHV APATKGEKTDVVQHQQPSRLNEGGELPTLSGPNDDELEQVFTCLLRAGVKLPHAFSSE LLSRIHRLQAKQNPADGTDQQVVFPLPAHFVFCCKLDVPVEVSVTPEMMKYHIDACDY RIIHCVITAFFSAAGTFKHNINDLLLCNMRLASRSFELFIQRLGEEASRSFRPATVSS VVANTLRFVVNHITKQERCQRVLRKLNEKGGIEAGEELEGDRSLIVEHTRLGELLTRM IAYLSGAHVKNVGLSVLDRLSLLSPACGEYLMMRLSTQLSEFTQVELLYLVQKYPKSQ DLVAELLGKSDLVSSMDFGDYMRVMRNLPMSINALVIGAHLPGLNFQWCTRILSSLSV RHESVPLHLLASVLRRLNDVTESATLTDRNIAFVVLQKYLQFDQTSGDGGDLEERQRL IKCSCDKLLVLSRINSLDTLKEFLVEFPEALSGVICESLSKHVVQHIVGGLLKDLDGL LTLCRLLHRHKLLTSDVKVAIVDGFFMKTLQSEVEGRANIEGESSQGLQPLNSLRGAS LKTTHPVSNVLALALLLSDGPLHFPGASNSSSTICGDNERCAVSSMFQVVKESYTSPR DRLLIANTLVGQKGPNALTVVAKEICTELIENCESVTSNDFSRLLQCISRLKCWSELD LANSRFDEVFQRSCTQADAHSRCVAFRAVSFEADIFRRYESFMIPLLQETVDVMSNED LETVLSSVLSLPFTEALESLIDAIGTRLLRMIDQCRRSALIRLLQCHAVFGIQDDALV SICVATLTDQCGRDFRLDTAQVLALLQAAVDLDFFLPPKLVTSCFTWLEHHVENMTIT QLGHAVRLAVDVEVGYTAAVHTLTLRALEQRDAIRSNASFREAVEMLCDEFSAEIPWH LRAPVLRRRYQSERLLEYLDKRRLAVDSTVA XP_823125.1 MMPAPNDGILTEEIVLRCSRQYDVDAVRFVVLHGLRLRKLGDGF AKCSAIVRLDISRNNLTSLSGIEPTAGSLQYLNAAENLITDVSAVKECKLLEVVMLEG NRIGSEAALRPLAQLPELRQLVLKREVALEDADETLELDNPVCEKGGYEEIIRRYFSS VMFVDGCYMLNEATSDVVTSVFKPLPPLDTLKAPQDSNAKADVEERLFVNVVAECAEA CRKALALR XP_823126.1 MMGDAGSFCKRGPEDFKVGVPDNAVRSEGRITLGDYVMITGGGM KRIVHVQAGGKLRLGSSGSVQLDKLAGVRFGEVVYYDPRSRVFVPTNDYPDLDITTLE EHIEDGRDNRHLVDENKSQVLSNEEIAEMRREKGVDVFLNTLVEKSATFHAKTAYSQE KYLRKKKKRYGVLYKIERVTPDGVAETYLPTINPTDVEPESRVLRLRADTLALILHHS DVHSGSRVIVYDKTNGHLEAALLTRLGSDGIIFQIMDRTAQPNMFPSQTMGIENVREL WKAVPRNAAFLRGEEDTENEEKATEKVNKRGKEGGSEVSQWLRGIDARRMLQERPADS LIIVDDEDDAPAALDDLLPFVALNGHIVVHSPFLEDLTALFTKLRGECVNICISEVWC RHHQVLPNRTHPTVRMSTASGYLLTAIKVNESTINCSGAGAPQSPIEEVTPSVPAEVP AVDGRLSRKHPRAQENGEQEGNLSDS XP_823127.1 MKRFFDKLYHTTGFIVDPSQRSVASHVSSLLLQGSIAFSLLGTI GVDTSPLVAAAGVIGATAGFACKDFGANFIASIVLSSQPSLRTGNRISIGTGAGAVKG EVVDWDTRYLYLRTSEGHLLHVPNSMILTSIVTWESSESKQRLGGNEPQGYSPTPPHT VPCNDSASGTK XP_823128.1 MASLCFTDVGTLCRDVEPTRSFPASPTCIEVLSGVHLIASVNAR ANQCIVELLVGDKVADTFSRAGSEEPGNSGSRLSTPTGAGKTPNFTVPTVDTAVKEWL DALRASFRTAKVLDVLKEDEGVVTAIEFVRDVTRASSGGEAEQEGVNRSVKRPYVHLF IGTSRGTVVICNALRGTIMAIAMFQDCNRFVRQGSRRSSLAQGASVTSAQCTESVVRF AAHMSTLDTFLNPNVPQNTSAVHSGHLNTVYIVMSSGRVVALGRGAIDVFLETAEGHL DGARPHLVLEWVENSTFSSFPVAGPAARFASHVRCILSMSEVDPNTGIRLRAPRSTAA TTDRSIKDAAFYLSCKCDTTDVLTDGPENREYLLLCGRAPALASYACKRTEKGFSARQ AIGAVASMLGGVKRLLWAGGSEGEGDESSFTARGLSVSSQSARNVFPEGDMTFDVVQV DPTLQWVACYSESAGRIYVYDLMGDAVCQVVKGCRSVEFQWHVATIGGKRMLLLVIHR ILRHSVEMYSLRLGRRVAARYVPSGSILLRSGSSVLIPRVLMLVPDGVVTAIEVKLDR AHGALPSSSSCISGVTLGRGRSDTVVTGIDVHGGVTCVRGGFPTPYDVLVAALSLPLP NPVTEGDSFDVYCLRISKLEDVIKRQFSPGVFDDCCLPVGAGTEEADIPPDVSAAQCL NYVQLRLVCVASYRRALFNGVNTKTTQTSLKEFAMRSAAGPVFTAQGELHPILKGMVG DVTAVLLAKKDETCFSHVASIIPSLEKLLMRRSTASVPEGDPTGPVVPMSLGDFLKLF YCGGHKLAFLRESVGYANGCVDSFDEWAEIGSVFFGGGVGCFPQQVDVFNSLGFRTVD VVMMALCWLCRSFARTLSPSCLGTVAELLFFLRNSGEEAFLTGVEAIPCLIGRKHLER RRSEAHVSLLTLLVLLRQREKPFGSAYRARYELSLKRLLGLHLMIIRYETPLEDGDGW DSQTTEAPLYLSLCDLLPQEGGDALNILLLRQFPPPLTLKLCSEMPGSNVVKEALAGV DHLHWVKQLFMMNAAPPPWAVDAPWYREGTWDHVVFLSEVIQPTIKFFKLVTQGTLPT LVWVSAASSKQLAGLSVLLVVGLAVLERELTPLRCERLAFLTDGMLPDSNLFEGNETE FSDYSRHPLGSRSTRDYFNSCREFLHLNIQMVRQLLGGDDYIHGKKVADSVVEFLSVD DGAIFPLVPVELRRLLYFFTVHICGNLRGLLQRLREACCFMDTVAFFAFKTAGSLCLP SLQLPAISWVTMFEDRSTVLCFLKGTCADNQEGKSLPTMVERMKARSELVRMITFADV LDTRSALSNSFVEDLSEALGLNHVAADFHLLVLLDVCSRLLLPSEEVCRFLNNVASRH LAALIAVFNCKLLIKCCFGYYADAKKTIPSCNRQEKQRLALKVQGLDDAMSEDFRCWV HSNELEGDEAIGSSETVDSNSNSQLEYRLFWGSAWCEVHYAGVVKCKVMSRLDQSQDS EKLRDFHRLLFVLARTAAEGASTLPLGLRRIACELPSVAARVTALI XP_823129.1 MFPPCLKQSNQKRGCVWGDMTVVESPFTMHDRERLVGIRFSLLN AHLVGREGIGAFKRLVVSMKTLYSDFVVRELSLLYNDGKPLVLESLQHTEADAKPHDT VGAKRQRDAESGGRDGVADIGEVALEGIRAKLEGVVPAEELDSVTKSLCAGEPSVTLR CSLTKPQRTRIHEVVRELLGGRYISRAESGTLVIERATHATRRADERRLNPVRSQKFL HFTLYKENIDSNHALREVASYLHVPTRSLLFSGTKDKRAVTLQRVAVRGVSPEKLIGI NSRSFGQDRKVKVCSFRTMDHGLRLGDAVGNHFLIALRLLPESRDIDSDTLNTVESTI NREGVVNYFGTQRFGTTDVLTGDVGIQLLSGHFENALRLVFRSKSMVEPSMLAAVDAL EKGSFEEAVKLVPHYCHQERDMLNHLVDSPNDFLGSFSKIPRTMSMMYFHAVQSLIWN IMASKRLEVGVVPQVGDLVLKSRYTARLRCNQQSDCLEGLDLSANEMDDTTEEKERGL PEVVHLTTEDVATGLFQIADILLPVPGPDEKLQYPTVSACDRSAYIETLALKGAESLV RASNPLVKLFHYHGAYRHLVVKPRGFRMRLCNTKSLREPIIPTDLELLTGSKGCVEEA TGASVSNGDGTNLPCKAIVVEFSLPPGAYATCVLREFCDCRTEGYHRASSKAAGNSEP SAG XP_823130.1 MSSTTLVETLQEEIGALQAEVARLRIMVHRVTRGTDAVICPATK GDIPHRIPHENGSASEKSMGHADVVSQDLVLLLKRLLQSPGICLGQSSDTAPVCTDAT AEPDGSTKKKPKRKRREKGERKQEEAENKSRPREVTERSSGLSPTKKQPVECAIPSDP KKTPVREQAGEKEERAVLPATRNVSTPRRASASEEPRLAPAATTGSKQSNEDNVVQQQ TVRKRSVPPPSPGSLVSIPRDGFLSYEHHDEEPTHQPPLVGVTQRVGRRALSSSSSSD DLPVGTGWVPTASVLDEETPQMEEVSRATRSISSVGRLAERSRPSPVRDTNLTDIDDT HLSIKSHRGIVECVHESNDGLDGARGRMQFVSVDFSRSQQVKRRKLLSRLRDSSFDSE ETCEEDLMAEHPSPTQRSTTLNAQQTIKPPQIPNEVERAGSTSTRSEVAMSHRSYTHA QSAGYVLDMLSDCEFTEDVAA XP_823131.1 MTARFTALCEELSRLQVRALRRDGNPLDIAVEAIRAIRINRDSI EPLRQNIPHVPLFLRSCATLLSRSVTSEADDAIESTGRLAEVYVGGNHGDVNDSAAVL QSLVQLSRVRCERAACVGDAAKVLSSSLKPLVPAALHNTLQKWQQHKVRPHTSSLLSL LRGTDAVYGGSFQFTPVGADMMGLLPIKSKVQMEAKMQKCEHSRDILRALCEMQFSKL DAGICLTSQAECGFYQSELCNICCEVLFSTHALMTSQQLAQVVHSLGVLQHRHIHQKF FSSLIDFKNCNAEAVRQHIMGLAMLRQPPPNDRRLMDGVFLHVFRPTDPLDYDSSPEA ALSPGWFVDVGHALTCLGITHHKYRLTMARTVRRSIASMSTQERCKLLYGLGGVSLDS VPAELQGSWKGKVIRTIEVLEQRLRSNVDPVDGPSVMHALLFAGIREHPMIPQQPDLA SNENPVETLLRTWATCPRERVLYLTEQIRPSHLGSDPATTLSHVCGIIANNCGGVGTD SYRFGPLCDVIRTHGGSMTVDEAMSTIEAIERMKAGDRFSGALVSLLGSLWNQRESMT PEQRMRCCRLLENVGHLEIAVNLLDYIVSQ XP_823132.1 MSFAPTRSLPNEVNEPLPTLQSEESGEATYVHPDARALFNKVPC LRRLPMFGTAAEGYGPKAVMSISLSYFLCKGLSDYLVRDSLLAMFTQRFGVDVSVYQR LSNVANMGWSVKPLTAVVSDIFPLFGYSKRWYMFISCLIGPLLSLGFGLLPGKESSAA IGAALVFLCCFTKANVDILAEGHYSRMMRRVPAPGPALVSWIWWFIIAGSFVSSSVVG PLGDAKIPQVASFIASVVQILVLPFFIFNWFGELPNREERYGDALLLYKKEREEREKK CDPFSDSLTQPDRAINSESNPLSTEGPDAAGLVPYEGGAPTGDGDLSSFHFQEPGSCC CGVFEYNKEVVERNGRATVYSILIGLFVLVVAVTSVLGSTTYLTVVAIVVSLLHCSFN FYAFPLIIAKANLFGYLQRATSVSFPGALSNFFIAEADCLADGPHFSFAFYQTVGGII SCAASIVGIMLFNYIFSKRTYRMTYLSTLSLGIFSSIFDLVLVMRWNRPHVSDHALYI MGDAIISPVISMLNWMPQIVLLSRLCPRGSESTVYSILAASSNLGASMGSVVGSVVME YALPVSSKLPCNFENLKWLVVIAGFLAPLLQIPMVFTLLPRARMCDDLDVDNATAKKK SEIQKEVAEVQESGST XP_823133.1 MSWRVSCKTALLDELFTYIAGKTDANTLQKECVLALPQLETLAK MLGFSLDDMSMYRLAWSWSCEAPLCIRKSEFVNGMNAICSGVKLSATATSSTCSASPV KSPKKEFEPILLALRNHVETLDGALRGDVTKFRHFYRFIYKWVQSPLTMERNMGQVGM SIETAVELWRMLFPHYREFKRLDDWITFCMSKKLFPHGIISRDLWEQLLEFTFVTDYS KYDVSDAWPSAMDDFVEYVKSKV XP_823134.1 MAKTLSDAFPDTDGAICQSFFQSTDFGEKTWQEVGLYAQCDERT FWTVVANHKNVAIVLKQLVDAVNTAHSSAFSRKLQPTDENSVVTIFVRVASTTSTVLA AGASISSLANRLTEIIAPSLLVSVSVMLLRHSGTIASAAVTGLILLNPAYLCAMPSII NSWCDATSSLAAQCCRDLSRGRHQRLAGDVVPFFENAYRHMKQLWSLMHCAPFLAEYV PLSRALSCLRVVVDLISPALQHFVLTCDALVNHRGQLSKANSVIVNAAVNTASILVLF RTYRLAYGEGRSYCVDHVVESTYESITGYITKKVSGAPALLLSNPTWPLTSVLHELVL PRHEDRDFRLGKLFGLLNESVQDSKDFADGFAGCRPRFFEILLLELVHQSFHIDSLLE KHLVTATEAEEWGASERTITQAIAGIVDKSKGSCASPPGDCGDIVNPPIPPHRHGTSS NPLVNIVLDVMPHFNVKGIEAALSYYNNDVEHFILDASMEYIVPHIFEQLMEPEPSLI AEMLRDEDDDTMRGTEVPPAQQHVITSEDYDNELEGVDINLLIGCDLYEAINGSAEQI SCNDFSEEFQYSTLHSGDHGHHNVGGTFEVDEVLKEKIRMLTEMMYEDEYDDTQDGTE VRGLGKQFNTDDVESLSSGEERNENYEVTHGNEGVATEDASAAPTSSDGFAAMPSNTA THRPRTLYDEKRFHMDRTKQHDARVKAVREAKQNTPSYTKKKKTMQRKPRDSGALTRA ARKGRLE XP_823135.1 MWQRLRFDRLSSSVRRTNLNPLKPCAALTEQRAELRNLHQYPTA RHKSLVKDRLRFARNWWLTGGNNYELVHEVGHEREATECFAEYAQDSSRDVYLMSTNR LSDLPPGDRLKAIVGLMRSRWEVKDANRGYDKAKLLLQALECFSEMKASGQIGDFNSL PEPDQDTFLQYVEGCSRFAQACSHSHPDAVRVLLRAAQICEEMRCVEKRDEMIQVTEA AANRMDRAYAFSRPHDTLRAAPPSLHENEDCVRLKNTEELRRRFGNTAPHVLEKPKRV DCLRIHRNRPLLLHPMKDNNKLLELSKLPARPEFDSWTSHQT XP_823136.1 MSRYSTGIENIPSLFDLASALTGFIADFTQVVFGNTQTSFTAAA LEETDRGNYDPVARSWEGTGGLNYRVPLYPIPSERHTRERTRNIKILMDNCRRICVED ELPSTKEELQFWTAYRFMKYQMYLTPTCVIMPPLYVMWRMFHDRIPRPFRGRTIPVTL SLALAEQWADATYPGHQFLSTALRAKTPMGDAARAEWLRLQPIDIPYYIYAAYQFQHF FGNVPKEILFGGDIAGYCS XP_823137.1 MLNERRPQVHLPVTEARAAIVRMIRRHNAVVIVGETGSGKTTQI PQYVWDDIVSKGDGGIVGCTQPRRVAAVSIARHVAQQRGGKVGGEVAYAVRFDDTCTK NTKIKFMTDGILLREIQTDPDLTHYRCLILDEAHERTLHGDVLFGLLKDIARRRKNTL SIVIMSATLNEEHFSKFWWDAPVGVIHGRTFPVTIYHTVEPQADYVEAAVSALLQIHQ REEPGDVLCFLTGREEIEDAKRMLEQRMKLLPNDIGDFVVLPLYSAMPYEQQLVVFDP APPGKRKIIIATNIAETSITVEGIKYVVDSGVVKAKHYNSKTGMEVLAEVDVSRAQAT QRAGRAGRVAAGKCFRLYTAQAFESLHENTVPEIQRCSLISVVLQMKSLNIDRIADFE FMDAPNPHALTKAEETLMLLNALDSEGCVTPLGKRLTDFPIEPAAAMVLLAGKALGVE REAVIAIAMTSTENLFVTSRDMKERADRCKGAFAKSAGDHATLVSIYQAFKHSPKDQR KLWCETNAISYRQMLKVQDVITQLMAILAEGDDEELLATLVPKSVRQALSHKATDESC AVVSGSNDDNTVTNGYDHQWEPGANGRSSGLKFRDFELLRRALCCGYFLNVAFYNAKI GNFQTVVGQQVVHIHPSSVLFTLRRKPALVLFNSVVRTTRRYMQNVSVVQEAWLRETS SFSRMML XP_823138.1 MGSFTSCDQRPTASTTAAPHSYDADKVLRNFDTSTPTAAVIGAG ITGVHIAYELAQLGFRVTVFEQRRDIGLGETQYALPFVGVGLLHPYIHSIRMGRELLW SKLKLNSKDIVTVDFSWNFLFSSFIHRWLWARKWGKLRAEEVMKYTNNLARLSVGVID ELSHKHKTLAPYVLSRNVTVQTLEEGPRADKREAILHQAATAHRQPLMVDLVGWTREL AKVCREKYGVEFAVGEKLLDFKTTLSFGVEVVSGLRFSRNVDGRVEYKNRVFDVVVLA AGAQTGELTWESSQIPIVGLAGCSVALRPGAGEGVGELMGKLHLSSPGLVMVSPESHL VCYRTSASNDAGGEVLFLQGLLSLDCTVRTLPRVGRMLSRIEAHLREKCQINIPLLHR YRECGGRQQLDDGNTHVVTSNPVMHAAEYIRSSTPDGVPFIGDNGAAFNSFICAGFGD HAADMAPGAAKILAKFIELKACAMQRQDEKTMERDGYNIAAVMSDERVEKTRRELQLL LNGCQTIDDIPPGGELENFVQLPYSYTRFDGVVKDQIPDVAHVSLLQRVSDMEKRLLQ TFAPWEHYINQQAIKLSRRDNVPDWLRTIVYYYFLDESDDLEMLKNKQKYVEGIRRIR EQFEEGNETSASGATPSSSS XP_823139.1 MGKAPVKGVFLVLVALVPMFPAVDAKKEKPMNVPFPQDAIDDVR CDVCRLMAKRAYGYVYELFVTSEHTRIPVNEENVLVAIEDICNPLAASGQWIRQVAVD TSSPAAAKGPFHITLTELPHHSKCKRTCKTLSDACEAVVDDDDMDQFSSKLLILKKYK DASALADATCGVSAFCTSRTGFSSKRYKELLTLVASDVVEVIEQKEMDIERFMDEMER KGNRRQEIYSREEVIKMQKSLIEGDIETAAGIDPSLNKLTEEEQLALQQMLKNKPMGK KRPPEDAGQAASPEGDSAEVNPDL XP_823140.1 MTATSGFDWLCDARRAFCAYGVDLIRTGSILVRTTPSVTYRASV LFQRFQAAAEEVRQKRGDYHSEEGFQRGAISRSGIEETCVMGIGLETCRQATYTIPSH VGTECSPGVLVLGDLFAPLDYCLYHFEDHDDIVYLAAACILVAAKVEDPSTRIRSIVS VFMRLNQRRRNEPVIELLQPPPERYENFKTRVREAEEIVLQTLGFQTFVECPFKYAII FLGILVGNDPVDGGLVVTVGASPPQPPAAAGAANPTTPLVGSRPLQGSEAFSCPSAKK WLADAVCWLNDIPRWRELYAEEAYVLAVCALYWTRPPDVSGLPEEWTAAFGVESFKRD SVLSVYRENLDGALGSEKHKIEVLLTARHEKPDYPTMGQPARVANAEDEAASGGKPLL PPSDVSAVGTDPMPIQVKPTEPNAPIFIPDIVVGTAISAGPIIPPPSPSVVTPPSLAV LQSALSIPITLPPQQPISSNTTSAGGISCSGPLGLEEVLPGGGEEYNKSLPLEAVAPG IAELRPAEEEYQFEDFKEMQRRRRQKEEAELRQTKRRRSSSRRRRHRSDEKRRRRSDS HDRRRSDSRERRRRPRAPSPHKNRSGDRQHQHQHHHRQHPAASSQRPKSDRRDDRHRN DDYKRNDGKSQRRRR XP_823141.1 MTAPLFSATDVPAVVTELALKRYAEYLVRRREKPEAAEGISGTT LEEALAPLYLLHGNTFTSAIGIAIHGAEHILRCVVRQAADSNEEEGKQQQHQDNQDGK FSTNRCAYMVGDHCILSLFYCSCRAYGYSCIKRQEASTCKHLLALRIALLLEENGLAT DCIREKRITTEQFQEMVQRLL XP_823142.1 MSASSDLDATSDEDENHFRRGNGSLVLQLSVLCDASFDQPQRPF GEFFCRPSMPTRETCTTTLLVNLLWFARNYYNLVLLASMGVILFAPPFALAVLSVSIT YILRSRNGKSGAPCTSPQNDMLQKKKKGNHPTVMLFGLIRFLVFIGTCYVCGFLFITV CFVGVVALCLFHAMFTPYTDKAFELYSDVLCCRRLPLRLPCSPTRQFTCVDPSFDALS RQGSTVMSPRGGSCSKSSSPWMGTRTPEVLHHSFSSSSRPSQGEGIHNGWPLSESRRT VLSTQRGERLTGRRQSHLSEWGSRQQVRGRHRSPAALDVSDFQSDVEPHDSLVCAHAG TVRLTRVKESISISPMEQHLERNLSRMCSGFSGEAVTQGSVLDLCNDVCSALETAALE DEEGVFEQTTASQPIAPPTEAAPCLM XP_823143.1 MNRCYSLLCSVLNSGKRAQTSFPSTRRTVIHSRVLPSESITSSF SPPETTTASKDKSRGEAASKTTMTGPLQNFGDELNFPKMEEEVLKHWEDIDAFKECLK QSEGKKPYTFYDGPPFATGLPHYGHLLAGTIKDIVCRYAHQTGHHVDRRFGWDCHGLP IEFEIDKEYGIKSSHDVKKMGIENYNNACRAIVMRFSEEWRKTVTRMGRWIDFDNDYK TMYLSYMESVWWVFKSLWDKGLVYRGFKVMPFSTACTTPLSNFEANLNYKDVSDPSLM VTFRTKDDPNTFLIAWTTTPWTLPSNLALCVHPDIDYVKVLDSKTKRHYIFGEPRLGE VYPKKKGDGKKGTEASPYTIVSRMKGKELVGTKYEPLFPYFEEKYGATAYRVLCDAYV ATDSGTCVVHQAPGFGEEDNRICIDSGVITKEDMLCPVDENGSFTPDVVDFQGRYVKE ADSDIIKYLESKGLVHSKGSIVHSYPFCWRSEAPLIYKAVDTWFVKVESLREQLLSAN EETEWVPDFVKVRRFSNWLADAKDWNVSRNRYWGTPLPIWHSEDWEEVVCVGSVAELE ELSGTKGITDIHRHFVDQLTIPSKRPGMPPLRRVEVVFDCWFESGSMPYAQIHYPFAA KDSFVGEKFPADFVAEGLDQTRGWFYTMLVLGVALFGRAPFKNVVVNGLILAEDGKKM SKRLKNYPEPGIIINTHGADALRMYMINSPVVRAEPLRFREQGVKGIVRDVMLPLFNA AKFFIANANYCVELGGNVATDVVSSNEMDRWILASTQTLQQYVKREMEKYHLYNVVPG VLRFVVDLSNWYVRMNRRRMKDTVDLEDRSRALSTMLNVLFAVSRIIAPIAPFVAEML YLRIKPLLPQEQQLKSVHFHMFPEDDISKHDEVLERAMTRMVTIVELARVLRDRMVIP MKRPVRQVVVVHPDEAYLDDVRKVVTYIKEEVSAFEVVLSSSDEYVTTQLDASMENLG KLYRNEAPQIRKAIQALGPAAVTEFLKTGEVEVLGKKISRDDVKVIRKVKDGITDFES NTDNDVVVLLDKRDDQTLVDSWRAREFVNRVQQLRKKAKLIVKDIIEVYFETEDPELT TSILNCSEQVNKTIRGKWETMDKLPKGAKLIAEEDNSISGVGIRIVFTQPSAGES XP_823144.1 MTHYVDSVLYWFPTLVLVVCMIVLIVTAVGLSLGKFWRGLSSWS WRRIFSLTRMSMRQTTFRASMNAEEAVETALRKAGWKHVFLRRRVFVPRLQHNREIDV VAVGPVVLVVEVKHWRGSVWCNGQRWFQQVHKTARALEFEDIREDNVVKAAALRRHIE NDKRVPLPDFNLLSDCLQESEGDGTWYEDRRLHKQCGTVIIPVVVFTNPLVRLDPSTV KQKDDVFDLPGLERYARNLLYNTRGASVFDYYKQRVDEFLRPIFIGRSITPDTYCLSF FTEEKVARVVERMRTWDMVYLLNGRLVHGDIVSFGLPSTNRHFVRQQISDVEITWFDG LIGFARTMWMNSGGMVKITLSSPRKRKVFSFPLMPRHLKSIYKNDRLVLKLAGTTNLE EIPLADIATLRLSQHRETDGS XP_823145.1 MLRTSIIVRWVPKYFKRSIQAPQRQRRGGPTIEATCGRDNKSYP SADARRETVSGHAKKQKARDATVMASKQRVPHPKAFSPHRTPEVRALAEKATTILRCF DQQKLEGQVFSRGIGPDCHSSGLSPSALTKDHCEDAQLRRSKGSLCFMGKTEVLAVLK AVLPPPPLNNAASLSHGDCQRLSEPCGSTRSLLVAIPDDEAANVHGYLRRIRGKAEDK EGDITSIDSAAGGTTLAASMSLRDVTIFLQLYEAVESEDGALLLQLMGVLRRIVFSGH GCEFNEGSPKDTVGVVSQLLVVLSSLGLAEEHVLQRLVSSTGPFLTTPLILNSKESNL IQLLVALHRFGFHHEKCYTACVRALQTAAHRDPLNSFIRHALLKRGSKEPAQLSKGGI RIPRCLNGLTVSDLLEALSGMALSLHRQKVVVDLLLATIVAIVLNEELAMTNKPHLRN PENIVTTADEHAFMQDWISARALQVQRAAKVSEQMELPHPVIPQLFERLAVRYRGLIV DGGDGDISPENVGFYDAVTADLIKVSRSK XP_823146.1 MSEESLTFAEVVARKNRGSQSELVGADDSVASLPSHGALQNGLI VLPSRPSVGESYADAVKSASVKLGGVPVNVSKLDGHESVTNSTLQEQESVLSSWADDD ECFFDSVRNALQNRNDEFELRGYPNNSLSYVNVGNNGSNNVYGNSNNNYGGYSNFRRG RGSRGWRFINGNEANSSNGNTHSNNNKKRKGYNNKTWGSYNPPCGVDSLNHFGGGRFS GFR XP_823147.1 METSCASAEVRNELSKLLQRFQDPEVQFDPIQKRGVISKVIGYM TMGVDTSCLFPHLTLACETTDFVTKKLVYLYLSNHAEKNPEVALLCINTLIKECKEQS PIVRGLALRSLSSLRLPQLFEYLFPVLKTAFTDPSPYVRKTACTCALRVFRASPAEFR RHQFLNNVLKALQDSDALVCGNALAVLLEVSREAEANGCTEGVLHVTKPLLYQLLNIM KRVSEYHRVQIISLIHKYVPQDESEMYDIMNLLDEHLQTRNSGTVLSVCKALFHLTQN HPAMYSEVLSRLKAPLLTLVSSCTGTEAVYPVLCHIKLLLQHEPRLFQDAYKSFYCRN GDPTYTKTVKMDILSMLVTPTSVGDILNEFVAYAHERGSSAVSCAAIEAIGRIPLKLP AMVEDVTKHLVTFLESSVEYVRNTSITVMKGVLKNRRYIPTVQLFLEKLMESYREMDV VEPESSVALVWLLGEYGEHIEEAPYILEEMCNDSLLKRPAEFLRQFLTSSITLFFKRP PEMQRVLGRMFQLLANDFTHPDVHDQVRLYYRLLRENPEVASYVICAPKSDIIEFAEE RNAELKDKLFDEFNTLSVVYFRPSEEFVRDSAPRTGDDDDDKTEDEEQEDDPTHDEDG NKTTHEGIAGHNVSTLPNVSHPHLESNFSLSVDASMELHEFEHRWISLGDSVVTTANM QLRLRSVPDMEMFEDALDVCGVVMLTAGPHNDGDRCFLYAQEEGAMGAYFLLEVCLSR NGMVNVKVKSDSAHMQQFLQHFHKIMDQF XP_823148.1 MDDKQAFFVRCIDGKTFKMVIRGDLGKLTVGKIRRYLKSYGVQD GMQLLFNGLSLADEQVGSDFGLCNGATLHLGPPSFSEPAVPQRTHGQGFSDEAAGSRT GDPTDTPHSSLSGDSRERNSKADSTHRDPCGGLSHADPARGTATYSGGRYNVDKGIPV TATSATKTNIDRIPSADLTSHSGFPVALEVENQQLREQVELLRREVADLRQKNKAPRA TVLRQDLTSIEVLQSAKSNLQELAEELGVPLQFDTNFTCVVGDDESHTVLVTFDCVTE RLYIYSTLLTQIPHDGEVRAKLYELLLEGSLLSREVCGGGIGISPQNGLVLLSTTIPL RHCNSSALKDIMPVFVETVARWRSLINELLN XP_823149.1 MPPPPPAPPPPPPPPPPPKATEGNSSSTVGGAASALFAEIRQGG DNITSRLRHVTDDQKAYKQNIQHGAVDFSDLDAKKAEAEAKRQQKQKQPETTAAEEPV TRLEGDKRWVVKHHKGTPSEPCKVTLEDVNMRHAVHIHGCDNTFVEVKGKVNTVSVVS CNKAQVILESVVSALEVLKSDSVDVTVRRFVPTAMVEKSTSVNLFLMDVEEAPNTEIV TACSSAVNVNFPTVEDPTDILERPLPEQFVSRIVRDNRGKYKIETKPNEVA XP_823150.1 MTSLNTELALAKRFHMLSKNYSNRPVIARRNTLPTLVRFIRSND RETRHYSLSALLLLAQHEENVELLCLERGLVPGVYAVYKDADYDDPQLREIADQILNC LEPVLQGRDPRKASQTSVTPVGSSSDAVDSDPLNDSFSPSRRARAGRVLRGVGSDAIH TVLLDIPALDPNGGDNIEAIEDIFQTTRGVLSYSIFVENRQARLFVTCATQVVQQVLS DSGFESVVVRDDVVNQEMFGGGADGSAGLNSGGNRSNTSYYDGGSPQHRPSYLQSVAN SIYQSALVLGGGSRNNDTLSARVNEQRTREQEGESTFGYISKTLSKWW XP_823151.1 MKSFRVVCRFPAMLQLLALVGLALVPQSALSSQNGVYVKLFPGR ELCLSYEGYREVEETPPTVALRHRALSPRNVNVRTRLYGPDGNIIRHDDRIDPFGQPA SFFFKVTKTGTYRVCMRTPLNHPPLSFDMRFIGERDVAQSPETVEGVEVADKPIEASD YQSSLHMLDICVQVALDEVRMSENRLHLLDEITNSTYNRVVGFLILNVLLVIVASVGS EKYLERFFIKQKIA XP_823152.1 MVSASKLLQVLVRRFETPQPDFMVGHAHFVLKFLSAICQTNISR EDMLNVTNIITNCPHALPIFKGNQRLCERLLLSCFASVSRVDAELQDGVRHVICTLSV NPELNSNIMMTMARKTVAAKRVEGAVAKQVLDRDDAISNELLRRVVAQMPAHGDLIPD GGVEIALLPQKAMHKRRQERFERPELFFLVSTLRCIATHRQSRLRDSFVEAGCSAVGM QHRNLQFLPADVVDPVVRCVTSLPAFCNPEAPMRPDDWYLLASLIACPDARLRPLGIR LWAQKVCYYDPLEMNLTEVNSPFPLTIAGFLVSMRGEFKEEAMMLWLGVVTDPAALPL IDERVLQLLLFDIAQYAQVNDMNDVKREQILVAQLAVLKALEDSMSPIYYLDARMTLT RTTKLLNTSSDLIKTLSSLKDVLSTGQILRASFLRSATDFLRYVRQTANVNEQSYIRV LILLMGNVLHAIRVLSVQEYTSVCIVVLATIVGFLRDVQPKASSPQGDEWCELLKALA TTIMNEKSLVDPNIVSLQLHMMELLDFASDEHYSTSVRERILASLRTVSTKTQEIAPT SAQHCYELLLRAVHFHVLDEELLSEAVAALRHATDTTVAIECMNWSQRRHLIDRCSTL YREIAPMFPSSRGARRLGKQMLTVATIITTEKHAPRTQLQRRSYDARRPVLDSGSMQF CAQAMWSLALRATLSPIPHLPVDRYLAAMLRFAKKHWRRDEAPSGYSSEKSLTEEAQV TTKTPLAEPRGGRTRVSCGDERFCSDIANLLLPLLNQVLLLWYTNRTAFQANQRRHKA VVSTACDIIERNSAFLSPRVWFDTQRVLSNLPIVLPNQCTPLHLSVLRRSLQETLRNV RACGNQGTWHLPSEKTQLKAQEGSTEAILGGRMIADMFGCANIIEDADLKVYLISLAS EQLKMISDLDEMDEKALTPEEKSRLMCLNKIVSSVRHGTVLYY XP_823153.1 MTVCLVLLTGLPGAGKTTLGKALKQLGDHITHELSLIVTAVVEL DDFMCNVGASNGSRVESTVFDPSRWREAFEAARQATRQELERCLMMERNKAVMHLVFL VDPLPYRSMRASYWKMCKELSAKCAETHFHDSWEVQSIVVLLEVRMNTPEEVCLQRNE LRAGTPQYIPPYVIKGISDSFDRGDLTAVLPGTDGNMWAVLPGQRSAPWPVLLLVDEV RCCASPPNLLATQLLERIRGEDIMREMTEQQVSVFNYYKCQVEGGKSKCLASGEAHDN VNNCLHQVDLHMRAVVGHYMVQRQSIGSLKPGTGQRVSKCRSTHYAGIRAAITKGTRN TGGSFSEVQGLLQQLLLEFEHALVDL XP_823154.1 MESTVTGEPCHACEKQETTDEHSRCGRGTLPLYHIHSTKVPEYM KDNPYIYTGYRAQYTTMMCLRSFLAVHNESLNVWTHAFGFVVFVLLSILLFTNVVLAR EYVWHCVVYGGFSFACLMCMLCSTVYHLFMCHENEAVSLFVELVDYHGISVLIVASYI PLLYIGFACKPYYRAIYMVSIIMFGTLSVVFSSLPSLRDAKYRWIRTTVYILMAVGGI VPLLHFYAFTPHNTESMMPLKGVALMFALYGAGVLFYTSRIPERWFPGRFDIYLSSHQ IWHVFVLAAACVHFFSCTALYQQWLVSRHIC XP_823155.1 MISSRFRGENVSSASTTITTNGGDQSEKTCSSSSSMRATEAPVP YNSNPDLPLYTVDQVPPHLAENLYIHTGYRMNYSAGMCFRSVLALHNETFNVWTHLIG FVIFLIVSFVFSIGVLIPRLTDRPGEGSETGGSSFPDTVSLLGFHWPTLSIFAVYSVS CLMCMLCSAAFHTLIPHNHPVIYRIAHTLDYFGITFLVVGSFLPMCYFCFACKPHLRY IYLIMVSLFGVGGLVGPCFRRWTDPAYMCAKITFYVCMVGSGLLPVFHIYLTLPSSAT ASVVQGLLLMMGLYGVGVMIYALKVPESLYPGEFDIYLSSHQLWHVFVLCAAVVHFFN CASMYINFDKMALNC XP_823156.1 MKSLEDLNGCDILNRVEKALRLCEQQRACQQHMLQLLTTVEQTL EATKSDLPRTPKLTVPQNTPLTSRYSQQCIGKINSSDDSAQQFHSKAEVTSSLRNVVY VLDQLNYRATAALLDLSARVSCIDKRVQHLTNISKRNVMAINERMERIKQEAAVGATS LAVMATVVRLGCSESEMEFACHREEQNGVGSVAMRSEPTCSSAIRTAWKSLEEAEASA IMSSAEAHEAVANTTCGLLRASLMEEFKAQRYFPSKRVWQRRRDGQAEWSRKLREAAA QRKVTACVKWQRHEKAVDEAMDGEFIGEL XP_823157.1 MEHEIHKGMEAIVEQSGDNRASGEVNAESNVHSPASVTAKDGCE FARRRISTRGIRGSVRSPYYSPTRSSAMSPVGNGEKGDQEKEEGEGAAEPDVVPDIDV IPRYRSHPNRSQGSFSHLTYNVHSPAHSRMSSYERISWDSQAWKDVFPENAFLGDQSK DLLRLPHSSMDFYHGSPTQQCRKHVRSLATWGKVEGDGSPELLATRNSSPMRPAGCQS LVSTLDALPEPIYETAPLGHQHCFSLSSRKDAAYLKTEAGGEATAVTNEAGEQPSKGP AMTHVLVAFKSLYGVYYVPEAQWGTIGIGDLVSVESHSGENTGKVICDLTHIMSEASH MPAEMAQCPRHVLWPEDASAPLETINPKTNEEVLQRLPRVLRRGMNKGKKRLYYARRR ENEALDVGNRLVRDRNFNLALTSVEYQVDFKRITVFCKGDRSHVDPSEVLIFSQQLAS SLRGSIVSVVFANEIPERLDVTGSITKAAFQDIYTDALAKIKEAESAQPQRQASSSPV RQSTTAAGGPHNNMRASHIYPDPQLAAMNHTPLMPFYGVPPPFSPPVAPGAPPLMNAV GMNGLYGMTGVPPMNWGMPTPPPYIPPHLPQNVPGRM XP_823158.1 MLTSHRISCLQQMEKQLRRLVRGEPILKDARNGSHGVSVADSNF KRTHIALKNKLLFRRVPITLSLLERSLLPIIRMESSSSRKVTGSNNAHPPKELEVNEE VTVSASSATLVGYETTQKSHLVPQQSMSAVAKIPLEGRQRHNKRSILTHSEAMFRLFS SLHYLESERRKLYLHHTDRLKLKLTEAEAAVRAAGGRQGKTGPSRREAIGAVRGVNED ISILKDSLLARSYLSARLWRFLLRKELWTAAIEDSRTSERKEVPTVLILLLSLQRMSF GILQDVTQGHHLNACSPRFVVSLPSRSRRCNQEEIHSAEHETGDEGATSQSVHEERCE TSEAPEDDSMITLMCGTLPLTAPESVQAKKRREISAGSTGMKKTSRNFVGSCRPYPRK LRWLPPRSCDALSLVVDSLALCSAVLTELNIKNIIIQWGQVVELFEVLTVVQSTASLL LAVGGTGDEEEVTKMHSVLRNLEDAMIAVGGSARQTILMEEYEVLSSLSSVCAIRLLL ALDGLQLLPMCESSSQRLIMQLLSRIFPQLSTGTQSALLQKSRQTSLFAFATRGHRLK LFSNCGNKSAVTAALESIRSRARLQQRQMQAALRSKYLEEVNTQSISELARNVSVHSL VAALSLFARTVQDARRQVYETQGRLLTASLFLIESILTAVTFSSPRGGDGIIHHKDLA PLLLALTPLWSLAYERCKLLRDVSNVRHNKCLPGKGAGSPLAKSEVVMVRYATDVVFN AVNVALVQRVELVENDSPPHNAVDGMEVISPSNVAAIVTALGSWCDISPNGKGISFVA TTAALLKRLLLSDVKMWQELRLLSDPRREAFARALTEVMGSVGAMDSSVTEVLASIG XP_823159.1 MAKSFLKWTGGLVIAGGVYAKIKYKSAYEAVHLDEAATVRAFST FSIDNRREFYDVAVVGGGIVGVATAREIKQRFPSKRVIMLEKEADVALHQSSHNSGVV HAGMYYKQNSLMARLCPRGHDLIMEYCRKNKLPLDRVGKLIVAVKETEIPAVENLYKQ GVENGVRDLKMLRGEEEIKRVEPNVRGLCALYSPDTAITDFSAVARHMLKELLADRQR GFVAQFCYEALDFVGVSIQSSEGSKVTTPQEMVLIRGREGGQLGPEKTVMAKNVVMCC GLSNDLLAQRSGTPLSHIGRKVKQTFSFRGRYYQLRPEARKLVSMNVYPAPEEWKGIS VGVHFTPTVDERRGRQVIIGPGSSVATHRYGYSPYCFDADYCFKSFFSKGGWVSLVSN IGTVFRMFVLDTNRQAFLREAQKLIPSLQDSDMEESFCGVMGVAVTPDGKMENDLAME FSRPRVVVPAVLKPGKVVELVPKSDVASDGSAVNDRPLMLNVRNAPSPAATASMAIAE AIVDASAEQFRW XP_823160.1 MSRFPHSNVGLVIGLVSLLLQLHRVMVITEAAAINSFTVILVND RNSAALREAPTGTTMQIPPYVVRLSADWTVIENPQWNEVSSEENDSEGRLLHCRLPLP QDENTVQTQVKHYIQLQEHRTAVRIWHWLEGMMNRDGCIFGDGEGPITGVSEWYLFCV RGAVRKVNKTTVAVLYGNRTSQKLQQTVRRLVHRARWSSQGSERSKSFKRWTSRIGEY KANVTWPRWNVERQLWELIYPTRRECRAWEADAAAFGESPERYIRNESHRWSDSRSFT LPRNKEVWETVVRLYCQQNQGDRHVLDWSITEVLQTCTHEVLLRSPAVCEWSQVLQNF HVNPIPCIPI XP_823161.1 MPVDAPLQKFRPRCAQRLAEILKPDALDEETRRQILRNVCADAA VTTNDGGCSVVSEEVKLGGSSGTGGNFSTMLRDRVATANSELVTAMTKRLKMLEAQQQ AYRLELKEKTEKLNRMQTECEAEKSRREEAETLVVELFSDKEELEKQIMEMKEFLADY GLQWVGNAEGESGGGSSRTPKERAKKSPATLAAETSPIPNREMKRFDLYAGDYTSEAP SPRPESSSLAAAESRSTNMSPARGECSLPVSMEILQKNARILSDHVGFKEVATNGKRG AIKDRDVVQIVVYKDGICVNSGPFRPFGWPLCDAVLNDIAEGYYPYEFKQRYPDGFPI EIVDQTSVCCTAVGTRPKNDKVQGTERMREGGYQPVSREEFLKRLPTTRITAGGRIVD VQGEIAKIIGCDKGGPSGSAEPDDASLMRHVTASEQKKRGNVSKSDGECGAPVATGTE TPNNRCNNTRLQLIEGLVAVQLRFPCGKRLVLNLSPEDTIGDLRREVRLAMPSFTTEY KICLPFPAKTLGDDSKTLAELGLLGTCTLMIQPPRETKS XP_823162.1 MFFLTRFKLGMADVTAAIGSGKCGEVLRKLNEKKAVREREENID YRRALCFLANSQDVFHARQALLEELRHFPNNTAARTLLLEVNETVRPLLMPPKELLKS EPLFGLLCDALLDHTMLTWTRLYSLYRLSKEVCSKEGEGGALMECGVSGGGSVVLLAV VAAHYSKKPRKIYALDTFSGMPEPTTHDNLEEDGNLKPAESTSWGSGTCSATVENVRQ LAKNFDVEEGLVVIPGLFHETMPKTLREISDEGISMLHVDADWYESTRCALELAWPMV KQGGMVQVDDYHYWLGCRKAVDEFAQREESEHRPLPILQNVDGNAVYFTKP XP_823163.1 MGENRRPSRWSSERYTNLFVPNYIPPELMLYDEGQFLRAFLLRV LSIDLNRLIETKRCGDYFINIPLVPEYNSEGQRTNTPDELVAEKRLKVMDELTNLLRQ HSELELNAKTQKEVVRKRYFTQEEMDNGAYGAILGARGMVHQELERLTKCKIVLAGRG ITNALKDTSANAARVALEDPHARITAPNEQALQHAMERIEWILSDDPEALEFRENNRK RMAQIEGRYDPRTWVSLAERNAKNPRRMGEKREREEEAKEELDADLQEFLDEL XP_823164.1 MSEKEGKVIPETNGMKRPRFDPVKLGLPEEFTLTDYTRLKGCSC KVPQPELLALLQSVSTTPGRRDVGMDCSIVKLQHKDETGKPLYMVSTTDFFFPSVEDP YLQGQIGAANVLSDLYSTGIDRCDTVLMLLAASTDMDKTEREVCTQEMMKGFVDHVRL AGSDVTGGQTVMNPWPLIGGIATSVVAESQMIRPTGLQPGDILVLTKPLGCQIAVNLK QWLRRPSPIFEEQIQGKMDSEEIEELYNAAADGMKRLNRMAAALMHSHGAHGATDVTG FGILGHAKNLGSAQKADVCLVLDSLPMYRGAVKASKLMGDKYRLFEGYAAETSGGLLV AFGTREEAEGYIRELYETDGEPAWVVGRVVRREGSAPYALLQKDYKIIEVGAKVNDKI I XP_823165.1 MRRCVAYVQLKVGKITPSLWCVTIHHTRRSVALPDPHSILTSPA GLFALMSGRGSTSYDNTSCPKGVKMSGGRRRRRTEHGNGDGDGDKSSAGKGAFFNRDA LSLAVLILLLAVTWEALVSQKHIFINKLKEWVFLTLEVRSSREEYAMIMDWMGQQPQG KRARNISLMPISVMKESSNENENEAMRGCSEDECSSCGFVPGFGSHYMKFEGTRLWIT RSIDTTKQYRSSPHMDREDEVLRLVFFTRDRDVARRFMEKVRLSWEERSRDTVRVYLP GGWGNRWEFLSRRLRRPLSTLHLPESTTTIVDDAKFFLSSRDLYMSLGVPWRRGYLFE GAPGTGKTSFILALASELSLPVYLLSLQSKELDDSTLIKLVNSVPPRSLLVVEDLEAA IKSQVVRGEDISSANVVFNTEVGGGRDSGVSLSALLNAIDGIASSEGRILVITTNETM RLPAPQALLRPGRIDRRVCFGPLDATIMQEMQHSFLHLVKPFCADREGSRVTLEDCGV GALGTTPAELQNQFLAAIYRKCRR XP_823166.1 MSSSTCAFSANLSANRNGNLRVVHGRSNPKLAEGICKALGIPIT GCRVGAFANGEINLQVVESIRGDDMFIIQPTCGNGNINVNQAVMELLLMIHTLKLSSA RRVIAVIPHYGYARQDRKQSARVPISASAVARMITELGVNGVVTMDLHCGPIQGFFHG CPVADLSATSEFAAYGKSKMFDTKELAIVAPDAGAVNRARRMGDRLGARRIVTILKRR VEANEVDSMQLVGEVKGCTCIIVDDMIDTAGTLCKAAQVLKEHGAKEVHAWATHGILT DPACERINDCPALVEVVVTDSLPQDESLQKCSKLKIISIAKLLAEAIQRIHCEESLER VGDMSAPTPHDQDLISVDALVEDSDWSAALQPHIIKRATGATP XP_823167.1 MICRTSFLRKPKVVVVGTGWAGCYFVRDTKPQLAELHVLSTRNH HVLTPLLPQTTTGTLEFRSICEPITRIQPALAHLPNRFSRCFVYDINFEQKRVDCISV DNTSVGPHALVNTFDVQYDKLVLAHGAQPNTFNVPGAVERACFLREVNEARTIRKRLV QNIMTANLPVTSVEEKKRLLHTVVVGGGPTGVEFSADLAEFLRDDVKNINPELVQFCK VTVLEAGEVFSTFDLRVREWGKRRLDALGVRIVKGNVVAVQEKEVITKSGEVFSTGLV VWSTGVGPSPLTKELKVDRTRQGRISVDEHLRVLRDGVPIPDVYAIGDCATNESNPLP TLAAVASRQGVYLAKKINAELAGKPFATPFKYESLGSMVSLGTSSAVVELNGPRKLDF VGLKALFFWRSAYLSIVGSWRNRLYVIVNWLGSAIFGRDLTLINDYNDERTWLSLASE GAAREKVSRMNKVKTDGDGSNGNETTARSKVDLPATKKQNE XP_823168.1 MSTFAVLNGVIILAVFVVTAACLDDQKPIREGGKALSGEAAKHL CRIKQISELLGSRAEFELIRDGSKFTSERQVLRNVQDKITEGVKGINELFGRHCRRVS LGIEGGGSDMLSNPNCEDSDKKEGTGEATDQGSGTLSEEGIIQCEHGNFLRDFLIRGA LEAYRSQMEQWEKKKPTEGNKTSTEVKGDESSKKHEDQCQQMGYSVHGACTVSEQKWR QHLEEAVKLMEGIIVVKKEDVCGVSKEGETQK XP_823169.1 MPFPGTRECGSTYMLSVFVLAFRFQPYTKRGYLHHLEGSNAPRA TLLSPVSTGGEEKRQLDQHR XP_823170.1 MLRRIKGISTRKKGGRLYLIVIALLIVVGVMATMDIRSSHTETG HGLRKENFHSSYAPRISYVVVQNRPSPGWCRMLLSSILTNVSVLTLGVEGKYGHVRRW TWIYNYVWRGEARESDVIVIFDGGDTFFTETHLREDAMKYFLATTPSTPEKFNETEII QGAMTPPMLFTAEVYCAMPQIDIMVKHPRNTPPGKCRRFYERAFEASTRTGTRAILRA NESGRSYLNGGGVIARVWALREALEAFFRLKKQSFFWGCDQTMWAFVFLWSVTRPSNV KPKLFLRRGIMSLDYETRYFHYPYGKVIKRGAILHFAGSSNVWKETIPRYLSEMSWFK ALKNSFTEREAYRRLLETTSVEIHSARGAFRYVKLGTVCPISNVSNPNWLSGELRK XP_823171.1 MLRRIKGISTRKKGGRLYLIVIALLIVVGVMATMDIRSSHTETG HGLRKENFHSSYAPRISYVVVQNRPSPGWCRMLLSSILTNVSVVTLGMGGWYGNVRRW TWIYNYVWRGEARESDVIVIFDGGDTFFTETHLREDAMKYFLATTPSTPEKFNETEII QGAMTPPMLFTAEVYCAMPDIDIMVKHPRNTPPGKCRRFYERAFEASTRTGTRAILRM NESGRSYLNGGGVIARVWALREALEAFFRLKKQWFIWRCDQTMWAFVFLWSVTRPSNV KPKLFLRRGIMSLDYETRYFHYPYGKVIKRGAILHFAGSSNVWKETIPRYLSEMSWFK ALKNSFTEREAYRRLLETTSVEIHSARGAFRYVKLGTVCPISNVSNPNWLSGELRK XP_823172.1 MAKGGKRVVARKKGGRLYLIVIALLIVVGVMATMDIRSSHTETG HGLRKENFHSNYAPRVSYVVVQTRRSPGWCRMLLSSILTNVSVTTVGMGAVYIHAWRW TWIYNYMLRERMHEDDVIVIFDGGDTFFTETHLREDAMKYFLATTPSTPEKFNETEIL QGAMTPPMLFTAEKGCYAPQMYIMTGVDPSKIPQRERCLNVYEEAFEASTLAGTQAIL RKHESGRWHLNGGGVIARVWALREALDVFFALKRQSYKWWCDQSMWSLILAWSVSRPK HVKPVLLLRRGIMSLDYETRYFHYPHTTPIRTGVILHFPMPIVLWKNKMAKYVGETTW FRALRDSEGRQKTVADYLKTVYVDIRFVFGFKKWRKFSSVCSISDVVNPNWLSGVLRK XP_823173.1 MYEYTVCGNPCFISFLEKMKGTKKFYGKRRKRTCVIGAALLLIF LLCRMLFIRTEEVEDTTFEEGCWEREMRSEGVGDVKVRYVVIQTKPSPGWCRMLVSSL VVGIDVITIGLDGVYHHTSRPHWLLNYIESAGLSDDDVIVTFDGADTVFVNKHNLQCA ISKFISTTPSKPENFDEEKILKGVQKSPLLFTAERGCFASQLSVLFSIRGRKHEKRCE RFYRGEISKAKATGAERVMRMPKSGRAYLNAGGVIGRVWAFKEAIGGFSKLREKSDRW WCDQTIWTILFAWSVNQQDTGGKAVHLRKGLISLDYDARYFLIPSYTSPIRSMILHFS GLIRDWKWWFPGVVRRLVWIQRMRDDVYQRDSRSLLTKTSLTIYGAKGEKYIRKFADV CNVDEAVDYTWLSTVRSKH XP_823174.1 MRTNSLNIGAVLGVMLVVVDIEAQGTGAVSASHCTFNQRSGVSK KEELGDAMKVDNVFPGGPKKDVLVSCANKSRQTAAGIFVHWCCRCESETSGDRGNVCE FFGDCECDDIYSTSNVPKCVKGDHMWRDSSTALRAVLQDVKGRDACNISTPNGKEILM KLTREELTSNIAPQDSSSKIFLFLLAALLMQDESVAQTSN XP_823175.1 MRVISPCDTVLVNTLLQLGVLFYMLGPRQFSICAYPASFFYARA GFPRGQQCEEPYGRRGCQPLRPRLHPCPNRSDQALTEWRYGQCSALQQNGGALRHSGM CRKKKTVPRHVLHMSQCSFTP XP_823176.1 MWNAMRAPSQTEKGLFLATMACGCAPTSLLFREKRVNTGEANLP LSMGADTLPPQRTQNPPVWRKVSQGASRFTFWRSRWIHRRTTSNKCGCGCSSSCNQQN QRSNGRNKA XP_823177.1 MGNETFSPLALQKYGEREEKADSANSRCPLMAHALRVHFPFNTP MSVRALTLLGAPQTSASTRHTEKVLHIGLQLGRFWALNRGRRLCAFPLILFELPNDPF IIARRHSAAEGPSFVSRELWSL XP_823178.1 MLAQQGYVGRVTHLPFDRPYLVPFTFGWGSHHSTHPVLRSEIFL FRNLWLPPSLPYILLPRVGLGILLVVFSVFWMAAVSQHRPFPVARHSAVLDPSIFSRV TVAPSFSWRGCAPQGTIWPPDGALFWHQRSMAKSVSELPLLTNCLTNGEWLSLFRFHG CLLPPALRVGRFIRLPFLK XP_823179.1 MQRKIVAKRLSSNFREATEVLSSPIPSYLKSTELLVKNRFVGIN ASDINFTSGKYLPGTVPPFDCGFEALGEVVAVGDGIKQFCAGDVVVTQAFGAFCEYQV VPSRSAKKVPLLKCEYLPLDLSGTTASISIGEILKPLRGELAVVTAAGGGTGQFAVQI LKKVYDCTVVGVTSSCSKENMLKELGCDHVIVGERESVGEAMKQLMPTGVNVAYESVG GDMLDVVVDNISLRGRVLSIGGISGYSNGGSWDYSEKGKAPLPMRLLSKSASLNTFFL PHYIKYAKLHFSRLCQMYEQGTLQSCIDPSKFKGLEAVADAVEYMYERRNKGKVIVEI XP_823180.1 MEKTQPFNDLICQVARVGVTNVQWCGEKMNDSQLDTLISAMELS PVRIENINLSKNEITSAGASLLCTYIKKNSNIKEVYLNENLIDDAGAREFISIFNNQV HPTIFDVSGNQCSSAVVNNLALLAKQDQHSKEIHQSLLAGEAEELDISGMNINKIDPR LLTFFILDTHALRSLIVSGCAAGDAGATLIGDLLKNSAVSHVDLSDNDISDAGVSQFI ERADLLHHPTITSISFSKNIRISNYGMQQMSKTLFNTNDVITSFDVSDTSVTSSVRST IAHECELNKEPSCLKRAVAAIRTNDPSCTSVNLQWERLTQTAARFVAPVLKLNTIMLE LNLGNTSAGDKGAELLAAALQQNKTLRILGLANNNITSRGAKVLFQRLHQQGVLEELN LANNRIDDEAETSILNTLQLNPTIKVLNLVNNLLSGDCMSEIDELILLNQAPKTVQTI VSDIENRPASVTKVALSGKTGEEYCNDTSVRILCNALVLNKVVTTVDLSKNVVGDIGV TYLCEMLMTNSTIVELNLESNSITDRGAQRLAHALRTNASLQHLNLSNNSITDVGAGD FVDTLRFNYALTSILFEKTTVTSDLRTKIAEAADMNKEPRGFKDVMYMLRDGSIKTPK LDLARKNCPRPITDESVNTLCVQLRGISVVRELILSGNSVGTEGCKSIGKLLAHEGSG ICHIDLSLNPVDDEGLGELAKGLLSKNCVLQILNLRGTEVTSTGIINLTNTVKANATL REVIVPERVSADVFCRMNQELMVNAQPKSLKPLLTSINENEVIPLLVFKDPVLPFTDA ACHLLCAAIVNNEHITSVDMSNNKLTSDSVPFIAEALSSCPKITSVNLSHNEIDETGG YELVKCLAENDYVTTLSLEGNPIPEEVIFKLNELLHLNRGSIDLKKILLKHRKGRLAD KTINLNSKGKQYKLNDEDVRLLCEVMVECGSIRAVDLGMNMITDVGCEMIADALLQAP RIEAIYLDYNPIGEIGGEALYNVLKVNHQIHTLLLEGTNVPEEIWEEILSLLRVNETA NHERIDMRAIRLEEVNDETQFRSTDYAISQEQKVGIDAFKAYESSVRALVKE XP_823181.1 MCRVKGKTLFRFALLTVPHTALEKQKRRPFTLNYCHSCIRTLNQ RPSRSQPNVPPIQRPTLLSSYQEPTTQAKTATCPPRNIRFDHSASANKKCEAGQQSQC TRSFHSLQGATSVNGNEGRHTVWSHHDTSHNSEIQPQKPPKKNKDFATSWANCSV XP_823182.1 MSSSRAHQPLFVLKQHCSAVSTCALDGQGVFANFLLSGDVDGIV RLWDLELREALFSFHPVQTVRGSTKMVTEGSFSSSAGDGVLQVGFLSFTEAVADSAET TEVWFYTQCRNQQLYVWKIRLCDFDSGFGGEECGLETEKFVELLHTIDVPQHGFCPVP SVCSSPTKFLLAVPHDSNGIVSLWDVCLISRTPLSCEGLKVNCSRSFSAAGTLAKCGT IMCISFRDSTHIAVAFESGNVSLNSTCGLQLAIIRAFAETAVACVWSGAALLVTSAEG RLQCYTVVESTAETRDDAGSATVTNISLVLRWEVTLSKGLGCVALQRHLVVVGSWDHT LRLFDEGSGRVISILTFHNSTVNSVAMPPDNVAGCALFGYDVRRPRWCDGGTVSCSGK QSTNDQKDKDVYLFASAGGDYAVAVWRVDFKTLTALSRDTL XP_823183.1 MLGDRYAVLKALGKGTYGQVLLCHDTKTGAQVAIKVARGDPAYR RAAMNEIGVLRALVNNGDTLNMLGYFEHRKCLCIVTEVLQMSLLDILQGRGYRPLPLR DVHVIAGRVLRALAALHDIGYMHCDVKPENVMLRPPTVHHDTEQDFKGTCLIDFGAVR QFRDNRYYDIQSLWYRAPEVMLCVPYTHRIDSWSVGCLLYELYTGEPLFYGANPQDLL SLISHTVGLPRQERSMCGADYPAQSVVWRGNAVDAETNIRHLVLKLRSKTAENCKSGQ LLAEEEAFVNLISRLLEPDERRRLSCSDALSHPFFTQHCVHGGHIEPTVFRAGSDEES SVCGSSPSADFVSSSCNAASSGVSVARWEKRPGFAAQSQRRQQLSFPPSDCTRIPLVG VVGHPTGVVITSSQPSLASSIPGSPLHLLLPPVAYPNTVTALHSAPAQVHQQVHVPPL FVGARTCTGAPAPPNYPNNAAASAGRSGIGARFGNDSRNRDNRMMAADPPGPASLQMV RVAPCAAVSSMYKVLVGNPMGPVLYASSKA XP_823184.1 MQDERETRGDRVRLCRGKHMISVYSFHPSYHRQKHMWMKISLRG EVQAEHLIVFLSYCMYVILCLHFVRATLPPFRSLSPLPVRSHHMLHIFLPCRTRMWWW LCGKEEEGVAVGRVMYIYIYIYNKYATRAEIIPCTFWFCSFGSFFCFYLCSLTRHNTA RLAFK XP_823185.1 MRLPHPSNSTWYCRVPFFFFFLLPFLLLSIFSSFCKFIYGGCDP LFLFLFLHFLYSFLSVMLFFFFFFSVAASSTLSFDCRNICTASPLQFPVFSFTFFLFS FFFLLPLV XP_823186.1 MRGRNYLTRYYFNTVKLVMDVQSKGMNADCEGAWDVCVCFFFNY CCCLFIYFSEHMCLCCISYFNVSLTTIIYTLVWFLFSSVTLWGTLPHFVTHFFPFHRA IICIYCLLTSIFFSFFFLFVGGDAHQYHGIFHSYVLVPVLNVSLLMFFPPPFSSYFAT XP_823187.1 MSGNSQTARALTRAASADGFNPSNTGAFSPDVEDFEALETQARA ALEDLAANGSRNEALTVEYMCILRTYRQACERRAQYVEADLVQRVLRYMRLDEETRHV CGLTELQRQERDAIETLHREEVQEFHHAWNMRIDELEEEQLRLETALIERQNAELQSF YEEVNSLNPHAAKCSRGLLYARAVEHILASQREYVRAHKKKKEADTIEARDAERFVQA KVELLERREAMMRQKHEQERHVLEVKAKRRRAEMEAARKRELYILLRRYLNAQRELEL HQNIVRSKTGTILLKHASNTKGNTSGTAVLVESAESGAFGIRARKQHLDNLVDSCTLP KIGTKPK XP_823188.1 MLSTLFFDGADATSALHVRTTLEDRLGDAPARTAADNVVSYQAM DFPSSGSIPVPSLRATLLWWLDRDEPLVHILLTGSTVGDMSYSSRKLSVELVSPRHTI IACGVTHVRDQAVFAVSWVTSLLEAGALQIQLQRSSDHHSQLLIVPDEQAVLTSRFSG VAAAFEYDASVVQAKSNGNGGNVDDEEGNGSEPTLCASVIASLPQAAAADGLLSVILL SNGCRLWRVEIASDGTFVEHEFKDASGTSDSDGEFLGGSLLPSWLLTWSQDHREKSHR RYIAVSSVQLTHYFAILRSNGSIGLYDDSLSTISSASECALTKDEMVFSHALQWSALI GNDVHVVTSFGRKEIRQCLWARIPIASTSGDDPKTVKMTILPPSSTSTLLGCVAINPT RLSLLWGIGAEEDACIRCSLSVGSLMHANEQGLSGILQIIDAEEELDADGGSISGSCA YSSLREAQTFFCHSKPLHALTAVGEEMVLVEKVDESVRAHVLTSDMSPLEEMLESAFA VTRPVAATTHCTLPLASLHLIPTVVRESGCATAGKLEAFYEGIELAASCAEAADHLSA LLINAVHCVPVASTLGGFQLLRSAAHLQGYTPSGFVNEDAIKAAIEHATMFFDVSTRK ASFCSYSRHFIQHTIARDLLLRVAYLTCSFIGWMGSDPDYRTSPEIARVRATLELLSA AYHSVSVTGPSTATIVPEVRKTVVDDAIRLFLQFTVGEEAEGNDVLFAVRVANRLRAC NEARGPKACATWCNLLSRRYPCLQHFRIIRCIEVGRTSCPSQLAAMCLATTFHLVNCP VPVAKALLLDCGLAIYNDSTFQTAFNGIDVREWWALLDDSGDSNDGKISAVIASVYRV ALLRCIVHPGTSHHASASASLVRELFLAELIQLGQHLQESRLDCPGILRALTELRVDT HMFLARAALDDPNFGDAFRSIADVQQIAVGPDIKTVCMEKIGLLIGEVVEIASSSDEL TDCLVDVTHCSAELDTLLTSKWYSFIARLAVRSGSTEAEQLRWRAAIGLYRYLCKRHA YGQAGRMMTDLTTAIRCSSLRANVVETVVELTSLAVTAVELISPIAPLQVQDSEPLDG AQESSTFSACSGTGCFFTPEYAAVREPLGREHLPWVRRRHYQAYCEQQLLKANRRVDC TDLWVENPPPAAYAAALRRFVEALMEARLWTEALRFAAMAGADVGLVLQNHALDLMKH TNYTCDEEALEEWVMLVASCEEFSTLSNKYSPLRSTVVTALLCDCEKVCPALLDSLLK ADRYEALQALLEVFETLLRRNSFSEGDDMTDGEDRNHDSLTSAACNEEEGDDKSDGAY KPWMLLLKALQIGTAVLMDSLSNEEKFELNEAPMTAGVFDPMVRRASELLNSPLLLQH PSLVKAEGTATNFLKFVGLVKQKKLAGL XP_823189.1 MKRNRNHNDQMGPAAAALRRRSVFRDARASSTQLTDAQLIAGAL EMQRSIGPSNTSTTVVINSPEELALYRQKTRAELEERVKRGYTFLGNWVKYARWEAQQ KDYERMRSILERAVKFHGANPVLWRDYAELEEEGGFINHARAVWERGVTALPSATDLW LKYIVLEQAAGQDGKVRDLFNRWVSGPTPPACAWELFALFEAQQRRAENCRDILRRYV EAHGTVECWIFYGSAELNVLKNADRAAMVYACAMESLPNEYINGEKDCRIPLAWADAL AAALKFDEARELYHRLLKECTSVKALDAIFTAYKRFERLYGSAENHETMTSVIARAMY QHRIAHNPRDFDAYVAQFLLFRDATQGDNASVCAGHAVNVGSKGGAWEEALQCLTAAA GIRIDGEKDAVLAQHHAVVVMAYARLVEERGDVAAARSALAKCIRHFPFKTACCPRLW IEAAALEERHGEFVQAQKLLAASLNISPCPEVFDAALRLESNACAAGEVSAESCVQRS RAIYESAIKQFPQNFALWEGYAKLEEKERQFHRSDALRAACIRSFSAVARSVVSLSER YEVLEKVDQAWARRLALKRRLLRSARKKTCGGLSESAEVEELNFSLRQLYQELLDSVW DEYIYEALRWRGKNASTVGVLSPPPLRLPEVLTPATARWAEAVSAVTNFVVSSTTADG RPEDSSVEWMRTTFRKRLERYRPKLLQEFGGLDNNASFEAIQQSKRWVEFLLSPNGTE WRRFEAAHGTSDTLEAAKECTQPTARRTRLFVKKKA XP_823190.1 MEQAQRPPDNVMGVMRCAVERGRKSFVAYKKRFWVVDETQGRLE VYKNDQEPVPLDRFCASEVRGVIISGDRQNKFYGLVLQLRRGQNIKLRLSSLAERDRW YAAITRLIERCSTYNRDYNLLRVAQRRTLVAGRLLGVNITLAVARLSDIPDDLLQYLV EAVDVAIDTISAAVHAYGGDVEVPRRYTITVEAGQDRIDTGPQYDPTTGSLLLNVWIS RDVTGNVRFNVADSAEVMKMTQSHVWREPRIEGWITTNPSCVAVCREIGEMLGSRNFS FSFQWGQNLRDTERVEQYLHQYVHEDFLRAIHRNIVEVVEDFRRSDTDADDSCYLKYI GDFVSGIAIVLDESTVNAGKPPLLRVTTTVEYQRRCRHAVVLKSKYFEVYRTQRVLTK SLRLQLVEVILLADLSKRLSDSKTALTQAIGGNECVRIIWVNLFRSFASVGAQLPQFK LSDVVTSITNTYLTRLESLQQLGSLELPYAGVKPLYASLFSNVTTLVVDFVPFKEDDV SQLPEPKVHDGILTDYIVCCTAAQQRAMMNFICSRRGVPQIDRAYVLLNGLFAFPSMP ATTFKEWCEKQAFNGVEGYSGANDDDSSSRSSGGSDDLGDAVAFERNAEAQVLDLHRV VSTIYDSQGAAVHLKIGADSIRDAIEALEQAFDGRQIRKHDLVRVLTANLRRLRAIYS HAVGGEVMTRLDLDAAGRLLRSLCEYKESEQGLDELLQRCAQQRQQAVRLGSSDSVPQ ERRSLSCSASESIYDFQCVSQVALNYVLPREVKLARKAWRVGLVAGLQDVGKTLILNS LHGVIQPTFPTIGLSQRVIAFEEWVFAMHELGGRESFRENWRYYVERMEEVDFLFFVV DSMNKRAFKYASNYLREITNYFVNTPLVVLFNNVRVGVRGVSLLDHLSGAVKLDKIKK RQPERFIIVGTCDITVVSSSHRTIPPTLLETMQRLGEYLRQVSPPERTPSEPKKGSGV EYTTT XP_823191.1 MSVCLGTDPSPQLFASWAKKQGIFLHPDVAFLVPTKTMGAGVFA RRQLPKETVIVSCPFSSSISPYAGPSTVEAPCVKALNDTQVKDNVLYVTLRFMAEVLR PSSPWVPWLKTCPRMLDHLFGNVIDDKAFCSATCTSLGTVSTRWTCLSQQLLEMNVSQ RWGLAEEIMKRYPEHWPEDRATFPLFCECLALVLSRNFHRENVEGREGPYLLPGLDMV NHSFEANAILEIRGGGRKHSLTFCLVASKELRRGEQVLLSYGKIGAARFAVEFQFVTD SVVGEDMLRFSVDVIVDIVAAIMASGESTNDTESNVRMLRQRVERLQRLGFLFDEGLY ISRLPRTSINRDLDAVGSSCSASTWGAEIAPATVKDVQRFFNVFHLMTVSTGEFDHLI HTINRDWQVKRTDALMTLASRVIAMRAEAAHLQLNLVQEQFSGEEHSSRRRLMETVLN SELQMLDTLQEYVKGMC XP_823192.1 MNKLLITLHGCHIMHSPGLSTVSPRVLIVVDGVYEFVAHGKAST FTSYFNELFTVGYIDRLTVVEVLVYNSSTTGCGDYNEQQLLGTCRLSTVWLFQGKTPK RRRHVLANDAGIAGTVELTLMLDTSREGKGSFEEETNEWCASRLVRFLMHNDKGRLRD VDLLLSTMETDGAPLRPLASPPKCAAASSESSRSSCAAFEELMRNLCEEYHCEEPPQC RVRVALEGCTSLEESDKHHSSNVIVVFRSTAEEFVSPSRPYTSNPVWGSTLGTATFDV CNPEDFVLDVIVYRITSSSGYVEVGRARIRVYMLTTEYSSKRQYFIFRHDSYITPCVT GAVHITIKPLGFGLSPKDAGGTADLFHARLNRFFYRYDRRRLQEVDIILYSRRNKLDE LMDELEVYYGREPGTAVLCLTVEEVQSLLHVASTDLDGEDVVVVVTMGSQSNRTDAKR VTANSRIVFGNAFQIDVVRETDMIRFEVVKAGCEDVVYGSVDFTCLRIQKGVENTRTL YLVGAAGTEKAFLSGTITVTFFSENLGQSYTADTESECMYAGRLRRYACQHAPEKLHL VDVAVATVFDTEGFMKEMSRDYGEENPTYVLYMTVLGCRKLRKRFGFTMSAYVVARMG VDRYETRVVRNSTKPDYFEFFEFFIDQFAHTELTLVVMDQYDMGTDKEVGRTVIPLEN AIPEHQYNLWLPLMKDGCSNCGFVGVKFVVKDLQLRDSLCDHVKAGTFSERCGPRQEE LTSPNESRRATGVTNFSNALYHLFGGWGKRIFRAPRWQPWRDESSKGFSKLKVSEGVS GCEHGSDHPVLANIIDDGIYSASEFLRPRTARTAPKLLSNLLVKQRARHTLVPQTPNS TTGRRFLHVHLHYCDDLEERRLVPPSPCVMLATLRTTYISRTAFETCSPRYDDVFHFP ITCPDEDFLTITVMTDTPYGRKTLGFCMLSIKNVCYGMPQTRYVALVVAPHGSVAQQR GVVCLSLLSENFGVAVPPPLGRECSFRQWLQSILVKYAPTELHRLEWYVGEYSVKDNR EVEGFFVTNTYKRRGKEEVNVHISVETVSNLHCDGLVVASGSCSMTAELGGRTVCRIP AVEGSNGFFNISGEFDVVIPQPLTQTLRLLVSVKAGSKRSHGECVISFADVHRKAARG QAHYIVEGPGLPSASPIGYVTVSMSCDRCGRVGTPSDYADRSLYTRMRDYYYYYIRER LHVVNVTYSKKVDVDNHLRKLVEVYGPEPSTRQLHLEIVQCNFPGIADGTPYFALEVG LLQYRTVPVADNPDSHFKGSFDIHLGLPEKDELNLIVFMSRACELLDVEVGRTLIPLR NVVCGKKMLFRLPLVRQAQTKYAKIHGSVEVLLYTNDFGLPSEQQRHALHHSLCDRVQ WEVSHSNPKDIHRIPNFLAGIQVQSGWVSGQMECRSLPRPGSASDNAIRVLFLGFQCL PEKGVYIKVKLDGCKKLLRTGDLSGKRLACVNEEFTIEGSAVLKNTVFTLKLAESKTN ESRALCYCDFTLADCPPGCVSRKWLRLFDDRGRSMGQLGIQVDAPASSSSFFSRSSSN RSHHDVERVTDDVVSLLQKYLPQGLRRLDLIINHSDNVSAVHRVLRKELAPKVQATIY CQVQQIRLRTPARIYPRVEFSSADEVQYVTERTYGECMVDSNTPSRKRGAVYLHFSSV RLDVNGADALRISVHDGEAREGCEKLGSVVLSLRALLTPTLYGTNEILRAPLVKLWRT GIKTRAVVAGTITFHLSIPIFERYPAALCLSEIFPCAVDRDVIRCYFNKISSVLRCHD TGSLVDIHRKVFEELVVKPNGSVELRNFLHQLAERWGVDVSSLDATSPDWSFC XP_823193.1 MKDSYRDPNRAKSYASSADAFSSSAQRSCAVGSATWNGSPCDNS PSSTFISSTGRNTGKLHKVGFRKRETTAPRGETHPPRVPRKQTPPTARSRPVPPLALT QTGARTERGHRMDLRVRVEGVKKLLPPNSASKALPSTFIMVQTATGRGKTPVIFNNAN PPFNDEFVFTVRDPKLDEITVVLVSHTPSGNKKLGQCVLSPGNLVCGEEKRRWVSIVR HPGTDQARDYAPMLLSMYTEDFGLRCGPSEAKESEFREKLRALLKNNAPRELHRLEWY VGSCVGDYDGAFSQLCSKYRKSKGTPAAFQLVINAVSDLTDGNGSPSACETCCVKIYA GRVKRVTPMALYRSSAVFNEVFNLSLDDPLSSGITLCVCSPRGKIGECVVSLRGIQKG VAKERTNSLVYAAGTGDASLCGTISFTLYSQTFGVENPIEEAEENARRTRLQNYLWNY LRDDLHRLDPILYSITDVDSFMSVWTRTYGPEPRQHLLRLRIKNLRLLTKLKSPEEFT VVLRTGPNAYRTSCVRYSQDFRFCDELVVSVCTPSAAEFTFIVVKTSDVHEVEMGRAT VSFADIPQSSVFKRDIIIYEDALQVGSKDFGTLFIEGITNDFGLPSETLTPERREYYR RRVASILSRYKPTEVYRCDYLLGTHSGMEEQLVRRLSEEYGPETGNAHVNIQILAIND FMLSSPCYVKVYVDDVQVLRTKSHRTALNMQFDISLNNSATLQIENPLRSLLRFELME PRRFLRSRVVGVAELSLVKMVPNEKNVYRLPVFNAERNGEVGTLSVEIESPSLRHDVS AIFDTPREEDDAELFENVMKDVTTLVSKYCPQNLPHVQPMVAKAASLRDVHRELRDKF TPKRVAFTFYVHIDKLDLSNREDIEAYDEGLISVEAYFMNERVVQPMKVSDVSKARAS KLFPEIRFDIVHPHSSEPDCSLPILEIVMHKKNYSTFKPLLAVTRHGTAAAAKPLNVQ TTEMGRVVLSARALLTECMYSLGEPVTVGLVSSGERAPQGGTVFGDIESCQRAYIGDL TLRVTVPSFETIPRCLQFSSHVTRDFQQDYVRYHADRIFSLYRAHDPWKLREFYYLLF ERDVALGKWPRSLHNWLVNCIKLYGPEAPGTYGPPPKLRLGLKEWDQQRVNRTTASTA CTSSGYNTARGSSSAFPSNAFSGSARRSRNGDHGQ XP_823194.1 MYHYEYDDDHSTNLSQAINAKLITRRGITTDSPDPITPVFSSTA PTRSSTGLTTEWIRHQKLSGRAVPPTLDTLKGTGSGRKYKSVTNSQENNVDPNSINRG TLLVNSSYSAPSPRYNHVSSVVKKLIEGRITPQEARRASSSRRGSSRTTRLVASSRFA PADRPSSAPKRLPMGSGRTGGLLPRLRISSTPHIPVRTQQWIPSNSAKPATAECQKNI SPRGPATTQSSGSSRRLNMYQRVPLSRQCDSFPRKPTTVEEKPKPPQPLFSRVPRCAA DIPDHRKPSPRHDVQSRRPYVPQSGREVPKAHKTPLSRASSSPKYNSARRRYVQDKQT NETSTISGRQRVPVSAVDVGSREKANVSERQRVPVSAVDVGSREKANVTERQRVPVSA VDVGSREKANVTERQRVPVSAVDVGSREKANVTERQRVPVSAVDVGSREKANVSERQR VPVSAVDVGSREKANVTERQRVPVSAVDVGSKEQEDGRVTAISSDSRGALSQTKAMET QKVVDPLSTKDIISHDAAGRPKHKSLNGGLVIPQVEGIKSLLLFDIKPPKLSETGAVA ATVG XP_823195.1 MWRPAEVNANIGTPPHKVSSIPGLDAGLAPLCATMWAGGLCPTR DVTLWGFGDGDGVGGEQQLRNVQQHSGPSPCAVKEGDEVLMYARPLPSTANEEEDEDG AVRFAQWCTPIERKHASDAGDSSQCSMTGHKARAALAMKRHHGDPGAPTKLPESRACI FSDADELTVPFAKRYRSFQLQGVDDGDSNKVNGSSVLTPCDSSNLSHITPLDEGGESI DLANHMSGLILAASPTPKKLDFVTPLEDGEVHTGDNGTFNAQDGENRPLSACSSTHRP LRGKRFLDDLDPNVGVATSHLSTCKSIREGCRKCRRVDAPEGCGEEKRQRTTDSVRM XP_823196.1 MATKMVTPTATASDTDNPVSTYFLQKIQELRAQEKRLQDNYMRL EAQRNELNRRVRHLKEEVQLLQESGSIVADVVRVMSKGKVLVNVSSSQGKLVVDVEKT VNIDDLSRNVRVALRSGTFAIHYILPTKVDPLVSLMKVENAGSESTYDEIGGLSRQVK EIKEVIELSVKHPELFEALGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGA ELVQKYIGEGARLVRELFVMAREHSPSIIFMDEIDSIGSTRLENTGRGGDSEVQRTML ELLNQLDGFESTQSIKVIMATNRVDILDEALLRPGRIDRKIEFPAPDEPARFEILKIH SRKMNLTRGINLRTVAEKTNQCSGAELKAVCTEAGMFALRERRVHITQEDFELAVAKI MHKDQDKSVSLKKMWK XP_823197.1 MDEETTFPPQQQGKALISELRSVVNDYRNRKTVEAREKIIAAMD NTCASLCFGDEGFIRNLNPCSLASALVAVLTSTLATDYPEVQCLAVQALALVADLVPR GGFAVVAARGVQPLLLLLKELDASESTTLEELLRCLTNLAFEAHEQLMQHQAFSVIVS IERRLETHRLRMMCLKCLSHLLTLTSPEEWNIYLSKPCSSLMATFHEAVTRLMKDDCA GDRLSKDDEAYLLRLLECVALTFDRVLCSAKMIDRNSRMVEQLVSSLVVVINGAASMG SRGTALRNAACSPLLTLLFTDPAVTVRIFLKCHVLDSIYSNISGLLEKRPKQASYNGA AVISALMEEDLTAVPSVAEEQKVIPLLEFLLVVMPPSCTASDCDYYTTLPHYIWQWED DFHNWCDCTEDLSKRLEGEYIKQRRLSGYTVFEVSNATSTLKINFSTMRYRSSESLHY PHNISRRPSIAGYIYRRPIRCQCDVKVTPNSSLPVEVALGRLVSLGAGTTTVLDGEPA VVSAGVPTGNAHSNEQSYCESASYSFGNIAAPSSSNQPSRGHGAHNGGVVEERGSHCC CVSVLRSLFRKLKGSGRSTAVPEAGKYGAGSALTSYEVLDDVRVELLRCAATRSNFLQ ERMIYRIIQQYLPILMRVVDSTANLIIVRHCSVLILRCVDLVIGYFRSGGRDQGQPTR TLWGLFCALGPSLATSLSYLTTSSTTHGSLTLSAAPFREFRCGSAFVLALSALDVTVG TRLPAMNLACETQLTSLSALMMLCSLCPIGVKALTKQQSLALLWKLERITGPAERSSQ GGVHLLLEGHRVPPCPFHTKALQIEELRRTLVQQLCSLLWTNARAVDQMLPFKKCCLD ISGDDQQDSQLGDSVAMGSPEGSVSPSASCTSLTYISSSGDLDGDTPRSRSTQVIKRL TRINEYMKEHSNDLPVFLYDQPELLKDLASALRSADVREVIGSKMNEVFSQLIKSLLR TICKYTGIGRLEEPRAVERQYSRFQRRTRRNRTMLMVVDYLWTPLRVNLVNTPVQAGK KRSLSKFFRLQKDSTNAADAGSPNAQVRESVLSVLPTTPLSYIAKYIIMRLNEACNNT CDPKSEDAEQQRQQELAEESNFGATLAHQEAADTPVGVNGGVGEETALGGNVINFLNR RESRLSDASDADDEESVTSGDASEFSEGRDGDYLSEHVLSTVSATVSMVPQAPSNICS GPLGGLRASQVEGSTSTTITIDNVVFFCGGKPVTDLSISVLDLCGSSYCQASGWSDLH QSDSDENCGDNAPVRHTSGERLHYLMKNVLSLWSSVHTINYSIVGMRANEERRGTDGE EVLDMNENSPVEVFSRLSYSPRGTPFLWAAADLLNEMGQLVRAAGGALSMDDPLVSSA LFHEFYNHFGVLMLPALAYGIIHAYLADQQDRYLISSVLWKYPQTFSYEIRRTLLHLL LSVRRIHTPAIVKLKEWASGSSPIPPVQGLEWLRPDLNMRGTYRVRVQRFNIFESGAR VLRRHAMCPLTLSVEFENELGVGAGPAVEFYNLLARKFQDVQLHMWRTEEFTDESAKT ARRVQLPLFPSLFQDDESLSNFELLGMLVGRVLMEERVVDLPLSECFLRGILGDLDDT NRLPYIDPQLDKQLDSLLKLTANELEECELMFVIQHGDAEHNGGIVELCPNGATTQVS AMNVNEYVQEVRRYYSKTALARPVSHFIQGLRYTLIPYHLRLFDARELQLVISGPEGK IWESAGDLLTVITTNHGYDKNSLVVAYFVEVVSSWDVSLQRSFLRFVTGSTRIPIGGL RPPITVVRRSVEVAPGEATNQLDTTNNFLMDDRAEVLQNLMDASLPTVNTCAHYLKLP NYSSKKILEERLKLAITEGQGTFSLT XP_823198.1 MLLTKLAPMRSAARHMPLLCIPLHTARHTATVGASGASGRVGED HRHSSLEETLLHPPFIGSSSRFTYALPALDSDDIAALERETLNHHEPNCWNDYCCVSL VKLLRWCADKLFRERYIHRATMLKAIAPAPAMAGAIVANLRMYLRKKDATYLPSDGNF SSEVRVLMAQMESHAAHVNILLSMCEITTVERVAAVLLYGLHYFIFTLLFLLYPRMAF RLMGYLNEESVVIWTHMINDVELGKIVERPIPRAALQYWGLHRFHHRQKQQSHTKSYV TEKEEEGRRVMHNNEASSANPSGDGAAENGGEDGDVSKRGLTLRYMLLLLRSDEMVWR DSCHAAADAIDEMHEVQ XP_823199.1 MTTHLWANFVTSLESRGISFQHVQHASDRSAVISAAGFGDPISV AILETEWMKRCAPTSTQHASPVGVSDKGAFAPPPPLQAPQTAAATVSSPTRLAPQFSD HTKLEDVPEEIQDRVITAEVLAAAVGNGGSLLQASRDGFVVSRYVPVSMRGLCKTIVP IADSFVALRKVERAEYMGKSLVAMALGEAVSEICTSYAHEIANLQRWSQKKAMPLMGV VSEVLRAGHHIVRLRQVLPTDSILEEEGTLSMAGWRLLNHIHEQAEKHSGSREDDELL NLLLRRASVPYLRVLHRWVHEGVVEDPYGEFFISDASLPTGAASTTTAAPVSAVAGMK KSAVRRIFPPCGGGGDDYGETGMDDAHAFERRFSMNKNMVPNFILTNTKMAKIVFYTG KYCCLLREYNGALPSFGELSNQILIWSGVDELHRRIEESYEIASGEVLQLFLGPQVDL LGHLTSLKSYFLHQRSDWLIDFLDSAEELLLKSPFQVKAHSVRVLLQAAIARCCGKDL YHTLIGCSFSGTTVAQDIINSHERQNDESPQRASTNGRRSATRIETRCCIELLQLEAD LKWPLTMVIDPPVLEQFNIVFRLFTWLKTCERDVTTSWTGDTDLDPHYQRAYIIKHQL IQFIRQFQFYAAHFVVEPLWGRMMNRICQADSIFAVNSALKDFFKGIELGLVLSSATR FRSLARILEIASVFSETGRPGAAQGLSEQRLQEILQDVETQFLKALSELASPVGADYP QLVPLLTWIDFSGYYDRNGVYRVLYSASAATEPVSSSATDRRKR XP_823200.1 MSLKKKTLKQRLRKNEMRQRQKVSKDVRKRVRTGRRQCNRFDDI ESGSRPLQELVEEIAAGSAAPTREVALDPRSLTDLLLQTSASLSNTNSGGKTAAKPNV GRGNSESDGKPRLVLPEAPLPEDHPLALPEVQLGEDELGGGKDTNSVPGGKNQYRHHG DPYVFWERVGLHPLLLKALRTMRFTHPTPVQEEVLPSVLSQNVDGRAVGGKEKITPGK GKSGGRGWEKDVIISAETGSGKTLAFAIPILQHVLTNVLVNEVTQGPDGKIGNEETAN AAKGKEGKGKKTKGGGKSTERGKSESFKKSRKRLREGEEEGEQLTTAPPTTTFKLQGR LMQALIVSPTRELALQISEAMQQLVQHAPQVIVGCVVGGMAPEKQQRVLNRHPHVLIC TPGRLWELMQKNEGCYLGHSLSRRLSYVVLDEADKLLQSGRFEELKNLLERIHCEILP AGYVQEREEGAEAGDAELEAGFWDAEKQKFVPFPKDSPTGDDDKKETPQLRTSRKDEP RLIPMPPDPPEGHRVTTFVTSATLSLQTNYVRRDMRAKKTVIRTSNEDTMGKVLQQLE IKPSNAHVFTISPKVDVAAKIHETYLRCPGDSKDLYLYYFLKMYRERSIVFVNAISML RRLVKLLEVLGIPVAGLHASLQQRQRLKFIDKFRKGEKRVLVATDIASRGLDVEGVRY VVHFQVPRSTDAYIHRCGRTARCGGTGLSLLMVNAQEHVSFTKLMGSLGRSTGDLEVF ALQPTIVHQLHAHLKVALQIDKLQKEIDKSRANNNWARRMSREADVDVDDLIDDDADT ENKAKEKAIKILRRRLMLLGEKDMGTSGGKGSFRSSAQAIGAKQAESKLVERAQLQVT QKPKKGSKVVKKAGARKNTEKE XP_823201.1 MRLISLATRTVFHRMKCFVTLHTVPLIEQHRLYCSTHVLQFDMK QYEMEKRRKREMERAGVSDDEDDAPWIAPEEQQRIDEEQQQRDKEEAERVREMLERRE QEDIIKRKKFKEFRAKQLAMSKNRKEAAAAAKQQQRGGSRVVEELVDDTSNTTGAPPL STAGSAENDATHGAPHESGVR XP_823202.1 MVAVRAKVGSRGFTRQRQLAKGKKVFKIDCSIPASDGIFSDDIL SNFQQYFQDNVKLNGRKGKLTSKVRVNMRENILSITTTMAYRKKYFKYLTKKFLKKKD LRDWIRILAKGKDTYQLKYFNIQDQEEA XP_823203.1 MGSSSSSANDSSSANMDAASGKGIINSPPPGESPDDNSETTAKC SNASDLNSYREFRDQLLSFSLGESAYDYAETLFAKNPSSPEVMALLAETTVLYDKTKN KYARDHWCDRLDLLQRGVDVSRKCINENPEYGPCYRTYVLSATREAEALYYMKSLMGF GLLENYNAIMRRGEKGMELLPTDADIPNALGALSARCAYVWYNPTRLYARWCGVPGWK ELWAKSVQLHKRAVENDPANLEFACRLAQAYFHSGDYQNARRWYGKVRDEMPPQDLKD ERWQAIAHTHLSTAFVKTSWNVPFA XP_823204.1 MLRRVTPFTLCASGFTACRWAGRVRHGATICSAVSDATAGKQKS ACVTDVEEIPTLSFLSSKSILSETVDRTIEVCDALLNEIPKAKSIKEKHDLIDSTSNV LCLLLDPCEFVRQVHPDAEYKQHASYAFQKGYEYMSKVNSRRDLYDVVLQLDSTEGHK ELSSEEIKNVAQLRRDMESNGIHLPDKLREKVTEMNIEKEELAMRFLTEQGSKNPFAT LRYLLQCRYELSQLLGFESFAEQQLRGTMLENQQRVWHFLCGIAHKYRREAEKEMDII RSNVGEVRNRQNITDDVRARVAHSLRRDAEPETAAEYFSVANCIRGIQCLCLEVFGVR LEEVQFDKDEIFNNDAKKYHVYDENKAFLGVIVLDLYASEMKYCQAGHLTLQLGCIPH QEALAKVGLKLPKRQYPVVVLTCNVGACSPVQRLPNGRFDDESTLMHPNEVTTVFHEF GHAMHTIFGQTKVQNLAGTRASIDFVETFSQLFEQFLTSHEFLQLWAHRISTREPISF DMVMKRNAAADMFKHLDMMDQVVLSAVDQTLHGPQPYTVYFPRGDQGNLGKRTLGDIG DYGRGTYNLAKVLIDICTPLSIVTPTETGVLGTLSFEHLSGYPAGYYGYLYSLSIARR IWAKKFMKDPLNRDAGRELVQKVMRHGAACNPVDVIENYLEDKLDEIDIWV XP_823205.1 MRRTFISFSAASAAAAAPVTSTKMQTLHKLLTGEVSFKNKAPVK DCNIVHQFGENWATELSAYAKTLPAEQQKIIVRQIARVKLTRYTVAELAAYCGDGPAL LDETARAANIEQGVAFVKAKGVEAFEKYVAEESTNANWKPEEAKKFIEDVKAKAK XP_823206.1 MVSKKSLYDVLGLSRDATAADITRVYRRLALQYHPDRNPEGAAK FKEISNAYSVLSDEKDRRTYDATGIVPGAASGRGDEMSESQRSAEMQQRVHQFYATYA GSHEEEEDVIERFKKCKGNFGRMIREELLFDNGKVEEVKRLQDLVRSLVKRGSLESTE AWEITSTEAARKRIERAMAKERKRAVETLDAMGLSTKKSGAGSMQELQAIISRNQEKQ WADMLGNLEEKYVKRKNVAEEHAGKKKKREQQESASAPRKKTKK XP_823207.1 MHSVHESLLGDDAAARNTGRQSTINRISMLVAQRLSVVGMEEEV RHGSVAGASVNTLCNVIGAGVLSLPLAMHEASIVGGFTLMLFMALLGGLAAFMVIMGC EATQRFSFAEVVAHALFPSYTFEDFCTKVGKLALHDLGCVGGGAMETEELHRKHKERE AVSRRKRRAVIVLLELLVFINNYGTLIIYSRVIGDSIPPVVSSLLHTSGIIVTRTFWL VTSGVIFFLLSCVRHMDELKWTSFLGFITILYIVVIVVVRYVTSLQNPPYPDVDPAAL EGINWCKISVNILRSVSTYSIAFSYHSNIPYFYRELRDRKPYTMLKSVYIAFPIVTVC YATTGFFGYLTFGTLVASPAAGGDIVRNYPADDLLVNIGRFGLFLHFACVYPILSVCA RRGLHRVIMHALTWNRLSTPDEEDETPPANETTKYYSTDHRYKMSGGSDELPLSDDAD RDVGSPEDTTTLAIVLEALFIVCTSVVLAAYISGISVVIDFLGTLLGTVMMFTVPGFT GWCILSRASPLGGSTVVSHTRLFMVLTFLLVVMGIACTSLGLLFLIKQYVLPAL XP_823208.1 MRRWLRNVRGGLLHPRAARLMVSCGKTHGLHMVSTVSRNTETED EEIRDNESFPHQDDDDESVTEISEEMMRSLPKAPPKLRSAGSLLSTEEQEQLTRMIFK AHYAGEGMGENDRAMWYQSALEIHWLEEWAYEEFDGQTQRDVARRAVKKAVLQRVDLH KPLAYIIGHQPFYGCDIRCSPPLLCPRPETEMWTHWLVRTWLSKIPETTQPLRVLDMC CGTGCVGIAIAKHVPSAQVTAVDILDEAIGASEENAKLNGLEASRFCTLKSDMFESFL VSNSGSLTGEDTKLDLKKKEPAAEHAGSFDIIVSNPPYVLPDQYTDLPPGIKLWESKL ALVGDEKREQQQYLYFQELSEFGSLLLKPKAMRNPALCAAPNIVIEVGLQAEQVASVM EKSKLWENVEIHLDYAQQMRWITASSSH XP_823209.1 MVLIECKRLPKDEYSKDYDVFLVSMPGSTNIGEATDALQKMRNT RVRIKWMCAAAKQLAKDFCSDEQKHHLLGAVEDAERYMAIERAENRQLCSQEDLDAHI AAFKGSAMILFPAECSGTDAVKRLALVLDDENTTDLERSKAHRLLSIIDDGAATEDIL QGPAVMWWSGKPLDRNADFTKYVGKNEKTKITMKLAAKDAPPPPREPAVDAKTQSEMM AFYFKKQEEMKKLIEDEDLTYGNSAWADPRGLRSQLHGFDGVKYKPG XP_823210.1 MGVDLTGVQKKKRVVRHHTYSTNPYIKLLIKLYKFLGKRTNSPF NKLIHKRLLKSRNNRAPISLSRIAVCMRRRTVWLKKGKKSPIAVIVGDVLDDVRMTRI PALRICALRFSKSARERITGAGGECLTFDQLAMMAPTGKNTMLLRGRKSGRESVKHFG AAGVPGSHAKPHVSSRGKERQRSSKRRHAFRHK XP_823211.1 MSVSFFVQEVRSNPAVDAETAAVTSLNTLFHKSGLYLSTASTQL HVTPEAVCVIDAQDLYAIARYAHILVTNRDVQCDFSALSSVLWNQVKNVGDRIDVYLH LLESAGHARQSRAALDLQPLHLTLLTHALYILRQIEEPHARQEVRDAVSIVQKDVEMV VRLGKKLLHVLGDALDKSGVADNRFLLAAEMALCAAEMFAASIASRSAIDVSPLITFF NSEASWRLSGISIEATGSYCGALHRLIRTLFARQNDFDGVERVTAKLLVNRLTTRPPF DWEMFKRIHPPHKGTVTPQYIVLCNMSTVQLCIRKLLLQNHSYVSALKKNCIRLLQEM SSRKEMLSFYQVPLLAALQGMPEFDLSDDAQLQLRAVETHLGNNEQIMQPNFLRILMA YGYTVPHEQHNPLTRGSVLSLFRAVTEQLFQLPMIQSGNVNKMTHTLLQPPVPTLSFI RLVVEASSNDVETASEVLAEMMKVLTTMYEASVAQCELYQTPVRVSKPLRRVLALTMT LLFEFFRFPSFVKAVNHITALEALARIYAIARLYVTAESNATETERKSAMRLLVRMAA KLVVVSESMKVPEVNTFFVDSLLPLSSMESLTHRNHQQYALLEAYLRAFASGAVVTVM EEETLLKHWVDVSLRCITNRLSGALAVAGLTFLSAVFLSKRAVAPLFVPTYVELMVPT SQPSRYGEPPLYLTRRFAKTVRACCQALEGCDERALEEIIHDQNSSVAKVVRDMFGND KNLSLLENIRPISSILLVVSSLFDKVCALLGNTAGPALATTQDRLARFQVYYSALINL LQCRSTAVLHRVCASVEAVMLEQLRGVPSVQAQWIKHIGNIVDSLQGIGKTAVAEWFL VLSERTKKMIPHARL XP_823212.1 MRDSKSKEVGLHPQLFTVLQTDHLFSYQFISESSLFFPCLWLGA PTLNEMTVNAITGSIGNVARGVAKVVSAVTGASCSGGVSSNVNAFASAVQRRTPTAKK PSPLPRELQTHLNGSNRAKMRTAVYRTVPSTRAARAAGFGTLFLRLGWDKLVGSEAGD KMLPVNSHMRIVETLCRMRGAVMKLGQMLSIQDDNTIPSNITSLFERVRDSAYAMPPK QLEQTLAKEYNDKNWRQELFKEFITEPIAAASIGQVHRAAVSDQDTGEKVAVAVKVQY PGVAQSIDSDVANLRMLMSLNILPPGMFVDNILDELQQELKTECSYSKEAEKQLRYAE LLQQSPELKEVFVVPKVYKSLSTDRVLVTQMLSGVSIDKLASLVGMQDVKDYVARSML HLTLTELFQWRFMQTDPNYSNFLFCPQTNKIGLIDFGAAREYNQEFVKDYLDVVAAAA RRDRQTVIEKSIKLGFLKGNEMKEMLNAHAESVLLLGLPFNNRDVPFDFSKENLPSRI QGYVPTIVRLRLCPPPTPIYSLHRRLSGAILLSTKLKATVPSGELFWNIYDELTSV XP_823213.1 MQHQLREEQMRATLKQLQTDVNELLDDQEQYIRFVYGKIRGQLE RMHHMIASGGDAATALGNCSSYLGSMDHLTQAYTGCMTDGQRSGAAGGCSLPAASTPL SERIQGMLPPLRNLMMEGLTQTDCTQWAALTTAPGHEDSPTKSPQKQWGDEGQVCSAV NAAVDYTCFDPIGSVGGDDDLQQSAEGSLLSPYACGDDLRHEQLHQGDLIGQGTKADV IHIGTINSLSNQHVTTSVRVEYVNGRPKAVSIPDGAPEDPERLGIDVHSPCKVMVEFK RKRVLQFESKEYVPPGSCVVVGGDRGEDIGLVTYTWCETTRPLSGGVDTSCASDTTNG SVGSKGVVVGVGLAGSAFTRNIGLGSGTVLRLADESDVTQLHTVQVELERRAIDVCSQ RVLERGLPMTIVDAEYQFDKNKLTFFYEAQQRMDFRELVRDLYKTFRARIWMEAVEN XP_823214.1 MSSRAWVDEADITIEKNLPEFIKQSQKLPAWARNNAGSGTKGGL GGESSSPFPNLSAQLAGQKRFRDRDLDALLARTEPLLTSKHERRSTTVPPVLSVLPLP SDAARTVHWHRNGQLAIVGGNNHVYLFHAAGRFVEEISKTHVGKRIKQTTLTATGEDL IIVGNGIYTPNLLHLATEKLIPLNFLCTRELAPHRNGRRDNSKYDFHISKVATPEGGA GENIVGMAHGATITVASIASASIMHRIDLSDPVVDMAFTSSAQELTVATRNKLTVYDL RKSSQFLRELHDKGMVGITTFACSNSMVAVGSTSGIVGLYSGSSLATPVKTLKNLTTS IDCVAFGERSNGDSVLAFCSGSQKAGFRLASLPDCRVVPSFPTVSVRHDFVQSMTMAP TVPILSVGEKTRVTNYAL XP_823215.1 MRIVIDVDAVLPIRYADDENNVDSNCKPFNIIEDNSQSDNDEES ITKRGTEKKPAAPVVRKRSRPPSSHSSSALYSALQPRKNWAKNMFRGDTPYSLLKRED LFERCNYIMDHDDFVWCSENNVPHTLFADAVTQLERRYATCLLETVKNRTAAGGASSE ENVSNWSEQTMGLGDGRGYGLAGGIACGVCSQKERSERAGDRFLRCRRCGVQAHLSCW YLNQLPLDVEDWSCPACHVARREKGLGHCCVCRRKGGVMLPYMSGVEWRRYEQLASHE IPKEDSPAAAFCHVVCALAFSELLIDEQRRVVHPAKRAKRVGVIALCVFCRSNSGEGL TMCHHKRCFTSMHPACAQIAGTIECYRAESVPAGSAWGGCNVYCSDHFREALSVAAHS EVTSVNAESAALKHVTPSGLVTLENDNHVEGSNLAGVVSNSAVAPSLDAVKLRWVEKR AQRLRESAQMVEEIRKGKRDILSVLFRPEVVKVSATEVQLSRFVFLIPELQQHINSAV EGILPVVDDEYEDVQRYRKVQSRTRHAGNTVQLYQKMQQVVSHVDVFCELSNVIRKRA ALRREWVETELKILHQRCGIADDN XP_823216.1 MRVLTHHRHAVNRGSATLGGLTMVNTAYSFLFAFPVAVVSLVVR WHKKLTLNQRALCVTCLVVWTLVDVLRLHLGYSGNRKQYVPSLIGFFVMTLLPQLPLA IAYNLAWPHLSSLDYATSTVMIMLLVAEIVFSLRVIVRLIRNNRIDYYVYGPYHVRDL Y XP_823217.1 MTERTDTIRELFHKSSPYRPGGDDFAELTALKSHTFYETFQVVT STAKVAVRNTRASIKAALRAMEQFSPAVSDQESALLLQEAELLAASDAIKELIASLKN ACVQSEKSGSRWGAAVSRKGDEAQDAKTGKPSNQMLLHLETALEVVGLCTGRWRLLLA LCKEELILYKQEMFSFGRIGVLSCQDPVKEKCGDAESSISRREPDVERPQELDLPIGC SVEKPKDATQAVMSVVDRVMKEAARRVTVAPLPLNSGVWSKIRGNKSNCRNVWNADIS EVPVPQFEYSLEEQQQLRVENAMLEKHQRLVSAEDAKAVEVSVRELSQLTSLISERVI QQNEQFSILLKNTEAAQNNMQRGIGEVKQTLTHFWNPTRQLIAVLWTSIIVLLLANWI IR XP_823218.1 MTAGLVSSFGRCGGAAAALSTVLHTRQPLPFVFFTKWAMHDFHT SRWSRARSDSQLRRTHLGRKCTETPMMEMVSVNRYGGNAKREALALAFRTYRSVCQSS ETLQAGREAVSQVQLDDFAFGDDEMEEVATDFACLYLNATVLFVSPPSEEMMVGLLSC LSKVLHNSFHWECVLSVLVALLHPEATSARVFVTAFTMMLRESLGESVPKTFSRDGVV LLLLSVARATAILRENEASSKDMVYLLALQQNALVELCCTPGAAPLPREELLVLTDAI CWRFAATGGCLHLREPSLDSVVKQLQLSSKAVLDVLVVSECTKLFCASCLALVPPSSE GNPRPQCQHQWQTTLDPLVSHLDRLVRVHSIVDALCVFVGLSVNGDARARYRKYPLAL LEHLQEEQDVGNIFTELLRSGGSDVFAALTSTLTELRNSGEDDLADAVSPLLNALSTE VPRAAASSS XP_823219.1 MMANKRLGRHASSLLSPYTMVPTPIAATGSSCLSQRTSVPCSRA ALLQAKCFSSERLARLFALELNRARAEYRRTPTKAQQEACLRTIASVPLQQVRGLDGK TVAQCLTTLVTLDAPADMPIIHDCAVWISVHPDALSPHSLAQSLYSLVCLEYSDSASV VCAVRQQLLSAMEDMAASSICMVLAAVLTSYATPARGQLAAGAGKGSEEGVSGTLQLP MDMSQLAERALPFMDESLGLTEKAMVAVALGKYLSQTATATWTEGLIADRIMAQLSAV VWGCIPGAELSVLSMADIVGIVSVLHVVPLPIRDSVATAALQELKTRVCNQSLESSRS VVQVARVLSCLCNVNRESVYYSASQDVLEKVVSALEAYVASDAITLDAAAKLLEEFSK ITGSSIATPPALTRYLVRAINGSKEKWDAQSVRTVLSVFSKDSSLQADAQALATRRVE LIKSKCTAGASVDASDVAALLVEGIVDPHAELLAETVTNNISRWSVSQVMTFLQAAAR VNGKPTNAIMRDVASKLVPCMEKATAAQVAAVMSSYGRARVRNDAFCAAVTSRAALLG PELTLQQISTILGGLAAVEYRDTKLFLDVAPTVIAQSATADATQTANLLAAYAKMLVW NFKVIWSLAERASVIHEQFTLTQVFAVVTSLNRMDVQHDVLVQALLRKAIRCASDDPN LPLPDVVMILSAFSRSGVWDATLFADLGKRVVQQQQSLTPEELAETLMAFARVGLTQY NIFDELTLRALAVAPTCSLMALANVSVAYATIGCKHEELFSIIADRFVNQKLDVPAVT IASVLSAFAAIGIRNDRLFIEAIPRVRHVGQYGTPKDITNVVYAYSQVGLWHYKLFVR LADRAVQLRGEFRCDQLARLLEAYARVDMRYEKLFVEFSPRVQTVAHLLTAGEISTVV NAYAKVRVLDTAVFKACVDRAQEVLNSFEQSEAALLVGALRKAKFDHEGLAVSLGKMF PGMMASLQQVEDEEREVGTTPAGGNEGDETILVNSNDLGSSVVEAADGGASA XP_823220.1 MHVCILLLVLPFFMCVGFLFATICSAFLRGPSCGRRLPILVRTL LFSTSVSPLFKVFSTLSETLFTCIPGPKLIQIINRAMSSRNCSLSQGRLLSRQKRPLV VAQFLNKVPIEDRHAATNALLCLGLRAYKMVHATTPPDMQTLRSAVSAEISAVASGSE GLNDQKIDEGTASGRQRGAVDDVDAFLFARRERGQAPSSSPPVDRRLEGNTEEEEFYT RIESVRNVGEPSYHDRMPQLMEDVDVYSSSKSGADTRALNRLAGDGGESDRAAGKSGC FTDVLDFVTNCAVGSLSLCTPTRCREAANVSSSPPANCYNAPGSEQPNMGHASPLRAS GSQDLPLGGMKEFNMNTVVPLLDDNGVVYPVEFIHHLMARIKSTRGVRENPILVAAYM PQSLAVYTLQGSFGDLYRSLLVSYHVSMW XP_823221.1 MFLSLVGDALQFPHVLFRMFFAQRMLFPLACPPPKSVIKFCVFL TVHRFFSTPSTLITKVCSAVRIISGGEGGAENGDYFRKSLNIMTDASKTTKSVENANI PTLTFVTGNAGKLREVQACLGGYVTTESVKLDLPEIQASSVSRVSREKALLAYERLKK PVLVEDTGLSFEALGGMPGPYVRWFLDAVGPIGLAKMLNGFESRSAQVDCVFTYCASP GEVLQFIGSSRGSISMVPRGEGGFGFDTIFMPDDGNGQTFAEMSASTKNTISHRARAL VEVRKHFENSK XP_823222.1 MQRCTPVLLLLLCSLLTLPNDTVARPKMSRRELRRYLPREPPAE PSFHHLRSVVLLQRTGSEKDSVSECNGETPFPTGEPVLVNTTLADGTKRRFVIYVPSS YAERKEKVSLKLLFHGLAGDCATFLNTTGFIPHAEKDGYILASACGTSSLMGAGWNAG ECCLFFNGAAPNDTEFAKHIIDVVSSKACVDRNKIMSVGYSNGAMLSELLACQNPPIV RAVASVAGTLAMSPGGEGGIAACAKMLRGGESGCRTSILKINGMRDGYVPFRGSSFLN LPAISENVKGWVSANGCRGRGVTTISNSKFRNKVYNDCSSENGVLYRFWCFIHRLAHF PTGLGSKKSTDVNTSDANEKDATGEDAPATETCKKTVVESVYALEGTHEWPNKENFSA TAYIYEFGKRVLGSY XP_823223.1 MPKSKRATIVPLTKTRSKTREEKDELINKIRDALDDYTDVYTFE LSNIRTNILQQIREERRNDSRLFLGNNKLLMIALGRDDSSSQRPNLHKLSKYLTGSCG LLFTNLPHQDVKEYFKGVSADVFARTGQVATCPLLLRTGPLAQFPHSMFDHLSRLGLP IKLDKGVIVLLRDTTVCEVGDTLTAEAAQLLKLFGIQSAEFKLELTAHWAKGVVKRMS KKKKEEEN XP_823224.1 MNSAASEEQTNVFLMAIRPGGILYPQLQCAPMEVLCCPRQSSLV ETGFAPYLSLPAPVENSVVTLSINETTFHLTSTQQEKVSQVLREEFLVYHTLRFASVA SSSFVTGRGHKGEFSSDLKSIARFGRIGACAVIGDSITVQLQQREERTLPDGGVNVFI LEEPFMDASERQNSIAALKRAVGCSDDGSPQNALGSSGGASPSGPMQGREWRYTREAI ESYRRGVSKETDERFAEFQQLMKKPMCFPIVKTLSGFLEAVDKRDSLQIKSSHVTHAI TLCMNQCRQIPLLLDDWEKLRIIEEGLEKHIMTKLFKRTFGVCPEEQKCEAELSEKLH RLSKSVRAQDLEALEEVESHNCWEQAMYELDAMNFFKSPRGKFLCSLRAYQQLTEIVR DTVAEIKKARGKNPNKALDADDFGANEFLPCFLLLVLRACPRNFYLNVQYVKNFHSPD RMTPEESYCLATLESAVSFWQSYSNASTGTVTPACAVPAAPAPVSSAPVPVVEPQLQP QANFLDSLFTSPASSIIIPTSGPVDLSSSYNFDDFFNGGGGTVLTPPKKENKINVTKL LLDDKKAFDDLSVAELRAVVEEARSLLAEKRNARS XP_823225.1 MSAEAVADLERRAAAAETAIGELSLRLQTMGSSVGAGIEPRLRE LLKLMHEDRAEFEAVRAQRDELKEENERLKIQVAKHEYRIKHLLRTIEEISPEENS XP_823226.1 MLLGLKDLFIVRGGAAHRPTLQCDEDGFVVYLMLLVAYCICVCF TTWNYGRTCSPVVLETIILLARVMVGNSELLFKGDQAAAWAGFGGPHRSPNGETSSHG VGSQQRRKDRVISKPTFAPVPFFSVCAAAFLIVSAFTTLFCKTDACLLHSTRIAFGLS MVIMPATLTGRKAFMLAGGCYLVYVFWGPFALYIQQFQESGTHTWWHSLYSRYSTALA LTVLLMDVRDFTQCQLGRKCVAAFPALEKLVKIIPDSSSHGDDKVWGVSISYVCFVCS ITTMTLCLWASSMMLERSLDVSCTGVFCALVVVLKIADGCLAKGFMKALRESFPLLTI SALLVAVVYYCVPVESHPIVAGSMPCSMVVVYIANRCPCGSYSETIFLLMWLTMFGSV YRGSSFAHVIEGRYVFPNQMLHLVTIGVGFHTLFVLGFATLTQGSHPCSGPLLPLLKR VTAILRELRLLNKGRCGRKEERNTRSSPPPQTVVERSPLRVETRGAEKNGNSTEGNLY VMSSELPSLTKLVKMEAELSAEISSTTEQPSQDDDEFFGVVHRGSTGEGVKRLECLVD HGSAVESTQGVSQHESPTATPILVHEGHFNESHMDAREEEAETTPAFEDIREMECPKK EMEEKVMIILEERQESRVRDVADQSSEMGKRKLSANGNSKATYAEAVLEDLQAETKAA KLITAQQKAQQPEESLDKQQLLRDSGLSEPKKNVLRASTSAEGSLKRSGDASERVPVG AVVKMSRQHQAAAKSKKELTVPLPKCMVTAQEMSSTPALVAADVAAERPSKKEVKHAT TPKRNTAEVEAEKQKGTAKGVNQKGGKVLQDEPAKKPRAVADSLKQKEKVESRRRKDE KDPTRCTSDSKPKQFIPSLQNIGAQVGDNCREDGNANDTKTTTATGVAADQPSCTSKN SAVASETRECVAISIPQPRSWSSPAQNTSLVLNPIAAEANSRKTTCWNTSSVNDGCEA AGFGDQISLLGKTSSPWETPPSLGESPLAELSQLYLTAGPMCEAPQGETTRSIPLEAR NALENFAKAWEPRENVTHLPRESGLEGGSPQKEGKRRQSVGGVWAGIPLSVATDSKGL QLVNHEAASSPNIFNVSEPPTTMSNSGMAEGLEHQTAPSSVEEHHFARLQYPAAQQAQ LLMMADGRLAMYPVYSPPYVHQAVVMSPPHGGAAVPHHHVQHQHSLAFYPQVVVPVSQ MPSGTWSPYAQSPGVHQ XP_823227.1 MEVVVVITLFASSWFVNSRRFEHLTPFSVFLQRFVAVIDIRYCC HVPKLATVVPTQQTGWCVLSSACEGKGNVKSEGDSTQKLCERDEIATGWRGGCRNEGK EWNAINHQKKEKK XP_823228.1 MDLDNVVLDDDVLLGDGVAASVPPPLLVSAPVLDLAMHPTLPLF VAGLVTGDVEIHEYCGAETRHRPTSQQYFTDMDTTHAFSPYNIRMHPRGGVTSMEFTE DGKYLVSVSSDRTISVFDCVTEKMALHIAAKESDTSAGAPAKAKVKETKERETKAKNK VGQNDNLVKSKKKKNPHKFGISAVNVCDANTIATGDDDGMIVLWDMRKRKAAHHYHEH GDYVSQMVYFSDIEELVSSSGDTCLGVFDMRAGKIRDYSEKRKDELNCFAFVNNTASN RNTFIPSIICGTPKGCLPVWKFGSWRRPYDMMDRHPAECESIISFNNPDSPFNHNIIL TGACDGLVRVLEMYPVRRNLCQLSARDFTYSHQSALGCGGSSNSYANTAVRRQRGNQG ISRMRLSFDSSLLAVCGTDNIIDFVDVAFLSNEKELNKLRSQTEQRHLEVLKEVEEER NKEAEEDSDSGSDGSSSAEGVVSVEDTVGKKRGRDAEKTELESNVGRGDGVDEEEADV EVKTERQKRRERVAAAKWLKVEKKKKVNFTFEKRRRRVHGFFGDLNS XP_823229.1 MPPKKDEAAPVKKKKEPPKELCGCGVDTYRPPPKGKKKKNQLPI EHAPGCPYQRTVCTAYPYLPLCSVCLNPCKYCLGTNRWCPHCYEKRCINVYKRVVHGH ERLDPQRSASTLGMVPDPSVGMLDSRSRPPKSSSPRELRADRSS XP_823230.1 MSVALDENTVSIPLWPVNGVPTRSTGQILQATLTGKETPRFTLT FFDPQNPTTLYACTSSSLVYAFFLNKNVVRLFIALECAITAMTCFSCSGSSLFVCATG DNSLVWVDCASGRIVKRMKTPHQHAVNMIRLSHVIGDHRSIVTASRESLAVWDVARMA CRMCSNGKSTKEKREFLSIHTGRQSLLTVERFGRVTMWEPSTLSPSKSIMLAMQPRAS AFCQKCLAIGGPGPLVGLLEVEGLTPIGCVQLSTAPVATRSLFIINDTLLACELTSGT VVFVVIGNYTVSFSLDAPHTGAVPRKMTSFSLSGPSFAAFAQGEKLTIFHLPTARQHY VHRERLGGGYSDSTLPARLHSFVQGKSNAYREGASRTSKKCARDENPGSDVELPRPNL RPADKDVNKWVKVKALPAGVQDEVKSTKGSAGGRVEPLERTGKDRKVEKGIGPRPKGG VELSLRPSLDEESRKANMNHLQQLLLRYGLFPDTYRAVTWRFLLQLPDKRFTASQYAQ LASKGTHPSAHILMKPFRLANDGVQTSMEKALSVLVWHVPMFAAIHFLPMVVYPFLHP FEGDVQSVVEVVLVFLSNWGKEFFQFYPQQPVALTTLLDRLLRAEDAELHRHLSMSGV LVEEWGWEPLRSIYSDTVKPAEWLQVLDHTFFNEPLWFFLFHIRWMISIRSDIMKFRD QREIMNSILTTHDVDVNRIIGETYRLQARCPRGELTNPYSKLETLANYAYPTLWKYNE ENVERKLNDMKLLQEQIERSKASSQEIAKICDQLAQADVLEEVYVRKQQAEAAARVSA KADSWKGEVAREMERQYTRDMEKMLRLRQMRQQIEQSGRLEVLNEELECANIQVDHLH EIRQREATHWTLADQLSEQELLHLEEAARNRLKGAKKAVESGTVGAERSPVTDGGEFG AVKSRMTTATPDVVSVVRPLSASPGEQQLTYDLGNPMNVYRALQNSAAREMVGRRIPS ETGGKRVQRSYDDTHVAEVVSTVGATFQRQHGDHLIAAFGKASDSRSERGAALYDNLL PPGASPSETQTLCSSDLNRHTTEHRQTSESYEPSSAATVLLRPQDPGAYRLQRCSR XP_823231.1 MNSSGLVLELLCNLRWVLSYIVQRLDPIVEKHYGRTIEQAKRME SAVSRSFANAQSLSDLPPEVLTLAQEGVVYLLVAEGVLGYCPPTMHSFLLRGASSCVS EAFSGCFSGNSSASSACSKIHSTASNYQAQFLVVRWLTEEGILTDEELLDAMDEGGEV PLNKQESRFGLHMAMAERLSVECSFSVQAHVVLTRSLLLYYCSTLITVEDVELHLNFL DPTCEKELTNMESALLLWLLCLLKNMQRGGTINDQVFRELKGSADSLYKTVQSGTVLA LVMSFYKPHLLPPSSIDARASNPSEMLRQQQHFEHWGKTLRVAEELGLSPCLYADEAG QYGSVVLQLHVLRFIGELFAMLATQAEDVEGFCAYAVRSQKLHASADCQRWCSMMGSD RSGGHADGSMNVNGMESSCETFRDAELSQRKGDNEDSAVDHTRSINSSVVYSCFPSAH NRRQYDVMKASLLRSGENDMAAPKYCSSSGKHCEARNVSSVEGHVDLGVEESFASEGL VPSASGAHRSPTAAPSYAGLVDSCRTRTRTSVAKVWWSSDEGDVEVFSHSTSGTGNDV FLNSTIVQAPSLPSSGCANSSVCMQQRGWKPRDSSLHPPAPFNIEESDGSGASPRFGH SDMLSPLSSTACEAEVVVGIDGSRITSENSFRINTVERGYTPQASSSGLSPLTPVETT DRGTIPVDMAIQKNNDAKNGTFPRAPHSGAREQMQNCPPNDESDDDALLREVYSLYPA LPTIDSRQKLLETLEHQHVLIKRLTQKLLEAKKASPSPAGLAPPEVADPNRTLPSIMN KAKERFHHESAAGRMGNEKMNSEK XP_823232.1 MPLRCTKPLGATPATPTAPPTGRKGHQVAINGFITWILVTMSLV VYFLWAFIPTSFLDMVLASYYPDKYWAVAIPAILVMTMVYYLTVHFLLMLYRTDPLTD GFCVAQTNGAVRHESIENLVDVEDGVPPITDIPVSVASRLLFQPWN XP_823233.1 MRRCKLWLQGKGLTTLISAVSSCRVALASEDVYKICALLKAKED TLHLQTNQSFIHGLHVQYKKLDPEEVTPFQKSFIDGTFAAFPLSPDLLVASSQTGKNE GSDITNIQAGETSISPVVASGTVVEKCPHAGLGSGGETEVEERLAAVWDIVQECQKSN FVGTDGIQKIQVHCKALERHLRRMRPFEIVSLVRALATINYQDYALTNLLARRSCEVA SKLSSSEVCRTYFNLSKLQSHDSMVAFVNQIEIQMDNLHRDQVQFVAMALERQPQIAS APARMVPKLLARAVTLLHEVNDAAYHRSLLVVAARYNLRRHPAVPKIIANVTKHLGSV SDRDLLTMLQSAVDLEISAGTTGMSELLERTETAVDTVEVRSLDTLVDILSVLPVDTG KIMERLLNRLTVDAGKLSIPQLALTLDLLSGYPAARGHPCIAALAFAASMRADSFDGE ALEQLLLNLGQLGQFSDDFYALVQVLQNVKGGFRSAEKLFELMRCCTRDVVSDVRGQD MITRGILGLAPGMNDENLSEARKLLVQLGVDDKNVHQIIFRRAKQIQRESGSRWGRRG YDVSGDTM XP_823234.1 MLNVLSSTASAALATVVVARPSALHLIFERCKLNLVEFTAQDVY QICTTAYNMDTLGMLQDPDFMRGLHDAFRRSDQTVISPFQANLIADTFRKVGINSMPK EVSVPEEDAISPESLILVLRNMNITKQRDERKINEVLKLMFPILDEFSPTQLSLTVTE LARLKSTNADFVGKLAKRIMEYNDDLSALDISSAAVSLAYCPGISHNILYRMMQIVEE RMGEFQPEDYINVLHALNTLGPKFVNTFRKIVECGLQHVENMDAVTLTNYMVCFSTMD YKQREHIDIYADALVEVATDLSEKDLVMAFIALQRLRLLSDTMFGTMASCVIRYAAKM DPRNIAPIMDICSTVPHASDHLMKVLMDRAVECTRILTANQLGDILDILGLYPPAREH PLVQLFGKQARLRLDLMGPDALANATRGLANLGYADPEYYAQAAETGFRYGFKDWTLL EPMLMGLSITGQCPPTMVRVLGSHIAPMARSMSLMEIERANRYLRRLGCEDDFVYKAM ASRVLQFVKEVTPEMPEDLQVLLQRGAVEPGAAPGVM XP_823235.1 MYRSRPEPVQGHLFTYYKDPYCKIPVFMMNMDARRCVLWVGGQT ESLLSFDYFTNLAEELQGDWAFVQVEVPSGKIGSGPQDHAHDAEDVDDLIGILLRDHC MNEVALFATSTGTQLVFELLENSAHKSSITRVILHGVVCDPENPLFTPEGCAARKEHV EKLMAEGRGEDSLAMLKHYDIPITPARLAGGGFPTLQEAVWNPCIRKEFDVLRRSVGV IKVPLLLMLAHNVQYKPSDEEVGTVLEGVRDHTGCNRVTVSYFNDTCDELRRVLKAAE SEHVAAILQFLADEDEFRTETEKNNRIKAAEDEKKRKSVLQVSSFAQAASSVKAS XP_823236.1 MTDAESDGTVEYYVEDATAISVLFTRSLSGSSSRSSTGGESPVP AAKTKPFGEIAALARYPLGSWERHVVEERLRAIKRHERERILRAEIEKECTFKPRVIS SDGHTRSASAGAPQPESDRRTQVFMRLTERAKKRDARIAQLKAEKELKEEEALRDAFK PRVNAAGEPKSALNVRSLNVPVEERLLHYGKTIEESRRLLQEERRREELKEMRNGLLP RRERNNAPKKEERDIAYRSQHLIIEREKERALAQKALLEQHPFRPKVCSTSDAIDRER RAQYNAKDRGALLYAEGLHRQRRRQEEVVRQQKREFSGSGKPTTSPLTNDWIYHGQHR ALFEQNFVRRQELYQQVREEHQRRLALALEENDRKAAEIPRIDPAMIEEQVERLYLGG YGVGKESKKCKDRLDKDDGCSFRPQLAPGTAYVIAHSRREPDVVKRLAASTQRRRCAS DVNIFGSYDEGNEEREEKAKNKNSNARTVGPEEAEEFYQRQKRAVEERQAQLRERKQR LAMEELFACTFRPKTCTDGYQQRRQREVSPERQKEYRVEARVSGVTAYLQRQAEAKRQ RDDLRARYENLGRLSSSSARGPTVIKPFNLSSGRASRTRCLSSATASQGCNSEVEERE GNRHTDLFTQQEVIEALDGKREPASARCHHQRTRGPW XP_823237.1 MKRGNGPWHHVEVAGIAMGNRRSHATSMRAENSHDNREFSLCCC APTNRPKSVFLLCVCVCVCFNYCSCVMLFIGLTYFTTNCSSSFSLSGYFCSSCLLSSS TTETERLPILYLSLSPAESCITTARTDPTVLEKVRVSLISVVYSKAARRCFLCFRLPS QTFCSPQRRVMAMLSQARVAGWASRWLTDELVALSKAGNWEAAISTFLQLQQANIVQS NVFHYTTVISACGRAGKWEAAMSIFDQMTKNEVKPNVYTYTAVINACASAEKADVALR MFAHMRLADVPPNVQTMTALVNACARSGEWERAIKILRDCEELFVAPNVFTYTAAMDG CRRGGVWKPAVDLLNEMRDPTRVRPNEVTYNTVINACAVASELNAALQVYATMVSDGY TPVNFTKTLLMQLFRNTALSGLADELKVRKTTWREINVPDTDPATALEAVREDSPAVE EEEEEGKG XP_823238.1 MKCELASLIKENAQLGREMRGTPQLRVPQETDVAWRLRRDVLLH SEYPHVAACPEKDVERKDGRAVVVFQESKLQGEPPERTAAQKRSRSDHTAVVSVDPSV LKARASSLGERIVGAAGPSFEPYTAPPSWKMAKVLVGHRGWVWGAAVDPSNSWFATGG GDGVVKVWDLTTGALKLNLTGHKEGVRALSLSTLSPYMFTGSDDHSVKCWDLERNEII RDFHGHKGSVHCVSTHPSLDIVLSGGRDKTVRVWDVRTRSCVHLLLGHSDSVMSLAVQ QEDPQAISGGSDGMVYLWDIASGRAFTRLTRHKKPVRGLALSRQRVLVSCGADNIRVW SLPTGEFLFNASTLDNGKETKKEKEQEPQRWSCCSVSPRNVLAVGSQEGRLLFFDWSH PQQGPYQATKTRSVPGTLPGEGGINGLAFDASGSRLITAESDKSAKVWRTKE XP_823239.1 MGAADSPDLLRVLVVGHTGVGKTLFLQRLHRSTVERREGPLRAR GHTVGFNVEVISTHRENKFGSSTHVSDNERHIAFVEVGGNRNFGRSGHFPLSLMTFHG VIFVYDHHNIESATDLMYWYEYLKGYGAVGSGGTARVMLVETTHIPLTDDPSSAARVL PDELLGSYLQESIKKAPTFREKMCHTVRSLFRRCASVSRLSVTDFQPQYLRFLPRVAV FLHQLMSIVENLLLFIMAVTLFGPGQKSVSFCPPSVSAALRTIEDDASGTRTLKQCPL YDQGLFEATALEEVLSFLGSLRSA XP_823240.1 MADPIAVNFRRARFPNTPPAQLLTVIVSYRRGCAPLALLAVSPT ARRAVEETGCAIGGLRVIHKNNDVKKCDDVRFVAPEELELAASNKQSLQSAVFGLWWY KRYPFTSLVVDHAGKENMPLIIRLKKALNIKKMVILKSAVTNEMMHIVSMARDLESLT VRNCFSESEASLCSVTSILNSRATNRRDARTFYLSGVTSLGVLRCLRSLTLFATPLSN QIMSYFCECTNLERVVVDSCCGLVSLECFAALQRLTHLSVLNCTITDEGLPPISKCFS LQYVMLDNCMKLRSLNCLGSLRNLRTLIVSRNRIPEEGVQGLRKLRDLEVLRFSVFNR PTAVEFISSLGSLVELDLRDNWVGDAGCASFVHCRQLQQLKLSCCRRVSDVRWLAALT CLRTLDLSHTNVRSRWLESLRACRYLVELNVAYCRDVVEVSFLSELRLLKHLDLSGTD IGEQNLDPIGRCEGLTFLLLKDCSSVKDLHFLETLRELVKLDTERTGIMDANVCQVVA CKKLEFLSFRYCHLLTDVKCLEGLRNLKTLDLAGTNVTNEGISSLPKCVSLEYVDVSE CCLITHLEFLRPLPNLQQVVADQMNLTDIGGLTGAPSLRRVTLNESKRLGTVGEVRLP YLQELSLRKSTISNAGIRSLLASCRSLQHLDMQHCHSVTELSALSQLPNLRELLLRNI RVTGEFMTHIASCVNLRKLQMTECADITDVNCLSALQSLEDIDLSRTSVTTEGIKGLS KCYALRKLNLSECRYVTNVNCLGKLPFLRELHLEKTNVTDKGIAGLSNCIQLETLALT KCSRITNVERLHSSLPHLEEFDVYGTSVDKFHRCLGGSQRTQHACSVL XP_823241.1 MAITVQLVLASTGHSLPMTVYQEETTPHTTCQLSSIPVLRSQKV FVSDLVLLIRNCRSASMADCHPSSEGWKYIECFDYTSLRPLRPNEAIADKQKLLVFTP LLLSEALLEQYSSTKEHSHRTKSKVDMEEERWLNLKQAIAALAVPLKLHREQMERQMD LCVKKVNETAPRFHAAKEGISHALEKIKDIKVFNDPDFSLIALVDASEIETAVEQGEV KLQRALRYLKEAQTMAPSVLDAISKEVELGNSIQTTSAWHSYKTTEQADGCSAVSALM LEVKRRVDAVRMILTDITKIGRKQSACSRYCDAVHCHVVQKENNLHQLPKGLEVAQRL MERRIVMRRAIHRLLAPLEDEHRSLQQHLRQFAGDWGDCLPKDLFGAVAAPLPPLYPV DDDVAQKMDRHFFDLEKDATEEFLLSVGAASVGPSEPCAALERRLREVEGELRLCRAA LKATSREKNKLHTGGERPK XP_823242.1 MTTMGKTIKGLVALVFMLHSFGSAETNRPLSGEAARGICSASRM LKSGVYRYVLTKTEAVEKMMEKVSHMGNYAKLKVLRSNSSGFECTKAKVFITYTLEGK KAMIRKLVKKLWDVGTKLVAAASLAAGRLDEMLSVFVGPIGGNDNNSYCVKDRQNFTG CFGTASFDGTGASEDGGFDGDGKEFATMLDEALQLNNTDPLESSGANCHLTKGGNGGG YLVHMDSRNKGYKIEPTKHITWGDGMLGIKKGGEASSGAKGTVRGSSYSSDVVWEEHP TKTVPTLTSTAKLFGEFQKINKEVLAVVEDALESLQEKNMTTSKDTSGSGKRLLDYMI RRCGNFTHKRQKNFFGDSAVHSHGDWRVRLVISLVAAMV XP_823243.1 MQVCALSFSSLGGSGKMIRVVFTIAAVVAVASAGGPGERGALRL EAMQGVCGVSKALKEMYQYVVKKTDVSEAMLEDVEKMVKLAKLKVVKEKETGPECDKM AFFLKYMVGGLDRMVGMIASVRKKGLSLAAAAGLAAGRLDEFVSVFQQPNNRNGVEFF SCAAGARGEAATKAELHDCFQGGKTAVDAAEFLSVEDVEEKKGSQAEGRANLEEAIRR HLKLSNNDPRYTGGESQGCQLVRGSSGGGYLGNASLTTNLLWGDGIFGVKKDGVGETA YIGDTRNETYSYDMMWEENPTTNVPTLRAAMDAYRAFEEQVSEYGDIYRSLTEEWTEK TIGRRADAMKILNGLGSLPIENVSALNSRTKQDWLSAGQVKDADKDLLMTEIGLCGGL GRRSFFRRMFRKAWRKIFGGNWGKDD XP_823244.1 MRRTVAFLVVALVSGCCHHADAGAALKLSAIKALCNTSKKLKAV HGFVEQKLMEADRKLEEVQLLQKVVRLKLLTEWNKGLKCGTLRVFLTQVRINEQNMVR EVDELWDVGRRLVGEAGIAAGRLDEMVSVFVQAHRDANNSCIGGLSVNFPEEVLPSCY AKNKDSGEWESLKITEGENLFENPFEMNLESVLHDSLLVDGGDPRHDFGKDLGCQLTV GKAGGGYMGNGDLSENIIWGDGVLGVVKSGSQMTGFKGNTRATTYDTAVVWEENPTGG NPTLVKVVKDYKLFAELERKVDASHKHLVDRFVQENLYVEDLGTLGRKANSAVDKNTE GEDDEEEKSMEDWVAQVDGERVEDRLLGEELKDCGV XP_823245.1 MVSLIILFRLTFAIANRVRTLMKVLVIVSFFVLTGSASADSGAL SLSGAAEALCNASKRLKSVYAFVQAKTKYATEKVREFEDMVELVRLKIVRVRGNEGGN SNWTSCTGIAKFLKRVGSKVNRVKRRELKRLRYLGYSAVGAAGIAAGRLDEMINVWRR AYSSGKGDFCVGNSEHHATRNQLLNCYLSDSEKDEFISLGDMHRMERVEEMNMTRESM NELLRLTGGGGNPLERYVHSANCHLTNTRPGGGYLSENSTSRRILWGDGIISLKRSGR GFVGGTGKTRAEVLIHDVTWEEDPVNNVPVLRNAYRELRKFVNLYTHIEELAHETGAH WNWEYAQAIVNTGTHGNKSTVVVDEDSGKSFVVLGNRETVQEEKLLEEMAMCGVGRIG SLRRTLALLFLLF XP_823246.1 MAPRSLYLLAILLFSANLFAGVGFAAAAEGPEDKGLTKGGKGKG EKGTKVQDEVEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP EPEPGAATLKSVALPFAIAAVGLVAAF XP_823247.1 MAPRSLYLLAILLFSANLFAGVGFAAAAEGPEDKGLTKGGKGKG EKGTKVGADDTNGTDPDPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP EPEPEPEPEPEPEPEPGAATLKSVALPFAVAAAALVAAF XP_823248.1 MQHPGRADFEQLSRCVFFSARVFTFGEPFDSPAPPFSSVLFPFG APHVPSVSLLIGVLSGCVVVVRRCCPTVSFVPPRGGGCAFGPFGGGGGGERNAFACPT SGGGMAIFDSIIDIPSLQNPRLIWGWVETHGWRAPSGHGNQLSLAMSRTEPGCHP XP_823249.1 MPGTKGLEADYKWNDPQPYPQRDKERAEVYDCIDIKNKKKSLPH NTENLYMKQQKANKQNYKEILSTMKESYAPIDPAAYKRDMLREEEEVTGPRHVDPSHF RSTTAESYAPIDPAAYKRDMLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDV LREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRS TTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLR EEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLRKEEEVTGPRHVDPSHFRSTT AESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREE EEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAE SYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEE VTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESY APIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVT GPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAP IDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGP RHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPID PAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRH VDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPA AYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVD PSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAY KRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPS HFRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPAAYKR DVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHF RSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDV LREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRS TTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLR EEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTT AESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREE EEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAE SYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEE VTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESY APIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVT GPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAP IDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESHAPIDPAAYKRDVLREEEEVTGP RHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPID PAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEATGPRH VDPSHFRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPA AYKRDVLREEVVKVSSRGGDDGHFRTTAKDSYCRYDSSCYKSSCRESSFGDESVISKR HSFTASSVADAIVVKKVVKGKSDGGVTSKKVDVSTDMKCVASGESNGGAAAAVAATTE CAAAAVGDQPTGNGVRVSKWKKAPNVGYSCPCHVDADMYVSTAHRDFKAHGASKPYMP KAAPTVKQSSISMQGVSSEYRNRFIRPEVQCPPRPIGKPAVQVRHVDPSMYVTTNRAT FVNHSGRKV XP_823250.1 MHTGDKRVLPCFDNHYNSGVLVDNWFDARINEAHGKFTIAVDGQ SPLPSSVYKADYSTPCVTAVRPMLRQRGLGKSLIFGSGLPTVDEVVATSKDYGATQRY LIDSRYGKPKHHCKPLNTLSGVDRFALTGKLSKPVNQPTVDDAMVDRFRTTKSAMDVT ILNHHVQRQLSAGRNCGARTQM XP_823251.1 MSWMSALRSYIASPPHIKQRTGSDIFRQVARAHLLGPLGHTIHP CSVLVLRRLRWEIMRYGTSGQKAQALETILACYGDADRAHAHDAAGLEASQHMLTLEQ LQCVVEAQVMGIPLRADHYRFLFQSSQLSQLGVEVPLLLLKEVQGVGVPLTDDALVDF SVGLTSSGHWREALQVLPRGHLLELVERVASVQRQGWRRSCSLVAHVKENNMVGYDED TCNAVLSAHVLQWKAVRDPFNWEQVNQFVRVFSNTGRPWPRRALHYYLLHCPTEQWRQ AVELVRGVIPMVENISCQALGRLMALLNEGCQWESSLFLYQRSSFSPPLSEQRCVPVQ NQVLIALAKGGLWKEAVAMYTKMSARNNHTYTLWLNVVLLEGHVPFAATWRNCVEAYR HAPSCNDRFAVAMAYDLGKMGNWLMSLTVAQAAPKWTSQVLPIAIAAAVLSSGSWETV WQIASEFALSRHVSSVKQTCAVLAIIACCTRDPPSAVSGLIADALHSCVKSQRQFDES AHYMSKYMALLLHPPTLIGTKANLPPAISLLLATAGDFGNAKECWVFALSVMQSMSAK GWSLAAVAPVMLSSGFDASVAIHFLPA XP_823252.1 MLRSCRTRLRIAYVTDVEGDFSYFARYAERSRVVSWNQGVLSFR DATSHFVYGGDAFDRGDDISFAEALLAFHDAYPSRVHLMLGNRDINKMVFGSPMMKVL GNGPFPPRAAQAFLFPDVMAKMSDRVNPLPYEQFLHQTSASPVTGKTTLIQWALTHKM GGARTFEHRREELRRLRGQTLSDDEVAQSFLNSALPGGVYYEYLRRGRIALILDGVLF VHGAVVNENVGVLPNEESSLECVSTPTHNVMIQQGTADEWVDTLNRFKNRQFEQWSAG GEGAALRRYAFPFPCVPYSVVVNSLTSSGSPRYLGLGGVEFLNRSGIHAVCGGHQPTG DTPCIVQQPGLLSISADNSYCSGGGTRGSSVVEVLFEDDGVVAISGLREDGTPYDFTA TGSVVGRHLGAGWWVKVKSGDDRYEAQRTRDSYRTKEVLVLTSDEVEAHLRQSSDPPV DGDAPERWTKEELFPTSTAIKTRRTRSGRCV XP_823253.1 MKEKLTLADDARGICEDVVERYFTPVTKYQHEKIPGIVASITEN IVQRLTQEAALPRKYVAHCIIIQKTGAGFHALSACMWNPTSDACYVYKAENKVMHCVV TVYGVVA XP_823254.1 MTAQRDHRKSLVVQGLKEAIQESLASYIYPNAIFCAERLYSLEP TYESLHTLAHCYVTSGDAGTAYRLLQTHCPSLSPSNSVSSSNVSISGGPDATAKWNCQ YLFGVTCAMSQRYAEGERVLDELDRHRTCSDVQYWLGVCRQRGRHGNGDDAFARSAIL DPLNFVAYEEYLKITGTPKEEMCHMYSSAKAPTGAEETPRRPAPVGVTCSRSKTPSTS SKQSRRDFVRQYLSPFAAIAFMQRMYMCKDVQAALQQEGFPEQSTSWAVGALAMAYFH DGDVENAVKEFARLRQIAPWRLADPLLVHYSTALWQRKDTGALGSLSQTLINEMPVSP VTLCVAANAYSLLKESKEALCMLDRAVQLDSEFAYAHTLRGYELLHLDRKHDAYESFQ NAVLIDSNHYNAYAGLGELYFRSENIPQAQYYFKQAIQINPLPSIMNRYAATYHRRDT SKENLSEALRIYDSAIKRHPTNLGARHQRAEVLIRLRRYPEAREALLEMTKACPDEAM LYVTLAKCVHFMGMPGKAVQYYHTAMDLDPRRAGFIKSCLQRISMEEPE XP_823255.1 MRVKSIVIDGFKSYAHRKVIDDLSPHFNAITGLNGSGKSNIFDA ICFVMGITNLKRVRAEDPRELIFRAGTTGVHAARVTIEFINDDPRTAPPGYSCEEYPT ITVGRQIKLGGKQQFFLNNTVSVQSKVKRFFESISLNVDNPHFMVLQGTVHKLIGMRS EDILSLIEEAVGTKAFDHRRRTAESLIRSKEKKMEEIDANLETQIGPMLRAMKADQEE YERYVQLSEGIEEMRKFRIAFEYEEHRKRRGELSTRRTSLLSDTAAAKEQLRSFPSVE DETTQRLMQLQSTLAAPAEAAMALHEEESTLKLQLAREEAQLERLDKVLKKLADASRK LEEEKQQQKSRAQQFEAYQEQREKLIRNIHEQKENIAKLKRSLQLNGSGVRAGASGMS LEEERADIERQVIKSSAEARRREERIRELEHQQKSVAEKSEARERTVIRLRNELRSAQ DCLDSVTKRYINVKPLEEQARALQEEVARLKAEHWKANDAMLRESAQGGGSGGRGLDL EYDRRACAGIEQYIWGRVVELVSPQEEKYAIALTVGAQSQLMRVVVTSDIVAERIIRH GLRQRTAFLPLNTLTQPKSISDSQLEEAKRMANRMNGFVAVAKDLTVVKDEAHRVIAD YVFGNFFICSTLELAQELAYGSAVRCKAVTLDGEVVEPKGLMTGGSKKHIRNVFADVL IYKKRKAPVEALRVTMEKREKELEGLHAQLREHRSLIQEHAKAEEAVSIATHKLQLVE NEEEGLSKELQASLKEERQKYEALTTMLNDLQERRARLEKYANLDSDKVRKDLQEQLS AAQKRCAALVHEEESGSAEFERVEAEMTQTAADIEQKLVEVHEQIHQQTKARDEASKS FESTSKSLQEVVDKRCRAEEQRQNIEKEIEETQQELQQLVVKKASLEGFVKNAEVDVR EISKSLEELQKLISEAERRNTWIEEKQHLFGPRDGPFYFEDRERTQETLAELREAEVN ASTMSKRLNKKALILYEERKKEYDELVQQRSVLGEDRDAIQQCILGIEDKKWRALDRM VEVVSNVFSKLFSTCLPGAAAVLREERNEHGHLSGLQVKVLFNGKERESLSELSGGQR SLLALCLILAILRVRQAPMYILDEVDAALDPSHTQGIGNMLQKHFPSSQFLLVSLKDG MFSNADVLYQVSNTQGYSEITRIQSNRSR XP_823256.1 MSTQESHGSVSDCEGDGPQGKQTRIDFEEGYYIGTVDEEGRMSG FGRARWVSGDEYVGGWLDDVIDGRGVYMWADGDRYEGEYRCGVQHGFGVLSDKTGTYS GEWVDDMRQGWGKMEYVGGDVYEGEWFANARHGQGTLIEANGVVFQGTFVNNVKEGKG VITSVNGDVYEGDFANDKPNGNGTYVWADGAKYVGSFKDGVKHGKGCEWLANGDWFAG VFINGEHDHTQAIHKAVVADIETFVGTLDLSVCEGLDPEKLRMLGEGLFPSDSRTSNN PGTLNSLGSIDDCSMYGSHNGDFDVNDPNVVSNVEHGNDISGNPSEKTALVRRERLFL SDADLEGWRQLKIVGKGSFGAVYEALLTNGRTVCCKVIELGSISSRSEMDKLRNEIAL MKRLNHPNIVQYHGCQEDREKNTLNIFMEFVSGGSLNGFVKKFKTIPLPTVRQWTFQI VCGVKYLHDCGIVHRDIKGDNVLVSLEGIIKLADFGCSKTIDDVCSKTHGCETMVGTP YWMAPEVIKGEAGGYGMKSDIWSVGCTVVEMLTGKPPWPECNSMWAAVYKIAHSTGLP TEIPDNLDPQLMSFLELCFIRDPKKRPEAEELLKHPFLTF XP_823257.1 MPGTKGLEADYKWNDPQPYPQRDKERAEVYDCIDIKNKKKSLPH NTENLYMKQQKANKQNYKEILSTMKESYAPIDPAAYKRDMLREEEEVTGPRHVDPSHF RSTTAESYAPIDPAAYKRDMLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDV LREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRS TTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLR EEEEATGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTT AESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREE EEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAE SYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEE VTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESY APIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVT GPRHVDPSHFRSTTAESHAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAP IDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTEESYAPIDPAAYKRDVLREEEEVTGP RHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPID PAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRH VDPSHFRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPA AYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVD PSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAY KRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPS HFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKR DVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHF RSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDV LREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRS TTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLR EEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTT AESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREE EEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAE SYAPIDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEE VTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESY APIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEAT GPRHVDPSHLRSTTAESYAPIDPAAYKRDVLREEEEATGPRHVDPSHFRSTTAESYAP IDPAAYKRDVLREEEEVTGPRHVDPSHFRSTTAESYAPIDPAAYKRDVLREEEEVTGP RHVDPSHSRSTTAESYAPIDPAAYKRDVLREEVVKVSRRGGDDGHFRTTAKDSYCRYD SSCYKSSCRESSFGDESVMSMRHSFTASSEADAIVVKKVVKGKSDGGVTSKKVDVFTD MKCVASGESNGGAAAAVAATTECAAAAVGDQPTGNGVRVSKWKKAPNVGYSCPCHVDA DMYVSTAHRDFKAHGASKPYMPKAAPTVKQSSISMQGVSSEYRNRFIRPEVQCPPRPI GKPAVQVRHVDPSMYVTTNRATFVNHSGRKV XP_823258.1 MHTGDKRVLPCFDNHYNSGVLVDNWFDARINEAHGKFTIAVDGQ SPLPSSVYKADYSTPCVTAVRPMLRQRGLGKSLIFGSGLPTVDEVVATSKDYGATQRY LIDSRYGKPKHHCKPLNTLSGVDRFALTGKLSKPVNQPTVDDAMVDRFRTTKSAMDVT ILNHHVQRQLSAGRNCGARTQM XP_823259.1 MSEFEALGVHQWLSKQCAYMALHHPTPIQKLCIPSILAGKCVVG GAATGSGKTAAFVLPLLQILAEDPYGVFALVLTPSRELAYQILDQFVALGAPLHIRAA LAIGGVPHEQQVSVLHGRPHVVVATPGRLKFLLGTFPEARKAFSHLRFLVLDEADRLT TDDMEGDVSDVVELLQPPRPTRRTLLFTATLEQHLVRVEKGGWLERLGISDAAGQLEV HATNTVMNMPDVARKSDNESSGSGNSVGTSYTVADSLRQTYLFIPNMVKLAYLVAALR SVGSDQTTIIFANSCMRCEIVRLTLQLLGFPVCSLNSIISQKHRIDNLATFKLGIARV LVATDIASRGLDIPAVGAVVHYDLPKQSSTYLHRVGRTARAGRKGLSVALITENDVSL VKRLEKKLQCTLELWKVKGVGEKDVLKILDEVSSAKVQAKLQIEDQFGERVETLKAHA AAKKVIRQVKQKKTKNGAFAATPNKRDKMQVGKHLPNLLAGEAGTQTKGVRKPTKRDR NDSPEETSMAGE XP_823260.1 MSKAFDLVVIGAGSGGLEAGWNAATLYGKRVAVVDVQTSHGPPF YAALGGTCVNVGCVPKKLMVTGAQYMDHLRESAGFGWEFDGSSVKANWKKLIAAKNEA VLDINKSYEGMFNDTEGLDFFLGWGSLESKNVVVVRETADPKSAVKERLQADHILLAT GSWPQMPAIPGIEHCISSNEAFYLPEPPRRVLTVGGGFISVEFAGIFNAYKPPGGKVT LCYRNNLILRGFDETIREEVTKQLTANGIEIMTNENPAKVSLNTDGSKHVTFESGKTL DVDVVMMAIGRIPRTNDLQLGNVGVKLTPKGGVQVDEFSRTNVPNIYAIGDITDRLML TPVAINEGAALVDTVFGNKPRKTDHTRVASAVFSIPPIGTCGLIEEVAAKEFEKVAVY MSSFTPLMHNISGSKYKKFVAKIVTNHSDGTVLGVHLLGDGAPEIIQAVGVCLRLNAK ISDFYNTIGVHPTSAEELCSMRTPSYYYVKGEKMEKLPDSNL XP_823261.1 MMQKSVTFLRRGKPRPRAGMFPDKYRRVPMLLKPQQGGQQYFNH FLIRSTNDRLTQQDVDNASGQAHSFISPQLPQMDWRNMSARSSEESIREEMRQLAEND VMQHQRVFNERMWYEKEEEHRMKARSCPEETSEHAISNDGAVPPPRVLGGDYFKTRFG YSLVKNSEMTQGPVDYSQLDMWGEMPRYTSDMVFLYLVSRRRNTYAVAYTYEGKRILN TYTAGNRGLKGGDRGFRSEGSTDNGHQVTSMYLNDLLPKLREMRASEGRPMGRGEKVE LVVRVMGFYNGRQGAVRAVQDRANEFHVRYFEDITPFPLNGPKMPRGVFK XP_823262.1 MSMNGVPQEAVKVLWAVHCSHLAPLSYDEDAVGEMWRLFAGFLA PLQIRSSSASKEHMPCLKLAIFTFTVDLRIDMMETPMRNAVVGQPRLVIPLLEFFKAV LYFQQHQKNRQIGELGSWRLLCIVIGDDGCATPFDTLGASLLGKLVTVRGTVVRMSPP RVTCVEMTYRCNLCAAVVKAPTEDGVLVYPGRCSGRCRGYNWAPLLERGECEEVQLLK VQEQTESYDGSTVGSGIHNMIVVDLRGIWINIATVGEHVVVCGVLCARRGEGRTNATQ QIAVRACFVENSRHSAVRGPMQTIDSLEESGRFYEMVRNPQWFSMLCGSLAPSIFGLE HVKEAIILAVVGGTAMKKRTRSNIHLLIVGDPGLGKSQLLRAACTVAPRSSFVCAHTS SSCGLTLTLSRDPTTGETSFEAGAVVHGDGGITCIDEIDKGVPEHNALLEVMEQESVS MAKAGMVFSVPVHTAILTAGNPIGGRFDDTKSIPANLNLSPALFTRFDIVICMRSPSA DASRSLSDHVLQLHRCVKGGGSRTVAKTSGPPLPLETVQRFIAFCRHNCHPSLRQEAC DVLKAYYLQRRAEAAMGELAVTPRFLQALIRVSEARAKVELRHEVTAEDARYAVELMK RCFGSHNFGRRQPTCTGAAAKKMTQREKILDALKGEVCRTGVNALSHRTVLAACEEAG CRDANAMVYQLNEAGVILQMGGKYQLRGV XP_823263.1 MLRRIFASSPAFLRCTLPNRAPDQVKPELAAAIRRIIAEDRIVI FLTGTPQEPRCGFTVKMVDMMHQLGVKYSFYNILEDDEVCEGLKIYSDWPTYPQLYID GDLVGGYDVCKGMLLSGQLTKLLKEKDLL XP_823264.1 MLDITAIPACATVCSFPLVCVDAISFCRDSSQPSLLLVGHDKAC SASVSGGAVTVHRFFPQHHRLLTDGAGYVPHSGNRAVLALADAASTVTLHSVPLYATD NTKGSSGDCSTIFVGEPWERGVYVSKVVPLCGNGGGGADAVCVRKGETGVMWGTSFEY ATAWKMSLRPNVDKLDLHRQQYPHFCAGGFVASSRDTLFTFDSHGEAQLQMKIPEGVG PLEAVQGGGSGSPLYAVATGCGTVFLHDARYTGGPLWIQRISGCADARDFSENLGVHT VMMTSNGRDVWAMLLGRKFIFLRCVNGTVTCRSFPSPPRFLFPQFSPAGLLFESNTLH VVGNALGKSG XP_823265.1 MLGRFDFLGKCRLYFVVVSRLFSFEGDYVAYRLFFVYFVFFTLS FLFVWSRRVVVEFSAFYFFCCFLGGVISGLAHTSMTPVDLVKCGVQVGLYNSMTDGFR SLWRNCGGCWFRSISVFTRGWVPTFFGYSSQGGLKFLLYELLKFWFCSRLEGSAASPM VLSYVSKLGIFVVSSGVAEIFADVALAPWEAVKIIIQTSNVAHTELSYFFPLVYSSEG IYGFYKGLPALWCRQVPYTVVKFLSFEVIVRLAYRYLLTSPSDPAPKYVQLLVSVISG VLAGFLCAAVSHPADTVVSKLNQRVEGSPAADKRKVVQIVRELGWSGLWKGVELRMMM TGALTALQWLLYDSFKVSVGLSATGGNGVRISNHVDSDGRPPGDNK XP_823266.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823267.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823268.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823269.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823270.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823271.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823272.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823273.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINNQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823274.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823275.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823276.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823277.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823278.1 MATPKSTPAKTHKEAKKTRRQRKRTWNVYVSRSLRSINNQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823279.1 MATPKSTPAKTRKEAKKTRRQRKRTWNVYVSRSLRSINSQMSMT SRTMKIVNSFVNDLFERIAAEAATIVRVNRKRTLGARELQTAVRLVLPADLAKHAMAE GTKAVSHASS XP_823280.1 MKELKELLDQIQQTTYSQLTSAAVKEVSSQLHTKGTSSSEIKHV LQGINERQQDTLMKVLYFCLDRDAKNSKTYLLWHAELHNITGTGSILRVMAEKNKNYD AQNKSNEKK XP_823281.1 MVLFFFFCLSSGRMVVKLKLSLYSCSTTFLTLVHPLLMLSKVYL LAYNGVLLAGWSTILMKIVQHLSTGGRFADVYSLIAPLLVVSQSAAVLEVLHALFGLV RSPVGTTLLQVLSRLLVLYGALEIGPTAARMSPFATQMIVAWSLAEVIRYTFYASNLA GVKLKPVTWLRYSAFTVLYPMGITGEIACFISALPYIREKKPWTVELPNRLNFSFSWY YTVLLLLAVVYPAGSYVMYTYMLQQRRKALKATDDASQTDVSNKKKAS XP_823282.1 MQERESLLKEYKGLLANLEEAFDLKRSNEADQEVDDLIDETKAE LRTVVASLNASEVIEVTKDVTKVVDADGNTVILYSKVAPYEVHFDNAMWYSCVITDVV QPETALERVRYKAWILGYNVEEETYSEQLRVWQPQAAEGETTLRSGVACHAVNPRSGR FESAKVVRLTLSDTVILTFSDVVEMTKEVGETDGAGAAGELATGKRDPSGGDTSAVTE EVPLSHVRVGRFYQQLRKRSTLTSEERARRRAQNVERKRVRRETKRQQDANVASQNAN DWQRLVEDMGLATGCAKKRR XP_823283.1 MRPRDLCTAAYYDDVQRMKQLVSAFLRDEEAEEEASEAYEEKLE DEEDDNVGEMTGLLKQMDLQKKHRQKVAKLLETTGTLHVIETKENFGFTFRCVEDCGA GTLRPQFKPSKRSSYPAAPLHWAVLGRSHDAVKFLIRSGVDIKQEVPDFPNVTAALIC ECNKSMETARVMARATEASVKKANEKEEKRESLVNALEELRRERERRAAREKEEEEER EEDEGDDEDMADAEKSDDENIGNSDYEEALGDNQEHSGGDDE XP_823284.1 MPRAYYKPFTVYEEVVGFHGARRNAYICCAILFGGLLFKILVLS QYSTVTDYSGVDGLQSNPDYQAMLAQREALAQSLSSREEIHRAIASRRAPPA XP_823285.1 MSANNFSASLMLAGMDYIAPSEACILPTKLQGGTSDDSVKRHGA GNEAVKITLQDCLACSGCVTTAETILITSQSREELLKDRALDPTRPFFVTISDQSAAS IAAFLKTDVQRAFHIVSGFFRAVLNARYVSDLHWALRISVEKTAEEYCRRVRCERERL PLIVSACPGWVCYCEKQGAAILPLLCPVMSPQGIAGCYSKTLIPQMCHVSVQPCFDRK LEAARDGSSVSGERYTDFVLSTQELLDWMLEVDPSLPWQAPLDSDLEPLPILPPEEPK RSFAATMEGSGGYHRYAMHRAACELHGLELAPRDIHYEMKRNANHHLTTSPSNPGEVF CVAYGFQQIQNTVRGIKRKLASVASYTFIELMACPEGCLNGGGQARNGTTQSHVETTA AAKSAFISYISGSQPTMEVRGGMEGTSVASNRDVGDGPPPFSLAAFAEVEKQVGSSLW SCTFTDRQREFEATLNTGGVHSLKW XP_823286.1 MRKSSAKQMHRDEKKEKKSIPSATFLRVRKRQTAAKGFVDNKGR GRNLKAQKGRNRRDNELYIPGKGSFSKPRKLEGRRGVPSSVCLLHFHRDTLLLFTVAH TLTIHNICSYTKIYVVL XP_823287.1 MLKFRERLSKNCLPAFNLELVYQCAERALEEELNETCSAAKCVP MSGISERIFVGTWRDAADEKLLRQMCITHTLNVARELVDTEEMHRVESVPFVTSKSIP LSDSLDEDIVHHFEDAFEFIRGATRNGRILVYCRRGMSRSAAIVVAYLMESEGMSFCD AHNDVKDKRPCISLNLAFLQRLQEYEEHLEKVRAAARTN XP_823288.1 MLRKPSGVPKRIFATCRYAKKHSIGMGAASSDLQLVISADLWDD GLSFTALTTSTEAIQHSPEALLNNANRPLLIPFLQLLYRRGALMDERRRREIARYLVP SVHHDHLPWLWRDSAGSSPTVVIRGDVSALRSLLPSTWRAAIDHGGAAEPSERLHYWH QVFFAIASNPAFAEPSAFDQAHRELLAIVRDYYTDVLRLQELLPPLADDVTVTRMPPV LVRKLRKLYSEQCRLLQYAEFFRAAVEGEELVMPTCGTALVNDVDVFEYYLTIPGRRE SGMGRDERWASTLEYAAFAFRKLFRQLNWKVTDISALMTRPAGILMNACVFARNDNAE GDRYREAILTMMSVFRSFCKEGGNSGGAGASSACDSAAVAACSLLRVLRDAGVSSMLA KCKALHFGPMRGLLDVCTVAAVLELLLCEEAIAEARDNRRSFIWNELEVLLSHRKIVG DEMYVAASGHPHHRRAAHYGIVNLGARIASALFDVVRSASALGKYAGELVKWGLLIGR PLRMTICDAPLTHLTSFLPWLSLNQLEREAEGLKAGMKAASSWTCGCECVNPIQLGAV SCAACVSRHLVEHAWTCPHCSWVSSSGDYIDTCFACSHPHPRGLSVEHDNRVLCVKTH GGAATPCLWACDYCHSYSQLGVSRNNSKVQTCMDCGAGDTGWAASFFEWKCGCGAVNS PLRRWCSVCSFGKADASCVCVYCREWWRLRLRRLGLRDECPACAAPHPRDVAAFERRL WRCPFCHSLTPDSARSCQNCQRPMGMLSQFLPLLPDVPWHCHACGGRHNHRDDEGVLL QTKPERNCSDVCMHCGVHRLDPVLFNRHEAWTCGVCGDVAVHGWCCRRCYALHPAVPS TEVHVWQCVVCRSVNNSWDGYCQRHGCGTRRSADTITLQYAPWCCVCCGKFSRTSQVP QCEHCEAVRSEDRMEVAGAADERHGRRLADVEAQLLSASPSAAGDFLCDELLELMSRR TAAGDWPCCISDSVQLSIPLV XP_823289.1 MQFVSDLGLELSVFVCHLYAKYSEEPLKAFHELVLRTRSSCCGS DDSSNYLSLATLSGTQWYQDMTKRYASLRQRLRGERDNDVQEAREVTLSLYPQGCRVS EYADAIVTSAAMLTSNIPSTVLERFASCRVKDLNEKGCVSISADELASDLIERPVLDS FTPSRWWESDKTAPSSSLKDGLVAVKSIGLRVQPVEILIFYTYNGRPRRRFIPLDGLL HENSPIAPLLRRLVRSHGMLVSESQLRSYLQRLQELMRKSVESHASTVKPEGPLQPQF DWKQQIGYSDNAPAAHNTGEEEQHMTSAKTGEPEVNKADVGLLYRDPEAALKNVDLND ADDITLQEFKAKMNEGFMQNALRPGDPGYVYDKRLDVNPTERSEWDDSD XP_823290.1 MSYIETGWDGFGERVDDLQSRRDEGLDIYLEETIGVGESIASDD DARRHNSVKEVTALLRSGHMSSMLQKLSDYSEQENVKNTITPDDPEYQFVIDSSNLVL RIEVEKSKAVVYARAHYSQRFPELAMFFTSGLLYARVVQLLQNNMDLSQVIDQLDELV PSQLTAVIIACASTTTGRELSPEELQRVLEACQEIETLEAAKQTFLEYIQRSMPLICP NLCAFLGTGITSQLFAIAGGVAPLAAMDPTELSRLGSTRANSSGIALKTTGFLSNSDF VVNHPPQLRPKALRLVSSTATVLARIDANRRAASHQEGVRQRELVRLKMLSWLDPPVL RGAGNNTYERRRRKRPRRNLR XP_823291.1 MRIKRSRCTASESGELVAPPLLRVRLDSSRGVRVGCDASNNTKN DALDAPPTPRASGSVEDECQRRVLFFRLLERGACGAAGDDKTYALANSAVLPSNTALS VERVWDAQGNLVMDCQVVGKKSGEVGVVPVSSIVGDVLVGPGDSVAIDSEGSWSVYIL DKGAAADAVGEVNEEEGDKNMKEDDVFGFGNLRITDDDDGESTAVSCVKPYFAFRSER KRHREGTYHDYVLSFGESLANRSVAVNKAELLLYDALQKNYDDFLLDEQGAIDPELYL YPDHRKDDEYDSNAADFSANEYPDETSSSNSIRTDGMSTAGTYDDERCHRPRGVWGSS GFCGDSDVGDPLGSSWNDTDDGY XP_823292.1 MAGGKLLDQTEPAQGYVRGPDAYAFRNDDYWSSSMYVQVEEEEV ESGCCRSCGVYCCCSSCFSFFFILLGATLCLPFVVWGLFSTAVNWGDHWSLFKVVLLC TGVFNLLLGVVGIFSVYFKSKMPSMLFSVMLMASAVPVLSLGLLFLLLLMDILPLDTM LSGVWREAVQEQPELICNIQANYKCSGFEKGRCCFSNATRHIPGIRPHVCYLVSRDGH VMLDPITLEEITWPKLYCSPVCGESNIYRDTCGSRIVEDTRPALPATVGALMACGFIL LLLSAVALRRARRPRESPLYFQYEY XP_823293.1 MVTPLVKRDGVLVPINSICWLAKNVFNVRVPLYRRVISCELSAV DVPRGTAPVLECAIDKAVSMIRDASHKYLRQDYYAEFPLLGYGDIIFSTNGQATEWAS LQETLLFLGVGRIIRPICFRLEDLIDAEKRGALGEPVKVGAASAIPCAWAGDMSRHES SGLFRKVVAGRVVDVLRGDVYVVHWADKSADDNGGATSHESINADTSNGAANGEAECG ASPLPELVILDAVQCYSTSTDGGYAALRWAQKNLLFSQVEVCVHSLSPSEMEVANSTQ LFSHDQLRCVRSTVRVTSFAREGDATEDVGCSLVRQGHGLVRQVVEAAVPRSSNFHDI LRAASERCRTIFSRPVAPSGGARDWLLGGSPGPGGIERALESFLGQAWFEGPTLAALW KATMFAGNIFQSCESRPLRTHVERPMRTIWSITAPVRQNVTFEGTLKSLSLVQECIGV SSGSKASTHAGGLESVGMSGQDRSLFLRVEVDAIFTDETILQVVGREEERTLGTIVVA PPEEVVQGRKAQIRIKYDNSPSVALSGEAPALSVVQRKYWPGKYTPEKPTAADTSKMT RLVDRVFQTEVTVDLRLEDRENEMVFALDIFVEFQGQEKKLERRAVYLLSDTQEMVDN EEVSSSSDDDDEGDDSIPGGKNVTGTEGVVVSEGQSVVEEHAVDLEADSDRPEKDALS SEKIYHSKIDFYFKVDNSKRQTGDFTEELRKWSEGLAYRDVFCIPVGVATVNGDQGYV GDILVLMGNEMQGVDDRRGTRKSVLFELGAKWWVPAELSSEAPGHTWSMISSLVLKFF AKALAEITAREQQQRSILVAEWWRRLTNVYNEMVAKKATAQEMMDSRLPVGAGICGLR SAPRAGGTASSGPDGNHQEDIDEVFRVSAGKRDFWYLAEWEGGGSTGDTRVGSSEPRI SPFPQLLDTFLPPRLPLFVVSIFPRQLFAAFHKHHRSLVNSKNSLTAKQASNLHVDSA FVRLPRPFLPNFFASNLERLPVSLRNTAGAFVESLMDGKVPRQRGTAEWSYCAHEGVL SSVLSLLFMLRPCEPTNGGAVNFDVYNLMGNDHKNLNSVNVPNIDCSAVLYRVYPRNC GQALDGQEPKDPVLFLGSFCSSTSEVFGSQESILPTDASAIKFVARALGVESLVSSSR GSLSFNGCLVSGDSSTDDGGVFSILEGGGKACYPMASLGVGNQPLTSGVPRLYLPLPW LAVLGPPLVTRDEFDASLQDHKPREETKRLYIAVSYVPMCFSPNKPYNPISYGRCKRS RIYQRVCERSRRGLGVKDYFSLLLRRRSPVSSEKGASGRFADGTLRVYGTVNEPQFLY YQSRKQLRFHLGVQWPSILENFTNEKDATQAESEAEEKLLQEVAEAAKHVIDKVAERT SILQRFGGGANSRCTPPTRVYLRFRLNFQSNERSARKLRVMVYPVSEGNGRSMCGAEM GRGPEPPEGAAASQEELNPYRMFHMGDNVLSRSPYCVLVVSYCKESAKYQYEWDAEYE STSQGNMTASYGVIE XP_823294.1 MKLYNYQYFFLHFKCCDDYVYRLCGFVFSRHFLSSSLFGCFFHF CVCSLPPAAPLSRSISALPVCDCAYHSVRCCKLMGLRDLDRVRVTPSTATGTSSGVAG PATPSTTKASGVATPPVVDDETPLSTEGGSSTGREESFVVGMGILCMGAFTIYSAWRS SSRGSPQLLWGGEGRRLLNGGENTTLGATGAKTNFKPKLVLVNFPRKLRGQLQHCLSP LVSTDEVELVDAPVVFYHEATELTAGNVASVVRREVLAAFDELERIPCVVYHSAIAVD GGALDPFWSARRAVDASRGRAFHECHCIGYTVDGSRVEVLEHDVHGKVASTLSEEAFA CPGTAHGLSGVSEALRHHFSVTPDTFSTQRTECLDHGKHFKPCTSHYPSGGSCSSAVR TLWLSAAPLFSMFAAALRFSRPEFDGWHYLSERRTGSQLLPWLRNVLKWGKPKGERPV EGGHEVEGVQQKGSLMLEITISQDVSTLRGAGCDALREVLVGAYGNKDSGQCKGVRIG ISPQMKGGGAVVSCYFPSSLSVFQALRESWRVVSFVAHATFDVVSFRLLAPVIIDSSG AGVPVVDTSETSAPTPMFPRSRQDLEACPSFFSSTQYFCTLSGETFLDSEEAFRRMRE GNARDLHYVIVEYSTNDLVV XP_823295.1 MARVCKREKKEVKCGEGCGRGRSSTGALLEPCKANGTMATSCSR RSPVRGGSKAAMSKHSTKHVAKSNGDVGQQPTLRDPFPPVLWIAPVKMMYSPGQITAA GLDNGRSVAAAVRSVSSRGNSVVSMYLRQMRVACTSFSGKLLSESGNVAASSFGGGFG SLSVTAGLSLYSHIYELHQWFFSGGALHSNGRPRVVKNGWQALCNKKNSSWRILMDVL DSELVLSDENAACSALTLVFNLLRSLPSRRRKRVVRLCARLQLPRLCDSILKVYDPAF TDALKVRWDNPRKDSRNLADDDYCDSESGGQEVQNLVFHTIPLRSLGPVRVYEAVALL MGLCGTVSGKVLSAVRRLRLLSLLLRASRQLFATIQKMLTNMDISGEDSIASHSVTSL PSVNYHQRMAAVGCVKNISDALLHMFVAFYQLSACSSIAESMCLQGVTLSIQVGRMVQ SHLVQSPASQRTVHTPLDRCIEALGLWSVELLSRLCCNMKRSKRGLEVARQQRVVDLL IAFSTLHAENGDLMLSALDVLHVVTEMDAGCNEQLHDESGALSSLVSGAVAASEAMKH PATHWHKLFLAFYKLFGIVTLPGELSVLQCVEQVSSTVRVVPTLPLLPQHQRKARGEQ EDSLSHIHLPPGAKVATEVHAPPLVDAEPSFFSPELRVDRCARGYMSLLPPANASAMA GAAHIPSTFTAPNGLPWDFFDEGIPLCQEFVSSDRPAADHPVLSSDYSLSLDEQPKLL LQPSLETRVRVMKRLIGRLSMCPRFSDCVVYERPPLTVASQPQDVFNMCDREAKRLES SLRFPPPLPSGDFKGVLKFRSNFESGNLQRAIAVDDMEYDLVLSPDTNTNCHVQWFCF SVEDYAPGATYHFNILNMEKSSSTFNEGQQPLMLFFESSGSMGAASQAPQWKHCGHDI FYYRNSYQRPARSTTTPAVDNSPAPSVEEGEGPKNSNKQQSSDRPKKKKATNKKRSSQ RKEEPSHCYTLSFSIQFPERKGCVFIANCYPYTYSNLLSDVERWKLQASAVFGPSLLF AVQELCCTPGGLPVPILTLTASDIRGNVGVEDDSSAIHTEVAETPRERKLGSSNMDPE EFRPIKERPVLLVTARVHPGESNSSWVLRGLMSFLLSNRDNSHALRNRYVWKVIPMLN PDGVVLGNHRCSIGGADLNRDYANPNPATNPVVYSLKQIARHIIEKEQRRVPLFVDLH GHSRSKNFLMYGCMRSVATSKKRPAVKLPASIPGTVGSAYSIPSSNNRKQSLNSTASP AADSVTPEKLLPILLSQLSAAFSLPQCSFAVQKSKRHTGRVVMYRQFGIRMSYTLEAT MMGGLDDAIEGLPGCNMRGLMKPGDYHDQRQQSKANAFEGVSGTTLREVSYGTRHFEN MGARLARGVGLLSTNFSDSSSGYRALVERAWLILATAGSSDGIQTHIIANFGGSYALA TRKERRTDQKGMNREKSCSFNVSLAELRAEVLRTLSLQPRLGDAPPAVSGSTLHGVIS DDESSNDDDDDDDDDDDDQVVAGDVGEDCESSASGDNDDEDDDEDDDDDYDDDDDDFD ELDVEDNASEDGDGDVLY XP_823296.1 MPTETLSSVFVLVVLVTTSGLFPCTEAAFVASSSIEYCERSSNG EPFPCEKKMVVGLSVGSEQTIEAEEVVLLREAVDKTGDEKGKRVEFEPIRLVTTKSPV QYRYPIYYIRNFNAKPYEQRLRTSASSWCDDSSNPGSATCGVARDRRGDVIPYSQGFC CLCGACALSGICNPTSRSVGTCSVTGDTGMASCLRFSDLWYGGYTIGRGVVWYELQVK LSSGNNSTGGGSTGSKEFTMSLGPDKLTATSTEFGASARLIGDFAPPEMPLDLSGKML FIPSEPRGHERVGAGYNEWIIVDTHLVSIRGTECNKVGVSYEGFATQGSRCDAYPGAC LANQLEDYRDRDLEAETKGQQGKYMARFFAPFGFDPLANASAPAVAYQVTGTLSTMVT ITISADKLNFVLSVSSGVIVGATVSGKVVHSYSRGSTITVTVLNTGDIEAQYTVVVGE CTVNVQPMVAQTVYIPLQGSAQRRFTLIVQDSIEGEAKCNATLRNARGDVVDTRAISF GVKALKPSNGSQGGSTFENGRYSEEAKGESQCQQCSWFNLLCFLRHRCWWQPLVYVLP SVTLLMLLRRFLESQSRSRPRPQLHPDEHELRNTGAISSCHLPRAPYVNTVH XP_823297.1 MRNSLRLRLSSTSSSLLRSTFWLRQCGSGGCGFSGGPGGYPTDA DALAKILTQAKKGSFNGSSSSPSDFFDGRFVGGSPTMDPAMLQDMHQKLNQSLTPEVR ESMKAMMESIQRGDGMPQMGMMAFGVGENEKGKKVARGAKLMFDPSTGKLSKDFVEKQ LEDDDPVLPKETVGDYNTEGAIEVEFEEDARKEASVRENIPEAEVIVEEVPREK XP_823298.1 MCCGRRISAETFILPALFLLTSYSIFSFCVFIFPRLLDALGQAF LQPFIRGIRQPTSATPLHVEHLLSISAGSWGLFGVSAVLFSFTVWAYVAAATTDPGRV PYAYHKGAPKSATLALKVSGAQHHCPVCAHYKPQRAHHCSRCRRCVLKYDHHCPWIGR CVGFFNYKLYLLVIFYTFLCTLWVVLLLLLACSSFAVQHYEAVNGQVRHRRVDMPAWD SRKCWAEAEALDRPHLRAKDLVVSAQAVAQLSLFEEFGVCPPFLGVYVCFLEAFIFLI LSGSLLRKHWGLARRNLTTLDLVIQQTQIEQGICVRPPVNPFDIGVKGNLHQVFGDGD ADGEHIHANFIARWFCRLLPVAAYPEQEQLRYASSVSSERSALVSTDPALWAGGAQAS IPNYGTLQKGDLSGGVGQVTVIEGRGVCNVYHLSQVSSHVGSLLGQTFPTAVPLTSPT PV XP_823299.1 MHVDVPHDCFPGCATPLEAFAIALRSQPRGFGWDCSAISALDGR GEVVAGFVLGLPRASPCDKISGPSFVCVSLGSGTRCVGYKPVEFTVEADLMLRDGHAE VMARRGLVAFLLDAAAYLSRGDDRLHFAVERHHCFPQFSGGDASCDAVGECFRLRPGV SVHLVCTEYPCGAMSTPFGGAHVLLSTPSGRSLFDGGLDSNPLLVGEEHVSRCDGKTL PEFVDARVFDGPGSFTVCYGHRVAAHRCWPVDDMPFVARVKPGKGRQNLCMSCSDKLL RWHCLGIQGRRRTRLFPEPIRLAAVWLPRKVSIVSDNCVAVNAFSPLEKAREGLNSRL HCFCPRLRPGELGAPSEGTNEPVRGGSPFPQVDVCDFESSALRLILVGMSGDDTPLGN GGAPKRKDESCWSRAAWATVEDGNQVVPCSAGAKRRRGELEGLVVRCVLRWNEESTAA LNTKAGVPRGVTKQSMNRTVQQLLQLQSDVYSGAKPPDPDTAALLEGIASRFPLSRLW MALRQREIARTVSGRYHQSCRRLQAFPPTEGEADHETRRVGNYSLYIPQRVVSVGDMA EIGRNIASGDSVHCWLQGSQRAGESVLDEVWKEGLGRKLPLLWVEKREQRVA XP_823300.1 MPSSVALRVRLSIANPAVERLLVCIGTLNDEQGSEARGALGRLV ARKILETCAPSPSDVQNPDENRIQARDAKGRTRERYAFRQYGPFARHTCSHSGSRFRR PCRNAQTWDSERVSDYMGVWCDRLLLGEHNNPRRCALLEPRVPVVMVGESLERVLLAH RGLRGSDILFIFGSPCFPFGATRLISFSDALLDPLCISYPSRHQLVGTVLHCLECESS IPSALVVGLGSTHGLRTAFEPEEKVLIESRIVPLLGFVSALWTVDPLKASEYLQSNPQ NNARLWGTMQSSMLSTRDAALLRAFESGQPLDARLSQQHTAYLAETLLDRLFPQSGQ XP_823301.1 MKRTPVRVLNATVAFLQGWGGGSSGGGWGSDDGPGNGSGGGGSG GRGGWGSGGGGGGGWGSGGGGNGGWGSGGGGGGGWGSGGGGRGSGGGSNGGWGSGRGG SAHGFSNPWNDGDAGWRGAATGARANRGRGGFRRGRGGADDGVWGQPNVVDEEAWTAA PPSFNPPVRRVDPLTLTAVEVEIDGIKKLVGQRVQVSGLSDETTWHTLKDHLRQAGEV TFCKVFSGGRAVVEFVTPEDAARAITELQASELEGATLFLREDREDTVLVNTRRKIRE VRDAQLRARKEEMEKKRREQAIAEGDCSAPAPPAEVAGDASQKV XP_823302.1 MSLWLTLFSVPFLLVRVASADHKRSILKDDVWLEMPTPSRTEGS NVVWPVVRGLVALNGSAGVGQVVNRLSSNESLSVGGLVGCGDGYCPSFAPICCGGPAY YYCVSGGSKCCGFPGRVVGSCGQSEECCADERNVTCCEAGSYCKVDGSDLACASDTCS HRLTVDECLSQNDGCGWCCEEHRCVRNTSGCSKGGRPIAVGETCPSRCHYADTCGLCL ASGDAASGLEDCMWCCGSQSCIPSSEGDSCQNLQGIVSPGFCSACLSNGEGVGPTFVG SLQQMLALFSSFVFMIGIISCVWVSRACATYRDNTIVANGMRQVEVAAHYAVRRHGFI ADGLSAEGGSSGCCPLLRCICCFCTTRRSDPSKQIQEEGGDGPCLSGEFYCAGCELAL RPRSLLSLVDAVQGSRPPGERGICDDSDYHAEDEGIIVLLPCGHFYCYACLNIKKKRT ISPRRLPKQTCSAAASDSQVRAEEALQSVSSADGEHAGNPSGAHVDADNREAGAAEAQ ESSCCGCLLCPFGKNSKERVAGEPKGVVDIGKLAMKKIKRKCPKCRRTVTDVLLPHNI IHL XP_823303.1 MSDWERGYRGGRGGGEGGRRGGRGGGEGGRRGGRGGGEGGYRGG RGGGEGGYRGGRGGGEGGYRGGRGGGFGDGAYRTGQTRERDAVVSFAKAGMYDRPVEA WTNLLPLYIDPRKCAFIYPLSIEMKDGAPDLRERVKVLASKNMLLKMRKESGSEDAFD VNMCVCTGSSIICPTRLPVEEYNYELTVNARKGKGKTVTYTYTLTLKQATSCSLNPKE RTMELNCVIGSAVQECYEEKVGTKFVDLKNGKKNATGTISIFEAVSVKAFSTVIRGKE NDVLQLDVSLPVASAMDCLTAMEDERRRARGSIRRALAERFIKKKVYSVVDKSRATMY TVLDITDNKACDAAGLKQNPSQTYVEYFRDRYGIHIEPQQALFKCRTNDGRRVVLVPP QVLHEMSLNEQDRRQLPQLCSIFPDDRVQRLRRVIERLIQHEGGRVVKFLEAYGISFG KDFVSVDGQVLKSPEILIPKGDGFRRVNPQSESSQQGFVKELKDLRHPGARQTVDVVL YDETNNKQGTVVVGNIKKYLDGMSAPLNFGDSIPVRSLKEAKGRLGKNIFGVTFLRRP EREPYAEWKASWSGGGALSQVVAKDLTGGRELSIVMAVAQQICAKTGRLNWTLDVNQV CPKLAKADPSGGILIIAADVGRDQRSVATESSAVRQEFFAVAFVSFYVKGTQWSTYCN HYQVNGRKETLYADGSDCDTTSMSEGGPPTPSEVISKKMGEFVQEAKSHFTSKGNAVS AYLVLRGCASEGELLDARKSDVDVLSEVLKGSSWAVVAGQRYQHSRFAFQAPDDRTMY CNAPRGFVTAEGADKKFGEAFFLTGANCTLGHARSTLYVVSKRKGFDLGELQALLYGM CFLYPNKTDALPLPLPLKCAAEYGRKYSALTNLKTLGGNLRTTMHYL XP_823304.1 MEKANNIIHNIATFQLPLRLRKMVPYMLRHQRAKRRTPRGAVGK RIFGATPQPLATLEPSQRRAKWKCTGRPLSPLSGIKHQQVEGGRQPALRPPPLSLVQA LGTLTELCRCPPVKSGVVFQPIQRSPPSHTPEGIRCEDQPIPAAPPSGTRVSYVFRTD FVPFAFVFGV XP_823305.1 MHNVPLLAWRYEHVAVVGKGGFGIVSEHVDRFTGEHVAVKTIPS RFVNQESARLVREIDIMSFLHDAHPHVIGYFDMFATPSGADNVLSQDEGGRDGATTLV DARRFDAAGPQYPNQSRNDELSKYHDKVLSMVNRLSGEDEFSLHIVMPLMKGDLLYFI KHVSSSGSKPAMTDEFIELVTVVFAFQICFGLDFLHKCNIVHRDIKPENILVRLHGKD PYKSTALIADFGLARDAQASDTFYVCTRYYRPPEIVTNVSGGEPSIDVWSVGCILFEM VTGKALFNVESALNEQGVWDGQLASTQLEVILNIVGTPSHDDIRRFMPVGNAQNYLLR SAPRPSRLVGMMNEQWRLHTTRDRQQKWIDLISSCLAFFPQQRPTCGDLCRHELFQEY NLFYGGNVMQYQPKRYQSAEVNTLKTKNKQSVLHLVRLALQKHSPVVDVSFSDGAKWK DEDEEEGKKSPCAPKERFLDEGELLATPEAVGSDVQDSDMRGEGVTPLEGGSEEGELG ESIAFRFLKDSELRRQYDNWGWSGRTRDEVLAKILGDLQRYTHDAVRSEQLRELLRHF SSPR XP_823306.1 MSSLSTAGCVGDANVLGDSDVKVSVLSRKDRKKQERTEKLLEEQ RQLREQANCVNKDGDNPFSVTWEHDKGTEGSRDISLQRVAVSVNGKVLFKDTQVRLSA GARYGLMGPNGRGKSTILRLLSSRELPVQSNLELLLVEQEQEFHESEVSAVQAVLQSH KKQREFASEAAQLHEKTELSHEEMERLNFLEEELDIMGAAQAEARARRILFGLGFPTE WHERPTSSFSGGWRKRIALAAAVFIEPDVLMLDEPTNHLDLNAVIWLESYLCEQYNEK ARRPKTLVVVSHDAGFLDEVCTHMVHVENYQLNYYRGGFSGFDEQLRQRHQEIDKKYA TFNKTINEKKRNGMSNAQVDEWIKDQVRTGRLDPIYLEKRRDYIVNFPFSEPPELPDG YIVKLEDVSFNYPGGPVLFHKVSCALWADSRITLCGPNGIGKSTLLSLLTGQLEPTEG IITMNRKVRIGRYNQHFVDKLPLEKTSVEFIQSLGIREEDKARRQLGSFGLEGIVHKN QIATLSGGQKARVALAAISAEKPHFLLFDEPTNHLDVESIEALCHAIKNFKGGVLVVT HDARLIEETDMQIWEAGNQNVRPFNGSLNDYKNKVRREFEEQEKKMLKERHIATEEKQ LNSRLAREGAGKDVAALKKEKEEETRQRQLAELDAAFALLDKRKKVKKVKKDKEEKAN DG XP_823307.1 MTETFAFQAEINQLMSLIINTFYSNKEIFLRELISNSSDACDKI RYQSLTNQSVLGDEPHLRIRVIPDRVNKTLTVEDSGIGMTKADLVNNLGTIARSGTKS FMEALEAGGDMSMIGQFGVGFYSAYLVADRVTVVSKNNEDDAYTWESSAGGTFTVTST PDCDLKRGTRIVLHLKEDQQEYLEERRLKDLIKKHSEFIGYDIELMVENTTEKEVTDE DEDEEAAKKAEEGEEPKVEEVKDGDDADAKKKKTKKVKEVKQEFVVQNKHKPLWTRDP KDVTKEEYASFYKAISNDWEEQLSTKHFSVEGQLEFRAILFLPKRAPFDMFEPNKKRN NIKLYVRRVFIMDNCEDLCPEWLGFLRGVVDSEDLPLNISRENLQQNKILKVIRKNIV KKALELFEELAENKEDYKKFYEQFSKNVKLGIHEDSTNRKKLMELLRFHSSESGEEMT TLKDYVTRMKDGQKCIYYVTGDSKKKLETSPFIEQAKRRGMEVLFMTDPIDEYVMQQV KDFEDKKFACLTKEGVHFEETEEEKKQREEEKASYERLCKAMKEVLGDKVEKVVVSDR LATSPCILVTSEFGWSAHMEQIMRNQALRDSSMSAYMMSKKTMEINTTHAIVKELKRR VEADENDKAAKDLIFLLFDTSLLTSGFTLDDPTAYADRIHRMIKLGLSLDDDAEEEEA QAPVAAAAANSSTGASGMEEVD XP_823308.1 MRHWAQAEYDSTASEFRSKRHAQLCSSTPLQKLSTNNPRMMSRS PVEDETPPVRLSRHIPQREGMDMVVSAYNLTTSPTPPLVLSPGVGASGVLSLPHSAGA SFSSHFSESIVSDDSSYVTSMYNEVLAEQLSSESTQKQRKIGGEVLGFNNADSLRSLV APNLASSGGGRTISGSVSPTEDDGPKHCEYSANTNSTRTAVAPLFAHAPTGRAMLLKK IKPGKAPTQRVMFTTPERTLDAPEFPSDVSQLLHWGTNNKLIIGLKNGLHGWDAETAK ASQIVCLEEHATIRAVHWLDGCTCVALALDEGPVAIYDCHQVGFLRTLQTCLSGKARP SYIAANGPLLSVGLNSGTGGVYVFDLRTKNALISVYEGHANGVASLNYCTKEPFYLAA GYANGAVRVWDARRANCPRYVFDSVHSGPVTAIHWDPDKRSKMYTGGQDGMMCYVDTN APTTNVPSAPRTEDEGFTGGCHFITRAINTMHPISGIVAPPDVGELATTHRGKGQIQL RQSSNLHLIGALGSPNTSSDITCPTIAPDMQRICTAQDELLKFWRVFWPSVSPQRAEC STPPPVSLLEDELR XP_823309.1 MNPEAPPFFPTFALAHSHRRVYSRAELKRIELSVVESIRRAPRT GVPSASALPVDFAALFARLPHAHEVLDVEHVAKLVEDGREPVDGTRALIEGNRQPLCP ECANGPEACEEVRGIVAGAVRRAASNRLSAVLLQSGNVVWGLIQLTLVFEAKQSSLRL RVLAERHPNIVSLIREYLCGEGYHLPLPKITEHKQWQELNSILKFDWIRDNRTRVFTE ISRRWKQRHTQFEQFVHFFGYRDAKPQHQVKNMLTNCAKPVFISILSGDIVAVVLLAR LEFFVPPDYYWDIFPYFAETLLKKGSDGKTYAVMRHLCQHFHERQQSLRKDVYNPIEP PRRR XP_823310.1 MKERRSVSQSRTSRTGQLRNGGFRESRFRVALMSRCARPSSSGT SHMFAPSSLPSVGQPSYESGVSSMPNISFPTCNDGALSPGDSMYPPSLPQRAKNKRTG QKDKQKRQSTLGSVDSEEEKRSFLASAAAFRRHRRYNNPFTAYCLASDPYDRPREIER QRQFMSCYKRIACRFVAGGKNALDKPTRYMLGDCVARLYKAVAADWPEADPMVVSSAE DLIVVFMNLDAVRNPVTALRYMNALLKRCDVVRAFDLKKVPEGWDVVTEDGHLMYTFR PPWVGERRFLPDQVAVKKSRGR XP_823311.1 MSDDRDPAVVQAFNGAGGDDTSCLRLFSRASAGCFILGSWASLV AREYVKSTAVLKNWSGVDAVAVNDSITREVIRDCLLRRGVSVEQYDRQTSGGRYVCMR RGSPGHIADFEAMLFAFEDAEIQLMAIGSVVIDDKANRVNGPGGQHVRVGYAALNTTL RTLTYAEYHDTPQLTNLDVLMAQCNLKQLLYSNTDFSMNNTGEKAADSDESREQSDLL RALKQLCERANITLQERGQSNLPHGKQKSRATKRNSTGPNGELLSTLEGILRVPEDRH GLNSFPLASRALESLLESAIDPFDSTNQHTFYLKHVVPSTFMKMDAAAIEALHIIHRK PEARGSMPTSIYSWLNRCTTGMGSRLMQQWLLQPLRSIEDINQRLSLVQIMVESPILR DALITQVLRRCTDMDRLNRKLQRRTVALKDLQSILVFANTVPLAVDVLRTYHGGHDSS LLLKGYVTPLEDISEHLSNLRTLINATVDLSDENTVRINPEFDDDLSFLERQRQNLVK AIEKENHRVLKQCGWTEKQMKCEYHASYGYVFRVPRKDDHQVRTSKEFITVSTAKDGV RFVSGQLSSLSEQYKGITEDYKTRQQVLKKKLVDTVATYLPVLDDAKELLAALDVFAA WALVVKDSSRPMVRPTVRAPQSEEVKGNVDNNSNGAILTIVNARHPLVELRQPAFTPN TVQLTNEANALIITGPNMGGKSTFMRSIGVCVALAQAGCFVPADSADIVVRDAIMCRV GATDHLAQGVSTFMVEMLESAAMLNSATQQTLAIVDELGRGTSTYDGFGLAWAIAQEV AVNAKSALLFSTHFHEMTQLAARHTNVRNVHFGADVDTAARTLRFSYQLQPGPCGRSY GLYVAQLAHIPDDVLDSARQKAVELEDFGGDETKNRAQALFSTATPEVVQRVTEYAKR IRELESGEGDGDSREAARRRLCSEIKEDALLSSLVEV XP_823312.1 MMFGRPAVPQATWEEKYFYQKLHHLFDHAADWFVTKVNWWMPSI GAGMVLSLFVLSGPNAIAEGASVLIPTLSPVVRAPPFGLQMPEREGGPQEEDEEEF XP_823313.1 MVEGHSDTLLMVDGCTDTEKQEAQTLLLGGDSTREQLLSELSFL AETVTRVLPSAEVACNQVNLRLIVHMMEVISKYPTLGSLLVMSPRRLDEVMEEVLMNW LRHEAALVAIDKEANLLNKPCYHVVEPATRNVPTCEVRPGDANFPCMICVHTSRWSCA FWDLIRAEELKVGLHVAADGLPSFLQLQGLRSMHSRPGDGLIGSGRWWEVIGHITSIF FLHRESSDPMPIAELRTQTSNGVGVVAQQPLWLDLSFIPQLEMKETLVVGERIHAVGL VETSAIGRGTTLYSKKGPDSSPTRILLKARFVRTARFSPQWSNQQSTAEALTPPFPSA RPCGGVGMAKTSEHNEVCAVYQARCCWECVAGAVTFAAAKAGGGFRGSHGRTRMEAIL AALDVSALPISLWAAAGIVLASSKLQDGGVVTSVVGSADTLTPLRTFLLELGEETNFV SPVVHGLEKALLPMYARAPASHVMLPTEDGVAPHVEWVRGGTLNTAFQQVVFIPSLQA ITTPALNAIQSALEQREHVVIREGGQSVKCRTASALLGMTQESTLVQQRHLFQFIDKG DFVLHVSSHSFKSPQEQAEVLFGALRGNAECDCNLTVDFFNRCENQWDVWYAWSLARS VEEPTVPQVLPQCSALLHSYFLTVKAICRDAVDVVMMSVLVKITCAHALLRHVGHPRA ARRGSSSREKKTCACQVSASTGPVSTALVDAIVAIELCDASLRFMVGVSLIGGTSVFD LIVNDDGFDVMQYARDLQIHLESSMPADAK XP_823314.1 MGASAGLIRRGGGVFPDAVSLTLTPSRRVYGGSGRGDLLYENPD ARRHSGRALGVLNGVRHSSQATMPESGQLYHRKLILHSRPPNGSCAGLQRHCHDTCNW SYLIPSLHRCAESAISAKLWEKMCQLGLEDRSKAWVNLTQYERQRVRDGQNLYRYEVH QRLPLLEESIGWAQLDDLLGWFRSARRAWVRLPTSVTLSRESSEAGVASVVSPSSTMS CRLEGHADSRDTTPGRNQVFDTPERVEQLTEATVHRIREELQRLNRSERSDCEGSAAM RASARRLARDEELNRCVEEELGWHGVALQHRIPVPK XP_823315.1 MEKEKATKYSNATMKVTSGQLYVREPHWNIRNSERNWSILSRDQ QQQKDHYWYRSERSRDDDFSRNTYSGNTG XP_823316.1 MASLRKKLDSFRVDVGDRPRLGEENGAFTPTKTLCCNRSASKPL PFILFPSVRCCCCQTSEVKPMSDLSPRFRVPSGVGPQQGGSCQSTFTGEEEGGECVEG AASSKGAQINCGCCVPNASLESADGSLLDVVDLLTTFYNLPPPACWRCRETLPNSFGE ELLASALGTTSDNLLDRYASLLVERLNKSVIGIETPKHTERKLSVLRTEGTTTSVDAL AHLPYSVLGKVLENTGFPNEIMPYKIACAACELHFTDRTGDCVRFASASRHTGSVSHT STRVDKPESVPDSNCTCKEGAIESLLCSVRFLWLPARFLESELRRYEVLLPTIRGRCC ATYSGFLLRLGQAAKLKSCLRSSPDSETGPFQDGPFSDILLSELRRLRPSYDFLTTAE RHLVLGENVTFSLLRKIQEKCDASE XP_823317.1 MYNNSPGEGRSPQEQAKEQPTTTSTVAEPLLEQVSTEVDSKQLK IPAKPSKPDYLPLSSFRRPKGPSVYAGAAAADDVAKGLLVSDAMHDMTFYTEVSKSLP DAGQPVLNSVFFSQRHIVNDSPSIEEEIAQIDSNIRLWRSSRLQQVLTKYDHDKTQHE LDKEVSARIRKKEENERNRTLRRIDRMLDTLLPKVQETIDRISKEKYEHISAKTRETL DIKDKQRSETHRQVLRDMHAKQRQRLVERQYQKNIQERIALDTKHYFSYMEIMVDLRR SYLLLQEAFHELKKVVGVVEDEGKLRQRMEKNEQINRGDILQEMERRTIYLKAKEARI SSAQTPLTETISKKQTCKEANQKRQSLPQNTKILDPKKSFAHAHETAEQQQWHQPQLK KQDSTTNQDPQEVQLPKENKPQKEKLKTNDHQEEITQENKKKEREHTEKIAFKKLEPE DQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPED QKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQ KELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELEIAQPEVIQTLEPEDQK ELDIAQPEVIQTLEPEDQKELDIAQPEVIQTPEPEDQKELDIAQPEVIQTLEPEDQKE LDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTPEPEDQKEL DIAQPEVIQTLEPEDQKELDIAQLEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELD IAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDI AQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIA QPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELEIAQPEVIQTLEPEDQKELDIAQ PEVIQTLEPEDQKELDIAQPEVIQTPEPEDQKELDIAQPEVIQTLEPEDQKELDIAQP EVIQTLEPEDQKELDIAQPEVIQTPEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPE VIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQPEVIQTLEPEDQKELDIAQLEV IQTLEPEDQKELDIAQPEAAAPEGDIAVEAVEELEEPQQVPAEAQPEAQPEGDIAVEA LEELEEPQQVPAEAQPEAQPEAAAPEGDIAVEAVEELEEPQQVPAEAQPEAQPEAAAP EGDIAVEALEELEEPQQVPAEAQPEAQPEGDIAVEAVEELEEPQQVPAEAQPEAQPEA AAPEGDIAVEALEELEEPQQVPAEAQPEAQPEAAAPEGDIAVEALEELEEPQQVPAEA QPEAQPEGDIAVEAVEELEEPQQVPAEAQPEAQPEAAAPEGDIAVEALEELEEPQQVP AEAQPEAQPEAAAPEGDIAVEALEELEEPQQVPAEAQPEAQPEGDIAVEAVEELEEPQ QVPAEAQPEAQPEAAAPEGDIAVEALEELEEPQQVPAEAQPEAQPEAAAPEGDIAVEA LEELEEPQQVPAEAQPEAQPEAAAPEGDIAVEALEELEEPQQVPAEAQPEAAAPEGDI AVEALEELEEPQQVPAEAQPEAANLRVT XP_823318.1 MRVTGKCFVGVPVPRGCGLLPSRYGGSFSVALRRKVAFSVFDRH GDRKDVLRTTSLRLACLAVHTLPHGVPADRAVRSRVPKTPCGDAEERTDPVFPFVAHN RVVPLTELAKLLPDEVIESLPNGLKSHLQGFPSRYRLSCGGNGILYVELIQEVGGEVS GGTSFRLFLPLEDIIQSRTGAATFSGHCAEGGGRANSNESRSIESMERVLQEWLKPNS GIQLWMLVAEYTAHKLTENTTSWSGEMVAGVERATVDCSEGQRLHAFRWAELNGETAK VALEAIRPECCDASQPSDSSPDTRVKTTLFLCFTSAAAYSRIVLRAIPNPENKLVEDY NLYRLCRVLHTEAFTKLPELDEIAGKWLTQPLAVVLAIGGEESKAGKVGNAETAQSIL DFEYDPIDSSRIVGVRFWLDKARCLPALYQEKTCEELKKELEETNEWVPKSLQKLPNH KRVRLIDRKRLLLRCIALHELGPSPFCHPDVLAYYVFDLLPIDGTLIVTGHLPQLLPE NIRRITDARSRTWIRKYPHLFRLVECPPELCVQRMAPVAPEGDESSECEQNINITSHI PVSGQASEHHKRLLTDPEEQLRFMVSLVAARLAVCRSRNLLPSHLPKFISSELRRAIL RRGSGGILGYLQQHPEVFILKHGIHPHEPVVSLTPEFHPNLEGANAGGDDPAANTDSE EN XP_823319.1 MYVPSRVVAVKRQRTPSQPLPAAVFIFRRDFRVTDNTGLLLLIE RAGKQSLPVIPLFFFNPRQCDPDKNPYFGKACFEFLCQSLKHLDTVQLGGRLVCLRGS DCDCLEVVRSSGYDIKQLGFNRDITPFARKRDLQLEEWCVKRGVRCVTSNMDYTLLPP DVVTNKNGKPYRVFSPFYRAVLQEHFSDIQAPNPKATTIGDIFTGSHVKEDVKATVDQ ARRSAMAADEFSSLVDYVDLAALPQTFPELVDRGGRSEGLLRLASVASAKNYSAIRDD IPGDKTTHLSPHLKFGTISIREAMQVALLHLGKEHAFTRQLIWREFYSMLLYHNPRLA LGQLKMDVAPQGERQCRATLANEPFLEKYSNFQWEWNDAEFTAFKSGATGFPLVDAAV RCLTKTGWCHNRCRMLIANFLVKVLFVDWREGERWYATVAVDYDVANNSGGWLWSSGQ GADAQPYFRFFNPFRQSAQHDPQAVFIKQWVPELRNVSVRTIHKWDVFCKEGRPADQG TLGTVQGTVKESNGIKKEKTELPLGGSIVGGSAYPSPIVDLKQRTKWIVERYKKHAAE VI XP_823320.1 MKRHMHDRMRHASRDPRRQSTREAIMLSACTKKPKKRLFTYSQW CSLLRRRRRVALRRRPTTRRRLLRRIFALRYGKYQKPLCNCAMPNHGTILTGAVPGRT SILWLPSHWYMRRRFHYCVEKAKVSPCPNPEPDLAIVGGGSGRGTPSCTVTLRIAVPL KCQRKQHRILQRWVARLPTFVSSQMTSKVVRQHRGKLTAVPPPMCFVAERSHMCVWRL QQLNMERRFSPEELMNLLIMRTNENPPAMGYTKSFRLSKTTPDPTGGTWQTNGCSVYY GYVWSAAAKAAGYPFPQDACTVQSNPVVAVTLVLVRDGKVGRGDTYYLIAPCPVYFGP QARRHLSFCLISHWNPCPAVGFLSSMLEVWCCAGAAAQECGEKAVLPSHSWVRQRVDK AMMRWHSATAGKSESSEFLEAENSNVFLSTKSSSLTPTKRALGGVKVFTFPSFPPFTD IVDCTSFPIHQCVVTVLAVSVRRIRNADALGSSRTCNTWSYRACHFQLSRHLFSSVVS PVAATWRQWCDDSEEVAGTVGLCRSNGYAYSARAIGEEERETLQLLSGCYALFGRESA RNVWRNSGVARLYHSPSNAHQRVLLKFSARGGRQHQEVGGALLLLKPKDQEESGATLC VTIPELTRIGTIATAPFFSMRFGCCLAYAWVWDHAGWKSLISDHCRRAVSGSRSSQRG DVVNEGSVEDPNSKNTECCFVCSNNGLLALLPYLREVPTSCKGGRTTVPGKTKRGKVT TAMKMKKWKEVQSKLCDIDVCTPVDIVRLTARS XP_823321.1 MEKVTESAILILCMQNQVAATDMHTTLSRVILVAMLHDVADHKY DSDGTLRHRVEAFIKEERNATIETAESHAYALQTIEAVSFSAEKQRGKRWFTSVLPTE WLRVRDIVSDADKLEAIGYAGLLRCLEYTSHLLLPRGKTTEGEQHMKEGGEGRPHWSR EFERQCLQNVREHFEEKLNLLPTEYIVTEPGRFLALPRRAEMVEALHQWEENGLPPLS XP_823322.1 MPPPSVGLLVMFDVRVVANPPGMSWVEDKSRDLESLRKRLKECG HDAESLTIVGRKLHEIAEQAHRKGEWGTLGVESGSGRDEEQAFQLFTHAGELLMRTVI SILESFTQHEIHAATRVRIEKEEVDIIETLMRAANTDKTCSALSTLHCRWRRVYAASR AWRWCEAHVTLTSDYKPDLCSGGLYDMLPMTEWWWMPETVSAMTPPKAEATSSMCSDN DDGWLLFEDLFLSTTAPQSSECKRQLHDAETVTAFLNELNSTVDLFSRRIPAGYDELR RLYIGKADIQLQQARLFSHLSFVKGVPGSYQNATDGKTVVSGGVNGCHKLLWISREGL STSMRCLIDALTAAQIAVAQFNAPCDDLIRRIRLAMFGVGLSSDGEGDTPHSACTPLL HPSVEPSSNRWRIKHGVGLIATNHIMEGAVVVKDRSLLLVGQVSPQKRKQERVTDIVE APDGENAAVVNGALELFLRGGFVHKDELATSSIVKALRVGKLLGDPVEAPDMHSALHL LAMMPFSGESGMHPTQGAGRENVDYEAAIARDLADLMCCWRRCSVPLEAPQDFRATPK AEVLTPCRALLPLVSLINHSCKPNAIILPLCSEESQGSSSFGEGKGDVCVIALRDIEP GEEITVSYLSSVLIPKTLKDEQNGFCCCCSFCKSSTALLEGVICPECRQLIYDPEDAQ KNCDKYQGSAGRQPTRGVHTYPCVLVDLSLPKGQRSYEHASDCSRADSDTYESLSRRI LQGYANAVDKACEEFESTSTFGGVGASACEVEVEAGTVGSSEEVQGIEVDGMEEGSDD DDNGAGEDRLAQTALRRLMDLDSFACALPTTHYIRLQTRLECLALSLRSQLTTHDSHM LLLLCEELLEDLRQILPPNHPLLTGVRLQYALARSRHFAESADSSHTTEKHDHGCCGG VRECAATLSLPFLRDGMIRECIVHSFQEFYTTVGWKHEGCTQRELLSSFLEEYAVELL MCGIESIDHMTLLSLVYDASQEASVGL XP_823323.1 MALSPPGTFLNVLAIIVVFTVLPVLASAAENGVVVGNLDGTRRI TTDDIQNGFDGGKNYMKPCVEYDYHGHNYVLIVEMGSFADHLIPLPGVTLCNLLTNMN ATRNYVYAPRDPRDPAWDGYTRVVSEPLDTGVLGGSGEGYTEESGGRTLSFWGDNNDD TSHTGGCCALTPGEPAAWGRPYRLVFVPTKPALYLAWRFKPTVAVVNGPVVFEVNVWN RHSEPYQGNVTFRITANGNTTIAESPTGRYTHYAGPPAEGAREYVIVVEVVNGPETAN AKILKAVLNVVGRLPADVSAYPDALVPRLLHFGEAGTAIRAVERSTALERDWFAPTVV GGEAQIRPQVGSRSMVRIDSEHFLQDVWRELAADDGVFVAKVPHRSLQFYAFSLYSAA TQRVTVRYGFDATAVVYVDGKRFTPRSADPSNESSFDVSLTEGFHQVFIKLFFDQYSV NNRTELLATSKFWMRVVGTAVGYSLRGVPRMEGAAYELMDDLHVSKFLHLGKEWVDFV YGGFDGSVVDVLRVFPQPGRKVGNLTWTTLTSPDGKIPVYGVNLHKGKGSAVSYIAFS LHNGRNSFASVCWTFVTEGETDLFLDSTPAYSRGNGTERKQESVTTYLSPGWHSIILR TVAINGHSWFLSFKIHLGSCEVKGVNALGNDLPPYLTPVQAEAPVLTLMRLVKKESTV GAIPHDLEAEMSPSAQLTDFPLDSRPSSMILSTESVWVLGERRQYEWVAERSTNGLWR GESICGSFNQYRALALYAAEEMKVGIRVASHADHGLWVDGLFVGSSSSSSVGHYLYQV RLARGWNRLILKLNTTGKSRVFHAARGKITWESAQNTCRKKNGMQLCSLSEICPLGVA PREAASTPQKSFWVPVNSAENGWAQITVKRGNKTLLCHAWRKDDGRWSPAKDPHNRHL GQLAVACCGGTPAPPMWLSVIPPNRARGRVGYTYDFPPAPDRVVVKMPEGVTRSTPIV GDLQGGVPASSTKNDARYRTGGLTFVRESWSLLTRGKATALPNGSSEILVNRVACLAY SPCSLSVKGVKDGWYFSLLRTDTDESMVERFLQGEYAKIQRPSLSFSGVLPVAQKNAV VVPPLNIGSFYMIAYNPNMTGTLAATRLEYRTLSITPKEVVGTQASAFKAEGSALELG DAVLIPTGNPNSPADCGTHKCHQEFSRSTDGVAVIYSNVSFPFTQDLYGYNGSISCLC VCLSCSLPPYTAATVRVESSKVVGFPINITVTLPPAPADDIVAAIRPRMVRDGVVAQF YGRFDPRKGYAVNFTSSGTPRPDCTKPFCSVLSITEELLTCEVHVNTPLACNWTAVVS SGGKAVPFSSGSFTTLQVHPSPPSVEYVTGSCASISAWCVNDTKLTLHGNNFNPVGAE YNRVIVGDDTSDAAVLCDVLSASASTITCTLKVLQSKGEEIYPIGIETRFSEDEWGER QEAGYLVVGGGAFVGGWTEDPVHRSVWGKNSQVFVVCSFIGVVVIFAIAAIVFSRMHA TSSDIDVALVEDDGMGHAGSTETEERTHEDTISRFRDSVATPPGERA XP_823324.1 MEERDEITATSFRVVVLEERPYSFEECAAQQVRSSVRVTHIAFT PPTVEEVESYVKRQQQTRKQKLSSRVKTYGDAALRQITLANLTTLCASGKMESHTVAC FPWGFPGNCGTVAGPLNLESEGSSKRTIGADNLFQLEQVRLGGVYTVVLPRMSDLCSN VDIRFDVDGFVQLEVVGPGSVTFFGEQYSSLIPEWLNHHFFNVEDGEEHEEDSDEDDI AQMYRLIR XP_823325.1 MVEEVTIGRDFVTVRRVDDDDTAAAVRQYAVRLGGNPTATPEET AERSAALQRKVMDAMEENCEQVKTLSGRNDPNESVDGGGENTEDNLGSQGGVALDSVD EAALRDLVRSTHWSELKLHVSALLTDHLYSGRAHIDADAPHPHPDTIPQDGDSEVVVV LKELISTTIRPQLQADGGDIRFVGLADSVMLVEMLGACRKCRSSKTTLRDMIERTTRH WVPEVQKVEEVERGGSHERQRQGKD XP_823326.1 MPVASVSNKGAKRQRDGDGGGIVERAASHSPYSAAIEITNGTTR KAVADYLECSGLVSSISDVDVLLSSPAFRHVARSFLVPPVSAVFDGPMELGVIRLVAN NDTTLLFTSDSIDPVNVPSEVGQSFCMGDVVILQRARPSCKPGDEGHESKHLLEFLTF DPSSLADAGDWCHAASWNAVTTAKWVREILSHPPGLAQVTCIVQPLVGLGADCTSSSR RVNSTGWSRSLQLPLLLRQLKRVTGVSARTVLQLKKVIFSVVRLYVAILSNAETVAPL PHILGEAIRQFVASPLFVDGLTLVLSEMAGSVSQSSWVAAQQSVLDAIWLGLRYTCGE RAPTNCGGKTDCDSLQQKLHTEAERGVHAVLHRLTSIWIDSRGVDGGKADSNQPVAQL VEELARAGLFRHFVHWVRHKEQMVESSNIVGNAHCPPTMQEIRELRGLQRGTVREMMA AMASAYTPYLRQEAPRFVESSILAAYAELYGPAARAVNHFVSEPPLSEGTGDRMERWW PHISVTRVNQAAVRSSLTSYSLDEIQLHALFGMPLTATLRAAKEKKDFTRLGERGSTF GYLIVRLNGNVFVFPVIVCNVSDCSSKNCTDGEVGWTICTVDAYVLRDGDMLPSLLGA SAAASVSSDTGEKFPEAWFVYEDAHGDGEKLGDAQRPQLGQEPPQFFPKGTSAISYIL YIRHLQSLRRRLQHEGRKDVYAPGRLKSLQEKGSASQGRILWWGNNANDSISGNVAGT NLPASFGSSESVEDMFVTSTLLPSDGEHLRRCLDDLTEATALTPSQVECIHTLTASGR GVRTLVGAVGSGKTVIMHAASLARGRVHEELRKEHAPLWHSLIKQSGHAVSGYVAKLA MVEDMDDLFYEADTSSGRDLLEELTSEAILNINEHVQLSEKLKCCHAPLLLRPRALKH DPPKYTDRVLHEANVKDKFHSLSDALQQSYDRSMRAPLTGVLNVWLRSLRRLGNLISV VQESLDAAGEGEWKLFTEFLSWIMTQKERNDTFTEAKLRGESWMTFLGTDLWESATVV DAAAFLSADGGSGARDAPEWRSMKPLMGGTFKPVTLPNAARGLCDYGKSEAAGWLAVY GEKQTEFLNFLQKRIMNEVQYIFSFFLDLVHMVAATSQVSKVTVLTATRVSLLREILF IRMWQPRYLVIDDYDQVEDALYLALSPASVVVLSRQMDAPLQSEQQIALAVLKATQKE LQGTSFFSTAVLGEALRFSAPELHKGLLLCRNSPLVNYAASRGEAPVVGSSTAEASGD HGFSGEGTHQPVQIPGFSSSSCVELWTHAVPINVGVTCDGLGAAFARARIKQVTPSYE VIVYCSSSVDRDVIIEGMSLCAEGDQADLTKVVYTLPLLPDAFVEHDEECDVAVLCLS GLARSIGHVSGLQRQKRWEQTVAEKTFVGCVERWLWKAASRARRGVLLIGSKELFRFL DPLQRIEGFAQQQRDRGEYWLPTEAKWAALALRCPEHASSRQLAILTYADYCGCKVRV IGVRECRSFCLAPYTNCTSTAHACLHACHVPSGFSQSCTCGVKGDSNLLHDRCPFPCA RDQRCGHACLRSCYEPCSPCEFVGLKRLLCGQSVVTGVNDNGPTMTVVYHFQRVRCGE EPKPCEEKVTVRCPQCKTRLTMRCSDLVARGGFENFSIGELECEGCVALYNRVAKEFG LREIEAMQKRTAGEVNADLSLPVQQLPAEAQERLQKLFMIAVKKGQLMLQKEALETMQ GGEASEFHRQQQIFNAQLSQQEAELRSHRDRAQENIQLWEKKLKQKYEAQLATNEEIE TEVPSMLSEAIAEEERQQQYNFV XP_823327.1 MERLKYLMHDFRIEISFWYELEKRKLHEWRLSEPIIRSPVFGVT SSSSSGIYRSLVSLRAESLSASRVNVTRRLRDETSVSEGDPIDDHSGGTGTSLRCVHT LHDGFIQNFNTAKQLYQLPRRSALWDILKKSLLLPLYTCCKNKREENVTEYSSAEELA WEDVNFSIMALYTYADLKSHRFLYSVAFPVFDLGSPVFVQRRVKGGYTAAGSEFKGVY FPNQFAVDRVHAHLLEKLQKRPENGPNPFIVVRSSAADVKGKGNNDDGCVIFLPFSPK SMEAASNHSMPLIAFLDYSSEGSPGWAVRNIVSALRLAQPLITSFALYCVRNNDVSES VLFHCMCEPLSYTLEEVVEGVSPAKVVGWVDPESDGGASSVHTIDLGPLMSPDKLADA SAGLNLTLMKWRALPELNLDRLAQCKALLLGTGTLGCNVARQLLMWGVRHITLVDRGK VSFSNPVRQTLFELSDVNNPREEERNKAIAAAKALKRILPGVNARGVPLTIHMPGHRV DKAREEEVKAEIEALDELIRSHDVVFLLTDSREARWLPTLMATAHCKPTVNVALAFDT YVVMRHGLDPPEGSDKGAKYVRLGCYFCSDSVAPRDSITARTLDQQCTVTRPGLSAIA SAIAVELLAQLYNHPLGFACPPYVQSERDATPGVSDSVAGGAVCPLGTIPQQIRGSVA HHNVYTLHGCRYEQCTACSDPIVRSYKDEGSAFVLRCINDPMYIEEVSGVKAFKESCA LDSCDGWDDDVDNQ XP_823328.1 MRHAVTKLCIRATAGAGGGGRTDSAVFPGRKCCAVGETDHGSDV EPYTVIREEGSSVDVHAWVSGVEVERSAVEQLLQLSRLGDIIQHPVVAMPDVHTSNGA TVGTVIPTTRAIIPASVGVDIGCGMIAVRTSLTQEDLPSSLAALRLAIEVAVPHGRTH NGRSGLDAGSWRNNIPESVAAVWRTQLQKGFEEICCMQKHIETSNHIEHLGTLGGGNH FIELCVDDGRKVDVGESYVEIGNSGSSGATSETSKGVSRQPNIWVMLHSGSRGVGNRI GTIFFELAKKDMGAHLANLPSADLAYLREGSEHFEQYVEAVYWAQLYAKLNREIMLDN VLRAVRKTIGRGFSVDALAINCHHNYVQRMELSAGVHVWLTRKGATSARAGELAVIPG SMGTRSYIVRGKGNPKSYSSCSHGAGRRYSRGEAKRRFTLEQHKTATKGIECRKDADV LDETPMAYKDIDDVMRAQDDLVEVVCVLRQLLCVKG XP_823329.1 MGTIFSNVRTHEEVPPEVKDKVEPITYVPAPESARPRDGRFCSS CEEPFCFYFTPSTNCDWCGRQFCTHCCPERYLLRGNPCCPDCTKRAYTIKRSQLLKEH LSMMSSTRSAEVHVAN XP_823330.1 MISCVAGRGAGSLSVCSLCDGSAVALCVETEILVAIRDGAEEEA VVVLCGGQIVRGMSFLRAPDERLCLVSGGDGKFIAVQDVSSARRANAHSLQLGNATVD NATLLFRSRPHTKRITHVAVCDGATVLFADKFGEVFRLQLVWKTGTGLALASDEVVHV FLLQHFSVLTTFFVSSAIPWIGCGNKGPTPDPFPRRRLFTCDRDCHARVSLYPETFRI EQYLWTGAPQSVVTAIAEIQCQVEERRYSHFAVGNRNGWVHLWTADNATGAVGDASTT PFVPSGSFIEEDARTSGAGAVLSVVHASVHAGEVHGRGEVALSQGILVAYEGARDVLF VLLEEDGTGGKLSLSGCCALRVGLESPPLAMVGLGGDRAMVLQRSGRVQVVRLAYAES CVSARVCEESWLRGLSNLTQRQLGALLGSTDPFSQWNYDTVDPRTRRRGRSDFSEGGD VDTEDGDAVDCPVDAMKKTRT XP_823331.1 MCIEQLVHSVGEFFKTAVACLCCLLIGGPVLIGVGVLFLSSDDP RDNFKKAVSAFDPKPLESWTGTFSDVKATVRRQSLSVAGFGPIPSVYTEATVPVSGNT DGSQLVVKVNINTVAPFTRRSPLHATRERWFSCSSSQCSGYSRKCDCQEKHEQFRNKC YSQGGQYTTQSSKCRLGEKCGYCKQEVYLSKLYLVAASDGKGGYRESTQYQSALYSFG HLSQGYEAVPQDKVQVQLYSEGDPFIALERETMGEGEFGVPNRTMGIACIVAGSLLLL LEIAVCVCVVCFCLKRKGSSSNDTSDPDTPQGDGSPYTYGQSQPPPPPGYAYGQPLPQ QGYLYGQPPPPQQQGYPYGQPPPPQQGHTYGQPPPPQQGGYTYGKPPPQQGYTYGQPP PPQGGYTYGQPPPPQQQGHSYGQAPCPQPNPTV XP_823332.1 MEPRGRELEKVVHSVIAQNIFCTHHTLAVHELSALGKRGSLPGQ SRPQRTCTVNGRGDGTRTGESPLSETVAFLVRSSGVGYDAEGESPSAGEVSAASPSPC DDHHEPEVATSTAHEVRRVDAAGVSPTPSALAALIEAARARETVEGVGPIKTDSGVCH VMCPAPPRSGGATADGECRGLRVGAQTGDDGGDEFGVSLQQGNEPDYSKTEEPRGCVA ASKHPLQMTREEFLAQYKRAPRRGEIGYDAESVAAAESLGYVMSGSRNREKQHYVDSI QQKLHEKEARKLRLQFRKVEDERNDSATVETLLTLMRQRTVGEVKKL XP_823333.1 MADRLPDVASRTILCPLFSRRLIQQTERSSALHILSSMCRLAIA QQRRYDAEIRGESGNCEKEGKVVTAVDEVTFAAQIVGSFINTSVLDLSTAFLPFSGTQ RPHHLTILASRTQEATEYFHKLCKNEGCARVAPTAVRRTFSLLPAKREPRLSRHPLPF SRRYNLPACMTALHCVAQDIRPAEQRGLLRKLDTAGPALRVHSRDTLNEKLARKRRLE KKAVPSSLSRPAPQLIRRETSAPDAPPTPDPQCTTDQSEPVHSKPSSVPQEPIPNATW VKDGDLRVVNGKIVYFEEKLDDSDNKNDIPIEASEIETMKTRQDQSKKKKDSTKKSNR IIDKNVRKDRKHNASPKIKHNRHPQQSIPLKSREELIEMVEALDVSSRLRQTFWIGLA KREDK XP_823334.1 MSDVPYDVASDVSDLDPESAVLAPVQKRIEAQLRQHLEEVMQQI YEVKNELSKAQKEREQCGVELYNSQQHLAKLQETLEKCHEKHLATKQKHEEKLQEREE LAAQADTLRKNIEDQQRQYERQQADLLKLTETLVKVQQFNEQLKNEVQVERRAAFKTE EDITNLEKEKLKQDNLIDSLEKRVVLLEEEISTVNSQVENQQRETQKAREILAEALAE MEAINFEKKQLVQQWKGTLIGMQRRHEAMKKTEEALQQQKDELQVLENEIIGTRKDIK GVQAETAKLAEFMSRVDNEVTVLGKQIDVLVERKEKGAREYVMLKDNIEQTDAEAKKL EYEARTYSTEAADIEKKMLKVSKEVVLMENDILESLGKQSSLKQECHGTLSDIEKMKG SIRSKELQVAQMENELARIRVDTLQAQSHNETLKTTLGDLEKELQARGLMVERMQMDI HRRHDEIDRKQKQLDQLNHQYEQLVAAYGADKGEHVGPLEATINSLSKAIAEKVNENE ALQQEWIKLQTELVNCKNNSNEVNEAILELQAQSTVLTQKRDRLLVNISNEKKDIANL ENKANAMHLEMKRVNTQLCKNSDDQKNVANEAFLLENDLIRRLQEKKREAIVLEQKVE EARQAKTELLEQIMNHESDILFWERKMQVAKETEMALDPSVGKAEVEKMRKEIGIMEQ RVSHLQREQRFLIEEMQKSIDHREIIRAKGQAIQEAAKVNKRGVTRMDIEKESSRMFK ELNEKRQEAQLKERQIKERLASIEKTTAEAESVQREIDTLDEQISELRSQLVVAQKEH DRLEDERRAKNSSLQRIRDAEKGTYKSALELKHTAAEASRLNEKRRAFAEILGELTDK YPELADDLSDIASSLH XP_823335.1 MLKRTLTVLDQTYGPHKSYKYTYMPDPRKLAPIETTQRSEIVPQ SIRPPTSYVPNHETFLERADIHRLRPTSDFKASFKDWNDLFTCDKRQLRVRGIPRMTR DAIRTAVQAFQNGNPPERFDTKEEWLYYKQFKTVDYSYRVIPELPEKYRPHQSGIDQA PLPDYREINKMPQWAECEERRQSKKTV XP_823336.1 MVDPSVLLAYCPTHDFQRIEAVVREWPGVEAVGSLRTKEGKENT TSVFIKFSDKEAARDATSRIDAVPGLVRKIEEPKAKQRMRKMGKNMLIDPAMEIFSFG ATDKGDVTFNERKREGNRRRHPSVIGPGSGSERTSNKGNNAIRHHPFTPPARASVALV DNVPFNMTNDQVARLFSPFGELIDLSRYETMAMVFYRSPDSVLKCIQQLNGKTVKGKI ITVSSGAITIPGLLAAVVGVQVHN XP_823337.1 MVARFLWTFPYASVKHPHIGCVSVVFPRSGTDPRVSFVFGRHAG GEPLGGWWGVSGFAAGASPPGREVLEFSTTVSTYLVCLCSVRGLGDRAYFRFRWVSYP CKGKRYWPSVGSPWPEAPLSTIWSCCAPTVPRPLTPVVHDRQLLC XP_823338.1 MWRCAIGLWVWNPSGTLCDSLVGELMAESMWKQSLQVVNHLLNI RKLSCGDAISIDDHVGEPDGTTERFVVGPSDTMRVAYDPTFVPVEECGMSSGLCHLIT SLYPTRSQWHQAVCLLVQLSESGTSADTAKELLELAAARSAYQGRRFEDVFKWSLRCR YVHTSCSLQRTLLRAAIAVSCWEEAFATLDKLVMRRVEEVPVITLCELCRGFMKEYGQ GKHVNLLDKFSTIIMKCWELFRTEMKLEIKSFFEFNAVDTGALEVDSFSNEFVVSGAD GKSLCGSRYPISVTDMDKLTSLLLNKGHWKAALHLLSKFSESEWSNEREKVIVNAARA SMRCWETALLFVS XP_823339.1 MSHYLLVTFTFNCPEIRILGPIKEQTIEKLNDVIPNATTTSRSN RTALPKFEYLPNPNHWYIKLDRQFCDEDGKSHLMVLLLDALSEEGSWRLVSSFVTKEP SGTGSKEGTETHTLFLNKLLAEDS XP_823340.1 MSEETQPQSTEPKGSGSGVIIDDGTMDDLIDKLRILRYETEFCP RVKPPFKPLSKYYFSGPSTIDNPNAQFYYFTSLCSWLMGLSGRNFEPPGQFDDPNATA TNILMELRGMNITAPNLAPNRLRQASGEAVLTVLSLLADHAILSKGLSIRAIDYSNIE KFDELEGATDGDENYGIGDEVEDNVMIDSDDDDELYVRAVGGKSGKEDTGIPVESEIN ADEWNLEVERVGPLLHVKSEAIQDWRSRIENAAILLKAVEKMYPEVRQMLQRMSDDLE KSKDRIQKREQTLAQQFSDQVEDYRVKLRELNSSQDAANIASQSVQQLSAELNQVSGL LDQVKRDIEEREAKLSDTSPLMQVKDAAVKVRAEIKQMSLRIGILQHTVLHYVMKQTK AKREGTANTSGGDEWEETDYM XP_823341.1 MSKKEAISTPRKSKKAEVVIEGCVTIGSKQLLPSALDESRREQL SKQFDDIIEAHVAIDGGIADPVAAKIKELPTLSVGFIARAMGLNLSNDQVLSLVEMVE ERDTVSRGCVPVGPLKEIIVGALMSGVITRQDSVAPTSNRKKRHSVSENRPLMHVSRD SEELIYAAFRTLDVGNRGYMEAEELRHLFRSGLEPFTDEEMENMIAAAADPQNGLIFY EDFVDVLANE XP_823342.1 MMRRMTATAVVPLIPRAQSRATSCFTGNGDNRNGNKAGRNDVSK GPYGGRPYDDIVSSVDVDGERLRGSVSCTLKKAQTGPSRSKYVLSDARGGVLRECGTK TEASNELGRENLREENKKEERMLSKIKKKCDVISQPKRKTFILTSNFSNRYAIENDNK NHSDNDEDVTRIYSYNEVEQAGNKCRPRQGDATFSKSSPSPTVGVNSVVKREMMRERR GKEKHCGDSAVLSDAGAVADRGPGWGNATSSENDKITEMQRYEGYVGKRSSGSIVKDA DESHLKDNGYEEDDDEFAASSAVSVDKFRRVKNHPFFDDLLRRIEKNAARQRQQIRAA GHYNLDAVNLCVRPLLQLTDYPFTLAELHQMAPFIYPNLLKGSLSDLKACRRLHLFHS ADTDVILDWSVEDFLRRRYESIHLSWEPVKIVMMRFGWDHEIMSDRDCLLYLSKFMEY IELAQLELSAGDEKPSAVAIEDPVRTAEQRPIPVSRLLVRRKPSEETEPSVTEAIRLM GTPALWSPSRSKKARTVDKESVEGVFECIQREAADVDGDEGSRLRHGVEVVAPLQQAR NPSGRSIRDFSLGKRRATMINDDVPKSFGIAGGKPFDGDNHIYTPESYEALSNAYHKK KVEASLLRRRLLATSSIDCASELQEKLFQVQKDLSRLKDRLEKMRQLRRMEGGDVERH ASVEIEGDDHGANARWMERKNCPIPKVAAAGVGVGDEISAGDEPVSVFTGEFEANQLN TSQQRGKNCNVAGDNFEEEGFTTLPVCVAEKGTKRVVQARLSDGREIQSLSSAAIQLK REIELAREKHRREEESLMKKMDEALSTLVKIEMTMKNLAEREKEEALMEEERCRLEKE RKDEAIKRMRREEAEARARAMEHELLRKHEERLKLLNMRREKAQQAQRDAELELERQK KEEQEALEAEAELQRKLDEAKSMIWSDKAAGEMPVKDQVVHAVERECTPVFSGDADGE GCCRVASEEMKHESASLSSAEQGSPSELSCTPEQYDGLHLASKRLRKEIAELERQMDV EGDEDDMTLMAVLAVSRAELEELDEFIRMVQLKEPWATARDRARREEEMKEAKSRGDS PCDIQEKISDIRFQITLMEKRLQHATERRVITKLEQGIINGRREINRLRVEQDRLRRS GRPADAGGIQVPPFVSVAEALAEAEAEDIEASAVADDDLYREALEAPVGAHGSDNVAS VGGMNRPQPGNVLDPLVPADHVTDSANDNSAECAESTPQEDERELQQLMVRLKAVLAD IKQLEGRLDEQEDGDDAEAIAKSLIQLQGKLQQLLEMRETLRKRTERVSSRGKSDFRG PAFDESEEATTTPTPAVLTPLSGRPISGYALYKDVGAVRSGSEEFKQSGAAGGKKQQR VKSRKVRN XP_823343.1 MFSHQLKRLLQKKSIHRYNWDPLPMYDPRKLVHASRHMDVETWR EVPDPHWDERSYLVPDQMFYNIPVPPEYKDAYWWRELQARRVQCPVEWVSHRMYNKGD RQRYDFQDLAFRKKFEFSYEEVVKNAKDMRS XP_823344.1 MSRGKAVRRRERALRHSSPLETLLEHQRFRCSSSVKALEGASAS SPDEKTRGAATSSRGSLAGSQMGRGRFTLLESYASGKPWSVVAEPHGDICRNSSRNVS SLAVSSSGEHVAFGDRSGRVFVMQQRRLDEESGDVEGGREDPAGASTTSRAHRPYEFA VGRQAYTSIIDPLNNVEVTPNIQAVCFLPQIGASTYLLTANEKLPKLYKIVRVRESPS SFSAVDCLGGNQTSSLTLNPPHGTMVNAMKQVTRYALNHEYNINSLCPQADGTQFFSA DDLTVKLWCVEYPEASIETYSVKPPCDEEAQEMICSVQSFPHEPFLLFVVSISGTVRV VDTRQTIKLLHRSPLTFRSTLRENDMTYNSMLLDCTLSPCGRYVAGRDTTGVCLWDVR RPGASAGGFQGGLVSSKLAHSEEHDVVQRWEVHPHLRRELDQFFQSSAVERFHLRFLN CREICTGGFANTLHLIDILDSDGAVIDKTFTAQRYGNVKSLRLPKLQDSSDRGRGSLQ VSSANSVSLPTAGEGEGLFSTAVTHLSSPLTSHNGDCSILASCGSALFQIGYPSLRL XP_823345.1 MFRPTTAIADSFKEHYHRVHLPRRLALQRYARQQSLRNAAKGNV KAEEVPYKYNRWWVNEEHEFVHQYAFVEDPEVTKAKRETLPPVTRENIWKEPQQTFFL PFAPYVRVVDYPKDPDAKFLKPVNIPRWKDYMQRTKPVIPRTWY XP_823346.1 MRQPRLCAHLCICACVTPFFRSVINLPTVPCFGSQWCIESVMDL SGLVPTSKELTEGQREHIRDLQYEIDEIWNRRGDYKIDSSAWEQMPLFMENITEEDLQ RNADCAALASIAYDDVPPEEVAESRKQHGNRAIQLALDPKQVNKENLARATVHCYTEG LAAKCKDPVLNSQLYANRSLAQYIIHNYGHGLEDAQRAIILDPDYYKAYYRAARCAER IGKYDLALDLLAKGRRTNPAPVGRALEDFVDIERVCREGKERAESKKKKENLSTRVKA AQTSSTLRCVTSSGVRCSPRAEVSSEQMGVYGHHEPYFDSEGLLHVPILFMYDEYQQT DFMQDVSCDVCVGELLDELMPFPWDDRGRYQRIDDILVVYKIDGGVKDPKYYEVDLSL PLMEVFRSESYQMPALLPVLHIICKHSDMLTEWDVQMLR XP_823347.1 MPAATKKAVEKKAKKVSRKSPEYSTVRKSCKPGTIAIILAGRFR GRRAVILKQLPKNGPLVISGPMKYSGVPIRRIDSRYIIATSTRVDLTGVDTSAITPEI FKREKKEKRVKSEGEFMGDKDKKKAESKAKKTSKAAPKGTVSDERAQLQNAIDTALIQ AIKKDPLGKEMAGYLHSVFTIKPGDAPHRLKW XP_823348.1 MGSILCAGGECGSLCFWDFASPLKSRSVNPTRILTPFPNRISGF QAVISVHSACDGSYFVACQDGDSPVLVAANGKQLGYCSMGERGMVDVVKCKGHRGPVT SSAPSPTLASRFFTGSQDSTARVWDAASFETNSVYAVKHGSGQLLENVVVESVLPLLS VGSDKTCVFASGGEDGLVQLWDSRLKYRPGGVFSVLDMYGSGGLSSSSCGSSHGRRTA HDTFSEERHVGGMAEPDPTYPTLCVRCGPLVRIVDLRNPAKSGTVVDVCPPLTGLPSS TDTGPLVTCTSESFSKGKPSFIACTSRSGYQHIAGGHIVQFTCTSGNYEPSMVWRPAS ADADVVAVAVDATQQQLFAGTQNGTVVARIKCTGTDVAVAGPNVQTWFGSRPMSEPGM VRQKRGRAEGNCDDGDELRL XP_823349.1 MLQGEMACHSKTNNVRGSDDSSGTNKLDTSRSPEVDFGPPPKYD DIFQFIAEHAGRLPRNAYTGTSPYEYTGCDYALVLYAIMPSKCIDLSRLCWSTAPLSS QLEGNLVAFCEGALGLQLSDAPVSSLTPHSLRTSPSCIMHHMKVQHWLYNLSLRFPPD EGFDAATIRMKYRHAQLGEPHLTAKQSMIPATASSSPLPTPEMHLLRPNVSGQRNPPY AHCQSLWESPLLEVEGFASTRGEVAALAASCVEDIKSQERPPKGYERRWRSGVPDAGG PVGAGGRARNVRQEHGKVTSMTVNSVTSGAAKGAPPNNLAEQWCESESRRLHLKDTLL RARREAHSTLFGDGVINFDSVLSILQGGIPAFSNAAQ XP_823350.1 MRGRFLSSTFRLGLYRTKQHVRAGSKGQEDQFSSRASHIISRRV RAVDWLYMDPVEKWTILVDLGRRAPMLLEDALSGAPPGGEQGVKAEMNLLGRLLCYCP CVSRNGKRAAAAVSEEHLCGVSSQKHRGLIDGLPYCVRLQLCLSFLMWVRSLRDVQNT LAHLRMPFATTRRFKRGVVADVFPTCLSEMCVDPFTTVSLMMDESLNSTAEQVPAPHA WRPDGYYEDEEERRAWSFASRLGKRRSSCIPSGGGTADSGVASGQSRRRRSCVGLTGR DMVMLREIQGMRPVPSDDDVLAGRSGLHVGRLRLQAPPTNLGQSYTNGLSASSYALSD AQRGALPSPLAVDNEEDEVYHHNIHRGEGCPLDESGQLECTPIHIPVSLAADRVVITR HCAMAHAAILTSLFVEGRTVQFTTNHPLLLDYVSFLFAELVEAGWVSAAICEESLRPY VPLWAVAESVLVLVPPKDTEVCRTHDVRGTADMIVQCAPCSVLTEDVGQISGSRFYRD LREEVQRLQATLISRGNDASWRVSWVPVSKSTSLYATPQKVDCGDAGEFQTSVNEVLR HCHTVTFLYTPRNCRFTPREMEGWWWNGLPRSVLVVGVNSHPLDIVYFFPQAPFLHKK VGNAFRYRAVRRVLLRKNVHGGREGIVRNWLYRSLWCRGLARTGLQGQLAVKDKMRVL PGGDVTKGGEHAVDALKWERTVDYLANPGKMTWMALVAAKLLHI XP_823351.1 MSTVRTGGVCVKTEAKTNGPRTGLEDVHRFTLSVEETQRAEERR RVEASKRLLLSTDGGLIPNSCGGPSSQERSRFGSSIAEALRLRSETRERVLLQRILRQ RREEEGNEELAEKDMEVGVFITPQYLSALKRQRSTRATSPGGLAKQTENTEDMDPLEL YVQELEERRRVVGKKDHDSSDVGTNRNDGGRDQDMGLRVHSAQVVSGNKQPEHVERSG VTGIPCGHDVVYSVKASSGAWVPTGTNGAVIDVSGAAAPASPSPTANSATPQEALQEV RWRRQKPRADRSFIESAATRFNRRSMDRFV XP_823352.1 MTLSVDTAIGDTAICMERSCDAPTPTYAVKTRAMVLSGGSGCTL SRCRRLGRSARQRSPSNKRFSGRMSAASVTPSGGPVMDRSGTGYVDVCRGGECAPMLC RSGGCDHGVSIFAAAATPAAAVPLLDGTVAAECTNGAGGMPADGSRCVTQLYDAGASL RNESGRRYRNNYSCHYSNSGGSGYCCCSASYRGQKGHKGGQSQVFHNSPLFMGNGGNL PPNRGVISNYHYHHHPPCGASHHHHQRCAVRSHRRRGFGAAAVEAIRSRFQAGATMSH HAPPLSAVKCNRQTKQTASNGDQEPRQAFQVPLPRSKAAAGVTQPSATSCDAGVVRPS KGDFRIEMDTPFSATDSMNDPVNGSSRLSGIAPLFDLAIQQLILECDRRVANASGTAC ASAHSPSNSCNSQKQSSAHFSVNTHSFDMPTASHTKTTFAQTPKESSFATFAVEQAPL EGGQLESPHRDAEAEVMARDGACTTKLHRVEPRLEERVTARRENHVGNVVGRLFRDQS EDAVDLGSDCSKLQLLIVSVGLTVSFGESAPLVLIGTLIYLFRIVKRCDSEYSSVTAA NWYRLTAVALLVATKMYVDGSGSWNECFSNATDIPLKELNKLEIDFLFLLDFDALITE EEVEARADWMDSVASRHDMMTPLRTFVLGSSCAPSCVATPLSPAADEAFRSVPLGSSS NMPSTPLSLTPSNMTPVNCFSTPFTQSRPIRNQCVGSLVSGVDASDKQQQQTRPSSMR RLSSSLCPCDRMSPLPLSVSSLSLTERLFSVVHAPAEPETPPSLRRFARQDDEPVSPL TPPDQERMSPVFFFCNATRGRRCNAMTAVGEKTNKTSPAGSAVQYRVSAGVAGDAWNG DEMDEEGGTPPHPRRCILSPPTQEAAPLPPLGMTDFHHRLPRK XP_823353.1 MNIFSFGRESMCSFSATEGKESVPLTARSYERSRSELFEGLTTT RAVALQATTSSNFPSSSNAKQVPDGEGLFSMGSCSVSTDKVEENSTICETSRSAGGKQ RKQKGQQKPRKAKTNNSRDDNKKKNATNTKTPQRTSRKKSGKRKKRRSSCRSMGEASV RSNGTPAEGEIESSNAESSVQLPRPFNTPKGVPAVVHVVAHNIAEMQGRKRESCVQSL SRPFEMFDRIVSRPECGKDAQETPSTAASHSDLHTSISVLKGQSSTTIDLPERSNSVV GVFATATTPALPSSPPLYGRSSRREHTARAGDGTAYLSSLTFSSLLGSFQKEQEKTER PPAKSPSTLEGLTRHSLTDMVEGKVPSKTEPVVERLLARTLSSTSEKERTATIVFDLD ETLCNNRVNGPAILRPGAIDILGKLRSLYPRCIAPGDGGSPVRDASASAESVGNSGSR SGLVPTDVTAGNGPLLQLEIVLWTASVESVARPVVDRLDPDGTIFDCVICRDARWYDE SGYTKDLRLLGRYIERSVIVENSPLCVKLNRRNAILVSDFVRNRMDRQLYVVCVILLE WLDNVNNLLLRKHMMRVNMERRRGCGMSDGGESPEPEMPPSEAQLRGSKTPGLTKPHK ADMLGSTLPLHELERRASAIYFVGSHPFISPSTRLVRSSACQQAVRYLCGEGFASGKM KIISRRRPAAKHAAVTPNTPKGRSSSLTSISPAPVPGRAPSREVSCS XP_823354.1 MLCRRFLESLSAPPAGLTLPLASSRFSYRVSPVLNSNAVGGDTG GVDDRLHVTPFFASLFPNHFAVIGSCAENNNSALSLKCPLTARRVAAAPRVTERVGDR KSSVKAQPLHPWHAIANIKHAVLYGSNGEDGDNNPEPDASGTSQAKCCSFTMLEGSRD AGTSTDAQLHTRGTTDSDCADKEDEELLLTAMRARLARYSVRNDPTTSSNAASICSNN CTDEDTEKAARDSVPHKKPLTLERVMHASAYAFHHTFEALVRDKQDWLAVKLLRRWWH HNPHLFPLELQLQQRKEVADVLRRVRLGGEIIDDRDRQTIAECLSQVPAKKYLVSSAL FGRVLMVALRMGDAVMEEEFVLRELLYDAVYGIVCGGCLAGAEDTSEVADTKSCDIGN KHGVGPSDDALVVGVESRSSEGGPKFHLYKEKYEDWLVFVGAIAAAAMLERHAEKLQR EHNRGLTYERLVRAVREEYANFMNRACHPQQGQQSWSSVPFSADVKESLPVLPGWAKM LFTTVFRCYEESGVFHISPARRPGNCALLWRNIHAKLLEKFPHVFHAGSTVATLLSLE ALEEAAVSAMGTRRLSFVGKEEALCRWRLECLSCKIPFELYGGVLNGGENTDVDNQQN VGDPYNWPFSDLPRTYKQRRARFVASYSTFSHNGDRENLAASSSLADSGRHQLHWKHR EFFGSGVCLSSSRPNIPVFTSPEEVYRCERDALDEVADAWRCTVEIFRDYSSLPLLQL DPASSAFSANDSLRGCDGDTALEVSKPSMFVFLRLMSLLASTGNPPNITAEKGSAGDL HFPLVECIERDIIPLCHPSVAAHLRRCCVTHLSRSGTRGVRLLLAQHQLLLSQKLNED AALERLLTGLLWSGGNGSHEGVCPRGCFSFGSGSLAWQFIAQQRRTFSSSSGWFMLDL ILSHLVTLSLSIPDDHRCAVFVSSNMIAVASDNAREDTAVHSSLRGELSCNPPPAQHL YVSLLQLLAWVVEQEEVGKIDKCRGTGTTSATDATAGVDVELSCECTDGELPSLHETA HHWRTVRRFLCKVSGSPFSRVPFAPSVLERIALILVHTCPDLELLLGSLLTLLLQHQR QQLNKKLFAFCTKSTDGPMNAGQDDTTSPITPRLLSSLCGLLVINGVKGRGVLEWRTR RGAPSCGSEEEKVSLCEWHCSCFESVMQDSHSSCEGQDTRGSGRGAASVAGGEDAMEV TKIGQTGVEAKYLVGSVVLGIIVGDSKWKSFSADNYNVALFLDSIQNDDRGVCARGSS ATDGKGVFPLGVVLPSVQMTSLYPAGVRQRVTSIKDVFREMQPDQRSRVRQSLSQRER TEVGGMLADFILPGADAEECDGDIVRQFTQHGAVNGVCHRE XP_823355.1 MKEGVREPRPPRSTEVSASPATVSTPYRSPRGLTRPLSQREEYR AFQQQQQEALCNRGIPGEWMTPLPSRVGIDEHGYEAPRVTKEERRTMLQQALERNAAS VVEFTDGGESDIETHGMSSSDFHSRDGWTTIPKESALYSNICARDELQSRARHNSWSL SHLRGHERETGNLATPGSSAESMDKDCVSVASEDVIDASRRRRHQDEGSYTNVLGGDL LEPNFESIGQPRSTYGMRKLFVAGLTGYEGMITKGEEALICGELMLLLQDRRAAYIAE ETRYCVNLYEKELGIPGKDTLAFAMDRAPTLQAVLERFFHLGIIPSLPNICQVNEMIG NFSGYPVHKKPHTVGPYVGIMNLVSTTVMHMQHVDSPWFPRIHMSPRSLFIVEQPCLG EYKMGYKQTHQPFHAFEYATRVSKDYRIEVMFATVEVSHMRCLREAVGLTDYARVKQL DGGGSDGVPRRQEPQLTETASSKSCVTRSGEENDMSLFVGSADRWIERLQRQLHAAEV DNRGSSGRETASVQGPCHDGNLLREQLLATGSIGSKSRPFETSNAAAENGGGANQSRR RIMALKARYELAKKLREERGPEVSSGMRVIKGHSPLEKPRL XP_823356.1 MFLPSYLYIFFCFIHFPPNNNIRCRREAYLCLVAVCRWQRCNNA VRTPAVAFLRRGGEKLHVAPGDFTSRTTSRALSYNGARWDYGDGAHATRLQAVHISSH IYRGTRRHASAGVVRGS XP_823357.1 MREAETTWELLLQQTERAARRRAGAYLHKMVQKMTTGIVSGGCG KRKQISPVAFIDMLEERIKKTVPRDRLLVYRYGDGWEPLCRFLSKPLPTGDGTEPLPF PARDDGTSDVAYLADRLQRVDRVVWWATCCLVAAAIVIYTPFCAQLRDIVAEYYVDYR SSFEPLLEESAASGGKLTLRRALVLAKNTTMAFEEKLNERGGVVGAAGEALSKLT XP_823358.1 MASRGENKKKPQLQEDAEGIGGSAAVDRSCSGTVLVETSGAVKR PRDEEEGGISKVPKEENQEKHCEVSQDQRKHDPSDGDYIAAYLRTLLDKVIGLSLQEL PVDALRVLCIMLGIPLSNSKSKMVYYSKLASFYYTNCEKLGKRVSKSFHVFEQFRRDE EVRQKIFSQNYTSRTSTSRTNSKATSLEKQSRSSAVKNTKKGANQQTSGDGESTVSST QGTAVASKRSSKPRVAASKSTPAVLDNGIVHRVDEELEVKHHGKMFVAHASSRNQFAS ASGGMDDEVATEDAWTSTKLEGAVASIIHLHDPVTTAIVVRKLAQMGYKSATAEQTVE VILHRFHDRQFIFYDNGMAFLL XP_823359.1 MQPPTPPMTPFEQRATQAFQSVGALRMQSNILHRSAAFCMERCL DTEELYTLLRTSQAPIRYRLDTDLAEKKCASNCSAKWDELYRATAMRLNEEAVRRVQM RQMQNMMNAMQGGGV XP_823360.1 MYLAVFQEFAHSKVLERIQSEDICRVDVAPMPCSSAKSEEELAV VRASAKLIIEDPEKENEEAVEAALATLGGLGFSITHRHPVTSAGCPMRDRVILTYTG XP_823361.1 MGPLSKKRMMVRDGVFYAELFEFLKRELADDGFAGVEHRVTPTR TEIVIRSTKTREVLGEKGRRIRELTACLQQRFNYKEGKLQLFAERVEVRGLSAMAQAE SLRFKLLSNLQVRRAAMGIIRYVMESGAKGCEVTIGGKIKGQRAKSMTFRDGYMIKSG TAHKHFVDTATRHCHLRAGTIGVNVKIMRPQSMIEEDEVLPDVITVIEPKTIEA XP_823362.1 MDNQRNTERQPQSEEENAKSDVNVLENSCSGVVRSFPLPFIRRE GATAAGSTSFSHALLVSGSKNRSQSETCSSDSSGAERTSGQITLLQTGDIGSDCSLSE DFQTSTTGHTERLRLCAPLRKRDSETTKDPRITRKCLLNAFPVSLKHIAVDEVAAAGM KPGDTVIAATSGYHHQFTEQTLLEIHGLLLRTAAAAEARKQYLMAGAHMEATSVGRRV TEGLIEGESARDLTVWSEGWYRHHPSVRGGVLTAVTVRPPQFKAGMLWNGEDKFLLGG TAFVEEARILQVKVPHSQNFLQMVSNSTTLCTEGSRVTKDQWTESCDGSDARSEPKKV AHLLVREYSSISKVRGGTMVQTFSLIPDHVQGNTQRSLILQRGQITKVLSECAPGAVT RGFSLQLLLPSSLRSELGSMAYENPFSTRDTDSNILDDGGGECGTFCANESTFANTMN SDFMSSTATLMMNRTSKVRTTVRQPLGDETYLRFANPKLYAFVMQQSPAILTAHTNAI REYILYHHRFRIRRKIAEVMQAIRALQGFFRHCLGRKKRAIKRMLRQWRRLEYQCRAR LKKQTFEAPNVSRISYVVGSVLWEHVVTTDEYKLNMLEEQMKARRAGYLRWCAQRREE NRMMPKVNESSESTEVRGIGDPRGASTVLSPKELSTGTMLVSREQPRLDSTLNKSHHR WIAKWRDVVHARFGWYIEPEVLLRESHRRLLHSLRNTILTMADVQAALKKRGEEDEFT LM XP_823363.1 MTGPAVNLPAFQSLSQPGLFPRSIPPDPSLQCQVLAAEWCASLS IAMINLSSGDFSPSANSSSSSPKGGFVAAGLATTLFHDLSAHYGSHALRRGASHPLGP CYRSKKRSRAAGHHDGRGGIWSLRMAHRLWCRRCGLHLCPGKTKLLRSPCAPSVCSLE DSEGFVGGPAAGEASRANRGLYVCCRCLAAEQLRHKKEMGSFVQSCKERNVRYTNSEE EEEEGTHLSTSNHLAAAAAAAAVGRTRRRKRKRHNDDVVSGDRVSKDGQIASTVALRN LPKGSLVRGKRSRVTVPGALKALPPSLKNEQKTHGIGSIDEGRMTARKAARTETYGDV KRANHTQAGSEPPVSMTVELRQVTGAQPGPLRPLSRRGTAIPKKDGASNAKSNNAFSN TMSRLGL XP_823364.1 MSIDLDMLRAYTAGHSIDKTLRLMLDAAAASLKGDEGRGYQSLP SPGTELFVQHLSESAARGRENCPAECEVEVAPPSDDNCVINEECRKENGSLLEKGSAP SSSARRTFHEELQRILAVTATEKSVYLRKEIEEQFNIFDVLRDNYLATPYAFLSSYAI CMPLKDRRLLVEEYYSVNEEVLKFFFGDGMHKMEPDGEPETRFLFWSRRPKRCDDREK LRCAGISDGSLKRQWENLKHVCTFLHSAYRGRGGKVISRNTPLLTALQRCFALRGLLG VDYATFVFGFEHQLKNRFCEKLSYAECTNLCNVLATMWCDGSRLMLRQSFCNACARIA RLLDENRVIAEIHQMMFGEAMRPRWQQQLDGMQRVIATNKGACSANNSRTEVATPAPV APPAMASVSVDVLPPDADDRFLDSDSRSRVVSADVSLKREGDSCAFASSHGVPAACQD SNNTVATVNLTVGSPATVGAAVPSNTGPSFAHLSANGAFSRRFIFEYSSIIKFLSRIA AVIGSSGAMCEALDIFYNRVYVSLESLGARSASSPGGESNITRTGAVSSPVVDTKRNN TVVGFSKPVGTVTATSCADDSGFVARLPKWSQSNSDERVPLLPGTPASGAASAPCVIE ACTRCSKGQQLPVMQRTMSCAGVASSTNIAGAADWGVHLREACVFFRMLPNVFAKLTQ ITEEDHLECDNEFTSLVVTLKMLVQIIMASEDRNIAG XP_823365.1 MYSLPSVSVRSTPALMTSVDSCNRSPQCRRPSLTPRHTCNAVFA GTQAHGERKDRRLMVLAPPKESDGPRCIRLAPNGTLGSVHFESTNATGNRDTAAGEEG LDESGAYSQSSDVPPLEEQLCLEDLQRLMLVFSSTPSLPPVNDDASFERMTDFRRCGA ALPSQANSSCTNTETNVKEDQSQGEQAASVEENVGKAGSLVPKRSFIVERRNKPRGAL TVNSRSGLKFVFENKEELQRVLTQAWDCNQNFDRTYSRALSEDEFSQAVRRLVPAAGD DEIMDLMKRVDYEAKGTISWDDFATFLVSQGRHHSNLSQGTFGEFSNVPDPESCFPSQ QHVNGTCMEADGQRNILLTGGSEGTVRAWNLNTLASCGVVFSGDCWVVGVHWANHIQG IIIVTMDRRVMILDSKTLEVRRLFRGRPVVDTFEGYMYAHDSVETVRVGASVGSKSGK LGNRNGGLPGRPSQRKKDVDGSGKPKPAPGTGNMFGGTAKGSCVQCHVEECTFAGLVD AVTCSLYHRTASNEDMLLLATAGGEVRFYSIPRTTKRVVTPHVVLLLHEKRINKMSIF YNSNALLTASDDGYVKMTSFDTGGMLRTFPTSGPSPHAAVHDFDINPNLRMLVTVGPE RHGIVWDLSLDVPMATLDSHNGPCRCCAMQLDQRQIVTVGADGVIFIFEAQGFRLIQI IGVDRLHPQRVVSDPLRKRIICLAAFPYSHGRNRNMALAYSSKYKGHMAPMVGVMYSA IHDLIITVDSEGLVMTWKRATGGSSFTFQIKEFSDSAVMNAARLTCFALDKLERRLLT GFNNGAAAVWNLMNGQTTNVITAAAEGTQPSTVAPGVTALGTLMREGLTFFIFAAGGC LYSTRESSTFTIASASKWEVPAHFGEVLHIMAVSPHCIVCGTSYGALFFYRVLAERQE GSVLWVMETVDMLARSTCNVSEARRGEQPSTATTSRIVKIFPLRTVGEHILMSVHADG TVALWHTLRRTMMGVVSLRSAFPVKGLEVGLTHAAADTGGQYFVFSDEQGNIHVCSIL LRETRDDASMFETCVTLVHDDDKKPTVSWPGSPFCTDGVPPVFGPMDGVTCGGDDAAN SSGEVKQQTGSLRPRSLDTEKTLYTFSRFRREYVFHCGFNAVTGLALVDDPKEITVRT YGQEPEEAAGQDVGEEDCSDIGGLHLGASTSSLCDVDSTQNLLLPSVDLSSTMMITVS SGVDNLTRVFMLDGLIVGECGMNTWVAGKESTYQFLSVKPSRRLPPHSCSADPINFLA EALSSDKAGASKQMRSNWNSRGGVPRSDCQTGQDNAASVICEAGLPTFQNIGVALVDS AGGERTPMVQLSSDPVEREPSVKPQQQSCQIQGEEPGSDKVQGAASPRALSTFMRPQR SREAMLKGLVKPKFINALRGIPVEEGGEELASFIISPVSRSGGKKQHRADQASEHSHT LYSRNGSAINQTPASVRHATELSVSEVQQSGAQDGRPVPSQPALAAGLPTPHQASRQL RTHSWAVQGRRCPTTSHDVDTTPALTKTHAGPHQSSGGGVNNVSLEGDTSPRGALHEH IDSRRRHLLSLGCKDPVVLAVPCTTNTNTKGESTAVSGSDRKRNTASGSTALLRRIQG NSILEARSRVAQITSRLLMAPVEEIVPPKGTRVKEQTTAWKNVVKNATSASQQ XP_823366.1 MKPIIKSILDTDAYKLHMQQAVFHFYPTIHVVYEFQCRSSENKL GDAADAIREQVDLMRHVALTDDEYAYLSTKRYLKKDYLDWLREYRFKPDQVIIDAVPK TRCNGETNITYRELAITIKGPWVETILWEVPLLAIVCEVVHSKRTQHIGAAEAVEHLN AKLDKLFATYVNGELDMFRVTDFGTRRRYSFDVQEAIVRTLQSHPSFGRNFSGTSNYH LAMKLGLPAVGTQAHEWFQAHQQLSPVLRDFQRVALKQWLLEYPQDLHIALTDCISMD GFLGDFDADLAQAYVGLRHDSGNPYVWGRKAIEHYKRFNIDPKLKTLVFSDSLDLERA AKLHCTFAQESNVMCGIGTQLTCSIPGVQPLSIVIKMTECDGKPVAKISDEPEKTTFR DLDYVQLLGRTFNVELQDMIDAGCGRSAVHHPTQVQ XP_823367.1 MEYSSDAVLNGYALLVWCAPSVKEEGDTFLRAFFLRRFQGTVAA SPASIVFATPNGSHKTTFWGADGEGGAEPPDRGSEALVFFREREGVKIVLSGIVQRIL HEKEPHSGVELLRGYVFLGTDRLLVEGVFHPTSCAVGAGGTEVSGRANTSGSDDSLWR RRVCTDSCSQSLMGWGQPRAEVVGMGRDETVDYNRVTDSYLGGGGDFAATPSQGCDNK NHSDPQCNSYHGKSREHNRGGRIVLRVTFVCLLGRCKGLHEGGHGGCHSTGVSTHVVF KLLRGTCFPRGIIMFPPRLLGCTDGVMGGDGAWGVDAVVEVEDAEAANSVVDSFDGSL LELVKPGPNSDEVEFRFRLFVREDRPGVEQKLSIPFNTESELSITPSNLLQMGGSFRC EKYSVEPADTAANGRWNAAVVRANGIADNLVGLGSIQHFSRDLAPGHQGMNYGHDAGL SRSPERGYDSVQRSRNRSRGRSRSRSRSRSRRRARRRDRSRSQHRSRVRSRSRSRSGR RGRRRAHGRSRSRNRSRGRSRIRTRGRKRSRSSSRGSWYSYSSASNSDRSRSRSPSLS RSRTRSSGKARRSENVGDDEISKKCALTHHRYQ XP_823368.1 MTYRYDYRPPNGGVEGSTVLFEPVAREHRATFSCGGGYGRNVMP EIPRPMVLPLVDYLDSFDKRFIEDWDEITTVQMVKLATLLNYEELLNLASAKLAVYLS EKSIEGLRAFLGVENDFKAEEEAELRKEYGRMSEEK XP_823369.1 MRGESSAVSFQYESPDVTGASPSFTNSSLARIIGQKELPRFGIE IGGHEGLLKLLGVRYDGEPTWRSGGGLRGGILSDSVHQRRCRFGGNRLPRPRDKSVGT LVKESIEEDKILQLLIGAALFSILLGHLTSYHQKEGGNMCPSWVEGAAILFSVVVVVT LGALNNYNKQKQFSHVLLQEDGTRQSIVVWRYDTLDDRAMVRELCLAAREVPSEDLVV GDVVQISSGMELSFDAILFGGNYVVCDECCVSGESEEVVKSLEADPFLISGSSVLEAS SEAIAVVCAVGEKSFSGEIAMAVRDTEKKVTPLQEHLSVMADHIGKFGLAVAVLTFVV LFLKEVYEVVAMGKPFFVMSFVENLATSIAIIVVAVPEGLPLSVTISLAYSMRYMLRD GNLVRHLAACETMGSATVLCTDKTGTLTSPHATLSRVLFEGKVYTANDSGGDGSSCVE GGRWNKSGTGLFVVASSQATAGLLMECVVSNALDPVRGRPVNRTAEALLQLAQHLYVS CGDDFSSPFVYDMGRLAQQMCDGSRCVRFPFTSVQKKSVTILKLPTGELRQYVVGAPE AILSSCRNFITAAGALVEINTESREFLQSIIQEFGRRGLRSLCCAYAVVYPIEGRIMP LEVSSAPLNFLAAVALEEEVRPEVPAAVRASICAGIRVIMVTGDGLLTSINIAYRCGL LNPVGGETSNCFSPPPISTLINDGYAMDGPAFRACSDTDLLVNYIPKLCVLARATPLD KKRVLQLLKMHDPLAVIAVTGDGTNDAPALKLSDVGFAMNSGSEVAKRASDIILLHDN FAGMVKATMWGRNVRDNVRKFLQFQLTVNCVACVFAFCGALINESNILPLKPVQLLWL NLIMDTLASLALATELPLEKRLFDRAPEPRDTPIILPGMLFQVAVQGGYQFVVQIYML LAGHRLFGDSSTGEVERRSRPPIDYLCPKHLSIVFNVFVLMQVMNFFNARLLHEEDSF FENWGSSRLLLLIVAVIAVLQVCIVQYGGRFMSTVPLSTEEWLYCTLYASGSLAVGAA SRFCWRWMRRRGAHSTGSCDSYVLLSYLPRWLRALIDGARGGSGRRRQRSSYCKAAVK GKGACKTAPAYV XP_823370.1 MSAKLQPILESADANAPFDVPVTPIKSFSTNTIIGTEAGIRPTD GHSECRISVTAAEYGGKSHDRMVGSDSDITSAASSSGSHTGNNFHCYTNEGSGEGGGG ARKLAPHLQSYEENTPTEASTAAGASPTPKGGNSDAEESSHGFRVPSCEPPSQRELSV AMDSHSGIQHKHENLLSPHDSSDFSGDDVSHRRCEEADDMQPNQGNQGKANGTGEMRG TRQNKGRPANVLRLPPTTKPSFVQVGTVRDHNLTVAIKQRVSHHAAVCARVNAVPRKM CLSSILPSFGTAMEMHSCKRQNMLFPSSTHVLPSCLPAVGKNGGCGYCSSTFAAPEDI EMRVKRGNDVDCTLHSVGGKLPTQSPMMSLSSSHLEKEPVSVCRVDNDKLDEEEELGF VVIVKGKHQCKGYLTGQSNLSAGAVVIVEGDRGEDIGTIQQVYAIEDVDQLLHMKVKM TDAAEEAGGCSSTVDDTTTGASDTPHEEAVLDSCSVARSDAQPPDDGMRLPRVLRLAT SEDIAQLSALRDEETELLPNVLRVVNGFISKSTSAAGVTLVDIEFQFDKKKLTIYLRR PTETGFVNFRRMQRRFHRLLKCRIWLAYMDEVERQVEAYIEKAPLGCEVKDREPSRDR CLGAGDLIVV XP_823371.1 MFNYRREVLLDLWRKMPEAAACETVAPPEAVKGEEKRQQSVVKT QLDWLSEELKLSSSIIQSDYKVYAAFVHRRWVFMQLRRLAESALGNVGKRSKPAAPAG CQLGECAAAGEFDLPEEVLFWAKALLKEKRQGDALLAMDERNFHAWEFRRWVMYQLGQ MEDLFVQSSIQFGPAVVGIKEREFASYMNGSAKSDRPRDLFFTPTEVKELNFTSAAVR RNFSNYSAWHQRGFIMQGALRRLQQRQWREEEADNNLRDGMLSQAWGQLEEDLTLLTT AIYCDPLDQSAWYYAKFLIHASKQLTALPFASTAVPIDITAKLDEVCLDLVGEERRLG EDMETYWPYLHLATSLLTSIKKSNDGNSSDVISDRQSALKLAREVWAALQPQGRHVNG DDDCIKCLQELCAHLTTADPLRAGMYKYFLSEVTTA XP_823372.1 MGCGDSKVRQGGPSRPPPPRGGPNSASTKVEGRANGPNEETSRV ESKGSAPPEPEVNSTPVPTKPLGNGVDNSGAEKTNAPPTGSAPPAQNCSQQIELDDEG NALVLPKGEWVRTEGTPFYYSDAENLYFHPPSCQFYDPTNEMWYDPEQDEWYRDDDPA E XP_823373.1 MAGDPSGTATLSLVEDYPEPLTVEQRNMIRQHVEWCVRRYQRVR KGARIIDRGFNSLQSLLTRLERDRLQIQASLEELTSRVGECSTNGSTGVDKKVVPPSA AEATADGAQQTATSGEPPRGKRQRGGLLRSATFANLHSVLTAAKREQNQEQRVSVAME RERIGKMTQLKIVEEDIAQQKQHLSAIEEKYKMSNGLLTTTRSEVLEALRLYDDLQQM EVMYPSRFFLRASGVQGVDANEAEVPSECYDVFFTPAKYTEEVQDMIREQIEEKLDEY IAFRRRVDPLLDSLAERRRQRQSARAGQLLRLIGVDPDSMKVDASGSATFGGVGMPAA GGAHGEAAAAEGATTVVDDNSDVDNNEENYDELDDGEGASVEAEEKRQRDQIQSALAA LDDFDELY XP_823374.1 MDEFVYNRCSLNPIHWVLMLKGCLVDLFFSVRPINFSYSRVGMT NLAGERDQAACLREIRRAVRIIEGRKRTVATGTNKDSFQDMDSRCHTPERPLRLHLVL FGFSRGATTTFYTAMKLPPELATYVSLVVVEAAFDTLHNVIESSCWFPSFVLWFFRTF CDYSGEDAYKYDRNQIHLRCPIAFVMSVKDTRVPNELTQRLIDNVKADCPQIPAVEVL QLKHSRHPLMAVGNREDQDAYVAFMEGLYDRYCN XP_823375.1 MPLLEERHDLSLRQKLQATIPTRHPRFYSSEERRKMERYESVDT FEPATTVYKDHLAGRSQEPRWFIWVFVVVIGIAVSFTAIVVVALLHVFASVRYELLGY GLNNFSFYNPNRYPERSPDHAELDFTAMFEGVGVGLYGISPRSYMKGYLMWVSFSFVT SLISSVICICVPGSVGAGMPEVMAYLNGVDYPMLGSFGVLLAKIASVVFSVASGVCTG HCGTLMLTGAMVGAQTLQRRRWIQIEHVNIIECFRNPRDRRTIVVIGAAAGIASAFNV SIGGLMVVVELISTTIPVRFALYVFAASLVSSLFIQVYFSHFLYFAGRDRTGYSSGEL ISELVQVFASTIPFEQIVRMHILYFIPTVVIGFICGALSGVYVRLSWFALVVRRHLEQ RFKVRAFRALLPVAFTVAYVSLHYWMVVAFGSAGWSPPSSALNPTDNTTAGGLTTLRW GNSSAVSPHFVDTQSGPCVAVPQTLSDSRDVSVISFYGANGFFCAAPNNTVIPVTATV HEQQVWIVLHSYASLAFANADSALQTLLSLRTETMLSLPVLMIFLLIYYTSSAIFLGI SPCGDTVFPTLVVGATVGRIVGLVVFLIVSPGSRSSWADPGIFALIGAGSFVGGTTGL AFSICTILMESTGQFQHMLPLMVGIMIAKKTAEIFTHNINAVLLEARCVPMLNYMNVV EKYPMFDARHVMSSKVVVLETVTPIGRVVDVLENTRHNAFPIESVRDQTYKGVVMRRQ LEIVLWHLYYSHHLSTCSYECGKRVEASLYRDNLHGVLPPLEKWLDAQLDLSPYIDYS GFCVLATATLPRTYQMFLTLGLRHLTVVDSGNRIVGIITRKDLMADRIIESIIRTDRR RRRIQGSRKHSTNTGSSDVADVEVAGGEESSEVASGRSASGWEAALKYEFYRSGEWCV SDEEDRVSENNSIREEPGGTWKPDATF XP_823376.1 MQATSKSTGSSVSITGEHEYVVYTGATLIPFCVGSVALPLTLCR ASSSAVAASSQAHALSSASRGNAKQKRSGKGSGQQETSRDEDTGDNVHDYCAGISRCT HVRYIYFRDGSVASQDLSYRHQLFMKADGVKRSEMLDGKESNQVYSASPLYALVDRVV FTLPNGFPERRREVTHPPFFIVDDTWAEHLVEVEVHFHPWLGIAPFTASHMVLLNQRV DVTPQLLSSTQGQCKPPSTVILSPDEEERLAGVGSSSLIGTKRSRVPECGVVLPEETS AVRMDLSNSVYSPDMVILGEPGFRERLVDLVGAPVVAERRDAMRIFHPTLDVVRYLAA VRAMPQPELMAPPRICLLPWYQQSVQPLATQHTEKKCEKSERCDRHGTRSRSVMNDDN ICDDSDHEIDVGSLPPWCFPFEVIIHACESRRQSGAVDAMRAVLAELKKEQLELRESI EQNIAAATANAEELRDVIKHMSQRCAALQTSWKR XP_823377.1 MGAYPNRFGFSVSHTTRAPREGEVHGREYFFSTRESMLTMEKEG KFIELCDVHGNLYGTSIDAVKEVQSTGKVCVIDMDVKGAQKLRASGYLKNVLYLFVTV PSIDVLRARIQKRGALSEEVLQRRIATAEVELHFLGENPTFFSHVITNEELEVSYKKL CEIVGSEFLKYGMEGLNK XP_823378.1 MHILKYTFLCVYIYSSLCVPSYTCKLSGVWWKHIGRKPTEMLDV SSPLFFFTFPCRSRMTQNLLHVLGTKPLLPYWVTLCPPIIQCTRGHIIYIYIYICYFL FGCLVTSSGGMYGAFWLIYLLNLCDMSTRWVSISPHFTPISVSFCFRVALADGEGVQA ISSFLWLHSPLPTPVTVEVRARGLMFSC XP_823379.1 MLTRRAAALLGQGREDGQNSASSSHGQPQQQRQGSSSPSLAPSA RPLGEFNGGTGSDRAPPPYIPLPEPLWELRRRFPSVTRTVLLQCIRCHRWVAQPVTKS PPQESEPEVTKEGEVISSSIIKASNASGENESGEERDGEEEWRSPRLQLHYDKVVGYV KGNAGERSGKKKELCPYFIPNPAVFTCQWTYCELEDTWSDLRGKWLGELYAAMGSAKN DNEGGSRKNGGVGDQEERKRLNLQLSRELHGRRSGRLAGGLHGTAGTRGESTKVQECA TESTHSGGMADRVDNLVRSDEAVLAAFCWITCDSCGKLRRVHQPFPGGAPFVCSLALN IGSCSVPEIDGVSAIFGSARGHSALNRKLAYRDGDAALRGAVKSDAAVSHAKARQGTA QNSIGSQGCHPNSLESSIPLLRSLTAAVRKRSLGGFIKNILTTPDEVRSKREEVMKAS LDLAGEKPSDIPKQQLEVESIAMRPSDPTLKVDLGEPHKVKVEEDPAVQPKQTGERGK ISAAPPGSARGGAEDANIGGDAAPKCSRRLGCPPRPVMRPLSNSAERHAATGNSAQGN LTTQPGAAPARIKTEGSIGSGVMGINSKPNAKSGTDTGRTARPESGREAGIESKSNSS DGHSSFSTGNSARCTRRQLKLTEMLAQVDSGKLPSPSSAVTGNVSKNKNDNRGAATAN RSQASGHKASRRTDRNCSKVEEASTSEDEEGYEVTHWVCCDACDKWRVLSKKLPHGTK RWECTMRGDGTTCDDTDDEVKMNREEKRKKAHKELKRRRT XP_823380.1 MDSSLCFVCSRCEHPICSHVDIITKTVSNGTTEHAFVYEMEDLL NMDRPVPCYSGDEICETRVHVSEKILNVPLPPAARLVALEALANSQRARALEVVPSGP VTDADNSLTSEVGHVTTDSGQNPQGNHDEEADNVAGNSLGQISRIGGQGRSSPSSPTN GSGDVLTRSLARFSRTKETRVDLICVKEDVLSGGLARCTTDRSADTPPVPLTSSLVSD APGTASVAAGGEESGGASASLMRGTQSTLGIVSQTPTATRWLRHPGNLIVEEGFVQVR RRTKTSRCPWFNTYNCSERLECPDCHLALGYLFVVKPTTQTHSHHAPAPGPEPTVMED AEEGSPVGTHRLQQKRERNEDQEEVVLTVSEETTARENSSTQEQKQQCEGKGADEPFP TTFVGLELKKIRQRHWGLRDFQKRYHQAKDLKTFRLLFPEAEELESVYSRLVALRTQS ELYGNLLRKHKEQNDVQYALIESQKERISSYEEKLNTMQQIIEAQRAQLDMQCKQIKH QEELLRNHKSQVATQQYQIHVEQLLRSEQSRTIESQREQLTLMQAHLRARVMKEQLEE RYGQLISLLQHVDEQQQQQESPTSPSSTPTPSPSAPSQGTSCPILPPAHLVGVIGRDV REQSRVSSEYNRSEGSHGAQERYPSRGSTLPTLLVNRNVPHNEGEATVDNGPSNKFSA GRSVASGVSGGREKNHILYGCSTSAAEQAVGSARRAPRERAQLSPVQEASPNRSNASG NCPRGSVSDTSTSGEP XP_823382.1 MPVEQLEEENKTLRTKVRVLEEELKHRNAECVRLRDELQLLSGV MRENDSQRQLIDTLREELEFSRKSHAEAVEALNVGEKQRRNEEHRQRMARVQLQLSTS GMDVKTGTSSQAGGAVLPVVTGGVVPTSSGVEIICKSSNISSLGFAPVQRPPDFAGSA YGQFYRGVANSADLVEEEDEVSRLMAMAKDGAMLDIKHAEVTDGEENELLERYKALKL WKPN XP_823383.1 MSSTKEPLHMFSLGVTERSWDDDDGNAQHQQRQQPMPLKIGSEQ GGGVYNSNVAQLQAHGRSDFGATGEILGSSSYPSNTPPPGTGSNAMGWVRYTAMDFEL LLHEGKQPTDDMRRSQVYAKWYQTKRLTDDRVLPPLTNPRYDAKGDAEAPWDPVVPMR IQGQQSVAGGGGSELVGKGGIRMELDTKNLQQAHEQQTFNPYAMCFQQQDDNIMSPGT QFFGAYAPTPLATMATPNTLRAYAHSRMGTQVRNNNNVNRGEITQIMAPVAGQTLYNS DWNATGDFTAAQGMVPNVCQQYYTQEQMNTFDYYADPTVVNYYNTATTNVTDHTQMQP AIMAIAPTGDMGALRGPAGSAGPMAGPSGGATRGGRRSGGRGRGGNSGKWGSGAARGR GTAPRESTQPCSELLEHFRTDAASGGVSQWRIAHILNHAVEFAQDQEGSRFIQRAVES ATHDEVDALFREIFESPLELVVDVFGNYVLQKLLEVGNARQLAYAATRLQNNVVNLTL QTYGCRVIQKCIEVMPPEGLDIILSELRGNVAKCIQDQNGNHVVQKCVEVIPQRCGFI VSAFSGRVMELATHAYGCRVIQCIMDHCPDQEEAIFSELLDCVGTLATDQYGNYVIQH VLQHMKDDEKVGRIFDALKGNFYESSKQKFASNVMEKLFVRADPQQRMELVNMMCSPI GDDSGEPVEVLSFKRSSAPKKENVEKQRNEYAKKGRRDRERDREKENSLGLVVEVQLA GREPPSMLCLMMQNPFANYVAQRVLDAAHVDQYVCLIDNIQKFLLPISTYTYGAPIVQ RLVRRELVKAPDDVSLNLAVSAVSAGGRGSYGHHRREAREHFDAE XP_823384.1 MLSRQLVTRCGMGIRPALINQVSMGCGGVCFTGLRCEKRGVSQI AANLATHALQVPSCFSLSTLLYSPLGTAMLIVLAYNMVVVGTKQMTYIMEITGKDYVQ DQQLHQIMKYGILSCLLLAMEVLFVEV XP_823385.1 MSSREERRNDTADENGEEEDELFEEEMEDEDHLTADRFSPVSNI GKESMSPSDRSRSINRSAELKSGKEKGVYSSLPPRKPDITEITMQLPGMGDDFMTVHV RLTPAIDLQPPKGNWLKEVEKDGEIDEEAQEEEEQGRDEEDEENEEEEDEEGDEDEDE NGTVPRKRGGTQLGKGKKEANEEEEGDNEEEEENSDVNATKERLCPPWLLPLLSDDAF YWEHTLDKMFGYELPPSPNIDACGDPKSAIRRPRTSRDDGDGDAEDQTGDKLKTPEKV VITDAADDKSAASGDSSDADGDIREGELSTITVPTNQTSKQLEAQPRPARFSLQSSSS LGMNVQNQFPKRPVLRFTEASRLFYIVRSIGGKRWYLLDTLPMPEEESEEDVEEEAME EVEEGEESEEDETNSASGSTETGDQNLDEEREKNVEDDQAKEPMEQAVGAQSDVDQVE EEEDDGGVPDASMLDMQSVICIDTLTLAALRCPAALRTCLVQKDPISLACIETWMRLD VNIALPILTTIYRTMLAIRAEAPRLPYSLPDWKFDYNIFLTSSYLAHRKPQYPRQTAN LVSSTSQILAVVDSPCVGERQSYETMAGLLSVRTFPSKPILLQNLNHVVGMRLFVWLI TEIPHHVLLQWASLKSSPPDSGRKDAETGSESDDGDDEEDEEGDGKKKAARYIASVVD AFWKRVPSVDGPYLILSPFLKAVVVEVRRRQRREREGFGASKYTLMECVAHALPKRIE DGKPKKDPLVASSFSLFPQNYGPPELSTPSPPDQRVYQSDLVILLLTLTTTPATRDAT TVRTERLALGVRSHPRPLSYAPDGNYELLTYLLNKKTEKVRTPVEAVRSGYFRVLYLS LLRFVAPSSVMLLLSVTPLFSVMGPADRCPLPQLLHAWHIEWDFCFRGHLDHFINTFW NELYYRTLEKQFDDATVLRAKQLMLLEKVTERRNQYLFAIRQLNSRARPLSARAFVGF PVLVGEECEETLAISSTLEALPPIKPRKIIKSSEHPLNLSPYSEDWRHEEEHVRYMLA SLPMAPRTYLTPETLHLLLFDVPHANRYAIHYLMRGCGVVQTQINPLKGAAVPAMEYA LLTRNVEAVLMLLATGETLDDQMLGGMVNGEAWLYEAFRGRDAMRLLAVWRKKEQERK SDPDVRFGVLRTIPTSE XP_823387.1 MGLLKVETHVINDDVQVDDVLQEQRLSESVPLSRRLEVRKHTSG QGAVECKEKAATSQMSSPSTQLVVAGETTPSTDIRRSLMAQRGSKLPSCTKECVSNTS VNKTPLSALKLSQNASSPAAKLAFLKRHNKAPSVSHPGGAILPALMTRVTDVETLLYT LLPPQRIVCEETGETIVKSVSLEQPSRVEVAKLHERTLQQLQQRRAREWGICPLRREI YTELFDELIREITLEEPARGVLLLRIRDEMNQTLAAHRALAERTLLFASKQRMEPPED ISALRQRIKELEEEREELLVKRRMATVREEQIQSALLDENTARVKLWQDETCYYRRAN RQVSQRIKTETERANAHGVQVDRFVLEGGPPSSDLGVEL XP_823388.1 MSQAGVSGNRTTLATPSNDCNDKEILDIIQQRGAPHLYCYPSVR AHSGVRSCYYAACGRGPTLPPPLRTVLSAAASSFHCIVQGRGVNMTRRTVRAEAEEEC IAKQISPSCGAPLVSDSTVSMVDAVLRHLCMVKGTPVFLPGFLSYPYYNLLELFDRWG LHIVGYDVDFVKMRVDENDLRLKARSVGLAGSTHFMGNGAKPNRTGSIQNSPPLLLFI GVGGRPISNIESMTHLVRAEFRAVTIELCPLTAAFLDREDSQKPSARADICVMAMDGA GEFGGALAFTGDPLLADGILQQLHKRPTASSRDHWIALALHIAHLLVSDGKSFKFVLH VLRWCSLLMSRKRGGSASRPHVDHIFQFLLATRAKKPLSGLHTFAEVGESCDEGRGKA TATVPPHTSNDLSFSKPHPGVIAWLEEAIARAHQDAMLECFSFWEFVSSLRNDVEVVS AGEPQTPKQCVTCHSNAIFVRVREPKLAARALLGAGFGATPLTPHWWGGRQQKTMDGA KMLVKGYLRRLSPHDIVLLPKCPMCEDLAQHALCVPLYPSMKYTNREKLRSAMQSVFP SSLFRSPSDKFHDHVKDSQETHAYHSTAVSNLIIQCKRSISRGEWSAVFSDAQPLLLR CLFGPIPAYVVSKL XP_823389.1 MTDPVRRDPTEFLRVLRRMSRTTSWQKTMLFASKGRMVGYRLTR EHYNTVLFSQSLWGRALEIVRVVRAMQEDKVQPNGATYYYIVNGMGNADHGWNYDFRI NRRLEKIQHWRVALEALEACEANGFDSTDTMHNSALITLVIPGFNRWQQASLLLQRML REDRRMHPTMVKFYHDCLVRNNRPREASSLMRLAAERGVHGYEDKWEADVYKGRPLDS EVMNESEGNGLRRQASSLAFASLMLRGDQRPLPENLQALLEEETTRNIEAERSVPVPF SAGLHATEINSVFRPRVYRQLWYKWQHIANRYRPTAALKRRQLAPRDSPTGIPGFYRI XP_823390.1 MWDLVCSYLNVQDVKKLSGTCRFLWELLRPRDNVWRVQLDYFRE DMKNLYGEGRLLCTELFVPPSCSAYEQMKLELQLYIMDTHREWQLKDREKTDGPYGMF SCPLTLAHDPSINEEGWQDEDVTPFSQLRIIRAVRLFPSGLSTSQSLRHNGGSGSIFT PSEYMMLCENINHGDFTGSRLGVQDHVDEEGGLLQFALMETLRRAKYRKPPRCSTGEV RTSSHLVLRHFGGLLLSICRYEAEDILDSLLHKRGLVDDFVTYCNYRERSTTNRPCFP FPCQSRYYIAPELCRRGRVGLIITDSVRLLVVVGKELVTRDDARWGSDFAAAAAAGRT VYGGGMNPQQPRLV XP_823391.1 MYTTTAKQPTVVGERAFSMSEKVLTFPSAVTSMTVTPDHVWLVM SDGGVQIRDVSTTELVHCFASASRPRRVVRIWSILAVPDPAVGLQVWMGLSNGAVEVY DAQTFGVLRQQTKHAGGVYCLAEFGGYVYSGSSDFKIGQWYAADARLVRMLHGHSNYV RCLYAEGNAVVSGSDDCTVRVWDVGSGDAQLTGRFHDRSAVSALCRVGITMWSGDDSG RVAVWRLDTCEALHILQAHSGRVSSLKKVGSRVYSGGADGVIAVFDAEEGRLLSHVNN YHGVRVSSLVVTSELRRFCIWSSSADKMIQCWHQDEYTVMIGDQERFSERFWYETGST PYREFRDSVLKHIGNLRETLKIRTSDNPRLVDIVGLSNSASTVEGFRKREAILNKELS SLEGRQASLESQLEQSKGVLENIEKETSLVLGILRAAQAELRSLDPVLHGSLLMSAGG DANGPLANIVSTLSGQPATMTNVPNPPGNLAVPTSTAATTSAWPAIPSAAAVGSGAQY AAYQPTAIQGHPFYVGTPATYTQPASVSHPVAGVSGATVSNLQSPVPPVQVCGTTPTG VSTAQIPGGQGVAFTALQPAGTMAVGGPTGSTNAVQQVPTAATTPSGTTAAAMVGALP PGQSTATTSAQPLGTAAAGAMAGALPPGQSIATTSAQPLGTAAAGAMAGALPPGQSIA TTSAQPLGTAAAGAMAGALPPGQSIATTSAQPLGTAAAGTMAGALPPGQSIATTSAQP LGTAAAGTMAGALPPGQSIATTSAQPLGTAAAGAMAGALPPGQSIATTSAQPPSTLGV GGSTGAPTFGQNFAVSLQPSTATTSVGPAGLTSSAANMAVSSQPLGASTVVDASGPLH SGQSLTGSAHPLSTQASGKLMGTASAGESVTTPFQPLGATANGSSLVFTTAGQSLTAI PQSLGVASTGQSTAQIPGGQGVAFTALQPAGTMAVGGPTGSTNAVQQVPTAATTPSGT TAAAMAGALPPGQSIATTSAQPLGTAAAGGPAGKPPVEQDFLSTKRVVPPVSRCGTAP PSKHVHFEQVAEATTSGGVVYRGVEWTNKNVGNYIQRRYYGAEPSLRTPALEKRRRLQ GRMPDVKLEPFKRKDEG XP_823392.1 MAAVPQPPLAAVPQPPMAAVPQPPMAAVPQPPMAAVPQPPMAAV PQPPMAAVPQPPSAAVPQPPSAAVPQPPMAAVPQPPMAAVPQPPSAAVPQPPMAAVPQ PPMAAVPQPPMAAVPQPPMAAVPQPPMAAVPQPPMAAVPQPPMAAVPQPPMAAVPQPP MAAVPQPPMAAVPQPPKAAVPQPPMAAVPQPPMAAARQSPLVVAPACPPTSDGLNATD GTGSGLSKSLVIPSAAGGLAVSLSETQQTRGYGRETEEIFSGNHPTSNPNLLSHSPLL AGLPSWNIPSASSSTWAGSTVTQVFVPQLDAVPARSVILPTAVWFDDRLERVVVPRVN ATSSVPKGLQEEGSRQRLKPSFKRVKDKYLWRLPPSKEGIASELWRGVYWKHVDVHRY VSRRYFGGPPPVE XP_823393.1 MLRHTSRNNALHAFVRSPHYRTIPSAGPNGIVVNRDMLVHQFRD FYKTLQHCSLVDKVHLMSERPSVEALRVADQMVSIGATFLEMPLTGMEHRATEFMESM RYVRGAGGPSTLASYLQDTENCRCNSGDVVCLPNGIAVGHGPRTNAVAHTTLKQLFEV KDDQFSFDVFTLEQEGDAPPLGDYFGFAGSNVLLTWKDEHGLLAVDQYQQKQPHTEMN VVYLEPGCHFLSFYGVDHTIDVLVQKGYERSMDSIAAAGLNPIPVQWSEMDKLGISMR AAVLPLKFFKANVGGMLSRNKSRGARWQTHQLQK XP_823394.1 MTISSPCNHEYHLWTLFKLHSKPLGAVTSGAHHGRYLTEDGFRA IVDSLVGMGLLDGGHVAEREGTESRSDKSVGAVVLYGEVEIATVPSTSPRANASTESA GVSGEDALERVDISLSMDSAPICSLPHPNVVISEVVPPVRLQSETKSFGDTIVPDAES HATAELPASPWQVDKAEPEGSGWKQGMEPREDTVHRGVHGMRMPSDNGNRHQLPENMT ERSKEQVQNTDVRRRLVDSYVSGDSRQSQKCVQWTRRGGAVYPNNHLRSGVEGETSSF NGTGLDAVISLVKSDKTSCFSDMALDSAALDQLLPRSVENDALDKANDNMFVMIEEAA AQQDSLHGFSRVKFFPAENTANRPDIRNRGPRLSTPPRRPRNPAVILPSPHVSITDER YKRPGASPRPSQSSFRSPRLSPNNRGSSVRFHPSTSFQTPVGRHGGEGTGDGLAAAAT KTGTRGAAFVGPRASMDEERTALSEDVNTDERRQVQQLMPRRSINTSGSGSCGYTSRG SEGFPQPPGRRMVGGVTSLESAQPRHLPRNAVGSTQDGMGMGSAVALPQYRRKALLTS DATSADHTFGEWSSLSPQGVMHHGACAPFRGSNTNSSLSGGQGSGTMTSDPRRFVARP AVGPTAPLPLLLGPRGRRRSASVPCCPHGTEPSPRPPRSLSKRARLRAERKWRPMEPP AVPHTRARSWGFRAGGNVTAPLIPTWE XP_823395.1 MVVGHRVLTTAPRQSSYTRVGLVRPALLSLSVTSVSTVQYVGDG ACSYCCCSRRYIRTIITPRPAVTTLVYIDKYGRPVRNGTETDVSLIRTRDNQFYLQRV LPGGEVIRWRAPGLDHLKHVTNFETYNLNEIDEYGGVIIPAGVMPTTPNDPPSYHDAV YYINRMEATEKITRKTRRRWHRRLYAHWKESPDKFFNYSISAYGVPFIVLLWLTIRGF HSIKNNKPFWDVNVYGKTDEESDARDPWLRLMRFSDRHPEHEGLDLTVTMMSPGQSRL SNSRAELRESDFTDPHFHSELWWKLRHVRYYGHWPKGLSE XP_823396.1 MTQNIIRRIFGNRELPENLSGSEYERYMQENFPKWIDEFEKGGF LEATKLPAIKSERDFLSKLIEHKDEIMVVKYWKHGCIPCLSLAEMYKQVSEQCKKENR RIAWYSVNTKDVSARSLVDYQLVNGTPTVQTFSRMKQVGKEIRAISAEELMRELSLRE AALNTES XP_823397.1 MFPGGGCNVVSAVNGAPAISVFPAKVGYFGYYFALGSVIAFYGV IFTSKGMSPSHIGLLLSFTPLANTVLFPTVTYIADRFQCSSHILVMCCIASSFFTAVF LLSNTTVAALISFHLLVVTRSPISPLLDQHTLSIFPKEGRVKDWGALRSFGALGWGVG SAVSATTVDLTSTWALASFLFAAGQVGVLYCVLRSKPYEVVERTPMQFHEVFLFVLHH RRLLLFLTASCFMGAGFALVNNFLFVFLETLGGSKVLMGLSLALTVSTEIPIFQNAKY FQELFTDRQMLSISMATWMLRVVGYSLLQNPWLVLLLEPLHGITFGFTWLPGVHIVNT VFPPNLSNSATGFLYFFVNGIGPITGSVLGGAIYEWLGPRVMFRAAAFVVFCVLVLFV FLDRYLEKEEAVSATAGDTLACTTDAVVAGEVRLSGESERC XP_823398.1 MQAVGETSVSGGWAEDEDYLLGARSCGDGATVPLNIAHVHQSAT TQRRREFSEIFSVGNSMRMHSDSPVASSIDTCLIGSSGEERLSPTVADDQRVLECLAS IAYLVGSPLQRSQPTAAEPVQKALCVVRKLLQRGVNPEQIINVIESRDGVGGG XP_823399.1 MLSGKVALVTGSTSGIGFGIARQLAAAGADILLNGKESSLRDAS LLENLEKYGRRVRYFGADNRCRPALEDMVKYAEDELGKVDILVNNAGIQHVASVTTLP AEKWNDVISINLSASFHTIQLCLPRMQQRGWGRIINISSVHGIVGSANKAAYCAAKHG LLGLTKAVALEVATTGVTCNAVCPGYVRTPLVEVQVKAIADAKFNGDIEAAKVELLRE KQPSKSFITVEQVGDVVLWLANPSSSQINGSNITVDGGWTAQ XP_823400.1 MTLWSATRMFKRTSTRFSASITQWLYMNCRNRCFSQCSASMRRG SRALAWGRRMLLCAVAVTTSYVFIDYATAQSITRSMRALITTARVVYMYKGATPETSE ERSNLHRAAALSLLNLCLRNEGLYIKLGQSLTAMNHILPWEYIDVLTVLLDRAPVVPL DEVRRIIQEETGRSCEELFVRFDPNPIASASIAQVHRALMQPSDPIQSPVEVCVKVQK PHIRRQVFWDLQTYRFVLHVLGAAFNIPVAWMKETVVEGIRREVDFSIEARNATRIRQ DFADRRDLYVPEVYGDLVTPRLLVMEWVDGVKLVDVVAVREQFDEVKVLQTVFGAFGD MIFKSGFVHCDPHGANILVRPQPYPMEEEASGKSKELRQPGGRCCNPQVVLLDFGLCC PESERFRLEYALLLKAMIMQDMVTVRKIVYSWGVDDEKTFSTLQLRRSYASLHRRNCG EMTREEAMHMHNEERERIMNVLKREEQLPCELVLVGRSIDILHGVNRLYGGLVNHMRV FGRRAVSALGRLNTYEDIQLYLTHLVGLSNGNAGEEAIPPCCSGKLLSLFDPTLEHQR REEAAAVLKFRSGVTAAFRYRVWEGIISLLMLFQFELALLFLDAYHMFIRWYSKVFEV SIWNWMDARWQRFIKAGCCGKKENKFYSIR XP_823401.1 MKRYIQHKESLPAQVGIKRYRTTAAEGATLASSTAHGGDTAELV DRGIESGVCHEHEESNGGNVQSVEKRTPSLEPTCADSDSSSENEDDYDELNKERLRLE QMQRDKKQTEDRKGSIGGSATGTFSPRSEKMGIAGNGNSAVGGVSSYNHDVLFRGGKW RDKNHEPAESESKKKKKEKWEAVVNDTQRSAAYQHFMKSYFK XP_823402.1 MKYLENTTTIVKPNGKKNGLTKSSSECINYSLCEGADCNARADL QEGGASCPCSPPLAAERMGCEQQKYAASSLGDGQHRGKRGRGGEVSNGNCENVLPEAS PCVSAEVSASSIVHSAVAVGASPVTLESRKETERRGKRISWHDDENGGVESDSLSSSS LRSGAKRRIDNMFIYPREQLSLPKVITENTPYCERQNDDGTSYISYKLQGPSRRFTMW RQRPVSGDCEGRKESKFEDQPCGNRPHKRINSLFSSIYESYDPKSDEQTVQLRIATFD EESEVNGALCAGNLQMPFACVSRITNDPVPIPLAPSTEPKNAQVNGQHS XP_823403.1 MQQSIRLADRLADVPPYSVWTEMTPLANKHGAVNLGQGFPNFPP PDFLLKEAHRVFDESVVTPTNQQYARTQGNLELVSELKRIYAKHLGWPDIGDENIVIT NGTTQGLNMVFQAIVNPGDEVITFEPFYDAYQCDIQLAGGVVRFVQMIPGSTGLADSW TFSEEQLRSLINPRTKAILVNTPQNVPGKAWTREEMNIVASLALEHNLIVVSDEVYMH LVYATPHPIEGQKHSHLSIAALPDMRDRCVTLCSSGKTFSATGWKIGWAVGPTKLIQA LSLLELYQTFNVATPLQIATARGLRIAEEVGYYENLLSQYEKRRKLLCDMLAKNNLPP TIPSGGFFVLADISLVDPKHYLDPNERSVGRDWQFCRWLTRTLKVCAIPVTAFCQKEN RPVYDKFVRFAYCKKEEDIIEAGIRLERLSEYLLPAH XP_823404.1 MDTSSELFIRDRFPEFVCKRSALCGSGVQSLPYVSSIAVDSNVE TVGCGNPPWCRAVEGFSALERTVREKLKRLFERQYEFLQPKFVSDEEEENMKQGEIGK EAQEVQKLLKELERMVSGCDLHRRAPSEDERRVSCNVKRYLSLHLLELTQMFRGGQIL FATKLKQREEKVNRYKLIGSPEAHRRMEQEDRITHYLEKGYTQTDIKELLLEDEREQR VGREISEIVESIKELHTVFESLNSLVVDQGSALDRIDVAIQQTRTSVADGVTMLKDAR NNSSSCTLM XP_823405.1 MRPLTEEETKKLFDKLAQYIGPNTAHLLQQKGTKSAGVAPFNNG KTTGNNGSNDDDDGDDGEEQNSQQTYVFRLHKNRIWYMPLRLAKLASCVAKSNLMGIG VLFAKVTHHGHVRLQVTALEYIAQYSLFKVWLKPSQEQKFLYGGHVTRAGLGRITEAT PRHQKVAVFSMGDVPLGFGVAALGTLECRRCEMNSTVLFHEADVGEYLRNEARLT XP_823406.1 MTNTVKHSMARLRAKMLGAAKMFPDYNFRHYFVQHVKDQFVAME KWGVEEQRRFLRQEGAKKLSEMRRMALVNRMYSSQPVFLDERAASKPSVTQEEEE XP_823407.1 MVLWVVIFSLAGAELENYSTVISNVAFSALLSFTMPMQLAELRS MLLELVLGPDDDGKVERNKEADVEGSRKLKEMLMLLGAIILGAVVTQLDWAVWFQRWP LPSVVLYSIVRALLYYSGKTPEKVDEKVE XP_823408.1 MTAEEISQRLALLTSQTILQHDLNRRFTQHAVFSTGSEELDRLL PDGGMTCGTVLEVFGPPSGGKSRLVRRMISSFAAQGALEWTTRGVDVGICDGSPSKGL EVQQECLRARAEECCRPREWCVFYVLSDPSSLNPRHLREELEKALQRAMLCDVHCEGE IERLLDDIIGRVQVVNFATLNDLLNFFRFLYHEEYPSSMHHANQRRALVVIDSVARLW DHPTCGATKHARHWAAAELVRELRNVIMLGNGWRGEYCGNIDSHHLDTEAGNVFCSVQ AANAGTSVGGSVAVVLVNGCTNTRYCHTVVGPLGVPLWLAAAADIRLFIEPTSTSGDY PPTTGEDDDGMLCTHQYGAAKHVLAVRVAKGSGSSVPRVGNIFLP XP_823409.1 MLTIRVGNLPVCLCSNLRNTFNPTYAFGVKRNDGTVALLDGKSG HLLLPFDAEGNIIVTPGADYQLVFLSNDSTSSTVHTTQGLGKGGTNKTSHDFELTKAL AKDGSIHREKRRLDDGRATSRERFHKGNAHYSVSDSKPTKRRKRDHSVDKDAVVEAEF GSQSINKKERSVKASRKDSNREDSDDPDDIPIFCTLAGKPTPAPISSNHTPTVSPAPK EVYLVDNFDPTTRPAHSEEGALDSSATELISS XP_823410.1 MNRSSRNLAEMQDEINQLDDRYKLEKIIGAGSYGVVIRARDTTT NDLVAIKRVNKEIFEEIILAKRILREIKLLAHFHHDNIIGLRNLITPADRDNYDYFYI VMDVMETDLKQVLRTGQSLTEGHIQYFIYQILHALNFIHSSGVIHRDITPANILVNKN CDLKICDFGLSKEESDQGEHMTDYVTMRWYRAPELVMEDKRYSAQIDVWGVGSILGEL LGARPLFQGKDRVNQLDKIIDVIGTPSEEDINSIGSTAAQKYLKKKSFRPAPDWASVY PRASPEALDLLRRMLVFHPDKRITVAEALKHPFLRDLYEESDVQVSIQHFNFDETQHK TIQEVKWAVYDESVAFHKNHPRTAPRPQQQQHSAQQPAAAASLPTVDIAGEWRSAQQT IERGEEECGAEQFDKYVGSVE XP_823411.1 MVLPRKLVGQCCLLLQKKQTAASYMANAGKVGSEEKWAQAAMEY LHEKRHCNDSRKRQHDVDNERRMAFAFDRYCSVNEKIFTERLSRLSDRMTEALETIKQ LGMDHALEEALMLSSEQPPLNFRRPTLTPPVAGYEPGFGLDVPQLRSRQAEYPPVGRP TDAMEFGEEKDPSFPLVESFRVEDLTTQCLNELEERHGEIREAAPTTGVEGEAWEAYV ALQKKALARQQLIFELCNDGELRERYDSDVAFRQRVWEERGMLPLEIERERLHEEPRH YAQEPAYHPFRKM XP_823412.1 MRGSCSEGALSGGCLPSRATTNPLGGPSSAGSLEMAEMLGAEAF YGLPILCSLWSERKLPKRRGARGRGQHWSCASGESGKKRNCNLPPQLLFTIMSYLLPE GMLKMRLVCRTFNDVFLTYSVQETFAVSLPRSFMEPTVGFQTLSGLIKEREAVLRNKE RSWSKWLSAYVVMWLRKEANNDTISKATVEGQALWVQLQRSRVLCRLAMEEWLGHLSD CRRAQYNIRRNWFNPTNLGCTLRTGVPPVFLPDGTLCINDPPDVVKFYAKRDRGLPLC EDALSHKTVSRKNDSLKDMWAPFEELAFPAYVCLMYYDPYVDALHISLASGTCTLWRV NSIGIEAAAHRTASEADFESSSHQLWRKTDLPCRSIPSRIEVVGNYTISSEFLACSVT MTRDGIKLEDYRWSEDEVMSKVRLFGEGANGDTSRIIVASCGRDVSASLLPRATAGDA HLTKEDVAVLNILQNQLSNASNSVNRPRAGPTRGEASANESHRPSATNSVDGINGGHN DVESGNNGGNIISIAGGPGNGAFELLVDDIEHEGVGTAASEVTANHLEGERGDRITDF RPSSITINSTERIDIKRHVFGVFNEQARLMCTVAITHEVAVFILTQSGCRTLGVNYSG GIHAASVLSSPVFKRCESPTAEHPCEEGDALFLCASQHNVLICQQKLYRRLEEVEILV IHQNHREAATSRGALRPSEQRYGTWYFLKGYSYHSPPMILRPLVEVSSLMLQAFVQPR PLLPEHLEWLSKRSNEGTCKVDCQRQREEHLPPYKSSWWCPQRDGHPYFHKPFVMFIS KFVGYLLAGCDCTSGGYQEVSTDDREHFHSVPLLDGIAVKRLHPSAVALSPSHAFFVL GMENGSILMVSPSCRKCDQAKDGQGGCTTDAQVNGAHLIEQLDTISIPEGGEGYLASR EAPQLLVAGEDLHEEGADEGESSSSSEDEAAHPRSQHPHTSHLYRTTIISNRVRGSAR QKRNLRSFTPQQLFGCAFHEDPYISALQRCSSSILNSMERLEGEQRRHHPTPIHAVWI HAPRDCYRFSRKSGIWSMHLDDWKLTVLNRLYELTVYDLSMQSFVGKDNVKGSVVFAP LLTLSPYLSHPFLGVPMKDEEKWLCSKIRRRMRAETERTASGWEIVWHNGVLVVLGVS KGWRYSVFDFHARFSDPDVKNFSDPAPVAYSAKRDEYYESISGSQMLVPLDFGKKGTH KLALPRHFPLLRRDENMYLVRLSVRAVTLVFLCVALILVMLRIDGYITAPHWVAAAAY APYALDVIANSFTDYDRYYIVRSDVPFYIRLFSDLLLYIVFPVVFTLRRDLYPRFNTP WIVLTIPLCVAIAMKSLPDIYINMHPGQRHWFAWVTTSRLLKALYEWLIISTIVLLAL YFDGPSGRDPLAPKFHIALALTPVMLLILILKVKSAAAFVRTGNWRLYLTCLFPLLLL ALQVMLFVGEFKDYYEYIGKPITTPKPSASQSLFILPFSVIVVAIYKGYTVASVLCR XP_823413.1 MSVSPKRSLQASHSSTFVGKTVPSYVLSPVIEQHHLLWQWRTVL WRKRLHAVPFLLHWAPGVPAKQPFLHHTTAELILTSGQEVASAPAAANYRNSPHRFGE LIVPSPAGGLHATISGQAGEVHPLTRWVDDLFDFPQQSAIPGEAVVNHEESISSTDSW RHVPSIYHNVVGCGLAELILAVDRLAALLARRHITLANACWAVLADSKADLKPFLRYS ALDIEREVLVMAKAHEMINVSLGDAMRRPLLTIEDYPSMAGW XP_823414.1 MPPRARLFVGQLNFDATEDDIYALFDFYGKALHVNILRDKETQK SKGSAFVEYGSTEEADCAILALHNRYNMEREKPLQVSYCNKSELISEFGRDHASKLHD ENPANPAPPQRVQR XP_823415.1 MPPRARLFVGQLNFNATEDDIYALFDFYGDVLHVNILRDKDTRK SKGSAFVEYGSTVEADFAILGLHNRCNTGHRKRLQVSYCNKSELISDFGRDHASKLHD ENPVNPAPPQRVQR XP_823416.1 MSQRGSAVHRTRSPDTTDDRDCTRLRTEGWSEDVSLYKTHPFTL ASQGTTNGDGLTEGELYIQLCKTPGGSERLPPGWEKVLYEGAVVYLDHISREAHEVPP WEVWRKRSGAAASSA XP_823417.1 MNFRKLTKFWRRQTSQVDVVSGSEKCTSGDYCDTFVGLFRGQQG KNFVSSLESGQAALEYIKNVTERGQMTSGTLSSSTDDELKREREETLVALELCVITHP QFVNTDVNSSNIESGESEGVSPSTTEKVAHEAATNTTGEEVTITISETSNGTAAASAN TRNGEAATPVAHYTQEERRALLYELVSIMLSIDRFLYINKIREEIQLQHPSMLVTPPP TTTIGTELLETAPSTNATDEKSVDFESDPYVAPPSISSTGRESVPDLASCQSNPGDPD GDISNRSLNRAEEVVARIQAITTWIVLQKVLRSQLELHRERATQPNSPTIEAGASEAE YVLHFEELWIDTAPLRWEQVVEELKEALGMRRKEIAEAIISSWILNNHAGHELLQCVM LEFCYGANFEHKTAVDVDTTRPEIIFMPAIGSNDSDGQNQSLQQTEALTDTTPEAHGG DDVVPDDMVEKVKKTFKQCIVTPWVCYLEQSLQVFQLEGGNRPGKVLDTTNVSLCCMV SAYAICSKHWEEVKRRDIFHFLEKLWCEQHVSTQVERMKCVSTGTEEDTKPESEEVEC VDSCSPPQALDGDSDGTVTVGHCAENDKYAFLCGDEFILPVMLHEAWATVLCIRAHQC LNGAGQPLSEAVRHVRRACSLPIQRFPFWYCQRAHEAIVHAYVAAGNYAGAIEIGRAN VNRSERYCATHGHCLASRVNYLESLQLLAEIQAVYPGSTSFTSTVQTVLQQAVLCEQA LKDVLPVYINGVASRYAALRRNIYRVKLMVLKLSWRVHYRLAEVTRANMYFHQYIHLL TCRKVPGRLEEQYNAWKERGEQLLGVEHNSAVCFFARAVDCAKKIVSSVSRTAPTVDS TANCACSPVTLPCLAAADATDTVHQEIELNKMEAEGERNLALAYIEQADHEVNVKKRR QQLSNAVNCAYAAQGILHRWTSKLKAVIPSTLMIGVASGIVVTTKALLRLGQPRKAAL LLEPLIEERSNSSSVRPPLWTSALEPLGRPLTAGEISERTATMLVRLRACDLHAQCLS KFDGERGYREVERARSFLKELKTWAVSVIAESAVVYPKGEQLMVDSSMYNGILELLRE ANALHPLLSITCGDSLVSLGKWEEALQEYSDALSIYSAGSEMDGLSNRPGDGINECPF TTDGVMQIQETTRWGKDQDRIKKYFKIKESLVLAKLAEVYVALRKPLTAIHYYRQVLE NSTEVGDALLQYNARLHLARLHTATGETDVAAEQWSQVSELAKVYDDEEISRETTRNI IEAQQTRGAYADVIEVARELNNLASAAEGEDALEDRCFALEAIADAHLQLGQYKDCVK LLDEREKVEDKGAQRKGELFNMRARALLGSGATQEAVKVLVKWAQVARQQSKFVELAN ANASLAAAYATAGQIFKAKRHHQAVLSALAEVPDMTQEQSGTAMESARWLVHQFYLND EKVPLLDDTRCAREEKRPSAMNDSFFPSSQSVRRYDVVSPCVLSTASGEGSEGEGEEN EGDEYFVMSESGDLNVLLQEEEKHARRRLKNRSASHDNCCNSCSSGVYSGSSEGSSEG GNTAMDERFLTDCDNGEELPLNCSMVGVDMASVLQQDDTAVHTLGVSRGRDVTNGCGS DEATITEKPVLPSSDLCGLSPSVSPPVSNVSGDAATTNATPVLRGKLADLRAKQTCAS VAETYHTDAASEGSHSGGSPVNIQAGDDDGAPTEAPTREFLDRVTTKSPNPAAVHTKC FHRALETIEAATQLALMTVAGRQGTIPRNPADVIDLALISFPHCTFVFYFADFATQHS VIIRPACRSFYLECLVHPYTLNNYHSGGFPPQSQNQQDGHRMIPDENNGATADGSVTS PDSSCKWTSGEHELLQSLHDLHADLWEPVCFLLKRSHCRPDEAECLVFVVDPTLLQVP FPALVGRGDKEIPLGQQYTVLVTPTVVNFLRTMQHNKRPTLFGAPQKDRSAFLPQGVS SQPWIASETTLKTSSQLGSCSFPAQPIPTAQVSVASSMGSVVTARSTVSLLGELRGTS NDHDTEIASRDTSNAGTWTVFSGCTRKELVSAFGSPSCRALMLLCDPVGHKFKVADGM VGLADVIRGNPSLSETLDLVVITNERSTMTSVEEPGIAARLCLQYGYPRVIRVDLPPG TGVTTAHLRLLHAYYEKLSMTLEWRMRYPYALALRMAQEEALRLGFPPTVCASLTLIG AA XP_823418.1 MSATSPRNIYAPLKVEFDSEVEITIHSAFLQTARLLQCDPMAHV SVSTLHVATDTRRPCGGVTQVGESTRIVKNERPVGSTRRLHFTSKPNFSEIIRFVIPF TQCRRSTMRPESRLLLRKTAAAVSTDDNPDERFVDHQVLITITDAQEQGYGQAVCPFL LHVPSSSTEPQRIMLQPRNKHDKHDPVFKSDVALLAKHGVDDFGFVDISWRVSLIPHG GALITALQPSVSVPTFPIGLVLRATWLVAGNVHQPRTQYTTSVEFGGQDRFLFEGTKP LFLTIQSAAQLERAIVHCTFHDTTTRPQSYDVAVPLPVPPMASFAMERDVWWTTPVRT ACGVDLGILLASIRLAQRPLDGSTPFCTVEGCREINNPIHQSQFAHVAKEWGRAPPNT PPGAYYPLFWESEQHIARVAERQWRHDCIVGARPSIEHVRHLLEVLAGRIAVDGGVNG VAAVYFNHAPTTTLQQHQVKQFLVTCAFHAKEMTVQDAARFCFFALRRDMEQAITKED VVFILDHALIGKTIDMPEKEVSRRVDDIFGDGVYITFDDFMRYFINNYAMWYQFGVPI TTGDEQAAQGSMDVAVELGPNAQGTAKEESGEEARGGAAVPVAPRGEEAGSSNSNPQD VGVWRRFIARVQHSNRAFSVTAHVNDSIAEVMVMVQNSTGIRASCQEWRMNGVLLDPK ATVGSTKLGLSSRNDASPEVVIIERDESLRLVMLYKEKSVRWELRIQETEKVLRLRAL VQQKTLIPLSRCVMRANGQRLQDRHPLQHYQLQNGDVVEVMQE XP_823419.1 MPGSLNSCPNNPNTQHCVLFSTVSHWTGPQSLYYCFPRSRTLLQ IARFILDDPDLSTQLGYGALLGSNRSTELLKQYIYCSAHVPAASSNERYHAASWCIAS VGITLILVATVCDYKWRVGTSSVTHEADETGNEGASELNPRATPACLPLISAEEVRED STKLPTTPSPDCSRQCPRAGGLSRFHQIYGVHSPLLTPEEQLTAQSAVDSSSASIPSA QEASVFSSLTQQRLGFQGTSMRSDEQFLGIKAGVALASVPTLMQESGDGGNSCVTKLH ALNVEKLNRSLQKDPLRGSDAPVLRSGVAHVVQCLSLVSSFQKWRGYPESESDLNLFN GLRVITFLWVTIFGTFWFTQQISPVNSSVVPSDSMLYAFLQKRIFSACAISTFLVIAG FLTLHRLHIYEEWQMKSPAVRAKWSRGTRRQWLLKGALSYTRYVVSRFVRVIPVALTV TLLVPNILPATSKGPLWMALSNAPALHKNCEDYWWTNLLLINNMVPTDGSKCCFPWSY YVALEFQLVATGPLIYRLARSLHTRVYTAGSIACVMIGVALRYSAFMSKKGKHLEKSE AAPYTFDAGALYEWPHLMFIPFLAGAILHRIYIAVKHRAQTLLMFGPDMFTVMHRCPE STTTEDRLSYFILEKLRLRSMRFLIMWGGIGMMCLCVFWGWSVLHLSQETTQVSVCRA AYESLILLFWCLGLCMLVFPLLFGYGGATRRFLVHPLWCGLSRLVLVGYLLTPIVIGI VNAHWGPTNVGVMLLLMLRWLGCTFLTFLAAFVLHMLVERPFLYISSQGKY XP_823420.1 MRDVLASFIEASAARGAWESALAAWNTALFTNSREVSSNHTLLL TRVLAQYSRWREAVAVATSAETALCSRQLAARRVALLATIKAGSWREACQQVLSVRRY LENSAAIGPSVGYTEEAEISKELYLVVELIEQDLLQGIPTEQRGLWDPVVGCLRGKVE RHPPKQAGELRRCIPDVENTTRSDEYQMRCGSDADHVTNNEELVHILRKPKERNSWCG ALRLLLDMQHPNAASVNIVITILKSQGREAEVLRVVNDFMVSRKIQPTAITVKAVSEA ANTMRSLPLCISILDNPSMRGKLTAQSAVPMVLALQRLAEWKRCLEWWSSVPPPTTLS GEGTTDYNSGGVPNLRRHLKLSSYVAVCLAGGGCWLDALAALHYAAPYDPPLAILFSL RALRVAGNWEAAVTLLHKNCSVWKGSKVTLQVLDVVTEQNAESWIPPHVVHMLRGLFR S XP_823421.1 MRATADKHVSFASELVTASWATANSKTRERNTTSRCGEYPTDGM SLALFHSGSLALQAVDSLRLELELPLVARYRVVNYLWRHCYQSWQEENAATLPPRPAS VEHSVDEYLRICRCDGGMAGAISSTSLKLVDGITLHRLTYLADDAGHLHPYSRRLDPV TRPIVRFLRQTCTLQLSRRQNNIICLLPKLVEIIIILCYKLELSAQRYGQWRLPPRHL TSEGRNYRKKGSGGKSEREGGDFSLSRLTHTCNSRSSVSLSWTSRCTWWLARACQRAS ARPHRLFAHLRALLLDPGPMCHVKAGYLQFLALRFFPDLPSIQYPVATFHPETFVWKS EDGSANGLEETGKTHTLQESLNHKVKLKISPHSNSK XP_823422.1 MRSATEYTDGLLGLPTSPQLATHKERSGGTQVGLCPCFRIAAGE FMHIYAILKEERLSWRDDFFDVSQLSVPRSTSEVLERLNLNLPFYAANYMTVCIVVTS LLLFLNPYFLILLCSLVLLLRGLFLHGKYQGRPNHCIYIGGVTVSYYRLLIVTLFVLF LFPVVWSGILNLLFLLLVGAALVLPHAVSRRPVYFHDEELEKRRPKALQYVVVFLLHF LSYMESPNK XP_823423.1 MGRNKSHAPYLGVLEDGLNTLQRIQQWGAAAELLYTPNSLVSSP QSSNEPHALTVARQIATIMARLNELCRREAEVLLTPRLALLELADVIRHGLVSFHQEG FNGQLGNAEVLAKYVAHRTAPQCGVIEGLHVITWVSVKLRIELFIEQLRKRVDGELAA AEGGGSRTEQQLSALRTTQLLFNDFESLYDNLLVTFPCVQYADTIPEVGAQLMPILLK QYYQIAEWVELVESNNALPPLTETRVQSVDAESSSFVVRRQNPEAPLGLVFNECGRIV EIDPSVRSASASGEELHRLLRCSEKGAVVVAVNGKPIPRSPPSKPSKVLEMVHDAVKK NKRVVVKVAKELFKQPKVHQLAFIMPNQGGEGSSGQRATLVLHRSDRLTPWGCQFDQD LIINKIPRRELSEDAKNFFTEYSDRVSITAVNGVEVTTVAQAQALCSDTETAVLSLVV VTPAMAQQRKNRTTRQDTSVTLTPQQIEQLQETPLVPEQKTLPPLNQAPAELLESDSV VDGNLDAALLSTSMEDTGEVEDVTHEPHSQVEEVDMNTYQRVAIDVHHGEDLEQEERQ KQQHLESERHLEPSGLEGSTDADAPGPLVFPNDVAIDLLTPSEMVIRRPSTEDRWGLV LNKIGTSSGDALRVLQLPELPPKGDTRRKHPFYKTFKNPSVPTEWRIESVNNTPVSKA ADILEIMRKSLKLSIKFFKL XP_823424.1 MTDKDGGTEMSFVDGATEGQTAGFADAPTSNPMGNAAMIDPQSF VSKAWGFVRFPAEAVRQQLNRVRPWSQFFDREQFASPEGFGDAVSRLRCNVVHFYHNY FVVALLGSLIVLIVNPMFSICMFLMLLMWAYTHKKQMEAAETNVNHLLIGNYEISFSK AYILISIFGIISFFLFNGSSVMFWMFFASLGVATVHAVLRKPHSENELAHFV XP_823425.1 MTALVVQRFRECQNLLDSVVTNLCAIENFTSQRSTVEEAAWRLR SSTSVRDAAVPLCCTDPLGMLAVFPESAVELIIAQHDDDMAALLRSLNSTQQMWGKKL QQAKEALQSGESGKAKDANVADKQRDVSQVICTRSFIAVLSQMHGWLRALILALRADL ANPPRAVKLSEFLSAHDPPLKSDITPVVIVSLEAALGQLPDRVRREWELCTSQHMVDE AWVMLLS XP_823426.1 MVHRQDGAVVHCSRETGRLSAIIAPSILASDFAQLLSECQSVLS PEGGAADWLHVDVMDGHFVPNISIGMCVVQSLRSHLQDVFLDVHCMVSDPDRWVEEMA KAGATQMTFHVEAVADPKAVARHIRAAGMLCGVALKPKTPVSSVLNLVEEQLIDMVLV MTVEPGFGGQSFMQDMMPKVEELRARFPCLNIQVDGGIGPGTIDAPARAGANVIVAGT SIFRADSRKEATESMRRVVQGYLGSTTS XP_823427.1 MRKGIFSHIIDRIAGVPHRSVAAIPYGQWFSLYVQCLKAHQRNT AAGRDANELKVARQNLDVSLLSSVVSQKWTEVIVPSDDKCDARQPRSSVGNVLWWHES AEGAWLSSNNKIRGLELVTTSFLLEPLLAGRSIAAWTETVQQSSAAGGPLMQNDKGQP SLSPNKSGVLVVVVPFSTLFQLRAEAYPRAHTASADGENTQQRQLSLQERRARVAVLN SLHQLMELQCNSNNRWTNVEFAIWSPVSEFDAIMHISQVRLSLPDAAKKRITLETVCE CEATRSAYLAHYLTLTKKNVPLAVLAPQSRQTELQQVPITNSCVRAQSACESEVRRQG HLKRSTVHRQAVTGCSELVRRMATREENLRQIMIP XP_823428.1 MDSVCPERSVTCVTWNHDGSNLAVGLRCGFIIYSTELLHLVDGK LFEILFQPVDGGAGLIALHGQCNLVFISGWAYDHRATVAIYDLSVKGEEHDSRDRAVL AKVTMAEAVNGLCFHPLLVMVGTESGLVHVFNQKLEKIQEYKTSQKIRTFAGATSKMA LGTMLDKEVAGGVNYLLLRGVVAGPTPGSVRCIRYVSERSMEVESSQSSLEEEGGCAA GPLEENVVELHTAEVQCIAITVDGRCAVTVSARGTMLKLLDVRKGVVIGQYSRGAAPN TVLSLALHQWIDRTVLTCISDSGTLHIFHGPPLKRSSEHHETADTTTDAKWSVPVVQR FNDYISSVGAKFRITIPEDGLSDVHCRERLDVGMAYSTVVRIHDASLSPTILVAQRYI KRDGTSSKGRLLFIKVDMAAEVPGVARTKDLRSFYFPKSEM XP_823429.1 MFYIDDLFWTSLNYATSWVGLVSILTYLLVVLLQQLALHVPQNL KKKYAAEWALVTGASSGIGKAIAEKLAEQKINVVLVALDDPLLSSTFAELQQRYPKQS FRKVGVNLGEEGMRYMQPIVEATKDLHINLLFNNAGYINTGLFADTTIERLRANMECN AGCAVPITHHFLRQMLQRQQRGLVSFTSSASCYLPGPTATLYSPTKAFLTNFATTVAA EVRDAGVDVVVIHPSPVNTNFYKNQGPLLNSLKTAQSAAGSPMNIAEQIFAAAGRLTV WDQGVTCAAFRIVNKVIDFQLFSELVVRFAWLNGDHKRLVANSNTRGKKQS XP_823430.1 MGSPTPNVRRAMIAVSRRLKLRRPPRTPSAGAVARGSCIEQTSS HFVTAAVERLHSQVAPVTPTHASHMDSHKRDLPCLLRTFLDQGHAPQLSSSVVSCAVS SKQIIGKTAKSAGLARNDAEDMLMQYRPKIDSALVRRVACAMDVEGALRRARKLTQAL REGGELDKMLSSCVSVSSQVTKQEMELQAGIAARFHQQARAKRQMKLRGFRLSTSDIQ VSEVLPDGNIVTFTNPTLPSAAGTCSRKSSLDGKQLPYLYFVLYKENISLGDAFRLLS EVSEGMCRLEDFAVNVALEESAVTAQLCSVRIALLNDETHGIRRSAAVKKLLRVNLRP LRLSVQLIGWRDRPCEPASSGMSQAQYSMLIRGISANEPTHLERKVLARFTAFPNFFG PRHFGPFCLFRTYHAAAAWERGMHAEALVIGACLSYADSITSGSGWIGKLVEILRQGE DRLGVLKEWYQFNVPHRLRAQIASSKAALVWNVLASCRILELGACTMERSPDVGDFVV DGGRCPAQVEETVEKVELFPECGCGKWNSPPSVERKGRDCANTEVVKFISTQEDAAPY TIHNIVVPLGAETNGEVRGLLMQLGFRPTLHPVEHSQKPVGHRPLFATGSGYPRAASP WVKALPELTGGIAGDNGGVFRLLTDVEMRQSSSYTALSRGRMRGIWPVGKYGYRLTDR LPAGIVSLAASDATWRGTRCLAMHFTLPTQVYSMSLFREFVDIEDLTEVTDRVSSAGD NRKVEGSPPVRVNTASVEGKGMASDPGQGFVDGDLMGLKRRVSDAGATNAGK XP_823431.1 MSVDWNTVHQTVSDHWDTSVVPGLSEFLEVPNQSPHYDKEWATN GHMKKAMDVVVKWIEKQPIKGMKYEVFEDEGLTPFLVVEIEGTEPCANTLLMYGHVDK QPPLLPWGEGLHPYKPVYRDGNLYGRGSADDGYAAYLAISAVAAVQKHGLQHGKVVVI IEASEESGSMDLPHYLRRCKDHIGNVDLLICLDSGGANHEQLWLTTALRGLCGGVLTV ETMREGMHSGMSGGVVPDSFRIARMLLERIEDTNTGNVKIPEAICDIPEHVIKAAEVM RSIPLKKMFALLPGVAAEADDNAELALRSSWKAALTVVGDNLPDTATAGNVNRAKTTL RLSLRIPPVADAEKVSAAMKSILEADPPYNAKVNYTSHHDGTGSATPALKPWLAQALH SGCETAFGKTYASQGVGGTIPFVAMLQQMFPEAQFLITGVVGPRSNIHGPNECLNVPF AKGVTTCVARVIAEHFHATPKLRKD XP_823432.1 MRRVVVDLRPKECYDEGHLEGAFSFPWEDIQNESCGLPPRETLL TAIVDERIDQGSVTAYLSRLQYAALEVRMLGQHEEMVRRPPEGFCWVPNPFLVSVLRF VEESSSGISFALDIGSGSGRDMVFLASRGWHVVGIENRRRLIWQGETLARKHDVSGRV AYLHSDIKEAYPVRSGSVDLVHVCRFLHRPSLSRLLELPRRGGHLVYSHFLEGCQHTK VGHPSSPAGFWRRGELRSLLEDAGYDVIHEEETTLPDTRPFLHILARRAV XP_823433.1 MPCFLSVVRSRFMELRQEEPSSQQRSNFVPKSPNPSALMPLPGA GIQPICPQLPNANHAETERSAIQAGRHAFFATKFSSRPHTAVTARMSEGKSATLGYTF LLLWALLSPHLLIPPPKKQQCTCPTGPAQ XP_823434.1 MVGQILSRRGLILVCLTFVAILLIFSTRSKRIVREASQASFSAP PPLPEFDYTEKDRESLQYVPPDVVRAWRERNFLVMLGVLSPDNAVRRRRRHLQRLTCW QYQGVARRSNNFTGDLLVTFVLARHPDHNYTHSPQLVEEGLRWQDIIALPIKEGRVST NKVIGQDGRYWGPDAEIGMSRKMYKWFSLMLRLLPNVNYVAKSDDDMFVHTPQYLADL RALPRRRLYWGNIVNARFMPLLFATGGLYTASREVIEQFLTYEPLKKLVDVPYSKERE DEFTSLYMQHEDLLMGRVLYEMGYQPLFVGEPMCRFHNLHGKGWVGPLSHSSLMIHNV KEEEYAQLREYFGEKKQYRPSRFKVRRGRLYATCGKR XP_823435.1 MANFVRKGAMGRINAERLYAFNKTLKKDTLRERGYWEVSKDSHP INRWSYRRWKQRKEELSVFEDKLEKVPVDQKFKIKKLLTVANISVLGPIMILLYFAFC YLRYRLWGITPIDGAGAVVRGVQNLPRPPGY XP_823436.1 MVRLTVLVRCGLGFYVAVHPWLTGSGYVKVGCTADFTRRLEMAS FTTCFTPEWSYYAVFRCESMKEAFLLEQAVLFLFKERRVPQRELIKGDAQDVTEGARR VCSTLNLNALVNMAPVYIEKNSLPPDYASSGRISTEKNSRREAEAPKLHTLEKYMGSL EKLRESMVSLNSPCDAPTDDDIFNSVETGDGEDYEIGCDFEVVDALGEETFSLAALRP YQKDAVARCLEQLDSCGATICQMACRCGKTPVAYDLIRRHLEMKPNSIILYLVPGLAL LRQTARKLYSYGLKDVPFLLIGSDSGSIFMGDGCVRNMTTDAELIRSTISSCASHLIV ISTYQSSHIISKMPNFALTVFDECHRVCGSDLPTNFNNVLLQPRCGRRLFLTATPAYD TPLKMDNTYLFGDIAYRYYLREGIDAGYVNPFAVRIVLGESMDDMNPYFYEAMNTVDK MIVYCRCIEHATKLAADLKHPLPDDITPFDVLLAHSRMGSAAVASVLRDFSASRRCLL LNVRLLQEGVEIPDLNAVFFAAPRYSSRDIVQSICRPLNKMENKPISYVFLPATINKR LPEDHPVNLEKFSTLVPFTDALMDEDPLLFEYLIDPHKVSYDVDVVGVRSLKLSSERL RRFVLPAIRRGVRYSSQNTDRLHRATRLPWKNAFNEMKRIVLECNRYPKTNDAWVIGK KSVSMALFYRYCRKGYRQYLDKEPSCLRMHQIRDLESLPLWRTYGLHGPYPWEECLNT LREYLEAHGSVPPLDVHKGGYIGLDATPFERLCGVLMHVNQCDACTKLRLDPAKQKQL DRLCKPFGLKWKKGRDKDGKILPGEATFITDSYDRFKALYEDRQKNNFQEYLDTHFPG YPLKHERMEDPRNLRKDRVPPRHVSRDEVTNPRKAGKVMCRVCRRNVAVRVWEQHLKS KAHRQNMK XP_823437.1 MLSSGERSSLVHLILQRKVVVELLQVVIARGAASKNSVLHGAVG SSEAYREKEDQCTQLCNCIALDASKSPHAKISILSAEVERVRGPNGISLLDFMALSPL FLLAFSLNKLLYSFHSPECRMASIELALAYASQGAYEGASRLLRSTRRSPVLEPAAAA VVEELEAFLRMSRGKMTCTLSDAKFQHLLPLVVVLGEGKGSNAVIGVKDRLQECRQMG LPDTDMLYCYLSALTAGFSMLARYSHDTKLEEARRDILMRSRHAKTLEDLQMLKELAQ QQIQEKCTLNAKRVEAVRFIQSIMRRCEGFLRGASCQDLGAVFAFAVVKLRWEKECEI VTDRGFAERLVAFSQTQELDPALRVILLADSTAVLEGTKEQPASYVYDLSWVELPSEG EGLTSQALFGD XP_823438.1 MQPFGQTQLVPNRGYGGYNTYSHVGYPPQQYHAPTHYPQGGYYH SYPQGAYNPVHFQGFQNTVLGHMGRGRAPYNGGRGGGGYGRGAYVGGTTGDRGRGGFG LRARKKKPFVGGSLETQRRWEKETTCCFFLQKNCKFYDACRFLHEDDGRRPCQYGEHC RVGHADRVKQADGTAEADRHSSHSVDGRDQADGKHDVAAESP XP_823439.1 MRRPREALTLPSSSVFQMEERLVFEREISGGISDYFANGGVCIT GLSHSFYPFTAIALWAGGAPHSPDDVRLPLLLLALKTDGAFMTAHEANLFGGTSVAGC RYAQLTLRCFGASCPAVRPHRDTRCIQLVVSFLNAAWASTNDDGGKQFATISSELEEF RGPVPLHIVQLLLSVPAIPTLLECVVRRELERCSSDEGISKGLFCACVEGLLSSDNFF TSHHFDEMDAILRCIIGKIGDSFDYLSVRWTPILNKLSRIYMRQWRGEALMSLHDELL AKIPALETKLPLSYLLRCVGSLVDAGATGEADDGGDDARIWRKIVAVTTLAVRLYGSC QSNLQSVWVIFFKGAITLKPQSMVYIRVVEAYHVCASLGHPVIVDKSAFSQVVRLLVS VVGVRSLKETATAVSEFYRFLKEHPSINFLWVIDSLIPIMSEIWKAHGELGCSIVQNI TANLQADYFPSRCLSGIRLGDNPMVRRLLEDFKVMERSQFWWRCGCGTQLPSCAQRCL TCLRQGNISWTCGVCGARHSGRVVETVCNCGSPHPRMREAELMDVGVCEGCGEILPPT GECGKCGEIDREKQATRECPSCGCLYSKQALCCPTCYAANEAKSSLLWHCDACGEFNH SAWSKCQHCPGKRKVGCIVTPLQPWVCGCGGRNHPCRTSCESCSLLHRGAYTCACCSI VSTCQKAIEVPLHNSKLRLIVCERCGNVHPRDCNVLCSPFLPRHCHRCGVGYTRESML SEECCNVCHTRLCYDELRPFCCSHCGQKPAQIGFQCTSCRAPREDVAAADVYVWRCLR ETTPATAVTGTHVCGQWNYSWSDHCISCREARARSQYECRARFLPWVCASCGSDNLPT DVLLCPNCENGLQMAPECSVCGLPHLSLDCTVKEDI XP_823440.1 MKATKDPKVGQNLVRMDGRRKCDPTDFDTLYLDMLSEIATVSEH RASIIKSAFPSLHALLEAIDSGTLERVRVRGYDEERSCSTLDPYISKVLSTDYTATGS VEGAASLVEVSKKFFQQSSEK XP_823441.1 MKATKAKSGNTATNAVPFNNAPLKIVFLDCDGVVSPFGGPLFAP RQMKFLADIINGSGAQIVLSSSWRTTDFGRKEVARQLTQFGMPVFIDCTPTLPDKPRS VEILSWIDSNKRKYNIVNFVALDDINLPLSAPDRNFFARHAIVTNATTGLTEANVKQA LQMLSDTNNI XP_823442.1 MGLLTTGGQPLQWGTEENNRAKEYVSAHGIQQFLWVYNKQKELP DFPFLWGDEIEHQLVRLESRKVKLSLNAADVIKRLSQSSGESTAEWRPEYGSFMVESL PGKPYSSNVDSLCSVEVNMRRRYHMLDAAAGDNTFAVTLVTFPLMGVGGFTTSTETES PCSQSLFVPDACINDSHPRFKALTNNIRLRRGRKVCIQVPMFIDRYTMERTVDPRVNI DLHPRNVEIVCTFSGEKTSSKGKKFSCDTITPKRVPLENEAVTNMTHLYTPVTHYYYA QYFQNLQAERVKQRYQACPCPVPSVNHPCIYMDCMAFGMGCNCLQLTMQLPNEAQARH IYDQLGILCPLFLALSSATPFQKGILCESDVRWLTITASVDDRKYEEVPHIIKSRYDS ISVFVSSLTPNLEEFNDEVLRINDSYYNLLTREGVDSRLATHIAHLFIRDPLVIYDQM IDIDDHTHVDHFENIQSTNWQTVRLKLPVLDSTLGWRVEFRVMDVMPTPFENAAYSVF VVLLTRAIMRFGAVFYTKLSIVDENMGRAHNINPCQQHYIMRRDIFASKVTTDPSENC ELTVGEVINGKPGEYYGLIPLVRRYLEEENIQSDVVEGYLNFISKRACGEIPTAAQYL RNFVKKHPDYREDSRLTEQIAHDVVNHVHQLACGGNASESMIGAYTLGSKRQREG XP_823443.1 MEDAKYRTFPAKVLLACDERIHQFIDASIYNDWNAAVQEWREQK QARVSLQRQKPPEPKGEYAPGNKLTQFSDEEFLKLTQQLEEITSAIAGPSAALPGPTF SDGGDGAGSNDCCHSGAKHDLYTLDSSMYSASLDDKQLSLCQKAAELYKVGDYSEAAR NFSAVIDSCLPTVLNAAMVSNRAMCYLCCGSYRCSLRDAIRSCEMNNQYVLGARRAVR IHICCGKCSEARGLIDTYSKTCGHGFEEELANITLYENSTALFDRNEHATALKQLNEL LKRAPCAPFEALKVQLVAVELGSRAALLHAEECLRRYPNFPELMYWNVQLRFLECSNE SELVAILSLSRVSASADCAARFRQVNSRIQQCIDLCRELEKTSAAKNWPQLVELCTKA LQRPFIGDRLRAAVLSQRAQALYHSGCLYECVDDLDVALRNVEGVRDRAELLLLKALC EEKLSRWTDAMHSVERSMREERLPAAVDMWRRLKGRKKTSDQRERQGEKKEEERKWTK PVAPTSLAQLYSRLALPDGAGAEKVRKSYRALAMKWHPDKWCSASAQEQKEAEEKFKL VKAAYDQLIGIIVD XP_823444.1 MSTKLGTGWSAEGPRSFSFLFLDIIIFIVFYSFMSLRVTLQVSE RSWATPLDIQNFCSVLDSPVCPFSSYPVLVWYPLWLCTGFIFVVLIAYCRGSVKIQIR SSVAGMEERQRRHPRVLTSEVYNDAEVTFNLLENKEKLVSLLDCVTLLRAMGMNPTQD DIDYLRERMAEPVLRLEEWRRNEEIRRDKEQRREEMKDRKRIGTVAMKKNTKLAGDRS AEGTVQDGQNPQQPVKITPAEEVKNIDWNIFISCVEEIYRDAVTEQKEVLGALRILDT EGDDSITIDELVELVTTNGESVLNPAEVQQLRSLLPKECSLPELASRLQGTYVPPTKE ELERAAFEEIERRRQQEAAAKAAADDAMPLV XP_823445.1 MKASLISVGDYLPVSFPFCLFLFLLLLLRTSRRGIFFLVRFPPH HKSLKEIIMTAKPASQCKEGKEGRVQDRCADGDEGLVTLLIQTLKILIPTDISGILMM ASQTITLMFVGNHLGEKGMSEYSAGLLVFNVLAMSIVCGLGAAIDTISSQAYGHDPHS PLIGETLQRALVIDLVLWALMSIFFITSKPFMVFSFGEGLGEGGALFLAHCPLYLLAQ IVSGVVSKTLLAQRQPSLVALANFVSAVASPFINYYLTPLGVHGAAWALGCTVSVCAI AVSLIAAFHPAVVIFDAPWPSPALLRKDEWITFLRVGLPSLVAVCAEWWAFELQACFA VTISPLALAVVGVFMNILSLLFALSLGISVSASVIVGNALGSGRYKFSKRYAKFIIIC DIVLGVATAAALVYNGAFVARLYTNVPSVAKAVESTMPLVALTHMADSLQLCLQGIFR GAGQPKQAAQGVLLTLWFVGIPSIALYVLVFKWGVKGVIGGLLTGMVFEVILLYCLMS RWDWKKLAQKALELTEGEEKALLSTN XP_823446.1 MEVKIGKDVALLTAHKTYRDDGEDMVKAVATYVPFMNYVAACES SGLVPSAFLVRNVRHIARRVVGVIMDVECNTPTGKIVQPVEMSDFSPAILLPVVIVET VRYALLLQRRCVAVGCGLTTEAFCGAKDSGDNITWQNHELLTSAGFDLRDVRKLGFGE YSVGNEGLPPYTLHTIKKGMSSEEFEQLQKISAGTADASLFAVRLEDVMSSVNDAKAG LAASVLLLES XP_823447.1 MDSLYSWNDSTKIGIALTSLGVFFNFIGIVMFLDSVLLTMGNVL FVAGIALVMGPSRFKSFFLFRRRASCCFFIGMLLIMLGRSLIGLMIQGFGTLNLFGNF FPMVARVLESVPLLGPVMLSPPVQKLLSLLGLQARGNRNV XP_823448.1 MAKEKKSFKKYAKKHLATVIENRRKGAKAKKEKLERVERKKVRE EKEAEREEREHQEQLERLKDTDPEFYSYLEEEDPTLLQFGDKDMDIVDENEGSDAETE PDEEEDGNDIDDGDDNISGIADEGNGEQSIQESRVTSGELDRLIKEKNVEACVNIFVS ALRELGYRVKESPRASTRRKFEDPSLVKESMLRVAQFIGSNLSTVITGKGAFKTPKTR HLVRRILSSLVVSLDESNTDAALAAGLLHALVAFVPILHFLKGMTKSVLKASLLLCCA TEESVRVAAYVVVRSIATRATGTRSMYQSTAFKGVFLTLIRTAHSYNIRNAPLLAFLT NCVVDLYGTDMEAAYQHAFVYLRQLAIYLRGALQQQSQSNVRAVVNWQYLNALRAWGA VVSNYSEASQLGPLIHPVVQLATGLMDLFSSPRMFPMHLQVIEVLNHIALRADGVFIP VAPYLLRILTSPSIALGHHSNGSGRGETGDPVDLRFTIRVKKSQARNFSYHRSVWSEC LYLLSEHLATHSHTVGFPEAFWSVEVTLKRLRTEVKVPKVHAQLSALLRHIQTTNQKI VSKREQSGPGPCDLAAVKQMEDELKASGNPLITYYQSLRQQRIEAFAAKQKDANGEER VTLEATVEREKSKKNRKRQRSDHNS XP_823449.1 MSGIYAISIPHIFRVDQVLDERCSNEELFEKLVYDRILSSAEQP DTSCFLAYGHTNSGKTHTIAGGRREAGLLSLAARAVLDMYGYLEVAMIEVYGENVHDL LAQGERRLIRRRAGPDGVAIIVEDLTTCSLSTLQEWEAVSAFGMETRRTAPTERNSRS SRSHALFTIKSRGLRLCMVDLAGSERQTTYSPQLNSESIAINKSLSRLSTVLEALSTI RRRPDGSSSYVNFRDTTLTVLLQRYLCGASMTVFVACIHPDVQFVQETMSTMRYTQRL KHIKTKPPPQKPNDDSSLFHPKEHKNLLEELLALRKIVGINPCAGVAAGNDDTLKTKL YQDNCAPPAPPQDAAPRVEGPDRSERSIMRHKQRLLRSKDIRRVAGWLVSRTLSMLPQ LSVGFDDYFDDYLPGEIQVVGFVSLMACLPPCSKTEALNGLAFLDVGDPSIGLSMLDA GIPACVGLHKLSCKEVHSWEVHEYNETNNIFLLAFFKVDEQLVEPLGASGSPFACCGG LLTLEPLMPLALVFGAPLNAPNEVKENVLQHLVTLQSEQHQPAGASNDTDASQSVHKK SNDSHGSVPFFNSEVLDALSDYSYHYEVGEDSLIGSPVGPQRKALTDHNEGQNGDGFR SQISWESVQFQEDLTEMVCCRAVGSADIDEADDPEPSSLSSPSTFCGEEKELNPVPLP NSVAVRAVSCDIDPEKGEQSPQSVGEQIITDSADQCDVETLDDQPSLHAGGDESEISF KEVELTVGTTANTSSSPGLLESQPKSSHILVACEEENRLDCPRGEILELSGTLASESP EVHKGKGKKTKRENRDNHRDRDPVKQGCHYCVIM XP_823450.1 MLYFTLIVRQQNALVLAADTDTCSEEIEQCKATAKGLLRKLTLD GKKLSVDMPPLLTVSWKNYLFYILAESGVLFLTMCDVATPASIPQAYLEDVAREFLLQ YGSQVEAATRPYCFIKFDLYLLRTKKVFTAPSSSRLNIARSGRPMPVKRSYQEIMSGI QNKPTPSSLPSSAADDGNYFFWVATLCLLAVAILIILVYVFYLSA XP_823451.1 MDTLLMIGAIAGGWLGMDLMQRKRINILQETIVRQEVELYRLSR FSHLCAILGTSAAVGAGLYFLYTKLRTFREEPTGSDWTAPPTSYEPSPARNEKEECVV CLQNRRDTLLQPCRHLQVCWACSTGLNSCPTCRSHITTRIHTFNS XP_823452.1 MSLPELDSAAESLLRDPQYLLKLHHRVAQCLRNCNPSTFVRSMS TAFTAIDSKYRARDREQSTELWLLKGILRQIFPVKSFSDRELAILLAALPLSEYSGAA ASEEGCIRVSPVTLLRCLRQMCPMRSSMLYETLRGMDAKSPRPHASEFPCGRELAAHT QVGKEDMCVLDSAMLVDHLTQTHGLTLSEAFFLIDYCSTNTAPSATKVAIEAPYLYAL LYLRPLPAELHYQLILSVFAEAVGDPNREGHSGTLALITELRQVPLEPLDTSGGTELS RACADIDQDLVRCGLSASSFEKLCSGIRVGLTKDDIRELFSYLRGREGGFHEVLSVKT LMQEFVCHFAPVGESLFAIVVEAVRRYVVKEGGMLALPRLYLNLPDGELPIETFVASF RKAGVPDTVSDVEVEWLRFKARNKTQLIALLGGKCSAKREALIKQLFGRMAGGCDASG GVVNVTTEHLLASFHPGNAEGGLVSGEEWRHVMSSCLGEKFAYDRFFYFWNSISAACS DDSVFTMILWRCFNMHTKP XP_823453.1 MLDAAPAVCLVLGGCQANMFLLELIVAKNRDTMYAMTFAQYVAV ALLSLPYVCTFRKVPGKAHLLPLQMRPGRLSTLHKLAVGVTAWVMGVATNLAFNMHVS VPVHSTFRSLPLLLNMLVGFFFLNKRYTFLQVACVSMITVGLVLLTVEKSRRSSRSTT DGSSGTQDTSEYFWCLCGMLILLFTTVLSTALSLMQEHMYKTAERREKALETESKKTD NPKMPRSVEEATETSPAPMWAEALFFSHAVGIPLFLSQPSRLFTEFASVSSENYVYFL LNAVTQYMCVMGVYVLNNKTSAFTLVLILTLRKLGTFTLSVIYFGHYRHFNTTEWIAM FGALGASVLYPLLPKA XP_823454.1 MMSSSSSAQVLAGIPQIGATTPVTTQSTVKREGSTPVRCRGKVE RTPRGSQSSQDAVRVAVRVKPSSDNSNLLRYVIETGTLATTPRTGCRRESARLGSANQ CAKSAEEAANIGGGGQGDERGSLSNMSLSSSSNINGGPVVTGRGATRPVPCFAPIAGT EEGNMLTVITPTADGAKEVQRTYEFGRVFGPSVSDSEICESIVPGIVEQLRAGFNACV LCYGQTGSGKTHTINVLAPAFINALFDNLDAENDIIELWYIQIYTNNAYNLLGRGKSE HGMLLQKPLGTAVPEPRYLVQNATLAIARIAEAQRKRFVSHHALNARSSRSHVLLSLH VTKCVGGIPVFTSRLTLGDLAGSERVKRTGVVGDELGEAIAINKSLSVLHSVIRATAE EADVLPVRESMLTLYLASTLADCYLLLIATVSLDKRSAAETKSSLDFATTAKRCVLTK TKERVRDLLMRNGCSFEEAYGNLTREIETLRHRVATLQEEVNIEKQLSSLTRREKHAP NSNDGMDHEGKEPEQPQKVNGDAATNTENSLANASGANSAEDPVIRDHVVALERQCAI FQSVLRERERDLCSLESRGDVAEELRQELEQRVRQCDEAQQTLEEAVLEVGTSELLHK VTDTFRWMQEQFDSMSEQKQVMAKTVEAMRSEQKRTMAELLEARKHIVQTEKSCEELR QRLERVTNENTGLTDQLEELNLKLLHEYTERVIREETVAWFKASGERAEECERLTTAF EDQRNLCDVLQSRLTSTEEELERGNKEHCALLQEMQKKDEAFRSIWILLTPQQKARFM SVGYGGDVVENSGSASLHQGHENVQLRSQVRTLKKQLEDEILCSRERDYRIEDLECEN RLLQERLLHRENEYKSLVALDQDYMDEREQMEGQIAHLYTYIEEHNMKQRTSERQLRE VQIEWEKLNEEYCNSQREVAELTAKLNKAKEEIRIQEANKHVLKNRHQHESRERDMKL AVLQHQLAVKQRTTDEVSRRLGLAERRRQHVTATVTAHKHHSDLVRRKAMKRNPELLR CQVGQPITTSQLIRATSLQTSLKRGHSQRPQRDAPKGLLRSRSSVSVDAAAGMRWDSR SSSNNQNGYVRRRTFSLNKQRDAPARSKFARTNSTLVERPALFF XP_823455.1 MGDTGPKGVPGTNDAGEVHKPQKPQRRQSVLSKAISEHREGDDG SVPLVPPSKGLTSAEAEELLLKYGRNELPEKKTPSWLIFLRNLWGPMPIVLWIVIIIQ FALQHFADGAVLLGIQLANALIGWYETIKAGDAVAALKNSLKPIATAYRDGTWQQIDA ALLVPGDLVKLGSGSAVPADCTINEGVIDVDEAALTGESLPVTMGTEHMPKMGSNVVR GEVDATVQYTGQSTFFGKTATLLQSVEADIGSIRIILMRVMVILSSFSFVLCLICFIY LMVNFKQKFRDALQFAVVVLVVSIPIALEIVVTTTLAVGSKKLSKHKIIVTRLTAIET MSGVNMLCSDKTGTLTLNKMEIQEQCFTFEKGHDLRSLLVLSALAAKWREPPRDALDT MVLGAADLDECDNYEQLEFVPFDPTTKRTAATLVDKRSGEKFSVTKGAPHVIIEMVHN QDEINDSVVDIIDKLASRGIRCLSVAKTDSAGRWHLCGILTFLDPPRPDTKETIRRSR QYGVDVKMITGDHVLIAKEMCRMLDLDPNILTAEKLPKVDVNDMPSDLGEKYGDMMLS VGGFAQVFPEHKFLIVEALRQRGYTCAMTGDGVNDAPALKRADVGIAVQGATDAARAA ADMVLTDPGLSVVVDAMFVSRQVFQRMLSFLTYRISATMQLVCFFFIACFSLTPHDYG IENPEFQVFYLPVMMFMLITLLNDGCLMTIGYDRVVPSKLPQRWNIPVVFTSAIIMSV VACASSLLLLWMALDAYDEKRYPNSWFGKLNIPSLKEGKIVTLLYLKISISDFLTLFS SRTGGRFFFSMAPGTILLVGAVISLVISTIAASVWKKSSSDGVPVEGLARGETVADRL YPLWVWIYCILWWIVQDVVKVLTHMLMEAFDIFGCVSRASGGKEIVYNAKSSKEPI XP_823456.1 MGDTGPKGVPGTNDAGEVHKPQKPQRRQSVLSKAISEHREGDDG SVPLLPPSKGLTSAEAEELLLKYGRNELPEKKTPSWLIFLRNLWGPMPIVLWIVIIIQ FALQHFADGAVLLGIQLANALIGWYETIKAGDAVAALKNSLKPIATAYRDGTWQQIDA ALLVPGDLVKLGSGSAVPADCTINEGVIDVDEAALTGESLPVTMGTEHMPKMGSNVVR GEVEATVQYTGQSTFFGKTATLLQSVEADIGSIRIILMRVMVILSSFSFVLCLACFIY LMVNFKQKFRDALQFAVVVLVVSIPIALEIVVTTTLAVGSKKLSKHKIIVTRLTAIET MSGVNMLCSDKTGTLTLNKMEIQEQCFTFEKGHDLRSLLVLSALAAKWREPPRDALDT MVLGAADLDECDNYEQLEFVPFDPTTKRTAATLVDKRSGEKFSVTKGAPHVILQMVYN QDEINDSVVDIIDKLASRGIRCLSVAKTDSAGRWHLCGILTFLDPPRPDTKETIRRSR QYGVDVKMITGDHVLIAKEMCRMLDLDPNILTVEKLPKVDVNNMPSDLGEKYGDMMLS VGGFAQVFPEHKFLIVEALRQRGYTCAMTGDGVNDAPALKRADVGIAVHGATDAARAA ADMVLTDPGLSVVVDAMFVSRQVFQRMLSFLTYRISATLQLVCFFFIACFSLTPHDYG IEDPKFQVFYLPVMMFMLITLLNDGCLMTIGYDRVVPSKLPQRWNIPVVFTSAIIMSV VACASSLLLLWMALDAYDEKRYPNSWFGKLNIPSLKEGKIVTLLYLKISISDFLTLFS SRTGGRFFFSMAPGTILLVGAVISLVISTIAASVWKKSSSDGVPTEGLAVGGDTAAKL LPLWVWIYCILWWIVQDVVKVLAHMLMEAFDIFGCVSRSGRGADVECGSVCKDGEGGA PKEPTL XP_823457.1 MRRYSTRMLPRAKSLRIESIRNVRTANRPNRLFATASSCNRAFT AVRHVTLGEEQACRDFRYDGDEPTLEEYEDLLLNSAIPDSVTNVTGIHNLHDLNAGES GGYAAPLRAPQLVNSILDLLPSDGTGLRISTIAQALDVETINEVCGSVLSFVQLFPHR FRCYQSEEADGSLRWYVKRTKPVGSIVPKPAEAHLSKCTEEQSANDGRAPEPVPGGVK LRNFHCEVTEEQKRNFFLGLKGMLPVDKPVATASLLPTLPPELQNFVRSTGGGLLRLL KDTVAEEYVDLSADNTLVSVRGILAQRGLPTHHGAGNCVASRHDLPLVAAFPDYAEDT WDVELDLDGNDSAAEAVDDSNLDYANDSSKIDSNFFGTGSSVVVSVADKVLQDTRMAP PAPPKPVGRDRKRGTPPPIQQEVGYSRLPTKRLSPDDLLRVHTEMALLRGRHLPSQLL ELFVECIPTFFIPVQQIKLTDPLARALGPHNTIHKVIKIYSYYFDRDATKDVVRLKPG LQHERLGMANKLYQNGAGATSVAEAACRKLQGTDATRAFPVLQPPLRTARRAPPCTQK ERAGCDSSSTSVKVDLSLAGVFNMLEALPHDRYFTEAEWAVSAGVTVESLRVFVEENY SRHYFLTTGSQSQHGDVAKMWRLRPFWLAPDCTGELDENSSTEIAFIVPCLKPFWMPI GKLLQKLPPEHREVVEAVAKEGGGLSSWLRSHGRICWVDESGERVRKYCAEEGLDDVL HIAIVFLKHHATFDHVKLRHIINSCEIHSTSKTQDISKHTGGIRHLIGHSLQMWKSKS EDSKYTSYVSAANQATDEHILPFLLKHTKHLDIKVTQNDIWVAKKSFFRKKT XP_823458.1 MDIDSPYKILGIPQSASVADIRAAFRRLALTTHPDKQGSNTSGG EAQMMLYSSHPFYVIKEASDILLDPVRRAEYDEGQQRMYARSIGVVSDVHDISDFRLV EERKALCSEDRVCGDVTIQVYEMECRCGGAFEIFLVKGEEGNMDKLCGCDSCSLIVSV RRQTQQ XP_823459.1 MRRFSNCLLCFGAITPVGDSKRFFKSDGTPYNRDAANNLYGSEH THRGGVEALSEGVAAGQYGEHKPRAIMFVNKRPVEIIPQEENLLEVLEREGIRVPKFC YHPILSVAGNCRMCLVQVDGTQNLVVSCATVALPGMSIITDSRLVRDAREGNVELILI NHPNDCPICEQATNCDLQNVSMNYGTDIPRYKEDKRAVQDFYFDPQTRVVLNRCIHCT RCVRFLNEHAQDFNLGMIGRGGLSEISTFLDELEVKTDNNMPVSQLCPVGKIYLGDAD ENNLIAEQLDVSYESECGDRGTVTLGK XP_823460.1 MGQALTGVSCIYFSRISARCAASDGVCVRSVSYRPRWGYSFPFR SKGFRDFIYANDDGVFATAYTYRNDETGITVTLIPLRHFAHPQFFHQVDLLCCQHQSV LMEGRTPMSGAPYSTIVPPRELSAVVRPKEHEDSEGWEPRETELFWQPFSWGVSNSPN FTVVHAADKYDYERIPWWFSLRFNLPIVGSLGREKHCINMINHLKTQGYKSFAIPWGA SHMPIFNEILIDNGFENVGMCSLVVVRRCDGDISAGEHERMYRLQKRRDNMGTIGWLI ATVCSLTVLRSFVTVDYTKG XP_823461.1 MRSLFTITQGAATYKLTGEWHLGRLEAVRRRRRSCNDQAHNHRG RTTNSFEGVETAMEAEENYARSAAALVERARTFDPVDESSTTSELEKKVSQPTEQQRP ANSMLSGCVVWCAAFLRH XP_823462.1 MYQLYILGVSFCSCFFHCNLICYCTLFPNNTVGENKLHRNHLIL FTGCENHPSIRGSGFHPPRDSVFHCFQYFIQPHFHWSCFRTMAACPSSGIPLILCFSV LPKIIVSAVD XP_823463.1 MQKHKEEYATFEIGLGRNAHRSDLLARILPVPMTAQLTKVEKII FSSFRAYTPLSIFGDTEGGSPIISAVVSAKPRQSTGRGGRKTPSPPNIFERVGHNVAT LPELVEERVCNSDTFCACTKPF XP_823464.1 MGDDTTTKSKRDETEEERRARKEKERAERKAKEKEEKKEKEEKE VGNDGEKERKSKRDETEEERRARKEKERAERKAKEKEEKKEKEEKEVGNDGEKERKSK RDETEEERRARKEKERAERKAKEKEEKKEKEEKEVGNDGEKERKSKRDETEEERRARK EKERAERKAKEKEEKKEKEEKEVGNDGEKERKSKRDETEEERRARKEKERAERKAKEK EEKKEKGKKEMEKEKEETIDEDGDDLQDILSNKKGAKQASPRNEQGVSPESRRGRTTA LVAGQKTEELAAAMAAENHAIRQAAHEAKEAAQQNERRRAEEEREREALERRELRRKE REEKRNKRGNDSKATTGPLGTSAFAAEDLQRQGYVTQFDKDLQRATALRRLVDMDDVA AALVDAPPQSQYDMYIRSFGESGRKQVGVQNPAEEDRTDVGVQAERVRVKSRGVEVPR DLGLCPELHTNNVAQFTTGKGGTDGGTVTENTQQQQFADGALLAGFLNRVFPIIRAAM DENEGVGSDATKAKSETQFSSSCVSFCFSAARNRPVLKVLFNPCNPTYIVALHGSCVE EGPSKEMDRYMSVILLWSIYDNTAPEKVLVSPSVITCISASPRKPYLIYGGSEDGSVF LWDTREPERNHTTAGRYEGHRFRLPSFSTSWQAGNHLAPLVSVTVAGYNTATGIRKDE AEQLVSLDAFGETHFWVVNEKDQAKGAIIDNENGLNMFSTVRLLLAASKGSDRANSAS LSQEAFAIDFVPSDPSHYVVACAEGVRHISRFGSVAAPSVYGPSSRFFNQPIVVPSCV QYNPVDNRILMVGYDDGSVRVYLHTEGSPQISIPLSTHRVTEIRCSLMDKWLYWVLDA GGMFYLLDLAKGERELPVFSQSLSQTNAGICTCFDTPPEAKAESRMVVFGFEKGEVQL HTLNDLTHSLNPDRNELWL XP_823465.1 MSVYGNGGDHEWTPSFSPPLYVQRAQKVQTLLRENGCSSFIDAG CSSGGLLRHILTSQLQEHSFSRALAIDLDKVALHEAKEAITALGFSSPVALLHPMHVE FVQGDLTKPPVFTPFEEDKEREREIGKEATQLGEAVPRTQLSHQYDAVISIEVLEHIN VSDVPLFTEVLFAHLAAACGARVVVITTPNRDRNGIGNAKSNVTGQLGGSIQPRLNGA PHSLPGLPYNVRHEDHKFEMTAAQFRRYCDYVIEAYHPRWVSYTLFGVGEMFTQGSIF HAGPDRVAVRRQKVLPSLPVTLDALKETRFPLSQLSGVPPLTPSDAGGSEINWTHDML ARGRLFPWEEVFGELSTRPDEDMLCCIRTTSPYCSLPPVEMPYKPLWNRMGEAVRGAF AAAASEDEVVQEHDSYLSFADVSVNYHYRFFAPFNASLCALISCMLRKVHRRNGIWNP NDRPARPLVRCSESASGYVRLVLAWILYDCWGVDALKRGVGDDSYGSARRTLSSDEMK VLLFLSSLGFFPGSVHHLRSVLHRGRLLTGSGRRRGVGGNRRAASATSGCSSEIDKAN REKIRWLSYALFQHGVSARHIASCWNNVA XP_823466.1 MARCIIGARRVTFWSMEQFACLLRSFHRNGQVVVNKNESSQRFA SLRPFLIDLPYSAAMKLLIRLFMLSFHFYQFVWCVALVGYFIYIYIFVKVIGCAPQQL LFLCSAREG XP_823467.1 MSVKRNTQTQNRRSLKGKGAIVSVSDKKPGQQTAGGSAATDATR CEGETVSNVAGNSVNEHEHTSNQQQPSEVSANQKGVCVTVPPLGVGVRHSKEDKEGRL HVSLLPGSRKEGLEVTEDDTPKHSSTTCGDTLSSYGQAQNDSQVDDTATIHSNERMAL SQEGEPHIEQYCDRSDDTKGDPQATPRNFGTTLAAPATDCSASTSPHGEGGKQSLPTE EHAFASSPPLPPGKCRGTSEGDGERADRGAVVESRQRGDIHFQTQGMCEVQPCPARER LKPLPVGETCATATTKKENDLQSQKDLLCPGADSLQIATKHCDPPSSHSSSYTFTPEV SLALCQLIDSNVIAPLSKNVEEMALHRRMQLNRLAGYLNDAINNVAWGKGVNYGEKRY YVFGSLASGTVLPDGDNDMTIEVDGLLNPTKIETQSEAHADSSDGAAGSSCSSSISGT SSQATTVAGGELLSSVADYLRENNKSVYVDTVVVAEVRVLKLVMDGSSYDITVGQLGG VSCIRFLHEMDMKIGCNHLLKRTLLLMKAWCCYEAHVLSGQGGYISSYAATVMIISMI NTVEFLEDVEREERGGEGDGKHLEERQRGEYQHISPLQLFARFLKYFSYFDFESYCLT LFGPVPCDKINNVPLDLDLVESQVEHFQQPGGSAVFGLTAEGQEALGHCLRRRAKQLI TPRELKRMLSQESHRPQRGKAGHFPPCAQANKSHPSSNTPESFTPEARKEHAVSRGHA DDGGRASGPVTTTVNFPLRTMNVMDPLRWGSSVCRGVCRNHLQRIYRAFREGLVLFKM GSAKLAQSLPTSRGPSSGLGSGVTGSVTREPQFSGALGHYLVDAAAYNRCVDMTGHSI LHELFGQTLYYVQRYCPEHLPVRPRVALTSCKQCSQPTFFCVPNVQQVLEPQFLFDSQ ERVLGSNDEFEGRSSQLSTPSDNAYISHPGLGVLKNQQPRQQHPSYHSVKTVPGPLPV SCPPPTTSLSPAAPANPLQHTNCTDGGAWLGGAGSQVHGKPTANSMSTRNQLLRGTPL MRVGFRNVGTLRNHCTAPPAMPLGGTFPYMHDVSPFPCYPTGPGRAPEGAHYSTKKLR EDEGFMMNFVPPYLSRGQRFYDPIAPLGQGGM XP_823468.1 MGYTFSRAQMEDYKELVKGRFSDGAIEFLFLKFHRAAPNGIMTP VDFKHYIESTEVFKTYKHTHSKSYLKNVDEDSEEEDGGGGGERIGSSSSEMYEHLFRG YDRDCDGVISFSEFLLYHLAVIYSTEELFVVVFNAYDADQDGFLSLNDIVTTITAATK YVGDCDIDDPEVKRVINEEAQRLMMFLDVRKDGRIRPEDMRLITQKHPEVLEKMKNLM XP_823469.1 MNNDLERSRRLYQTLHLPDFSSIEEVRQAYKTLVLKYHPDKNLH DPTAAERFRCVTLAYEILSNEEKKRKYDTALRVRQPLGVGSVRCGSTTPRSVAKGGKV PTHCATTSIYEELNTYALRKAERPGRRAPSVSTPRGVRPSLYTKEQRMFFRKREKEHQ AELRKRWEQEKREQWEREREALRKQQMRREETQHIFQLHRAVNSARRTNSSRVQRGTS AHISADNGRPTVRSSAAQHPRMSTSISSSMFTPSPRTRCASTDGLSKRMGTRNRSVVN NESETVLHRRVGRESEQRIRPTGLRPRGAALIESENTVRRAIEAAAGLRMKLLYLQTK ELWQRAVYVEENHLAREVLHLLEEERRYPMFANNPGFFISMCNRQYKMLYTDEAISFA TIVLQERESTRRLSIKQEYESTLLSYRCMLSLFQRKLVMNKLLRRQRGRIEEEERLFR NMLYVSMHECGARHQLQEAEKDEVTQLIRRRANERHIVYMKYGEQARLAERKSNAVIT ASLQKEVERLQHALKSLAVQLPYERELRFISDISARAAVNVNEAKPDISVVPPCGVTV QTRSEASGAQRDPQKTFHRRVSSVGDGSNFNVKLSATAKRGSSPGCAVRRERAALAQQ LRKPQG XP_823470.1 MSLRVSIYISFRFPLMLFSAHHDENEGKNVKNIYIYIYESPKSH FELGGKGRRNRKRNMGPFIYMYFWLSTPLMFSFPHLRYIPFVFFPFFMCLCVRLCLFC DPYLVNYPNSIFIFHPSAWHWCLLSTVYIMCLFVCVCVCGYDCRCSCCSVSVWRKSKK GKEITKESSYVFRLLLLLPLLLLLVFFFPVSFSFFHL XP_823471.1 MSGWDEPVSSNTPPGSGEADWLAGADDIFSGSGALLADPRDVRR AETAPMVLTMFSSDGTLCIGSLEADVPPEEEYRYAEEYHREYYSKYPRDPRMLVPLRS NNFGRRGSGAGRRGTSATNTQPQDGVGVVGDSGTTKVTGSTAVGTSVGERTPRHDLCG EVARLNSGSASPPRRGSRDAAPTGGETEGFKRLHSAMGSLEPPSAAPGSSGSFSVNVG AVDLANMKANGEGMQNGSLTQQDTSSGQQPQQVDTLSRRAPGSSRNRSTSNYGQKNGA FKQPSNGEVGGDRFTNEEPTTARDNLESNHALAVSDEFRLQVVSLCKDQDGSRCVQRL LNNPENIEPIFNEVFPRTHELIIDVFGNYVLQKLLDMLPTESDMCKRLIKQVSGRLKE YSFQMYGCRVIQKMLEKASPEKREEVLFELKDCLVECIFDQNANHVAQKLIEVIPEKT QLLVDSFMPHLKALSRHPYGCRVLQCVFERCSTAHGVNIRPMLEAVLENVHEYVMDQY GNYVVQYALLNAPEELRQRFVTQLIPHVYALSCSKFASNVAEKTIIKANAEELQQVVE TLTHPLGASEDGNYLVLMMQDQYANYVVQRLLQQVTKAQQQHIAEQIRPHLHTIRCSV YGQHLVQKMECMGMPLWGEAGAVYGGSFPCDFNGSHSPSSMGRGGSRKPRQSSTGHSS MSNTQGIHSNSMSLVEHSMIPGNTYPQPSPGAVALGGQPMLPLATTGGAHCGYGYIGS PTDTMIMTRAPPPPPQQPQQLPMGTPGLAISSQACFPGMMSPPQRSMSDGRDMYSAGL VYTRGRGEIMSPTPSDGFAHPFQAYPATLAPRVFYRGGAQSFYSCMPTL XP_823472.1 MMISVRKTVNVPTSNHAQGNAQRKKPKKKAEPSATSSVSHESRG GDGERIDQRIPASIQAMLSRSKCSLSESEIKAMVNSTAEDRCTALRMVFEGQSADEAI AFLLGAYNGKDSPKRSKTKSASSLLPIIITGLDNSSVEAARSNEWDAGSTAVFCGSSN SKESLSSAEVAPPLLSMQQTIWNSALLTDCESTAAHSRSVSPSIRMPSPKSHGHQIAK QPLTEVAVNTMSNTHVTSKAMGDHYTQLNATGSPLTMSVTTVPTVTAQGPSKQFNQST CSAWDERLQTYIVHPNQLRCVGELEKMRTSPDAVRRGGGAALRRLTREGRTHSPVGGI AAARQCAQKPISTRNGELVAPLQMGHDEQHNRGFGNDEKQPQQRQRESKKTAKGVSES GHQEQQAITRDAATAAPKSPSGKENVFARLFSASKYQPQSYAATERSRASTSNIWGGL SPLNSPCMRLCDSVHEESASERHPVERVTSETRFSHYGSALRLQRTQSAIDSRYRTQR QRYFQSFYTQMRKPRSELHTGQVVRPSI XP_823473.1 MSCPRVQYRRRMHYATRGNRMRLVRTPGNRLVMQKRGKRSQGPH TPWVLGHKRLAGTKALRHTKARLAPRHQKTTSRPYGGVLSHEQVRDRIVRAFLIEEQR IVKRALKAHAKVQKEKKRRAAKRKSKEEKVAAVAKKVAAKVGTKSLVAKKEAPKRKAG KAPVGAKLKK XP_823474.1 MLRWRIQSHPPAATNAGYSRIPRLPVIFLAWRGHRTAPGRLRLP KREETHVPPTTSPGRTTVAAANVFLNTTGMPVGEVEEGRRGFLGFGKVAGRAMPLNPL AVLRPQKTDAKEDRSTARFLKAVELLNGKRRSRKEGITMQMTAEQQHDIVNRYAQTRW YGFMWYPFRNVTERQFKWWRRIAHLALIIVGLTGVVLALVMYYREVETVLLLSPEDRS DYQKIVTGMRFSEIYRLSMEVLGKEDPLEALPSPARYHLILEAAREKGWHKIDWELEG RTRYPRSAVEDLDFIHIIYWAVMYIGSAVTGGGELFSDRFGDLIEVRQAQKLRDAEAS FVEQGSEPPPSKKK XP_823475.1 MLKCVSRVFLGAKTVPLNPHVPFKLHTAGRDDLPPIPTTATYEP EKIKENLAMMIRIRRVEALADQSYKLKKIRGFCHLCIGQEAIPVGMENVLSRGDPVVT GYRDHGLFMTRGGTIEELFAELFGREGGCSKGKGGSMHMYRVKENFYGGNGIVGAQAP LGAGLAWRYALENRDKPSNVAVTFYGDGAANQGQVFEAMNIAALQRIPVIFCCENNHY GMGTREDRAAYQPQMYRRGDYIPGLRVDGMDVLAVQEGTRWAKEWCLAGKGPVVLEMD SYRYMGHSMSDPDSQYRTKNDIQEVRRTRDCIEKMKEFVVSEGIMTVEEIKQMEKDVK KEVDKELPPAEKQAITPLKELFTDIYCGEQYEHRTTQGTVYAKP XP_823476.1 MSVFGVDFGNLNTTVAITRHGGVDIVTNEVSKRETTTIASFVDN ERFIGEPGLDRYVRNSSNTIFLLKRFIGMYMDDPSLESERRFLTCAIKGDDKGRLMFG VNYCGELTYFYPEQVLAMMLQRLRGYVNLASLSDSKVTVDSRECVLTVPCYYTAEQRK LLMQACEIAGLNCLSLVNDTTAAGIDYGIFRGSSLGETEDKGQVVGILDMGYGTTVFA VACFWRGHLKLLSRTFDRHLGTRDIDYKLFEYMAEEVKKKYHVDVKENKRASLRLLQA CERLRYLLSGNQVAQLNVENLMDVDVNIPSFPRSTLEELSVCLVERFKAVIKKGFEES GLSPDQFHSIEMIGGGSRIPMFKSAAEELLGRAPNFTLNASETAARGAAITAAVYSPK FKVREFVVSDIPTYPIKLGYYMENASAVSHVPFLPDINKVVSVQGTDDHYPKVLEITI KRPGGFKLYAFYDSEHPKVKAYLPRKDFVIGEWEIGTQRKDSNATEVRVRVRLLPNGL VSVESAVSVEVYEVEEPADAEEGGKGETANEEGEQPAEKKPMVKKQKQRRVELSVTPR LDVIGLTGQEIVEFQKKETEMNDRDALITKTRDSKNELESYILDNRPRIADGGILCEY VTKEQQAKFIQLANEYENWLYEDGADAELNVYQERVKTLRAIADAASDRRRNFEDVEF ELPTFKQEVNKAKNTALAAIGKEAHITEEELRGAAAKCDEALAWAENEMEKYRKQHKS ESPVLTCSTLREKQKEVAEAVRAVVKRPPPPKPKEATPEAKDGETAADGNVPPEVSQA GDGEKPPSDDQLD XP_823477.1 METHLGWKDASLYADRSPSRKADERVNRSGSRAPGAGPRTIDIS SPKGELGIASRSASAFGRRSGTSSPLERVIDGADVIGGLSCTRDLHRGLMEQKLQNIQ HEADVMQEELKETNSRLSRVTKQLNEQKVEFSSLTQRYGETVEKLTATEGSVKRLEEH LVHERQQHANVRGECDRLKTMLQETQWEVERARRELQQERERPTVDQSEVQRLLNDRT RYIPTGEVQQRIDEIRDTHKAFAGRLTDSFSVLLRAQEEDEASAIATRNSVLSLVAEF ESKLNGVEDVLTSLQEQMLAFSEVSEQETMEMINTLIVENKELWKHLSKLKGENETLS TKLEAERRRGDVVPRDQHECTQKKLALTTDKLTDALEAIETQTRQRKAHEAQINELKA TNRSLKDQIKRLTGDISAAQAEAGQCKDQLRENKITVKESTRRADELWELLSVERRQS GEKIAQMTEDCKKLRRDCENLSERLAKEQEKARKECQRLKDELHKAEESAKTLQRELN NRDLSFENYKQQSEGQQQEMARSMKEDLAKTKKRLEHELDVTQRRLQKQLDAVGELER ERDKERSERRAVEATVAKLEAELAGLRTENQQQQYRLQQLTERTQRMDLEADHLRQKR DSAENEVRQLQLELNRLQDQKCEVEQQLAKERETHTHDSQKYKEDVQKIDKNSNTLQE KLHKARLRITELEQQQQKSLKEADDEKKLEEKNLQLQTQNREVKLENESLREEIKRIN KKMKSVEHLSKQLEDARERLLVLPALREAAEEARRDVERAVEETEALRQERDTMAEKL DSFLDIRRRAPKTDVEWTHLLHNVKEQVQQLDGKPSMPRSWKQQEGIPLSITTRPGGY SEMYRNNQRSLSGGRKQQQCSATQGCCQLVESPTQSNGATMPRPWR XP_823478.1 MTMDIIEGDSMDNELLPMWAIDEIWEQWKQSNELCGSTNFPLSV STENCANANLTKVFDAEFKCLYEVPSHLIVHMPSARLNITRLVACRNYKSYEPSSCTM GSGCKFVHADVDYNTLETHPIHVNYIWRHESECTYERLPAGEVLEVLSADMTTVNLIP SEQVLNTRGACARHDIAEPLIRCKYFETNQTCFTGERCNFIHVVCVDPNAQGAFKRAP KVVAEPNASACTSPQHSNNIKGKKSVVGNNGSGFNKEEHSAGMRKAPEEGRHDGGSGW VPNVSSPSFEPSPVVQMALRQGLNGTCGLPQNAPQKPTSGPRSPSATTPPMGDTNTQE ILAALRTAGVCGTGYASATTGRTVPQDQMNTLLSQLVSIMAQQAAAPRTITVAPSPQL DDPAAVGTLLFLPRGAAEAVAVQPVFDGSFLSTVVTLGAGQRRCTGTIQQPRPQPLGD VWQLYNSQIPGHDGTVPLNWPYAR XP_823479.1 MSSQDNRAKYVQNGVMEVFDPNFKYLYLVPAHFVLHVPPARLNI SRLVICRNYRSGVPNSCAKEESCRFVHADVDYSTLEAHPIHVNYIWRHEDHCTYERLP AGDVLEVCSQENPQEHELISSELVLVTRGSVAHHNALPHSLMRCPLYTTNRMCSRGER CSFIHTLCVDPTVVGDFKRAPARTAAVTPTPRSPAVASATDSQKMPRTFASGTPMLSD FCISSPEREAPALSMGAGGYTALSFRVDAAGEPLPATCSVIPRCRVTARVRTSTVVKS RPTLVAAFSDASVSRRSAMATNSRPASYCHNPYYPVLCRS XP_823480.1 MPSKKSMAKNRKTTVEVFDIEFRYMYEIPSHSVVHMPHVHPSNP YTMICTHYKPYDPNSCLAGSDCRFLHANVDYSTLKAHPIHVNYIWRHESECTYERCQS GDVLEVLLPNNKKPIERIASGLVLVTQAVIPQPSAEGRPLSHCAHYYFNGVCHRGASC NFVHAVAVDPEVDLSSNRILCRSHRRHHASGNKSGEKLSAGSPLDSSPGSFPDGTPLG FAMVVHKRVPSVGSSDVTSPTSGRDNGHLGLSGSGHQHDDCTTSDMNTISDGSAPRRP RFYRHNPYGL XP_823481.1 MNGTGYAMTSQSTSLNHVFPIYLQTSFHSMFLSFMAGETTTKKI INNVRMLRNPNRKGRKREEGKKKKEELLSYSLSQYMYFFFFLPFFFLFLFVSFPSPVC YFTPPPPPFFFFRIFLQQLLFTRSCILLLCPAISKNKGKEKWTITSQRWDLKTKKKRM KKQTNI XP_823482.1 MTTATDVTSAFDIEFRYMYEIPSHSVVHMPHVHPSNPYTMICTH YKPYDPNSCLAGSDCRFLHANVDYSTLKAHPIHVNYIWRHEQCCMYERCQSGDVLEVL LPNNKKPIERIASGLVLVTQAVIPRRRPRAKPLSHCAHYYFNGVCHRGASCNFVHAVA VDPEVDLSSKRVLCRSHRRHHASGNKSAVKTACGSPLDSSPNPCAAGVPASIATMVCK RAPSTVYSGSSFSASAVGSMQYGLSGSGHQHDDCTTSDMNTISDGSAPRRPRFYRHNP YQQL XP_823483.1 MVSHYLSDHSFFFTPLERDRVAHADTSVETRPVSFVTLVLHSLW VFLDSTFVPEAVAPNTITLVGLMSSVQSYQILSEYYDQTPQSHTAAATGPILMSSLLC VVAIMCGALDGVHARRCRSATPLGDIFSRVCSSVLRIFFALTLMKAFNIVDISTQWYA LMVLQLIEFNTVLGRISAENLRGGKAKTVVYHLTYCFRDSELSFLILCALIARVVFPD MNFYSPVYPNFLRDAFIFLVMVSFTNLLLLKMEKKHKAAIAVCLATRVVPLFNIFSFT NNNVFSLISGSLAVGLLSTEVHVSNVSGRRVHAAVICICVGSVFNDILSIGASILYVI GMMADLSYSARIPLFAPVRNVFCDGVFDLCHAGHKNFLQNALLYGNRLIVGVCGDDEC EAYKRRPIMTVDERVNEVKMCKFVSQVIRNSPVTGVTEEMIKRYNIHVVVCGDEYNRP DDTYYAVPRRMGILRTAPRTEGISTSLLIARIRDATEVELSRRDNASSRSTVMEGS XP_823484.1 MGAKPESLDERLQSIQAKLDLMHSDIKKLAPCVTADGREGRLAS ETAVSPSASAMAIGRGARFNVQRPTLDPGATATAANSSFLPPLAGSTAAGATATGAHS KKVVLTAAKSPEHTDALNNRRKAQAAKQKLQEFLKPMLTQPDSNDVNELANAPCAWLL SIALACSYVSGEKITIEDILRQNRLAMHYVSFPSVTLAELFDVTNEFVEGHPAMLRTK TRCEVVTFDTEAVDESCDGMGAEEQATIRTLSQFRKELSQNEENPMYIINFDPYLIEQ HEIRMRLNYTENPDGEGLPDPIKPRWSAKNQGTFALITDFRPALHSVSFGVPLLLEDG RIVVEEHGVPLQTLYNALCVKDGYCNRSRGFVRVFVSERHMEKVPSIFPLGMLDGSLS GGLLMTAVDASIAPHIVGLALMHHLVSCTLLNQGKHRESGVGSALDRGKLRGIPVTKL CQVLNLDIATIVGNSTKVSVCRAFSWYRVFLKKLNLADAVALGVVLINRRGDAADGPV NITDDTFMAHIDLVVKTKSVMLIGFNVNIALNVMVDQRAEPCHFAIVIGFDAEQGVVR LADVSVKKYRKTWNVPLPRLYSAVIGYGYILAAKSPDTISKLSAQQFEDSILSDARYS LPPTMRLLRFEYPKKNYVVTILAEALDTLGFDANVETVANLSGFHTSFMLSAHLPLES AATVARNYSHNHLGDKVSVFTTHMDKDVKHSTPEALVGQILSALEAPKERCLIVNFDP AVIQANREVWNGGSGGPYAIVLSYDKERAVVTLSDANHEAFLRAWVCPLNVLFDALAA VDSISLRARGTLLLTTARQGDNYVGTYGYDMSHSIVHHPFKPSVWPAFHCLALVASEM CGGDGSTSVSGTGQYSSEDFLYTKTTFSVPDTAVASRLDSKEIVDFANTAFERLLIPL EASVVDFAAAGTFFEACRDAMVNNKPITMTLLGYDTQPIHGIPGFSVGVVNRVRDAGP NSTVQVVDGNGCTLGSVWDRKADELRKAVTAMVRIKRKVTK XP_823485.1 MESIQALHAISFPVEYPDDYYNWLRSGSSVAIVAHVSHRDVVMD PHDTHSGVETELSTEAGVVEPPLVYSCLAGFCIGQLGHKRYEDGQLEPSPTGYLMSFA VDPRLRRRGVGELLLTRFLTYMFFNIPVPPLIRAGNGGLSFGKALAPVLKSFFTAVSS FLQQRLGIESHSEQLNNPPRDPKTLPDRPIDSGHSNLRCGVAEVWLHCLASDEALLRF YSSRGFMRVRVIRGFYMFDARLHDGMLLVIRRGDFSSLGNAVLQHGVCRYKQASTGSV GLRTDLTDGGEIVGLDEDLARVADIELFRS XP_823486.1 MSKETKAPANAPLPKVYTIGIAGFSGMFAWLFTHPYEMWKNTVM TAPKGTSQKECLVKVWERGPFRGLSTGILRQAVYAPARLGCYPIFRDAIMSLKGDADG MPTVAERALAGALAGVFSSILTSPVEVCLVLQMTGASKQSLTRAAITVYSTNGITGYW RGVSALASRAALVGVAQVAVHDQVLSALRRRNVSYSQLHGTQPYGDNIVVNAASILTA LFYSVITMPVEFARVRMSADTTKAKYKSVTQTIGRVVREEGALAVYDSFAPYFFRCAT HTVVCFFTIEYITRKVKGWRAAKLQAKQ XP_823487.1 MYCHAGVPSTSPSPTDFSEVHKILCGHIKQGNNFSVAAAVALEP LHGSSEFWRTCGYRSGIVSALLASSLGVQRETDQLSHLEPMTVADVYAMLRHVVDENA HLRQIIARLESGECDPDDGSGGITSSTERLRSLISEGYDALTGRSSPCMGEYDCGQTP GKWKTCSNGAAGTKRRRDSPEVTEWAYVRPESPVPASETLSPAANSGDDTVDEAEQNN ALDVLEQLPALPGTTRTVSSFDAVGEMVNRGAGGPSLHPTNPSEFRVGSERGSTIETH SSSEVSNFANVGVGTSSGKQKRYKFSASEDEAIIKGLARFTKGQQRFQQIYYAYRSVW HPARTVSQLYDHWRGTLRYKVIQQQGYRGKNSVAARSPEKASNMENNE XP_823488.1 MPLETVNFMNKNTKDGETFTPTPEEIQTIRKKMDDPKFLKLFQE YMKSMEDPETRREEEAYLEQVEREAREGGDYSFDFVFPRPGFVVELLEAKTSYRVKAT SSVAAQAKKHANTPVYINMCSSEKIDPFREETTGDRNSSNWFVPVSISKPRTELFSEE PNRNAGEEGQEVESCGPDAVVVYDAVFHPHTLQLADRSDRFCCFLVNIAVEHINTGYG DNHGFQFRRLSSDVASVGSLQNQTVRREKGKSPFELAANEPVLKRPTQKLPQPLEVAE EKSGRGSSSEKGIAGSVGCRSDGNKGKDKKVISEEAKGSSTRRGTPEEENMPQYTIAH RGHIDLSDTWGWKIVDRRIGVPEALVVKMEFVGLQSSSALDVEVEGMYVKIARSNLHN YHGSLLLPFTVEPTPLEAKFERKRSTLTLVLRVVPPAPTGVSAADMRQQLMGSCTADA PMDADAGGEQVLNDHHTDGKKADTATVDDDANPTTESEDVHTGTAVPNSMEKNVERRE EDVSTPQFSTMSDQERVRQVMEKVQAARRERERVEREEIEKKSGENRKDTNTDTTVTE GCALGNGAVVDSSIDHNHTPVEAATVMPAATAAVPPSDGPSDERRKEEELETLRRRQD AWRQGVQQRLDMTEEEERQEAQRVEREAQREAERLKRRANAAKLQEAAEAKLRECMNE VPLSNKHIFTID XP_823489.1 MTEATNEGCLTPSWNVSSVRIAFANFMESAPSLLTTHASPATLP AELKKIAGSQSFRVCLQNGDYGQSYIKNGAPCLIMGAAPPAASTDGESADSRKESRGD SGRSLWSVFKQPVKIDAHSLIVFKCVWFANRVEVTGDGPVFFNECMFGAAAQRVHRGI SSTESFASVHVSATNLCAFSECEFVHNCGSAADVGGSEIPVLLGSGRATLNVHNSSFL GSGVASTAMMLTDNASLEVENVSVSRCSGHSLIVSDTSSAVVFRSVFSQNSGSIWVRN QGSLEIRDSSLEAVYGGRSNLVLTEKGRCTSHGCTISATATSGFSSGRSLVVARDKAH LSLDTCELFWVNEKGLPEGRCKLPLKVPISGHDRDQLDELGLFLVMLMECSEGTFTRC LLRLPLVEEEGGWCAAVGVLLRSVPRVNTFPLYAVQNSVVYDREWGKRTPTKGKSSAT ADSQCMWGLVLPYTSDYRHAPDLQQLKSMWTETNHFFEGTGERLKDIAQAVTTYEVYC RATGRSPSMFHADNHENLPSFSTNSYTKEGDFSIVNHPSLENTSPPPASMAEKKPDQE EGTGNKQAQSEDHLRSSVRRTNEDGINSSSTSLTSYGDDEEENNDPSNPPTRRGSPVS VRAKPKNPSNGAASLQTEGKKHSNIVDDADVRQDTVLKEKHRQGNEMSPTANGLVSMP PIFVRAPEEPAAKGHSVSVANGQQNSDRQSGTRGSLQITNLRSLEKRESGRKGERSPN FLDGGSAPSVPRTRNNPVSKKRYKQFNGEKDISMHSDGLLLTCFTPCSASPPRRASSS VGIGELCTHKYYNNVSSASDMKPWDVRTAREMAGRGFELGKNGTYSKVSKGQAESVWD EEENFNYANGLSYASTDKDSSSVSRSRRYNATGSYNGKTYKSFTSARGGLGFHVRRVQ SGHADDATARLYDEFLRKWKTTQHFSELLDELRNDQRRRERLSREAMERQAERLHSMG LQTRCERHSPQVAGSGRNYALQRRNEQRLRTNDDTTNDSTAGLCTPESVRRPAHANTT VNSEVVKRRAENGGESSCGGKSPSETVTASDKTPETKQARKGKKRGHTKRKRRKQKH XP_823490.1 MPTQSPPALLRNLHCSLPTIVRLYKDIASSLNCPSREDSIKMLL PLEISTAADGEEVINFFCRELGMSVTSLRRWRCAVMSGMRRNWWRDCMRNKLAVVPTG TLSPNMDDFLFARSCITDLKAVISPFLTLAQPEAANAPRGSSFVATRNILPGVFLLSV PTSAIFFSSPPPATDPLTSFFMHVEDLVGQLVAVECSGDPPPSHAGYVDYLKKSVTPC NNLPFLEMGEVTQLLGKAKSSVGVTTGLEDTSSPALQLWEYFHRDMEGLPLSVFLRER LTKEEYAWWVSLVLSRRTGAATLIPVVDKLNHSPEPNCYYTMATEESFCGIDVFDNML AGVDSELLYEPYLHTFSIREIKEGEELSLCYASPATNPKQRGVVGAPVSGGGDGISVA TGEGRAAWQLQWGFIPSIDSPYTSADLLEVATIVAERRVDIRQKLFPP XP_827775.1 MLMATCIRNNLSPLLSRCWSCAALRQRQRRGLLFSAVTRRVINS HAAAACYSARCYSQRHRSEVHGPLCSNLRPSVNSPFTTTTEQSTKAPRTMSASNCRGE AELLQVLQSLGLSLPTISHEEKHTVEEANKELGRFEVPCIGTKNLFLKSSKGELVLVS ALHTTKTNMKTVQNAMKTKDLRFASEDLLLEKLGVVQGSVTPFALVNNGAKDVKVALD KALVDSTLPVVFHPCRNDKSTLITPTQLQDFLQKIDFPYIVVDFSEKATTAPATTDAK KTKVAPGPSVGEAKGDTKGETKLGIMASRRDNFSQWYTEVITKAEMIEYYDVSGCYIM RPWSFFIWKSVQKFFGSRIEAMGVEDCYFPMFVSKTCLEREKDHIEGFAPEVAWVTKA GESDLEVPVAIRPTSETVMYPYYAKWIRSHRDLPVRLNAWNSVVRWEFSHPMPFIRTR EFLWQEGHCAWQTEEECSREVLEILDHYAAVYTDLLAVPVVKGKKTEKEKFAGGYYTT TVETYIAAVGRGCQGGTSHNLGQNFGKMFNICFQDPDKNDDSTLIPWQNSWGLSTRVI GVTIMVHGDDRGIVLPPRVACLQVVIIPVGITKDTRQEQRNVLLDGCKALEGELRTAG IRVKADLRNNYSPGWRFNHWELKGVPVRVELGPKEMETKQLSLVLRCDGQRRSVPWDG RIAETMSDLLNEIHNIMFQRASKEAEENRKKITRWDDFTAAINNKSLVLAPWCGAESC EDQVKKDSAEESKALQTQEEREDARAPSMGAKALCIPFEQPESVEGKKCICRSCDKPA QKWVLFGRSY XP_827776.1 MLHCSQWLRHRVNDRGGTAHNFAFDALTKVYRDAVYGNNLSHSG NSVTPGHSAAAASTASPTSPAEMQHQLPRGPRLSGVQREILQLYRDLLKETRRMRDLR TQANLRRFIRSEFDKSANISRKYITRIEWQMNYGKNKLDELRHMSPDMRFSLVH XP_827777.1 MVFWGKLIMFPPVARRVVPRFAVVCPRGTATRYHCGPSKGGVTQ GNGHRLGSTNTSSKGETSVVLNSTSVAVRRFLREQQKVSVRWWESPGRVDALLTLFLD RSHSGELHPLLAVEVTKRLGYAVGPEGCGWAIRCGHSGGSIILLTERLLTPLIPLVAT HSAVRPLLPVIVEWLLSVANMPMQIVVGHVALYVNNLSEMSLEDMSRHEWPSLEFLLH NFARQSLHISDEAVKQEVNDVICKMVALFGLWGQRHPAETGQSRSCCSASINPVTDSL EKCFFWRGEAPLLIHGSVRTAYARFYNDWFDTSPRSTGGPATNSPSLSVFVGDDDKLR RVLLRLSVDSRVGVGSNEERDMTSAPVVPVEVKLKLDEPSVILRCIVPLAEFVSQVLD TGIGTLLPLSFGSIQTIIYNAISELQRLQGQSAEHHYALLPHKPRVHALKDLCERLLS DIKEKMQKGQRPSRGSVGDYVCFPGETPQQQLQVLHFFYRAARHLVRAVMVEKKASLM EEGCEGSVLLAGGTVASRNDVATLLRNVAFRVLETSLLRYYNALDECSSHSSVWRSLG DNGEITAAISDIEHHAGGHSPLFTARHVLQHPFQQDVIAVVEGLRLVAIQALVLHSQQ CVNWLKGRAYSNTAANAFFGVWERTKVGGSSLTNHNQSGREGTESGKFDIQRMMRHRL LWTMLLLHHEYCSCCDPGGREQEAHWQAPTNCMVLRSLLDMLVPDPTCTEFSAFGWSY PLGCDGQNLSLQTGGSGDSELWKRHVVYPPAHRSFSGDASRWDLLDELSPTRDSSRKW LWLGTTGSVREACAIVNPTGDTASRFVGYLLPWSTVAKSRHPLRFYDESGQSAAAAAS FDAAVGTLVRGKNSKMPLRLVGVQEEVAFFRDMEHTSELLLCPGILVTNPWTQSKDRV KNSDRNVPDNMEGFLDNEVYDFLD XP_827778.1 MSPMFSCSPRTPSIVLTPREVSVEGRLTSHCIPTFDLLMVNRNG EEVCAVREGSAHIEPHRRDALVEERNSGNLYCGGGAGDDDEALRSKLLTETAYSQSAV FTSGSVEEHPAHFETLLVRGCPVNADTSHNDPTQEKLRSLSPVKGVYATSETITEIAN DGHRPPLSFMLTGGRNAKRRRRGHHTSAITPVQASSPSGCRYMWEEGSQRFGGALDFS GSSIMAVGQGGVNVEGNGTADWKRRCLFAEHQLAVAIRCLWEYHNTMSAQRQHVLSPA DVDDIQPFPPTSGVSTSAVPNVNWGQQVKEDLDGEGGITKGETAAYGYEQGADVRTSG TDEQPSGVASDECSVQRPTALPFCCAPGAVAPHPCSWAISTEGAEAKLVGEMDEWLAR EKVVRLKQELLATALRQARLTAMRTMQRIEERELEFKAVISRCNAVKTALLESEAQVK RLRELDQRRVEENKRLQQRISELKAELRGCESNIDSNGGCFTDAVGLDDGDDPLSMSV SQTQDIETQLLHVVAALQCGESRRKWVADQLSHVLRRVNMGVCNKGVTSVSDHPKLMA LVESLEALKSSEIVMLSEECGTPVVFRGGSDASTTTLKGCESTAELLHCAGECVAQLE KRMRNLYTHRSTLRVEMKATPVAYDVCEREECQLAQRPHLQPKSVEPQRNTCVTGCAA KEEITNNVEENVCPSHRNDTEAQLSVDSEFLGVRNRVPVLHSLYDGGLAHLQKHLEQQ LDEFSHTLRLMLTEAIRWLFQQQSMNVVENKGSPAVVRIQHSRMPKGPFEEQKENQPM QQVGHGFPIQPLSVSAVKSGAKNRIPGLFIHSQGQSKWTPMPSPKPGGGVAYPRETPR SLPPSPLSGRMTLPPFVFEDFAGRRSTESDRDASADGFTAARSQLGIDVVAPLGRQQV VTGELTQRRSVSSPGRCDSLYIQSCNATNSNSPRLFPLSQNDPNLAGRWASVRLCRR XP_827779.1 MDQFTKPLEGIFRDGIPAPVLRAFAPLYQALPSLQDAVSASRDC YYWRANPLKCVEEDVQTVTSFMQASEASFRLCPQQSATLLKCHMTEPARALFFCRDEE WEWRTCLMDQTGIRFWPYANAPIGAPWSNGGQTEDFHLEDRFFYENFSWWRRKAAMLA VRRRELEVQTQRKHWLDEQCQKDTEGKLSLVPPKPTLAPVGISTNVN XP_827780.1 MGGSSSANRNNRPPNRVSGSAPPPLHMPPHLAGQYPNQPLNAPP AAPAPPSVQMPNSPSGGASQYGVQEGQILKMLATVDPLSVRYDPATSTLNFSIISSTA SLTYEVHTGVRMCVKNGDVYYMPNKPKMEPPRISLEGSQTTKDVSVVVDASNLDEIER VYNPQYPKQLPCVVVLRYRIKKSNVSGSGASAEPSSVFTEHTEHTTVDLAENVKQRVI SQVVTSGGSAYVVENLYGACEENCVVGAQPEVVVGSSASGQGDDDDGLCVICLTLPKD TAVIPCRHMCLCKNCAEELVRHTPKCPVCRGPVSTLLHMPTVPLSSQV XP_827781.1 MGEAMGMHNVAQPVQHEPIRTPSSSSPAKRLQSFSSGAVIYSPM VMQSTTHGTTATSASVGVSGEDASPISSYAAAEYEEIERKQYELRSAVEQRISTLEHA LRQREEELEAVRMQLLKTEEDYKFNYNLIKDRDAALAEAATQVQSLYSELKRHRSDDA LTTKRLEESEQQAQRLGQRLREVEEEKERALQQMQGAYELREKQLKEDIHNKGILMEK EKQRLHDEYLRRFKALDDARAELATKGQMLATEVEEGWRQQVNRLEAELRASVDAMDA LQREKVENEGRLGETSRALSLLKQEHELLQHRFQSITASAADQKQKYEQKLAECRATM NHGITTAEDTVRQHVQRVSTLEAECGRLQRELTELQDRLQTTQFQRDEDTRHFINENR RAQEQYDQAAAQIEEQRRNMDETQRQFALRLQQLECDLGIALEAKKEAMCRVREYQDR CDGLQREHLQQHSEVERLRRELQQSREEEKITAARALEVQQLADEKTIAASRDVELAH AELQMQQRRMLDSQERAQAEVARLTRELHASEAARHAVEEQYRLVEDANGHRALIEAL RCDKETLERKVLDLERANAAIREQVSSFAIELQNDPAVKTAKEMQHRVRELQEDLLRA REDNDQLRSTLREREEEVSRHRIEVLRLRSTGAVFLEQQNVGVRRHQTSEVACGCLSR GDSDRRKQQQPLCGNKKNRLPSDKPAAHEDDSSDRSSSSNRGRGAGFGGQRVVMGKRL AHKDGTLARKVESLRHRCLQLEQDARGLLRERDNLAKELELTRRDVDALTSEKQSLVD LNSLLKAQLREAYRTALECSQQCRRRQAVAGQPPDPRAEAVETVAVEPPNPGRLLTAG DMPNVAGAPRALSLDSERFAALEEELTAIKAHMLHKRSGCSRTSRHQAVSASPGNQKR LQGKPGKEESHCPGASAAPSSRSASQNKVTVVKRGSGAVRHYGYL XP_827782.1 MSVSATPYKRQDAITAKTVLLGESAVGKSSIALRFARNEFSSNQ ETTIGAAFLSRSVTVSATSQSGGGGAVANAASGTIKFEIWDTAGQERYRSLAPIYYRG ACGALVVYDITSAESLKKAQMWMRELRANADPTLLIILVGNKKDMESLRQVSYEDGAA VAQEEDVNGFFEVSAKENVNVEEVFAKLARLLLEHGLGANSGPGSLSGPRGAQRLEPP TRQQKKEGGCAC XP_827783.1 MQVGVCDDESKRCTFSGCGHISFLAARCGFCGEMFCPEHTSVGS HNCCAFGVQPLRCERCGMVVQLEYCGQSAAEAMARHTTSGCQPSQSPGTKEGQSNRCS YRECQKNEHVTIICDDCGNTYCVEHRAPQSHRCKRMHVRQPVPESRPTPSYPTVASNA KYGPRNTERTAFGRPTEGCVTPLVVFAEGFGVSPFFIHFTPTTVVGRMVDSTVSQAEL ESRSVSSSKRPWRLHVVKRGNGPNELCVSSPSFSDTLEVAGISGGTIAYIGTEDSVPG TVQKELLKLLKKKSEERGRKKSGCWCM XP_827784.1 MWKPKQRCKRQRNPLTPALSALIARVSPQLDPSAPLRFLLSFAT QQTAAEGVDESNGDGASPVIEGEGIIRLCKELGDSVSGCDLWEIARRIPRSRSTPDCI DVTELCFAIDQAAAPLSVHDRRRGVGSKIPMDENAPLLKYYKGDRFASLFECTNGADV GKEWCEFLTCATSPLPMTLRVHRNERVMVSIANEFLKNDPTVSSVLRPVVPLMPTSVA LFGCSHAAYHADSYVEHICRTLHAASAVSFQEVVSALPVIVAGIQPHHTVLDMCAAPG SKTLQALDEMLKNGWNSSAVSSGVMVANEKDRVKATQTLPARLKRYHAPNVICTRCDA VQWPRLLCPTTQGDMHLGERRFDRVICDVPCSGDGTLRKEPSLASSWSAGYVKSLLPT QRALLRRGLDLLETDGILVYSTCSLQPKEDEEVVCAGLELFGDAVELLDVSSILRECG VQLHSFGGLLSPDTTHLRNSVLPNSYDGRKVLRVLPHKDDTGGFFIAAFRKLSGPVPV SPPVQIRKLNHWMKGKLWLQVAKEDEVWCNITDFYGIDRNDGDSFHYYGEEEREQGGL QHREDRRGDSKFGLVPVYHLNPNGGPYRRIVLMTLAAARMLFGTRPYKGPGVEIVSAG VRAFEAYDGKFLWDATCRWRAVVESASYLSSIAHARKIVINADHHPQVVKDLLSNGFV WLHEQWRCVLCQDASTTDGDLDKGSSFLRSTNSLKDLLALRDGEGEGMLKVQELLNEC VVVGGVLLGLEGGKLAHREGPWWLSGTLSRTKLEVAIDVSLRAFGLLSFLGISSEERA CPPDVRDP XP_827785.1 MSDHLSEICKSDEIDAVLECLKRLCPGRAVVIDASSDLKEDEDG GPTLFCQAANISFESHIVAQHSFGGAWSHQDDDGRTALHWAVAMQSYSLAGKLMSAPY HALVYSVDHDGASPFLTACMVGAPIQFLTTILSKAAEQRRWNVAQQLFWDSKRGEASV NTEEPLAEHRIAVVNQPDNSGNTPLLHAASRGRLTIVSFLLQSGAFIAHTNKRGQSAL HRAIGRGSLDVVEELVGASRKRHTEVEHKRWINLQDYRGDTALFYASMDNNEELGRYL LRQGADRNVRNKEGKEFWEV XP_827786.1 MLEALRKCPTMFAVTSDGSSTMAKMDNSARQLALFVATTNGEFY QSNFGEEALETIKYQLGKDAGWGLFFDVLRNAFNGNCVSILARSEDTAHILCGKEDCS EKSALCFPIQKTEEDTSATILKCLVDANAVYANPKEEKNKVQKIMEELEKAKLQVRML EEEVRVLCENNIWRRKQDTKNTLKIGKLRDNISRLSNQINPKAKEVSETSVGETSGPH AEDSYIPERKLLRLVFEKKECKEYDVELLRLIKSRWPKTEGSKACNASNCLIDPYTES ELPERLSRMSKKHKMVWEALNGLDDWDYNVFELQAAIDGDDINCKNDQSGCGALFITM YALVVKYGFLRKFEINERIFLNWLSAVEGGYHANPYHNSMHAADVLHITHYLLSKGGL AELCKLNDRQVLAALFAAAIHDYNHPGINNNFSILTQTYNATLYNDRSVLENMHVASV FELMRNPALNILACFSEEHWRDFRNTVIDMVLATDMSLHEKYMTQFKRRLSEKRPFTE DCDQNLALAMALKLADISNCCRPLPLYLKWSERVSDEFYTQGDRERSLGMSCSPFMDR QTPMIAEGQTSFINYIFIPFLEVMAEFLPSMGFTLGLAAVCKSHRLVGNTGQGT XP_827787.1 MKSDGCLTRKDVSSWKLQDLEIRETIGTGTFGRVRLCRHRASGQ YVVLKILKKQEILRMKQVDHILAESSILQELSHPFIVTMYKGFMDDDRLYLLLEYVVG GELFSHLRKAGKFPNDVAKFYSAEVVLAFEYIHECGIVYRDLKPENVLLDKQGNIKIT DFGFAKRVRDRTYTLCGTPEYLAPEIIQSKGHDRAVDWWTLGILLYEMLVGYPPFFDE SPFRTYEKILEGKLQFPKWVEMRAKDLIKSFLTIEPTKRLGSVKRGVQDVKRHKFYSG VNWNVLLQRGVAAPIHVRLNNDGDTRYFDRYPESPRHPLQPLTEAQQELFSGFCDGEY TRI XP_827788.1 MSRPRRFVRTARVGAWIALGRENVVRLVDFDDLLWGLDVDFFRY DIAYCRSGGLLALYHRNVSSSGSRGSVIKLYNANGTPHPLSPQIYMDDMTNLMFACWD YPKDNLIVVSNSGALRFFNFRGEPIGSSLRVPVPSLCTSTEAGVALLVGLQELRLVLV ESGSTEGYEAVSISIPRLLHDNKPCSMLAVPPRFSDSGHTEIFVPFVTTENASTVYHI VFHEKYMCHDLGVYIEGGNFVHMALSPNGRSVAFMVRDGTVYVASRSFDDITRLLNIN TDVIPSQFMWCGDRCISYLHRNKQFDAALNFPTTLSLLSVDDPDKSDYLNDIPVDAHL VPECDGVRILSARSYQFLQVVPEPVRRIFSVGSRAKSAMLLSAYDEFMCGNANSVKII RDLQRDKHGLSEAVDDCIAAAKFELSVSQQKRLMRVAAFGKSFCAMYESDVFVDVIRH LRAVNMLCKSKAGTLLSQAQLSELKEKLVRRLALLNYHQLAYHVCELLGFSAKDVMME WAMLMLTNSSVSQADEGRLVSRVVDKLKCCKQSAYCEIALKLYRSQKVSAALAVLEAE TSVSERISHLLQINQLEEGLRQAIRSGDTGLIFTVIKYLISSESSDALTLLKKFVTSR RMLFVFAKSARVTNSKVMEIFKTYPDDCAYLDLLRYLEEVRVSTGKRGEGGQSCEDLL VIKLNIVRSTLLSCTMGTVNIPSKGGLSGNTYSHSLLSGSFRPPSDSEKWLRLHMDLM EEQKNLVKKLKDRRFLDASVTKTITLCHEHGCKDVADRIKNKFGVSDKMNTWCMVKAA VETKQWNLVDEISDIRGKGRSPVSGFAFVRALFANCQRQQAKQYIPKIPQIEWRMEYY VLCGDWKTAGADCRRHSEPELLSQLKDRAKGDTDALRQIEEGWNSVQESGAVRLAKLF G XP_827789.1 MQVPTDQLVRLFAVLRSSSVTRLVLCNVHLDNTSGAALKDLAVA CAGLHVVDLSGTGLSSEVEYAIHLQTEINRMQWEVNQTRWRKAVVPETAKIWRWRMES WNEDCFMGACHCSTEVCDASCRDIMNNAATSGAPFTDNSFPPDTALKNSDIKWVRVSS LVEDQRDQNECCVGDKPLVASVINDTSNLRAALSVVQQVSYLLRNLCIWKLPCIGAFA FRFFSGDTPIEVVIDDFIPMIGDRPAGIHHESNSGDYWGCLVEKAFAKLHGGYKNITG VGLGYALSCLTGGICFELQMKNLKKHVSVADIFRFLKDCVRKKRIVAFHANPEIERAF RCLEEIGIIPFTPYLLTAVEAPNADSGYTCVVRFSSLNDKPIEYIGATPHLVKDGIVE FPSNSMHLEHAISYFERIGLLLWPHGDPLYIHRHVIEYPCCCLGGSDTVSTFASNPSF LLSNNSNSEKEVVLVVRASSRDNEMHDEWTQLHIFKYSADGLGGMRRCDVCPRNELLS TKKAKGGEVGLVVLLKGNESLQVTVSSSAPFPCTLGATCLDCFDFVPLSPPELKTIKD WWRKGSELGPSFVIKNKTDITVRNFVVAISQIPNHKRTVGVGLEIRSACYLCPGGDDL LWLTEFRSDILVVFNLDVTMKPQESYRLVPRARCVHEDVQFSLTLFCVVPLEWE XP_827790.1 MKAPALLLFLLSACAASPPTREAGGVQVTVTIVSLMYSKNWPAE YINAVNAGFNASLAARNWVVAPGVNVSVVPPPSYDTPAYQHLEKYLENVKDDNSSMVV VLGPMGEESSFASYDTLKKHGLVGFAPMTGAAGFETYLPHLYFLRPGFTGELIALIRY AVTYLRVLRLGFTYLEGMMGGTTTYENAVDFLSRMGYTFCCIFTVHGTAERRTVTGRE FDVAWNEFAGKLPQAVIVVATVNDEIKNFVKNLVADPRTADAYLLAPSLMQKSIVTVW KEALEAANATFVPRRVIQTGTNPLANDTDSRAISRFQGEMRDYLTAYGEWAGVDDAEC FLKDDAEGELMVAGWLAGEVLSQALRSHGWMNNRTAFMESLYEQRRYVVDNIVIGDFG GSCEDNSTDSGAICQCNRGGRKVYMKEIAEGYRLRPLVGGYIVQSQLECNSDPAILQP PLSGLAILINDRQELTRAVKQFKIGTSAVSADGEDDDVDRLVIQVFDTKAHTAFDDLK ALQQRKILTALFGVVTESLLELSNVTFIDPMVPTPHLNTFNRNVIRLSPTLEQQLYVH ATYLSSIRAVNVHCIVRSNEAARIIDVLRKTLITFGLNLSSTKVLEARTTIRGNLPTR GSVFLIGLVGTDIAAIEEHLRTHERTLIFVQYSDIALLYNEFVQTFNNTHTAERLVFA TSLPHWADANTTSETVRRFQDVISDVALRTPLSLLGFATGRLMQRNLQRMPKVTPELL SDLFFEETVITVDDMRYGPFRHYDCVINDVVTEDNCITNFGAADISVWSMARALRPHE PVLQEAMFPSMKYNVPNGNALTPAQLAGVIGGTSAALFLLLGLGVLLYFVLRDGRDND NAPKEPTDPVTLIFTDVESSTALWAAHPELMPEAVAAHHSMIRSLISRHGCYEVKTVG DSFMIACKSPTTAVKLVLDLQVMFMQYDWGSSVFDDSYREFELRKADDVDGYAPPTAL LDSATYGQYWNGLRVRVGVHTGLCDIRHDEVTKGYDYYGQVPNLAARTENVTNGGQVL LTHAMYLALSTAEREEFDVTPLGEVPLRGVPEPVVMYQLNAVPGRSFSALRLDRVIDV LEEGGDGTGTSGSDRASTVIELSESAQAIASSLLSLLGTLTPAQRHRTLMLFCERWRV ALPRSLGAVWDDDGCREVIRRVAAKVGHVVDFHSLGTSERSSSTLSSASVIIIPNRTT DFDKF XP_827791.1 MVLKKKAGSGMPVVTVKWNKPQKLKYLLPALLPLGDKSPLRFLG GAGSAASTSSDPLAFPTYAKNTRRHTAAKMLY XP_827792.1 MITFPYVFCLFLLTYPRTFSQQSPTACKIHGSSLKGVCRDIGKH RQEDRIRETLFYPCSMGRISHTHTHTHPKPSNAVRNDPPYATFPTEGHGSFFLFIRLK TFRSIATETTGRRHVKVGPLTRVRKKLWEGARHTPVAKL XP_827793.1 MTDKEDASEFIIVDDDESKRREEDPTQENIQLSLTPQNASDHTV SPTNSHESVLALKRGDIVDGAARTGVSITTNLVFSATVANPIAAAAVAAYESFRAVSD YRRGALNVLGLPMDRTDLALRIGKEVGTAATGLAIGYAIGSLIGLGSIPVVGQVIATT VLSVALNFCVVTLLTRHVDRLILKIKLRRQYGYPRNEQGARRRFEELLEPQHCLLSFE TCRIVQHYRDYRVACGWEGLTDISEYRASANIALMPISFQHFAIVQLQRKWGFVNERN ECRKVYRALLLVHHPDRGGSGELTGVLNHDFEVYAFCQGWHEDCRRLCQENEEAIHTD GARRPRKRKKNAVVSFLQSLFRTGKNSSQEAQELCDSGLLALEAGTPLELSELEELSV DEPDESVADEEYAVSETKASTTQHSICVVLAAIQRCYQLTTEAVPFSWLKQLHRDCEG WSRLKVNVHMFIRMHVFVHIADEVAARDTATTVLPVERSCCDFRWCTKCEAVAKREDL RRTIVATCFPKHVTGKLIEAFELWKTAQSLAHSFFKQHTGATADVTVVAGTGQTLSAL FDIQTAIQALCTGDSDSLEESDETEDERTLEFADEVRIIGLIAGFALSGAHAVDTHQR LRETCKEYYRARDSKMKSVASLRHATHDVGGRTSKSTAKKEKLKSSEQREGDTKESLL FHQVFTEVDAATCELYNIYSVHLPENIGFFKLLPSSCARCAHQKCEIRRYVKYERERT LVSYKHVVVEPRNVLEPHKPATYQGMVLKDGKEISEIEFALLCAEYVDPISGTVTPCW LKRYTFPKYENESSVQTTKVFKTLMERELRLQETCASCRVTSAIEVFGDEHTNQIYFH LPRGGTQVRFSSPQDILKRIKQHGVRWLHGALQAIIDIHSCQVTHGDICMSNFTHDDF GNTTLGFFSNSLKVHKYGTKTAQDDAADFGVTLHAEVLPYLRGTLPQTKSSPHRNASD DRASSGVVTGCGQAEQQIREMFNVFLEVADRLTGKLEPRWSLLDARGFVRRLIKVNYD CDERQYLFTKEVSYPPYWTAQKNMGPVSLFPDNRVLMHRSPQGTAVFLNRNIYLWETY WKYRQQLVLARGCSSFSVPVALREQPHFLPCSDDCEVNERFLWYNCKDEEEAWQICLE GTKEEKCRFAFVPPWMEAKKQTRNDDNPWATWAIVFRVALGAVVSDEDEMETCCVPSA GPDTGLFVVQRCAMVRGSGPAAGQWELSVPSPGTRSYPEYLVRWFHS XP_827794.1 MLRRGVYRTAPVVLMVLIAFILLALQSTVRRSIGAEVYGINHTM GQAVFLSCNRHDGDQNYWDVIATTVEQRLNGSVAKQMGQCISRRPVDALIWTGDAVYA DRTVILRKTPPPLDLKAAQAKFVHQANAPQYVAFRHTCVAKRKRTNIPSSSEEVGKRV EGEGEGEEHRVIGVWDDHDMGKNDGGAEFSDKDAMQQFYLDFLGVPRTDPRRKRQGVY HFEAVPVELLSSTAGSDTAATAAVNVMKHFYDNAFCFLLLDARYFRDPSNATRSGNML GETQWQWLEERLRDDVAGRNPATGRERCALTVVANGVQIMLDEKVSESWAAFPRSRDR LFALLRQFRTDRVIFMSGDVHLGEIGMDTRKAAMRTLGYPIIEATSSGLTHSTASFVA LPTILTSLFPSRRRVGVYVERNFGVLKLTANSKARFELSETSAHEERREQFERDVNAS ILIHSIPENGAVALHLTLPLSALTHKSGCQLYKAKANEYGWIEDATLAKQQECETMFR QERDERGAGIPDRHYPPTYPTPFVTYVMQSVQGQVWPDCTLLQVLFRFLLATFLLLIF VGAISVALCCQCYKNRKAKKA XP_827795.1 MKDDELERKIEAAQQKLAALKQREEDMEVEQRQKESLLSQLKDQ INDLDRKKGMILERVKEVNAETDAVRSYLHSIEHLDMLEGMLFERKTQLLHQQRRRDM LLQQLSDVETALGKSRTQSDEELNNDTECDCTPSVEEWENINLLRRNANGIYRFFRSS MSHALDHPATVFHQSIRKMSRMARDREVELAMQVECIETYTQQMVLQERTLSRIEHEY KQEVGSMQRSGRSMLQAMGEAAEAEVAELRIAIQRALEERSELQSRLRRHNKTSQNNG LLLNGNLLIAENRHSDPLEGEKKCGDEKGDGTSVRVEADTEKQELASPRLVNGEEILS PVETNATRTTDPARFTGEYLPRVSNDPELDGLRRQVATMERYALRMHRRYEELEEDVA KRLKEHDINVKRQETKLKEATNAVTVLEKEKLEWKALKKQMSLLAH XP_827796.1 MAAIGGGSGDIEELLKQITNHPGVQGIIIVNTDGIPIRHTFQEH SRLLAVQYSALFQSLAMKARNAVLEIDNNNELLFLRVRTKKHEVLVAPDTKYLLIVIQ QVESGDAVPNANGVSA XP_827797.1 MLRTLLLHPLYLAISRKRITREAKPPSVFSIIASAYRGEVGGGN NSSKKVRGLRAIYRGVGAAMIGNLIGELVYLHTMEWTKEALDVAFADANVAPERGRDF RTNSFSAAVGGMAGELASLLLVTPIVVVCNRQMTAGYGMSSSNTYGSLRDTLREVSNL YKQPGIGTSWGTARYKLRGLYAGLLPGIMTLPASGVWWALYSRSKAILYTMAEPTLSR WEREMSLVGEKKSPWQQNWLLSPTDNPALNAFAGVVASCVTSFLFNPVDVLHTRMQAL PTVSGAVKGAQYTSLRTVVRDLLSAEGWRGLFKGTVANVGASVVGGVVFSSVFELTKL GSDRELWKQI XP_827798.1 MPLNPPSAFSGAALACLEKMQASGVEEKCIHIFLIQHALVRKGE TGYIPEKSIFPVESLPFLQGIETKGENTALLRQAVVLKLNGGLGTGMGLNGPKSLLQV KNGQTFLDFTALQLEHFRQVRNCNVPFMLMNSFSTSGETKNFLRKYPTLYEVFDSDIE LMQNRVPKIRQDNFFPVTYEADPTCEWVPPGHGDVYTVLYSSGKLDYLLGKGYRYMFI SNGDNLGATLDVRLLDYMHEKQLGFLMEVCRRTESDKKGGHLAYKDVIDETTGQTRRR FVLRESAQCPKEDEDSFQNIAKHCFFNTNNIWINLMELKKMMDEQLGVLRLPVMRNPK TVNPQDSQSTKVYQLEVAMGAAISLFDRSEAVVVPRERFAPVKTCSDLLALRSDAYQV TEDQRLVLCEERNGKPPAIDLDGEHYKMIDGFEKLVKGGVPSLRQCTSLTVRGLVEFG ADVSVRGNVVIKNLKEEPLIIGNGRVLDNEVVVVE XP_827799.1 MGKRRVGGNSRVLRKKKVRGAKKGSCLDSVAAVIAAARGVKTVP LRIKKMTGHKRSGKRDQDVFAALRTERSKLLKRQAAERMVLKEHTRELEARRQRIRKG EDAKMERRELGKYIRQLQKEQEEKHRKELGAIEEAILLRTGKKAINKICQHEEWEDID DDDEEGVGEHELHEMFAHLTT XP_827800.1 MDGFTSNSKKGWGSTLAGKQTEFSRRRASIESRQPSSCVGRYVA SARTSLASQEQLSRYRRLASNMPKRFLYRHLSLRRKVRPLFICALSLLFAAAMRRVLI RYRKQVRLETVEKVRAAYKIQCMWRDRQQRKRIRQRTAISVLVPFLRSRLTRISRRKE LAARFLQRVFRSQKERHFVHWMYKNMKYNQAISLIRFFLQRCVAHRCRENLSLQRDER RAYESQYASRALAIMREERMEVLQIQHMMSRVANTVLTPMIPRVVLEDEILQTTGCGA IPPPPLKVEDVDSDCLTRAAEETDAHTAGSGGKLPLTINELPWTSALHSEEGTRRCLG ELFESWLPQCPRAGGEKLDIGGREIDLPSCKGAQALPQLAEDDTGTKRPPHVVTPCGN DGSLKQLIGAFLELLVCTESVERKAVEADIQRRRGEMFRRLDFASSLIQSVGTAPPLY ANLLVQLDEPWVVRKAEKLFREEQEKRHKMLEEYMVIPLQFLDRPMRSAAAEERRKWI KQRVKTLRGFIGRRGEETGNARSFVTKNDAQGGSPTGQYSISGNIPCEVYQDTTVVSP IMSVTVQGLVSPRHQRQGLPFITVLPLLTKSGASRHAPQLQHSRPTLHSQHFASVASS WHQVVQNPSRERFPSYDVNNIHSTLATSPRETSFDVERRSEMLTSGYGNENLVGRRSL DPISNGSGRQYVYNTTHRGTFTTSLVSGERSQRVEELEESGTKSGTQLPQSASHLPTG HYSSEGCTVAEPPRGGGRCGGNDQVIRRDETGISQGHTTQKPRFPLLRVSRQLRPEPM QPLQRSEINPPPQLFKSCSSGADYVQLRRICPVAPHDSTTMGGVGSSRFGTSQL XP_827801.1 MSPTRAQRLEGLERIAYCSDVKLFFLVSGSRMFLLLLMLFLRVA LPHIYGTELLWDSGTLLYDDMNSTWSLLNFPRSWDGVHFFHVAKHGYSHENVCAFFPL VPFIVRTVSWLNDVLLPEFLTAVPVTFQVALLNVAMGGAAAVCLRRITILTLLSGSHG VDYTNKKDKAMSMTSGTWLDSLPLPPPYYRHEVTVKEHLNARLWKEVGATVLMWMLSP TAVFSVVLYTESVFSFATFLGVYMLIVSPQGKWRTAAAEAGAVLCFAVAGCARSNATT YIGFVLYPVFLQVFFFDTYRKRYAKRYGVTSPIRRWPSLARCVIVLIEVVIIITPYLG LNYLCYSRFVPLWDDMSRAAIGGRFWAFYGWMQKRYWNVGFLQSYRWGNAPNVLISAP IMFFTVRGFVLFIVQPALARSMETESNISKEYQEPRSDCREDRCGNKKQRLFSIYKLM ENLVQSSNIICLLVILAFGATMAHVNVVNRLVMSSPALYWLWGRQFVCDPWGGNTVVM VRIFLLWNCIGALFVPNGLPWT XP_827802.1 MPRHLSSSLLQKSLTPGAARLLPQNLIPQRRPAPGRMTYRGPLL AELDRVRDTCTTEATSSGVVEESMVSNGAFDAVRPAALGSTSSVADEYLVPTPRATKL KKAAMAASRTPTFVLPNSRSATDGEGGARQANDERDGTNSSPQLFSIESYETQQRALR NIFNEAGRHCVRLRKDSKWLLEERRAFRQSHQRAPTTKEVSPHVDVALAPVGALKLSK YLSPCSASREVVEHSLLLHRTVTKSKLVQSSEKTLFRCLRCFHVYAARPRTLLRGEVA QSWLEYEAEAAKEERARQLARRPHLRKKKYSIGRQRASLANDPRCCPLCRSTKAQWMM EYVHHHTHG XP_827803.1 MLPTPYHLQWSEELIRHVELRLRQLHACLNEFYQKNNYSTASCT GAQALDSVSEPIGQNATDPVQAAGSFLLRTCVHSTPFYETQLKRGVGADLVLTVPEEV CSLKDVQIGLYLDRRHEFLLKVERFLRKYAKRMVQEETGKNGGASEGGRNGDGSSDVK EDGRQRRKYIRWDIQRVPFGDCWVNEEKYIIRIVFLRDSSNATRREVFHVDLHFQPIS VSGRIASVAAIRKHPYYSHLVLEDALMTTHLRKLHNLFSNSNSLQRAAVFLNCWAHHT GIMARTSGHPEALSGFHLSAVILRLVEEGVVSPSMSEENVVRAVWVYLSRGLTLTESY ATLNNDGEGKNHEEVAGALSLGNRSDVAVLRLEGETMNLLFRTSTSFLKQVVKKAADD AMQYQRSSEVLLKTPFQPLQLSMDVCLVVSGLEAHFAETNSAGNGKTGGAAYLRPEEV TQKLYLVVCEALGVRASYVTMWRRSADAAHVAVRLATEAEGRNRLTRGPPLEDTEAVS RFNNFWGNDITSTRQFPDGGIYRCVLWTFDHDAASHAIVLPATTVVRRVVQFALEKHI GASARVAVLLNGLEGVLAERVGAEWRDTAPLVEKSLLDACRAVETMVVDIPRTALPCK ITSLDIVSASERHTATFPVRPHIALTYTTDDITQPCFAGLSVAPTIEPVHGVLTIDDR NKIPDTVEAIATMKGAICAQLSKVLQEQYGDDEGKVDGGTSIEGKEGKLKKEREEHIS RQGEGETCGKETEKLHIRSSCTAHSVDIIFKGYLFRLYIAHYREVSLLRALGRESEAS TIERKLFWSAQHAKFLRTISFGHSSYATATRIASRWMSAMLLYEFIVPEAVELLVANA YLGAVPPKTPASGFLRFLQLLACHDWKEPLVLPYSMDSKEQAEAAALVRSMGEERGMF IATPYAPLESPFTVHTPRPLIVHRVVQLAKAALSVLIDLVSENDSPSHLEAAVFTPNP SDFDFQMVLHPCLLLQTDRLIAPPSIEKVKAAGANGVGSGISGAGTVAGESTDSPATA RVWRLDELEAAKSRIYISELVEREPAAHVVRSVRAATRDRSMVFYDCLAPRSISVITI TSHAPRNHCSKLHDDILRISRGALLPTALRSLTSEEETATNEVMETKGVSSRVHNVMD KDAIVAAARGALRRKRSNINEGKEGKSSKRPRREGSKTKLEVRQSQHDSRKSSADKGK KRSAVAEQDGKSKGTNGETNKKTEKVAKRKVK XP_827804.1 MCFSEQWRSLALFPCGLYRCLMLLTNLSIPAPPVVPVFFLRIGP VTPQGLPAFPSVEEGSSNRNRRGGGVTRASPRDCSVCAPSMRPQKEKNTVLGAPVVAF SFNRDFSEAIFSPNNHQLVIVRCDDISDCSTWGVEATLEQHDQSVSATAWHGTTGKIL SCAHDRMAYVWYRDSKEKWKPQMVLLDVGVKRGLTCCAWNFSGSKIYVGSADANIAVG RFECSEQWWICRLLTGHTSTVTALAPHPSDDALLASGGADGNLLLVSTYMKKLDTTKS RPFGYVYFEKKFSAWVHAVTWTPSGQRLAVSTHDSRVSVLDVVYSEIVEGGKEAVTLH TINMTILPLRALAFIDEDQLVGGGFDYYPVIFALEEERGWRMTGKWVVAHAKEIVTTQ QLARAKFEGDARVGRVNMFEEERSRHKNTINFVCCLTALNPRPGAAEKLSDTERPLFA TAGLDGRVEVWARKDVTKL XP_827805.1 MTLTLLRRGKSFDVTATKAFLLSRKHRYFPAVFDQSLEPLSGSH KQPKNVTRDVASSIKETTRIAENKSSLNYGVPGERGRSTTGITSPLAYLYRVQHGHFE SLVALMSAPCAPHPLRVLADLLDEVGRLKSQLAENPGEGGYVVQSCEDQNDALKVLDR VTRSLSDVLDVRAVLRRAIGMLKDATEAASALGDVLSERELQRELREVEMLAQRLRHW SATTEEQHNSLYTCGENNGNLLKWTLPDVVTVEIRRALLVVCGLTGAYALAVECLEEI IALSDLNNHIEKKLNRQVIREGGWERKAKGTSHQRVHKAVVEETTFALDNVVESVEGE LRQHLIAVSAEDHIMAITSCAQTRKFDIAHSLFQRFMQHAECGTFVITADDVTAALVA LARCSGNTADFAMTQELLVKSEAARVVPVSVDLYTALIEAAGRASENPRRLEVALALY RQLRDGGFDPTPDTYAALIACCASAQEPTMGFGFYHEARQQCGVGGLTPAVYTNLLLA YARAGYGSDARTTLEVLVEAGAPLTRSAFHAVLACAVTHRDAQEVLQLMQSKYNIAPT PQTYAYLIAAAGAESVGVSTVLSIFDWQEMAIKSLLERYPCVGNTVPQTLLEKCNTQR TALSTSEVAPELEKEVLSLYPAYGEALELALLQLRVDPRVDPRLEPYIRPLLRVAQRR MNSFTTMAPQAPTFVPKGAAIAVLAADVLANIEEYFLPFVSFYSAVVIPYSSLVALQR GGGRRVNAAAAATPVRLLSDPLYCECGGEKRQIQQARRTALLRFLQKYQDVIHLVSLA EELALSRDCDRYGIGVKRTFTRCAALALNLARKDIQNVTKIYAEQECQIVLVSTNFEK CGRFVVDVKKELLQRGDADQSPKKGTRDSLQGLKAGLRRVSYHNPRTSPDWRPPVVSV KSLVTDPALSMSRV XP_827806.1 MFSYDDLVWTISFPTFCFLAIWFSITVSLVVRAESGRKKWKQAR EHIAEIESRGDKATGAQHRNSKAVLRRVVVVVGGDFARSPRMQYHALSLAKCGMFQEV VLVGFDMGNRLSEELKLREKKKNIDGSYDDSSVEKFECVVETAYLIPPITPPLWFRIL FPHPKLHWLASTFYRACACAVVFTWVLVRASMMFVNSRGQLMLVDLILMQSPPAVPFV PVVKYIVQPCAFVANAVTYYCIILTGSWLSTALKEITEGIKLQRQRQLPVEKNPTKKG PTNSNSCPKFVLSPALVVDWHNFGYTVLRSDGRPAVAVWLYRLLECNLCFGDRNITVS KAMRRALLDVSKQSKVANRVDDVWVMYDCAPSFFGLVPRSRFVQEVIRPVMSAHSQDG EEVIGCSLPPDWVLQSTAATDSRGIFIVASTSWTPDDDYTMVVEALKQVDEKLQECSQ GKDSKPTASKSVWLLVTGKGVARKRFEMAVAEAHLSSLVVVTTMYMQSYKHYAMALGA ADVGLCMHNSSSGLDLPMKAVDMLGSGLPVVALRYKSLHELLDDKRGWFFSNAEELGQ VMWKQLILTNGPLLEKRRQVAQNEPGTWDENWGEVLMPLLANLL XP_827807.1 MQAHRSSNENSEDEEEDFLPTIPVLLVNTSEADSAEPTRAGEES VRGKRPRSLGPSDLNDEPIDTSDDVQEGVSKCKKQQSTMDMLSAEGYPCSFLYERSYR HGSPVQDIATLADDNGALIVTVDAVGVVRAWRKLPRGVFFTGEYRKFFPKSVESEEVT NAGLHFVLPDPVNSCILFVCVTPHAADDTGNISVNVEVSRVNGPLMALEGRFSLQFNA ARRCHPSATQPWKESFTPRPFLFHHDYQPYIAFFAKRDDDTNGVVFIPCGVEKSSRLC GSDFTKEGQPIPTVALSCANLIVCCQQHLPSGLIVLVDEEGIVDYARIVEHLNDEGRT ILSLRVVGGFPSRSAADPLKVRQWITFERRQKTGFFALVREVTCAAKTGKVIIPLSVD FSPTGNYFTVSSASLRRGAVCVLLHLFEFASGVCLCSSETSEVPCPQLGELCTLQEAK SNLRGFVFNAVIKDSFNSSPRGVWIFVPEITVVPEPSRECVGGRRIATFRAAPSAKEA GALKSSFYIEKLPCSIGESEVDVRGDSMPMGIINSFSQMACGEFRCFAAPLKLLRLCV PASQALKNLVAQSPVGCALSKEDIKRLFEAASCATPSAGFTEEHNSYADDPTICTTSL GGNALLLYTKYEISLTDFVMQSAVGIPNTDKNTEDDTGNERQRALLLSTLRAKRDFDC DDLLQLQSSLQVLAPRDEEERTTSEVEHSKASENSCGFDGEVEERRVQSIISAASTRV VSWTDICVGATISVRTFGTITVRLMPQFAPKAVTNFSTLSRRGFYNTLTFHRVVPGFM IQGGCPHGDGTGGLSSFGEPFEDEGVDAMDFFSYPRVQWLCMANRGPNTNESQFFITL GEATPWLNGKHTVFGFVTAGKSVVLSVSQVERNGDDKPVMPVVIDQVAVSEEGLSLE XP_827808.1 MMSSRSVAKVVVAAAIGYVAYRGVLSFFKNEITLSISEVVHMSD GQCRQEIGDQVALKGEILCETYLMRSYRLSMKLSANIKGGTLSLSSHVPSRHRVLHIN DVGTYCNVVPSSGSKRRDGLPDIVVDNVHGMVDYWELLSCGNVCFTPPCVSDESVLRY EGKVRPGLSPSATIRDCYLQTDETPGRKRLHFDLIVRDTVHAVSIRIELPNRRCQLVL VDHGGVGSVTRLSKEASHCWAWDIPLFDPRGASREIPTAADEASITKPGSYPHGAMQE TLVGALGSDLPEYTPSACTHFMLIFEEQEGGDNSDASTNSDMDGENVEEGFDELKDSP EGSSEMVTSNRAQKVSKKAMKRAERSRKKVTQRQRVDAIRTSRQGAVARGVAVSLSYS VSGLASGMDVRRLRTVSERPNWAPHSNFSRWILSFLSWCIYKPRLGKFAHYTTWFVQT VDVISL XP_827809.1 MVGKVFCRDVYPLMTVSQRAHLWALRNQALFGDAPDECIEGSAQ QSSMGENQVGRAPNALTMSVDGQIVPLTKPRWYEFTKCLYYKTWVGLRGTISLQVAAE TFCSEFFYLLKLYPAPSMLPVMDAVLRTANARAAVVEAASKKTSMKSITPKNGFYLRG CYDDPAAIAFLWLCRAYCIPCEAELQPISANHTLRDRYPFYVKSVETNTVVAEPLAAF SLCVELYLPNSEHWFGVLPGTGSGTPLGTRAAQKSMWLEYMQFVLSELREPLLRFIRG ECEEDGYVDVLERGNPLLQRYQKDRVKLPLGSSRRSKGRNKLLKRMNTGLARYERFAR HYYATHPCSVVSVAELFVAAYAYVVCANPLCDDVIPLQEAVPSSTGRADAQIPSRWSR QGSYYSAKGGIDSMPAQVSRSNVLISGVSKECQEVAALILNGASNEQSKSLIGSHVSE IVENSKQVVGEKLSNAAMNFLGRHQPWINVECRTNMLATEVIDSFIRNRCRPLQPKLG ELLSHVWCMANEQCEGFPFIVLNRDLRNFVTTLTGHTSSMLQEITSRGYGGAVSTIAQ VYWEKLREVFNRAPTSSESRMYHNYTSKM XP_827810.1 MQGGENILSSLGRMSTKRNSSRSFNVGTTELVENSSLLKNLLLG LGLTETAKALEMETQLRVGEVPATMKGGGDATENSVRFSTEDFNDGNDFARPNQGAPC TVTAGSTQSDHTCDDRINDVSFEKLLHGLPVEDSNSSLFCALLSSVSENGAGYGGESV FLRLCKLATSPMSRLYRGAHSPSEEEGVASLSPLLHSLLTVVIGIPDGEASDVSSTVA AAQSTETSIIEIFFAYVELLWTQLCLTEAVALAELAPQRWCCDRQNVVSKLSDLQEKL QLLLLETHQLKSSTTGGVDDCSGVRCGNGNGGSSLKPASNGKSCPSVAAALVAGKSDV ADIADGICALFCREESVFRRNMEAVSMWILEQLSRNSAYCLSPEGVGPVSEDATGLPC GDAVLVEEEAAHSEWASPQQRWDRIMDVVRQAALPVFEEATNSSYEVSVHPSQEEKLF CAQTAMRIQFFAWLVGSMAEVSSVLRAGTPRQGTSEAGGVSQGKDRECQHVSQGPAMR AAVGIWYHHCAMQLEREVCDFSGCTARSTAAAGSGSAATTCTSPDGQVSGVCGNQERT AACDVQATRYSQWGQVRMEGNIAQLLIEKLKGAAYESLAVSRASPEVQRQLLLCNKLF LDEDNGWLGGNGFCGGSYVHPGGTVESGIRESILEMLISGKSSQMVQRVNRPRPSVHH LLKQAFSKTRMLLSLQENDLPFDVQLKAMENAAARALLLSGVTTVNGLGLHDVNGVEI HSQPSRMAIGQQTSIEEAVVSDDAPSIEIIQLADNPNGGPSVAPSWEDSGEILEAFMH NQVQMMRHLLMSSGAGLLSSGLARPSASEGDSAGLVENGLERSDEQVTNEVQVIAVTP CGSLLALLTTKGRLIVFSMRNYVNEGGVPDDECQHGKFSERVIIDVLLVSNSSELRWY EQLALLLSFSPCGRFLLCSVQHVSSSGSEQEQVNQEEDEDVGKVLIYSLHCNDDCRSN DVTASLSATSADSQDSADRLYGTFKVHRTPVTVARWVDPRFWQGERMVQTSPSDSNTS TWRRAAHFHLSFLQCLSCGCDNTIIRWNPVNGSVIQSIATFPVQDILFSPLKQAFYTI SHYGQLSMYDAWNENNITNPAEGVIEVGQRGLPASLSVKRSSNDRYGDTPGSPLSEIT THSSDSEVPEFFYAGSQTIQFDRRCVARESDEHEPLLTRMSRAVSDEGVPAGVETRVS SRIGRRILRDVLQHDSTHSAPSDDFILRRSCDSEVEGNGVRSNQRQRPSYSRSRPHAN QYANPIASLQLKHRHREGVGRASLRKCDKIAKQDYQSTDEDVIFYRTGSRLVFVEVPH ALCHAARVRPSPKDSRYAAPPEPYHLLSMTASGCPVWGECEVSNVKPTQCRCCHSSKS ALFRSPSCRYYSDDSLRRNNSRNDGATATSGHSTGMGKSTESLGETAGRGQFQCGFCR CSADGGSPVIEPTAENGRYLCIMASVGPNRSLPSHEQPLEYNPGAYVCLVFDVASGSV VRVIPVCPTQPHFPLTQNGVATTHPKAKRAPIYLLPCSVAVVPKWPQQRRRSSGMLFS VEAANPTNVFCALDGHGISSYPSPYHGVEQDEGVVGRCDADRSESVVLVAVGGLHSKV HVFNALTGARVKDVNLREDDHPDDVTPAAGRRTPTKRARCSYAALADSDRMQSPFPKI DMTESDDSSKESDMGSGTTFSDFSSQQSEHSRIIQHQSLMSDLVERYGVSTVLQSTVD MLSVVPFPFPFRDCQLTASGGNLSAKGVKNSSRLSFSARTPGEAPNSLSGASCLNPCP SFLGQLLSALKDDGVENSTSNARCGSKDVKESATLFSGDTPFEFVSLQSLRRIITKRG TETRSSVLDGDDSSVPLPTAASGSTRFRCGVVNSVALMWDTMRGGVYMFSGDEYGGFF VTGDQINLGA XP_827811.1 MQTTTAASHANISIPCITSLIRGDFTLLMQSLERNECSVSKTYI LELALTLIRFDPHLREEECRAFVHAFDSLVRRLLGELRRFNEVESLLREDFHLLTELS ERCHTLDQLWGRYSVGKEGEAKKTTDASESFAPSVTIEVPRPPFTTPSKRKNCQDTCS PTETCADSCSSCDVKLAPPVDLSVITNTGGQEETVGRLDDCSEVVRNCAPYSLRGKQL FPEDPLQSSGNEAVRRQQYVEEFLELLTGRLSRDDAAIIVQILGSKPTKCSGKTTGAK RQMSSVTHDEPVYSVSGKSSHAGSKGNIANSNGNISRLGSHVSLVDSLNLRRGGYSVE DNESMTRVDNGSFNAESSAPSSFSAGATPIRSMNKIALYLSVFADMLASGETCVARSL QSTLTRFGDGQHEDQAGDRSTSGNCFREGSNKGDSPMPSGLFPSQKAVNFLSVLFPSL RGYFRDFSWRADNVASDEGGANVVPSGLPHDCLSRKAGNTVRPNAFSAVGGGSNYAGI ATLGDNANENEQTGGTGIAFSSGSSNGLYPGDIALFSLLRSLQKGGCIGPAVSADFTS GINDEGKEEEKTSVIDDSGRTTLQQYGDGDGSTIIYCVWVELQAVSKEVHSGVFAAQA MREQIQEEISLLCFRVVTIILELLIPAASSVDQRQVLFFRKWVCDIYRLLLEEELLGR FSALAGASSSAHFTEVVNPDSCAPSTLPPTTPQYRKKRASGKGTPVTITRRGSLTCVL VDDGNGTLQNDSGPPTLKEEIGGLLVPGTAYSRLACSLDKSSARAVEGGSSGTAATDG RLAFIQTPAAPSYPAAHFGHGHYYRRDDPAVVMAESLFDDAPTEPLPQCHFVITRLMA MLLRGAGSVKTSNPVPAPDRGSCSVNGSFAGVSPNEFQTNLPRKPTPSVKPLRQTTRK KITVQGHTQVAASKKVQTSLTGVENKSTLNQRPLNRVAYPVVSCTGDVKALYLSVLCE VELMLSPLDPIRAATVQNAVDFLVSVTQTPGEAFELLDAYLDDVGMEQIQLPQAQRGV IDYVADGGAGSHGYSPLGNEPPSSLGSVRRQRVATLETAASGGGRANAALRSCSVQQK RGGISAEKENSTSLLSMFPVIPTVIPSWNSPDESAQFMTILALLRHKHLTLQGAFGGR SSPPWLYPQGE XP_827812.1 METNFQLASVHGMLYTGGNVAFSPDGRQLYSPVNNYISSIKLQQ EGHHSLPCSNSNIQCFDISSDGDLIVAIGRRGVGFFYSLSAAVVLDTISFPPDCEVSC VKFSPCGRYVALALDTTLQVYTAPAQRIVSYHGCHRVENLHNALTLPIMCIEWTPDSE HILLSGQDARMKICPRQCRVQQKGMALQHNALVGHRAAVCGAWFVDSACNRVVSVSVD NVVMTWKQTRTTRQEVMRAIASAKLNARVGAQSEEDEYTHAEDDEGAAIPKSFLEKQR LEQLKIEGVRVSVADDTFLPDILRYAFEVDKKHMLTHKGNVSVTAFHRPRGLLAIGYN SGIFAIHSLGGSGEAEFPLVHLLSISAQSLTAAAFNASGDFIAFGSAHLKQILVWDWK SEAYVLKEQSHYYDINRTAITADASSIISGGDDGKVKVWRASTGQCYVTFTEHTAPVT GIATSAATNAFFTCSRDGTARGYDLVRYRHFRVYSAPEQAQLSCVAVDPSGEVLAVGS GQTDRIYLFAVQTGKLIDQLQGHEAPIACVAFHPSGTALVSGSLDHNLAVWDLFAHGD GGDRLKGTVEVTNVGSEVLSLSFSNSGRYLAVLTMKQEVTVYETLVPTEPIVIKTFQT SFDAAGGWCKSVGPRSANYNARFTTIAFSPEGEKIVAGGDSKWIVLYHAKQGYMLKKW PITTNLDVQGAEEQYQWRQMTEAGHIDDIDVDDTDIHLRRGKLLEMPGSKHRHFATGK RQTALSARTMSVAFASTGTEFVAATSVGLLVFSTHVARPRFQPLQLTANITTQQVRDQ LARGESVMALIGALNLGDRMLGIECMRRMPQSAIPVAVSSVPSVLFSLLLKWVSSEVE ESRGLERALLWAQSILLHSSECAGSFSCDRSLIIPPLKVLQRSLQRHRALGDLARENY FSLQYIIDMTKMQRDVLKPAAEVTE XP_827813.1 MCLHIREGKVADKQQIKINGGGKKKIVSLSIKPGATINRGTGAS NMDIRGVVAAFQRGSPLIPRAVKVLFNYSHPLTEREVALLNQYVKGVQEQQEAGLRTT EVPENINALAARPLQRLPAVSVIQCVLGAAKIPSFPIRYQRQLQRQLLPLVVRDTPLT REEWTSILHQTATLPMGYSRTALRCAEEILKAAAPPLFKGDAVPQAGEEQTLFLNIFA TVVLHYAKLHAACRKHSFSSLSLNIPVGNENVLLCGPMRRLRRILEKSCNHLREKWGA LIEKLPPPQALTLSVFPSWSCPISKETVQQTVKSSPMLMLHLLGKRPVPGSLLVDKAE EVIQLCLYNMGLTNCSLNSTRDYLTVILALPVSDKRGYFMTHGEDSFFQLLMPFVNKG KILTPEVAQEWETLYCLIFLGIGMEEELLPLFVRAAAAVMQKCCASTEKASIMILTSA VLRASSETSRLRVRQEDIVKIIERDLVSHSADAVKAAWRLLQVSGVENRVVDEMRAAL KSAKGNEEVRWAMALYISPKRAPSDVIEGLNELLFDSCEEVDAYRPAAFIALRSLVES KAKVSPKLCTVLCRADVVSRIGESRDELDALAETAVALANVFVFSPMALLLTHRSGLL RRRLSYSVEDLASDASAAIALWDSVTHVVFGLEEKEKTNILSNSACGALLPVASALLK HTAKMAVPTALRELVICCGHDHIQGEDPYYFCDNDSLLGRMRTSSKVVQRRLFDSLFS GEHRHLLVLHHDALVDGLCGYFSESVHLRTAASRGLVLLLGTRTGFASSQIIFNKLLD AVKESVEYMLALDMRDRAIAAASPAAMLQYEEKTLRERQLLKTYPSKPPKGMAEDDFE DMKRRDAAALEKGREELRKEIQKHSRVIEDILLQRKTALVTVRTLGTSGDFPLECVAV LFPYLQETLSGNDVPEVLERLLVDAIAGLLSRTAFAHIAEGMSRTVAELEGKASLSVD DVSRVSTMATYLRQSMTKMLPPPLFVVLLPFSHVAFKAGRGSQAVRTTIPLATQHQIM GVLIQNIGQANLPQPTETLQLLYTILQRFPSLFKSVQQGINLLMAMIPTSHLVALELG FFNQVDTVKEVTAAAYHRFSHFSTCRRALTLAAVFLHDSSTDVVRSMRGITQNSSHPF TLCPTDWNDLTYFLQAYGQQQKHHATRISASMRELFLLPNTTDVQQRSWLKDICKIGG LGSVVAIEVLSSSLKGDAFQDVLLYLCTIVESPNTEPFMLVVLSCGRVVLHDCSLVVL KAMSQTLQVRLSKPPKDITPVHKELYLAISTVWLTIIGCRLKENSLLESIIVQQGSTL NNSTSAMVHRTVCDSMVEVTKNKDACSLPQLDEFVQKCLKQVLHSGSYIKKKAHAYGV AGVLHGLGLTSLRRYNILETMQASMREKQAERSGVMVLLEVLSEVMGPKFEPYALAMS SGLLEGVADKDQKVSECADDASRLMVSSLTAVGLRQLIPRLVKGLAADQAKMRIPPLN FIGYVAFCSPKQLAATLPEITKHINACLFDVNHNVSAAAMNALRRVAGVVSNTEIREH VEVILAALRSPNTETENALDTLLYTRFVNAVDPASLALIIPIISRGLSNQMPHTRPKA AQIVASMVNLVNDTQSLKPYCQQLVSLLEEAAEDPKTETRTTSAKAIAALAAAIGGTL VDEIVAWCFSNLHKSHGSSVEKAGAAQVFVEIVESCGDAVLYDSFAVIETGMLDERPP VREGFLHIVVYAPSTLNPTTFQQLLPMAFPWVLEGLSHFSDRVRDVALTAGSSIINLY GTRNLALVLEPLMNGVLSEVSTLRHSSLLLTSKLLLHIVQNIRKKMRVQSVKERGPED GEKGGKEDEQTNGEPAADDDTGAMEILQVESARSVEKRGISVLGALEEALGTEGFVRL LSAIFCGRNEHNLNVRTESNNAWQACVASPCGAVKKIFSGLIDLLVIYAPSENPDCAE MANKTIEFTSRLSEMIEPFIDTLCDRYKEDDRRSKLGALTCLTCVVGYVDGRRLIGMG GQIVGCVLPGMQEKDPQVQQCARELFAKVSKIVGPGLIESATEAQLETSVRGVVEVVK VKPNVALEIIFRYLNRQSKYVQHNLELLDTILDVEEADDQMRRYIPDTGKILLAFLVQ RLDGASESYQKFIAGLSQGYEHIPQEQWQKALRAPATQLGALAAAEAFGLGISTESVE SLSAVFRAAIESLGSDNDEMRALAVSMIPKLFNSIERRIVDSLEEEEQQDLTTSKRAV GRYLLQYLGVFQETLGVTARAMVTDTEPEFSVLGEGGQARLFDSLMAFYNRGLDYGTS MQKVQAVECIQDLLTYAPRRVSAGSTNTVAGRCSKVLFVRNDGGVVLAVVRLCLQLMG YPASGKEAMVEGTMALAMFNAALCDVGEARVLALRVVIQLLQRSERYADLILGTVVAK KGAVDSPLLRGVMCRFISVVMRYSNLSKTLSHITKLMDIVKPIWERAETPATAVAAGI AVAALCRSASITDEQFSTLRDTALNMMSTKGTSALGGFAFSYSVIASRVERVDASFVN AAMFTVRSAAGFGISDKLSVTWILRATAALVGTGLVPTSELKMEVYAPLLRRVDANDE VLMSTSQYFYDAVSAQFPQTISSMSDFHREMSTQWCVVGHFDADLDDEVIADTMC XP_827814.1 MGVVDLLTSTRCEDLSSPVVPQLSYLFEYVLSPVYKYAASLYPT TWTPNKVTLTGIFATVVSSLLLLTAMPLNTFFEPPFATFVPGSYFLIKSPKWMDAPGP SPLYPSMLQPYFNSVFTPTSMLLLCGFLNLIYCVADNTDGCLARRLKKTSNIGEYLDH GLDCVTSLMSTCVSMSVLGFSFSNVAVTTALVALPTILSHTLHYEKNIFIWGNRFVSV DEAMLFFFLTSWISLMFPNVGKATFSPALLNAVLPESWARQLIPLRCIDAGLVVCWIS QCFVLVNIGAKSKSMFLRLPTIMLVLNLALLLAIIPCHAVHIEKGGYGTYTLGPFSYV ALWIITMACTCSSIVHIPIYAHCAKLPQTDPLPLAGVILVCLIFVSCPPTAAVLAVVC HVGQIWWNVRNLEGSVRKVE XP_827815.1 MLRQSLLSLAKVYGAVPPLGAAQGHRLLHGKREREGSLFAVAND VKRDERLLRQQLNALLEEERMPMQARLQRSEAVSSHEAVPQTPLVDLPGVERRRDLPA DPITRLFFQHKGDHALYYGTYDKPSVQDDDRVQIEKRVPRRREENLYTPIYDFCHRIR EATEQRKRFVVVPSTIETRGCARVMHDHGLVAGFRDFHNDRAFAVELKYFQGDSTINV IEPCSYDGRTEFEWSPKMMRRLLNTHGIHNRLVVYICRTADNRIIDHIHAVKENIGGR GLMMVH XP_827816.1 MDSVKSFKMIRLYRAFNTVIQMAIDRGFVVSHPSDVANAIRDSR LYDITDGLNYQWFTQRCSSYLQRHRQTTAEEEEEAKQEGRPGKDPSKSKRTKALRDAS QDFLYSSLELVCKGSSSRIGFGGGAISCKTEDEVDGRGPTAEPSSRKKNETILCAFFV QSLGVDVLNNLREVAQRRNASSMIVVVGSKVRGGRRSIREAGGGLHPGTTGQVIRIQV FEEDELAYNISRHQSVPKHVPMTDVEVRAFLEQRKLMITQLPRILNDDPMVEYLDLPR GSIIRIERRTDEGSTYEMYRHVI XP_827817.1 MSSESYAIGQEELVYDRMFRVMRTVGEMMRDRKYQVPSSIIPET VGQFCEQYVDREGRRIYRERMTVPCERVGGTHRSRAMVFFASELGMEGMKGYCQTAMD ANCERVIIVTHGKVNATVKRYVDCINRSGTGQKVQLFDEDDLVVNITHHELVPKHTQL EDEEVKEMLEAHSLELNMLPRILSTDPVAAYLGLERGRVVRIERKSVSAGFYVTYRQV V XP_827818.1 MDQSCNEAIQILQEVLTNADLRAVAAGGQTGDSETSTTPHLPME KKLAAVCMLLREHSRVLLEQLCSINETASGGSLKSPQCNAANEFNDINGYALPRIVDT ALFVRKSQLLRERVRRVNSTLGSVKHVGLLGDSSTGRRVITERAHAAVKELLDVVFTV ASTLSVTPVLTFSTQGGEDVAPRAVKLPSDEFSAEPLLEHSELCRQTQDHIVTNYLIC HEDSAINLPHRNEKGLSTVKEESNGYRSTTGKFRVAVLSKSFRGPLWQGLLLEENAIV DDIDGTRCTWKDIFAKDVGEAFGINAHSVWNIRALDVGTECGSGAVQRVQFHIRLPAS VDDARAELLLRQCEFSGLLAQYTRLEEELESGGAGFASSPAVKDANNGHCELDDAPLN THGNGIWNGDKLQRYNDINDESTLSTFPITLREEEAPSSGPPSPNEAFHYDPVGHTVR WPQQPPERESEVLGTGGEGPTLFQARLNLASSDAAPGNSVANFGVESHDADDASQAHG AGPLPNQGDDISNSPSLQPNSFSEDLLPISLFSSSQPQESCDVTKKQTSVLPQEQSIN REWLSQLPPQPPQRRPYASQQDALQLLTTRHKKTFSGTDWDITVSRFEDDIIQTFSSE VTALFELSRECVKDVEFSHEDNSIVFGLVHDRYLLEDEINALIAVFDFPQVLKIHKRC LNHDKPTAVRFDCAAAHGAGGLHDRIDENNVVTTAPPNDLLSKEECCATPRGPNTSAQ LFVDGGGSNPLEGEDECSDIAGIDWRQQQEFFLPSHEDSLTPDNEPATLTHIALLHDV PLELLIASNPHLATFDVDMPLPQSARLHIPRYGPLTETLSMSPSLRSQTPPSRRVKQE MNLRAVAMKLGVSVRELRQSNSHLDRYGDTELLPLSCSINVPALLVASADIGSFNSSQ RSSPHSVVRATNRTLDQQLTTTWRGKDGTRYSSYQDHIPGAGEQPSESFPPKKPCSER TLARVESRSPSLLSRSESNKERRWHFTPWSEGGSPSARTAPRSSTARSIPPFIETAVR VMTRAVMKFLLLRFFAKWRFYARVKGHQAVKQPKTLYMQLKNPSRGEAQRLPTTGGSN RSQDVVAEKRSRPQVSDLRARLQELKRNSGTQSQPGAAPRSISKSVPRRDPSATREGK MVSPAVVTGGRGRKMTPVASAPVTNRTSEEGKQKDEYGRQGRANQRAPSAGSRLRRVS SEGRVSSPEKTQRGGMTKYPQRSRSLNNQLRFTSANPCSTGARSQSPSCEIRLKTGEP MMPEWIPIGLVISPERLTVEAQTAEASASGIERGDIIMEVDGKRVRTIRDVRSVLSSG LASHVFVTVRKRNKAIAAYRIPRSDGCR XP_827819.1 MHDIYPILSLFFFWNNDFHVFIHRQPDLCGSGSGLVNIEKRGSR VKSGVTARATAVSFVLIKSEYNCLQQHCNSTRRLCQILYFLVCFRRKVLGAQQVRIIA LAAIYTAATAARPNSTLPDFLTKRRAGSWRLTGSDIKMTPA XP_827820.1 MSTPPHAREAGAIVTNTPLSIATTTATGGVVSSPCGTAPGTTVT SRGRVLNTQYQKEVTSATVKEVDVVRRGLRCVETRIHQLESRVQHVNPWVVQHMWETL CELRDKVGLEYDKPDENVWMEGTHSGNIRSSRCPGAVTQTKGTSSSFLKDGTKGTQVQ RGRSAGRLNDNNTNDANNLNTLVSSESDDNSSSGLCGAGTVDAGSSCSFGAASKGMPR PHRRESLAKSVLRVVRQLPSVECQWVFWRLEQQEYTARQRIALDENTYRNTLLVHLAG RLSTASTVYTVRRHSKMVVSPSRKRGKQKQRGRRGMYESSVLDTDSSSDEEDVFTMSN WSGSKDTAACGRSCDNTTAQLDSVIGVLLNDHSFMEQITSNVSQRLASLIEQSDSFQD KDMSPKNALPSALLLAIGDTVEKFAKSHHESLYRQVQGYLTQQRELGRTQEERLWSCI AEHEAAWSRRATEDRAVSCELLEQQQRFLALGKEDVESCHEVRRELLKLCAEVIHATE RVSTEASCTLVPSVREDRAERSQEVRSTQDDALELRLDVLSASVAQLHGELEGLRLKT EAAEILSGVKSTESTQGKVSREQEKQLSFLRAAVEDMRQTISVLVQNSSAAEEWAAKC KVDDTQLRGIIDKQKRMEDEIRLIVTASGATLPMSLPSHDIQAGATPPSGVTAMYPIS VEDAVLNAQHTLLAVVKGGESPKAPYARALSALCTTLQHISDLYKLGTGTFGSCLRLV LSSDPSSLPFEIGTVCQNYLCKHSASELKEAIQVLNVNQMVGKILRDCYRWIQSHVLV FYSFASGRHPLSCEASSGDLPLAHSKEIGPSPATQSEGFLSSKRTVTPQLNNRTTQGL PQPRNEVDVLRGAPHDVGCAGAVPFGAQSVGGRDRSTVYSDGIATQHLRHCEPQAPQL PSTNPTAEEAGTEHNPLRSDGTPDLHKPLIWCPSVATLVEASQSNASQWHAHHSANLS LISGIHLPSHAKDGTEGVQYTEQSLLSDITEYPALVVPSANCSHIQPKGESKEKHVTG YGHLVGTLTMSPVGLPQSLERCPQSVGCSLHSSGSPLAPPSHQWESMKDRNLVNGSRA MVSDHSISTTSNREGRSQGITLSPSTPAG XP_827821.1 MFRAAFRCSLLNAKKEAFVRGKPHLIIGTIGHVDHGKTTLTSAI TTVLAKAGKARALDYFAIDKSPEEKSRKITINATHVEYESEKRHYGHIDCPGHMDFVK NMITGAAQMDGGILVVAANDGCMPQTREHLLICSQIGLPALVGFINKCDLMQGQEDMI ELVEMELRELLEKYKFPAEETPLVRGSAVKALEGDTDSEARIMELVAKCDEWIPDPPR ATEKPFLMPIEHVYEIGKDKKSVIVTGRVDQGLMKLGADAELSGFSAKKLTVKVTGIE MYHKTLEECMPGDSVGASILGTGDTTTLSKDNVERGMVLSAPGATKLFNKVRAQVYVL TKDEGGRHTAFSPHYRPQLFFRCADVTADLNFPESEQKVAELNKKYGKDADEQKKKDA ELKEFEKTLVCMPGDSRELVLTLAYPMPMEKGLKFTIREGKITVGWGAVTECLALDPK VNIEGVKQVKAVTGKSKKKK XP_827822.1 MSDETPQEGPKSPGSDTAPAPVAADDSPETVSGQEAGSSSNAEK EAMGSSAAPAAATGPPAGAQEDHDSEEEDTAAGTGSAPDAAVDINGVPANDVKNIILQ VLSPCFDDEGGEDDAQRYDHIKAHGWIQHICDGIMEKLLAMRRPYKYVVHCVIMRKSG AGIHLCSSCYYGQADGWVNHAHDLSAHVYAVVSVYWSVI XP_827823.1 MKGVFCESGGGECCSFTRSFLSTVQHQLAVQCCRVLAQLTASTG KSFLEVARRGRSPQRHGVTTRDAAEDPSGRRDELGMHLAVYRSVRSAVAASCALGHRS CHMLWGPRGCGAHRLLRLVAQDCMEGNNTFVLYLDGDVLNSDEDALRSIGQQMLTFLR SSNSKSLRSADTSFSKGTFDFGSLFGFSKLMALDKISEGAVQTDAECPSRTKQGSCVT KDLKVSGHEKDGKVGRKRPRLAVPDELLDDGSASEDDDAFHVTSTTAYAMGGASSALA ALQRALLLMRTHGTNLIVCIRRVERFGVWCDQLLYVLSGLMHESDGQGGGMSLVMTSS TPDIRQLEKRLSSRLTCEARCIPLLPWSVSCVARACLVEVKERLELKVNTVKARNTKR GRLKKVRSVTDSGASGCTTSHSFTGWRFDAVSAGVAQDQSPEGEVNGYLTPPEVMLLE AAMLEMSGYVLTELSAVARSPQQSVSDVHFAQRIMVLSGQLRSVGATAGRVMTAVSAV FGEVCSGEIPLLSSASCGKLLSWFERTSPKLKVAQNIRSAVFSVAPEAVKSLWRGEFT NANRKSAGSVKPLRNYALLVGDMLSECKLVELGYCTREMFLLLTYVYLRHEAGVVRTV VDLLEDVASSMGTHAAAALDRAAFTAAVGLLNRWRIVRVGGRDGSTAVSLRGSPARLR EFLQEVLHRSEYCNETLGLDTKEVARLRSLV XP_827824.1 MVLCVDVYIFIFCMCDACVLFLSQVGWGRGLCTVMTFISFHSLA EALRSAFPCLPRLMLRWGYIVLRKRRDFLKRTGETRCPTVAPNSLLPLSATPCYYCPE GVQETLLNYINDGNFRYPTISANPVPSMRDWCVGSSSAQSLLLSTTKVSVEPSEVPGA SPTPIIIQLFRCEQRLNIADCMRTRLLQKELRRTEGGLQFLHQLSKGSNLMDQNMVAA LESKPSLAAESVSTVSAVETPYSGHIVWEKDGWFFDDIFSSSEIELPASSSDSTGYWR AWIVPFRGPFSKYFLPRMQDIHQFHVEEDITLMNVDFSDRANQRRYSANYSSFHAGRV LNYWAATGEPVQLENRMDNEDMEENDDAQIHLASTPCCWFTMTEISERKLCLRHGGTV RNLPFHFDCCGFFLHLCPPWFVLSETEVLQRYPISNESLVGACFIPEYGNTENVVSAS RQEGPLCGGVIFVLQELGEWCVFPHQHVTVNFGRVSLPSTDSLHRDKKPLLFVEINAA VEMMYSWSSEHCKQTSVECDFLKQVIDIFLSISSKCSAASFINSFIGCCGHPLPYCEE LSPLADAKDSPATLCILGTEDQHMPHVVETNRTPYSLNSGEMVTGPSHLQRGVYEGLI EVGRSRSKRTSQIPNQKCELPFIHENNLGPCGDAWDIGIVLLSFEYCIPAVKAMDTEL VA XP_827825.1 MNQLSHKKDQSSNATDYKRGTLYLLFYIMRHVSSIFFFLLPFIL SFSPPHFPLLFCQCVAQSGPALAVKKKQKVYGVAFFFFFVSTVLCPFYFSFFFPYLIM LIILYVPPQPFPLGSLEGVRKCDWVFKVLFFHSSLFVHSHLPLCVRAWEAYLKMGMI XP_827826.1 MSVVTSERLTLHKCVHLKSPRISFSIPKRVRKAQGKDLYFGYFQ LFVCRSCFRRFIASVASRLWAGSCGWAPNTFFFLQLQCLHLGYNYFPFPWFLRNHSFR GGICSYIYIYMCVCVCAQLLSFSPLVNPPCYVVPYFLCFTYTPVSSIFCSVSGRE XP_827827.1 MCMRARYSSGIVRGAMLRGFSSSPSLFCAAAGGSPIFDELKLSI LKKHQSDAPRIKQLIAKHGGDKLSESTVSSMYGGMRGVTGFVYEPSYLDKEVGIRFRG LTISECCEKLPKSWRGGSSPLPEGMLWLLVTGTIPNERQVKEMHEELHRRADERAISA AVKTIASLPDNSHPMTQFGAGLMALQTYSKFATAYSQGKANKTNYWEYALDDSLDLIA RSSHVAAIVYNRLATGKAQLANPSDPNLDWAANFTNMLGYKDESFWDCMRLYLCLHAD HEGGNVSAHATVLVASALSDPYLSLVAGLAGLAGPLHGLANQEVLTYLFEMRDKCKAA GVDLHDRKRLAAELEKLTWDVLNAKRVVPGYGHAVLGMTDPRYTCFREYCKNNFPNDE LFILVDAIYEIMPGILKKHGKVRNPFPNVDAQSGVLLQHYGMKEQLFYTVLFGLSRQL GVLTGIVWDRLQGRPIERPKTMTSESLLTKYNIA XP_827828.1 MGVEIPLPTNSAPPQGQDGSSKATPNSLANSSKTLVGSLRPLRS KGTLMPGKANNGEKVCESPPLPRHSIPLLPGHIKPKMCGPPSPEDGGQQPKFLGRMQK RNIVHLDHRLNAICPRAPPDSCGVDDKGSEKLICRRRPADKAQFHKAIDLLNSMIEAD ARKFDMREWVIRHAEGRGGAQLSKEQLQPVWILVGSDFVLAVDLNGSAQHRNYSDVAA LQLEQFPPEGAIVFPLEGVLKTGELRLPQTSTGVKAMNVLLHGESRWGAPIGVLFMSP SDQSSIIQDICQSYLPSFLRSAYPMGVQLRGEWISTVFSSEVGEFRVAELPQKFRDGL HSSMAAQGTSGTSSLTGEGYRISDVEVVQSLEKLDSTELHGERKTNVEMGSSPSFNLK NQQQEMLSTTSQASKPPPTNPPWEGGERGPLFSGRLHTLQSVPPKGSPTTFCHGVLLL TARGPLELLPESTTSHVRIKDVKLSFLRSGVGRCMRLTQESVRFMYVPSGPVLSDNHV VDTRYAVLRLQTHK XP_827829.1 MLDENQRDGEEEFIYNEYAIDDTLDPLNRLLSYHSSGFSLQRQF LLRELADTVRYAGFEQSTSHIIPLLSRFTNDCEPLVRRMLVQQLPEVAAYFVQEGGDA GYNCLLGKFLPIGFEMLVDKNVEVGAAALVALKALGELVKPEHIQEHLLHVAIMLARD ERAEDYRVVAALLFNEMAGLFGKECCVNDVLPELELLANDSNFTVRKTVGANLGKVCE VVQEEIADKTVLPIYLNLCLDEIWGVRKACAENIEEISLGVSPTARADKLVPAFRLLL DDNSRWVRNGAYEYLGRFLHTLRPSDLTPALLKTYTDMAFESENGDTDYSEPCAYSFP AVVEIAGPGRWDEAGDAYATLLKDVKWKVRKSLAHSLHEFAKILGRDLTESHLVTAFE MLIRDLDEVRLGVVLNADVFLAVMGVATRERLVPLLCHVPLDSENWRLRNVVGQRIGD VGVLLDPTSSSALGSVIALVLRLLDDSVMEVRRSTYRSAAVLLKHLADAGRENSCGDY ADALLQIAGRYSFRDRLMFPYIAEEVAKLDAESLVDKYFLDGLLSLAKDKVSNVRYAV HTALTRTFLVNDKWAHNTKIQQIEDALKDSEDEQ XP_827830.1 MEKDSVDNCHTPHPFVSRVTVRRLLCSLPILPHVLPLCCLSDAP GWLHMTFYLFSVLLKRKGCKSVYSSACITISDNNKNNRLTKPTGSRTGTKSRTTTYLY CGCVPSLGGENDRTKNHNSPQYIQGKKAN XP_827831.1 MQPNTMLPEGQDSSEADNHLACPSFAELITSVLGHIGDTYALER KAHQHAAQSEQSAHQNHSLRVLFRVPSEDAVQCDALGPSGAQPATLGFADDMAKQLSA LVAECDVGCVPAGLIKCTSRYLQRACMLLRDAENGLKSCQAVSRGSHSGVGHHSSSIG IDVSACDDDGRLAQQCKIRKPTVESVSRSFPLAYSSEDGTSLMSGDYHTGDAVRGERS YAGSLHEAEMAFCCLIGIAFSCPCQSLANCLQLIIADVLGKAICPSGLRGRILKCLSQ VDARTIRETQVSELWGMESNANFLYVVEGKLVRLNWDEVLSPRLHEATDVKWYVAPSP TAALPTLGQLLSGRLSRELDALQKSVSTAGTMPRCSLPSSSSTPSLARRRLGTLSKGR TSSCGAIDGELANKEASKEKDATQQALWEALSHCSIASLRSLCSGRRDLLYRIFTDMG ELPQYYYVLSACPEFLKAHHSTFIYVFFGNGPLLNSERLMVAFMTACRQKCEYLVCRF GALLMRVGGKEGGVCGTARSWLRDGPPPKLQALQRFIAIATHVPWQISDVDIRTAMSA GWSIHGLMQVSTIVAETLSLCSLVMGLFVPNDLCSFTALPPALAALLSPCVVVGDEHH HNADISFTRYTGVDDIVSEKRIKGSNVGGCTLRSGNFNWQEHGSTLMEQYYPGAASLI NDEFDALAGVVRRLNHSDCVGLTSPEYSPAYAFQSLLLYVQNIIGFMSDNYPYNDINK VLRRPAKLIAQTCTMHPETLSGAQLRLWMQPAVEGSTSTGDFSSSQVLSGDTRRRMTA ERRAEDQKQELIESLNRHRAPFTLSLPGDSTEHCGRPEDDVVEEALRLHEEWLVLVLL LCTMKARKEGLMTLLLYPMWKILNNM XP_827832.1 MENFVLPPYTVSQKLGSGTFSTVRLATDEQRRRWAVKIIDKAKL RKEEMEGQLMREVEAMRVFKHENIIAFHDFKETPTHYCLVLEFVSGGELFDKIVAAKR FDEPTARRYFQQLIAGVHHCHGKGFAHRDLKPENLLLDAVGVLKISDFGFCSNGSGDS DLPSSRAMGTPNYIAPEALSGSDHNAFAADIWSCGVILYVMLAGKLPFEDRNQKSLLE KVKRGEYAMLRQVSEAVRDLVKRMLTVDPQNRITLEGIISHPWFAVGWDPKCLKEAA XP_827833.1 MTPPVRLGPYCLGEKLGFGNFSTVRLATDEGGKKFAVKIIDKTR LRKEKMEDQMLREVAIMRSIKHRNVIDLHDVLESANHYYLVLEFVSGGELFDKIVAAK RFDEPTARRYFQQLIAGVHHCHGKGFAHRDLKPENLLLDAVGVLKISDFGLGNRQQDI LLKTVCGTPNYVAPEVLMERGYNGLCADIWSCGVILYVMLAGRLPFEDRSMRHLLARI ERGEYSMVRHVSDAAKDLIARMLVVNPKKRITLEAIISHPWFVVDWDPKCLNESA XP_827834.1 MSKIPPALLSEAIQNVLKDRKERKFKESIDLQVNLKNYDPQKDK RFSGSVRLPHVCRPRMTVCLLCDLVHEDIAKKNDVPTMNQEELKKLNKNKKLVKKMCN QYDAFLCSESIIKTVPRLVGPHMHRVGKFPTVCAQNESLPDKVLELQSTVKFQLKKVL CLGTCVGHVDMTEDQVRQNVVMAINFLVSLLKKNWQNLKSAYIKSTMGKSQRIY XP_827835.1 MSTASNTNSEYVRGLNDAYAHRSRTEDNWLRPSLGPLLWFGVPI ALSWVYMRRQSPTGAKNNMFDSFMEQMMPIKKRQFRVEVKGTRFSDVIGIPEAKEEVR HYVEFLKNPNQFTRLGARLPKGCLLTGEPGTGKTLLAKAVAGEADVPFFSCSGSDFIE LMGGSGPKRVRELFEEARGAAPAIIFIDEIDAIGSRAGKRGGSVSSEENRTINQLLAE LDGLNSGSDVLIVMAATNFQDNIDKALLREGRFDRKINIEMPDKAARVEVFKHYLDRV CTGDPKGRTTDDEGQPLQVDDRISNLVLAKELADMTPGLSPATIATVVNEAALQSGIQ EKKLVEKESFLEAVDNTLVGSKHRNRQSETSLRRTAIHETGHALTAWMLPTVKRVLKV SVVPRGQALGYTQRAGNEYHEYQTNATLLADMVVMLGGRAAEEALLGNPSAGAMDDLQ RATDLALKQMMAFGMDADAGLLAYHPESTQAGRMFVNYSNKAQHLAEKEAMKLVSLSY QMAVDIIKNNTEKVEIVVGELLSKKELMSADLERLWGERPVTPTVEQLVGKLMDAQNQ PQY XP_827836.1 MNVNLDDLFDTGSRGRQNQTGGYVPPPPPSDPPPPPVMQQHDPF GVNTLLPSAPPPPFESVASAPPSFTCHGATQQHRHVQGYAPGIYQATAANPTIPVTST LGGAAYSPPPLQVQPPPAPLPPQVAPYSSPPITAPHGSIVPEALAFSAVVPHTANALQ AQIPVVAGTGAVGPAVSANDLALAQEEEDRKQLEKIIKLRKEIEKEREKERRKREELE TWGCPKCTYRNPLTVNTCEMCEAGRPGCNLPVNAGPPSGNHGAPAPHRHNNVPLAASV AGPTAWLCSMCFAPNEAHHTNCKVCHSYQKNGTPVTTASIVGGPTASSHVVANAWLCG ICGKNNKVTNPRCEKCQSYQSNGTPIVDKSSTQLRTDVGTAGDLTWVCSACTLENPVS EALCTACQSGQRPRHLAPSKKDHNEEQNLGSPKWWSCPSCTYQNTWALEACEMCSAKQ PAHMRAAQVGGGGLKQEEVVEAVQWQSDDAAKECNRCQQPFTFKRRRHHCRACGYVFC ATCSPFQLPLRGDVPERVCVTCFEARK XP_827837.1 MDNRLPTIRKMHTHLYVRIYAGRSGFSVTIFSNAASIVSIKHIR KVKASVKQSTMYKTKGFIVHFHKSQCGNITSTLLDKGKSRQCAPLTLLIQLITLYLGT HDMPPLLTFTCV XP_827838.1 MNFSPLANPQCVFSSPSIGNVNDDTGMGYSPKHCDNDVGRADSH GAVTHRQSRTFSTGHMTNMVHHAQQYPVSRGNRHTRVGSKLFVGQVPAVATEKQLRPV FEPYGELLEVKIMRDSMGRSKGSAWVRYRTDEMASSAIYALHEKHTVPPQTNPLRVQF ATISKARCPNQQVYTMSSRAPMAQIPGETGMVGMPLRLGASVNMFPPTIADNNGGYTL PSSIHSQSVPGTGSGPYTSSTNRVDGLDRNRGSYSSFSVEEQPYTSAPRLYQFESVVY PSATAPHSNVYNQHVHTQQKQSESGSNKKETCTGRTWTFDVADDEHIESLQNDASISR NGGLSLNNGNISWDDEKWQSPTGAMIRLSGSGE XP_827839.1 MDFIAFKDGGLRDDRYRIIDEVEERLPDRPKVNHRQRSVKDYGL TCAQQQDRSQAEGLFTVTPRTVDFRDIVVGQTYTKKVTLTNCTNKLYAFRILPVSPKY KEVLQYEYRLPPKLPSGLSWSVNIRFTPSKEDDLETTIVFRTEQGVFVVPVRAFRRKS VVSASPDKLDYGCVIFGEKLSKRVTLRNDGALAATVSVGGSVRDWTDVLHKNPINGKE ESVVVFNPAGYTLTIEPYSASYFDVIFSPHEDVVIDGDIEIRYDVDGSAAVKKIQVRG TGGSLPVHVSSAAEIDFEWCFFETTYCEKITIQNTTNVLTTVIPEVPPTLSSSLSFSP KSVCVQAKSTSEICVFFTPQRGLGHTVQSVILMSVRDQKTPLSVKLNARLTERGFGVS TNELHFGSRSVCEEIVQPLRVENLSDLPQTLGFLTLPDNVKVAPYPIVTLLPKEVMEF KVGVRPPTLGQFTHVVNITNEYDVSRAIQLTGCGCEYPVRFSKSCIDLPACPLGGEIN YSVTLQNNGNAQQSFFISSPLEYLRASPSFGTLQGGEHCPIVVFFAPLAQPSTPPLEE TMPRPSTKRISRRGREREHTPPLEKVTDSEEACLNELYKAWECNSSGEGWSRHSSFFL KCVVGEAASEQVVMLQINCVVVKPTLSVSLVSRVHQKAVLRKASKRGAKKNERRGVEQ SLTLEISPSAVKQSVDFGKVPLNSRVERVFLLRYTGEGSMYLQMRPVAAYSPFFVSKP PQFILSHDEECAVTVCFTPAECGYYREEVVFSSACSNDVTLSLQGSCEPADLLVTGDR ELGEQTKSIEVIVLEPAQVGQESRQQLFFHNMSNLPLEVNVAFITGEGKTPAWPEGCS FTFECDQFVIPGRTKVPKTIVFTPQVVGMVSLHLRVSDGSSVQTILVEGRGCDLPVFL TFPSCKELAAGESAPYTGFRKNPFPETGASAIDPVRLYFAAEQTRSISVGSLKAGSSF ECIVGGWSETFTENGWKLEPLKITGPAGSHGTFTVSYNPRRDHTDVSFCTFSLAIKCA LVPEERVYHVQCTGTA XP_827840.1 MSDQCVTVEAPINIAFIKYWGKREGGETLILPTNDSFSITLSAS PFRSKTSVELRDDIETDTLRLNGTEVDVGKTPRVQSMLLHLRSTCPEDLKNKKVNIVS ENNFPTAAGMASSASGYCAMSAALIRAFKSTTNVSMLARLGSGSACRSAFGGFVIWNK GEKPDGSDCVATQFVDETHWPEIQVMCAVLKGAQKDVSSTKGMQQSLKTSPLMKKRIS ETVPERMKIASRAIKARDFATFAEIAMLESDDLQEICATTEPKITYATEDSYAMIRLV KAYNAKKGRTALAYTFDAGANCFLFVLKEDLPEAVAMLMEHFPTPFEKFFFGDRELLE KVKVVSLPDEYKKLIDHPKKPFEMLLQSPVGCGVKYLGPSESLIPPRV XP_827841.1 MADRGDARVVHVFVDDLSAVLAEDVHEWLRIIGDIDELKMRYDA SRQRSFYWVRFHQSSAARLAVDHLDGERLKNHAIEIHSNVFKKQTAAVATLEGAAGGV QEEKTKLEMNPNLPKNHLMPRDLQMDELLVRAIPEMLETSEQAAEGGELLQRLLELQE SYCRAQESLERTTEGIRETDGELTALLRGETTAATDVSGPSSEGFVQGNTPLASARIR NAVPVPLSTCDPAGLLSKLTRHVGPVADYAFSFASNGGSFATVVELFHPDDADFALAL LTGRRMVSKSTEKHADAEDALAALVGFGWEAEASVAPLTPPSVPQASFAAHNRVATIL RSCRSVA XP_827842.1 MYCFVIGGLSVWSIPFLVDGPMELTNMTASMIMGGTTALTGIIG SIVGGVVVDKLGGSLGSSGTMKCQLFCVVMIAVSVPVGLAALFMEVTWLFTSLLVVSV FTLFAVTAPINSAILTVVPWDQRAYAVSYSVLLIHLLGDFPSPTLAGYLSDNAFSRGC PAHGNNTQCRNDIDNLCKWINKTGNSTDGHCVSKYQLRNALLVIFAFLGLAIPCWLAV YCIMLREASAPHSNADSEGSETGGNKKTEEAEKANS XP_827843.1 MFLSRCFLLYALFNSVVHPNIVRCKDGDTFVLPLLFLFLGCYTY CVFLLLLSCRDTLHLKKGRCGRSTFRVAQMIDTKQLCSKLDGVLHRFTTHGKGEDLAN CEDMLSDIKIATSAVEGAAANQSSDSPLPVKVLEELRLLGSACWNVTMRCTDHSREHE RRLKVALREFATRSFLLGNFVYSEESVRHSYFTHHPREAEQCILMCLKTSRDLSLNHM PEGSKALLAAVETIVPHIPPGVVQRLPHLKHRNLSWEFEYTKMEVMWNLGHLFESSQS CERLAQMLLRDNTLHRTLLETFFHFVFTVGSAEVEPPNECFIRDMLMSSINVQNYLKE NGGSDAPCRLPMLRGATLEQMALSWLREGNASEAVRWAVEADSALQSNTSALLRLKAT AAAGMEKEASVQLREYVQRLDVTVDDAVAVCFDFQKLFTTMESGSVESMQLLQNRTKG TSAAEGVTFRLVQLLLHSKDTESCRSALQIMRNECLDFEDPKYRRYCFKWLWELSDNA EFSHGEAVESLEAAIRLADCASDSEINALQLHLCTKYVESVEQGQQVNMLAKPKDILL RYTERKPRCVFAHALLFKIFVMEGSETCMKEEIHQLVACEPSELVIPALCTAINCCLK RNCLNVASLAALQALLSPVPFADVRTELEVLRVYVTTAINRSCAHSDDDLYKLTQRIQ NILSEGSATLKLTHDEVMWWTQAFLLLGSEFTLEASATSIALFRAAACIAEQDPSPRE AGTQSPLLAAILCMLEDEFRLFSTGNPLIDLADLEKHLNACRDLISTTYTAECRVTFL LSKSEWHLRGPSIETPQEMDEIVRELSTIPVPYNVYEALAEAATFEASRVTTECSYLR EFAMGLFAKASLDLMERVAASTEVRAGEEEAVAETLTKNLSCLYKAFTLGSDRKEQMC VVQHLMSFLSLPVMGITVGTFLGRYGAVGERGVTANNSSAFALLLLEYFTVEAWNNSV FYLHINNTEKQGEWARAAWALVDILPPTHRVVSALHALRGITGC XP_827844.1 MFSRCQRRAMNRGHLAPTPSKYTDQPGMWGPGTGPVKDTLARRS MFFRMLVMNKIGRLTKPFRTNRVRWIWRKTQLQLWKTFVMTMVFFSAVMFGNIWLSFV YHAYTVGPTTPVLERKVREHRVSKQVLQMVRERENELLAEEELAAAKEAIAAQKTL XP_827845.1 MLSSRSKGPAPRPQMRVCYLCGQQFGSASIGIHIPQCYAKKVAQ WEVADPATRGKRPKHPDTVNWQGSGVSAEKLMDEQFQEFTNNLVPCDRCGRKFLPDRL PVHLRGCKGDSRCPSASANRSRAGSTRSLASSGRGASPSRDSQRGGQGKRTASMGPGA KPQLPICYLCGQQFGTSSIGIHVPQCYAKKLAQWEAADVETRGKPPKHPDSFNWKGEG CGNVEEHNDAQFAEFVRNLEPCPNCGRKFLADRLVVHLRSCKPGSTARPPPAPSAPQS RPPTGKVPQPNTGSHVGGATDTAERSQSRGSAIGTDHNRRLSNINPKLKRLPKGGTVD ADGRECPRCSAVEYDKNAKYCRECGANLASKNLAKPCAQCGESIPQGSRFCGTCGVPV NGASADERGEGAENVNAPTVRVLTCPACRAICDADSNFCDNCGGALGDAEPLAVGGAR TPAKTTKEVMYCKGCGETVEELSAKYCEECGEVLERKTIEDVSEGPPAAECTLTPHGV KEVSAPQRALSTAPPSTTNDDKRHSAGGFRQANTKIGGSEDNRGGPKVPSRGRGNLSP SSPKKCTQESYTATDYEAAEVGERLECQKCGRKFAPEALQRHERVCASQKQRKVFNMR AQRLAGTEAMSFAKKIDTTASAPAPPKKDWRAESEAFRRSLKEARMVDKVLKSGGNLR NLPPPTYSENSHYTPCPHCGRKFAPDVAERHIPRCANTVNKPKAPPRRRF XP_827846.1 MLRRVGERVTGGIPCTVAPVVGPVRYFWDPYGHQPESMFLDRKD LEQMYPTRKPKTTGGEFGYERGPYWAAMLVPNPAVRLPHERRRLNPKPAKRVTVFGAS GYLGGEIVREFCEHKDIEKVRATTRYPTLIPEGSDLDLLLQKYPEKIELHECDVTDRI QVNVAANGSDTLVFAVDYHAEYANNSHHDVFLTGSTNVSWTARSVRAERVIFCNGLDA TFASESNYCDFRARAEDAVGANHPDATIIRFGPLYGKNYRYRGLGRYIYPACFPNSLV QPTWVVDAARAVVRCSMSRRAVRYKFDLGGPQTLTHVEAFRDIASHFDARLVVPCYRG IGRFFGKLLPWVVPNPWFDDNWIVTYELDQVNRRSTLFDRLASWERIAYAPHTIAEAA AIEKGKVKLPPLHELDVSYKALEAADKAAFDLEEENAKKFGIHRAKAEPGFGRTDGLE ALAQEIYPGQQFRIRPLEGAKYPSNVKNPGPVAIH XP_827847.1 MMLSLVLSLIFLSVTTVRSKEITKLNSEFNLDASDYGEGILRLV LKPNKDWFYEVDSVVVREPVVGELQSKCDGASCTISSGNCAITTTVEGNRFQANYQCA GSMLTSVDLSLDHLEEPSVNFSFPVAQRLYGIPEHSMDLALKGDVTYLMYNTDAFQYK INDPQPLYGSIPFLIAHSVKVTTGVLFLNSAGMKVKVLSENGMGCQWDAEAGLVDLFF FPGPTPALVQQQHASITGPTILPPYFSLGFHQCRWNYRSTEDSLGVDQGFDQHNLPYD VLWLDIEHTDNKKYFTWDKDTFPDPKLLVGTLASKGRKLVTIKDPHVRVEPGYFVHDE AMQGDYYVKSSSGDGPYVGQCWPGRSSWPDFYNKRTRDWYATFFHHDRYEGGSHDVHT WVDMNEPSIFDGPEKTIRRDAKHTSDSGKLVDNKYIHNIYSLYTVMSAHQGHLESSKG LDHVKRPFILTRSFFSGSQRYSAMWTGDNMAKWDHLQNSFPELLSLSVSNYVFIGADA GGFFFDPSEELFVRWMQAAVFYPFMRTHSHLETKRREPWMFGGAATDRIRAALALRYS LLPYIYTQFFISHRTGSTIMRPLFYEFPHEEQFYDEQYTFMFGPSLLASPVINEGETE KVIPIPSGSKWYSYATGEVVLPGQHRVKVDMDSIPFFLRGGHIIPAKLRMRRGTFGTK HDPFTLYVALNDKGNSAGELFIDDGESFNYESGAYIHRLLSFTDGRLVNTVHPNSTAN AMFTAKNRVERIIILGYEGKPKVAMIEVPTQSVIMGREVDCEIEDGSLVLRNPDLPIS DDWTIVLKR XP_827848.1 MLRLTFPSRGYLNDFASPTLIAVTSDEICSLLLRCPDFSLVALL SRFLVSRGDWQWFCLFNSTTPRRAEWMAETFQLLPSEQGETLGRFCAAKRVNVTDVWL PLQLLRPARAKDDTPVVLSPSTAAVLLNHKTGKLTTRRSEILALVPVLFADERNFAFP LSAIPTDCTIFARASKAMASKRIPSGLTVMHRSVTPAQALAEGSCLSDAEPQPKVAKK QALSDSGLTVGSVPTAPASTDTSAGQVNKRARSDAAFDGFLQEALVTLRGGKSTPREG VIIRETLDNLIQVCNAPPLHFVTQNKRMTSLNVLGCIWNVPDERVIYRVFRRGGEGEG PSTSTHTDSERLIAVFIIDNVLLKREPAKPGAHNEGPLWSLVDEKLPEVARALSERGY RIVLLDHYPALHHGNHYALHTKLIPIAELCRQHFTADVTVVISAVSNISGSRRRDAIP FVLPHSGLWEFFVTQLNGGMRAERDSLLVGLTVNPDEEHRDMGCQGRRDVLFAINCGL RYVDGATVSREVLGHEDG XP_827849.1 MVFGVRRCSRAILSGVLFLLLLHVVKLPLVAAAREHGIEEPESS SSGGASIRKTERHIYTILDHVILILVCLSFSAIFAGLTIGILCMDTLTLSIIASSGRE PDRTHASRILPLRRQGHVTLCTLIISNMLMNVIVVQQLGALTELLCKFSYISGACKDN GGAPGIALFAVSTLLILIFTEIVPMSICKSKYSLAIAAAGCSVVRVARVLVYPVAMPL GLLLDRLVPHDAGQIYDRNELRKLMILHCEAHGERSGLATSELKLLIAAMDFQERKVC DIMKPMEDVTTVRVDDVLTPCLIESLWRSGRSRIPVQETLGGYRDVLIVKDLLSMPPL IEGATPLTIGEFVNGSARTALAVHKDTPLPTVLRMFQHAETQMLFVSGTDTVSLLTDE NISMSMSVTLNQCTALRDTEIIGIVTLEDVLETLIKGEIYDEYDSYDFPAHTNSVLGE REVLLDLCGVPPPLSEPAQVPRVNFYSYYVQPGSDGTLTEAQIWAVAYYLTRSVPPFY LWHPGYVKMLLDECGIDQYTFPAPVPSGEAPSNSYPPLSGTVIAGNTEGTRDAPNSTN DLISRATEERAVLYRNGVESSVFTLILGGRVEVLVASCNSLLKQRSFDWLGEGALQLQ RYIPDYDAVVLHPTRLYRIPRELYDRYQSFNNHYNRAFNAGLSAGRSHDGATILNVTS LEMSPIEAKDHPDGAAYVDIGEEDHHPMSPESAYGTFRGARKPGSRYEEMKLLNPPK XP_827850.1 MAGVEEADKLKQLGNAAFSERKWHLAIDMYTKAIELTKTPTLFC NRALAELRAELPGAALADADAALGIEPTFAKAYYHKASAYLSLGKHKQALTNYKKVVD LAPQNSDAQAKVEFCKKEIRRINFENAIMTPDEAPLSQTIKLGSVRADYDGPRIENET VTVEFVEAMKEHFRLEKLIDRHDVIFILLEVQKILKKCPNFVSINVPVGEEITVCGDT HGQYYDLLNIFKLNGNPLETNRYLFNGDFVDRGSYSFENIMTLFAYKVLYPDHFFLSR GNHEGVSMNRMYGFEGEVTQKYNSEMFRLFTEVFNSLPIGHIINNEVFVVHGGLYSSD KVTLDDLQHPNRFRDIPESGLICESLWSDPQPMPGRAPSKRGVSCLSFGPDVTETFLN NNNLKLLVRSHEVKDEGYEIEHGGKCITVFSAPNYCDQMGNKGAFIRFTGGDMKPRFT TFTHVPHPGKRPMHYATGFGLF XP_827851.1 MPALQWLGRKWRTGTDDFFLSSFVFFSALTTSGTLILSRFGGWF SVDMKGCPGVPPKYERCVYALGIISCVGASFHLASALLSCRGGPFHVSKRRHVGTLLY LTTATALSCLPLSSVVLKYSLYDGFMKMCDPFSRRSLYASLLVNIVVSLLHVISLLLS FDPNGGRKWGDSEEYKMLWGRRCRLLCCCCFRPAEDDDDVFEDAAATLAAFFQGYDLV PSDIFAGMILLHDAQRRVLLERVAHVRFPPPDDGCKERVSSQARYFPLLTSQQRQCVV ELRQYSRFYMAAYGCLLYLHMNLCTGLPKLCCSDPKMCCRKRANSHQGAGCFCDLTAA LKVSGLAEEDIILSNWRNALFRPVFYVALDRETASIVVAIRGTLSFVDCITDVTATPE PLFIPDLANSERACANDYYVHGGIKRSAEYVLRELRESGVLEAVLHGGLNSYRLVVLG HSLGAGVAAVLSILLYATEEGVRERLRCLAYSPPGGLMSPALAHYSKDFILACFVGND VIPRTASHTFDDLRESVLDVLESCNMSKPLIFANRCILGRRNSSAGRCEPLSSEESRA VRAQLQSKACVLPMDQRKLFPPYTLVHLRKAVVRWTPKSCCCIPCCCCSKRENVFVPT FETPDDVQTVVCSPSMFSNHFPDYVFDALEETTERLQRGELERFFDCQYYNTTNFAHP TYGATPVNGSMGVV XP_827852.1 MVLCVDVYIFIFCMCDACVLFLSQVGWGRGLCTVMTFISFHSLA EALRSAFPCLPRLMLRWGYIVLRKRGDFLKRTGETRCPTVAPNSLLPLSATPCYYCPE GVQETLLNYINDGNFRYPTISANPVPSMRDWCVGSSSAQSLLLSTTKVSVEPSEVPGA SPTPIIIQLFRCEQRLNIADCMRTRLLQKELRRTEGGLQFLHQLSKGSNLMDQNMVAA LESKPSLAAESVSTVSAVETPYSGHIVWEKDGWFFDDIFSSSEIELPASSSDSTGYWR AWIVPFRGPFSKYFLPRMQDIHQFHVEEDITLMNVDFSDRANQRRYSANYSSFHAGRV LNYWAATGEPVQLENRMDNEDMEENDDAQIHLASTPCCWFTMTEISERKLCLRHGGTV RNLPFHFDCCGFFLHLCPPWFVLSEAEVLQRYPISNESLVGACFIPEYGNTENVVSAS RQEGPLCGGVIFVLQELGEWRVFPHQHVTVNFGRVSLPSTDSLHRDKKPLLFVEINAA VEMMYSWSSEHCKQTSVECDFLKQVIDIFLSISSKCSAASFINSFIGCCGHPLPYCEA LSPLADAKDSPATLCIPGTEDQHMPHVVETNRTPYSLNSGEMVTRVSHLQPGVYEGLI EVGRSRSKRTSQIPNQKCELPFIHENNLGPCDDAWDIGIVLLSFEYCIPAVKAMDTEL VA XP_827853.1 MPRPCILPQLRRLRDNRHHSGDVVAGSVIGMLSGLLAVAIFFRL GKGKAFLVPRRLDFVRRHGGQVVSDITK XP_827854.1 MARIGEGDPRWIVNDRKDGQNVNAWHWEERDLSNDCHEELKRRL NNFKLCSDDDGYNLHISEVSEISGDVTVAQRKGKMMCYFELKLTLKWSGEKEVSGKMT VPEVDHDSFRDEYDIVVTTTDNKDETQRAEAVVRAKGRAAVRGVITKYFEELFETYQI GKNLKNGSSLPPPPPQNNKSASTHEKPTTSSAGGNVSSTNFSWKMRWRIPVEELFTVL MNEQRASVYTRAPAKIDPRSGGIFDFLGGVITGFFVEVVPNSLIKMQWRLRSWPSGIH SSVVMSLEQEEQGVTLLEFAQVGIPEGELQNVKEGWRANFFDAIKMVFGYSLEYL XP_827855.1 MAHVGQQRFGCYIGNIDRSVTLEVLRQVFSQCGTIVDCSLNGRD EDPYRYGFIDFATEDDRARAMKYNGFTLAGRKIKVGISKGNVGRPEGYNNNPTPAPAA SNTASSAGQHPQVPSQPQVPAVSVPASFLPGMVQQQQQQGATLLLQLLQQGAIDVNNL TAEQQQVLMASLLPQAPAAAPGMHVMPPTAPMAYVPPPPQQPWGAPRGVYAGGPLGRP APYTRPPANPQPPEETLKLREVQRKQFLDVVRRDAEKYERKLAERNLKEGRTGSISGS EESSSDEEGEKGHRHSRRKIEGGEDTEPEKSATLPMKTESDSVSCPMEVNCNNEGANI SGEEAASNGNGGESNNNEDGDAIDCSNVETEENNVDEEVENKC XP_827856.1 MGKNPPKWLPGERVKETILLQRKSVEQLRVDRVLRRDKLQERRE RHKAKIDAKRKRKLSTKKFISAQTILKRAQLREKQGRLFQKIGEKATGKKGRMGEEEY GKSLEDSRVVLIVRARGKLIPHEVALAFGRLGLRKLYSARLLCLNPFTDPLVKQLGPF SVVGHPEPAQLNELLRTRGALWNEETKTKRLINGNLMLEKALGEYNVLCIEDLCDVII NKTEHVRDVLKHIAPFDFHPPRQLFMERHRNVYQKMEVMNKESFAAYLAQELKASARR EKRAVGKRKAVEESSQSSQKTS XP_827857.1 MEKANELMQRFGELNNPSHIFLAVVIAWLVLRCIYSIGLVLLVE VALVVGAVSYLIRKEGKRTAFNILHAHRLMRDKEFMKTVLERDLPEWLINPSANNVQW LNSLINEMWKPISEATATTVKNCLEPLLETYKPSFIYSMNLKQCTMGSQPFVITGIQY HPSREKESILDVTMTWDSDMDIVIHLDMPGPDMNVHVRRLQLSMQTRVVLFPYVSVWP CFGNMSVSIMKLWMLNFDISAGGVALDAVPAVGSFLDNFFRKTLVGMMQYPKRWTFPI VQGYEMDTSLADSAMGTLRIRFLRANEWYHRYVSDRAKTPYYIKLLMSGEDPKKRLLK SNIYSGLDTTFSDVFSFILYDTELTLHFWMYFDVPGYDVLIGECVVPVKSLVESKGRE YTCMMSKTSGSRTTVRSKLLIMPEFLPYNTGGTTTTGSAPQQAPSRAVSESFANSLKS TSDAIVPPSTRSTVPNDDGVENHGGGTLFVTVQRCRNLKNKETIGVSDPYVKLQLRKQ TRKSPYISSTLNPDFNFEAALEVYDIRSDVLHISILDKNDLVKDRLMGTLRIMLSQVA AAPGDIIRGDMNLDPEGQISLELKLLRH XP_827858.1 MPVPWRYFFDGRPLVVVMLFFVHSYVLYCCTMQGYSQLSGSSSD ENIVHPLSSDGNTVTISPTYTLRMHFLPQHQVAKGAEKNNQIELSVAPTDALIINFPE GTEGSETEVMEQLIGTGRWQWVVPMRPFDGYDSTRSYRLKARRFPVAAGDDSNELTPP TIVHVPNISSIIEAGMRVSNRSQSGLHRSRGGVVCFVVGADPSVVRVPEGKKLLEQLF SEVGRSTISTATLGLRQLHNANWALFISPCRTNKLFAWKERLPSSSVSSGSLWWLCAA ADVLLEINTAAEWVTAHFD XP_827859.1 MQTHISRAVEEGRHTKEAAAYFESIMNDKPEFRLFMREAQRLIG DQDPRGLTRYQQTHYSEKLSRYMSGVASERLMRAHTEDENTRRHTHDGSPTGENYWFE AGQILASPTVPSFVKDEVLREMRGGRKEDSPAFEEPKAVAEMEADDEGFAEHLRRQRK RLLSPDDHLN XP_827860.1 MVLRGVRLRSVAVSCYGSSLTAATRCLSVRTEDFFSKEAISHAR RVSWAPHTTEKKQGAFAKLARSNFGDPLPSSFAQEPYFEEEIEAHRKHHRPDVYIYKY NVSPTHFSLRE XP_827861.1 MSLNLTDAADDRSYKEMEKYTVERVAGQGTFGTVQLARDKSTGS LVAIKKVIQDPRFKNRELQIMQHLARLRHPNIVMLKNYFYTVGGEGRRNDVYLNVVME FVPETLHRTCRNYYRRMTNPPLILVKVFMFQLLRSIACLHIPVINICHRDIKPHNVLV DEQTGELKLCDFGSAKRLAADEPNVAYICSRYYRAPELIFGNQFYTTAVDIWSVGCIF AEMLLGEPIFCGENTSGQLREIVKILGKPTKEELHKLNGSSTEINANAKATPWENVFK QPLPAEVYDLCGKIFKYVPDQRITPLDALCHPFFNELREPTTKLPSGNPLPAHLYQFT PDEVEAMTEAQREYLLKK XP_827862.1 MATIAELQQAIRDEKEKHEQAMEELRSQRESLASVVAEQQQYTQ DIIMRVSELRGRKSQQMLAGNAAPNFAEIDTGCKAVDDILYSIEEGLETSTGKSSVVL GKILAAAVQAVVPGGDKKPSTLSSSSSATRTTDVVVISRNEIRGTHEWVKKHCKKCTA CGELNGRPRPWEPPKKTDKGKSIKGNASAPNLRCIPGKNFRSLSLCFRREPCSGTVLE LMRISAVVNVQGQSGEQITNPREVAAKITALVVEWVRKEMENAREAAKKAPWCEDGED GQKFAVAVEMLLHNIDTRVEVFVIPPKNGGNVGGSNHVPMKINVFVVSPLFLSTLQPF VELWGNERDREYMLQGLIKPAIKRIAKLFGVDGVVDHGITVGLKAVDALVRMTKGKHF FEPTSPVKSKSGRPSASQPEQLIGFMHSVILAMSLLGTRIELECAVPDLGDLKDLEDA KRSAFNIAKAFLQRLLPPRQDVAVSLTDPAAADAVLWLRRMPTRMLEVLNNAFSSNSR LPSSLRTGVIDHLASPRLKINYALKNFSEIVIDIFRPYAPNSGMMTVEEKHKGEKARI GACAPVVDIPRDIVRASAEAAAWAKDMQQELLKREAAGVNTKEGEDAVNVVLERTKGD EIMANFLKEMQDLRLEVVELLEFGCDVCLPFGVAMQNGTTQPSEIIYARVEGVRNA XP_827863.1 MEHKAHPGSFGVVRDRRINNPSEEAQKRWALETPPMFAQESPKE ELPPMEDLAPSRAAVAAQRVSSTTQPAAEAAVEKPADSGAPAVPDAEAETRRPKSFAE AIKLGKQVGSNASQVAPRLVVAKGVKDHAEEPPVRVKASEPQREGAKALTKDSTGAKK GATAKKRDGSEKNGKEASDRSSGKPNGREGPNRSKGKDAEGRTLSRFVVFYDVIVKGL PPTATEQTVRDLVEPMAPVKLVKLLSQNDKKDTNVIRTFSFVQLDHDAIKEAGGNVKA TVAKLLESSKGKKGSGGSRIQIDEVREKYTAAPAQTSGEAANADCSQ XP_827864.1 MSSRFFQKYFIRCGNCQTIQRYAKGYKPIPNPILFDSDAHCRSY HRERRDCTGLTGTLVTCRCDKCARVHSHWTVMDFQEFLDAKLVMTPEERTALLWPGAG SRAEPSSGTSN XP_827865.1 MFNRNFILRAAEFKQKSRWSSVWPNMRYGSMFLSYSVGRKLPMK GVNWVTRDSNRLLNFSNRYSAVISDIDVKRTEEELNVALSDIRWNDHRRIYWKCSFCG SSYRKNVSVRTKYHAGCNFCKKKYPSEVRGEQHGSPPVSQAAPELTEQLVDNGKRDNL ATLAVTSKFYAEWTCRGCGGSYRSTIRSRTGQVEAGQCTLHPDIVAWSAYCPSCSWRP NMVPIAEEVSRSGQFLGLERSMEELGGQRGKQLPIPRRKRLAC XP_827866.1 MWRSQCCLFVGGRTCRRLLTPTSSRRMAAGAMGAAPLFVQLRLA SEVKNPYTVLGVKQGASKEDIKKAYRVLARKHHPDAPGGSHEKFQEIQMAYEQVKSGI WIPKDSNGGTDGAGGTTNANRYSNFRFTTRQHKSRISYDEFYEEMHTGKVRKDPFGDD DGTEEATSKDPRRRAFAGNEEFFQAWFRVILMWSALFVTLRIVLFMLFPPRHEAVRRA PMPKEPRKPPPPKPLMNNSLVA XP_827867.1 MSLLSNAGNPSGCGKVTDPRGSLQMTNTPRYGEVANSDEVHEQI RDLASRAKNIIQENFQKHATSEKQVLQGIQCFGPSAQSQKMLRLENDAAKKALTTGVY VLWRCEKPKLSGANTDFCARIGGKHRCFCGHTLDEHEEPRQSRGRLVAPKCGSCVCPH YVYMPNEPEEIGEGWLTRRSGWNPSQWSAKCRCGHGHLRHDPQNRRCKDCYCGMFESH FLCVVCDCNGEAHETVFELEGDRIKRGLPVREEFFPLGNVEWSVREMVLSGPTCDRKQ GQCTPRIGSSRGAISHNNSPQQGLLPSIRASAPTPVQPLPPHCGSCAAIFRSPQSKFC SNCGKARV XP_827868.1 MRKFLRPHTFLAAHWCLSGGPQRLCSSAHDGCGLHVAPKKPKRR ISAGIDTSAILESIPRDPGAHAELSTAELERKVEEWSKMEPEELEKLFQQLDKQEKEE SRVLEEDSLYQMDISLRESSRAAVRVFWKDVDVRKLDEEHEGWYTVLVDGRKVKAFES RGVLAIPSEAMAYACAREFSEQKDYLNKLLMPLSDMCSGALTVAPQMITPRIDYLMSF YQNDNMYFRSPPIVEEQDRTINPVTEWFSHAFEVSVPRIVGIGHPLIPPRATFKVRDA LLAMSMNPYQVVALCVAAQFTSSLILPLAVFNGIVDLPTALSINRAEERHNTRTEGII EGYHDIRDADVVTKLCAAAVTWKLMKDVPLSKCFEVPRSAFLEEVI XP_827869.1 MLPMLLWLVANLFLAPAAEGFHGMNKTNTWAVILSSSRYFFNLR HTTNALAMYHLCRKHGMDDDHILVFLSDSYACDPRKPNPATIYGAPAQAEQPNLYGCN IRVDYASYDVGVRRFLGVLQGRYDENTPPSRRLDTDENSNIIIYAAGHSAEKFFKFQD SEFMSSTDIADTLMMMWEQRRYRKLVFLVDTCRALSLCLEIKAPNVVCLASSEAHLDS YSHHLDPPSGFTVITRWTFEFLEVLKDSKCRPENGEVTLLQKSFYDFNYGPERLSLPQ PLSEPAHFDAVNRPNAVREWKMDEFFCEQDRDKIPVELRYDLF XP_827870.1 MPAVGERRGRVGPIRFRTFLRNTVLDVMRSRGWLETDSELAWDL FWADVCWIREAYDHVRLDDAQRINHFRNHFELTRKDLMVKNLKRMRKTLEREGRSEEA TEFDFFPTTFSLPQDYGLFEMEFRRQPNAVWIMKPPAKAQGKGIFLFSKISQISEWRR EYKARQGGLNGEKCGSTYGTEQVEPYLAQRYIENPHLVGGKKYDLRVYVLVTSYSPLT VWLHRTGFARFCHQRFSLKDIDNTFIHVTNVAVQKTNPKYTPSSGCKYGLRNLRQYIT ASCGVQVAQKLFDDIQNMILRSLNAVQRVIVQDKHCFELYGYDIMIDSDLHPWLIETN ASPSLSAETPADYHLKFNLLEDMFNVVDLEKRRTGDEVRVGGFDMIWRNGPMGSSAGS DGKGCSTAHSFLGCANEYEIPITHMRMPPRLQQMDSSERLLT XP_827871.1 MMDQMNIGVLSQLAEEMLKAPDKTVRDRAATRLRTMSCYDNYEA LKLLLPQATSNYLRFIIGKALRYIVSNELGPQERRDMQSYVLSYLNRIREQEEALPSY VRNELYAIYAAAFYINWRLTVVTLDAGSKGIGDHIIEELTGHFPTEDVLECALVVINH IAEQESKGTLGYLRTAFVHDILPSFFQLGVGHIAEHGRTALEVCCAVLESIPSSPAEP LITVRLTTHDSVFLEDASGWFPALTVAAQSCGRALLADPTMELGGQCAQLLRVVSAIV CLDPEWFSARNALNDMFLELTGDLLTLYTSTGLTHLLQLSCTLLVNVFDRDEGKVSVY LYNRPQLIEMWAGAAQGLLDRWDDDEEEIRREVMHLFYLFGDRVIPRVVALNGQGCED PRALPLASTLLRVGQCYFENVVSKAHLQEDSNELRSDVGVMLHNEKTLLPIAEMFFCE QIDLYSLIVERLVTTIEQYEACVELRGSGDKERLGELMLSLAINQDALPCALKETNVF LFITHVCLSRLSVIIAAVAIAMLNDTARNGDKVIDIIGQFAQGLVTATDTLTTAFLEG LSFMDMDSGDVDGASVGNVCEGDFNSDVTDWGVSNSKIHVGVLRSLFFYCGCVYESQH EGYREFYEILASLVRYVYMYHSDKAVLVSDANNLLMKVLGQGVRGCFLSSGKMTSLLT AVKEDRIFLLRTTGGDFPKEERAARSGLFTALTFFVESRHYAGFPTFDLFPRIVANII EGARQVSNSHILIGDLIAVAEGIHQPEPLYWLLDAVSESHAIFEDLLCADATIVPLLV ELCARLCDLAKGLLHDDKACEAHYSLFSFATFALRAGVGSYGITETNSELFLQSPPFS QSNELLVYNMSSIMSSLIDGEWCNLGVVLVYNEEFLSRFYQFLVAVISTPVELIMSHT KRRKTVFNTITAALSSKGYFGAQIRAQLNAGNCWTLLLTYLVRCLGNTFLLEILATVD TVLNHEDDYDGSVPLIEEQTIGDIFHEVAVHVAGCPSMEESESELCFKMLTTCFEWSP SLCSARMGALLDFCSAYHRVRLRHIHTLLRSGRGDVLHSYVQVFGLTSKVQTLSAW XP_827872.1 MGSPYDEFASHFKCCLGGFIEEINKTLEQSRQRDDTSYANRCSV YEALDRKYPSLLLQEPPGESSPRVPAPCSSTSTRRAAKLRAGVVPRRKAPQCYCSEEA ETGGPYLGSRYSSPVSQNRREFANTEMLMNTPERVYIAKSPCNKFSSPSLRHFNGRSC LSTPPRVTSPGVTTLMASGPTLRRYTRSGERSKVTISIPEISLSPMSSPDEVEGSTRR TKRCPIPAPPIELPSSCESHNLTCGSSTSLSLPFVTQAVIMAEKHGLIVSIIQPEGQP PQLKMLYLLSDQWELVPLTTGAKEASIASKSADAVVTSPVETWARNSKSQRPCQKDTE QEWTEGRGYLPRAKTRQGPVIHRILMSQVVLLCPYGVIGPNTSLNVGCVVCGSAARRL LISHSCSAFVEGMSRPYRVFLINSPNHVNVGGCGIDPECAETLKAGRLVVLEFASRVD WVIFVLVSAHFREQQVNCAVTLSYGRVLWMLAAHLWHRRKLYEPTRVSTSGRVMESPP MAAMIASHTPLTLSPVRPRTELPPRHFTVDDSVWKCRGAFAAPTRFVVPPAEPLTKYS GSDESTSIPSPRGRRFGLYRLDKRCDEPDRSPIRQHT XP_827873.1 MPSVKWLSAASISLVVLMLQNSLLVVLTRYSRINIPPEKRYHTS TLVLNQEILKMVVCLFLLSQEGGKCSTALPNAACMNTPSGGGFLTVLWGVCFCKEARE LLVPAFLFVSQNYLIFLSLANLEASAFQVLSQTKLPFTALLSKYMLGRHLSSMQWLSL LLLSIGVLLTQAQGSNPRHTATTATQRPVVGTLACLISALSSSYASVYFEKLAKTTKP SLATRNIQLSRFGILFAALAMLIFDVLPSYGSNAGQGREPFRFWKGYDQWLTIALVCL NALGGLLVSAAMKYADNILKTFATGGAVILSGIASYFIWETPMTLLFIVGATLITLSA VLYNKYDSHAHHTAAGQTSKGEEHH XP_827874.1 MRRTFLRLGGGGGELFPTSKAAAHLEPQWVMERRPPPPPGPGKC YVTVHKTGTKSGSKWQLLQPHIHTLEVISMDSPPPHKLDVPRPTARAAASFVAGVKSS LEHARKQTTSVSGIAAANIAEKKRCEARMKTRDYAEGKRPVRERPGWEATTDVVELSE WLHKRVNDHRKIVSDNSNGYEREYTPWEKKPVPPEIKKQW XP_827875.1 MWCFSSPALRLLRSDAATVTEAASRSFVQGGPPPPKLQEGIEPL KKLRSYRAEMDARYEEEQRAERELYSRTPNVPAPLPHNSYVKGQYGMRRRIKVQSTED QISVHTLNEAVETGNHAMRKG XP_827876.1 MATGGRSSFAVYLYYAKVLRLLDYLVAFCFGATGFLLGRLIRPY CRTFSWDDLSINNSFAEKETFPDWSLVVMALVSCLFIFIIEKMRERYSPVGEWYMDEQ LPEPSSNSITSSTNVLSEERAANRGGASVRERNGLENLPPAPSFERKVQRKFIVDQVI NLWILSVVFAFFFSLGIVDVLKIYAGRLRPDFLDRLRREGFNATSPPSNACSLAREGR LSFPSGHSSCAFAAFTPLTMYFLGLSRAFNSGPVWRIILSMFPIYLAICVAASRTRDN RHHFSDILGGSVIGLVIGAFSVNIFFRVRSGEGYLVPRRLEPPRRRSGSPNDNDTV XP_827877.1 MCCTFYCIWYCALPPIIIYFSQELQRCAGIAGVARERFVELLVK RKRQFINNCYESVTTQTDAVWYVEIRRPLLCIRNCHTAKGETPPRIVFIPAAALKLQN ELEDLSFFKHAVERTVVMRTDDPS XP_827878.1 MSVVKVSLTHSASRMRVPEKRYGLAQTIESIKENVFTHFATPPE YMQLQLIDDRGITIEKNMANDKQLGYYQCRDEFVIHVVDLQPSAKVENFDDVSQVEKY EISEEAYSKREDNARAFRQRMIAQQRAEAEREGIELPKELDDDSYKEKAETIHVGDRC LCRPGDRLGSVRFVGRVASLKPGYWVGVEFDEPVGKGDGTVKGTRVFQCQPNYGGFLR PDQVDVGDFPPEVF XP_827879.1 MAQVGEVSTGTVTLDDEWTRGEATACGEAYAKVDDMDYDSFQRV VQKNRERGMPPPPRAVLKRVPESSDDFLRNFFLRNGMHRTMDIFEIEWYEKYGSKPLK DAPVFADNYLETAALHNRIDVLENQLRQHAELTTKTTKQFLQSKKERDFHRANHNRVV QEKNKLLKLLRQAQSHAEDLNPTLNELRQKCEALHKGKTMLSIERDKLEAKVLKLEKQ VESLEQQLKLHETTRTKEPSPRQRDKQVAKVDGTKSGTKGGKSATRAGDAAADTFRWP PDERRRPANASGAFLAPTEPSSWICQSSFKAHSMPVTKIAMHPEKPAVVTSSDDGTWR LSALPQGELVMSGDGHKSWVSSVVMHPTGTMVATASGDKTVKLWDFAKNGCRLTLKAH CDGVWCLDFQETGLLLASGSLDQTARVWDVTTGKCRQTLRGHVDAVNGVAWRQYTNTL CTVSGDKTVSLWDVRANCCSQTLYGHRNAVQSVTTVGPTTNVATCDADGVVMLWDTRR MEQHLTVACGPYPANHIASDRNGTYLLVSSDDTNIKLIDVTKSTVTELVGHEDGVQCA VFDWSSNAFIVSGGSDGVVRYWC XP_827880.1 MVQRTLFDFVSKKGDNATTEEVGSKTESGQPSASSRKRTAEDQV ANGGASNLTRARVAKENNGALASLIVDPGWEAFLKPLTTSSNFERIEKFLEGELNAGK TILPPREMIFSAFNSTPLDMLKVVLLGQDPYHNVGQAHGLCFSVLPGVPPPPSLINMY KELATDIPGFVAPQHGYLQHWAEQGVLMLNATLTVEIHKANSHATCGWQTFTDDVIRL LSEKHKNPLVFLLWGGFAKRKISLIDRKRHVVIECAHPSPLSAAKWWGSRPFSKCNTA LVEKKLSPIDWKLPVCLSKGK XP_827881.1 MIAMSWIQQNLGLDVTGEMAQQLSLNVGGVLYLTRRETFRKLRD HQLFGPMFSGTGRQGEDGSFIIDRDGLTFRYVLNFLRSGTLNLPEGFDEWDQLLDDVR YYQLPEMEEAILGCFEYQRCVFRRTLPQAVFVRWHSAGNTNSGVIIEPQLPALEPVEG SQEVRYQGKVLTTIDELITILLSAYGYIIQHWNEQEGRLHLSLGPS XP_827882.1 MPPLTLEQVLARSMRLPRRIGTKLAGGASSGESLGVSPKFGVGK TAHGGDDVSSLFSLLEKRDEAVAAGRPSIHQSNNLIRNGQDLLAYLQVAKRMRAWEDA LKSFSDVTRILKVSQLDEQGTVFLSLQQNDPSTCSGDKGTGVTANVAHITVLLETCAR AGQWHLVEQLGEIFRGHTPKVLTDAVALLANTRRDDVVDGHYGWQRAIQFIRDRIPLE EQPVEAYNACLSACEKALDWEGALAVVRLMGPNPLQKLDMNSLQLATLPSSAPVCEAL TEVGTPVAVDESQEDAEIPKLQPPQPNVVTYATLLSVLDQSGKERLACEVLQRLPALE REEITAAYAALIHVWSEQKYRQRRWRF XP_827883.1 MLSTMKLLKASLPSNNPFLKYIATLSVDGGQAQYFKLHEIDPRY DGLPFSIRVLLESAVRNCDEFDITSKAVENILSWSENCHKSIEIPFKPARVVLQDFTG VPCVVDLAAMRDATKRLGGDVDKINPQIPVELVVDHSVQVDSYGTPEAAKLNQDIEMQ RNRERFEFLKWGSEAFHNLLIVPPGSGIVHQVNLEYLARVVFNNDGVLYPDSVVGTDS HTTMVNGVGVIGWGVGGIEAEAGMLGQSLSMVLPEVVGYRFTGKLSEGCTATDLVLTV VRNLRKLGVVGKFVEFYGPGVDTLSLPDRATLANMAPEYGATTGFFPIDQETLNYLRC TGRDAEHLARIEKYTKATKMFRTGDEKISYSQNIELDLSTVEPSLAGPKRPHDHILLR NMKQDFEACLGAKTGFKGFGIPDGEHKKEVKYTVDGKEAVMRHGSVVIAAITSCTNTS NPNVLIAAGLLAKKAVEKGLKVPAGVKTSLSPGSHVVTKYLENSGLQSFLDELRFHTT GYGCMTCIGNAGDVDPAVSKCINDNNFVAAAVLSGNRNFEARIHPQTAANYLASPPLV VAYALAGRVNIDFATEPIANDVYLRDIWPTNDEVSAVVREHVTPDLFKTVYKSITTLN EQWNGLKVKGGTQYEWQESTYIHKPPYFEKMTMEVTPNVVFKNAACLAVFGDSITTDH ISPAGNIAKDSPAAQFLQGLGVARKDFNTYGARRGNDMVMVRGTFANTRLGNRIVGEG QTGPFTIHWPTNEKVYIFDAAMRYAEENTPLVILAGKEYGSGSSRDWAAKGPFLQGVK VVIAESFERIHRSNLVGMGIVPLQFRQGESVESLGLTGRERFNFDFSGGIHPGQEVTV QKDDGSSFSAILRIDTEMEVKYVEHGGILQYVLREKIKGNL XP_827884.1 MTYQAKEVVTEEFLRKKLEVSGELARMRAMIVDTALKTLSEDPN IKSRLFSPTPRLKAEKESAKGRQSLSVVMEYLEHMGLNYTLSVLKQEAALTECALQSR QDIVRELGLPEGSGPILTTIMGAPGAAGANSGVSKDNIGLPTTTPESAPVPRAPVAQT KTEDGEDTTYFISKWSGRTFYRSGGQVSGQQVQLEYLTNCTVYVLDPLDSITVDDCEG GELIIAACEGSVFLRNCKNMTVHVACKQLRTRDCEYITLHIFATTDPVVESSHHINFK PFYIRLPGLQASFKSARLDPKTNRFVHVYDFTEDDPKLPKPHFTVTYKGHGLCMKDLC GEKGKPDCPQEIEDFLAGRLGPAASSESGHNKSYNIKTGAEEWTGNKESSSPERGKEA TPPESASRSDSSAPTTPHSRKDDAVPAPAAATGDALDGSYSSFDDDEDEDENDSQSDK KSEDDDDDDSDDF XP_827885.1 MAEADCSPYGAKRDDRALVVSGLKRTVSTASRNALYAAAGRLAF GVVTGLLKSGLRTGVHKVFLREVLSADPLKWAFLSAGLSCFRLLKHIASKLCSHLSIP EKFSYVVAGFISVLPVMVMERGTRVELCLHVLVRALQVVGTSCVLPLFPKVFRDFEHY DIVVMCLSASQILYGFVFAPYTMPPSYLSFLSKTSMLDERVVRSYAGLTRHQISPELV ELCVERGRRLPHDPSEHLSVCCSYAHEGLTCNQFCFMLFCKNMVQVGLPLYLPLKVAT IVVQYKKLIRKPLQSLCRAARSVFFSTLFLALYSVCSTRYACLAAQRNIRGGLLFAIA SSLAGISTLIEPKGRRADLAFYCLIYAIRSFVLTQCQFGRLPYPRQSSIFMLYLFSVV LLIFQYDYDPDKLDHRSRYVISRIAGGEILTPTEQYE XP_827886.1 MLSLTFLLLAFLLMATESQSFEVLPLNVTHLSTGNGLWMPSGAV TLQEGVICAQAYQEPCAECKHQLTAVCTFDGGKSFSVQQTKYPFCAHRGVVVGGTLHC PLKVDNVSQNVINITVVSYKGNVSLLENEENTTMTFSYIPGEEVTDIEFRGNTLFLTD DQIYLMNAVVKRNTSLVHVLFQSDDGYIWSVRSKIPLDPLDNAELMLRGRNRLMVSTY NSSHHYVVSSGYQGRWWGSVHYVDTESRPAALAVGNGISIESGITNKSGLLELAGLVE GKPKVKSVSLVDLYKNVTNASQIPDNFTLGCDNELPCLTTSHIALMRTREGNMTALFG FVSTTTKRKTVVGLTLLIDDTEEKNERLAELRKLEEEAKQREEARLKYLRDLEEKKQK EREEKLRREQERLLKFQNTDEENIKIAVSRLSEDGEMIVVREVDPDLVDLEKQAFFW XP_827887.1 MSEHFLLLGLPFLPTGADSFAFQYKNLVSLLGAAGKDVCSFAVP NFKVGTLDSLIEASDELAKLDLQVENSLEKQITIMQEICEEPRDVVATLRFNQTQEMT PAAFVKGFQWSSAQFDSNESILGLVEKFANYFATTEEGVRLVMTKYNETRNKLTTVTR KAQGNLSVKPIRELVTMKNRSHPFIVDTELLTNLFVAVPLSSKREWLNEYWSLNDFVC PDSNCIIAEDSEFVLNSIVVFRRVLEDVKLACRKRRYIVRDSDAADEPSIRDLNDFIK RAESEKKNFGLVLRQRYTGCYVAWVHLKAVRLFVESLLKYGLPPRCISIFLQVDKRKE AEIRKKISQLYPDLSVPFSNTSSVEDGSLQYEYPYVSIKMTNLQRC XP_827888.1 MLWGSRKGRRERSIWDLRRWTCSCAARTLLGCVKSAAVLMVLWR HGFAPSTVGPFRTRTMRVWLARVRGAGAVALGWDNLLLGSGGGRQRKGMDDGPIGVHR LSLCCDPSCNTGMSASCSFPFFCLSACL XP_827889.1 MEWTFVRRLARARAYGVQCGRDTFPSTKPIYRWGASLHACKFLL SETGVQFPHPTHTTNVVRLPFRFSPHPMEI XP_827890.1 MSDQCATVEAPINIAFIKYWGKREGGETLILPTNDSFSITLSAS PFRSKTSVELRDDIETDTLRLNGTEVDVGKTPRVQSMLLHLRSTCPEDLKNKKVNIVS ENNFPTAAGMASSASGYCAMSAALIRAFKSTTNVSMLARLGSGSACRSAFGGFVIWNK GEKPDGSDCVATQFVDETHWPEIQVMCAVLKGAQKDVSSTKGMQQSLKTSPLMKKRIS ETVPERMKIASRAIKARDFATFAEIAMLESDDLQEICATTEPKITYATEDSYAMIRLV KAYNAKKGRTALAYTFDAGANCFLFVLKEDLPEAVAMLMEHFPTPFEKFFFGDRELLE KVKVVSLPDEYKKLIDHPKKPFEMLLQSPVGCGVKYLGPSESLIPPRV XP_827891.1 MLTQAGEEEAVAETLTKNLSCLYRAFTLGSDRKEQMCVVQHLMS FLSLPVMGITVGTFLGRYGAVGERGVTANNSSAFALLLLEYFTVEAWNNSVFYLHINN TEKQGEWARAAWALVDILPPTHRVVSALHALRGITGC XP_827892.1 MGTFCSRWWVHLTSLFLSKKTTKGKCGGGGVLYNICPQIYGVIL ICVRHFTHFFLQRFWLRRFIFTPNFVVAVNRRMASFGSEAQATSNELVAVIEELKERR AALDRNIRREEEERARTLTEVNALNERLVSLNESLAKKTAMRSELDRVIRDTSESFKG ILQESKSLLVKARKESSGLTGSSDAKKGHRESAVS XP_827893.1 MKPSQPSYDKRAYQTIYPQYLDAELTPHDGRRLTRTQAVDDPTT NEVVEALKELGYTDIFVEPSASFPRSQGSIKYIMPPKGCVKVAIKRPKGEHYIPKSDF DTQTRGVTVEDIPNKMELLRRVSALIKIQHALKKQAEAAAASQTANTRKK XP_827894.1 MTLRVVSKEDDRTLKCHVNNHHRLTDRRGINLPGCEVDLPAVSE KDRKDLEFGVAQGVDMIFASFIRTAEQVREVRAALGEKGKDILIISKIENHQGVQNID SIIEASNGIMVARGDLGVEIPAEKVCVAQMCIISKCNVVGKPVICATQMLESMTSNPR PTRAEVSDVANAVLNGADCVMLSGETAKGKYPNEVVQYMARICVEAQSATHDTVMFNS IKNLQKIPMCPEEAVCSSAVASAFEVQAKAMLVLSNTGRSARLISKYRPNCPIICVTT RLQTCRQLNVTRSVVSVFYDAAKSGEDKDKEKRVKLGLDFAKKEKYASTGDVVVVVHA DHSVKGYPNQTRLIYLP XP_827895.1 MSKTETAPEAAPAPTERRPQPRWMTAPGAPPRPDVMEHRTKMKA LSQEKGALIAQIKELRASLGPKSEPDKERDEIRQRLKELDDKRKAEQEMRSKKSEEII EVRKKHDEYVKKLRSLTDDLGGFKSVEEFDEAIEYMTKKMETSGGGLAAEKRIMRQIG QLEDAKRYLQELQPVSEAIAEAKHCEATLQREIQEINERIRGLNEEYKGQRSTKMEKD DKMRSTGANRQEVFKKCDEISAKITSITKEMNALSEDFQKAMEVWKSWCDEARAKHMA KMEEVRKERHRRFLERKNAPKLAEKRERALRRMNPYEVEIAACDTLLQYLRDQKIMVQ RENEERARREAAANFDPAEFAPEGAVVLNDGMSHQNGGDSKKQKQQANKAKRDSAPKP RVIKHSEEKLELFKLVDEQPPRFLEDIGGIMENIRAKLKEYSSHIKTGEPELSSDDEE DEEKKEQEGEEEAVAKEDETNEGEGEDFA XP_827896.1 MQSQPDNVAYPMELQAVNKDGTVEVRVQGNDDSSNRKHEVAEAQ EEVPGGINFWAPRELRLNYRDYMGELLGTFVLLFMGNGVVATVIIDGKLGFLSITLGW GIAVTMALYVSLGISSGHLNPAVTVGNAVFGDFPWRKVPGYIAAQMLGAFLGAACAYG VFADLLKAHGGGELIAFGEKGTAGVFSTYPRDSNGLFSCIFGEFICTAMLLFCVCGIF DPNNSPAKGHEPLAVGALVFAIGNNIGYSTGYAINPARDFGPRVFSSFLYGGKVFSHA NYYFWVPLVIPLFGGIFGLFLYKYFVPH XP_827897.1 MQSQPDNVAYPMELQAVNKDGTVEVRVQGNVDNSSNERWDADVQ KHEVAEAQEKPVGGINFWAPRELRLNYRDYVAEFLGNFVLIYIAKGAVITSLLVPDFG LLGLTIGIGVAVTMALYVSLGISGGHLNSAVTVGNAVFGDFPWRKVPGYIAAQMLGTF LGAACAYGVFADLLKAHGGGELIAFGEKGIAWVFAMYPAEGNGIFYPIFAELISTAVL LLCVCGIFDPNNSPAKGYETVAIGALVFVMVNNFGLASPLAMNPSLDFGPRVFGAILL GGEVFSHANYYFWVPLVVPFFGAILGLFLYKYFLPH XP_827898.1 MLHSTRYPSQNRTIGGYGQAAPVAPHDMHQQRQPQQPQQFQQQQ PQEHQDIIHDTQFDYYGQQLATASSDRTIGIHTVRDGQMQRVATLIGHEGPVWMVSWA HPRFGTVLASAGYDHKAIVWKEVSQPTRQWRPVHVIDIHQGSVNAVQWAPESPMLATA SSDGTVAVTTYEEGAWRESVKLSNNSNNIAHAMGATCVSFAPTCPQLGNGRLLVSGGC DSRVRLWYLPEGGAAGAHPYHFLELLEGHTDWVRDVAFEPLSAATRYAVIASCGQDRS VIIHRKQWSHLTTCIDDRGLRSGEGGRDAMGEVSGGWERSCVLFDEPVWRLSWSPTGG MLVVTTGNSEVFILREGNEFCQPWFKTPLSEYENQNQRVH XP_827899.1 MIHPKLMPYQELALRRVNVQQQSFASQYVGTDKIGGGGATAITP SGSSAGMSRTTSARSEVALSPGTVFPGPSATPASSAIQKSRPHARDALLTGCGTNSTA PASIARPGIKRRDEWSTALHDIEPFVLPQQLLCGSPPNRQKHVRVHYIADQYDRQWLT QLPVATTTTKGKTGSIESSVTPQATRHKISLTLFEDLITAFELGSYMNAEVPIHRQPV STFEGVTATGADTTVVEEVRRYWLSKRQALGGNVPCIPALRMDVREDNQNSLCHSDIL QYCPLPFSYRDCPVPLVKRLLPRCAAREENTGGENGGQKEVVGRKRERRSCESGIKIE DHNDETVVEVGELERQMLATAGLKVAQAVLEREEWKLAHTHIVLYELSLLRRLSVLDG DVSPSVGFPSSSSHAGGGHASTLWASDEDLQGEWVGENELGGEPYDSVTGRGAAAALE SVMAIERRHC XP_827900.1 MVVERDRSNELHSIFNGMKHGEVLLHNGVRHIDPSRGELLQSNS GLRSSNETQIFNRFAQAFAADLAKVSESIMRLTQLTQRQTVFEDRSSEVTALTQVVKT SLQRLHADLNTLDELKARALDAEKVVLARTRASSGSEAHSLWGGRADVDSLVQSQTKH SDTIVETLRTRLARTGQTFRSTLQQQTKEMKSNAQRRHMFTTGDRPQTFESALFHDQE MQQQQQMQLASRGENVQYYKQRSEAVREIEAAVVEVGEMFNDFTRLVHEQNEIVLRID TNVETSLRHVNAGSNELLRYLANLTSNRGLIIKIFAVLFFFLLFFGFLVVR XP_827901.1 MRAQSDLSPSSVSELRSKLKGVRVEVGSLVSFRDEPAFEMVMRL NKRHNGNLVSAVLSKGNAACGLGGDASGSGEGIGEGRVMADRSRHVEELRMVFIPKAY GAFLEDEMQKWLDPFRGRGEANRTKRKRDEVDDNEVTDIDSDVDTDECDDADSFRSV XP_827902.1 MTEAVAAGEVNLDESVDRFDLVCKRLLEYDDAWYTMRVEENHLL FGDEDSTENRRKRAADERRYFKCFYDSFKGRDSKYIVALIGEVLTHEATERANLKEYE FVEFLAICNSAASVLGFESVYQVYFSSIHPIEAEEVERRVIESEYYDMLIEITFTLEC LHRRVIMFVEEPAGMKVFEDGETRDWIKAHKKQVERERREEEIRMKKAEEAAALRLVW RQREANQIFAFMETEQKERSDIEGLQGLHFRHIASRQFTEVREARYHQKKYELLQRLL SAEASRSLAAEETTGRINLMLQQRDYHLALAADCAMSFYSTRRNVLMNELMAKERYGT EHTQLDD XP_827903.1 MDDPCSAPLPTSTEIVRRECFPRIPPEILLREHAARYHLMGLGL LSLLEALKPQLGQVFPSFGDCDGSAMRDVVGNRANGLLERKPPLPQTNIKLDKSPSNR LEEKGAGCRLAYGVHTVPSNDAYCSQVNRSGCVFSEVDSSRLTAVELVRRFVQKRTGK AGKGNPGPLPQRGDNEATQEDREALWGYSTGAVVHVTFYPFASHLRAMRQSAGESKRV RRRGNSASETASSNKGGDDDDDDDDGEQRPVEGSEHGSSDRGAEGDADEAELLCLYEQ APRGVSLLGYHGSCSVTSLVYHPINDLAVSGGVDGSLLVWDVHNRYRQVLRDKKNCDE DNRETRTLQHFTHHVQTRKLVQSIGHVHNGSVTSLEVYGDLLLSGGMDGTVRVWQNSE KTVFGSASGLPQYLGYQVFHCNGWVRHICYAGDRVSHGGDIMICSEDGVISYLKSGEV SPRGNVGLFQGSSRWGKPLLEALRNQRAVAARAEVRKAQRVQLVREGESCSTRQINSE FSSSLFSGNLAKEGGGKAPISVSHRGDSPSRLQLTRTMRTISEESRLARSIELSGVKG SESTNSITRMFPMHRHNILAVVGYSPSVRFLDISRLKLTSMVVHPSLTALSKVGPHAS GLSTRDKKQHRPSSGGSTQLKSHKLISSASHAQVRGCGEALRFLDLLYINALDYMILL DNRNTVFVWDNTSNKMVASYKVPDVSDGGRNNVAFHLLPSGTRYYEGEHPTGENGGQG FSDASESPRSRSTSHYQAASVQRQRQQPGVTRIPFFVACTMGLELYDLVISVYAELEF KPHSDRVVGIFAVQQPSGTSSRYTLSPSPINTNGIFDDILKKPPSPSDHMELEESSIS ERLMRPHTAAPNCLGKFKFTCSSSDNEPAELFTEEELMCQVHEVDEHYQPRVLTCSGD GIIHVWGSYFHPICSYNNESLKKDFAELRVSVVNSAQGFEHTTPMVERMSVEPGKRTA NSAEVEKLGRYYDTACFYYNLRWNTAVTGHDDGSIRYWHCGQQLPKCAWFKGLHQNTV SGVVGARISRWCVQQALSSQGMRDDDVARLGCIDVLATVSYDGHLKLWGGANEAKAVP YLSTKVSSNELLCVDFSEVGQYFVVGDTVGTISTWSAHKLEPRFSIPSEPPAPWVPRF AKLSEKTVRRFSARKVNREEPEPVIRVKGIYHTDGVTALLVDGNFVFSGGEDGRVFLW DLVNGILVREYYLNSVTERDVTRQTLLAGGVPSAARNNSGLFLSKEVNNSFGGTKRRN EKGYVVNISLLKERNGDLLISTSEGWIYHFRQSCSHPLQMYKHSFRIASVCVLFEGYE QKENSGFPNGRNSIGFDGSDGSVFELAVGADDGHLVVLHETKFSKLGYASD XP_827904.1 MTDKAFTEHQFWSTQPVRQPGAPDADKVGFIMESSLDAVPAEPY SLPSTFEWWSPDVANPEDLRGVHELLRDNYVEDSESMFRFNYSEEFLRWALMPPGYHQ SWHVGVRLKSNKSVLGFVAGVPITMRLGTPKMVLEKREHGEDGGEEVINDYLEPQTIC EINFLCVHKKLRQRRLGPILIKEVTRRVNLMNIWHAVYTSGTLLPTPFAKGHYFHRSL NSQKLVDVKFSGIPPHYKRFQNPVAVMERLYRLPDKTKTRGLRLMEPADVPQVTQLLL KRLASFDVAPVFNEEEVAHYFLPREGVVFSYVVESPVGPGKDEENAGKASKGTPTGTK CVTGGCEKVITDFFSFYSLPSTIIGNSNHSLLKVAYVYYTAATSVSITQLVNDLLIIV KLNGFDVCNVVDIYDNGTYLKELKFSPGDGNLYYYFYNWSYPSIPANEVGLVMV XP_827905.1 MVVSQIGVRTADDSKYEAHEKKLMEDIARQNKNPFECSADFLFG AISVMYRDYLYASGTMLLMWTWWGAYPTYSRLRHKNIMRFRRRRQWEFRRWFFYTRHI PKWDEKYLQAVELPSPYVGPGPVFTPVSPSMIVPSHGSHRSEALMTPLTGTRAEDDNF LVKVNHTAAASFAGGGLSKMETGIAAGVAAINGSLQQSLQTRRILSRNDVDVGKLHEE VAQLIKASRGVVVLKETHNTRHSVPLGREIWWVSLEEARRRWEWWFWASILVAARVLQ DLLDMPEMPDFVQ XP_827906.1 MTTIEFIFLVNRQGQTRLAQYSTFMPIVERTALEGEVVRKCLQR RDTDCNFLDHLHYKLVYRRYASLFFIVGLNNRKALREEVNGKSFSLVPANGFDTDTFE EQTQGGELAIYEFIQLLVETLDKYFGNVCELDVMFNLEKVHFIVEEMFVNGRVGETNK LLVLQPVVMMDKESKGL XP_827907.1 MRRSGFVAPPDNPQYGKMETRDYEEWGSPHDSSVRAHQQSPLRL ARAYSVPYPGLSTTWGMGGSSGISTTSCGKVKHNVHHQKYRHSPYGTSFNIFSALPST LVPAVAGRRSDIHPSVDKQDVAARAVGNIPPHRAYRSASVGCFEELSKPDTPTDTPSQ SSPALTSCWLSPWSRFGGRDGQLDVECGTVYPSTQNSSPVSCGGAVAAFGGMTSVLTR KSSRQPTQVLHPSPKKELDQLIPDGLTPSSNESDTNSAPSSQSRHTKNQTTFSGSAKN HDRPSGHGGLSDCWSTIQPPNITDSGEGEIYVPETTQPVASSVAPPDRPVGQLLRDLL SYLEVDRGFTTSRCVGAEEPHCNHRRQEHMEDCAPQRCASASPELHVPDVQAAPHHSG YFSPVRQGSVDRGKVTQYLLPEQRSEHVGRTTCCLDLDDTLVHTFERRPSWWNPAENS FHLEIELNIPTSADQVGTYAAASAGCGSEGYEPDKACASTPSQPSSPQLVNRKAQLSP MCSARSRLQQRLYVCIRPFAKELLEYCFETFEVVFFTTGTEEYATSIFDHLDPHHKAH RLYRHHCTAVGDTYKKDLSLLGRPLDRVILLDDRGPEVSFQPNNVLFCDPFIIEEMDD ALQRTQGDDVLSSFLKLLRTLATLPPYLMLQAMRDYQDAVARVWEEDVPSPPE XP_827908.1 MLKQRLQAGQHRNLRSALVHITHGVTPGVATDTAPTSMRVRGIP NLLSGIPVMLLRDVPFAVIQMLCYEALKVALHTDRRPHYLPLCGALGGATAAFITTPL DLLKTRIMLGQVSSPRAGRPKKLSVVCGALQELLHEVPRPTDRWGPMQRFFRGAVPRV TWISIGGSVFFTTYEVVRRYCSCYRFHEK XP_827909.1 MDRQFLCGNWCLTCITTVRVSGMCLYTHTHTHIFVFPDFSLLLF AFSSSWTLFFIFLKYGSFCLPSFVAVNISHLIPGLFSPLSVTARGSRRRRGRLAFCLV AAVFVCCPFTPT XP_827910.1 MSSMEELHATPPPQRFNDASESDPNDDDDDNSSTSTAGPPGSTR TGRYRRFVAESSVISNKSPNTPPPRGYRRSGAAKRNGSYRVAFSSKQHSRNGRSLSNT STVASLMGSVQEWSCSFCMFSPGRAAAPPQRSFLFSQRMSLGGGYVPLPNGGAHSSLS VSPRPCIESPRLDGWRHSIPFSPSHGASISGPAGTGDAGGVNASNSHVTGRASPSSAS PSPLPGMYWFGLPSMAASGGTPPRSVAYYAMGGSPRLVNRGGSGCFTSAARKELSGLV RSLASHIALTGTPIGNGNTTHPVDIHKGPLIGVGGFAKVYAGVDCVTGSLVAIKEINM AEVNDQEGFRAISKEFGVLKSLKHPNIVSYIFFEHSVSQKVCRIVMELHTGGSTLSLL ERFGPLQETILRRFSRHLLEAIAFIHQKGFLHRDIKPANILVSHDGVVKLCDFGCCKR VNELNKSTNCVIGTPLYMAPEFIKGEGTHKSDIWSMGCSLFELATGKLPWYHTGVRDH IPLMFYITTTSESPLVLPPNEEEFDFSPEFIHFLEQCFIRNVSQRPEAVELLKHPWIT GRRLHPDSLFTGAGVSVSQNWQSTYGVADEEQLCQNELEEVSARISVEMCNVWIAGGI KGVPATPLRVSQQTELQHKSDDITNTLSAGERRPLELQATMGNSRSESPAASCFSGAG GASTSPTICLDAALHDTFSYTVPRGASAGNFVFPQSTSFSTSLTSPQYLRITDDGNLE FATVADDDQVEVSADIDDAFLNVPRVRTDGKHNFTLDACSKTPVYPGSINGPGANVLS NSPCNTPQVDPASNDNSNVSLTSTVRSSTCRNSRFVPLAHNQSMSLLSSSSFGSRVVS PSRYSQQALNISPTTSQCLQPLTRVTPSSPKPTSPSTAQSSEDVPREGASHLLPSSIR SRLPENVKRDVNGRLCMCFPVPTGDPEKCLRIPLNIDPEDVQCKVIERSPSLVVTFSD NIKAQITAKMNELSATGTTDNVESGLEGHSTVSRVGNSFFRGTVRASPNVRHSLGSTS RPRNLASITKSVVAVSDPHPHNYQGRRSGSSLCASLTYSSSTDGRTPTHSGDV XP_827911.1 MVIFDDVENSNRVGGNEGGIRPIFVQLTPNAPLPSEEYLRLVAL SKAPPPPDSEGSTLHSARNCRERSARVNGRRSPTKTNVRPRPPVTATQKWGDNAMSLI NSKEEAVQRHEFALHVRGARYCRLLETMLDSSDLQLEPLHYDPKDPNMGRRPNGTEGN PANSLPPVILPQATQKGCVALFTYLDLITKRVPSMLSKPLRAPLEELVQPWELEFLLY SCMGDDVRNAITHIDEDGTADKRGRAPTNDTRPSYYSTILEKAPRSVDLLLEVALLSD FLLVEPLRQLTCAFIASLALNASSEEELLQLSGLQRPMTEDELEPLYYQFPFLRPDNH AKN XP_827912.1 MCSLSPNMLNGRIAALVLVLSEGDEAGQCPLSKFVRSIRESSKA QQQRSSNGGLAHGDLSSRAASAMSHLSDIVAERSLALTNEEEAILRDMEAVRLTYDKL RAEVARVEAQLQSLERRAQEVAQQKAFCKTAMNMLVMGELPEFFEREVDVVGRSPREN VGATTEVAAAATIDGPNEVPTSSNSPRRSARSVVSGKFQQRMPAENGGDASSPDTTGE KRGEKVAPDESSEESSSSEGDIQARRSPPDKGNSSFRGSSGSGNNNCPSGNALLSMLR LPDVKETTLLHAIESYDEQNFRRSSWTLTQQKEVSTVLVGLLKHILHPRPPIQLAELR ILGHVVRAAFGNQSTVDSPSVPMSRSPTLIPEAVAVSLLHTMLKDGAVVTTFIRLLQS VNDDVKCEVLECLSPLIINSNNNNNNSNANSGSRSLSSKVLDPAGEGRRQLPDGRKEF IAAGGLQPLVNIVASCTSEAVLERSLVLLWSLLARNDDEDKVRDEVRRLGGLRAVLDL LYTDSIPILENVAMTIGYITREEASKVAIREAGGLEKLTATLRHPYESIQTKVAGAVW NCASNAENRTYLRYIGCIPALIELLSSPQQFVQENAAGALWNLSVDSENKTQILEYGG ITELAHLIAKSTSVSVVENASGTLWNCSAAVETRPAIRKAGAIPVLLSVLDRKSQTTN PRRSRNEAPTTSGSKDDLGGNLPISDKILDNVAGTLRNCAINDQNKPVIREAGGVELL LKKLEQGIVQQPSSIIMPTLDKIASTLWILTISPEIKHSVRLSGGIPLLTKILEISST TAAKEKNAKVVVPVMLSVKEKIVGILRNCSTVQENRQTMVSAGVVRALVYVVDDCYQY PESCQNTRRSSSNTSQSQQQQQPSLQLKETVASALWYLSRDDKVVPREERGLELLCRF LLEPDQPSVVLEQAAGALSSLTVNSRENRAKLREFGGLHALLQLIAEKAPLAFPPQSA GASGAASAANTATATASTITVNRATTSSSTFGTGTVKKENTVSHAYAVLNGLLAVRNS TSSNDENLCCVAEWKDPNAKGNTAAASSFTNSLLYIIQHGSEDCAREAVLCVKNLCGH ATALDSLIAQDAVEVLGGLAERGSTDSVRRAAAMTLHSLARARKR XP_827913.1 MVLRRDGNGGGKISKKPAARATGKMKLGRKPRRERDGDGVQRSG VLNLNNPLIPPEFDEDIDDDLAFNSDDEEMYGHFFTKKRTSEALAPPKARSKQNGKKP SGKRCEGEDDMDGEDNALLLQQLRALGEIDGPEDLDFHEKDDDDDDDCIDIADMLDAA QGAEDSNRGETAATRRKKKKKRVDEDGITKKRRRSGVTEEDESIYGPASGVTDSKFGS AMQEMIAAAPKTAAQSRLQRSLANKNNLISVDVDDYTKERAMREKVREVVSQDLEKYK SFLRSYHESKHIQLPMRMPESNPVPSTLGAIVAAAEANLVVDKHEGATTSATSIATDG MTGNATRTSAFRLAGKVNSLLTKAGISKAQLVSEQRGDGIVPFDTNDNDDGVEDTGDL CRRRGRESDAPTTSYMAKLKAMLSYENARRRRVNRIKSKTYRRILRHEKERERERREK AFELLHPEKARAKLAERLMKARVEERITQKHKNTSKWVRHAKRFAQFDEATKDAINEQ HMLHDRLMRKMEEDADADAFLNAANEDGGGSEASSEEERVVDRIIADATEKLSGQEGA HGTDSSKKKLTSLLWRGVDDDVEESQVVAAANMTPTEKARAELRGMKFMQQAKEREEK RYEEVLDQIQEDIRRKACGEAVDSDDEPSDFPEDVEDLDAAEPRRVGAPRSLPAKSNA SLGRLTFQKEGKEDGGKTTVKVMENIQLKRRRGIDAREGQQKETSQNNVGESSEGGDE EGPMRESGSDDEAVIENTRPAPIVKGKVDRTKPKGEFAGLRKAKTVPPPALSIDTPTP STAGKRSISTRTTILPKVTKRPRDQSSSFGESERKGTTASNPTNKMGVAQQENSREEG DDEEALLKQQEYLIARAFAQDDVDADFLAEKTAQVTNIMKPVDKNATLPGWGEWGGSD PRLNIKHQAKLHEMELQREIQKTTLLKSRADAALDHVIINHDGVELVPDRMLLHMIPR PFSNPTEFARSMRHPYGPEWNSAISFKEANQPRVEVRQGHVVAPLDLSLRGKKVAKTK RRKGEITTRV XP_827914.1 MSAHTPDVRPRSGTGRGQRSAPSSGRLSALSGTRGTSTDDVPLM PVMPAFFPDPIALDAEDVHLKVYCEELLTKEYDTAVEMLYPRAQLMQERLAKRSEKSV DGTTSSIPRGTNVEPSPETVCNTVQESERATSADVFRVGSAPQPDTTRTVASAKRRRL RSIDTDDRKGGAPSPEGVIFFKSSEAVDERGAADQGLHGTGACELSLTHASHAEGGAT SVGRNLRAGWELADSQEGRPPVSLLTVPKVEFSASHSVEVIENAEKIGLANATRGARV AAVKITKLQQQNDFKLIVPVKFLPSPTTTVATPATSTPATTSPRTARMRAPSVQSLLS KSVGSHQNCSEAQASETSQPCPVVSMADVLVLEATERLAAEMHCEAICCDIINAAAEM YVSRFFDTIANAYTALSTWDELHTTLAQSFIPERPHEPIKLETEICESLAIRRDNARE GKGSKPECQQSCQGVVDVANDFLVEANECSTYLGMLPIWARCPSLLDSNAILSFHQST GEIASSHNAQFDFGIDSKAQRNSSHVANSTFARFLSSLDRNVSEVLMSESTIGDSLAT AQHTAEVKPHCSSVLALDEGDGGSPGTPPRMVPIDDYARYVVPPLSTPRVDQQETDKG KLLSERQHNRKKGRSLSASGDPYGLLASKGSAVHTADGSRRGRSVINPAAIDSPVFHR SEGRQLTPTVEKLSRGKLTSKGCSGSVLSSLRDDAMTQANYDEDDWGRAMRQITHGGL NTGFTSTSGNQPYHMAETLLYIEDGDVSTVAVGARPGRRCGKDNEGTTGRNGKPASRP KTSEAPPPPENIFVGGRNEKLRVGITEEGRVSFQVSHDEDESGDAEQKDLQTQSQSSK TEISVRGRRSLIFLSRKQRRDLEERQRRRKEAAERAAYESMFYTTPKPSTPDSVLEES GQQSPHHEEGKQTKVVAEVVPEPGVVVEKWINPQENAKGKAPSGSKGQWRNEVAPVVS GYKRPNLLADGGDWVTPEGRYHWNNFAGVGAEKGREGRVTSAMMQGAGPKHGGATREG VARPSSGQQHANVSGVAFSEDGRKSQAYLPKNQKGPGQHAVARSFKWEPPTVIFSNQE EEKKKAEGEHAGDGRDPFRLLGLKRIAMGDGRAGKGLPRRPVLPRLPSIPEVEDFSPR RRLHRKPTSKSFGSQEIRALASLVKSK XP_827915.1 MWRISPQLLCAAGTSTANLQQFSFAKRFEEMKNDESCPLSGPEY ALLLEKVEHYRSLQNTYAVKQSDIERAKRAAHYSGLEFTPKPPTKLTPKSGTA XP_827916.1 MRLTLDTIRRAPQFTNALRQRELDLRGLGITVLEEHTLTFLNDS FDVLNLSQNPLARLEYFPGDSAPLATAAAQNGSAKPASRMMLRLQTLVVHRNRLTHVS EATCATVLPNLRAFVADHNEFRELRDLLFLSHWKKLEILSIEHNPITISEDNARLRAF VVFLCPTLKLVNYQRVTQVDRQNVETMRKEFVGLVEGWRRLEAKQLLQQNSAPTEADA SASESVKKIRKRSRHAREAASKNGSADTAPEPAASKVETFATPVAAEAGEEEEASNAL QARLEALEEKMAAAETEEELMELQQELTELETLMKHQQASKGTKKTRTS XP_827917.1 MSEEVQRRSSAIPTSQGIANAVPVPKKNPYLLRIATCYAHIPMG FREFVLAGPLLLLALGIAYFAPTLAPMDKLTQGLQPNTAPMSSYRLEPIHNEKGELKG YRRIFIKQDKEAKTSHES XP_827918.1 MHAVSISLGSTSTIVAVATVSATQTGIESSVPVRVIANSSGHRV TPTMAAFAENNEVLFGEDARALYARAPQVVVPYLFAFAAVANEYQRRGSGVFRNDENN DGSDDIPLDQPLTNLLQRDVEAAAKLHYKGHCPFQREDGSDILRFGFRPPHEGDDDDD GSAAKEQTLLPADEMMVMFFDHIKRHTIDGACGLVATAADSDQEKSTQSDDQEARVVL TVVVPRHLFPEAAAPDVETDSPKGANSDSAEEGGTDSDGKDTPFFRRCSSLQWVRNAV GRSHLGSVAAHISVIFADEAVLLGMDTLPCRPHQSHRMAGHHFLLPPTVVESTKPAPA WPSARVLVVDWGALGVSLTAHRLEGGCLLSEMRSRFLRASQTAPTGHNTRGKTYYLAS NICGGGVAVDLALRDCLAKNFIQTQRRVLGYQSFADFPARAQRRLLMAVEEKKLALSK AAQVPVEIEALAEGVDLRDNVTFSRTRVNASLRGEWGVLDGFEKVLREYLQETPGDKD KEELINVVVLCGGMLQIPFVAQSLRQLFVQYASVTSSNRLQFSRDLVVMEAGACTTMC VEGNGDSAGSGSARVPHTVEGTGSIGAEELACVGGCLHSYHIALAVIKKREVRLGGQK EGSKNVRVGHKGATPALSWRKQRRAEEANRVWHALTRGAVDSSKEAVDSTSGELLILQ RPVFLLLHSGVEELRLALSQQQGPNDSCFHLPSSVLTVLFAAHTALPARVLVPLQEGK RGPIVLYLFTEEEKQKNGDAIADGLVSLSPLTDKGLVLGGPTDGGEHKYYVVFTLRPS NAGNRGDLDGEEVGSVNGRVRISGVSTAKFMNLAVQLVRTTSEAAEPQVVMSSNLQAS VMVDL XP_827919.1 MSSLAGVAAALAAPPVENWGQLSWIDDQHKGQSDVKQLKEEEDE GKKTVLQPVLKLLSLPKRQQQNSGAPANAAQKPIIQIPVSAISSVTATTKNDLSILLQ PQASVTAVPGANVSLELTSVRFAIPNVCVGHERTEEAGREVLAEAQERLREHQRRVLG GNGAMGAGPGDQALMAMGGGRREDECVIAVFDDLTLSFPSGRFKLIVTNYTLLLEERK RAGGDGGIVTMVPLTDVVQLYLCDIPTSRNTSNKSVDAEDDTDFPQYVVVILRNPVKV RATTYNHIVITCPVGFVLDEQHAWSCELKTQEEIDRVLSSLPHKQSAAKDATQQQAAK GDTFPPTITGRVSELLIRVLKTIAGVKALGGHNKDYQTRNGNSVLRCLYQSNEGLLYV LQSALLFLHRPATRISYGDIQRIEVDESERGSTTFQMTVYGNLGKRARGGADKVTIAS LPITEKEALLTYLQSKVEVVRTGAALEDSDGDDDDDSGDGEDDESSDEEDSFDDDDDD DDDDDDDDDDGADRKKRKRSSSKRDESGKRRDKEHKHGRHKKHKKDH XP_827920.1 MSPSQLSREEFTCAYQGYHGRLIVSFHNLAFNSEQLNVDFPWEY VKHIKVTTKHLKEVPTTMLEIKVSRNKQQPSQKPQIDGKQYFYGFEDIVVVEREIQKF RNAASTADHANDDSAPVGADKNANTTSALMSNAKTNATGPRNGHQVGTSSFVQCDRAT NGDAAAASPGSPMEDGDYVHGVSRSRQGAHTAREGEEDRRSNLFAPNATGNPLFLLTK SRPPDREHNSPGYGQQNQQLQPKHEKRQKCRAVSFLRRLLPLNSAYISDSGLQVIIGF LLVIVPVLFILVTSMEGRWESPSEGKLEKVIRDLESLQRWRKGQQQQKHGGTVSQTSE APVHVTLSDLAEGVKELTRRFVDRQHELTALRLRHLQREVPNDVSPLTGMGGVTLSEL NAAVDEGAKEEDIENGNGKTSTVETEQQRQQQTRRFSLARVKHDLYRAASLVQKILSF AHWIITGGGKVKQNSYDVPRATPWFFGILGGGGNAAHHHKKRKFVRYVERLPNGQSVT EYVDEEELEERRTCIRLTRSLVASADLLDGVLLQYMGVVMMPQYEAYLNLMQASTGVS FASSLDSNGASPSPSSSSRPSAGQGDTVGSEATGEQGPRLLKTIALRRHASLLLEMEP LSSWGHGYNSQGWKGQPDPSNHGSKNEGYEVPQSVAGNKSISKILNEFLLATQNKLLS GVDTSTPRLMFRNILKEVRYWSRHEEDWQTLVLWQLNRTNTNITNSNHTHERLEAETL KAMDDMPLFRGQWCFLEKPIASPLDSASGRRNTDRTEDHDTRSVESAPREAVYSSHNS KGKETQGEDGLACSAEAVASFPRGIHSSFLPNIKAVGCQKRGSGSVENPGEDLKYGSK GDALGREEETGESHPLDDAADDGRARLRNAEYISREVQLRWKNALELFFSAHNPEKDQ WHERDARQKWTSAGRGGTDSWWEREGVINDENTDGQDDGMSAEERDMVKIRRRLLQLF VYVGRRYDQYLFVPASSYPWSAAAFRKCTSEDFGCHFWLRSSLFSFFWPSLWFHTEWL SHPMHEWLRRIKGRDPVIRHLFRELLHIPLGEVEDVSWVLLNTMTTSQELLEVKHNIC ALMYRLVFVLTAVIVATVAFFRCSH XP_827921.1 MNVDLSNKSINELNFDAQNSSPEELLAAARVVRLTASHNYISNI VGLGALFHQLTHLDLSHNKLGCNGAGRMSGESDHRTPWLSTLPRSLRVLNLSHNHLRG FSLEYNLGDRSCSDGNESPDTTVVMGVDGGLSRLRGSSPINVALFFNRRLFPHLSELD LSHNDLQQTLEDSDVIEELWHESVELPMSSVSSDAEKAAWVQCTTSAIVVLQLDGNAK LESLNGVLCGMEGLLFLHAAQCGIADLTAVSSAATACPKLRDIDLRGTPLSETFLQAP REAVATFTEILLFPALLASRGGVRRREQRHEDEEETRHGLKELVKEIVQHHLHEMEAS VERQQSSAEGNIRNSGGGGLRLVLYASLLHQVLPQVIEIDNGIEVGRMKRLFTEAARD VLQNFIENGNSIEKTRDPMMSPRHYISPPRTLSPVEEKDMTVWRDPTSQLNVDGVAPS EPPQGRSCLGKRGADVARSQLIQSPTTAAVSGHCHLTSPPLPPSPLKSPTDKRRPLLT PKRETFEDRRPLRSPTERDTAVATPDAAEAADSQYNRHSEFVSRGVVPVVSPRSDKRS SDSSKRDYQRVDSLERRTGVNQTGVRRGSSTNKCGGVETMNGILNGSEKLAGKERYCR AFNPLSPTAAAGPPLAPTRDVSNSSSFDDGRSSVRYLQAVASLTPMLEHRLRTSSPLD SPSTVNDHSRQSNDTNEGQSNSSSHQHCNNNFSSASSSTFTTVNSTREKLLQQAQALE QSLIRSQDRQRGLHNTVTELTTQLKQHRQLIKDQRQEAMRLRQERDRLVDVIKTAKRR LEKRQKDVAYGATALKRRETLEQQKAVMQRLVKEKKRLQEQERRLRESMAVSLESDTP VLDSCSRHLSPENGRNRQPPQMKKTRSDLLRENAVRLRMEAAKKEEPLAYDPRKFRRN EHSAINGTEGTLPCDVTPVSLHTDSGKRYDITGSSSNRESTDEACRGVKPSNGREASF SSGGSSWHPRHGSRGPRLPGSLYQQGCDPYAVEDGETRDDSTGKGDEIRNEWLQNMSL QSLFDAAVSMQRQRLLTKQLTRKKISEARDDEHRETCDTSNPPASATELASHHYRAPT GSTGGPALYRTVIEPFHDISTGNLSRSGGGRISVRSGEGSTIGDAVGKGEVSARGDVD SGANSQNSDDDRGLNGADVLSRLVHPQNTEGCISSVSSRADSSSVAEHLSVDACSIYA EILKQQQQQQRLLLQRSQGPQSTERAFPVEMGATYPTTPTKVEGLLQNQKAGYTNQRA DNRVTGHSSDDGGSEEYTVSDFAQRPVFI XP_827922.1 MEKYTKVRVLGKGSFGSAILIKRRSDNALFVIKEVFLGKLNEKE RTEARQECRMLQKLNHPNIVRYVEHFENRNNLYIVMEYCDDGDLHGKIKRGPMNESRI LYYYSQVCLAMEYLHSRHILHRDIKTMNVFLMKNGSVKLGDFGIATVLRNTMGMASTV CGTPYYFSPEICKNKPYNNKSDVWALGVLLYELATGRHPFDGNSMQQLMQRIVRGTYN PLPSHFSREFRKMVDWCLQKDPARRPSIRQMLAFPIVQRSLERLEEDLMLATQCRIRL KDIIEYDAAAENIPCCEPVTPRPQETPRGEQRHRQEPHPPEMSPGKMAAMALAGQHNR QQQQQAPECFVRQQHVSPRAAMAAGPPAVDPAAQRHADHAGLLAPKPNAAHAAADHGR LEIFRREAPPQCKRPLADIKQALPFSPYQRPHFQQVPCQPQYVANPYKQLMQQHFQQL QEKQRQPHQPQPDAQRQMQKNNEAKRGPDCKQGEGFEDRIKRINAIVERYAKDVDPKT LNTIHAYMKRKQEEYLQRQKEQKERLERRRQLRKQELLKVIEQQNRIARGEKVRKGVE QKQHAQKPVAEMKPLNPGQPAVGDRNAYPSPYKVLQSPPRGAQYPQQQNPVFGRDPRC VRAAPKESNPVSGGRNGNAIASPYCPNYHNAALREALKGPAPPVDSPGIPDNQRVRQL YQQPGMQPRGDGDSAARGVAASPRVVVYRDVVNLNDNPRRAEPSQLNAGQLEPKPQKG KRTADPSVHRHPLGRPKEPCPLVIPTADQVFQKQQPLRRQLKQQPLTNIVSAPLLPTP RAESPVAKAIVNHHDIKKLGSAEGDLRSPFANGTLGRRNSAPSISPSMEVTPSKNSPL NEKEAAVNKCHVRPTTASGFVAEGASATKDLPALRVTSPFSRLNLEDLPADVKVRREL QQQAKQHALLSQLKGDFNLHPAKRNDVVCGRSKSCAPEFVNARHKGGSPILYRRKDGF LPSNQRGGCSKSQEINGDDDSSKNEIGQPMLLAPLQCRAGVAAQGQCLSPAVFSMPVS PSAAPHGQRRQTFQRGGASRNNHVPQATPPDAAPSMVVAKINPKVHQPAPTREKKPDN GRSPAFEESIHTPILVDICYGVAPTSEEALNKKAAFEGDSTPVLPSINDVTADPPDVA QQWRNFVDKNANGKAPYRALCSHLVGMGFHNNMKLPGHADEMAVFQKVDGPRPCIPST LEVLVGTSVSKKHSPVTSSSGSDTTAFDAETRGRERCSDELPSLTSIPCIISPTSVAC AAGEGCQSKPYGLQKDSDAEETGGFIGLEDDPRASRDDEGRQGSTGSVQVLPEASLDG YLSMLDHLRGLLYNNPSQAKKASAGNNSDKKNVKEREPSLLSAEEVRNLVDRCERLSR SEATRATSPNQGAIGVHVVSLNFPTSSVDGCEENKEGESRDDESDLDDEEDLDEAIME VSDVEFGDDVPLTAPQSAGISEAYQCDIENDGFLPRVVNVDSQGNCSEGGNDARTERR KEEKETAIGGAA XP_827923.1 MCTTRCLHIGNKGCGCTPALVSIIGGFPISLTFLVLMQCALYSS AIGEEEALLVGRSCHLNSSDGGGVCHGFSKSNVRRPLHRSRQPLAAHNVSTVYKLGNI VCRGTCDSATRRGSLHHTRIFGVANRTLSSQYPAAPSRDGEASDDMLFEISKDRQSLR LRLVTSIGNMAVRFVTFEPLRSITNKTLEGGSLLTSASVPTAANPSFNTSCLDRQGRD GSATTIWKFDDRKLTNRLDTQSDAYKSGENYYHKFGGNHRDCDVYRLDPCKYAPMLTP PGAISGGYERSLGIHWKLHINDCDATWIGDISLNYLLQMRNSSTGEPLFTLIDGNILV GSVYLQVVEPVSWSLPSKGVVFGYQPYNLRLQLQSTTAAVTRMPQALNHRSIQSGTAT SHKRMGNKRHLPGSSKRSSSLVHDVKSPNVTLSSLIGVWSTSSCDNITGRQLYSYNFL VRLRRRSPVNSTAASGAQSSLHTAAAPRVTGVEMINSSLTVPIVHNHSACPGEMHNKS RYGELPQPARVTEVHFERVQVVGVLRRHSKDSEPGDDVLNVSATGRVVTRSTKPSVSG SAKDAFVLRILFEDGRSDLINIRQGIRISGFGFEVYAELCRLPLQWWSLGRGGQLPLA AQLEARSVLEDVIRGRAKKGNGCTTEGNRSYGSNDRVHVSLNVRKTGDVPFQVTKVSL HRLVLSVDAAAVSGTFRCNRTTKKGRALQFLLDSESHHRVEKEEWVNGEDSSSRVRCR SSSEYASLLHYRRTANDSPHQHADLRHSPSTASPSFSFIPGALIRRGFSGRVEFHFKA VLRVSENDTNSEFLLTEGFRFTVEASPKSTVLKTAIPSPSGDDNSTTAGNTQFVTISK GLAVVLYVVLTGVIAAAAAVGLLLEWRFHMTIKALRRRMSESAVPIDVEQPPDCDLGA KEKETC XP_827924.1 MEESVYKPLGSDRQYIEYPPKETDEESDDGLGERTFRNLHYEQL RANPRQPPRLDPFPSSRSTAFPSRSAGFDIIVQGVLEGAECHEADVLFARTYWVYGPD WTTHNSLSGGDTRLGEVPLVRSLGQQCQSEVVTQLSVVSADPFSRFTWSAPFECSLQS TNPHGWPQLVVTLHTVKGAIPQRSKASEDQTSGSRSGEQCVSYSRCFIPMQNGMHRKR LPLLQLRPSTTKQSFISWLTGQRPELRDPMFLCTGEDRCVLTAAPLTGHVSLTLSVTI SGLRECGIGT XP_827925.1 MRAHTHTHTHTHRMSTEPHNEHAPHVLGRKLKARKVERLQSLRL RRRPVVSGALPAATEFCDAAGVSEVTGNKSAAQVDTSTSVCKERERSFPSTGDGCGAP RAYLNSTTSKLTKQAHFSSPYMDTRIPIACSEEYHWAPLHTSFAHELVAEHNTLVEWY EEHFIKCQQQSTERQPPFSPEENYTEVLPVWLAVARSRHRELSRFVQYHRTYFNGIDM EELQLTEECLRRLEQCLVSAGCQELTSCSDCSATLSADCYGEAAGHSPEKHPGCTALG IPRQCTNVSVEVVDDVGKGSQLSLHSFPVADSHAVQLCLGETNWRTAARVSGKDCRVV CNRPPPPVPGDLGCEGMTDDVITERDVYLQPFLTYFSFSPQGRQSRRVKLFLAIVALS LIFFCVAVALVSQKFR XP_827926.1 MEATTDPPQGVDDTHQPAPGSPSVVVEDEGDISVNGDSQREESS RETTYSHHRFWDSFGRGQTFGNECVLLRTGEFLQYVIPKAKRCNVQFPHNTEFNGPTM GSLLSGARRGGRASNGVGGVVPEDFLPQPAPKADSLWGLFRQSARPQPRLDARVVHPQ GFRILKGRSAIERSLRSYFRLPPAPSEHLKRERVLSQRLLLISDMKDDEEDARVFHAA MEGKLRPQQIRTNVTPPNMMLDGFLLLCAGGVPEPDAVKGATLQGSSLVGVISDDMAH FGNLSFLDVSENKLLLEQLLVLTGLEVLHLAYNQITSLAGLAEVVQNSLKRSMGNDSS MKMGPGSTQRGEGIDSSGPENSSIRSAAAYYARENLAETSSTDAGSGKFSSQEFEPPP ANVTTGRNTFSGYVRERSPGKVLDHISRIVECSTHDVLLPNLHTLNLSFNRISPSDIL HLSYFPSLERLDLSGNKLCKLPDDLAGLTSVTHFALEGNMFRDGENVFRALSTMPALI EVNLNHNKLRRVPPISVKDGHGLCFPSIEVIGLCHNRVERAQDVVALSELQHSLTRVI LIGNPIAANKKEQGAVRAHFVQTVLSRYWKQMGLQGSSRSQRGHGSFSPCGTDTLDDI GSSQFQSNVRRGRMRRNDTESEEMPMYERSEGGNQDFSVWNSETKKDGASSQPYRYGD MEDSGENAETPEDGDVGMECFYTSSVHQSQIYGESTQSQSAFSPSEDSCILAGDICWY GDAPMPHSFDKGKATTTTALPSLTNFRVVEFVFDDTVMPKRTAAQFYGKKRSQQSGDV VVSSMVHPRTGLVTVPKHEEYMNVHRLAGTSSHKNSKRPYRTTREKEKRQMAQVLVPT DDDDDVKEADPQPHEVEKRRETTDDETETSSDEGALPQDAVFMTGVALEGKRTKRRKK VEIEDAQSEALKEDVERKEPVQKPETPKPPPQSKQRGPVRPKRRGGARADQAPQMVVE PPGTNARILMNELRRMLRRPLPPLPAVCTTRGGGSKPSGI XP_827927.1 MSLYFVNNIASRGAVSATHVVAHPQLPLVASVWTNPLHVLVTDS EGESVADHTPKPQSQSDGTKATDDVTCLAWHPARPVLAIGTRSGKLLVWTLPPTKPTD ANFTLHPPVDVESARVQHVNGSVTACEWSGSGAYLVTAADSKRAVMWVFDSGATAAEG EADSAGKPASHSTVAPQWSVTTDETVTHAMRVSTPPVVARERSQRQQRKPAAEGENAE GSTTANLQAAGLDDGESECVFILASSKGKTIFGLSEEQKLFPLATMEETAAAVLYDPV ERYLVALSLTHMLTVYRVTPTIVAELVMRRKLSIPVPGASANRNPLAMEWVSAGVLVF GCGDDRVRFFNVQSDRMYVVMHPSAPSAHVVHIATLTKKGLLVMATAEGSVAVFQKPS FVCQGSSSPYDAMGVSAFDVGMRSGSAASSNAFNKGTEKAGAFESNGDPAAEWELLTV VKVEDRVERLCFSGNNYIYVTLSNGKLQMLRETVRKRAWDGVAAATQISMEVAVVESV TGCQCLLKSSSKIYGVSIAFPIIGLWNGYQIDLYTVNEATSTATLTSSVPSKNPAFAI HQSGIFYVRDTHRIVFSSFQMIMIGQIAFTEAEGVPTLVDVMSDVVVALSSENAMRLA IVNSNEVTPLGPPRLLPKLGNDLVVTSIKVNAEGRRVALMARCVSNDQPDTRVWVYDA DTDLMFSYDFMERMEIPHAVYWNTPEPNGNSLGEPGYLLLACETHQLKGVSQPDEQKP TGPQGNVDGRDTCSPPEHRESGVRSPKRTSQPTESKSKEATNGAMPDLENFADAKGKS AAEDAENGFSAVNPLAHRSHNLVTLFATNRGLVVHNSVELKRYHICLVGLTIPDFLLT SVRINGDPGNPEDYMIEQKRLRDFEGLKTEKDAAVLEALMKFSYYSTVGNMDEAYRCV KTIKTATVWQSLAKMCVASGRLDVASVCLAQMQDGVAASALRVARTNYPGEKEVQVAT LACSLGLVRECEELLRKAKRFDLITDLFIACGKFEQAQRHAREHDRIRVYPVAYKYAQ FMESFSNFDAAVMWYCNARCLDTDVVRLYFQNGRLSDLRLLVTPPEKKRESAEVATVD KGRSNAEAEAPANPASSLTDPKSVGIAGDGEVRAASAGGNPKSDDRGHDDNQVQPILQ KLFAHNPELLHWWARQAERRKKFSEATNFYTLAGDVFNTVRLLFNESPPKTQEAIDIV DNEIKKRRSEAESSRTPLMQSSPNQSIEPVGAAYFVGKHYERNGDVTSALKYYQHAGA WRAAAKVAKSHERHSELLTIAQNSEDTHLMLDCAAFLEHNGVYEMSVDLYHRIGDIQK AIDVCIKGKQYDAMHRISSTLNAEKDAEVFLQMASHFVESGHYTKAAEMYIFAREYPS ALTLCVERGVGLTDEMADAITAEAKRRQLSDDQKTELERQIAGIARDQGNWNLACRKY TQLGERVKAMKMLMRGGDVKKVIFFANHSRSTEIYTLAGNFLQSQNWHTDANIHKHIV LFYTRSKAFTNLISYIDSYAQLQIDENRNYYDAWCALDECIGQVERNRDAMCDVNITG KMEGLRVRRDIVAQAVKAVKLLADSGKGEEQAKEFIAVCSDLVKRSRPSHKDHADITS SIRIGDIFALLVRHYHENMKASTDAMRVIDSMVKHGAEPQFFVERDLLESVCKSNGRR LSEFVSGDNITDNTKDDGVKGGRQMEGI XP_827928.1 MAIKPKRIDPERARRAARGQWGVTSARSGTNPSKKPTVGSARSI SSDSATSSGSSDDSGSNVRNPSHWRGVRPRNANACKDSVSNASLTSSQIPKSDAESST SDPELYRVARQRTVQLLFPRSEIAGTGKADNNREKSHTENEGRGAVGSAPLLGMAQLS VPQQVGGTHGATSPKYALHHRDDVTSCSINSTKDQSNTGCDSSGRSSPLASVETPLAV REALQGLGASEGSQTGREFDRLLEIFAEESKVGEKRKEYQSLSSVDHLPIEGSDNQSQ PETARRMFAQPPRTFSELQERVLLSLYDAYQEGDGAEGDGDAGASTASNKYFIATDHM SEAFTLAALGAYKDTSIPAIHASLSTTSFPSTADPGVSLASAPCTFGAPLTLMDVVTA ERARHNARSALERQQEEGISPSHRPGLEALFTADGDLLATAAEQALDRFHMSVRVLER AASNRKVVDELGNFLFEHHKLFLQGGGSGGASSSIREYPHEAFSVYERYSSCVSNFLL QLLRRQVPSFSMEEFVLTLYDVDLAGSSTGEYPEGGSDGSRDTHQTHCRSIDVLSYPA WRLLQSISSFDGFSSFMDDFIAEVYGVGVVTGPDDGKADGHEPRNGTSAEVRAFHKQV SSDVVVAAGARGIRALLARSDETAGRPLEVHRSETGASDNDPNLFTRNTFAATKRSQG TTPQALKRDIVRSDTVPTASGTRLSASVSLSGSTFLPTTPATPPTCCANPQRSSASSV QSFPRLHRRRPDAVPGRVLPPLDHTSEREEMSMTDQSREEEMEQNGCESLRSIKSEMK PSLTPPCKLTVDSATGVGGRSGRGTGSNPKVASVNRRNRSFTQGVREVNENVTTPPVK SRSLTRKKL XP_827929.1 MLREQCKDFCRCSYCEKKRSFNGTQPFNITLPHSNCHTKDELYV KALNDTLRGKSLKASMKNSYKFEPDEMLLSTRGNMARAALTVPVRRRDRLQELLILEN RERLRTEQELRRERLRSGLTLPDEDVRLHDQSVGTDGQELVVEGKSASAEQQPVCSAD EDLREALQTIKNVTDGHKASGGPRPLSVRHIEMLRELVRKQDKKTKKMVAECPEDEHL CGHCFAVNAQGKHECQVPPADVLRDSLLPSVRGKQQRFNFSSHPYGSGVVWLPLNTAG DRTALKEGASQASGVLKDSYANSNAGAQGNVEDVDAFDAQDARSDRTNGSGSTGSGEA AAGEPNAQKGGTPPSTNKADESGKQTKEDESAALKSGTALWRTTNQETSMQMNRYLEF KKLHDAAAQVYAESAVRRPASTLPAAAN XP_827930.1 MSVKLPHVSVQYQSHQHCQCDFCNSRRNLNAKKSALMTTVSHLR EQQALHNCCCQCHDSLSQRNYDRKPQEPSFELDDPEHHPNQTELNAKMGRNGLQHGGE YTIPPGVRAAQQCLLNGSNGMAKTREEQEKDAMDDLDRLERLLVLEHKSRVKAAADAE NFETLKRTGKGPRPIPFDAPEEQVRVKSATPPQGTIVMPGTVREAYDAAHRCSVTPPP HCEDSHRLQHVIEKVRTVARDPANRDNAAALGAVLHRQCGAGTPPVSREGVATPPIEG MKTIGTLNTYGPRPEGAGVVWCRGLNAVVDDGIGTSAALGNTDNRDLWKATLLKNQPT TTN XP_827931.1 MAAFSGETAVKGIHLINDDGELLPEADINDFLISALAGKGSSDI LYRTGVNYHVVGVFGGQSSGKSTLLNSLFQTEFMTMDEAHHRGQTTKGAFMTRAILDA QTHRKEREEGEGADLLKREKPQPLFVLDFEGTDGIERGEDQNFERQLSLFALSVADIL IINMWAVDVGRFNAANMNLLRTVFEVNLQLFSHGSYVKEEKPTLLVVLRDFTENDPAP SFETVRKSFDKIWGNIQKPESFTDATIDVVFDLRYRVLPHYKLQRPEFDSAVSEFREW FVSPKNSNFLFSNCSMFRGVPADGMPSYLSNCWNAICSSKDLDIPTQRDMLARHRCAD AKHAAIEEFKEVCEEYTKKIQRGDVIPQFTRALEETIERLLKNFSDQTKLYKVSVVHE TAEALEEELGDMELHLLKQYAKSIAVTVLAALDGVIGSSVDEAVRWLQNEARSVLLLE GKDNKGDRIDGGGLAQGVLDTAEGLVDNKRCRLFVEEFWKRICLSLQGAFDMLNGCSK SHQAALSSLYGKFATAIMDDQAVREGVAHAAMEGAQHKLRNRFVAMAENAAETVHQVF EQALTSKTDGTVRFFRTTDGLLGAEKQARQAGLVLLGCLLYYRLKLVPVEVDAGEVEG EGTTRALQRLVRDRCRFQVRDNRTEKNFFLHFTNISDVPRYPLDAPTSVVDSGDTTAD TVNADNVLLSHNALQCAFHLYKQKADFTLQMQLRNIESGKQSLPPWVLPVMLLLGWNE LYYLLTSPILLIAIIVIAVLFFKTFLKSQLEVLEEKCPVWLVVSVKALLQQAQALQNA YAPTEAVRGGGGGAQFRDPTQATSVSGASAGVSSESSSAASPRRRVCRESRDKGED XP_827932.1 MSADTICRDHAAQTSQDRPCQTGCPAGYIAGAATRVAGNVIPPC HNGPLYRVPCCCEVAHDVCPATASDHSCCHCCFGCSRDNVPYQHHQGRPYSGGGVGVL PVAHGSRLCAFCVPEREQLREYEHHEKRQRYLAVRADRENLLQALGGKVVGTHGESRG HYKSNMTHLMRHARGTIHQQAAMAEQQRRRQELRREVEERRRELGGRGYRQLVGPVED RRTSRVVARPRKETPAYYYTPVPHCGTGQMRQHSLARNTVAHVAPRAEGKCVAAHKER RAAAPRVTNNEDNVPENTQPPKKSKATDARPAQVSRSTSFIKKGSEPNQHPQVVLQCC CHAVCRCRPCKCFAPCRCNNNVPAKDPGTAPSAVVAKSEKEEPPAAKTPRPTNEFKKS VANSPVARSSSVKHGRKIFITDHAHSDRRSQVINRLEEDGYLRPVPPTTRSPSAPLAE KAKKWETTRSTSAHHL XP_827933.1 MANDDPKEPQPAVLNPEVDQQDTSVNGDDGDAERDGGKDVPVFT PAGARVEVHPLVLLSLVDHYARVNAKVSQKRRVAGLLLGRHLSHPGGIQVLDINNSFA VPFDEDPQNPDVWFLDTNYAEEMFLMFRRVHPKVRIVGWYSAGPSCAVQPNDMLLHLL IADRFSLNPVYCIVNTDPNNKGVPVLAYTTVHGRDGARSLEFRNIPTHLGAEEAEEIG IEHLLRDLTDSTITTLSAQVQERELSLDHLGRVLQSIEEYLNDVAEGTMPISEDVLSV LQEVISLQPHIYHLKTSTDMVRHANDQAIAMFIAAIGRCVCTLYDVIANRRRIAREIQ EVRARRERSLKEKLGNEQHKAKEAATEESRKEGAAKNKNNGGTSEGK XP_827934.1 MLTVCPLSFFTRALLVCFKSLTRLSPPCAPILPLTKKKRYLKMH GMNFGQGGHQQFNPNANPWARAPAFGEAGHQVGYNNYGGYQQRPREGFDGPSRGRGEF IRRNVPYQGETSGHGYHREEPADEDIFKDHTPGINFDQHGEVNMTITPNDIAPVLSFS EMNMVPVLLENVKRCGYTKPTPVQSLGIPTALNHRDLMACAQTGSGKTASYLIPAINE ILLNISNRPPYSPGSHSSPQALILAPTRELSLQIYGEARKFTYHTPVRCVVVYGGADP RHQVHELSRGCKLLVATPGRLMDMFSRGYVRFSEIRFLILDEADRMLDMGFEPQIRMI VQGPDSDMPRAGQRQTLLYSATFPVEIQRLAREFMCRHSFLQVGRVGSTTENITQDVR WIEDPDKRQALLTLLRENEGKLVLVFVEKKRDADYLERFLRNSELACVSIHGDRVQRE REEALRLFKSGACQVLVATDVASRGLDIPNVGVVIQYDMPSNIDDYVHRIGRTGRAGK VGVAISFFNEKNRNIVDDLIPLLNETNQVISPEVRALAKRPNNNNNNNNRGGGGGGYR GFGRGGNSGGFGMGGGRGGGGGGGGYRGGRGGNSGGFGMSNVFGRGGNSGGFGMGGGR GGGGGGGGGGFGSGGFGASGGNMRGMFGGGGGGPTM XP_827935.1 MSDSKKKAAAVKVVPPKNDCPAFRRRLVEEYHRSVVESGREPPA YHTFSDRYGGTCGVPFATRREATIKGASSSAWNFAVSVQWCIDRADALENEGKLTEAN NYLQIALEQLQRGGNHPVGDTQSGAIVPEGMAEQNHSCVAGILSRLGKVAMRQSDYQK ALNFFLLSSRLDPLTSATYALRASCQEHLGNYNDAYNEYKKYLFINEPSMAMLAHTGQ CALKAGHYEGAEHHLRELLRFAKESNSTILSPSSKSPKFFDSPSFYESHAYYCLGLVR DRQAEESLSQASAESSPEKVHVCCSVADERMRQAREFYKLAASNVEYVNAFEEAAEGA IAAGDVPLALENLRNLQHLRSNCARYHFRAADVCAMMNDTQSELEELSKALDRRQTAL ERQQTLLRRASVYASKLENFNNAIVDLSLLLSMHGEHYCRAMAYLQRANAFYQRSERY PSNSHEDRAAALRDYEKFVEVSLSSPQGPSIPPESITEAMLILADGAFEERKFDVAAN FFSRAVVRGWKPREPLPKSSKRRKRTTSSAVVSFAAATETDLLTKMTISIAHVVISKH PVNEEMFKVSYEAREKPVAPVAAEPKKAKTTDKKEAEKPLVAVPAVGYQMVESHFQGL RALEPTVFSSLQYEFMELWEPYRTDVERLREDLMLTRSGKKVKRR XP_827936.1 MENSSSFDRVRIAVRERPIAEEDILGVKLHVRQSEGKLFAYSPD ATEGLMYDCDYFFPCSAKQEELYHAIGLEMIDLVAQGLSSNVVVMGFAVTGKTHTLFG DNESVGLIYDTVGGLYQRLGAVAGEFETDIVLRYWEMNRDSVEDNLLDEDGSERAYTV TRDTFDRLVIPNLMAVRVPTFEDFLEQLERGNRNRVRRTKQRQSRWHGFLQLMVSTTP KVDSGKTVIRSMTFVHLKGTDCLGLKGVAGDQLKEGCGINVSVTLLRAAVIHSINYRE KRRSRATTPEGHHDLICSSQSFFMECKFSRLMSQFISGLEASFVVGCTNPLQFKESID TLENLQYFRRLRCALKAIVVVSERGLLLKELRRQEELLGAEAVAELYGSDANGCPLNE AEEKLLQIYRKLHGFDPRRGAVKSPAVDPEAAIIERCKTRAQRLHGKVDTHGMRKRIF LTPRKTESYEGQWEDGKFGGFGELLKKLSKYRGEFRNGLREGEGTLWLRKDVKSPWVR VYRGEWLAGKRDGVGISWEENGDVYEGGWSGGKRDGFGRLFFANGDIYKGEFRDDQHY GRGILRLTSGDWYDGYWALGLREGPGLWCYTQKQQYFVGEWSKGICKCGTMLDMPDKT TNENSRFIPRLGLLRCDEVLELEQLKLRDRRAQEYPEMNVEWRTPLVSAPLGALSKNV DSTSGGGDDLDAGNSSRDGEESVGTNVAEGWGLDVE XP_827937.1 MVHYSRKPQVSSKTAKAKIADLRCHYKNTFETANVINGMKLRKA QQLYRQVLAKTRCIPFKRYNGKIGNTAQAKEWGQTKGRWPRKSVVAMLSLLKNAEANA IEKGLDPGKMVIKHVQVDQAPRVRRRTFRAHGRITPYMRSPCHVQLFMTQPQERVPVP KSKPKK XP_827938.1 MRRIACVRLNVGLCRYLAHDAASGVAPPSNGVKDQHAVGRGVVL PAVRAAMCVARPCPMFAATITSNEIPHYDDPCVVWREYFSLQYRKPYYHHLVTNVTTF EVPEGFTTRFPTYHRRQKLFVDEANRVFRNSGSLGVKTNGIVGNTDGFGSSSSSCSGF PPDVNPPPPSGPSPHGVSGLKHKLAAYGAGGLLLYLIVHNILLAIIFFTLYFLHIDVV AYARSYGFKVGKEDGTSEAPSTDVDSGNGKGEKKYPSFWTALALSILLNKLLVPLEVA VTVVLAPRLVPRLQPIAARVIPRVKSIIAGCKAGK XP_827939.1 MADAPRGTGGEQRGFGRGRGGRGGRGGRGGRGGRGGGGDEKEWV PCTKLGRLVKDQKITSLEEIFLFSMPIKEHQIVDQLIREGDLRDEMMLVFPVQKATSA GQRTRFKAFNVVGDGNGHIGVGARVGKEVSLAIRASMIAAKLSIVPVRRGYWGNKIGE PHTIPIKVTGKCGSVAVRLIPAPRGAGIVAAPVPKKILEFAGVEDVYTSSCGKTRTRG NFIMATFYALRKTYGFLTPDLWAETEVSRDPTDEHSEFLSMGRKMVAA XP_827940.1 MSRSWCTFSSADDAVQFDSLHLGSCAAESGVAAAPPRVNSAKVK GDIAAQHGIAAKALEAEVAREVECSILRFNASIVCSRSNLAWWPCSSCSAARVFSNGT QPLVANVEDEVRCTTPRGQPLVGYHTSHIWNAFPSPEALSNVQLPSDQIVDFLNHIVR TEAQSKDYRTSDNMRHVTLMLAPAKTVAIHDIFTVICANHRMLGHLRSLTVSGEFVEG SSIDDFQNFFHGAKELEVLGLPNTKFGRVAESLLPMLKDTMIRVLDLEGNDLGLTKDD SDALAQLVEFIKFNKFVVDLNLNNNNINGITAQQLLEALVKSDTRLYPQDVQDGEEDA VVDEEDDGVGLESVSFFIGQDEEEDEGDDFEDDEEVEDPVEEEGTDQDGTQEGGSPLM KDGSDNENDDEEDEEDEGNEEQQEDAADGDAEEEGEEEEEEEEDEEGEGKPKPLPPHL ERKFNRLFRRLYKEERELRRGCANQYVREASLLMEEHAIIREELAEEKRVEIDKYCRR RSGWSHIQVLRLRGNPIGNKGAVALASALRHELQLEEEEEERIQKDLSNDADRLLNKL TVDYKRTLVEESRERDRMQSEYLLWLQTFKAWITGNSRASADVLAIDETATFGAASDY GGEFDEDQHGGDEEQEPVVHEDDQHIPSPDGEGDVNNIEEEISALLDVLGKWSIPVTS QKRCVPFLRVLDLGSCQIGSHGMKHIGNVLAENKTVECLLLRRNVFGRKRAAKVVEDV ASDGDEAVAPSVVDVPSGVTPGCSSLFAALRRNNTLKVLDLAYNDMYPETIRALAESL CTNKTITSLSLEGNRIGFVELSHEAGTEVLGEGLPDSSECPDVNQEGSKSSCFFELLS AVAAGNITTLLLGFNDLSQCWGDDEVEALGRLCSRLDILHLNGNELGPEHILKWSGAS PEGNFVLRELQLSRNNLGGAEGGGALGCLLSRCKGTLERLSLDQIPLGAVGVSAAFKF LKPSGLRFLSIKNAEVADAKAFPDEVLASLQELIVSDNPFTAGELLNFAELLRSNATR LELLSLWSRKLEMESHLPVLAEVIRQIKSLLFVDLGVLLRFDGAVDAEDALGQLEATL TARRMEHLTQVVATV XP_827941.1 MIPRAAQIRQQQAQERRNKLEESAARAAREDVFARHNAMYGVQR PNSRFANTNRYSRRDPNRGIITQAEWDAVVGEHENSGSMFRIFFSVAVVIAISLLALA KYDVEFNLEPPQIESGKGFGEVNPTHDGAVTPSEAESYYEILGVKGRIRPKSTGAAAD EAGGEDLDKERRRENYRIRQEIRKAYKEHQDARGQLVHCGRACEANNQQVELAYNRLA SQVDRELFSVLLDTKDTKDARSVDPTELKRAYETKKKLVEETEKNEEDRSMVLEELKD AYDILVNPEARNYYLLYGIKPPEHMRYTSARSGGWGQEMTLGTFKYRVIISWLDFMQE YIGLWGETIVLLCAVGFVLSRLPAALRQSESIMEDLEWEDAIEEERRRNGE XP_827942.1 MRGCYLLAGKDTLATKSLVPGVSIYGEKRVSGTIAGETESCEFR VWNPYRSKLAAAIYAGVAQIYMEPGSTVLYLGAASGTTVSHVSDLVGPEGVVYAVEFS HRSGRDLEEMSKRRSNIVPILEDARYPQKYRMLIPRLVDCIFMDVAQPDQARILALNA QHFLKQNGGFVISIKANCIDSTADSATVFASEVQKLKDSGLRPKEQASLEPFERDHAV VTGYYKSPPQ XP_827943.1 MGGDGQALSNKRRILEKSRVFLTADELRKGDNGGGETTRKLKEE KVLRWSHCGLSLEPLQLPVVFDLYGRLYSKKAVLDNILEKRSLHKQSSSDLNSDMKIS KLSDVCEVSNVEEGKDRKVLIRCPVTGYDSASGLHQFLGFWSCGHVVACAAVNESVGK PAAAKKDCPVDDDPASVCPFCGATSFAVHLILDQESDEVKQYRRLRSLHRVFRKRGRS NT XP_827944.1 MTGLTVPRGEYTNVVVHHRMVGVSAFDDQFNCDWNFELYGVAER IKEHHGGAISDGFRMWLNRVEPGFIVRNFKQSLREAFGLTPPSALLMTSEEDIPHHEV TVYYDFEPAALRFPLLNIRLGE XP_827945.1 MEGLSPPETDECAKPHNRECNTTPSSGSPPSNIPPQQLLCSVRS GMHSNLTESAWESRESNSGYAHEKQSGVDGDACTRYYQRLHRFYEQYNPKNLSRISEY LAAYKGKEEQLMAILVGKYGPEPVDRGPQTNLSCCSGDDSVMHFSGKRYRIPTVVTPQ QGNTDCETPYWGNSPLIGERDILGLLSSLETANVELQKCYIGPSAQHPTSSWNGMTYV TRAEIFTPNEETFLGHKWTFTLGSKKVLVHEGSAYHRATFFCTDECQYRGRHERWRLC VYTSSVDSLYLVRVIWDPVVYLEPLPLDRSALSQHSSSEAMPIAGSSVSYQTSCGKGN TEPEQPLISATMINIMTMLENMDKKISGRFDALEARFSFLESKLLGAVDDKQRTEDR XP_827946.1 MVKREKRQRLADSSEEHGGTQETKQEVHGERGVVEESMIGEMQL KRATTDKRMTNRQKCLVLGSRNISSRDRHLLLDIRNLMPHAREHPKLGRTGGLGDSVI ELCELHQCNSSLFIEAHRQDISYLWIAQAPRGPSVKMQLTNVHTADELRMAGNCLKYS RPLLHFDRDFETQPHLRVVKSLLQMTFNTPRYHPRSKPFVDHILCFFYLDGHIWFRHY QIIDTEPKSLMEIGPRFTLEPTSIFNGCFKGNVIWKNALARTPTEQRRDRKTRRLEKV HMNEAVKAKSKIHKALNPAPEPNPLDSVFRD XP_827947.1 MPNYLPNRDGFMRAMLRETIKRIRRVRERAGRTDEPKEIETTGD PFRDLTLAFIRCVERVKENIKERNEGAARHGQDRIAVEQSLAIHKDIRNLETILEEMK QEVNKSGAALEKETRRKKAKPHKIALLEKAHSAKSGQYKDCLATLELVKESDHQRIAA TSGVNVGQELLVGRRAQLRGELGSLWRDKKDGGGHVDPYAGANLEDDTVGGGRLEDHE DTAEAMKTIAAQDKKIQNSLEVVSKGVSRLHTLALEIGGQIDMQNKHLDNTEQVMNKQ TEQLHTLNVRLKKLVKEMKPMSVFLYVCCILLIMSLVGFFLMQFDVI XP_827948.1 MNARGKGRPVKADEKDGTRSRPNKEEKQNQPLNEAKKRAPVGNK GGDSRPTDPPSTKGPLVQQKVRVPPSNAWARGGSSPSFLEIARGKNEVVVALPAPEPE SEPEPEPVTFLNGGDTIAVTEDAVDSPAEVQDEVPITMEPPSNNEEPPYITIQEEIPV VDVPIEPQEEEMEQAPPVKYYVLEIDRIFEEQTVLPPRVTAVASENLCTFTFSGQPGE QPPQLGLPTTQSQHFYRAEPSAAARIFPNPVAVSDITRNTHWNPQPNHGVDLSSMGWR PLESSTRPFHQGMQYNSYGPPSQLPQRNFGPTMNRVRQPDLGEHPLRSLRDSTTINRQ LGNGEGVW XP_827949.1 MADAPRGTGGEQRGFGRGRGGRGGRGGRGGRGGRGGGGDEKEWV PCTKLGRLVKDQKITSLEEIFLFSMPIKEHQIVDQLIREGDLRDEMMLVFPVQKATSA GQRTRFKAFNVVGDGNGHIGVGARVGKEVSLAIRASMIAAKLSIVPVRRGYWGNKIGE PHTIPIKVTGKCGSVAVRLIPAPRGAGIVAAPVPKKILEFAGVEDVYTSSCGKTRTRG NFIMATFYALRKTYGFLTPDLWAETEVSRDPTDEHSEFLSMGRKMVAA XP_827950.1 MYGGYGAGMPSMYGSGMSSMYGGYGAGMPSMYGTGMSSMYGGYG GGMSSVYGGLGGGMSSMYGGGLSSMSGTYGGMGMNGILGNPVGGAIGGNGMNGALALP GDQAGGGLLPSQPDQQQPLQFAKPLATETRRERRARRRQEKEAVERHRQQKKQLAIHS TIEVVGHVLQILFQLMRSGLELFGVGFGTYYSIKALKSIVKSQEGAVPKGISGAAVAK AAELAPSGSSAPPAGGRWKSWVLRVALFILMEILYRAHLRYRNWTARQSLRRKDSDLT LSEEDDELQDELSESEDCSSEEEWSDETVEATARSSNRHDAQHCNGGRVYVAMFDYVS PEKEGFIGFKTGDRFIVDDYTENGWCQATTATDDKKLERKGLVPGNFLRLLERETKL XP_827951.1 MQQADYYAILGVPRTASKDGIREAYKLKALELHPDKNPEGEAIF KLVVNAYQILRSPTKRRKYDQEIGLREVRRDGPTARAHNVPRPNATERAANAAGPVPN SYGTRTSRANPMSEEKLFEDIFMQYTKGTYGGSAPNSKNNCEGFRRPGNSETSFSEWF KRKQEELRQAEEVCKAKLDYAKQLETEEKKRAEEWRNLQEKREREREEELERERARMA WEEENRRREREAATRQHKAEQEKQKMAEYAEFQKKQQVDLDTHLKELATKKRELEEER RRLATEKEQAQQDTTEQERSRRERQRQREQDIASELQKAELKIIEALAQQAQHEASEK AREAKRKQEEEARLRQEELQRQEEQHQEETLRQLTAEQEEARLKATRELDGKRKALLE QIVLERQRHQDDVEAMRRETDRIEAEMQAKLDALREAKRSGQPINLDEWKL XP_827952.1 MIPRAAQIRQQQAQERRNKLEESAARAAREDVFARHNAMYGVQR PNSRFANTNRYSRRDPNRGIITQAEWDAVVGEHENSGSMFRIFFSVAVVIAISLLALA KYDVEFNLEPPQIESGKGFGEVNPTHDGAVTPSEAESYYEILGVKGRIRPKSTGAAAD EAGGEDLDKERRRENYRIRQEIRKAYKEHQDARGQLVHCGRACEAKNQQVELAYNRLA SQVDRELFSVLLDTKDTKDARSVDPTELKRAYETKKKLVEETEKNEEDRSMVLEELKD AYDILVNPEARNYYLLYGIKPPEHMRYTSARSGGWGQEMTLGTFKYRVIISWLDFMQE YIGLWGETIVLLCAVGFVLSRLPAALRQSESIMEDLEWEDAIEEERRRNGE XP_827953.1 MRGGRGGGRGAGGGGFGRGGRGRGAFGGGGGGGRGGGRGSGRGG RGGGRGGGRGGGRGGGKAGGGMKGNIFQPHARMRGCYLLAGKDTLATKSLVPGVSIYG EKRVSGTIAGETESCEFRVWNPYRSKLAAAIYAGVAQIYMEPGSTVLYLGAASGTTVS HVSDLVGPEGVVYAVEFSHRSGRDLEEMSKRRSNIVPILEDARYPQKYRMLIPRLVDC IFMDVAQPDQARILALNAQHFLKQNGGFVISIKANCIDSTADSATVFASEVQKLKDSG LRPKEQASLEPFERDHAVVTGYYKSPPQ XP_827954.1 MDFLNESQTGQDINQNSFNGNAGPALPNSEEGDNTISSEAPSGV PAMPQASEAAVIPQTPQTPQIPPQTAAPVQQSSATIAVCQAAKKGIDARTAEIDAKSR EKERQLTEAAQAYLKEQNKMREEKIKEVKAKHVKEQEVQEKQKPCSDENAVWVNVGKM VAFNKVNKYSKNTERMRSILGKLSQSGSG XP_827955.1 MESTDTEVTAQENPPTADVTHVDNKYIIHNDSVAGRGAWSVVKR CSVIDPYEPPSFQPGMKVVVKIIQKEYLLSLTKGNVERAMAEVKREIDVLRHIPSHGN VVTFLEYIETEEEFLLFFEEVQCGDLCEIILQAPEGKLSEETSKYYTYQIIKAVLHCH IHDVIHRDIKPENLLVSDDDNIKLTDFGLAKRSKGICTSAEERDALDPISLSMQYPGA ERLIGKRIVCSDVIGTPRYGPPEMFYAKFTQTHYDGFKADTWSVGVVTYIMLSGSFPY SAAAHAPEKEVFRFIMDTTLPEPQGISPIAFDFIERLLNKDPHKRMSLNDALGHQWLE DVARPRQSVMVPKILETLPTPEVAQACKMFNKEAQALHQCITLLQRENQRLREAQERR EESRATREKENRRTSTPRRAETPSTASRVGAGRAAGTSSSLRVTSPARTGVRPGRSSA NESSNGSMSRPAGNRLTTRSPLARSAASTVRRGTPVRVAGATHSRTIGSTPMRSGTPS RTTTTGRSTSAARAGVSRLANSSLPVSHTSTRTTTPGRFGTRSTTPGRSAAVNHVSSA KDLHIGDIVTYKGFRAAVRFNGPTAFGAGIWIGLEMFEGNEGTNDGSSFIDKKQYFTC PKGKGVFVRASQVKKV XP_827956.1 MPAGMCSVDVAELNQITMGKKLGSGSFGSVYAGILPSGQFVAVK ELELAEDSPTNTEVEVHKKLVHENVIRYLHSRIDNESTPKRLYLYLEFVTGGSVSSLM KTLPNGHLPYPVVRVYSRQMFSGLEYLHRNKVAHRDIKGDNLLISMDTGIAKLADFDQ AKVMTTYGTLRQAATSTLAGTPYWMAPEVITDENGYDPFKADIWSAGCTVAEMITGRA PWTPMANVMHIMNKLAMSTGWPDAIPKDPNALGSQEAYDFLDLCFRRTVAERPDAATL LQHPFLKV XP_827957.1 MSRPEGEEGKIEEWEDVDEQAEEEEEDTTINNSDVVMRYKKAAV WCNETLQVLLDAIVPGAKVYDLCKLGDETIAKKLRTMFRGTEKGIAFPTCISLNNCVA HNCPSPGDDAASQVVQLGDVVHVDLGIHIDGYCAQVAHTVQVTENKELGSDEKAAKVI TAAYKILNTAVRKMRPGTNVYEVTEVIEKAAAHYGVTPAEGVLSHMLKRYIVDSFRCI PQKKVAEHLVHDYTLEAGQVWTLDIVMSSGKGKLKERDLRPSVFKVALDSKYTMKMES ARELQREIESKYGTFPFAFRNLETKKARLGLSEMVKHSAVVPYPVLYEKDGEVVGHFK VTLLVTAKKIEVVTGLKMQKAPELPPYTDELLLTTSKLPFSLEKKRKE XP_827958.1 MNRYTILGQLGDGSFGVVSKAQNTSTGEVVAVKKMKQRFSNWEE CLQLREVQFLRKVHHPNIVKLREVVRENNELFLIFEYMEMNLFGIQRMRSEQMGGVQS IFNDREIRSIMCQTLLAVQAMHKNGFMHRDLKPENLLTKGDVVKVADFGLAKEIRSRP PFTEYVSTRWYRAPEIILRSTHYNSPVDIWACGVIFAELYLNRPLFPGSSGNDQLFKI CSILGAPTTAEWDEGYQLLRRLNMRFPTVAPTPLRQLLAGAPPNAIDLMEQMLKFNPS DRLTATQCLRHPYFTGTGSAAEAGGTATAMYAGIATGQPHNPFHAAGAARRLSVSTTS FGGCEGASSAATLGRDRASSSTTLFFGGGPPGSDSAGSQLPPKPGLDDEAFNF XP_827959.1 MARGQIMTGQDWEPQVFNIHNRKNTQQRPTRVSEAEANRALQRG GNVEVIKKEHFRSNVQKGGPGANAKRLDEDTETLKVKRVDNGLRLAIQKARQAKGWTQ QMLAQQIAERVGVVTEYENGKAVPEERVLVKMERAFGIHLRGVKAGQPFGSAKPQPKK XP_827960.1 MTDKKREPAPKLGFLEEFMIGGVAAGLSKTAAAPIERVKLLVQN QGEMMKQGRLDKPYNGVVDCFRRTISTEGVYPLWRGNLSNVLRYFPTQALNFAFKDKF KRMFNYKKEKDGYGKWFMGNMASGGLAGAASLCFVYSLDYVRTRLANDTKSVKGGGER QFNGIVDCYVKTWKSDGIAGLYRGFVVSCIGIVVYRGFYFGLYDTLQPMLPVDTFIVN FFLGWAVTIVAGLLSYPLDTVRRRMMMTSGAAVKYKNSMDCMLQVIKQEGAASLMRGA GANILRGIAGAGVLSGVDALKPIYVEWRRSN XP_827961.1 MTDKKREPAPKLGFLEEFMIGGVAAGLSKTAAAPIERVKLLVQN QGEMMKQGRLDKPYNGVVDCFRRTISTEGVYPLWRGNLSNVLRYFPTQALNFAFKDKF KRMFNYKKEKDGYGKWFMGNMASGGLAGAASLCFVYSLDYVRTRLANDTKSVKGGGER QFNGIVDCYVKTWKSDGIAGLYRGFVVSCIGIVVYRGFYFGLYDTLQPMLPVDTFIVN FFLGWAVTIVAGLLSYPLDTVRRRMMMTSGAAVKYKNSMDCMLQVIKQEGAASLMRGA GANILRGIAGAGVLSGVDALKPIYVEWRRSN XP_827962.1 MTDKKREPAPKLGFLEEFMIGGVAAGLSKTAAAPIERVKLLVQN QGEMMKQGRLDKPYNGVVDCFRRTISTEGVYPLWRGNLSNVLRYFPTQALNFAFKDKF KRMFNYKKEKDGYGKWFMGNMASGGLAGAASLCFVYSLDYVRTRLANDTKSVKGGGER QFNGIVDCYVKTWKSDGIAGLYRGFVVSCIGIVVYRGFYFGLYDTLQPMLPVDTFIVN FFLGWAVTIVAGLLSYPLDTVRRRMMMTSGAAVKYKNSMDCMLQVIKQEGAASLMRGA GANILRGIAGAGVLSGVDALKPIYVEWRRSN XP_827963.1 MHPAARLSVVARTRTRFQFRCGTISPQHVVEEVETPHARSPPWL PTTIPYIVSISRGIFRLLTWHCFSPIVLFSGDRMQPPTGSSTSVLLQPLGRRRVTQLR TDAKRNMMKGSGRGSSSF XP_827964.1 MLRRACLAGRVSAIATPGFATCKILSSVSSSGNDKKNTKAGLLS GSGSEGHHTGPIPGATGKDNRQGIYSTRGSPSYFEKRYPRKEGELVSKQVVDPNEPRD RSDNLKAPEFDTSLGKFEQAPYFTGGPGAMRYNNYVREPVDKEGVDYRDVVPLPPFED HHPDFSYASATGKREGDITLLANTVVNWEIKAAMMSLYRSCLKGLPMVKHYYWLLTPL PEMKSKIRERFLQNQHTKDPDAIRHLLHNGWMEYQEVILFRRTRASVAKFFEWESQDE LVRGYTREEGKMQEERAFWNGEEQRREGPYDGHWSWLGKESEKEFAKIAGRIPISWST SKGYFEKGQADGTNYWEKNLDYEGWYIKNVDPDRQNARREMQGWIESGYNQPKHYASK NRRGYRRLVKDIETMMETSMEDLYTKNREQLFQYLIRETHPESNRINAERVLARQDDD FYSTRFDEYEKYLKQAMREMPNPRLWKTDAFYFRLRYLLAPLEYNWAKVPIGISQEKL YNEWISDNANYAILNSATFSNIKNNKLKNPMARTWADFYNDYDPDVPETRRLPWYHPD FDYDRRHKWDERCMRMKRWVQGGTIDGKLPYFDSIIAEWEQYVNRPERFRASDSAERR YAAPRMVQLYRSLNRLMDVALVNQMRAVVESSLLNTQVELSRASVTDIQRMLISVDFT DFRFVVPTIIYPDGVQQPVVGLDGNVVVSQI XP_827965.1 MPKVKSGASKRVTSRQRHKVERKKREHKRDLRKAAKAMKKSGLG PKRTKRTRELARLALKVSNAHPDKESILRRVLQVREEARVERGRQKGKNKEDSDHEAA KNVGKSTKVKEGKKCFTFIPVSESNNFSYQFNRTLMELVFPTSETSTEVPSVAYVVTL DARCAVQCLPWGLLDAIVREGNAYRNAGGKRKILVLFALTKCDLVSVHALISQISLLA HVLSEQYIGVSDGDDDLNIGDSIYFTFCLVSNRFEKTVHHLSTLLKRFRASNGCSKYN NKSNLNGKICCFVIGLPNTGRRTLCRMLFQHDDSNTSIVPVSAAQLQVVNGPTNESCE GHVKFAFPNAKEVTVLQFPEDATLKRELFNLCGSEVIFKPQTFIESLADPEVVGHVLY EAVMNKDDLAQGFCQPNECNSDTTSERKEFYAARRFFTRLGQTVRREKGFYVSPSLVS NAGTMSRMCSSSLTASTTFTSCQQSTQNAPPDASYSNATPNRLVRISSVVSRRNGKRG CTTPMKRADYRNVLKLGARTFIREIHHGRNVPWAVMRSPLKDCLTLSDVERASTIFNL SLCLGKAVGSAEDAKSPSEHLTALLDHVASQMKQYLVLLPNSVVEFSPSSIVAPIHKL GFTRENEGGSNGCGDEDEEIEDYCEGEEECEDDDEQDEGDDEENEDESEEGEDDRSC XP_827966.1 MAEHAFASETKYGRRLNMYLCHCSSEEAIFPATALRLHTQTSTG WQSARLCTYPQELGLSFSGEVELDYIRVLCHESNIPTRIEVFVAEASDGSTKLNVVTP YENSNFQRIGYVHFSAGNESAVGIRELKTIKVCRKCVFVKFLILNPYSSSFNTFNQVG IIAMAGHGRLVKSIQRESTKRICVVGETVEVPLEKMLPPILNKLPSAPSGSDKFGEVD AVTRRRLAELLRVKEHAVMTEDYDLAGALKPVLATLEDVGREIYALEQRKNAAVEEED YASAKKLRDDIEGMRRTAYLVSINRVHTIRRRSVDNAVEVRNIQHNNICSDEKQKEEN QCRGLSPPPAPRVFSPSTFDEMPVGGAGYYRLVDGETGANDRNHGIADVVGDVDVLSA CVIQGKEKWEELLLRAIVTISGCQSLELDVEDESVAEGKRFEKVFGSVCCGCLFGKRG KLREAAIRAICTPSVFVSLLGHADNITEMLLSYVVLPTRGLNDATTSVVIASCDAVRA IAEQLEGVPPLSSLNSHLSPVLSALVARLGDSNNRIRERAESALLSVAKSALDVVVVA LVSNPQKKKQHTVPHRVHASRIAVLNNLVDMYGADGAVSTNLEAQNILSEVVLPCMQH SSGEVRDAASSLFAKLLLVAPSIAQRSIGVLKPTQWSAVEHYLSELTGGACDEGFSRE VDTSDRLLPRTGFVPCATPTSAESVTGSASAGRDAASKTCHFCGEFNEGFVEASLDIH FIRACPMLCPCPLCDQVTEIATLQQHLTGECENRHLVRECPRCKEAVRVEDIERHIAA ESCIKAVPTHSVCPLCHARFKAGMKGWLTHLAAPPGCPKNPKKYDGSGPITE XP_827967.1 MSVEQPEQQISGLLEQLYHSSNVSAERETIMNLSNVVRQGGAEF LLDVVKTNLKSGVPEKQEASMTILDEVTLNCGPSFAVMLSSQKWMDRLIKLAKAGPPQ VTTKIISTVIGWQKRYHTVSFAQCIKKLSQSKTTGEACKQALRQAGDDGTGSSLGYDA SSPASLRSTGVTDSRKSKKCDAVLAELQSDLVSLEYSLENPKFVQDDAIARECKNHKM KCMQMLESGSYESIASDLMQLIERFSRALELYECMTGVDLGEGEAARSRALTRGKDNG GDSDDEYSKQLRARVAPKNAQQVMLQAQEATQQLVEKERHETMQLRTQLEEMRRKYED MHNKYKDAKARNKEAVGALQEYAKRIEVLEKSGGGAAAAAAALPPPPPISEEKDKGKD KSGPALNVGPIATAMRDTVQVLRQSLREVREQYVSDIQKESAYYSSQLTSAIASIAAA AEKDRGSNSQLLVRTQELYKREMKLRKQYYNQIQELKGNIRVYCRVRPLLPREVAAGH TNIMDFPSADEIRVNDPAGRQKVYEFDEVYPPHAPQARVFEDTSPLIDSVVDGYNVCI FAYGQTGSGKTHTMGGYGEDRGINTRALQRLFEIIDERKDTDESTVTVSVLEIYCEMI RDLLVPKEKSKSTTYEVKQGGQFGTYVTNLSEVPVQCADEITKIMENANKNRSEGQTN MNEHSSRSHMVLYITVRTTNKETNMQCFGKLSLIDLAGSERLDKTGAEGQMLKEAVAI NKSLSSLGDVISGLAQNSKHIPFRNSVLTYLLQDSMGGQAKVLMFVCVNPASYNASES NSSLQFASRARGVSLGQIKKNP XP_827968.1 MEYPAEFHTPSTVYQEGCTTSPSPTPMFPKVSNLVTGIWERPSE SHDAGCLKDYAVREMRDATQSNAQPTSVPSPRCTSNVNDGFRIPPYDTFLPTCDKERS QISAPTVDGVSINALSSLFSSEPILAENTVPSGDWDVFFPLRECWNSSSRPPTPTIPL GVCANITDTPPKLHLSNAIGEVCPQSADMQRPFFSLEEDTESEEVCALPVNFGTTYCS EGNIVEALIAQLAANGDSVVPTSINKVYAPQGQRTSSAMSSFQEGTVRNAKRGAAVSD PLAAHLLDNTTDIYEGVAGRHVAATAVCEGQQRHVPPFAICCSPIDLPGVGNGRAMNE RHRGFIQHRQRGADTASRSGKGGLAPGSWHLGRRPLYSLPFPLVDHSMLSDLYRDTPQ DQELRRIINSNGPGGPLMSSEWPPRTKSCVVKMLRQLHAKVLLQHLRVVSFARRDLYG VVRLFDSWNGKGIPPPGVMFGSYYFYTMSKFGREMCLKHNGRERAGGFCRGCDYAQRG PNFRCRYQHACLFCLSDDHGWFDETCCQRYVALQQKMVELRITDAVAQALLDALETED EPIRRKG XP_827969.1 MSSGNRDLSVDNPLQWRDLNNTEEFMRKLHEIYMKSVKPLEDIY LYEVLSPRWFEETLSSYKPIVTFLGPWSAGKSTFINYLLQDNYLFTGPQPTTSEFTVI TYGDDVTTLDGHVVASTKDLPFRALANFGEGFMGNFCGLQVPHELLKRVVLVDTPGVL ENSTDDRERRYNYTEVCRWFVERSDMVFLLFDPAKLDAGTELRNLFRYTFKGMEGKLR IVLNKADSVAKKELMRVYGSLFWNLSTLIRCTEPPRVYIGSFWDQPYKPGTFALLFTK EKEDLLYELTELVPRQARDRKVAALISHAKKVVCHAYIVGGIRADLPMFFGKEKAKRK ALENLPKTYQLVASRNRLSPKDLPPAQLYHEYFEKVHLEKLPDIKKVEKTGMITTAQH YICNILPSMFYPMKQVPVPDPRNKTEQGKLREMYYGGLRDQYDGKEGKQGSSDTVPIA FRETALGPNPELRGGCGQLTVPGATANTTPAMNNVQMNEQQQQMLMQMMMMMQQQQQQ GNNQFYAPR XP_827970.1 MSFLGGIFAFATSVRKGGKIGERVCLPLCNVLILAKVLIFRFCL PTLFSFLFFLERRSPFMTQPVDDSWYDAELLDALLGKEDVDPSHVPLSSLAAAAPATT PKGSCRALEITDLGHPRLFMIGGSLFSRYCRPSPALQYHRARFHWSRLSWLDYSAIYC PFTKQFLSPRSPHWSHLVSAGFGTPRRLLFGRSIVLVEPQKLQALAAHIQQSKEGNAV SGKNPTAMWSPPLQQTLGLLLETLPYHDETSLARRVQEVLSAGDTGAGTGRRIPLGLY NHVPDVKRVMPPPRSSQEGEEEELAQNDVDAVEVVALGESYLRRVLPWRTAVLQHRPK MKLNMKVGHKEMVGLSSEGADLHPAHSKADVLVERYSLLCSTPCCVPKTQMAYLSLRY VPDVPVMQVVVDALLRTEETEYVFYCPIEHGVDCHTVVDIVAYRVRRTGDGDVRAAER FPVLHLGLHANPTDSSVAPVIVVIGNAHLLSQRAISRLLDQWTRNGAAAVAVRRRNGG SGDNGNSDVGIDADEAVGRSWRGRELLLRIGDPLRAIACGVPLFGNVRAVFVSYSAFD CAPSDCSAHSPGLNNSVSCVRSANATVHVLKPLREGVFTLEEPVVAALRRVAPTFQRR MDVSDRRLFIKEVCDVISSTHVSTLSNRGADRHGWTTSPDDSYREEDVLLHLYEAVEA FLECPVKSGDVSLLSCAHLMTKYPELATARIRHELIREFPLYVEMNKSELTVCSAVSA PAPDSVVECAAGGSGSCECAAGFNIPLVSSRRLARKKAEEEHDSLKKTYVLNATLDRV VTSDASGCGSSFTSVIMRGSEHQRYECPIEHSYQPFFEPPLLLGRWSQTLLFCAPVVA DTVALRCVLLHAAEASVRDDDTINVVDVDIFCVDYVKYPPERRCRLFGVRLRFATRDG FTKGTVKDCSAREWATEMLEHALAKCAVSAELFPLWTSSELRKQRTCRHVTQGSGVAG TFVPHFRFEALDECVRAAQPNVVEQRLFALGFVQCGPLCLTVGSHVIALRDISSNIKR GTLCRVVRFAPMMVAHEFGAPVDRMVQCFFEQQRCKGGGMGALPVVRPVLQPNNLQVT PFGEADESERETRDEEALVIPAVSLVGGYRSLHYYALPALQLPLLVPSQAAAASTLFH PLFAHQDSLLEFASAETRSKAATMIMSLPPSLGARRSVSCAFLYDAVFDDGESGAEVH EEGGQFPPHGSKGSASPSYTVCCDVLSALSLFPLRV XP_827971.1 MNDAGMGNWRGPHMEVNASVPHSGGPIMTPADAYRAAHGSILKL QQGLIGHEEQVMGVVHHIHSLVSVIESLRKHYETVVEERDYIKRTLEEAEERLKGVQR LLQRYTSVNDPVVASDGYTYEREAITSYLEECQSMNNVPVSQQTGEELTMMLLPNRSF QRFLSQLMDAKPVEGRHSSGSSGNSKTNATAINTGSANGQNTVREEIGGEDTSAGPNS ERLHPCIRVYGYCNYKDSCAYALYPYDACLSHLKGKCRFRSQCHERHVDFRGQLNQGD AGGDTRGGG XP_827972.1 MEHKSHRNSTTLAGHCPFCSDFYPHARTHTHLYGLPSHRTSLAN HPPSPFVKPLNNTIYFSLHTCICTERQSYPHVWNSLSLYNPPPPPPLPLHSPHEPTVV VSGFINPNPSPPLHHIMHK XP_827973.1 MYGNQSSGGPETPPLVWNVAKVLQDGFNNHRSSLPQLLGIAHNL EETLENTRLMYNKAVKERDELRNKLVAAQNSIVAVKRVVEQYATVNEPVVASDGFTYE SELIRDYLRECASSQTKAYSQLTKEELLDVLVPNQTLSRLVKMLQQVCPMDVPPVSVR TPIPPFKPLQQGEVGSKGVGSNLNWAGDERGPGTSAIQASDVETAMVSAATTPGVSGV HSSNAQAVTSNPNGATARRWENRQAQQPQVSGFTGKNSNRKHPCLRVYGRCNFLEDCA FANYPYGACLNYIKGKCRFGQHCKELHVSSAYPRYGDQRGGGGINSGNNTANNSTANA DIATPTATGRGGSGANPTTTPRSEEKGQSTSTKAKKGARESSKCSSVKTDACAGGDVE AEAVKELKAAPPDTSPQEATGGERTEREVMEAPEPSEGST XP_827974.1 MTDAPRVTIAADGEETSNGPTAGAGLREHPPKILFNVCRRELFH PNKGYKQLTRRLRQFCTVDVNKEDISMDRLAPYDIVVFGSPQERLSTEDLSVIREYVQ QGGSVIMLFGDGHGGRYSYLNGTLEEWTGITLNEDCVVRTVMNKYLHPKEVCVVNGIT NRAINKAAGKNVPGVTGYQGYRTGFAMGGTAPFGNRGAPTTLNRTATAGALGNAATTV AAMAMQQQLVDEAAAEAPTNLTFVYPHGLSFNVNRSAVPILSSGFMAYPLNRPIAVVW ENSDEAEHNGRRKRGKLLLVGTGLLLEDSWLGKEENELLSTVLFDYMNHHIKLNQIDA DEPDITDYHHVPDIASLSERLRVAVEQQEELPRDFTKLFQIETFKLDTDMIPEVVEAY EKLNVKQEPLTLIPPEFLTPLPPVKPAVFEPTHRDPMQPALDLFDLDEEFAPDKVRLS QLTNKCKAEDVHFYILQASEILGVTKKLRSPRNRDPRALLDHVFRHVMQYKMSTAGPR NSSASGLDGMNADTNAAAAAAAGSMMRVIRVLTNENGNKDATPFDNNVPWMLEIRADF GSGDITGKLTLQESSDQFPAQEALLEGTIRPPGEREYPMEYGTALRGAAGEQVFFVFL AILQGNSLRGVCEVAGGVNNSRHFVYEIEEL XP_827975.1 MRLNITIPNEHHDDACTCVAVSLTGDVLSAGDDFVLRRWSPNGE PMGKVKEFDSCITYIAWVPLQGGRKGRSANTGSVEGKGNYLVACADGTISFMNTSSDR VERTIDAHTGTITGVVYSGDTSSIISSGEDGCVKVWSQAGIPRTTLVSAGRCVYGICW GSETTELGGDCVLYCVGSEVTIKPLNPAVKKQLKWKAHNGIVLAADWSLMTGFIVTGG EDGTYKVWDPYGRSIYVSAAGGHPCTAVKFSADGEMFAVGSFMNIRVCDKTGWSHTYE RLSEGSALSLQWTADGTQVVMGCGSGSVCTAQLIDRKMYWSHFCVTLVDGNRLLVQDV LTDAVEEVEQRDKVIKVEVGYGYLVSCTTTQCACYPIDRLSAPVQFDLRDVVITLILG QKHLLLADCNSGMQIYSYEGRLICTLRLQSFLRPEIMAPDLVSLSPDTVAIRNPADPR KILFFDTTNGKAMEDATITHHLDVISLELSQHGELSERKIAFVDRNRDMHFGAVHRKV GAAQKISTMTSSLAWHGEHEILVAIADGRLTTWYYPTILFSDRGLLPTTKTVRDDGGD EFSRNDRIVSFSGTRVSIRRGVDGALLTFNTSPYPSFIFEHVARHDFSSATRLARFLD EKPLWGILAGLALRHGDLNVVEIAYGALFELDKVRYIRYLKSIPTPEGRQAELALFQR RSAEAERILLQAGLIYRCIDMHTRLFNWERALEVAAERKTHVDTVLARRRRYLDAVKR TEDIPLFKELGASVRVDWETVVEKVRQEEEKEAQRPGAKPYQ XP_827976.1 MIGGQHKKERISERLQNCQNQPKNRCYLPGTQLLTGGYSTKTLQ GNWSEERADAGYYDGKAIVPTHLSKIWTTEYTVMTNHAMKRAQEQAPVFDQATLVDIV DRNHRAYPTHQPHLDPQLPKLKEEAFKTTMRTSFNHPQEVKLREEAYVRPVIGNTPAA QARAIIMRFRRQLLISMEGQSAFPGNVLRQVRLALERNDVVGNGVLNVEETFRGFTEA GVETSIPECVALVRGLDMKGDNMLSIRKVMDEMRGEERDRRYSIIEGVYELLKKLCSN GVVRLHHLVDLIDVDSMESVLNGTVSSADALRAFTTQWDLPLEAHISFETFHTFFRDS SFELKTDQEFEILMRNVWHLSGGNGKNVNTSCRRLNVVHKDGRASVQEVKDDLDIKDD DPNLMERILANLATQGIKDVSSLTIIPKRR XP_827977.1 MFQRWSFALCRPIVAAAQVSQQQVPPSEEPRNESGAANPPLVKE EFLRQFRERLANDKTGRNSLEGFLDLPENLPPTAASIGPLKRGKEPLPPWLKLKVPMG ASRQPRFNKIRRNMREKRLATVCEEAKCPNIGECWGGGDEEGDGTATATIMVMGAHCT RGCRFCSVMTSRTPPPLDPEEPRKTADAVADMGVEYIVMTMVDRDDLADGGAAHVVRC VTAVKERNPGLLLEALVGDFHGDLKLVEMVAGSPLNVYAHNIECVERITPNVRDRRAS YRQSLKVLEHVNNFTKGAMLTKSSIMLGLGEKEEEVRQTLRDLRTAGVSAVTLGQYLQ PSRTRLKVSRYAHPKEFEMWEKEALDMGFLYCASGPMVRSSYRAGEYYIKNILKQRET VEAPSVSDGGNEPKDSE XP_827978.1 MDADEGSAPDEEQSMVVDRVALSISDISCMRLDGCRVPSRSSRA SHRWSHPQRPHRQPVGDVSSSLSHAASQGSRTSPPSFVFATRVCRSFDSVSQSGGVFD SVVRNHDGESVRSNSEVVKHNTCLEHSQKTCLSSVSLPPLSEACRGHEVSYGLSRRRM SFTSSFSPLPCSPVPKELSPGLLTSIPWNLPTLGWKGMTPTASNVHEGQATNYGEEQC GERVANDTRAYRILNMSNSGGAVATDASVSRERWFHVQHQSFRKRYIGHLNCVYAIYR SLVPRNSPPAASQNISLGDTPTLDRRVRSVALSSASTVTGVAAGYYTLSDSASTRCSG GQNVPVVPRAVRGFSPRRRGRQRALSSREMDPFILGLIKRRVLFRTTIFCEYTYNCIK HSEELRLSSSEIVEPYTEQEYAVSSHSQNGSAFTTSVGRAESDRVATSASDGSSSIFF DDTHRTAPPPGNDGKRRTSVVSPPHEETPMAVHHRTLTRLQTLTTKVHSVDRVMRTVD NEEDDLVVYVGMILMGWLEVVDLLGSGTFGQVFLCKDLRIANKTFVHPSQIEGDDFQY WQCSHTYIPFGDPSMVPTHTPLVAVKVVKSRELFEQQSAREAEILVHVSSQTASQEEQ PAEEQQAFPALSFSDPPVPDYRCEYVGKILAHGICYGHHCIVMERYGVNLFEYAMARG FKGLPMYQIQDIGRKILLGLTLLHEECHVVHCDIKPENVLITLDSWLSANPVHMALTV GAHTPGSGASGAISLDASAGQLHQSLRWPASNGLPPLSDSKLPNGAVHSPTPRRMQIA ASTERMSMSSILREVAPAGSAAASSPGLNNGAEDDPNLPRIPASPSLLPLNIKLIDFS SSSYIGKGIHTYVQSRYYRAPEVIVGAGYGPAIDVWSTGCLLAELLLGLPLLPGDSDH HQLSLIEEMLGPLPLSLLAKGAATLEYYDVDDVELRSESAGASASEETPAGHKKSPSF RLLSEEEYLRHHRDAKPTKGKRYFQFHTLAELIRKCTASNEERCIATGYSPSAAMDAN VMEMVSTQQPRKEIADEISRHRFRFYDLLKKMLHGDPERRLTAREALAHSFFQETPKY LQVYSQR XP_827979.1 MGGGACKMERHDVQPRVSSSRVSDCSTPRQQGVTSDSSETVKKE EEQQQQPILIPRQPLGPGATTPPRPMGVVKIGDPLDIRSGVGSPQCDVTLTTNNTWNH STSAFTTRLPHFVNTEGFDASTGVRWTDNDTAPNRPRSRRTSILVEKESAAYHNGYPA TYDGDRHQRSYSRGGSWYKNAENSVFLRSTDMPSFAGNSARYPGDENRNKSFASAANS SYFFGASCSRRSVARSGTCVVNLAESTKTLRGAMATLRKHVQQGAKPLSLRSSYRDVG GSMGCSYNDDVPRSRLPSIFTANNAITPAPDGSMYGEYNAFNRDYDAPGVLGGGRGAM FPNVPINGGFKKSSVPAPHVGSLLELVDTSTVSVFAADSRAESTDDANKQQLRRVANN VGCSPVVKVASPPRQAQRNCRVVVREDLDGVEWHTKNVEFPVVGSDEGNKSREVSTTF ALPGSICTFGATTDVSEDSGPRGWVGVGGDFGVSSSDWYARQRSKLMSDESDVNSKDG AGEVDSSGDAAEEM XP_827980.1 MIAINLFVSLFARFVFVTFCCSSSTRVPSFREGGFNLFVNPFCF CVFVCLCCCCCCCCCFVLFCFFVSSHFISFPIFCLSIMHVYLHCVFFFFSPSSFFSSA LVLFVLFPLSFATFCFGVCSCQTYIYIYVLFI XP_827981.1 MTYKEVALSSQLNGVFHCAAVVTLRTAPADTLHHPVSSSGTHKQ VPDGGVKCEELEGDNRRSRSPSITVFTVLNPKAASSSLQVRQLPQQPPEEMRCTLWVG ASSGDIEVRSAVQPDRVLRFVPKKSRAVVTSLIQIGSSRVVAGLSDGYIRVFDAVTLC EYQQTRAHTSAVRCLMAVATPIVQGVQPECEFTPAPVFLTASSDRTIAKWDAVSLQCL CRLKGHTCSVSALVSTLNGVFVFSGADDGSLHMWSMVDNTQVVGRPTTVAKSAGKKKR TGKDLLGPSPHRQKSQLPKCSLEEPQVKQTSQASGRGASQARPGKCRTSRSASVGIMK VRSLLRSPSIGSPQRHRVDGGGTGRSRSIAAMQRHGLLPKINWGKDLALPTTAARAPN TATRDSDSDRQFDGSSDDENLLTAEPVIPVDGQGSAVFCRSGRNVGDGVGAPREGRKG RGKLKKKSAQQVQVSRFADVLAKLQGPVSDSAMGCRLAAVHSEPVASGIEGGTLLWPL KCEHAKRITHLAIVWDRFLLSGSSDGEVKVLALPSGRSIRTVHRGYTPVTGMTVDNAR CLLWIATADGCFHVHNMLTPDLQQLHAWRDLSTPRPLLVPMTTNRLLCQLYLLAAPGS KKKLFDSRSAGNKIKPKINLESRNINCKRKKTGQVAPTDQGAQSGLVEPEEAVQAPPN ATLACIQVDDGDDEALADIGSLRKLDVAHMKARCTIAKMESKEEVFRRVLRRPVTDDG MELRECLLKEQTRVVNALYGTRFHFSLRDSFRRWMAWSTRRVRRYQCMRRVRFLAATN QERLLGCYFRKWRRHRVSQRLVEQDVQIRSLESRSFSTTVERCAKPCGVALGGRACVA LASTQRRNLLHRYYRYWLHHVVGLRQALSRSVAFNRLFLSFDSKVCDSAFVFRRLATT NQCAQRQKRALQLLLLRMEAHYRQLQHRCMRRWFSFLQDQRESRQTYNLVETLTSVLV NGEALRMSAYFKWYRFALFETKLHRFDEERATLQREWIVVQNALESKETVANLKQEEV QLEVEIAQLAYERDEIDSQNVSLRADIVHIQMSKSLDCILAGYVDAEDKLKTVPRLLP EPPFHSRRLWTSSGERFSPVNEDDSSAEAALLSQLSAVLRALKATVLRCRRHCSLITT SHDRVLRIPIWDKPVETREWSSGVSHSSVGGDSSALHGTSGASLSDTRYSSERRRSIA SSTHTVAYLADEFGRTVGRLRLLIEEVARQAGADLEESTDQTLSAFLKHKNSIGMGSE SIRVINAECPSVEWLNFVPSTKRAEILPLLADLVTMYDSFKAHSDTEIETGGKTLSTR GALTSAPLPLRSLCRTQAAMWLVRHSAVILELMAPGTWEKHLNVWFLADSMKDSVALF NQNLDVGLATPKRTKKKESSAELKDTSSILSDISFQPSKAVVKEKRKKIPKTVASGKG TPRKASSVSSPITSQPSVESTPVRLWASPKAAIVQPQRKPAVSCSNLHSPVSRSVCGP LDGEFVVHAAQRSNSVPLRHHSAISSSRSFASAPLTRPYLGFRVAVGRDSSGCTTLSI QEVTQTYTGSNGGADLMGPAFAAGLRVGDQLVRFAGYTVTELAAFNTVVARHVRPSAS IPVVFSRDGVVMSATIVVGEKRRE XP_827982.1 MVVIHSGLVTPVLEIMSLVKPLPFDVDVLLDEHPDMTVYHRPPL RSRGSGSKYICNHHPVELLSYEVYLCDTEDEPVVDFEVDNQIVVRYQLNPYCAASEFP FPRVFGNTIYRNQRPYLRPAYVEVAHGSGGCCFNGSGSSYGINVCVAPTDIFAGFPRS NNGDDAVIYSTVPHIRKLLQRPFSPFMECGPLIADDVFFHWDTLGLLSLTDTCDLGMF TIEGVCGVSSHIM XP_827983.1 MVVIHSGLVTPVLEIMSLVKPLPFDVDVLLDEHPDMTVYHRPPL QSRGSGSKYICNHHPVELLSYEVYLCDTEDEPVVDFEVSNRIVVRYQLNPYCAAQLSH LRGFEPLVYPYESRYLRPAYVEVAHGSGGCCFNGSGSSYGINVCVAPTDIFAGFPRSN NGDDAVIYSTVPHIRKLLQRPFSPFMECGPLIADDVFVRWGSSGLLSLTDTCDLGMFT IEGVCGVSSHVM XP_827984.1 MVVIHSGLVTPVLEIMSLVKPLPFDVDVLLDEHPDMTVYHRPPL QSRGSGSKYICNHHPVELLSYEVYLCDTEDEPVVDFEVNNRIVVRYQLNPYCAAQLSH LRGFEPLVYPYESRYLRPAYVEVAHGSGGCCFNGSGSSYGINVCVAPTDIFAGFPRSN NGDDAVIYSTVPHIRKLLQRPFSPFMECGPLIADDVFFHWDTLGLLSLTDTCDLGMFT IEGVCGVSSHIM XP_827985.1 MVRMHGNGHGKAASALPYRRTPPTWLKSSSRDVIDAVCKLAKKG LSPSRIGMQLRDSMGIAQVKNVTGRKILRILKHKGMAPEIPEDLYCLIKRATQMRKHL ERNTKDKDTKFRLILVESRIHRLARYYRRVKQLPPTWKYESSTASAMVA XP_827986.1 MASKGCFIAVLIARTHDHVPLCSFTDENYANWNQIRQQEQRILE RMESPASVPDSAGTRGSYYQSFDHKDCIYFAFQDAGTGLTIITVLNKLLLRNSSDVTS TNHLACSLLDMIFSEFTQAYSTSEISARTVRPFQFIKFESTLEKLIHRVQRERQNDVV SDSHGGQRRQVNTQYDAIKQELTDVHFVMRKNLEDLMVRGEKLETMNQFSAQLVDESS RFYKKTARMNRMRLLKLYGPPAVVISILALFFYLYLF XP_827987.1 MSLREIELAQVQPREHLLHPCDVIQRSAFQRDNAERLHRIRTTL GWGAAADTALTETTLLSSRRLGALPSSFALYHHYRGTASELTPMDIYGQPEDDPNVQP SSRSVVEKLVYGHELTMKNMGM XP_827988.1 MFFCPFCGTLLLIEPAYPCNRFSCSSCRYVVPIQSRRPLTINHS FLKYNKVVDDDDEKGSSNTVKRGVKEEEEVDGGQVITVRCQNDEKQCDGDRAHYVQIQ MRSADEPATTFFKCLKCGFQWKQD XP_827989.1 MLAVKYLLKLYETPPENGHISAFDTYGNTVFAGTDSGVLMRFVV EGATSPEIVPTEGSLSGDARDRHISGGNSARSAEAGGVGEEQQAHEEMHADDFHAVSQ LEKIYTTLVHHVVVSETQRRVERIQHSRTHNILFVLCEHRLLVLNSITFEHIYTVSDY VGTFFVSDSRQTSSQRVGRHVICTTEPHGRELRVYEFDIAQNKHVRPMAPHKVMLHEQ AQTLVTYGNMVCVGMRRGGYRLLSLPDGNTCSVLPLSGDMQPLLAVGDGEVFMRYDHS IFSVSMRSMPSGRVLGRTIQLEDEVRHMIARHPFLFAFTESYCDVYSLYDDDVSERLP MSGCLFGSQLGGGDFLYAASATKIWMAGLHPLRHQLADLVERFKVEEAFHLLSTQRSR NSLDWQAIELELHVMVGFAYLHRCRPKEAMLHFNDHIDPRDLLLLLPECIPPGPDEYS SELRGLLKGDCVGETRTSSDGVDFASKDEDVVNVPVGGNNVEPLLAKCGPDIGCWDGG FWEEWSGCCPYNTYIGELEKAWLETFETFPTVPRSTDQADGVIHRQVMEWGCITAEGF LERSWEALKDELVVYFRSRLDQASPVHARPMEYALLVLALEARDHREAYQIVVKSSSL SVEDCYDLLCSLHEYRLLACLLYCRGYTQDADRLLRQRVCVSSLLPPWLPGRCNNSKQ SPAYMNVPAALHSQLTRLLTPLPKNECLVVADLSSPRGAHSPNDVDETHTINLPLSLS LPMYLVSHLNIPALQELLAEDPDAAVITDEEGCTLLHVLFSLFISVRDLTEGEAMTKG SALVGLVLSCAVLLLDHGADVAAPNVHGITCLDVLAIAAGGVFFDIVVSALLADRDVR KAAAFTNKDDNTLVNGFIPIA XP_827990.1 MSSLTSVQRRLLKYALEDKESKKLLKRKRAEDVAPALHQREKKR TCGHVCEADTTQDTEMTPLCPELLDFVRQKCPSAPRKLRKGQLHVINALSRGAVSGKD DDAINRCGARGAFSVKRLEMKDLPFAFSILGLAALQRRLLFDQKVKNGTSLLVLTEDE EDANSVALHLKETYRGVHVLVLDGSRFPSFPNIPNEEDNDGDATNNTFAERTVVLVSS LQAFLSSDGRSAIWKFVGAYVVILRELTNSTCVAMKGMKRMERQEFLKKLCEKRWLCL DHTPVAAVIAPARHALFTPLADALTISVPVAGEDAGEAKTVDKGEVRDSHDENQSKKK KGLPVDTLRDPVTVHYTVVEGQHRFHTLYALVMNARAGQGIVVHVATKEVCQFLYDVL HALGDLPPSLLLLTDYAGPSVHASVRDSDEDRQKVCDKFDDIVVNSDKSLAGSGKRDR VVLISSFGLVPQRGTVFVQYDIIVDIANFSQFVSDVLTPAAYANLAASQLPRLGGRAA PGVTSARRSRSVSPSKSVSPPRPTQVRAMYRHVLLLLRKNELQGALEHFNRSANRLHI TYKPMKQMPSATRFLLAVQKLQSLNKKQFAVQNAAYAAYRATMLLYSVISSSKEVYDE RNVDLKLVAQEFGYSDAPIVDLRTRDTAFRPKENIFRAACKRAARDRRLLLRPPAEEG SPEVSQPQREENQ XP_827991.1 MPPKRKSAQHQRDDDDDMSSQWVEGNSTPFQKYFNTKYSSEFMG SLPERIRQRAQVLLYYHEKCERIRKDFEEKETALRRKYDEIYAPLLDKRKEIITGAHL PTDEEVRKGLPSEHEGKVSVTTDADVGKGLPGFWLRVLKHHVLSASMIRDHDEPVLMH LIDVRSGVVDGGYGSIAVAFVFEPNPFFQEETLILKLVQGDDGATVTRTPITWKPGKD VTVRTEKVKKSGKRGQGGKVKTITVPQPSFFNTFKENGGSGAATAHDDDDEDDEDEDE WTEQLLQVLHTSIIPSAVHHYTGEAPDGASDIDTDYDDDDDDDDDDDDDDDDDDDDDI PPQRGRRQQGGAFGRGSGKQSQQQPQQQCKHQ XP_827992.1 MEGAARTVPAQFARLPPTEHALLLNRFKFLNLVQRIAAADVAEM IDTVGLEALTFKGTLEKLSLEGFPASGVSRSPHIMGTDTVHGFGSHMKDEIHGKGHLT GRVRGKGARERRFSSPKEEKEFINRLARPRNYRNQFGTDWRRYPQGTPTSEPAHVPGD PSAQSVWHRKKSDVSADLYDNRLRVSNDEDDLYGDNVVIESSDDRLQQHDGGAHNATW KSFRQPDQEGFRDDAIHGGERCNIGESAGGFADAPPSNTVEGISTLGAQKRENINAPS ILSKGAWTKNQPGAPGGQFVAFDAASAHTMNSARRNRASFAPKAKPKAAPDVQRVPME VIRQASLLLADKQVAEPLQQPPPPQIPPSGAQNPTQSNTLSAYGSNFRGSGSQVQVPS SGSLNSKKEVDSSCIVPKRVSDDTLAELTLCVEKMLQDHRKVLEGILKDERPAPDDPP AVVRRSEQGGRGGVADGGINMTREKTSVKERKPSPKSTHGVSGSTMGRINDLLEDISD EEDELLLVNRIQRKIGAMDRDIEAIEARGKQVHGSLGENDGDHEGIYDRETSSKNTNR RVAFADTISSSRRRGRRRGEMPRAIVERIRSFQKENHEYIQYTERLWNTSKVTEQVFA YRLTESLLDDALCEVISEVGGILDTYVEGLTHHELQ XP_827993.1 MAGGSTSPAVRAQQMMKTADLVNKFVISTAQFLNRFAAYCESKL LETNRSLQRLETLTLLLEAKIASVDDELKETQPQTVEGQTTVKALGDNVARLMIPDRQ VPPPMPGLSPEGGQRRLPPPPPSHQNRKGPPPPPGSAAPAVKAPPPPPVPLPPLMPGP PPPGALPVQPPPMPLMGGVPMRSHPRLAGYYQMLALRVPAEVIKEKMRSDGYQPEWLD TPDAVSPSALPSRKRDMYDSD XP_827994.1 MRRGSGFVHLNTPAAISYSPGQQVSLSDLRQKRRREECVVLPPI MTVWRSAFSQYTKMWGLTKFAGDIEAEREGEGPILPPIREETVVKSTTHSFKGKSTTV AAAVATPPGEKGIEIVSHHKLCGKQRFVYPDHDGVLSSGIVPKVVISKEEEEEMEANK KYYISPQEMTEEERNAFEELDAMWKSYARGRSEQGARHRAFAGTVTPGDAMTEVEEET GNNRGNARRRLESHTPRQHPQESAAEGNSVAHNTEEALDDALRLIDELLEFN XP_827995.1 MYRLMVPHKTAKWASASAPRAFRNAFRRCSTQEGEAAAASRTGK STVGDTSAGCQQTGPQRKDWRTGKEVVYVKGVPVKGPLRKLPRKEQILVCVIFSITGS AAVYFVRPVIRNCVTNGFLGLPEDSSWSNGPWLYRLLYVSIMYPSYSFLLFVIGSLFG RRVWFSFMIHKMWSRFLPKKAAKRLKHMLDLQHY XP_827996.1 MSDWKAAANVFGRGTAAGDAIYRCYARPVKESTLDPVLLEHFKK LRRQREEEEAQMYRPKPIPKSKAMINRPRPCAGPRLTAEEIARRRLAAIPRKKPESVI QRELSSFAPLPMRKFNRPPLNDNEKERLGQIFQFGEVPGKPKEFTGVIRSRYAALDKR YGLKEKFEALAKKVLELREELNKMQEERLSASPHHDVETTKGRGRGAFNLLRRNPLKV AGLQLREQDIQNEISNCLTEMKTLDAEIRRLNIRESKDHGVEIGGDSVEAIP XP_827997.1 MQSAGSDGEPAACTSAISALQLTNSSAAVLCGEKAPEMSITLLD EIVQFLSPLQLQGYISRIIDVCGTQRVEELILCVRCEKDVENLLGERSSLQQRQNMWK GLCKYKKLAAKRLQQEMRKNVPPKRTRVGVLNPTAGLTGGATTTTSSGIGAPPLRPKP LFDASIGFINRLAFAGYQDSGADLEKQDLPLNVDSFQKTSLELGNGVQDVVAPLQLSL QTLSSLGSSHISLSSPTSSGEKRYRHERVPLVSLCTAGKAGDDPEHGGAVYCGEMWSC SSEEDEGVGAAPTTTSSPERPDSHVKETMELCKAQLDQLRRTLNAAVEEAVSVYNNGL QILQACLKDAVGDVLPGISSIATDEIRVEVLAFTQTPRLRVELHPQEQLCALAPDDAM KATSSETAMKEAPNSPCHNQSCTGPPSVGERGALEEIIADDAPISLPVRAREPSCSST VVPSNAVGSFHPQVPNACGESNATRKSPQNERNHMFQEISCNEPGAVLENNATVECGE DSCRSVRVSTPTVVAVPSIRNTEATPTSGPGGVRCREAILISSASRTSGSSTALNHVG HVGVSTTPDGNGDCNVVEGAETSEPFDTSPLGEFGLHAIPRPADVRTVLFDSPQWHIV TRQGNEDYSQIIAGSQVIYVDDASSSVTAAVGPKDTTKQFGNVVDAHWNMNKCGVGSP EATTMGGTSLRQRSLSIVEDTMPIQELHDVEQETVCQRQNGLDSYLPLSESSNTTGVV ERSLEPYESTTAFELSVMENAGPSSHSQGISGRGSDTNAVEFLDGEHCGNAMAPTRFL TDILTPTTSPRPPGTWSAVETPEKDNSTQINMVEGLMGEDINALDYDTLKDLCGKLGL KVPGDYTFGDCDDESQFIGSAFSHQNDEICDSTGLSGDNGNSCPAHDAVNGAFAVCGG DAGNEETLQQPPHGQKGYTPQLSGLLTRDESENCGKRSAATPPFSMDAEDSEWYDAQR TAKEGQMREVLRLFITRHFFMQDVAAFFLHRLPRFSGGSAYKRLRVSDFLKTRFVLTQ GELEEERRVARVKELEEMNCCVLSSLAGDSAERVERQALNFLRNNTASLGDQQLDSSL IFDPNRSSSQVDNADQDELSLYEKLLLMEPTDVHTVTGLVQTDFPHVTRHRVETLMRE AGVPIICLQRVHNTQGPADGDVDVTQRPTTPTSPTGSSRTEVTQRNQFHNRKKKFFAQ RTLFTRARDR XP_827998.1 MASSTATADDELVPYHAFHNNDEKMFPMLTLYCPPVPPSMLVGR YKPPQKPPYKYLNDISTAGLREHMAQATIARMWRGLLTRRRLNNEAVHRYVRQVFATK IQCWWRFLIAGWRRDKLSKIKQQWVEEQKKNFLEKRLSGQAAMRTWQRRRFEDAVMKI QRVFRWYLSRRDLLFADEEAKSKVLPFPVDYKPKVYFPWRRRREEAVQKVGEKFETAE DSEGLTGGFQFRKTPKYPSPPTIEEVKEINDAMRLREARLAEILDQPEVHERRQWKID GLREDDFDHNAGMIQRFVKYRWDDAKRTTLKLTSEYFEKKARIIQRSFHLYKTFCRMR QSRRLNEKAAARLNTGYARERLSELEKELAWKKILLNNAALTIQKCWAFYKYKLTAHD PFYAAANEGEKQEVDVEVTVPTAPPYRLIDDHIQREAQLRNAACSRMAREMEEMVQAR KKTCGQRFKPKKLIIASEEMVYCRRCSDSEENPEM XP_827999.1 MKKSTVLDARPTGPLPRRPQQPSPVEVRNSSQVEKNNARGSWRK LSPLGRQQEEQGGLIHSQSQSLDTSFAPNTNTTLGSTDVNLNSGVRDRPSPRHSIMHR RETRPLLPSNPTDSGVYASEDCGSSSAGFPRFSSMGNIDKSRDNGQLPDGLCTGGSEV THSSAVHGEAITDELLRRLTRCSDLKSVSSAQFQLDFGTLRCVESISARMPQLNSLKL NNSRITELRVLGTNYANLRRLWISNCLVSSVSGVGACAPVLEELYASFNSISDIDALT EVSSTLQVVDLEGNDIRDTDMLKRTLPQLKKMKHLVLKGNPVASSETIVELSHSSEEK GTRQRKVSYSKLIGHLMPDLQYLDDAEISNTSLQKSARVQKKHHSAHVDPLEICIRDE YLFVQECIRECGFDALGASGADEMHGSCSRSNVSLISSRSHQLKQNRPSYDRNRPSVA VRSLRKNSQSTASSGDSTNQGCDGGKPRPSCQRWGPTQRTSRLFTGRVTSVGAPKARC RQLPPLKETPASPSTEGLGNEPGNDGQRQQESGAMMQLALKPVPPDANSTRGERKQQN TTSPICGLTAAKGNVYAFDVCDDDDDELEKSKESLMHRVRLGNSATSQQATFMGSGTA KSTNSELADSGLSFLLHRLSTCTHPSGADPPDQRNEQQQEQPTTAGATSRCLDDLETP GDGPLESLNGTPEKDWEWRRELMQSVVDIRKMTSEAALKERVQGSKEVDGGGLEKVES EDEEDVSPVVF XP_828000.1 MSCEGCGKLEPAMQCPTCKKLGLPPSYFCTQECFKENWSNHKLK HSNTSVANVPTMTDWAMKTFDFTGPLRPGKITPRRAVPSHIPRPDYADCAGGVSASEE KDRGSKVKVYNIQFLHDDSKKTAEIQRIKTVCQLSREVLDIATAAAKPGITTDELDRI VHEATVERNMYPSPLNYYGFPKSVCTSVNEVICHGIPDSRELEEGDILNIDVSSYLNG FHGDLNETVFIGRPDDDSVRLVHAAYECLCAGIGVVKPEALYKQVGDAIEACASQYQC SVVRTYTGHGVGHLFHTSPTVCHYANNKSLGMMRPGHVFTIEPMINLGTWQDVTWPDK WTSTTKDGRRSAQFEHTMVVTNGGVEIFTDWVDGVPTYQKQLKEWGIMLPQRKEVGSA TAA XP_828001.1 MQREVIRWLQSLDLTQQVRYPQQDLSNGFVFAEIASRYDRQVGM HCYVPGCSAESKRSNWKVLLADMKRIGFSAITKETAESIAQGKPGAAITLLNQLYEFF SGRPAPTSSDDFSKKAKALRRALEGPSTSSEGASAAYSKEENHSLPLLASETRIYERL RQPGFAQPTAAALLRVVNSGARSVCLAGAVPPDEVKTRRRNEDLLLEHEMLYKACKRT EPDRFEPKKRPHTDTVVRPKKQVDSLKTKQGVVPLNIGGSTVHLELPNDQVADLLRLR PTADGFHAVAGEQYTGNTTDMQVFNIFRASGTNLRLCLSKLLGIVLCTGKLSIEPLRL NDDVGAGDVFSAFVGQCNSLPLPTAGKCWEAVSDASEYIAQVLLDKPTEYFYLLRVMD FLFSSESMGIRMLHVPGASTAEHLGAAEELTSTSNCTRSEVSRSTSKKYSSYVTVLED PCFTKHSQQQSARAFNLAAAHGFLYNIGLAVNNLSPSLALSLIADYYLPAVSPSLPTA SSMALEGVCRLVVAHLCGDSSSLNAGDDDAPLSPYKDGGGEGRKEESCVSEEDEEERG NKRPFSDSRWEPSKQLAAFLTGPLKEAFLPGGVRDVTNSAQRRTQYGLFLYHTLRHVR STFGGVDLCRNESSVSVSASSAHRSRFHSLTGLGAAGVCASLASSSAKERAVGVATLL ELILWDRWDAAIKPLLKIISDTRQDGSFSKFVRPSAETWELRVLLLELFSVAFHRVLL TLADGTAVEGIQNNVEEGDDVSSTLPIVKQVDLHSLEEATVNCMKSFSAAPLMQRELV LQIIGRRLLPEKQRNVAAAWIDCLFGLPADQLEFLLGADPALHLRSWGNNQEMQPTPR GTVSSQVQGSFSSVRLVACQENRQLSLSGYAAGLSSDFNTSENPGTDVRVLLGRIESA YVVTPLNHTWNVFTVVQTVIIFKSRITTLQLFSVIHAALVSPQGYDRELQSLLMDLRV LGGNDGAASSENGVAAEEVLEVEAALCDKAMDSRCSSDISAVVPLKELIKCARVGPGA DQGKRGDARECDKELSCVMDSYQVPEVAAFSTSYSIKSCCLEEMQQAGEEITGEETDA YVELFWLSVLRRIKPQLEECTADEKSDHEHGSYSQQSQQNRRKRKDVDREATSWNMCR VSKGEDTKRLRRLANSILLNFFRRFGGTRSRSARPSSLDATSRARGWLSAM XP_828002.1 MPIERNGTESLVFETFHDNSVTAEGRPISSADPTLLEGEYFHHH LLDAKDEPMRPAARRGGSGHHGEGRLGVPVGDGAEHSIRYGISGENRDPHLEGGFSVE DVEIWNTLLTPMARVDMTLMSCFYVGHRTVSDLNGSESTTHNMEAMVKTFSPFYYRCS TSSLDEGASSEDKGKSMALVTLQRLASHMQSMQQALTEKGLMNKQCQVTSNDIIGAGG DASETSSHADERPPICRGAHSIHVDSACRLIGVIDVDVCAVSLADVIAFHARPLSQNE LVAVVKSVVTKVALLHNAGVVHGCLHAGNVLCGADRGHTSLAGPCGIMSNPLFPADPS FISPRLAAAMQSLVDLISKGIEGGKVEGAFSWVEDPSFHTGILECFGCIDGSSTQPST NDDVYAIGILTLTCALGVPPFCTAKLQEVVHILSKYYGSVTSSGDEKDVVSLLLGGEL FSCDYTLQRMQLAGYESNFVSTMLDFVGVCLRAGASKDAIPTVAAGDLLLHSFFTDYG PAAFVKSSMEGAGSVSSDEEADSAHIGRVLHCLFYPVSTALDCMKSDCGTTPFVPRLC RNSIFTARLNALQTSRLCGSKGPTSVATDNGTAVSWPYLDVKAPHWHTTVRNCTAEWR CELYATPSTLRHASCSDNSGCFASLKKVAKTKGMKVDAEARTLVWENRTGSRLILHRN DVQSGYLTATDTLILRNLQDCVVEVLLRFRYVVLQNVERCELLMGPCYFCYCDTVVDC PLVAVASAHLVTHDILRVNLRLGFCSPPSCRNSRSVWEEVQLAPYSIAYEGLSADYAA VPLPQEHIATVMLGIELESPELVKGTLELCNTLGRGQDYPYSHALAAFGNRFVHEDDG VSDVFLYPTEVRNKDIRIARVRGGSSSAMNDHERLFASDVTRLPLWRWRRDDTDKVIR RIATEDSTFGPTDSNAGERFPIIFILDIVGDCLVEDCSNCAVIIIGSTEAITFRRCAD MRLFVMGREVLLEECESMEVYVFATEMLVLTNCRDITFFPLAVRAPCIEDILGSIVES TVDETLREEFFVAFQQKDVITLNAITRHESDAGAIDLQACNNVQFDAACPLMFTVNFV PSDTEPSTLFFEAWQEMRDESEWVVIPLIEHARGTASTEGRGSDNALPPVRFHDLVNV SIPRPSETLSGREHSIGASSQPMLVEVVFERIAMGIIHVDDVVETLFIRKCTGPLEIV VCAATRVIMESCEHVTVHTACCSFIAVDCHACRIALHVNTKPQYVNCTDIQASTASLT SHDYEVFLGRAGVVPGANNFHEPVVHGGDASEISLLTPDAVSKIALVDSLEKAFAVLH SSITLVPPPPSVSLGQQDAPLMPDMERRARHEETARKPFDANIVDALIFLSDRQSAEC VDHTLEPSGTVEVEGVEEEQPLRNRPTPSSGHPHVDDSLMVRHVDPLAVDGTSGVKGS LLNGEEVNPASAVAEEDDDFSSHEGELANTNDCKDEVHNQSYTQSFDSTDEGRSDESS FAEQPREDVATASEGDETAAAAPRGGTDAMNSTTESASSGHKTEKEVAETRETVVSFV SATEEDVMFEGIGVERTSRASVASGESVYESGGPVKEFNVVVHPDEMELVSAAIRNAA KARADAQAAGASSATLMEGLHRHVEDTVSWLRRLR XP_828003.1 MLLDFVLKYVRGTHPADSEDASKEGSPSAASAYGGKDRKHHPPA EGHRSPPDENVSGNEQGRGIMTLLPKVPSAESVRDKPFYSNGSGHGERRELDGHEKES SVDGKHRQHRSHVLPSMDMDRAPSQFSASGSRQLEGPHGVNCAQVTEQSLEVHDPNDG VDRCHDRSGTGTAHDNNRLAADQIGCTATVAEELRAHRSTTPIKIVNAAAQNVEDDID DDNEVVGNALVKPMTPQSYSSLMFNSDFRKLNYKEDDIKRRIAVGEGRSLLILQNRGV SCDALSAIPALERHVSDARSCPARSVQSSECAGGVLTSSPRKEEPQRERNFHSEKRPP TADTDGQTICTCGLTVGSSPTEQFSCPGGAAEDSFNRKTCKIHGTNLGCRAHSSELIS EWVSECIPPEPVELINVNAGRPRVNVLKKGVINCCVAVRKSLDDAKMMLNNKYVIYFR WCLGVGSSSKVFLCYNLHEKAFYAMKVYNRGRLRRKGFGANCALNNVRREIDIMKKLR HPNILSLVEVIDDPFSRKIYLVLELAEKGAVMTLEGDGTVVPSVSGAALPEEEVARAI RSVVEALIYVHDLGIVHRDVKPQNILLDAEECVKLSDFGVSIMVDGCTSPVPREGTVA FLPPEMLASSEVRVALSDAASHKDTSIGSTNSHQVCSRMNDELPVKTLPEGEREEIGS LQTTDTSVRTQNDNASVSVGSECKQVASTGVASLSGSNNPGCEVSPSDCAGLPACDPT GTPVADFFKADVFALGVTTFVLLMGRLPWLARSSRSQMKAINAQPDPFEEPLRKAYGC PSGEGLKGPTLCNRSLHGSEPPESSAIFLEGTTERTASQQMFSSSSCGRFLASNDADT LDHHVSRSSTKPDCTDWCNSGMSRVSREDEVGAQLLASSPTQCAIGGEWKDSKLLQSS EVGGSPRAHEEADGAQRSPYGHTNTSMRVCCGCRSSSNTATVPTTSFGSLSEAGKAPV SRENKPEFSAVSCFSQPNAAGEATPFRRTHSPSKFPLGRRSTSVFVSNNSSLHSWRIQ EGHQPHAGDAVLNEDLEGSLTSDANFPLSAGREFTFPLCQHCATCNNTNNGPYTESGV GDTMSGEQTSGSKMEVPERRGLPETDTHKCISADAIEFVRSCLRINPMERSTMKELYE MPWLQKGR XP_828004.1 MYPKNKPLPPEAAGRAAELSNRNPPPVIVESNSSSRTRAFASSV PPAPPMPVAPCGEINGTSLIRHTPGNDLLHQHASFNQTVPGNIMNAQRLSPVGSGSFQ MSNNSLSSSVPHGPGVMGHPIKPMFPPSVRPPPPYAAVSPNSSGIIEERMLDTFGGTR SSHSMTWGRLNQTPPPPPPPPPPPFMAELPPYPEAAAAAVPPPHRWAHGNDTLGGPKV KINGESAAHTSQSDKNGEVPSTEVEGRKSESDVCGEGSELLLQQYRSDVRFLTKLVVA LLERMHPANSCISGTKTEDVQKDGSDNSTAEDSSAGEGTSSALKKQLRELENVITQLQ AREEAAMSPNTQRLFDRVLSIPQLTALASRVGGASGGALMPHRSVSSFGGSDDVLSLR VSSEVKAYMRAVDFETTRPLMLNVVVATDSAASSRTPSRTTSLQGVSAPCAKEETTTP L XP_828005.1 MKRLASFGLITLVGPLLFATMCASAAMAGAGSAAFPRRLKFGDV PVAPSPIEPMSSESRQYLIGIFSALFVSVAVILAVRALMMVDYSNDTLLMVEMPEPVA NM XP_828006.1 MTRMRVDAKLLLKSEDDFRRLLELLRPFHLRTWKYEDFYFDTPN FLLMAKDVQLRLRVPFKSSDGKDEKLAGAQGSNMLCHVSLTLKTNSSVDVGGQTAGIF EMFPFTPKDVDDMLQEDSLVSVLKNRSSDEPAKTVLEYLTRASEEYGEELVLTRFASF ETTRRQYKFVPHLGFGSAHSSDKDVASEKNKSDPPRLYVDEVPMGDFKSYEVEVQGVT DPLADVCQDLMDYLNEKGIEFTHSLSGKLNRFMTRTLELEEMKEESQCVRLRIKGNKG YEEVCRWQNEENEISLDPIPKRGAPPVDNDKPTFGVTQMASSLLGLGPLTSQASRMTH SQSVRLKRIREGNHGDEEYFENYFFDDRPNGTLAAKKYTLRLRCCNPPTAFSLELRKE KWSAGGVKGYERRRAYISGDVARLMLRDPNKFLNSLSSQSSLGHLLRRDMGLQKLTIV GYCKTHRITYNGKIIERRMVEDSQRTRDGNAGKVAARPPGVEFTLFHGPSSAGCRGEF SIQLNRIMVDTGSDPVKVAKRASEERCCSIFNPRGCSPFPREPSETESYEVKLAGLPE GLAATAEDWLVSQLHQRQVQWEVVMSAGMGQYHPSLAAA XP_828007.1 MAQMKKITPRPVRPKSVASRPIQSVARAPVKKVENTPPQKRHHR WRPGTVALREIRRLQSSTDFLIQRAPFRRFLREVVSNLKDSYRMSAACVDAIQEATET YITSVFMDANLCTLHANRVTLFPKDIQLALKLRGERN XP_828008.1 MVKVYNLQRRTETLPTVRRTAPNAEELEYQSHLSRPAGAGDGEP TRRVETITFDMDNVSPPVANMFRRLMLTEVPVFAFDRILIEHNDGVVPDELLAHRIGL VPLAGPVSSMQYITDSASVGFDNLDPERVLLFELDVTAKRDVPTTSVYSGHLKWQPIA KQEELAARSEDDRVFLVHPDIVLTRLGPGQRLKLRAIAIKGIGAVHAKWTPVAACFYE MKQAKAGAEDSDGRASGRGRSRSGRGRVDANEEESNATRPRSASGNHSHEPHRETVNE VIAKRDTSSVRFTVESIGQLYAVDVFRQALILFAERIRDLAVRIRSTEPRMTGAAATA FTE XP_828009.1 MTKGKGRNPGMGNLDKHVKRKVHKERSQPAARKHLGPLEKHKDH VVRSRRRKAKVQRLLELKRAAAQRNPDEFHINMTKTVLDVESGKMRRRRLSKEDNRKK MEKTLRHNTRNLHYLKYKAHADWSRAKELIEEDALGALTAAPPKNKHIIFAEDEDEYH HFNPLKQLDATPEMLKQHPAVRGRLSVLRETVLPEEILLSGYRMLSTAQKRKERREIQ RKLQKSGLKSEEERAEFVKRLHAKKEVKMHRFSSLVANALESTDAEAEGEDADDPCDV DRLLEYKKIKEKEEALLAASRVKEIQQRVHRSKKLDTLARAIKKQNDGIRCSLENKRN ARFKPNSKRRAR XP_828010.1 MCDRLNTMSIISPRSAAPSSGRRLSASRRGQDREYRRTNSSRSV LSQRQKLSDSIHGTGNAMDVYVRVRPFSERELSMNAPQHSTVRIEVDNPCVLTLLDPQ KDFKPRQSYSFTRCFWSVLESDSADCGNAGDILSAIGTYIDRRVASPRSNRQRQATGN SSRSHSARGKGSGDFSGFVETSGSNAALLTVNTVPHPPYSSQADVYNEVGRPLLENSL LGYNGCIFAYGQTGSGKTFTMLGYTPKASDFRRSSRRQQISIDEADGEHLFNSSSPRR TATPLTSNRLRRTSWKSNMVSPRDTRSLSGTFRVEAEQSGAGDDNKPSVDPNELQGII PRITRDLFQGLHEVRHREASHSFRVELEFYEIYNEKVYDLINPQKDADLKIRQNPLTG PYVEGLSSLVVVNEVQVAEVINRGSVDRHTSCTRMNDRSSRSHAIITINILQLSLDGK NSSCQKRSKLNLVDLAGSERIGATGVEGLHFKESTKINLSLTTLGRVIDCLAELSQSK VPSVTAPYRDSNLTWLLMDSLGGNSKTSMVATISPHCSNFEEMRQTIRYASRARQIVN VAVVNEDPHVRQINMLTNEVENLKKVIRENGMNEFTRDYVIDLRQRYSDLEKRCVEQQ LSLVQLRAEIEENTTYNRQDEPTPVSGRATRVFRADLNGRRTRNNVNGDEADALTVSP RVPESRRSAAAAWPTPPKSSPCNNTSRNSNAEVTAELRTQIKRLKDELNTVRVDSCLQ QYHVKEFALSYTTAVSNAICDTVIKKNKEFLDQATLLFGTRAGRRDPHLRPLSRTPRR DVDDSKKVPDCRSWRPADKAENDSRCVTPSRAGKAKRGDPDAALAIECAKYQTELQRV RDELGSAIVESQRTSEVLRRLQYEREDLKRKNEEISSKYEEKDREVRQLKRLLESGAR SREEGEGGVGSATDWKKQADEELNCLRAALQKICEEHSRVEQQHQAEIHSLISQQDRL FNISSSLLANWESRSAALDSSFAQLRALLHNKEYQSQHQRLRDSMLERRNYSAEVAAV DERKRRDTQRLREIMEQLKQNQKDSKASIQKFEEDVRQHFSGVRTAREGNGGESSFAN PHSSRPR XP_828011.1 MVRLDPSASTASSMTTTTATSALDVSLTEQDTSRSNGGAQDSAM RVYVRIRPFTASELLENGERPNRTIALDARDGHIKIMDPSRNFTTRLTYVFERCFDSA EAGPGADQEEVYTHVGRTVLNNTVDGYNGCILAYGQTGTGKTYTMLGPRDVVTSGRLY REELAQQSSSFSSITKLKLCSPSPSCSGQMKGIDESAFLELSLGGDSFSTTEEGIIPR FARDLFNSLRQKQREDSSYSYRVEMEFYEIYNEKVFDLISGGHSTNDLRVRHQTVRGV FVEGLDRKPIAAEEDLLFWMYRGSIERHTASTKMNDRSSRSHAILSIHVVQMTLDENN NTNRVSSKLNLVDLAGSERIGASGVEGLHFKESTKINLSLTALGRVIDCLADASTGKP SAFCPYRESNLTWLLMDSLGGNSKTSMVATVSPCVEHYEVTCQTLRYASRAKQIVNVA VVNEDPQVRQIKKLTAEVSRLKQLLNNQSICDYSNEEVERLRQRVVQLQQEAVERDFM LETFREELNDKTSLMNHHRNGSVTLCKHNANGKQHQQGGETMTKDEVNSPHLMQRTKG KESTGGAATGDCGGKQSSRSVNPYLNARTLQQLKYLRIEADQDYGTLIATLARCAFDA TMNYECTLQKNIMMINSHSHALRENVQKMESKEWLVLASIGDRLLSEFAKACGKHAST PRHHETVKPKGLKTPEVEVQDKDRDKARMEINRLRERLYDAMVENDGLKERCEALEKE AHNFSKMERTLKQKLATLQAELDGAGPKVIAWATNTMKAEPGLTPRTAVNTTRENHDC PKEGRRMSPLLPSARCVQARKVKHSPRQKEVGTTRRVAEKNGTEAQLENSVCSLSVPV LNLRQISAAAFLRSAIDSHHDALLDLLMDKFRLVGNLYEQYAKSFLGKNPLTGSNGKS ECPEKELTLAEERLPSKKVPKRSVEVQKKSKVASDMISSRGRGRGGVLTGDDALCRES TVTLHSPRGAENAKGLQRRCHQKAQATTVRKTSRRTLGSSSDTFLPDCEEEVTFLKEQ FTRRESGIKKEHQSVVRELQNNLAEAVKRAAQEYKQLLDQSSNEKKQLNEQLAKYGSI AAAAEKTKESVSEQTRLFREQCSGRTAIEIEQMEVWASTVASSVASIYRLRKEQESVA AAKERQQLINQAACDKAELTCSLRHRTEVLLEWVSGREVLLCEEERTRAHLVLRFREQ GIASGCCAELSTMLVESHKLLTEHCVVEHQVFIEGVTPICNAFLGASNALAKEKSHVM QLQGQVRSAVMGQCRQQLLQCREDEISAREAILASQDSEAAVIKDALRRIGGAIVINQ KFVTDLRNRLNTAQTEQHRHQLRGCLEYEANSRNTILAAQKDGVATIRFAFEQAHLAL EQKQYQTTRLNAELDCSKNNNHLQGVRVCLDSETDARDHLVAYQQGEWQVLELCFNTG RKMLMDNARYLCGLLDTANTSLAAAVVRAPKSALGAVFLFEQHERFVLEELNAHTTCL QDAHRELSVLLSTSQVQRECDVTLTALENYYSEELARTEKENKELKANIQILRENLDF QASLDQLQAEIEGDGPAGLGSGGGSEGDPLSLTQHREGLGISGLISNFFTRVGYVRKD GLPRDFSPRMMMSHTSSEEDFGAMSDAAARFGYTPVKDGRNRRNSMQSIVGSALFPPN HDSTPLRDDDASIQ XP_828012.1 MVSVAVIGAAGGIGQSLSLLLLRQLPYGSTLSLYDVAGAPGVAA DLSHVDRAGVTVKYAAGKLPPVKRDPALAELARGVDVFVIVAGVPRKPGMSRDDLFNV NAGIIMDLVLTCGSSSPQACFCVVTNPVNSTVPIAAEALKKLGIYNKNKLLGVTLLDG LRATRFINNARHPLAVASVPVVGGHSDVTIVPLFSQLPGPLPEEGELTQIRKRVQVAG TEVVKAKAGRGSATLSMAEAGARFAMFVVNAITGQSSPMVYAYVDTDGTQNCSFLAIP VVLGKNGIEKRLPIGPMNAVEKEMLKQSISVVKANIEKGMNFARSKL XP_828013.1 MPSPIVLTRSAPARALTIKMFDRQLKGLQRCLVDAPSCDLHKLC ADQMLDRRGFVKRDTPVVLEVGAHTGWFFRHMLEKQQLFGLKQYIQTDVCEERLNRNY EEIKHLIPPDVEFVQICCDEEEPSPFGIPERTVDMVVSCLSMHWVNDLETAMVNIRKV LKKDGFLMHSMFGGNTLYELRGCFSMAQTEILGGVSSHISPMIDGAGLSTLVLQAGFN LPSIDVDRHLLLYKTPFHLMEHLSSMGESACHYMRRPLSRDVLLAACAVYDVMYKKNE LIPATFEVFHTIAWSPSPTQAKPLERGSGQVPLATWNSKNKKRLQDVLDEFAQNPDDE KLQAKAEELFQQLREESAAMLEKKGLDVRGLDGNRDEEARELEKQKPDPPFQKKES XP_828014.1 MKKNNSKGNSSANKASAGDVSQVQFWNYLKKGDDEGLEKTLLQR VENSETGEVTVVVNSAVANQLVNSPNAKKILPLSYAISQGMSERGLHALLLAGAKIDA MDGTSERATALHAACWGENDSAVMLLLRCGANPLAVDSQGRTPLHVLASLNAISLFSL VLETVTKFGVDQNTCRGDDTEQRLEGHLSLEGHSPVIVPAERLLDSRDKAGLTVLHTA VSDISSGSDGVISKLLSYLEEMAKTSADKVSRLVNMTTDSESTALHLILSWPNCDEGV MMHTVERLLKLGASASAVDKYGQTAVTVAVTTHVGITVANVVRSLLRSVEEGEDNEAR LKNVFMQCDNEKGYALIHHAVAANNIEVVKVLVNFLGEFDGASGSQYIRHWLGGLLTD NNETVVQLIVEYGCDEIADLLIGFQAINKIDYEKYKEEHEKIREDESVGNLEDGDIDS NEEGGHEIGDEVGLRGRQFAGRSTAAGASSGGSRIQLARRARARAQAQSAAQRKKDSP RQDSEVADRQRNAAPLWVMLCAVLIFIASAALVGFTFRNTIVSLFG XP_828015.1 MKNALEQLDRHPLVNFQMDVSPLYSALKNIMQEQIQQAQLQEKI SQRLTNLEAEVRSVGVRQKEFEDSLDALTPDAKLQTQQTLKELSTNIKNLTSDMKKVR YDVGEAMRTAVDAKRGIHDLESELLSIRQQQRDFSDLHQEVMREHLKFTAAVKDIRWK IEEQEKLIQEFSQVKPQNTSEVDESKLHGMLSALCERTDQNFRSVEESSFAVDAELTR LRADMKFVQNEVRMLRSELHRGGNHLRRDVGCRRQSPHGGSQGADKSALRNEEHLNGY ESASTQGAL XP_828016.1 MYVGPWEEYRLMKAMEELCWLRNHVQADSMVLPLPCDPVSTPGS SHHLRPSLETAGGSSPARRRLADSANGNLPGCGNSSDKVRSPSRGSENRVGGGLPVAY GGNSVLMKTGTNQLNAVPGHSIFKTLGEFRTSSSPMCERLVIEDPGTARGHAQPKCCV DSGRQPLDARQSVGDNCVPSTTFEQTVHVELGTGVILRKLRKKKTVSPSPLTSSEFSL LDSVEGITTQKRLNMLRELGLAPPLDTRSKYVLAKQKLPPSSSSNKEKEKAKIEEIQE QIERRLRLQMLYSAGRDSASPFPYGSAEAALENSTGSASVECRELGAAQTTPHVPWDL PNVVSISSLAQGTTSTPQFVASTENRLHQAAGVNAEPQRLPLLTHPGVANMPASSLPL REGSERVVSTGFTAEMCPQTKNIEVRRQSSVRTPPLLGAGMHNPSVSGGMQPQSLDTP AVTRQVEAEQFDDDMVGDLINWAEQLDPDSIV XP_828017.1 MSSWESCEDGNLVRTGEIVLFVQNETRYHEGDGNLNYDEGKLTL STDNIFFQPKGAGPIFLRIPLGNIDSPSGGPTVVAQSDGRSPKLHIPLTESGQKAVFS FKVGNIKEFCETLRDVLQQKLLLLRHSEMLTEPLPSITGVVQGSSKATRDDSSAAPAN ETLLAFTDKAGIAGLMRASAEKTAQGETLRDIDDVMRNASSLVASIRNLQQKQQNIAG TTKAEDNMTIESIEATLGLGATVRASGAGWGLVSAHAGFHKELAWEIHSWITHEKNHH VFGSMPLIPLIELFSLYNKARGECDLVSTNDVLHACRALDQQEYAQYTLKRLSSGRYA LQRKDPSIVLKKLEHILGPRLCNEKESGKFTTSADSSPIHNGRDGGVTQPVTQTAVFP ESSSMLKGVNEVTFASVLHVTCSVSMDLLEELELQGYLCRSGGEFGCFTFHWNIFVF XP_828018.1 MRVDVEAVFEKIRPALEVAEVGAWSKTDITTFMSLVSGFCDIQR QQLRARRGKRRDGHDCEQNVDKEGGCEDGCGVRGEDGDKEDEASLEADSVVVLEIRRS LTKLRHYLQKRIPYGSISEPVVPPAPTSPQEGENSCGGRGAYKALQSSKPVEPPVCAV DAFLYLEEDIDALADQGHISREYCRSCGSVDIGLCQFITHSFSLEQLIFLSCFLLPSF GDTSYCLTSDNVWRPRHKNCHTVAAAFSCEHVVEVGSRLGIVPMSCYFASEQQRLLNT RRVTAIELDKELVALQQEVFQKFARGPTAAHLNLVHSDCFEGEGLEALRSADIIILHN IFEYFTASAEEHLRSWKRLRAAVVRSGQLLVCCPPLQETLAAFSEDCVRKVFINEQDK VDFVHVHQSNSNAGRISRKRCRQPPQEHSFTLDQWWRSWVKEIDVEHIRRSFLTAQRF CEEDHPCDSSYGDADDELVKELKDMRVYMVQ XP_828019.1 MAIWACIARSGLVVSKIIACSGLSYFISHFFSWTEKSVGGFADV SLMIFLPSLTFVSITKFENAERAYMFLWAAIFACVPRILALASATLLRCAYPTRWHGL VMLSCVLQNSFTFGLGTLFMLKGIPWFTNEVGEEAIAFFLCYSTVNFLVCWLTAELIV RPYAKAPVAVLSACAQEKAEGCEREMSCREEENRCGIVKDTATSDNRLRTTRETGATP PLYAPKGEPTRVSANEAGEQCAPTSGGGSDDVIHNSASSSCFSVKTLKLVLGVIRKPL IVTTVIAIIVSMTPIRRVLHVPVLGTTLVGGMKLVAYGTLPLHFLLLGYEAGRTWKVH ATTASERADAAQGDSRDGHGEVSGWETSAGRRDSDDTQIKTFVLAFALAFNAHVVVPL LCFLIILAFKTYDLIPTSKSFLLAIFVGSCAPSAIDPFLICSNNALLPLAYSKIMHVM VLSGGLTTFAWLSVYLCVLEE XP_828020.1 MSARRLALITGITGQDGSYLAELLLKKGYDVHGIVRRSSSLNTG RIDHLVGNAHLHLHYGDMTDGAGLHQIVSRVRPHEVYNLAAQSHVKISFETPVYTGET DALGTAKILEAIRSTGLEKTCRFYQASSSELYGNVQEAPQTERTPFYPRSPYAVAKLY SHWITVNYRESYDMFASNGILFNHESPRRGEAFVTKKIVRAAVRITRGMQKELFLGNV NAVRDWGHAKDYVHGMWLILQADKPDDWVLATGKQHSVKEFCNLAFQRLGVNLAWAGS GLDEIAYDRGCALRTPIVRIDSRLFRPAEVETLVGNPEKAARELGWKITYSFEQLVED MVEAELREMDGNKGCERLAGKCITAETS XP_828021.1 MHGVEVSAENQLRQVKSDIALLNHYLSEAEKGHEMPCEELNERI ERTQRILRSLSDGRVDYQLDSVSGGVGAPSLLQGTVPHTRVVGKQGVSNLQRRTAQQL LSELSLIESSLQRLNHKAEKHNMYLSEVDQLMGSLRNNGTHDDVSALQHAERERASLQ YARARVQAMINESNSVMKALQDQGRSLESTNSRVADILESLGVSNSTTLQILRRNKVD AWLVYGGIALTLLFIYLIW XP_828022.1 MIRGRIFDGRGFIGFADRHLLVPLPFAAITFTHQIIQSEALWSK NRKTLWQVNTQSAGLNILLWSILTVAWTAVSRRHGPRWSRSYRLLLWDYRRTRRCCAN RYLPTAFGRISADLDWYNVLWTVSVYHVLWGMFAVMVERGFGAHYAMFYRDWPYSRWC SPRWREWRELEAMKYINTEQVVAPSRWGSFITNDRWRSSSV XP_828023.1 MSDVSKFVRQLERLVETGAEPSKILPAIDKILFVGSKNTFALRC KVVCLLHQDKHAAALATLEQLAATDPSLGNKSQEYAFHKAYCHYRLFDDRQAQMVLSR APHTANHVPSQHLLAQAHYRLEEFEEAANIYEELLKNERFRDEQEKAELLTNYTAACT AMDVQRTQAIVRSADVKNADMLYNVATAQLEVQDYAAATQTLKQAEMVCARAHPESQL RSFEDVCSKVDDELRALLDAKGSPERAFFDDVANIWVQMAFVHHAIHHEEKAAALLTF VLKYRPPSEVTLAVASINWAAIQRHKDFFDTYRKLKSAQNPAVNNRLTSRQRLLVHYN IAMLLLNTGNFTRFKRQVELVASDYPDADLTHALKLALAVGETKKKKQSGDKTVSEYL DNYKKSVAAQQQQQQRKPAVGRMLPLIAAQIFLDNSDLERAIESLSSAADDIQRRPCT LMTLFTWKVQLGDISGGKQLLKEYAGAAMKNVDVVKTITLWAVRFLSARGLYADGVDV IQDAQRVAAALQQDREVLALMALCLSYYDMQAARSCIAGIPDADNKTGAPSGKITSSF IKELEAQQPSRQRIESFGYRRVVEDDEEGDGGPKAKRAGRRARPMRRPPKNAESRIDP ERWIPMSHRSYIKDLPERRKRELKRLRAIEQEQKRRLAEKRKVATATADPSS XP_828024.1 MAKPKYLKKKQEAAAAAAAAEQQKKEQDGDPSVAKGAGAPDEEP KSSGAMVAFANPVFRDGVSDILVEKIDISYQGVHILENATLNLVAGHRYGLVGPNGCG KSTLLKVLGCHEIPFPKHVDRYFVSHEVEASDMSAIDAVVSVDKEKELLEKEIEELAL ADQEDPVVTQRMDDIYKRLDELDADTALARAGKILFGLGFTPEMQLRPTKSFSGGWRM RISLAQALFINPTVLLLDEPTNHLDIEAVVWLENYLSKFKKILFMVSHSQDFMNSVCT KVAHMARGKLDYYDGNYDQYCITRAEKESNQMRRFQWEQNQIKSMKEYIARFGHGSAK LARQAQSKEKTLARMTRGGLTENVAKDRQVNFWFPCAGPLPPPMLQFREVSFAYPGRD PLFQDLELGVDMESRICLVGPNGAGKTTLTKLMCRELEPTTGYVAKNAHCIIARFHQH FVDQIDLSLSPLEWMGQEYPTVTDPNILRSALGRFGVSGKLQMTPMNTLSDGQKSRVV FSWMAFKTPHLMILDEPTNHLDIESIDALADAVNSFEGAVVVVSHDLRLIAQIAEEIW IVDQGKCRKFDGDIADYKEHVQREVNRMTEDYANRK XP_828025.1 MQSVRFSEVVERALAESFPSADTFDRPDFDPVNYINKAFPDAAS LSELPAFVESSEARLRETECSLVRSVEAQAANAVTADKDLRNAKAAVAALYERVSDIK LKAAKSEDTVHELCHQIRQLDTAKTNLTAGINLLRSLQLWMLQLQTLSTAFERGKFIQ CRDALAEVQKHSVTFSSLKNIPKVKQLFDKQAVLCEKLDYCIRYKVFGSLNVESLDEK QLSEASAVIDLLGNNSIRAIRESFISTMLESYTQRFQPGTESAQLERTERRYVYIRTL LEQNESLFRNAFPLRWCVPQELCLTFCLRTKADLDQLLSEASGNVDVVVLTYVLQKTI DVERDLTHMMAWKGDFPGKEALPQYRYNGIILSSFKDHMKLFVDNEDRLMGEALSQPI LIEPENSVKGGGGDNEGSTAKNEPVYGWGEESGSSVGLTIPLSADLFLFIRESLKRAL RISQQDVLLDMAAVWRKYLLHFAESVGSLIPNPACTRQDVRHACIIANTMELCQSTSK GLGDEVCTRGEVPARVMGFEQVSETFSALYSKAIVSIVKGIEANMTPLIIQYGNERLS NNIEDHLDVHDESPHIRSMTASLHDMMEVCAVLLPQTNLRFLLDKLAATVVPLYTEIF YRSKCLLSGTAVGLMRVDSSALERTFVQLPNYNDPERFEPSKVSGYLKLVRREFDRFN RTLNVLQVDPTMDAFVDVYYEAMLPEDRSIQNFVRLVEMKGRRREDIPAWIAALSKRG VVEVTRRDGQREVARAATINTSEQPNSGKGSKIFGNLTNVVGRNAQRSASPNPPRTSA AKKTQLEKGFGERLAEAASSMKFLSNFKKDSNDNSAN XP_828026.1 MLTALHVTLPRGQARHDQRCPNRCIVANENTGEVSLIPVVHPSE REPLSRGPVDDTDDEGDEVQFCFGHISISPHHSSIFAKQLAPLLQELWRCFLCYRWGC ANSAAKEKDIRKSHSGCPRSSNPARVSEKGRPWCRVCSNELYSLTRSVFVYGSQSTTD RRSLFIHLAKATGQALVQYVDFASPFCCGDRCSVSFSLVDLHHRWGVRDVLNIDDVRQ GYSGPHRTRQSQTQGRLWVPPKREGLSNGENVSQRILCAIKQAEAPRSLCTFAEREDH TLRSTLVLTFFISRHSHGAVELTADGATLWGHKHCHRERRREGSVEEARINIILLPDS VAAARGRRDAEESRSLARVLQACGSWRKKVEEGEDPASAGAVHGREPGRVGSVSGDRF GGEVQPPHSEVTSVSLCASPLLSHLFYTTAHYICHVGRGLYSSDLHNPEAKRVGAGNC AGCIGGAERHSPGVSPHCSSCNPSLFGSCGSSIAVDCVDASLGKSIVVCCVNSGLDHR PQVRQALFFVHRVTAGGKSQGATPCERNSFENSGSISEVRQYFQKKKVERENVFSCEH GMRQSPERRSAPIPQPNDTTKPVCTELGERPTDFAASPGDAGAPSDRICSKSKHCCEN HVELGSPSSEPPQTTHTATGMHRLHKRTVFGGYDGYQTYNFPHVNHDQSDKDCSSHGF LFDVDKNMSAHSRVVEEAASKGNQLSGLSRGCTRFCTPGDAHSPLTPHARHMPGESST YNCSAARAALLGEGHTEEEEEKKRPCGNVAQLPRSQVSASEGLNISGGLVGWAKGSHE HCKGPASAMKRTDELAHAYWDLIEAEVETHDSIDHYVRHHVVAPPRTSSSPEPQREVP LWKEGRVEEDRKSLGMPETLLLRKKNVDKSTTTAATSTEQRVEKMINKLEVQLLLLGY RLFLSGLSEDADPVYVAESRDLTRDEGSAHASNHTGVHLPKHIFDTLASNLAQQPGTL GKSESYRVAGKTTFEQSLCWKCLAHRHTRKDDAYRRFLDVLQALCGRITREGWLDRTS PFAEEEQEERMGTGELSRNLPFSVSSMEQRESSLLLQASKLTTALHQRRTHKQQVIHD DACMQIAELQEELRREREQRRKLQEELAELRFRTSKYKAKLSFIDSTLKPMVTNGIKE LEAMISERKRMRRSSREGGRSGDGIEP XP_828027.1 MTPCFHSPFLHSRYQFVGVGVLFICTCLFAGFTALLNQYHPLKA AREHPSGFVRWQCKGDWKRMSVHRGLRSFFRTHAKGKYVHVRVAVCACGFFIDASSRP NRGDTYQRLHTIKLHLLLMYPHVQPQPLFFSDFPSCLQHAH XP_828028.1 MNGRYARQSGCFRGKRPREITTQKLFNRAIEHFQSIYNDSVERH ERETFASRAVFEKQCGVDREHFNRAHGSLAKHGKGKDFALVLEQFENEEAKLQREHEE ELQRVYPLAFLELDTAAVLSLTLIPRLKSKLDLLERQLTQRRKFTNQHQGDAAARGSH SRDVDPPVDAKESDDKNGADGVPSELNDAKTGIEEDDVGTLCVGRGAYITGDEPSEVE ESDSSALVQVLDTSVEDGKVGTGTGGGFRNHVHRLVSRGLGNILSIPTDVMRAGAADF ARIGLLLNTESRRQRRDERRKFLRSHMEVCGPEGKTIGKWELKRLLQEGKEDKLKQEE IFAALEAAKTMPEFTGSDMNANIVESMENDGAPRKTAGASTASRETGDTTASETVVKR HTKIVLRGNGSRRDDGVPFTRKDFEWTSLGLDDESIGEGSRMEKLAESYMWPKRTEDR IPHILCGEVIPTYFRVRQYQLDTSSPTTATVQMSATGEDGCPSTLLDDLAEATQLDPV REGMSNGEKGVVTKKSPLVFRIPFRAKSVWLKVDTLGDFIASQVKFFGAVTSREI XP_828029.1 MAGTTAIVKDSAMSRELQQDCIDCAAHALHVMGLNEQTAMAQFI TRELNSKYGSRFHCVVGRSFGSYVGHDSQYFIYFLIGDCAFLIWRTVDTFEERVFYAA VDDIAVGNKYYSCEKNTCVDEKLGQTSM XP_828030.1 MYGVECFRPLGLAAFMLAVLSVVRVATSEDDPNKLTPQERIDRY RKRVARSFLLKMAEEPGAMTLPSGVVVHVLNRGGGGRSAAVDDECTVHYTGTLKDGTV FDSSRDRGQPFKLKLGQVIVGWQEVLQLMRPGDRWKVFIPPEHGYGARGAGPKIPPHS ALVFDMELISIEGGGNGRTEKEVEEVLKGYAGKGDL XP_828031.1 MNNGDNSSVGSISAPPRQRHKFGTRTAEGIAAPVAAGGIITRGG NFQKPTPSSDDSAASTEDLSLLLWCSVGVFLLLYVISGRFHNLYITPEDNDKAEQNFL QYLSERWSREGDAIITKASNDASFTQHGDGRIYFRVMNQTFPIKESTPPRVVSKVSLP EVSSDNRWRGDLKNATSEAAMEWRNLTQTMQCAGIDGPLIFHLVAFLSSGVRFVSTYV PPGKPEIRSVGAHIPCLNAILPLMCKGDKWEIICPPEMAFGSHGFQEVPPSATTIWQV FMLDVTKSGPRTRAHVQKLLAAATRRHSGEAPITRRELYERAMRARGKLLGNGEGTL XP_828032.1 MDPSRALQEGSEVSALNSTDNFQFPAQALTECTECNGDVAASSF ARLSTDGEGGRASEKEGDSPDQMDNDNSEGGEFDIFEGVAPGVSKCSTPQTEGTYADR QPPQLSNALERETSQEINRIENKVDAVRIDSSTVNTSDDFISTVFDDVDRSPSPFIVL DHVEDGGCLTYQGTVDSAMLPLKEERARILQRLGMLRATEQSRRQQLCRKYEGEVNMR MVSLAVTAAEQIDAPYGNSTYLTSSSVVSTNLDTQHNEAEEREQEQDQDQVLPQDSRR GETTVTRGVMAGCSTTVSKEVTGSVREGASLVCDQVDNVESDEDEPHFTERLTMLDCM TQECYKGLVQEGEFFSGEAESMVSENSADTDSSGDGVHSRADNMTVCDSVDCNKLHTA PSEGFYIPVPMDRTGQVEYVFNGDDAQRRLKFRREEYELQRALGRVEGKIDALTACRL SKGIHYWQSDDSTDSCPRCGKVFSFTVRRHHCRRCGVLLCNDCCSQVGRDMYVQVRTS AVTSSDVGPSHGSSSSQRTTGNATDDAWLFGTCEPTGSGDTREKRPGSTPNSLQPNQT ISDTWQYQGSSSNNNSLPNCICLPNNGRRDYRGRGGLKVRYVPWVRICNACYLVCLRA RLGGNYKPILEDNRRRFHVLKEEEQSLLCINSTWEMRWAQLNVLKHVVIERTVHMAHD QVQQAVGVLSDWLQEWRLCLKP XP_828033.1 MCMSVWCSSFNMYTSGLVPLLFFGVYGGGLFVHVKCVVDETQDG SVIKQNREHKEKHQKEMVELNNNKLSPPPHTHTSSSFLFPLPLINGTFIWYLYFFLKK SILFCDSFETATHTHTHTRLLHAHEKE XP_828034.1 MSSTFIISASYDKEIRFWDGSTGRTVRSFPFQGSQVNAMLTIPN TTHLAVGGFGAVRVYDIGVEAGSAGGNSGTQPPPVFSVYENQYAMNVTSLGTFPLYPQ RDEEMHLNSAEASMSSLFCTSADLAATATLDPTPTTGSAGVLTVLFATSEDGHIRFFN ANSPTTLNLLLDISAGAAITCSAVSPDRHTLFTGSQIGRVSVWHIPSIIAAAAQHGDS TSRGGLFASKPMQEIAFNGDYTAIRSIAVEPLARWAVAATSAGKLHFMCFGRCAQNLT GTHASEAHAAGRLANEEVPGEEEETSPQVQRPVAEGTVADSAPAIATNDSSSRSINSA NTLRPSCGPLSVLKTHGNEGSGGDVAANASESPLANDGVTFSDAVDASDTGNSTPGMD VTKKFSAPGLLTSSSVNSSSIQQQFFLKVFHSFQAHHKYILKVAISPNIDILVTCCGD YTVGRFVIPPILQCCDVTTKHASGCESDSHSAGVSSLTSAVRLSGLTEKNTAAGSDPT NQVAAAGDNNGAGAGGATAASSSSLLQPKADEGAVLTPTAAPSTSFTHDGSTGSVEDG VKPVAAVANDPTVNESQPLATMETVKKMTSPADAPTERHDAFHLATSNFPQLVAEGST QVNIGDGVEFKELKPLTGHTRWVWDCAFSDCGRFLFTASSDQTLRMWTSLLSDRPHST SFVGHMKPVVCCMLYVERKKNQ XP_828035.1 MRRLSVSFLPFHLIVTTQRRQFGGNSKDGHKGEDKPIERVGGVP PTGPHPFNITSGAEGQISNQQRKAHDAITQLFRDDAQRKALYQKPGRTIGAQTTTAAI STPPNEDEFEGLQPISGDAEPSSITAVEAVEQELLDDAFLYFPPAQQSASGSVATTAT NELYVPGQQIIPPGLTRYRVDVQYQGNDFDGWWKSTTRQLFRREVGLDGSITRVPVAE PGTIGNAAGVSRGETMGSRYHARTVLEEALAVALDVNTVRVVAGVIPEVGVSVRRLCC HVDVPSHIELQPRTVIQRATMWMEKRQQPLAILSYRRCKNQDFHARHSGLRRVYVYRI LNRVAPPLFDAGLQWHVDRHLDVDRMKRFAKTLEGTKDFGYFADPKMANALRRAAMSP GGFSTGAVTEENFQPKATGESHRVTRGKAPKVTMEKGPSNLDRAAALPTFNEYGQRVV QPGAHGKEYYRVATNLPTVRTVDRLDVVRQDDEVLIWFVGRSFLRHQIRNMVSVLKAA GHGLWNDLELQQALQSGFEPSRHRFKRERFPTAPAYGLTLWDVEYPDQHRDDYVQFVD SGPYEQVNIARDI XP_828036.1 MIRYTQYLITRHTPALLKKAVAPNVNVSATLQKSFRTYRIAAVL RKPLPPKVNLIMIDNTVKGKSVDLLSIVKLVTGYGKKVMDKHNDMGRLHPLILIVKTT LDRDNASVDQFVLNMVLTKRKYLKDMITIVLDAKTTAAAAHALRTDRGITLVLKKNDP ATTEKDQVNEADEKAERGTTIEQAEKKKRGKTKKRKGVLLQAPAAVPSVTESSSLISR RKKLTKGKLKKRAHSPGKVSKVEAESRKKNETMIVQTKADRRALIWGKGSATDSPETA AGVGNAEGKKNPPAPKMASALAHIDAQEALGKPFCPTVGGVFQPSQQVERELFSGYVN VVCLADMLNLPVYKGGEMFTLYYRLTGAGAYRTPLITISKILEEACQKHGGGPSPHSS AVPTSQVPLLLLLGAPFLFGEDARLLCEEYQKSLRSQIPAISEITIIVAPACYTEKNI LFALNTAQEGRKSSCEASRNEPHQKQHRHDEPPSALANLSSGEGTNSSLSENVLRDIV STAVEEVTQRHEKSTRDLSGAVKQLIECLPRDRLVNLIDNLEEERLALLETTKGLGEI RQRVRGTQETLDTMHKEVVGMASKANAPNVSGNIGSAADVIELCKAVETLKGRIADCA NPVPIKQQLSFEIRETLGDLKRNLQDTFNQSLQVGFKNIQDQQQSQLTVALREYASES ARERALTEQTLRDLPKNLQSAMEKALSTLTDRNDRKTAEVFVEQQKLVDTKVSAMCDA LGRTVEKGHDRLRDTLTDLLQRNPNASSGTSPTTDTQHN XP_828037.1 MFSQLRVHLVVHKGSGGGKGGKMMRRVEKALRRNFSAITIRELT GSEALAEHMDPTGHAVGSGTEVMQDTNPTAGRDRTTDGVVANEGVEGELIPCLALCVD IITTEGALRVREVVEELACRVVSGILRNALGSGNGTNMAIDPVTNEVKSVWTKVFNVF AVIGGDGSLGEAVNGLCFGTLKAYRSGFCTALDTAACSRPDSMHYYRGMLEDRAILRH FLPPVMYVPAGTGSDFARTRLCCLNAEEFVTVLRDMHEYLAFTSDGEGSQRTIKYSTC LPIGSPSSASTSGSIDCSVGPDCASGGSTEACGKGPKSLSRRFAVYDVDVSRITFPRS GRTRFFINECSCGMSCDVISRCEKYKQSCTASFVAGPVLFAAASVASVMRMKPRSFRV FPLPDFPTPPPSMGHQHKVPVVGVLHPRHLSMGVAVSGMCEEMSWLQRCEEVGALSPS TEPLRVDANYYIYKYDREGGYPSTPDLSGGAVGAQSTDIGSEKETVINPFYTDWRCES ASNTKELLSILDRNGVYDRWTCFSSSTLVFGNGRWFGGGLQVAPHANPTDGLLSVTNW VASPCQFVMGAPSLYNGNHKRWRSTTIWNTSRCIMDVDTISTQEPKFPDSSPPNPVGT DVKCNGEQVEGTEEILNGGGGAPDKIMMWCEADGELCEPIPAIVEVTTTVSMILPLRT VF XP_828038.1 MLFLEPEVDYFRMQLHHLAIPTSICIPSVPKTSRNPETRANGDQ ECETGGENAKVDGTVPFITQEEQNKVIQRALRVALYQRFPYESYDTLENVYILAVTHL ALPLIGDTGLSYEDLATLEICEHSLPPELRHRTFPSHLNALIAQRNPPDSGSVIQDRM LDHSATQGSSEEGTGKQRFNDSYFILIPDLTDEQAMVYCKGRQYAGSMLGSGKASVGP ASDAARFSRSTLWDDARHSRANALEGPSEDLLSFHVVEGDMIHYVETSQGGLSEPAPR SLRFAAFKVALSQFSFEEQQYYTKKREEVRSHGSTTTLWGSTLHSSEANEVHINKTVR TILASEVPGRWRAEEEEAMKKFFTKCNSVLRAYSTPSSQMDDASKGDGGVLAPKQPGA SVSDEHKTRNVAESLGQTERLSHLYGVRRLVYSFAACDCYHLVAEDNNPLYYAKSSRA TYKPHTVNVTLISDLTVSPRLTPAFSASPQRCLGARIGTTKTVEGLVVSNQPSRAQSP DIKPCTVGEKFSVGSKRHSQSPGVSPPTSGAHGSDSHAPLQRLPSNTEGYLRLTTSLV CNNVSGNLKHRKENLLEKGLSFGRLNPCHEQATEGNVKCSNEKAVCAGDVVNLFRLLA TSSIAQHQLRHNVIRPVISAAVLDSSGNAGTRGALHRHYQRIKCRALLDHTWYSIPIQ PVPSTQDEVQWIPIVFGSAECISDVGYRTAVHIGFFPNAEVTDDSVRGNPLRPKGTAF ATDVSKQSASPGTATRSAMMPLDTNHATLQTSSSDGSKGLCFSAQLKTAVSSSNEEVP AGVSARRGGVAMSFNTGNEEVPAGVSARRGGVAMSFNKGNEEVPAGVSARRGGVAMSF NKGNEEVPAGVSARRGGVAMSFNKGNEEVPAGVSARRGGVAMSFNTGNEEVPAGVSAR RGGVAMSFNTGNEEVPAGVSARRGGVAMSFNTGNEEVPAGVSARRGGVAMSFNTGNEE VPAGVSARRGGVAMSFNTGNEEVPAGVSARRGGVAMSFNTGNEEVPAGVSARRGGVAM SFNTGNEEVPAGVSARRGGVAMSFDTGNLTGVGSGNVACSSEREIVLRRSAMEGGSGW EQRCSSALFSPRPYRPTSLRVWEPGADDQSNSNRFEQGTRVTCAGPPAGFSATSTPWW RLPSQGPRRGDGVNAGRGECSTGGFCNDWQQCDTCGGRFPQGSTSNPRFCEHLQSRPT SVLNTYGKFSRSHSPHPSYNAMQQRQTQHYSTMGRDGRLRPPHTDRWDSNDVNGDVSP RTATDPLRGTFWKSPTLNPSNHRNGADPLLSPLSSPSCSGVLTPNAGRRPTIGEFAAT NTRSSAFNCLASFKHHGAATETGNRTASGLENNYHGPSFCKNRAGVPTKRSVVPTFGR MDTLYDNSASGIPIYNEYGYPQGDSRVRPRGMQVMDMMYDRQWQRTQPPARLPVGAGP TNRGSLSTSWTNTTKNGVCGMRRACLPGKPPLASTRPNGASNTLNNGIAPTAVRRLTL RERIQLQRRLQQREANISAFETNIKENYCVGNDVKRFRTDVGDLSSANKTTASNGGER TTTETNSACGARTAAALAAVTFGGDPPSKGSLHSIGKDIMRLKSLTSPFAEADGVNVS FCLRDLTNAFLRMPQSEIKEYDLSKAAWLEDGVSCFVSAKPTKFNGEFENYVRHFNFH QHPFYLRERAFLFVLRVPTQPQLRLIIAVHNMHDFLSVLRQRPLRPLESWRGPHSMEE EMGGLSGRENASQLPADECNSGRTRTFLQRLCKFMKNCISGGNGGGTQQMGWAPITWG QRLALLRIQASAAVHGQAWSECTTPLLTDPVVLYSRMSFLSNRTASFAVLRCQLDTLK AFASTWIKSKRTTADENQVRMIQNRISKVESIQLGCDAPTAGIGEPKTSSSGVQAAGR VQQRLRSLPLRKRMGAPFAWKKADTTSNSVEKKGETQRQPQLKSTISSYSSSASLCRS KPEVGGANSSTPPGGVPASDAAGAATPLADADGTLIRIAERLTSSTDIVSGNNHFPPM RTILKLVTLWEQNAQRQRAFNDCPAEPAPYSYGGSDTVLASNLGARAELRDHQDDYDI SVSHIQQLLQWQWEYHQNSYEALSLPVFRGALVASRGCRYGSDACSSVDPKVMGVNPL SRSLLLALVKWGWLVPIDYKALHKRAVRHTAVGDNMLSRVQFGFGVRRRTLFVGVLGF TCYRGWALSLLILYAAYRCFKLAAVGLLSTGAPWPAPLHSGTSIVYSRSEAAVEAMLE ERQHFLSQLLATRVLQTQHYVHNFASRLDMLLRGYSPILSLIIGIELLFVWIFFACWS GAARVSATFGVNIDLLHQYMSELISPLPLYDNVMWCLTYMKPNVAGVETFSLVAPLFV VLCLMTQSPLRWVLYKTWEMFLHDDALVRRPVLSF XP_828039.1 MQFDGRSGGEGDAEGVQGAGAAAVNTPSIVSDDELMALEKRESL IVLQDAVWSVSSAKHGNGVMCLLDGSHNTFWQSDGVVPHVISIDFALLKPVAAVALYL DCAEDNSYTPRRMRVQAGTHNGDMADVATVTVDDPRGWVLIRMQTEAETPSSWNTPAA HSDDAKADIENDMPLDNADFEEFIQDGVWCTRVRVIVEENRQEGRDCHVRGLRVLGHI KQSLFTTASFTQNLHLR XP_828040.1 MADSIDYENDFEEIVLCDVTPAETQSTTNSEQSPGPEKKENEET PCTPTKIKKESNSTTISNKSGTTPTKEYINNNTKQSTNASPFLESQTSARLTSNHEEL SSEAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQ TSARPTSNHEELSSEAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDG SMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVASRASTKSSSPHED PSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVAS RASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPV ESPKEATPHVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHE ELSSEAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQ SQTSARPTSNHEELSSEAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSS DGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVASRASTKSSSPH EGPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHV ASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQF PVESPKEATPHVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSN HEELSSEAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPW LQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVASRASTKSSSPHEDPSRASSKK SSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVASRASTKSSS PHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATP HVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQ QFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPT SNHEELSSEAKQQFPVESPKEATPHVASRASTKSSSPHEDPSRASSKKSSDGSMQQDL PWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVASRASTKSSSPHEDPSRASS KKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPRVASRASTKS SSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEA TPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEA KQQFPVESPKEATPHVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSAR PTSNHEELSSEAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQ DLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPHVASRASTKSSSPHEDPSRA SSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPKEATPRVASRAST KSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSSEAKQQFPVESPK EATPHVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTSARPTSNHEELSS EAKQQFPVESPKEATPRVASRASTKSSSPHEDPSRASSKKSSDGSMQQDLPWLQSQTS ARPTSNHELLSSEAKQQFPVESPKEATPHVASRASTKSSSPHEDPSRVVCDVTSQELL EHVVLNDIDESPLHISCAAGFRSFDFGSSVSGSKVSPATLRDYAARCHMVRIENNLSK EDIRLVSHSTANDRESKMLSTGDSSGVLHSSKVMLVEVLASENPPLLSEMSLSNVECV SAEINTDPSSASTTHTKEPKQEPSRPLKKQAESPDEISQEPTKESEKQEESRPSSATR DESSQISTKESEKQKESRVYSESPDEISQEPTKESEKQEESRVYSESPDEISQEPTKE SEKQEESRVYSESPDEISQEPTKESEKQEESRPSSATRDESSQISTKESEKQEESRPS SATRDESSQISTKESEKQEESRPSSATRDESSQISTKESEKQEESRVYSESPDEISQE PTKESEKQEESRPSSATRDESSQISKESEKQEESRVYSESPDEISQEPTKESEKQEES RVYSESPDEISQMSTKESEKQEESRVYSESPDEISQMSTKESEKQEESRVYSESPDEI SQEPTKESEKQEESRVYSESPDEISQEPTKESEKQEESRVYSESPDEISQEPTKESEK QEESRVYSESPDEISQEPTKESEKQEESRVYSESPDEISQEPTKESEKQEESRPSSAT RDESSQEPTKESEKQEESRPSSATRDESSQISTKESEKQEESRVYSESPDESSQISTK ESEKQEESRPSSATRDESSQMSTKESEKQEESRPSSQMSSKESEKQKEKAVSSSMSSA SSLSSEPRNRYSGKLSSSRKSISSVGRRNGEHFSSSRDGSGEGTSSSNESLTSLEGEE GSEEDSSTSFSTRSSGSGGSRKRKIGSGKVVESVYRSTSGVSTALTIGTKDGLKVRKQ NKGEECADEMSNKAMTKDSCAGCFPHPLLPKVTGTNKEYGNGEAGSGPCVWKTANASL YRGPLRAGNKSLGSRHYSPRTVYLGKPEARARAGAFGLSAAGRLRKAYKSPSAPSGEE QNRSGGTSPTSLRRNIARVKSELMRVRQNIKALIRKRTPRRALKRSEQNLKYVDVESL RREHEHLLQLVQIWETGEINIDLLVDSANRELRRAKEKLAEAKERRRRVASERRHASL VISRMVKERTADDDDKSNRQRKEGMYARLTLQGKVDELLEKISTARGTSSKLSDKIKS LESHMRQEKLTDMKPEEYRELQKMVEGNRKLIHRLNTAIIIAGGSQVPLTVTAEMNND EDLSGVKFPGKAKLDAEAEKLASRVSRMDARIAELVEKVSVLFPNDPKIRRRSISNME GVGGRMVSYEHSSSPDGSRHFAAGQSPSKAFLGAPGASIRRSRLPMLSDATGSSNPLN TWHSSDSWSPGRDATGRQGSPKKGKGLGGADRTLLPSVRKVPPTFITSYKNESTTSTV EESTHSRTAVKNIPQDNGAKSGSKDAAKERKPEKETEREVKSAVGNGGSEIHNCEAPG SVASSSGEADESRTLSTGSMDKSSNSNIHTTPNQKGHKPAKQEADEPSERYTTPLSEQ STGRSQQYTSPSSQLSSATSPSSVEQARPSARSSSALSGASERSSASSPLSTSIRKED GRMSNQEEERAPDKSPSAGDDVSTIPDEVVEGEDSGRETPVWLR XP_828041.1 MSSAAEKKHAPLVDVVAGGLGSAAAKSLLAPFQRIVILQQLGEH RNLNTFQLVSHIKRNEGWRGFWRGNLTSMIIRVPYSGMQFVTYSRLKFLFQDLSERYV RPPKGDDGDIRNASRHVETAELFLMKCGAGGISATIAGVAVYPGEVVRLRLMSGEKQF KSIMGTIRIIHAETNSMKNFYRGLGASLLQRVPDILINFATYETIKYKLMEKDFLKDH PSYKNAISTTIGGATAAVASIAVCFPLDVAKRRIGMSGQGKSGIVHRGVRECLWHVYR YEGIRGLYGGASVEIMRCVPQVVLMWFFIEATQKFLTNYGFGSDAQP XP_828042.1 MEERTLQYLDGIIITLRQAGSYGTAEILERAREELAGAQWCEMT DSLLQAVHDPIVLKSAYELHENVLRTVRMEMSPVAYVKLLHTVCFSPNVSLQMALELV DNAVTSLSINPSEQGGNAARCIRALLLLDRQNGCDHIIPLEAAAQEAHNILDSVENFI HSKQMHEVDPVLTALHCQARGKDYKMRRQYTQYYKNAFETVKSAERAEMAILESDMMT LAYDTAIAALLSEEIHNFGKFLNYQCFTERLAASAEHLWILEWLRICNDGKVEEFEQY AETHRSHIESNPVLTRALASVVHKVRLMALLHLVFYTPFNERTFAFDLIARRCAVPLK RVEPLLLAALAQGIIIGKIDGLSEEVHITWVEPRVLSLQEVKELAEHVSHWKKKVMAL SQSVMEITQQTPN XP_828043.1 MTSAAALRPPVTLLWDARVSCSTQEKGKQDGSSPVLDSEPHIIS LGELDVLSCSDEELELHLNGIRDLVQSRPDSWLKKKGGKGFSKRQLALIQDELVHYQG VLKQANEILSWLLCRLNDRISVLRRDESMLREYYESLERGTGIDEDYNMHKKDKFHRR LRESKRRAPIKRYSKELEFLTSRHTQLVQLLTTVESEERAYNVRGADEDTSRRSKLLS PEDTKIEEIYRDAAAAGGGKAVTLMRMRALQPLIRKEQELRFVPPLLASDVHSRLRKE IILPFSVRVLALKIEKGNIIGDKFVQWYINTVVDPLTMAQLVELVPREHLVTTTVRLA KVCDAYPQSRRAQPLPDISHVVLESVSEGRIDAFASLVLSTSATCTRALRDKDEEAII LFSAVITAQVEVIRRIISVGFYNTNALNVWLFLNPNNKCNYYKTYVHETTNNVKKYLS SLSRSSRDHLSTEGAEEDVIEMAQITLTQKWEEWDSSLLTGGLGASLRHFLNNRLLWK RNTSTPKPRSSASRGGRDSAAEGWEKGHGMDVSLSVSFTPSLLNKLTSGDPTFSSLLS RRKFDRLPMSAPKCAVLPATSTPMLRRVDPWGYTPLETTGAHLLLVGDTSTVNAHVVR LPARRMTKWNEHVPNEPQDVRMQRDQMQTLIDSHGTRFYYEVHISLFFLINDVPLSPS PFEYTAAASENATSEGLPSQQRCTAYVGWCSEECVAAADGYSSNQPLGSDTSSIGISF DVVHRHRLDELTEEVILRPMKHAVGCTNTIEVANDGGEMQSVSLIRMYFQSWNRTPDG ADENGSVSDDAISFGESRTSWVYSGLGTFSSEGFSLEKPRQPSETVVPFVKLVGEGNE ESATEEDDSDDIPRVIRNVFRLAGVPVYKSQSIVIGCHVDMTTRSASYTVNGVSLGTV FTALPSATGLRPAVSLQVSEEMKSILQGVNQSDSTVVRFVFDRHLLLSHHMELLEPGA VEIESTTRSSSLQDIKPLGGSEEVELIPGMGITKDALLFDGDMMQCAMVRLLTGAERG SCSDAFDDEELMKGGRESISLGTALRVRTSSIRALLNNPTPNEDLLFFPPVLLRRRSD ESEKVASGITIIPEDAMLAPLCAALAMRQRIAAYQIVIHPLLDFETSNHIMLQQRRMA VLACSTLGYEEVLYVLLQRMAIHEVVALFSIKAPAAPQDSQPKLNRRFAIIPANSANK ITSASTLQYFHYTPLHCALLEGHTNCAHLLLFYLNRALGKEYLRHALNVFSRGGETAL VISSRVGYTDIAKRLLALGASPFLFDRVTRSNCLELACAGGSEEIALALLDTPDFHSP VAVNQAGSAPPLCWCALKNISALVRPLLENGADPNVTLDGPTPLFLAVMFESQEVALE LLRYCESTANKTAPSANAYRAALRKRFGAPTARRPGGEELGDEGPLAMLDVDAMDPRT QCTALHLACENGQLAVVRALIAGGAQLNVQNKASFATPLHLAVESGHEKVALDIVEYA KNKLRRGHEVLDINAIDKSGDTVLHAAARKGMLSVIEYIMSQFSEEEVARIHKNHDFS KRPSPANVLAVNRQGHTPLLAAIHAHQEEAAQLIASLVPDYLANPGGAIVSGTCVAIS ESDVEGLDNVTLFLLSSPNYVATEDFRKRFFDHYNAKRAEMDLSEDYPNSEEVRRPRV ALHVNKRHSKRRGRRVRVVPSFRKQRMSFRLSISSMYGVGRSRGSTSAVARDVRTEDS RSRWVVANEHLARSKMTRIAPRRCSMFVRMLLAKGYRNPTTRKTIQFLKQGFAIDELY AMLDVISFETLRKAQSHWSMQYTDSIISFLHMFGGVHVAPIDAVLFIRKLFYSHELTE NVDKEQVEESKQKLMNMRPLCRDLVNMVRTRGTLTDCVEDMRQMVLQSGLSGDKLTEE LTSPFGYTVLQLAATLSLANVCTYLINECHMSPLFVPAGHSDESTSNATTTRARSDDE DSEQKWLLSPFRLAVRTLNIEVITAFLACDYNSFSIHDMLDHKELPIADPFQRTAIQE LLSKPTDTLSDEAIGSIVTVLRLLLRHGVSVQGNFDALGNDAWMMAVCSSPGKGIALQ VFLEEHVELGEEDIASETGESFTQPAFSDGNRDEEEEEEDEEREQHPQTECSSEADGG RSEDFLTEPSASMVSETATMNSSLSLVLTMRRKPGEALASFGYVRRRVGRRRGQRSSE YYASLIFACAENNPQLLAKFITEYPHVLSTDVINSKTGETLLMYLLRRASDLYVLECG LRNLFLWEGKTDAPTPSPDSAGALLYDTPQTHPTVESGSTPYRDPLTIPGVRILLGVV DQLLRTFSFNNLHYECNGQTALTLAARLSCNPAVRHIVIQGCQQAGSDTTTVVLSSPS KSSTKVLGWGTLSGGDRSPARMSSPCNEGLQNELRIFNCWMSLCNCLYYTEEDMEALF AVLRHLPSSIRVSFLGMVYPNLHPMVALRVAVLYTNAMKRILIKPMVSSTFWCSVFYA QFVGRLEEEVLRNGKWNTLLSILLPCASAIPIYLLKSMPSFPETSPDDLLPGTSLASV TPTEQSTERIARGSVLQQPSNWRTIINGTEQYIKQIATAAVCAVAPVHLTSGTQSYKN LPAVRQSFLSEMASHFHEVLELAVRFDNCALLSALINMLPADLCHCLKFEWRCLMEKN HLPVIAVTAGSVNVLKYLERIPEAGRYVTYDRYDRIDTDTGMPDDYAANEHNAQQDAT QPRRRSISLPSIAFLGSNERPQNTLSPHKGLDKAGDASPSEKKQSEGGQLKGSNSGTA AGNSQEAGDTTKEGTLHSWDVISAVHQRKAVGAVTWGVGAAARPVSMHKQSVSKESSN TTMKLSRGRQHGGATRRRSAGTGKSFNVTPASSAPDSWRSGADGKPKSSPSKRRLSLE GGPGTGGTSFTSPSRGMRLFSITPEVREQPRYFAYYLCDWALHTTLLLHAPYPSMRMI ETLLHLMDNRAPLSASAIHMFLAASRPMNHWSSGEGEHITLNYTTPTHGDTILHLLVQ NHQIQLARYFLAAAHCFFACYQYDQPSTVPPNFPVSSERGTCGDTVDSDSRYPAVFLR SMLRTNKHGLTAFDYARGMMVSVLQEYGCVPPTYRPNPREFCHTVRLVDGMSTFQRVP QLLLVSPDFVKLRDNVRTNTKQQDPSVKPVITDATIQRNQAAALRITRSHILTTILAD DVSLLHLGLCAADDELVLENIERRRRKRAAELYHPAAASGRSMSSPRGPSIRDQQGGN QRLTRLPHIGGAAETAARTSTPASGGRKVDKEMHSMMKLIDSLQKRGLVVFPLLLPAT EDDKKRTAAIHSNDGLALQLAMTPMTVALQQGAVKLEVATVTSPNPTQQQQQQHRSPV SATEPLDSMYNNDVQDATISPRSLQPYTFPPKDTPRQHPANMLEAWLASSKMQKGVHT KVSQELHQNTQAIIRVMEKGNCSRSAKQIGLTVSMPYEIRNEESTTKESFRK XP_828044.1 MSGENLNKSMSAERVAGSLSVGHGVDHGSRKRARSICLKQNFSR LLYQMVIRQLHHDGFLAAASAVADATNVVVPRLEENADRLSKVVSWGLAVEESSVVEI ENFFKFEVVERYLSASRVYMPLHLSESARVGSMAYRMRERFITSSLGGVVRRLAFSSD GSLIACGGTDGLCAIFSLNTIEDLSALEEVRQEQHFNGLDGSNPSNIGVGNASNKITE LAEARRFHEHTHSVEAISFHPTKQLLVTGGFEGDLYIRDYSQPDNHVVHKLRDAFPVR SAVFHPSGEYVLYATDHTTPRLLNLRSGSVVAPVAHSDNAAATCVTAMAGGKGVARGA SQRKGCDAGHAAALCDVDFSPDGRTFASCGLDGSLIVYDGVSSRIVAKVNNAHSSVPV TSVKFSRTGNILLTAGMDSVARLWDLRRGDGGWGCTEVMSFGEPGKCNHRSFRASFSC NESHVLSQDTSLFAIHAHCVYTGDTSYTLTVPNHMQRGFAPAPFSNVVVSGGDDSRMR LWTPAWTTA XP_828045.1 MSGLTSHNISATSIRVHGITRERDMCVFCRKRSSPPPNDPLAPV FAFFSLVDCRHYACQPCALVHCDNAGRHIRCPACCAISRLAQSGRRRGNRVDERVPID DGVSSAASQSSKRVLAPPKRSLSVTDRRRRTSSVQFSTNLTSPSGQKEALSTGGSNTS LTLAAVGRLPTDPNYSKGKGDEGGTVAAFSEEERISVDLYTIKGTAAKRPRRTTAERA RSQPLPPAEYRYLPPPTPFHRPPPLVIHTIEEEEEVAASFQLIVREIEQTIAATLVKE ADERDTIGIAEAHRRNVLSKLREEDERKRFAAHHELNDEFSDAKLSPRTTTNASDGQV SETSDEGMSELSTQQDSIQKNYKQPIDQKAMITKERTGTPTAAEKQTKHQQQTITKQN TEEEKKEAKNENNKESKKKRRERVEVATWDSEQQLRLFEQLMDLARDEQQHRAKIQRD ETRMHAEMERSEASDVATIKAAMEAMAESARREQEELLRDEKEERYTIQEDERRLRRN ITRQSAELSLDVSMQHVQQRGKEDAQRELDMLRVALESTAVETTSRARLNLIVTEELR ARDSLCSDEASERAMHAAEERRERVEVATWDSEQQLRLFEQLMDLARDEQQHRAKIQR DETRMHAEMERSEASDVATIKAAMEAMAESARREQEELLRDEKEERYTIQEDERRLRR NITRQSAELSLDVSMQHVQQRGKEDAQRELDMLRVALESTAVETTSRARLNLIVTEEL RARDSLCSDEASERAMHAAEERRERVEVATWDSEQQLRLFEQLMDLARDEQQHRAKIQ RDETRMHAEMERSEASDVATIKAAMEAMAESARREQEELLRDEKEERYTIQEDERRLR RNITRQSAELSLDVSMQHVQQRGKEDAQRELDMLRVALESTAVETTSRARLNLIVTEE LRARDSLCSDEASERAMHAAEERRERVEVATWDSEQQLRLFEQLMDLARDEQQHRAKI QRDETRMHAEMERSEADGILACGAQSEGADSFEVASSGCDCVFRPSGADCASFSPYVC RGGGVLPLDVEIPVGLAYSAHGSTSAEVISVDYTSFLPIVGSDAQGESSLWNTLDDDG GVVFGDVCAGGDDVGIDVNDVESVHISRGCSTVLDAGGVGATAEVYALDEKWRDGTAV SAEPPRIPASRICRTCFSTDTSRCARCGTMVCSHCIPSGSFRQCCTLHHLTIINKRCR VMTETRVHARWECRRLFRQFRLEQRMRRRRENVVNTRGFDVVVLTPEQKGDNSNLHEV KTPPRVTRRFLNQENDSEEDAAWKSHYLESWPSDSGQMPPCGLKQERFDEFNDAENSM NLTADNEPKTERKRATAFFVPLGNSAEPRRPKPPTPRNYVPGIIHNCTKAPQSKRAVS RMRFRRLRRQNATGNTKNTTLNSAAAGRNVQEHRAASSSKPRPQESWARQRPVQRQGA FITADPVRGITRSGPTSNSWRRRNFRTKSRSPAGYGGKASACNFHGDRCGDAMALGRT YYDGNPVRSKGLAYVLDEEPPQRGYEPTPPTRLQSNRVVKSGVHSGEEHTRMGCQHEW EPDQQCVPLFEEFHASSSTPPWRPPFSRDPPPPRRNHRNTNIGGRTHRTLAPFPARQR LCHPRQRSAADLTRNASPGQACSRFHYNPLDDVDRAAFVGGPRGAQRQPPTSVAEPCI TVHLFPPSSYGGGAGTSGRHPLRGPTARRHSSRAHRPSPARAASPPWRTDLNTPFICP PWNANRPDTFIAKPYTRTTCRR XP_828046.1 MKSEGTPIPTRTPKSGKKKKEFTQLALAKDHRFNWMGHDGTESE TLNLDLLRITNEGCLRFSDQARRDYVITMIRARLGQIKLALGLCTEEDLEDDEDEDDD EENSEAQVLWRLAVRRVELQQEAEERGDSGEPLYYGTPTEEEVAEGYRNFVKGTRVLL SHLKQTFVSYIDGREFNTVAQLVEHNNALRPTYEETAAAVQHPKFELPPVEEFDAEPL QAYVEKRNECRMKIDALRCCGGGAYAMRYMREEELDEIGTPRIYVDMEDTEATLDEEL QNARQNLAKERWEYNCRAARVYRRLQKFLARRAAAAEQS XP_828047.1 MIQSSKERKKHRGTLARGRSCLYCRQQSCPGTHNCAGRLHPKQP LRRGQSQKVGEAAQQRQWGGWLERTRRVIEESMQPQASTLDRKLKLLRMRRIKPGNGS FICTGNRTGESQPHATDGGERAAGHRAESTGTLTTGQGASTMTGMASSLAPSLTPTQE SALLSKIESLENIVKELALQNTTQYQAISELKSSLEHCNSPPKQVTSLSQPGAEPSGG ITSLLPTRECGVHAPLAMDDISRSGTFSTSYSGKREIISQAAKGIVSVQPYESLGHDA PPSLDFSDAANKVGKGGYPAQESSLQKIFDSTSDNLADETLQLRKQLRAAERKVLAWE RWYVRQKQQADEKMKPLTPSRRPTKEEPPTFGPDAHRGEGRTVSEAERGPKQQEQPRV LFRAMPVGGLTSRLKRGNETRDGAMNLNAGAGNTLALDAYTLLAREAAWREVAADERA SFLETFANAGHDAV XP_828048.1 MSLGCDQHTHNRFNGPTEHSASSHGMPSEAGEKRYAAVHDAQPI QGSGKPADSSESHDQAPYPGPNLGLVTEAMTMERAVRVPRALRAATRRTMLDVLGHLH LTGCREAHNHRANATSWQDYAPQRQQWEHQIARDTRTEHHGSDEKEGTIRQPPKDLSR SLLHLLPPRRSEVSGGCFGFSTNSRDYGDGIPPYDSTAGPDDVRPKWRQGMANAAERE QSDRRVERQQSKQRSLLSILEESDSEPKHNARQKSGRQFNELGNVAQKRGRCCPLDMD LEDPRDSAGKPVKRATSSWGRWEGNDLIEPAHSIAGSGPPATRPNRVEVLLDDGTLGR GSVFTRSSTGSTASGRGEGRHSLADILATDDADDRFRATNTVERPEVTAGRAKGSAAI RRLAGNPTAERVIAYLTRSFNEWKLSRSLSHAPKRNDETYPITGATCSSAMPQGSGTV RVHLGFLVSKVECAFSLYMLRGRIVWADEKVKREIESDNFRSCFTGLRSDEQGDFLEQ QQRGIATNIEWTIVLTTDAFRPNVVSSGKNIYLSRPFFVYPAAHVIVSSLNITTDAAQ MPNGQHTKRHHFESGDGFGESCSDKNRNHTDHAKGAATTDTITPDRRHTVSHAFSTDP SPSWVYDPLVREVKNRTPTGSSHGMICGREQGRTTVMRLGPHIGLHPCGEGADSTKKP SHSAASDALHTDVENDPVGAGFPRGPGEEWEVPLELLLSLRCQIADNILSPSPKTSQG WGGEAILAEGHSSDSQFLTPPRSQLRLSSSLSSLLTPDGC XP_828049.1 MANGVTANSMRVSVKAPDLGAFPLDHYRECKSEIEEYYTCLRSN DYVTPMCREQVRAYLQCRMDRGLMKPADIEGFGIPETEFVPMRQHRADIRQQWLRQKM NQVTAVWESYRRDDLNVPDGYERPRGSDSGGRPQPLPGETNKT XP_828050.1 MSEKLPVVLDNGSGFLKCGFAGSNFPEVFFRTAVGRPVLRQTKV SEGRSSKRKDTQVDPLTKDLVLGDECNGAHHLLDMTFPIHNGVIQNMDDMRYLWKHAF HNLLSIEPEDHSLLISEAPLFSHKDRVKLYEVMFEEFKFPFVQSTPQGVLSLFSNGLQ TGVAVECGECVSHCTPIFEGYTIPKANRRVDLGGRNITEFLVRLMQRRGYSFNQSSDF ETVRCIKERFCYAAVDPKLEQRLALETTVLEKTFLLPDGSSCSIGQERFEATEALFQP RLIDVECEGISSQLWNCIQATDIDVRFALYSHVVLSGGSTMFPGFPSRIERDMRAAYS ERIVKGDPERLSRFPLCVEDPPRRRWMSFLGGAALAAVTAGNHDMWLSKKEWDEGGAS AIQARFGV XP_828051.1 MGKKKNTAMHAIKTVLMKEKNLAQKKKREMDSHHEVPEQVLTKV KDTAAVRFQRNQLTVAAPLQTNMFLSYNKSLGPPFHIWLDTNFINFSMQNKIEIVEGL MDCMLAKVIPCVCDCVMAELEKLGKKFRIALKIARDKRFKRLTCDGKYADDCVVRTVT QHPIYIVATCDQELKRRLRKIPGVPIMYISKHRYTIERLPEVYGAPA XP_828052.1 MCRKQPAAVRMTAVPVAAAVFVLRGGPVHYEGAWRRGAQSLVTF STHVGLTPSFSPSAVHIAPQLFQRRCRSFHFYLNLSDAAETRRHVEEDLARIDQEHQR GTMTHLHALLNLALAHYQGGDYVAAREYAIHVHERTLQHSKDATFLYFTATTCARCAG ALADAYEAHVRETEEAAAVSSTLTPPVSTLLRAERVIAKLREDARRYEGIAQRMLNRP DKAFMRKVSTTWTEDSRQDDEEPQEPFGEQWKERRKRPEHAAIRQHYKNCGIGVPK XP_828053.1 MRRCAACLTSLTVSSPTGAAVGASAAPLKTQAPSNRSLLGYPLR RAAAMEMLYGGICIQHLAQPPFPLRTIQSESLPPPSLQGERDDLELEVKDSTGNVMGY RLFPVNIGIRARTESVRVRSEDCYKRFLAQKHCAAAGVPLQFPAPSSITNSNCLATPR AASHFHPPSSSLSLFTRPADSQGGDVGRTTPADVAAYHPRAWRPYQMLKPMPHNWGPA VRSSGVRGPHMQLLQERIDKKGFGWKRKSRSLWQQDISTAGFRPKRYF XP_828054.1 MDFRTIRQLVAVAEELYACGHSLHAFATLNALLERGTTIPRWYW GEEIYIRVRAAEMLLESDQLSGASEAARLDLVHSVLAPVFSRRFFERVTMEPIVWEKN NDASNGSIHCNGTSAGITASRVTRGRKGGGPRRGKGQHKECRDKEENEEREADNGNVV PIALVCRAYALNAAVHRRWLRYAQAIKLLETAQHWCSIVFAALPRAPQRLEGTDNVEK QEYEERHCCEAYLAAELCRVYYAQLMTTLGTAADGHLSNAVALHQTRRQALDGLLRTA KEYPKFVNSHSVSSIKTEKRCSAQCLSSAENPFSTRAAARLLGHYCCCALAFDYRLTD VVRQLEQCESLFGHSRELSYMRQLLTAAHHGPQAVTAIRNEPCLKRSRDELENGVDVR VGWVSDGVLNALETYITLYLAVGTGENVEHNKASAEGECNVDVKRVFHTTMERIDEQM TLLTTAASCGSKEKLLSPTLLCSSPSSNIRFLVILKCATRLTLICHSLSQLYVGEAIL HLSQLRRYMEVFTKDTQRLSAYYHLLAAQTAAMLSLRHIPGTLMTPNDRSRVAGGSDN AEQAGTYSNHGSFTVEKNDMEDTAGFDVGLPYAHIRAAEAVAAHSVQPCNPSFKLLVS LMKGALLQHASHVGATLQLVNGGFTVSPLPINQRRRCAAAFEDIIDALRLSEAKTNVK EEEMGRGALAKAEFEEALQCAWNVDNRLLLLLMRGLLTLTEGKDGHTANLFFTEATRQ ALSCFGTKSSITAECLHRLSVARRDCEQVQLLPPDPSSVSPVLFGSLQELLDHTDIVV GSAQRTFSIAAQLASYGNRAVMLHCVSSAGIPTEQEMWSQIVSEWDSEVHHVCTTFRG DLIHALSL XP_828055.1 MIESNLLSQVNTASPLPTFMEVELVNSINTTVGKAFQFAHVFLA EKCDYLAALLPYNSEIWLVLHALLEHRLLFHADTSFAEMMFSLCRGTIISPSRPLPSQ GRLSWLLRGPPPVPPLEARMMDTPAATDSRAVGEAMVGMKAGEIAAADRAPYGHLKFR PLTNRQKYITLFLLTVKPYLQQRLASWYEANKDAQVAGESQSGSALSRQTLGARLKQL ALQLYPALHAGWEGLNLAFKILFLLELTPYTAPLHRIFSIVLRRPTGDDLIAASNPRA QAALMLGRVLIVVLLLGFRLMEFSGNTGGASPSHANSDDLAIPRPPEWGVDVVVPPGT PDPQPGVCPVCERPVTNAAVCTVSGVVGCYPCLTQFAREKNACPVTRAPMSLECVRRI YEC XP_828056.1 MPNIKGGVGSFLMRRAAPKSIRQKYQTGPQFYKRKFFQFQKGHH RLHRRISGVQTGSPTHQREYERFHHLPGDVRTRPQFDFTFGETRADRVMFAWRKRGDL QLYQMSGRGETFVCYRCGYPVRSQLVAVKADNWDYRMCYRCYTNTVHRGMENDT XP_828057.1 MNYADGNYYDSQQAAGYYYPEGQQVDDGYTIDLRPPDITEQQDN IIQLVAKYVVASCDGARYQNKLMKKTKFNSYFAFLASPEHKYHEYYQYLVRSYTHWRH VAAASAANQDNNEGYAFYTEQLQQQMYDANMYYAHAYNIAAADNLAASASAVVGTATG GYYDANSAFPLHNQQPQPEPLSGKSVLGNASDAISQPLGEAESRKRARSATPLESSDD EEEDQGVEFVMENGVARAVPRRS XP_828058.1 MYLKPYNNPYPVVSDRLEVILETMRKINGDSGSPVETPPERVPC IGSGAFATVRVGWVQERWHSQGKNEEPGQLTFGTTAAVAVKRVVVPGKLRSPLDGCRQ RLLRELQVMEHIRICPHPNVVQCWGFVFYKAGDRASIGREVTDNLLGEVLTNFSQLRE QQRVITGNMDKEKIGNEVLDGVSCFDVCLSLCTGGTLTEYVRRVADAALRATRTCIQE RIVTQPKNTSMTDSTLGESPTGHNQGLTSSSSDAGIEPKGALLGLKTRKLTPIRKDVS GEFFTQKDSTLVVSHLTIREKDIVAIAYALCNALRHTHETLRTLHRDIKPANVLICDG IGKIPSYTSRATSCDFTARTTARARGAPTELENKKADGSKAVELVLFSDLRDALGNPQ EGGSADDKLTPDVLVVPAEAGSHRVLCNSSDSPPYVLECLPQVDAWRLQLADYGIASG LDHMEASGRCGTFPFMAPEVEDEDCTGSTYGPKADIYSLGVTIQHVIVNATVEFNEVA QALPRRADALGRQWVDEEDRETSEVDNDSGTSGGVTDETKRKRTFNLPGNWRCKRELS DRDYVRDIYSHLSHPLPHDDCARSFTVPRSWRCHDELASGNCVEGLSTDGRTDTDNAG DKRGHLQPQNSDYRDNIKALNFRKGQGARCRSCGKLHRNLIELLNAMTAPDPSQRPTL SCILRDTAVIEQGTFVDEPRESSFPFSRSSSSSKHANAVANTSGRRNTTNSTACSPKQ LSHTSRGTAPSPMAGRNHRSSCSGECKDQKTHDGSSEMFGGVNKYDGWREGVLWRPPS LKFLRRYSGQEGRC XP_828059.1 MRSSSAASSTRALTPGKLKQPGSTRGLKSTHSGSEEAVLSDKLL LFLQQSRERTSHNHAARISLLESQYTQSLHGLSGARGSSTLFTEASLNARKGGRHGRD PAGIVDKEIVIEVDMSESEDEVSSQPIETNHRAQQREHAATQFSSVRLTASSCRVTAT AAATDLSPQSLSESYGVSPEVRTPISPFIEKMHYREVLCAPELPQGPEKRNKTTTHYT ATELSLGRAQAGVRANVGRGMVSSLPPCAAARNEVSVSLERAINEARDKHNWSESTTA NVMSRGTTTAPPMLPRTNAVTSKVDDEVQHSPLFPHEPEIEEFLAWEAAKPAELLKCL RAGFSNHCPIATAYAAVRPEVHWLSLLCNSESDVKSEAKGSPLRPDSAPSRFIEWIAS DVDCYEGLLLQRGAVAPIVARSAKS XP_828060.1 MFGRRVYASAAVPRRMWPALHIQSSSQALRILPSLAPLHPTITR HVALVSAPLTSLSAVGLCESSTELCKDTTQSQGCVVLSLISASWCQPHNFLPPFVAEC TGVKKVLH XP_828061.1 MSDDEHKRHPHAQRSRHSTASPGMIGHDGNFMESFKDMLGDIYA PLKTGLKLEHVIYNACYMLLMLYGFFDVADASKQWASEFYIEPPRWSILTITSLGSTG YNGTGDAQWTSIDRGFGLLLSALALFVIGARGVRSVFHGENGVKALQRYYLFAGFLFT AFLHGPMFWLPITIIALNYVFIIILLKIKMPHWVHMAVMWTAVVSLMLSVGYYGGRLI IGPRRLGFWGGMASWVPTFNMSILRMISFNTDLYEAIHASAPARATTTRKHDNGCLDC ARLRDKHPEKEVTAVRCYKFRSEYPRNVNEYNLLSYMAYMLYPPLYIGGPMSSFNAFA SHCQYSTVAMTRSQLIVYGIFIIILYVTQVSMLHFVYLSALRQRGDLVMKLSTTQAAF MLYYSLAFLWLKFSLVWKTGRLAAVADGVDVPEDMRRAYSNTLSVRDFWRDWHASFNV WVVRYMYIPMGGNRRKYFSILPIFFFIAVWHDLELHLIEWAVWIIAFFLVELFVGYLW GLPLFAPVRHSKYERLLRSLAGMVSVFGLTITNMIGFATVAAPHGGSLTAQIILHILG TLNLTLFFFFLLFFFLLSATGVLLRDEEANQIKQLKERYGIVR XP_828062.1 MSLQPLSKKIKTTTTTQSQEIDREGAQPQFHSRVAFLLITFLRH KMFGRRVYASAAVPRRMWPALHIQSSSQALRILPSLAPLHPTITRHVALVSAPLTSLS AVGLCESSTALEPLQGDGRGFLVSHAGGWYNCARALLTNVSTAPMRTIIWKLHNKAQA PWSLPRLQTTRE XP_828063.1 MLWSTPPQSERVPQVSTTMEELASTSSTLEQLQSFFVLHTFDTS GPFSDLFSVLLSCCKHLVDSSVSQNTVICGQAREIERLAREVEELRGTLVSQQSYFQD LESRRLAHSVASAEEAQGINAEVVGRRLNKLDEAVHAVQKTVEEYRHPCTGGVNEERG MWERVNRLEVDLESLKERVSSTTENIRAEVATIGNAVSSIKTSSAPDYILASQSYTDA KMANVREEILMAKQSKTSTADDSKLYTGTSPPQNWKSDTECVMNRSTRSLESGDPNEK CGRAGYRPCGTEVWENIPARLDELESRLEVLEVYAPHHSAMAARPPLLGVELRDERGG GVRVHEVFSGFAAHAAGVLPGDVVVALNARNVNTRAEMYALIAELTQENEVKRRLLMQ MYYEEHSGTCRNEEAKEGCCFLQAPNSAAVSGRWFGSGSGTAGAGNVRTMNKAELTAG MARRWDLPKLQFALHLKRGVFLHEVVVICERASGS XP_828064.1 MALTVSTKRLPRCRFPFGIGRTETAGAVIVASMLLFGAVTLLFT SMQECTRELLKLINPNGESSAASTGAHSHNEHVPNHHQHHGNAMGGHPHEHAHHSHSH YQVAQTDEMGRVTIMWTMVALAAASVVCKEALFRWTKRVGERAGSRVVVANAYHHRAD AWSGAIALVGVAGQCIGMPGIDGLAGLFVSASICQIGYALMRDSVLEFFDFQRAEEVA AVRRVLQDYNKLHLVNVFLIRHGHSYALHVTLLTEMDTAAMVLARTSNELTTLAQRSV RVADTFTTIAPCDRGSEESLSNILRLVEEFHGLQPIPFDWGTRRISLPQTIDEECMRD VKSIAAFFELEIDIVAGENDFKGTAHPSVGCC XP_828065.1 MDNDFTGNFDMDENDFFIDEDEGDMDFLQRAAGASGVYVDAAPK VVMENNEQMKGECSDRVAEVNIQELFPNADPATVPLVVGIIAQAKLGVGVDLRALSCA TRNVEFTPRVRCPAATLRLHEPNAVVQVRTSGLLGIIGSTSVGEARQATELAARIIRK ALNLNFSSVQFRVRSLMARFDLCHPIRLDELAKHEGVFCSYEPDRFSGCIVRLSGSSR GNQWQVCCTVFVTGKVIVLGARSREELLDAFYTVLPIIAQYAKR XP_828066.1 MSTWEQAVEEADKAFAKRDCKAVCEIIEKAESDGTCHVQLMWRR ARALHTLGDESLDKSEKERYARDAAVAAERAVEEDPTSAEANLWKAICIGILCDYLTT KERMSNVYVIRDHLLRSLELKSDNPDALHGMGMWSLSLLQISWFERSAASLLFGSLPT VSHEECLKYFLASHELRPSIRNCLALGNLFRYQGNWTEAKTWYQKALDIPAETHAHKQ KQDEAVQLLNSC XP_828067.1 MCTCTCCNKAIQYCCCRTCSRCSKPLNRLPRGRHCKRCWRSVCS ACTTRCRYVNMLGPPEVVCDGCAVPHSIAFLNERKRGTPLWGLYVLWGATDAPAVCVT PSCGTYAYTTLCRACGLPTVSSRAHVTRSVHDTRKPSPQVTDELRFLDVREYHDREAV VNGYSSADVEETFRSCFPRGEDVAAFPHIGSACEVRNLLMSLVAAGLAYEYNRAPSLT LSLSDFPFACLLKLVRYNRRYTVLEAPGKVKFISFPGTHNPETVAVSLRLSHVKRQRW FFHKEGEAGASACASSTDVIGDCNSRPGLHQQVGGLPLHYRVHAGFIREAENLVPQME EFVGEAIHHGYRLVFSGHSLGGAVATLVALQLLQTHPDLARDRVRCFTFGAPLVGDRQ LTELVQRFGLTPNFHHIVHQLDIVPRLLCTYEWLRGCVDELAGRATLLFSSVKSWVGR FSSGEVDEGGHSEEADTIESRIRNGEGAVNAESPVEVEDGDEPHSSASGPRYACFGNY HFLSDDGTKFFSTDNPEAAYQTLRGSGNEKAAVRSHRVFAYNRAIFLRVYTNGRST XP_828068.1 MDVSRTGGNTIELQEVVFSIPKHPGGSLGAPQHLHRSCRQCHRK INWGFNSAKSHHNAVANKDYDKCGVLNFPCSHYGRSSPRGSPQWNVLPEKKSLHVSCH LKALQRARIYLCSLLSPWYCCFILTRRA XP_828069.1 MCVGTRAAGFGGSAADTSIVVGPARRRSYCGRALVGAAPLPVLL LLLLSVMCVKADIEIEVFSLLHHQKIEKRFVEAVNAGFNASMTSRRWKTAPSVHIKVM HPFTPSASPVSGFQQAVERNRGKLFVVVGPLGDFGTVSSLITLLAEQDVVAFAPLTGS SGGRGWNPNLYFTRASPSAELLALIRYALGRLRTIRLGFMYLHNVFFGVEEYDVAQRI LRRMGYGFCGVFTLSSSLTGVASTRHFDSAWNTFVESRPQAVIVFAPPVGDTARFIRN LVADSRTRSAYVLVPSMLQFAIENMWREALAFADSPFVDGQVVVTGTNPLARDTQYHA IRRFQRDVRSYLKSNPGVTVFNASSNFDHDDIDGQLLVYGWITGEVLAQALSVPERLT DRKTFMQSLYDQRRYVIDDLVIGDYGGECAGWAAGQGAMCWCNQGGNTVHVRVISRGY RLLDAPDGIMMFDSSHCYPSEVEMQAPFNGVSVLIPDRPTALHAAVEMEEGASLLEVR EHNQDSRLFFDTIVSPFSGAAEELQREWSTKITTAVLGVVDEAMLKTPGVAFIDPVPL APRLNKMERNVIHLSPTLEQQFYVCTSYLSRNDKKRLHIVIRSIDAAAIEDVLKKTLA TFSVEPQSVVVLDGNATVEGHLPDSGDVYVIGLTAADPVVIAAHLNSHDSARVFVPFF DVVLLHEEFFNAFEGVSSADRVLFATNLPHWADEITASEVVQKFYTAERNASRRTPLS LLGFATAYFMRVIISPMKAMNATALVDSIFAQSVVNVGEMRYGPFADDGCFLKGVPRL TGCAVNYGATQISVWSMARALNASIPPLTNPMTPSMRYLDPDAGKLSRGQLAGVIASS ILVALLLVALTTVLLHVSRHNTRDNNSAPKEPTEPVTIVFTDIESSTAQWAAHPDVMA DAVAAHHKLIRALISQYECYEVKTVGDSFMIASRSAFMAVQLVRDLQRAFLRHDWGAS VFDEYYRSLEQDRASESEGYVPPTARLDPDVYRKLWNGLRVRVGVHTGLCDIRHDEVT KGYDYYGHTSNMAARTESAANGGQILLTRATYLSLSTAEREQLDVTALGAVPLRGVPD PVEMYQVDAVVGRSFAALRLDGEVDLVAESELAVCHASDTSSATTALDESSQIITSCF ETLLGAFTPSKRRDLLLPICERWRVTLPQKTKSVWDDNDCQEVMRRIATKVGRVVDFH VVDDGGCSTETVSSASVIIISNHVEDFTAHQT XP_828070.1 MCSRRPLRQRALTPVPHRIPRFFSFQSQLRVAHWNFRVRSQRYR VRPLPHISAVPAGPFRQRRSTHAPEDPDVSSFRGATCGDGTVKKEASGANAHPSRLLS GCAAHLCTQDEPSKGVTCRFCTTIGLGLFGGHGSARGHPRVHMEPTLRLWSLHGGGFY GLWSPPLDAPATWRTSLNTVTAGPGGVSLTE XP_828071.1 MDVAPTSYLDEYGVDNLIVPISVRPPLKCEEGRCDFVIDVVVHP SLTERCVKGHRLFEHYITRLTTLAIEWILQECGVRLNARDCKLIKGTKYYSCTKRDVK QMISELMRSMDTQLKNESTKTDSESDLLGDKLKVNTNRKDAENSRPPLVTEMPASSGI QKGFLSKARLYGDKGSGECNESQSDPLLHLPENLRKKCQIVDLRQSSCTPQEPGKAGL ISKCDEVLKNTPTVPTKPLHEWKVISLSCDETAVVVRLHPPPGVTSMKSVNLEVTPGT IEIDETVVNLPKSIRVGEVSAKFLKSSSSLILTCPIA XP_828072.1 MDIPQELACPTEDRRLYVVRGQTFEVGRSHKLVKFIGSGSYGSV CSAVDEDSGERVAIKRIQRVFSDLKEGKRILREMDILTSLHHTNLVRLRQFIRPVRKD DFSDIYIVMDLYDTDLHRIIRSRQSLTNEHYQYLMIQAFRGLFYLHTAKVIHRDLKPS NLLVNADCTLAICDFGLARDDQCTQTSALTEYVVTRWYRPPEVLGMGSHRYTNAVDVW SLGLIFAELMVGKTLLPGSDYIHQLIMIVHLLGTPASEDMEFLSEEAKNFLLAQPFQE ARPFTELFPAATPEAADLLSKLLVFHPDKRLTAKEVIEHAYFAKFRNPAAETGAKEPY VWRHNEGLTLEGLREEMWRIILAHSPGPKGD XP_828073.1 MKHDFPKRPREPAPSDCCGSGCTRCVWDVYFDEMMKYEERMQNA VIKDEFADGDVKGGCDSSSDDSDGDGVSSNYVGSVVVKYIDIPNDEGRCVTAATSIST ILGRFSDVVDVHTVSSSSRGNSGAHSPVGNQDIHVLDVVLGETDGFEKLKLPLLPLPG DVVEIFRPNDYSVGNCEQPGEVEKLCDRLGVSPNQWCELYRSPFVPTGHFPPWLPLQQ PVQIRTLLAYFVDIGSCSYILRPTFLQTLFRVATSVKGVLPDSLTPESRDMLELLKVC GSSEVAPLIYKATVSGNATPCFPRLIDVLNVFSFVNFPLARLLEVSGPLRARKFSVAN YTLSETADRQGGSCLGSVQLCLRGVDVAKTESAVEKECTSEPAHIFAGLLRGAALRRC TEGGNGGNHFTGHVSNPLCYFHSRQHALRLYVGTKLFGMSSFAKNLNRAITPVRLSTG LSPVPTMLPWVILVGAGTGIAPLMSAVNELVRRRADGSAVERCSTKCWVVYGARNFSE LVYHEQLQEALRLGAISRYEVALSRSTAGEHPRYVTDVLESHAEFIRSELLERSGWMF ACGPLSAMRSLRERMTAKILRAADDDESVSEQRIRYLEGTEHLVFDIWGSVGLFS XP_828074.1 MSDGLPWPSSTVSALQRIPFRERLKNFYFLIFSAKTNRCILATS IVLLLISVVRSSCVTTLWYHTAEAIVTLLFVCEVTIRLMVMRGNFWDSSYNVAEALSC VVCITIFFVLHYTRQMSSSVEHQFLIALRYLGQVLRLAGLVAVDTVLLGSGEATLHLS FVGTRPCVVSGRENLCDVL XP_828075.1 MSEDTFFRRAANWMYGDSSYLQRTPPPELGDRHINELLSRHPFH PFDPEMSSEHPCSENNSLFYSCMTSDEVEGYELHMKHVTCYFPYKVNLMKCLSRERRL ARAGASSDIDKQK XP_828076.1 MQTLLRPRPGEPTLFGPPTNQCTQLWGVWEMWCVLPDGHEAATA TPNVRGSGAKKGGMSKTKVKKATWLDQVRSIGLFDSAEGFWGIITCTLNPSQLPPGFN YYLFRRNIAPMWEHEANRRGGRWVMRFRVSQHDDSPATALDGAVAAAAEGQLPVDRAW EALCVAMIGEQLPGDETEICGAVVRRAERRRDWKLSLWTRTAADRCTQERIGFFVKDL LHLEDGSLQYFSHRELMQASEKGNWDVPPLYQL XP_828077.1 MFSRRVASCCPIPTAMYGALHINTKRQASRLVSPLVPVAPSLKH VAGASVTVTGVVLGELSTVSLASNVSLLSLVGPLSQLFMNGFASNPVFMRVISDTMHT YSVLLHSVRGGAAPSPPGRTSEM XP_828078.1 MRRSGRGSAVRWSSLCKCQCCLYRTPLGGTYFEQALPRSLGARQ GKGVLSTVNTALSRKALKRRQSLPRKKLNVPLTAEGLKERLKQLSAEERELSIKNNTE ECDEPSPNEFTTTHEARVALARVLHHGENAGEREEVAMRIPSFCRSPAVSETQSIVVD DKEGDITNAAVHVGCSVLGSDLDHLERDMIRDYHQRGKKLPTFDNIYRTLGCGRKGTS VSDTEPENENSSGAIQSESGLGDAGRRGTVVVAPSHLHHSRPPTKGRSGKEEEEGGYF DTNTLPADANPHFPPGACDNEVLAPLSGGCAASEQSEITDTASFIPSNSRLSTAVYDA YRQRPADDRLVVLRGTDFWDNEENRARLQELTDYAEEDFAREMLMEGAMDTSEVGYST NKVRKETLLYFQAHPINEMIQEPFARVRSILPSDGGPEVHFPADDPDTDVDIPTAQAR TMARELGLALIRVGTLYTPINDRRVVAVCTIADHREHMRDMIRFKIKKLGVQRPPTKE GIEVPFRGGTHPHAVRFKSIGIAKHLLLGHVVRINLTDFGTVREGFPVFGSILDEVAR QALQLRAYHTAGVVRANYNEVYCYLYPSTGRSPKSTVLHPTQEQLATVRDRCLLERER EVYFDGLYDKKTPRERLTYMRKLQDGTAWADRDDGLSLQRQRDMKVMLGYLPKGNHEL YAARGDVNVPAPFRASHPTSVDRWTHPQESNLEQAARGSAVLAKRLSMTVSEMHDRQE TAENPATLDRFYYRIQGPALEAGELKEALGLKGNRKRLPRRAPGWATLGMEKVSPQEP GHAAK XP_828079.1 MSSPESSVTSLDSQPVREVEYPVGVQTEVPDTNGGLFKTVLIEG SGTKPIKGSKVTVHYVGTLESDGSKFDSSRDRGEYFEFTLGRGQVIKGWDRGVATMRV GEKAVLRCTPEYGYGAAGSPPKIPANATLLFEVELFSWTREEDISESKDKSIMKSLAV EGIDYEKPGYESKLKVDLRVYAGPHSDDQPGTLLCERLDWELTLGDTPLPPHLETCLS TMRKRESASFRIDPRLSTEHNEEFNISPGSQLTYAVELRELTTVKTWMFEGPARVEEA ERRRAQGNEAVRSGKFSVAERKYRRALEFVEADSGFGSDNDESLASARKVRLVLWGNL AQALLAQGSHQECIRYCNRVLEVEPGNAKALFRRAKAYDAQSDWHEAKGDLETILQAD PQNTDARVLLQRVQAQRKAYEKKQREAYKKMFS XP_828080.1 MSYLTEEEEKERQREQQRRMSRQQIIEHVKKNLLYTAFDVKWVP HSACLAIVGQYPNNQGALSFMQLNKGELTVRHEIRTKLPLKCCTFAHNSRQMSSAALT IAAGDFAGGLSLWDVERLSSSSGDNGKFDENEALFKAPRAHENIINAVDGAQFTGPPE IASCGRDGCVKVWDVRQAAKPVVVLSPADPARARDCWTVRLGNSSDPDERVVVAGYDN GDVKLFDLRTQKMLHEFNVGNGVCDVEFDRPDIPMNKLIVSLLEGRVRVYDVRTLHPT LGYAYVEERVSSGTVWCSRALPQNREVFACGGGGEVTLCRYRYPPERTLRDSDGVAKG IAGCVEELNKAKVGDQPIHTMDWNRSKEGLLACASFDQSIRVMLVTKLSLVQ XP_828081.1 MDTVTESSMARAMALMGGIGVIHNNCTVEQQARMVRSVKLYRNG FIMKPKSVSPDVPVSTIRNIKSEKGISGILVTEGGKYDGKLLGIVCTKDIDFVKDASA PVSQYMTRRENMTVERYPIKLEEAMDVLNRSRHGYLPVLNDKDEVVCLCSRRDAVRAR DYPNSSLDRNGHLLCAAATSTREADKGRVAALSEAGIDVLVLDSSQGNTIYQVSFIRW VKKTYPHLEVVAGNVVTQDQAKNLIDAGADSLRIGMGSGSICITQEVLACGRPQATAI YKVARYAASRGVPCVADGGLRNVGDVCKALAVGANVAMLGSMIAGTSETPGEYFFKDG MRLKGYRGMGSIDAMLQGRESGKRYLSENETLQVAQGVAGAVLDKGSVLKLLAYIHKG LQQSAQDIGEVSFDAIREKVYEGQVLFNRRSLTAQSEGAVHSLHHYERKLFASKL XP_828082.1 MPGKGEGQRVFPHPRILVPVLDSSLVVAGHPQRHRSFPKRAVVL SLLFA XP_828083.1 MSLPIMSVVRMAVQELPLLSFAPTSLVSDGCVFLPTLSDCSAFA GCSITSATLQCDGTLLIKVVPAILRLIPLKGISSSHGYSSLAAGGSHSEAVALLKGLP TVRLQAVSPVFPLFTPGGCVEYFSATSQQTTAAVPVLTNTIREHLRRLRAYVPQHLDE LVPSAPSKVSSWFVVSYPGADAVPEPCLFVLPDVLVVRTVMSQVGSRKIPPHHKRSVA VMGQSGVAKLLEELKWSGCAVRVVDDANHVCVLPPTLTAASQMKQVTH XP_828084.1 MDPLSRDARASNLPSYYRGFYDAQQRRPTKNVEPSVTQAFGGAF LAAVPLAALYFFLRRRSAAAVKVAAPNAKPSGFFADVMKAMQKQMNPLGDKNFRVDVT DTKFKDVIGIPEAVEEIQQYVKFLQTPERFTRLGARLPKGCLLTGQPGTGKTLLAKAV AGEAGVPFFSCSGADFIEVYAGSGPKRVRELFAEAKKVAPSVVFLDEIDAVGTRGGEQ DAGGVTSEENRTVNQLLAELDGLGASEAVVVFAATNFMDNIDKALLREGRFDRKIEVP MPDRQAREDLFRHYLKRIVASDVEVKAQRLAGLTPGVSPAAISTIVNEAALAAAVRGD GEVTEQTLLPAVDDVLLGKKHRSYMTDAALRRVALHESGHALVAWLLPQQPDVVKLSV TPRGCAAGFTQQLGREALDMHTDLSLFTDLCVLLAGRLAEATRYDGLTTGAQDDYQRA TQAAIQQFLSFGMSQSVGLLAYEPQRLNEGRIYQRHSEKAQAMAEVEAARLVEVAQRF TQTLIAANANTLHRVADALVVKRELLSDELAALMGKRGTVRLTKEAKEALAEFLRKAE EHRPSFSDVTA XP_828085.1 MFFDYPSLSLLHLTFLFFSTSFILTSLELLQTRRACRLAMSDSQ MDCTAVWPSQVQYVDAGERTLLYRSRRGCVYRVFSKERNEWLVLKEEEVLEPNNLVRA VTAVQSLQSQFLLYHYSVRVQDGRMAALLMEQMDFTLRDVLAVQSRLAEAQVRAIALV ALNALADLHERAGMVHGDLSPANIFFRRTGEMRLGDFSSAVPIDAAVEYFASTVLYSP VEALRNRQLVSRPSSDIWALGVVLNHCVSGERHPFVAAGASDFWKFLSELAEAEEETR RLQLQTHISGEFSELLSGMLSWNPASRPTAKELLNSRCLSQMSMCSARETLWSLVSEH CSLRS XP_828086.1 MRFINQAASDRAVINAGGRRFETLFSTLHRYPDTPFAQLFPLPG RGARQHRGREFFLDVTPHVFEYILGFLRTNQLNLPAENLQIRAEVVYSMNQWGLLEHA FPPEVIEDGEGCSTGGAVVKLPDVCVVQVCDHMQHDQGVKRHALTITYGADGFQLRSL IRRVRRDLERQLSSTYWQCYQTNERAAFFVTTKVANGTADLLTTSVTQQLVEHTESMG YSLASSYVTLSPDVVHTSVRMLIHNFTFRRSRRVEVEPGDGIALGEGSETIEAEPNIP TMHVGPRREPLNAAESIPPRNERAVNIWTVD XP_828087.1 MLFPHLSVAFTDASKDCLSLVAASAEQLGLALLQVGSDELVRPL PCSMEGRALLSCELEWGEEGSERLLRVLSHLCSGSHLRRPTRAIRLVLGSDLMYYRVD IRELLTTCKMLLHPGVGNDDGEAHCEEDTDCLSPRFVVLAHFMRIPEGDKKLKSCADK LGFGAVRVPIDTFLEPCVVQSRGWNGTSVILLFLRSLSLGGIRRGTLPADVASRACST DCEREDLIEAKKLLHGEQFSLLRECLTCYSTAAAEGGVGKPTNEAGDMCDLKILPFAV XP_828088.1 MNMLHLDDRNASPAPSGGEHSLPTGGAVCRVAMDTLSVILRAPV ALLLLLIVLPQLSVGAEANATAKVLSATWSAYMPQEYVTAINAGFSASLESRNWTVAG SVKVEVVYPRNLDLMPQDFIKEQLELETDQNKIVIVYGPLGDIVTYLALPILMKHNVV AFSPMTGSTFIRQWNPYLYFLRADPAAETLALIRYALCQLRVLRLGFMYLQGVHYGDE EYALTVNVMSQMGYELHGVFTVMSPDGEPAPDGEFREVFERFAAALPQAIIVFGAPEK DTAKFLMMMVAEERIARSYILGPSSVQASLAQMWLHALATAGTSFAPGQLLFTGTNPL AKDSQYIAIKRFQGVMSEYLKTHVSETNITEADYFLTHGTEGELMVYGWLAGEVLTQA LSSLEWLKDRTTFVRSLYSQRRYVINDIVIGDYGGTCEGEAAKHGATCECNQGSKAVY VKEMLENGQTTTMESGFTVVKASQCYTESSELQGPLNGLTVFMEDDDTASKAAALWQK GASHLVGKGDLGHSDRFFLHAFNTTIAEAANDLRYEQGERIVTAVFGPVTEAMLDTPN ITFIDPLELKPQLNKFRRHVIHLSPTLEQQLYVLSSYLAGDGVGTVDAVICSNEADGI ADFLRSSLNEFGVSLRSAVIREDGEGVGKYLPISGTVFVIGLSVPDVREIARKLEERN DLRVIVLFGEFSLLYDMFTTALNNTAGAARLVFATSLPHWGDTETSSKTAQLFHDVEK DSRLWTPLSLLAFATGRLMRVILLHVEEMSPDTLVNFFYADSSIISDDMRYGVFDDTK CDTAEKLSKNDCASNYGATQVSVWSMARALNASIPPLTNPMTPSMSFRDPSEGKLSGA SLVGVIIGATFALFLMVALGVVPYFVLRSTRDNNSAPKEPTDPVTIVFTDIESSTAQW AAHPDVMADAVATHHKLIRALISQYECYEVKTVGDSFMIASRNVFMAVQLVRDLQRAF LRHNWGASVFDEYYRSLEQDRALESEGYVPPTARLDPDVYRKLWNGLRVRVGVHTGLC DIRHDEVTKGYDYYGHTSNMAARTESAANGGQILLTRATYLSLSTAEREQLDVTALGA VPLRGVPDPVEMYQVDAVVGRSFAALRLDGEVDLIADSGIINASTSDCASFCELGQSA QAIVAVMRALFGTFTASQRKKLLVPFCERWRVTLPPKTKSVWDDNDCQEVMRRIATKV GHVVDFTAGNTVEPSVDTRRRSSLAFLPLQGLWSGWEGAPRNSPTSSERE XP_828089.1 MEVPPFLFFTARPNLAAQFPSGAQHPVGFHCAGFSFEVMGCVSG RNKGSVCCVWVFLSLPSSFPVRASRRWRWKWGAPTRLETRTKESNRRAREEYVCENSF LVLKGRCDFTPVACAISLFCVFAQRRPAPRGLRASVPVWTRKVVNYA XP_828090.1 MQFLFGISSQVLCCPFSDKPVKHTNPKKGRSAPTTVTFLTKRCN VVLSDRTTRVRVPLARNPSPLQYPTLHLRKNIPLDLCYANQDLHYRRVHPRLALSASS SPPRHVYIGEGYTFSQRNIAALTKGIMGNHAHGA XP_828091.1 MCDKFVVVLLLASGSLADPTTMGNDATTWCHERAYVKVMIETIE DERTERTERIKEDTRLAAILNLPAEHEAATGPSKTRQILSIAAKQMLVKKGQKLVDFL TATQWPLKYLRARLKLYDNAEVVAADSVPTLGNGQHSSNAMDANPCSLHLAIKQTGDS ICSRPTPAGATKAAVRAKINKFTKAKAVDRLTVPALITGGKAELKAGDSSKSWTGVQN IAGCCSCTTGCGTHVKITSISQATAATKDREAATTLPTTDTDYPQDDAAAANPTHQNK AKLLAVYKKLKEAFANKPLKLTDITLAQI XP_828092.1 MHKSKIFNLITKILLTLAVIKTIESASKGPITHAAWSPLCTLSK DKQKLYNRANKLQDDISNFFQTAAKASIKAAIVAVKLNSADAMKAAMGLQAKIKADVT AKMAAAEQCRTISTVVTLRSAYLHGRIAEFIEMMTAMRGKTNEHACLSKQGDGTDRAA GETITKQQATCKLHSEELTKHSEELTELTATGYPKLTLPTGLTTSEQGGDTNPNCIFL TTTANELNGNGGFKEAIPLAAGYLLRKEDMTANSKQAINQLQTVDEPASAKTTVRPYV ELWKAFKQLTNCEAMFSAAYTLPTAEALVDSPEVKTAIKNHFLRKEGQYEDSKDSKDV NPIVKRLYKPDDEHYPKKFWQETAKKDTPQAATGKAELSKLDDIVTEEELAQVLSYYT NMQIQNLHKELKEAQEKASQANQSDAAAKAAEDACNKLDNEEKCNNEKKCSYDDSKES GKKCKYNATKAKSKVNGVPVTQTQDGGAITVNCGQHADKTKCEEKTREKQPLFVYGEK AKRVNLIKIKKCAEMVVSSIKNLL XP_828093.1 MTALRTAAVCVIVLLSMRPGSDGAGQAGLLKTVWQPVCGLSEEL DVVGGNSLRIIDDILSAATNQTNTAIRLRIYALKNIEEKPVKEISALASYYAARGHAA IMDMQATELAKHIAAVRSATYLKGRIDEGINMLEQVKGGQNGCLVATAADDTTEVRTG TRIGGVECKLKLSELSKTTWSKANIDETGYPNLKEGGTTSGTAVQPTDSNNVCRLLHH SSAHGLGNSANLDTAVKSLAGYITTGATAAPTLAARASLTTTKTSATGAWVDAYERAG KYRPKLNTEYSSDTSPLDKRPDLVKAVRSTETKLEGEGEEPAAKLVTAYFGGTEPNKL DAFLKLVEQDKIPKGIAGLQKDTFIGQITNTEQLNQILSYYVYHASLNYNALQKKLDE ATKKKDTKAAEDTCNKIKDETACSNKPFCTYNTTETDENKKCKFDETKAKSKVNGVPV TQTQDGGAITVNCGQHADKTKCEEKTREKQPLFVYGEKAKRVNLIKIKKCAEMVVSSI KNLL XP_828094.1 MRLEVRQTLKPNVTKRALATLTVLTTAALRAEAAFKADAAADMH LLCAAMAIEKVEPAAPTLADNFDNDISELRRMNMSTADDSWRSNFKGDPKTDTWESRS KAGATEPFVSHWKADFGKWQQDYQTAEVANKPKTWLADNPQPQGTYAKKVAHKLINDT LTELITKIADYAQTKHKIAQAGATKVKELISEALYGAGQTSFEPKSDATYKYSSNYGT ACGGNGGMSIAGDLLCICCQATTGTTNACDNSGIQCDWSSNNMNNHIATVRKKCPTSK PSKLTLAGLIQLAAQLKAGIRKGAKGTAVAFYLGGSHDTCDGTTDQTCVDYTAHYGAG GTKSGVNSIPWIVKLNDAIETMEEMEKNAQKAREEAKEIRMLIAAAKRAYRTAIEPSM KQSNEPAKSSEGQTKMQQPSETEDSCNKKGQNECNSPCKWNPEAEGKKCKLDKEEVKK IADEVAKDAKTNTTGSNSFVISKGPLLLAFLLF XP_001218755.1 MLKTIIGTIVLLIPQPALSNSAADENRGPFTALCTLVNLAKQPP AQLQAPADIAATLETIAAINMTVADSTFMDKVDVNKDYATADQPFRDARPGWEKNYAL FSKAKRKATGDEKAKFASWAAKKGIPNLKQQVIKLAEAAQKIGADAEAAASRLATGKV EELLNKALYGTAAPTDTSYKLATDTADNRAKLCSQKGGKGKSIPGKSLVHDLICLCAR GPNSDASQGKACCGDCDGNLATEVAVNSDAKTQIDALTKACSKLGSPTELTRATLTGA VAALANQLQHKTATQSSENNVLGTMHSDGSAGCTGNAASNGGKCVVYKDGLTSSGDNA VQWLKHLQEAISEEARRHAASQKLQTLATQIKLLNITLAALEHGTTAQEHVAAEDKPK AADSTDKNKECNKAKDDKEKCNELKEKGCVFNETGEANKKCQFNETKASKNGVPVTQT QTAGSTEATAVNCGQHNEKTKCEEENKGKSSPVCGWRKGKEGEPEQDKEMCRSSSFLL NNKFALSMVSAAFMALLF XP_846376.1 MIAGFCSAVFLAYTVAVSPLLSLVLTPSLSSFILQVPLPMLTFD AASCAAPVIAPTGLVRHIGSVLRDTNLTLQDSNIISKWKRRNFERYTREKIINTYVAN SIQSAGSQ XP_846377.1 MRTNKKTQRQIILVPHTECRLPLRRGHLGAAACPPDQGLPNSTS TASLHHAYLPAANENFQQWHNKLRRQRRQRTPCKRSATPNTTYEKHSAAQLRSRIHQP KTPPRSKRPEPRRKRTNSKSQRRRQSAIKKLHRARVTPSSSPQRLHYPKERRRPVLFP RLADIDAKTAPATRNVVADAPKKNPADHIGQRSLPSPADYRPPSELVRFLEEKPEIFP IASPVTDTGVVIGGRYV XP_846378.1 MKLKELIGWLTYCLSVGRNVDDLLGEYFRCFFYLLDEDINSPVF EGSLLVESYYGSGQKHVFQCYGPLVAETLECVALCLEYELAVKIVVAVCFPSAKKIFN EICNPL XP_846379.1 MHALALLVVLLSSLEKNADQDDQCRVDFFTPLAEITQNRLVTAV PYTTVWTVDATHNGADVEVLIPPLQSCQKDCSSPSVSKHFL XP_846380.1 MNQNGGCVGDTRNILNGWLNGAYRPMKRQAGRKNENPSETGEGK SLEEKLYDSIYNAKWSYVMSGYDEDPLGMKVFDGKPQRIWTEAEVDITPDPANVDAEI EERPDGLEIFVLTSEKGWPYNRFALGHTERCNEKFKHVYIRREIMRVWYKVEKGLRAC WVEKAEDSPIHIVIGTPGIGKSCGLGSFLLHSLLHFHEGMLDVVAYFVGKIAYLIYNK KPGEEGRVEEDRSTDSAVTFIKTIRKKRGHIIVDIGKSLLIPPDELCHLGWGVTVLTS PDTVHYEEWEKDMGSRHIIINCDDVRDIKAFVAWKNLSVALDKKVSNTAKQELRGGLK DEWETVKQRIAVAGPVPRAVYDAVGHGGRMEAVAKKIRGLDANDKNGCEDLFRSSMNW QTEEVSYQMVQIVRSRGNGVSGDSYRSKELSGHIRTLLLWAVLGITARKVAESQLMMR NRSAAFISFESHALFAVLNPRVLEMMAKNLRHLHPPEKLRGKQSILSSMTPQQLCLTG WEVLPAARQNPIERCEYMVLYTPATAYEPLVDGFFFVEGRFTMSPGRQAKKAPKPKTL VLLHVTKSGCHSTTATKLNAFTERIKGYFSDWNTFSGNMAWEMIYMNGVNGGVITNWQ KCEANVDKVIPARKAKQLQTFWETIEQFQVTFGDEMNAHLMVDLQEAKKAQGRRAMKA KRR XP_846381.1 MDFFCIFYDGNCRNIEGITEVRSGMLKKNKKKEKEHNTVNSYIA TKRISFFLSEHRTMPNDIVEYYTNISGSVTLI XP_846382.1 MKTKRYNVFLRPSITLFLSFSVPLKITMWLNSSYALADKILLLL FLSRLHFHFPSLTSGTQVNYHVPFLAAAAEAHYWWTNNTLEKAQRGTSSCDHKPNQVQ KRTRSP XP_846383.1 MNQQFPIEGRGDIEGRRRENEEAARNDAEPPAVQQRVENNNQPQ WRLFSRIDAVLLEGRVHPENVSVNDFLRRNLLDDMDLDQRLLRASMFAFVQRCEEYIN DVNALNRIFATPEYKVYKRFVSVYGFFEDEGILDLQQWQQADEEAKVRLQADIRGLRD GERLWIVVNALLNNALNVALERAAQTAASAVEIKGVYDSIYNAKWSYVMSGYRREPLG MRVFDGKPQRIWTEAEVDINPDPANLDARVPERPYGLEIFVLTSEEGWPYDRFALGHT EMCGENFKHVYIRREIMRVWYIIQRGLQGRWVEKAEITPRHIVIGTPGIGKSYGVGSF LLHSLLHFNDGMLDVVAYFTDGIAYLIYNKKPGEEGRVERYKFPEDAVDAIAALVSKK KKGHIIVDICRNAQTPPDGLPVYAWGVTLLTSPDEAHFHHWAKDKRSRRVIINCDDVR DMKAFVAWKKLCVLSEEAPRDKTKQQLKEDLEKEWKIVEERIDMVGPLPRFVFSAPEY HERLESVRKEARQLDSDNGKKYGKIIGKSAGWQNDVVTHKLVKIVRVSSGTVNVDSYR CRSLSVTIGNMLICTLFGILIVEMTSKEITLSDECVGANAFERLALLSLLFPRVFKVI ANNLKYLRRGGEIADRRSILNDMASQQLRLIGQKALPAARQRPIERCEYMVLYLPATG NEPVVDGFFFVEGRFRRNRDGTAAPIPSNIAVLLQVTKSDRHPTATDKVQKFRKNMAR YFSDWGAFSRDMVWEMIYINSVNGGTITIRQLCEGPPDRARGGDGGPLGPQTFWESID QFQVTLKETMQAELIRAYRRESGMRNAPGLMGLAGRRRAREEVVEGRGDVAMRRRGRR XP_846389.1 MSRANSPAAPQGNNENQQVADNFEGPMRRPRDENVPPPPPPAAA AAAQPPQIRQRTEGGPNWTMDSKVRDVLLDDYAGLRDMTVNDFIQKFVGGTFAVAEAE NVRMPIFVKNPRKYIVDAEILEDIQGTNEFKTVKTAIDLSEKVDYLDEKEIYYLSQWE EKGTVEIREFVGPVARGRLDGAVTAAKRAEKRAAQTADGSELKGVYDSIYNATWGYVE SGYDEEPLGMKVFNGRPPHMWTKEEVDVSHTPETMNEPLPRHGNLEIAVLTSQMGWPY TSFEKNPNDYDINHEKGVEYVFKSDVYIRREALRVWYKVENVLNRWLMDEVIVDDASN VLIGTPGIGKSFSVGSLLLYKLLHYEASQLQIIIYVVRGKAYVFHKPIGGRAGYVTFY NDYGNAFTVVEQIIGGSRSGEDIKGYVIFDVDKDHPAPTKPPAGCAGIALSSPNVKQF HEWSKQNTASDIYMNCDTLKDLEAIHISRWGKIAPAYKWSPPVAKEKIESEWQEIQGR IRIVGPLLRHIGRLSSYNRQEGKVQEAIGKMKDDDMNDYAKYFQNAAMWQTDEVSHKL VGVVRVKEEKLLCEMYRCRPLSSYTGQAILDFLIPWLTDKYAAMSALLSNRAIAAYMF EKSGIEALSHENTLTELARELQGLSFARNQIPQSVLQVLQEPRLIGPSIVVPEDVPIV AGAEIQYMKLYKPQSRSFPVVDAFFFVESPKTFVGLQYTVSGRHPCSTGGLFKMKRYL RSYFQGWDNFSNDMVWEIIYVQRVDSEKITKPQCCERTDRDEGQNNEVEERFWKREVR QFAVSLYKHIIALYVELKTRGENNNGVNNRGGNNA XP_846390.1 MVELQRNVMVLIINKFPIFLIRSPFMPLHPYIIHRELLQFLSYA FRIPYVTIQIIYIYISSYIIWTEYCLTYKLSQTYDITLFLRIIESNYFYFASLHEALE NEKKESNKR XP_846392.1 MSRANSPAAPQGNNENQQVADNFEGPMRRPRDENVPPPPPPAAA AAAQPPQIRQRTEGGPNWTMDSKVRDVLLDDYAGLRDMTVNDFIQKFVGGTFAVAEAE NVRMPIFVKNPRKYIVDAEILEDIQGTNEFKTVKTAIDLSEKVDYLDEKEIYYLSQWE EKGTVEIREFVGPVARGRLDGAVTAAKRAEKRAHQTADGSELKGVYDSIYNATWGYVE SGYDEEPLGMKVFNGRPPHMWTKEEVDVSHTPETMNEPLPRHGNLEIAVLTSQMGWPY TSFEKNPNDYDINHEKGVEYVFKSDVYIRREALRVWYKVENVLNRWLMDEVIVDDASN VLIGTPGIGKSFSVGSLLLYKLLHYEASQLQIIIYVVRGKAYVFHKPIGGRAGYVTFY NDYGNAFTVVEQIIGGSRSGEDIKGYVIFDVDKDHPAPTKPPAGCAGIALSSPNVKQF HEWSKQNTASDIYMNCDTLKDLEAIHISRWGKIAPAYKWSPPVAKEKIESEWQEIQAR IRIVGPLLRHIGRLSSYNRQEGKVQEAIGKMKDDDMNDYAKYFQNAAMWQTDEVSHKL VGVVRVKEEKLLCEMYRCRPLSSYTGQAILDFLIPWLTDKYAAMSALLSNRAIAAYMF EKSGIEALSHENTLTELARELQGLSFARNQIPQSVLQVLQEPRLIGPSIVVPEDVPIV AGAEIQYMKLYKPQSRSFPVVDAFFFVESPKTFVGLQYTVSGRHPCSTGGLFKMKRYL RSYFQGWDNFSNDMVWEIIYVQRVDSEKITKPQCCERTDRDEGQNNEVEERFWKREVR QFAVSLYKHIIALYVELKTRGENNNGVNNRGGNNA XP_846393.1 MSIIHYSLQYNCKSFCIFTYFLIPSYWKCSTYNIPQYRSQNKNN FISFCIQFLVQQCVLIIHKVFNLPNTSLRNYFPQINKQINNQTFLNVVVKRKLNHGKL WGAHVMGTMNASLDIPLWIFTSIINLLFV XP_846394.1 MVFFLAGIPQPSVTNSSVLPVVVLRTEFPDSVSERNCTPFIFVA LTSLSYLVVSFLTFPHISQTYRDTSPCPLTCRGPSTLPVGNCGFSATTHALFRFFSPT FLLWG XP_846395.1 MPFTSIPISDVSRHPLQLVHVTHHLHSPTQHSYTERIHPHFVKI GQYSLTHDFSQPCFHTFTSYIITSIRRVYDHCEPFLQFLYMQFHQGLLPLHEAVHH XP_846396.1 MLWRKQCACRTPEHPTKGYRSVNDSLQLMEIRRTSTPLNYKLLR AYQFLPYEVPFPPHTFASQQWREGENVEAHYALYQTCLCMYLVSSDMSLTVTNMLPAL GIHEHDVHKVSRTLCAARCST XP_846397.1 MFQLVSAAEVNKAYVSYPGGVSMCLRFPFCHCVWAHAMTLIEIS GHYHIWVARGESEDWDYSNSFVVVCVVLLENIATNEREGKCHLTFHAATSMHKNYMLV ALRGKAVKAKVSFRFKEV XP_846398.1 MKVRITDHNTFWHAPQLLPYNTSPPRLIHRLALLLKKKKHFLNT QHLKESETGTTMSGNQGRKRQRSSSPEQSEALKEPSTTEQNKLTTVTITEETRDAGND ETVISLLNEHEKLIKQTTRLESSVKALKCFMSNLTAMSGERQGGVCDDTECIHRVTLY NAADNAFSDEGLYEGALSSLCGRIQAKKLTITLSKGWKFNSRQVSKLKQLEELRIEYP RGKLVNMISLKRLQMLKRLCLRSNNIDNNDGRHLFNIGTLEELAITDTMQLTNIRGIS RLTNLKCLELNSTNIDDSCVEEISACAKLSKLCISKCNNITDATPISQLAALEELNLS NCHITKGIGTLGMLLRLRMLDLSGVPVEDNCLKDLCDCGSLERLNISYRIQLTDINPL SNATAIEELNLNGCRRITRGIGVVWALPKLRVLHMKDVHLSEPSLDSVGTGGPLVKVS LDNCAGFGDMTLLSSIVTLEELNIQKCADIISGVGCLGTLPYLRVLNIKEAHISSLDF TGIGASKSLLQLNMESITGLSNVEALANILTLEKLSLHGCTGIDAGIGCLGNLPQLKM LDLSGTNTDNESLRSLCLSQTVVSLNLSHCWKMTNVSHISSLEALNELNLSNCFGINA GWEALEKLQQLHVAILSNTHITDRDISHFSNCKNLITLDLSFCNKLLDVTALSNITTL EELNLDSCSNIRKGLSVLGELPRLCVLNIKGVQLEDSVIVSLGNGNSFVRLSLENCKG FGDVAPLSNLVTLEELNLHYCDKVTSGMGTLGRLPQLRVLDLGRTQVDDNSLENICTC SSPLVSLNLSNCKKITSISAIASLTALEELNIDNCCNVTSGWNVFGTLHQLRVATLSN TRTNDENVRHVSECKSLNTLNLAFCKDITDVTALSKITMLEELNLDCCHNIRKGIETL GTLPKARILSMKECYMGDGYAQQCSILGNSKSLVKLNLERSRGRISVKALSNVATLEE LVLDHARKVCCIPSFSCLPRLRVLNLKYTDINGDVTKNISESKSLRSLNLSHCKWVTD ISVLSSLLTLEELNVNCCNGIRKGWESLGKLPLLRVAILSDTKITAKDIACLSSCKKL VKLKFFQCEKLSDVTVVYKIQSLEELIVTSCSDGLKGLNALGTLPRLRFHHLRNVRGS DISVESIGTSKSLVRLHIEVGEELTDITPLSNITSLEELSLRDYRKPPEGVGTLGKLP RLKSLDLGLSRISDSTLYCICLSRSITSLNLDSSWKLTDISHISNLTALEELNLGGCY YITSGWEALSELPRLRVLNLEFTRATTRDGGYYISRCKYLVTLNLELCDMTDASCLAN IKTLEELHIGGCDELTQGFSALFTLPQLRILNLICSLITDEDLREIQPPHTIEELNLS YCVELNDITPLGRIKSIKKLHLRQRHDVRRPTEGFRSLLELPCLSWVDLDNVYGWSDV SCELRKRRVHIR XP_846399.1 MKQQITKINKQRKLRGKSTKAHHNTKKHTKTVPLISTLVDTRQR VLLAQAHPCKHKYSSQSASPLSPTASSMLWNTSVNKKKQ XP_846400.1 MKQQITKINKQRKLRGKSTKAHHNTKKHTKTVPLISTLVDTRQR VLLAQAHPCKHKYSSQSASPLSPTASSMLWNTSVNKKKTIIIIILSVTSRPSTHHHSP PSQPIIINCILHVSKSPPDNQT XP_846401.1 MQGALSGEGGADSNIQNNLFSHMAERTETVVKHTLQSEKSISPG ADTLQRCEHKLFIYEQEASVCLFVCLFLNPFLTPASNIKYREFRNFIMTIIAVSCKMI HIL XP_846402.1 MKVRITDHNTFWHAPQLLPYNTSPPRLIHRLVLLLKKKKHFLNT QHLKESETGTTMSGNQGRKRQRSSSPEQSEALKEPSTTEQNKLTTITITEETRDASND ETVVSLLNEHKKLIKQTTRLESSVKALRCFMSNLTAMSGERQGGVCDDTECIHRVTLY NAADNAFSDEGLYEGALSSLCGRIQAKKLTIALSKDENFDLQGVSKLKQLEELRIEYP HGKLVNMISLNNLDMLKRLRLRSNNIDNNDARHLFSVGTLEELAITDTMQLTNIREIS RLTNLKCLELNSTNIDDSCVEEISACVKLSKLSVSECNNVTDATPISQLAALEELNLS NCHITKGIGTLGMLLRLRILDLSGVPVEDNCLKDLCDCGSLERLNISYCIQLTDINPL SNATAIEELNLNGCRRITRGIGVVWALPRLRILHMKDVHLSEPSLDSVGTGGLLVKVS LDNCAGFGDMTLLSSIVTLEELNIQKCADIISGVGCLGTLPYLRVLNVKEVHISSLDF IGIGASKSLLQLTLESFTGLSNVEALANILTLEKLSLHGCTGIDAGIGCLGNLPQLKM LDLSGTNTDNESLRSLCLSQTVVSLNLSHCWKMTNVSHISSLEALNELNLSNCIRINA GWEAIEKLQQLHVAILSNTHITDRDISHFSKCKNLVTLDLSFCNKLLDVTTLSNITTL EELNLDSCSNIRKGLSVLGELPRLCVLNIKGVQLEDSVIGSLGNGNSFVRLSLENCKG FGGVTPLSNLVTLEELNLHYCDKVTSGMGTLGRLLQLRVLDLGRTQVDDNSLENICTC SSPLVSLNLSHCKKITSISAIASLTALEELNIDNCCNVTSGWNVFGTLHQLRVATLSN TRINDENIQHVSECKSLNTLNLAFCKDITDVTALSTITMLEELNLDCCHNIRKGIETL GKLPKVRILSMKECYMGDSDAQQCSILGNSKSLVKLNLERSMGFISVKALSNIATLEE LVLDSVCGIYDVLSFSCLPRLRVLNLKYTDINDDVTKNISESKSLQSLNLSHCKWVTD ISVLSSLSTLEELNVNFCNGIRKGWESLGKLPLLRVAILSDTNITAKDIACLSSCKKL VKLQFFRCEKLSDVTVVYEIQSLEELIVRKYSDGLKGLNALGTLSRLRFLHLRNARGS DISVESIGTSKSLVRLNIETREELTDATPLSNITSLEELSLRDCGDTLEGAWTLGKLP RLRSLDLGLSDISDNTLDEICLSRFITSLNLRYNFKLTDISSISNLTALEELNLSGCH RITSGWEALSELPRLRVLNLESTSVTTRDGGYYISRCKSLVTLNLESCDMTDASCLAN IKTLEELHIGECDELTQGFSALFTLPRLRILNLMDSLITDEDLREIQPPHTIEELNLS YCKNLNDITPLGRIKSIKKLHLSQSHDVRRLREGFRSLLELPCLSWVDLRNVYGWFDV YVELRKKRVHIR XP_846403.1 MFQLVSVAEVNKAYVSYPGGVSMCLRFPFCHCVWAHAMTLIEIS GHYRRWVARGESEGWNYSNSFVVVCAVLLENIAANEREGKCHLTFHAAASMHHDYMLV ALQGKVVKAKVSFRFREVQRLPDTTVRNYCCCVLQALGQ XP_846404.1 MPSDFCTRQHHSVALRSFLEFEKVSEGSDGPITVMSDSLQQFLL CRAAIPHMSYAVGFSGWLLGSLGTAPLPFLLRHSFRCAFHPFFDIHCVHM XP_846405.1 MPPLARSHVAVHPPCQLVIAVSAPQPTHCSAFSPQRFCFGGGGS SLRFNAFRGVAAKQKKKRIATSTSYSAVTALWEASLSRNSTGGFSAFHKINATDAQLL YFNSAAPLLLCRFVSTIL XP_846406.1 MNQNGGSVGDTRNVLNGWLNGAYRPMKRQAGRENENPSETGEGK SLEEKLYESIYNAKLSHIIIDDGTQPLGMRLVDGMPNEGLMWKDEEVNVVPEIEETLE QKPERTKGVELLVLTSEMGWPYTGFARGSNSDIFIRREELRVWNVVRNGIELWRTQRV IPGCLYLPRSYVAIGNPGIGKSQNLGSFILYKLLHYDAEELPVVAYFRGVAAYIFEKS SGGGVGRVKKYSKGAAMDLLEVISSNTRGYIIYDFVNKGEQPPADVAPKWGSILISSP NLRNFDSWQKQRKGAFIVVNCWAMSEMRAFFSRIGPKLFPQATPVELREKWNMYKDRV ERVGPSLRYVFDEVMYEQQLTAVDGELGSIVAGNKYGIYTKVLDNCGEWRESDASHKL VKIVRIKAREGNFFDTYVCVAHSESIKKRILNVVFSGIAEEWALVSGMTRDASVIGHY FEKNAIKYLCSPKVLTCFVSLITKLPANERARRMRTRKSILQHVRDGLLKVGRTNTVP GDINWAESEGAPVELYVPCVSNFPVADAFFIFDDKEAAQASGTTGKKTIVLLQFTVAS SHHTTTDEFIHLLQSLFPSAEGGGHQNEATVEQLKEITEMFHWEIIYVQHFESTAMRS EQKCEITQGKAKHRSHQFVEGFWKNNVQQYHVQYEDNIVTKMLAVAAVGRR XP_846407.1 MVEMQRNVMVLIIHKFPIFLIRSPFMPLHPYIIHRELLQFLSYA FRIPYVTIQIIYIYISSYIIWTEYCLTYKLSQTYDITLFLRIIESNYFYFASLHEASE KEKKKRIKQKVM XP_846408.1 MFQLVSVAEVNKAYVSYPGGVSMCLRFPFCHCVWAHAMTLIEIS GHYHRWVARGESEDWDYSNSFVVVCAVLLENIAANEREGKCHLTFHAATSMHHDYMLV ALRGKAVKAKVSFRFKEV XP_846409.1 MKVRITDHNTFWHAPQLLPYNTSPPRLIHRLALLLKKKKHFLNT QHLKESETGTTMSGNQGRKRQRSSSPEQSEALKEPSTTEQNKLTTITITEETRDASND ETVVSLLNEHEKLIKQTTRLESSVKALRCFMSNLTAMSGERQGGVCDDTECIHRVTLY NAADNAFSDEGLYEGALSSLCGRIQAKKLTITLSKGWKFNLEGVSKLKQLEELRIEYP RGKLVNMISLNNLDMLKRLCLRSNNIDNNDIHCIFSVGTLEELAITDTMQLTNIRGIS RLTNLKCLELNSTDIDDSCIGEISACVKLSKLSVSECNNITDATPISQLAALEELNVN SCYHITKGIGTLGMLLRLRMLDLSGVPVEDNCLKDLCDCGSLERLNLSYCIQLTDINP LSSATAIEELNLNGCRRITRGIGVVWALPKLRVLHMKDVQLEDSVIGSLGNGNSFVRL SLENCAGFGDMTLLSSIVTLEELNIQKCADIISGVGCLGTLPYLRVLNVKEVHISSLD FTGIGASKSLLQLTLESITGLIDVEALANILTLEKLSLHGCTGIDAGIGCLGNLPQLK MLDLSGTNTDNESLRSLCLSQTMVSLNLSHCWKMTNVSHISSLEALNELNLNNCFGIN AGWEAIEKLQQLHVAILSNTHITDRDISHFSKCKNLVTLDLSFCNKLLDVTTLSNITT LEELNLDSCSNIRKGLSVLGELPRLCVLNIKGVELEDSVIGSLGNGNSFVRLSLEHCK GFGDVTPLSNLVTLEELNLHYCDKVTSGMGTLGRLLQLRVLDLGRTQVDNNSLENICT SSIPLVLLNLSHCKKITSISAIASLTALEELNIDNCCNVTSGWNVFGTLHQLRVATLS NTRINDENVRYVSECKSLNTLNLAFCKDITDVTALSKITMLEELNLDCCHNIRKGIET LGKLPKARILSMKECYMGDSDAQQCSILGNSKSLVKLNLERSMGFISVKALSNIATLE ELVLDHAREVCCIPSFSCLPRLRVLNLKYTDINGDVTKNISESKSLRLLNLSHCKWVT DISVLSSLSTLEELNVSSCYGIKKGWESLGKLPLLRVAILSDTNITAKDIACLSSCKT LVKLQFSWCEELSDVTVVYEIQSLEELIVKKYSDGLKGLNALGTLPRLRFLHLRNVRG SDISVESIGTSKSLVRLNIEMRGELTDITPLSNITSLEELSLWECRDTLEGAWTLERL PRLRSLDLGLSDISDSTLYYICLSRFITSLNLTSSWKLTDISGISKLTALEELNLRGC HRITSGWEALSELPRLRVLNLESTSVTTRDGGYYISRCKSLVTLNIQLSDMTDASCLA NIKTLEELHIGECDELTQGFSALFTLPRLRILNLMGSLITDEDLREIQPPHTIEELNL SYCKNLNDITPLGRIKSIKKLHLSQSHDVRRLREGFRSLLELPCLSWVDLRNVYGWFD VYVELRKRRVHIR XP_846410.1 MQCALSGEGGADSNIQNNLFSHMAERTETVVKHTLQSEKSISPG ADTLQRCEPKTLHLRTGGFSLFICLFVFESIPHISVQH XP_001218756.1 MRSRYMRKGNESVTVAVSSFTREDAFFLSCMRSLGIGVAPYLRC RSFPQDGFPLKLFSTLAETPENRIVAAVPCTTVWTVDDVHDDDVEGLMPPLQSCQEAC SSPHVSKHFDLLYLSLYFAIQACRTTSTSSWSHWQRQLSPPATFKSDVEDAAATFLQI LSENSIVPPMELMLNMCRYTQTHSCRLTKDRMKLNLKEGPVLAVMPLVDLMISQNVAE GNVALRRCDARQLRSLMRSNPLKCAKQHLSVCDDDAAYWLLETVVAVKEYIPLNL XP_001218757.1 MTPRARGCDEGYATRKLALLPALINMKGLLNHHVASFDHLINVE LGRILLNESNREIKSSIDPDFSIRYSGIRVCRPREIVGKGQLPKPVSPHECRTRDLTY RGDIVVDVEYTSRDRSKRICTETNIKIGTIPIMLKSSSCNLYGKGREELIAMRECPLD PGGYFIIKGVEKVCLVQEQQSKNRVIIEADDNGDIVAHVQSKTHYSISKCSVTFRKGR IVMVHRSFKEDIPIVVVLKALGMESDQQIAQHIGVSPAFQSVLFACFEHAASLNVKTQ DDALHFIGERRKETFWEMDETQQRHVQKSKADSAAEFLANVLLCHIREGEVQRDWNFR HKALYVCFMVRRMVEASVDSSLLDERDFYGNKRFETTGTLMGLLFEDLLKQYNRVVKT AMDQLLSKKDTTKPFNLKQLMESKMEVIQNGMRVALSSGRWELKRFNMSRQGITQVLS RLSYISCIGMMTRLASSFEKSRKISGPRSLQPSQWGMVCPCDTPEGESCGLVKNFAIL SQVTLDMDDSFVRAAAYNLGVEEVDCISPNEFLKYYTVFLNGTLMGIHRYPSRLCRGV RTLRRSGRLHPHVSIAINDRQKSVQIGCDGGRIVRLYIVVRDGKPAVTSQHLDNLSSG KCSINDFLAEGLVEFIDVNEANDCLIAVYPKDIEQYTTHLEIEPLSLLGVVAGIIPFP HHNQSARNTFQSAMGKQALGTIAYNQYIRMDTVLMLGAYPQRPLCRTKAMNLTNYEIL GAGINAMVCVMSYSGYDIEDAQVYNRSSLDRGYGRCVVLRKHEVELERLPNGEYDIIL PADSNVGRHSALNSDGIASKGAVVRQFDILVNKYTPVPSMEPRPNPLVYKYPQPAVVD HVVISPPGDSDRSMDVEQKIKVVTREVRGPEPGDKFSSRHGQKGVVGLITDGVNMPFN EQGICPDMIMNPHGFPSRMTVGKLLELVSSKVSALTGKFGDGTAFGGDKAEDLGEELI RHGYNYHGKDIFYSGVTGEMLRAYVFFGPIYYQRLKHMVTDKMHARATGPRSMLTRQP TEGRSRSGGLRVGEMERDCMVGYGASNLLNERLLVSSDLFTIDICRCCGFMGYNGYCS YCNAKNTVSRVNVPYAFKLLLQELQGMGISTRLSLDFMGSQ XP_001218758.1 MDSFCALCLTFCIDERERGSARPCLHSIIVWKHFFWEHGAWDEE TPRFELHIRFDATAFVQMAVMGDGFNLLYVGWRASGSWVGGKEKKKTETLMHLYVS XP_001218759.1 MLFVASSSKSHLINLDTKTVERSYVTTPVERRGLVYWPTARVVM SNQSRGCASLFSPAMQQPVLRCLTPQAVTASASTSDGAFLLVGTAEGNLYVWSTLSGE LLHLVRSHTRRITEIAISSDQSLIATASEDSVCKTWLLAALVARGVSAPAPRIVFNGH SLSVNACSFLDSSQSVVTASSDRSCRIFDGSTGQQQFVVTLGDVLTSVRPSPGDEMLL IGGETGSLFFVRLYSFSERQCLPTAGLIRCVNDVVTCTPFTDGHKGAIVFIHFDYTRP DYVLVGSTNGVILWWNIRTATTVEKAVDDIAGGLLSVCYVPSDATQPSSAAVPPVVLQ KHPLDPLGVDFIVVSAGKKESAPISYQKEGGSRASRRKRKHQQTMEDTCVSMKRTENV VATELEEGARESTGKPTSAERFSRLREKNDELEFLKNKLKEKLRKLRAAS XP_001218760.1 MDARVHRSKRGCGRVLKRSRASSPEVVAEVGTGRPGDPFVLPLR SSPNGSGCHHCPEHSCDCLYVSKELERCYLSLQVSRQVTCKGRRELCAKSVLPPFVAR LLRVANVTADDTFYDLGCGNGSVLFHVALATGASCVGVEINEHNAKVAKEAWTHLRPV FEKRRGRKLDVSIVCGDFCKVLKQDNYFSSSCVVWIANLLMPRFVNHYLSERLRSLPI GSRVLCMEDLYPHSRSVAAARDPDAFEKFEMVDYRWQEDSVEWSPASGPFYLYIKRS XP_001218761.1 MVHPYLWVIIVGGIVSFLTGCGVGMNDLANSFGTTYGSRVLNLW QIVILASICEFVGAVSLGSEVTSTISGGIANPMTFANEPYVLMYGMMCALSATFIWLL FATMMSLPVSSTHSIAGAIIGFALVYGGFGAVSFAKKIDEFPYVTGVAPIIASWFISP MFAGAVAASLYALLRLVVLRPANSVNRALFALPLIVGVTFFLESFFVLFKGAKARLHW GPAKASWVAALIGLGAASISAACIPLLRRRVRLITERAERERAETGMNTAPEISGDAG AISENAAGVGAAVEGPVDTANRIVPPSSEPTSDSPTAKENPANNASGLTTPGVVDEAL KFDVQIYDERVEYVFRYLQVFTAACASFAHGANDVSNAIAPFSAMYSIYINQQVVEEN DVPLWILVLGGAGLVVGLATLGVGIMRLLGERITKITPSRGFSAELSVALVVSLCSAF GIPVSSTHCITGAVVAISIMDCGFRKVRWMMVGKMYLGWIFTLLITAAISALLFAQGI YAPSLTSQ XP_001218762.1 MNAFGHLCDHQWRKSLRSVVLLFPIFSRQLILALSVPYGTVLII FFPPPSPFLFFPFFFLCFIIPLSCLCSFNLSVLNHTRTAFAPSAAWIPRTLWSHVARR VALSGGVEGCRVTDVRECLKQNIMLEKVAGSSSSSR XP_001218763.1 MVHPYLWVIIVGGIVSFLTGCGVGMNDLANSFGTTYGSRVLNLW QIVILASICELVGAVSLGSEVTSTISGGIANPMTFANEPYVLMYGMMCALSATFIWLL FATMMSLPVSSTHSIAGAIIGFALVYGGFGAVSFAKKIDEFPYVTGVAPIIASWFISP VFAGAVAASLYALLRLVVLRPANSVNRALFALPLIVGVTFFLESFFVLFKGADSHLHW GPAKASWVAALIGLGAASISAACIPLLRRRVRLITERAERERAETGMNTAPEISGDAG AISENAAGVGAAVEGPVDTANRIVPPSSEPTSDSPTTEYQSKNMSRLSMTGVVDEALK FDVQIYDERVEYVFRYLQVFTAVCASFAHGANDVSNAIAPFSAMYSIYINQQVVEEND VPLWILVLGGAGLVVGLATLGVRIMRLLGERITKITPSRGFSAELSAALVVSLCSAFG IPVSSTHCITGAVVAISIMDCGFRKVRWMMVGKMYLGWIFTLLITAAISALLFAQGIY APSLTSQ XP_001218764.1 MCDPLVERFISDIIRDPQAWKRAVTSPDNRCCLLPTSNVIRSLA GPLFRRGCVDNVSSLRFLTTLRRDTLSGGNVQRVSMKRKDLRGPWDHRTRVSEEDQPN ILIQLLVRQLERNGFGTSYRKAVYSLLGKQVKEMSKKKRQHDAAATAKTMKLNARRIE HLLMEEYNERKKTCDREGRRRQALVRGFFNKASVVVENEEFIGRRTIFQDALDECFAI KTLMEGETQKLTGIPPEHQRNYFAIQQKEKHERECLLARFETQRRAIYLQLQHDIKNS IKSEIRRIMRREKHIEELYKKHGCGK XP_001218765.1 MKLKSERKGEKRGVEGGRPLLVTSAFTFFFLTTRACTRRGDIKK KREEGKGKGIPRGLVCCQSLIPLAVLHDGFTSAETPPFGAPALPPEQNKRACIVAGLF FFF XP_001218766.1 MSIQPIVQLLEIELIGDNPSSYTQPMHWRMRLEALEALDDTISI AFVWVGSAASPNHDQVLDSFDVGPLAQGVTEFTMECDPPQVELVPTQEVLGVTILVIS FQYREQEFLRVGYYTQVAYFDGRMNNCPPPVPQVELMGRFIAMPRPTVTVTPIAWNGG PSEGREALEPR XP_001218767.1 MSHKKGRQGSNSGARQNSDTPQRNRTKCRSDAPKRQRSRSGESV QGKSPLQERETRIQPRRDRTYGTENGQESTAVQGNSTDVPTLFVSAAGKPITVSESSL QVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMNTENGQE STAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMNTENGQESTAVQGNSTDVPTL FVSAAGKPITVSESSLQVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPITVSES SLQVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMNTENG QESTAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMNTENGQESTAVQGNSTDVP TLFVSAAGKPITVSESSLQVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPITVS ESSLQVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMNTE NGQESTAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMNTENGQESTAVQGNSTD VPTLFVSAAGKPITVSESSLQVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPIT VSESSLQVARARMNTENGQESTAVQGNSTDVPTLFVSAAGKPITVSESSLQVARARMN TENGQESTAVQGNSTDVPTLFVSAAGKTVTVSESSLQVASANAASSAKPISGAGASLS KRTPRTHRKSASSSPLSSSKLARKPFVVPFAKNKGAVAKGVGEAVPSASHMPSSEGEG SEVGRTPRHLSFDIFTFRSLSMTVPPSIDEIVRGNFLFKQFGCSPELLKLLEIPAECE FIPSANFRKAMLTLGASPRGCPDAWCLQMLTSTLLKLRGLTLHIDPPLPVFSVAHTLL HMCFKYNHEYVEGKRPALRLIAEGDVQAASLVVVWVVSVSFEERLTPHTCTAVVSDGF YHVKVSLDIPLTNLVRNGTLRCGQKIVTCGARMLRRDCCSPLECKDEVLLSINYNCTQ PVGPSSPLGLYHTCLPTLLPSAMDMLGGLVPCLKGRVERVLPPFFLEKTFKGARTGDT RGSTGGALKIVRSLLAQLSFQECMARGAVAPFEGKSDRQLSRLTSFLLSCERQGDVLL QIWDDCGANCPAGDLEEHSCDFPPEGAEIVVFSVTPSRFRPGHPFQRTTVLYSRSPLR YSIVSPPRKGFVRQPLRSAEDVSPKTETGDAIDFAGLFVGTKSVDTVNSHIIVALNDG WKPGCVPASYFMIDVPHATGSKEIVLALPSIPFTPVIVQNASFIRCAEDLGPDCIHVL ANEYTKVYSRPAEPLLRGVVESLGKIRGMAKSSRPIIARSEELLRMRTLSEEARADIC RLSRELVGGDELPNPAATAQPSPRYQLRQEASTPVEQSITVSETSAARTLSSEEEQVE DLRSSNVKASPRRHVFGNIVGFRLLKCQGSDKPECIEILGGRPSTLVSGSGKFVVSPS DFSQSLVYFEADIQFGATAKQCAQTKVRSPSVLHSLLEQCIPLKRACALTVDEIFADY YLARIKQLEDWQTPHEECWWRLLTQSHVVEITSDVSGTPPEELVGLQWLSNEWKMLLN ILSGSLKHCLFMFSVEGSEMVRATFIKEQCSVADLMRE XP_001218768.1 MDEIKWPLRLLQILRNHLEQVDHPHEPRTLPIAAKCGQGGVLAF LTDVDDDVRRRTGASECITACCRGLVLDMIEQCCTLLFLSSKERRVINMAAVQREKRV VARGVGKRRRGDQGETTEETAIGETDNSQRKCAAVLPLEYLLRLFVALPSLLAHYDKL GGSTMPAAFKQPLWNYVNAVLDIMKDMTFLDPSEYIPLR XP_001218769.1 MKGGEGASDGRVYFLSLRATIPFSERPTLVAFFFFLLFLLFCCV GVKTVYIRIYSGG XP_001218770.1 MMQVKLKHFDEGQSWTEKRNSTAAAAAAAAGASAPGGGNSGMPK SFSVCTVDAANASSSAADAEALGRILSGSSPSFVFIHNCNAATRRQLMQLSSVRKFYG ITDNIRYEPREPTERGTVTLVRIGMTCESVENVTKELEISNNTNGESEGSTSHSSVAS AVKLFMTLQVRSFPGASVTVGMLYMDPGAQPWVRTAALSSIIPLLGSAEVIVICANLL TSTTTLNEEICAACNSYGFADATEDAVEKPVSPGSSASHRDWGLWVKSSKLCVTSCAP SFHEGERCVFTSLMAVVGPRSPAGIAIPQPRSAKSANPRGSPWSPAAPPVITDPAILS AEAGSRTGSVQAIKGGGSAIIERLLQQQQQQQQQFTTRWRASSTCPIKNNDKLTREEQ AFDVSSHFTSIQEAVDALNSKTIHWPADFCVVCDTILFSDAVGQGDKRTAFRRYAKEY KNSSTLLAQMIVASGAANNPTTNATAEKSAWLKRALATQNHKSADREEKAGSVSTGPA VKREEEHRVRVWDAAWCTVVYQVTPREMKFNVTHLVGLGKDNLAEAIQFLNARALPKG GKAANGRENPLQNYPYDYALLFSWELQGFWLIAATDVPCDIVACRAAA XP_001218771.1 MDEEVVLRGVEDITCRCVYSHVYVYARVCVFGYVLPSSSVVYRG TLAMECTFGVHEKKNKMKKNKCYLRGQTIGTRDTVCGVMCLNIPPRHCYCSFFLFPFY NPFLLYLLLSS XP_001218772.1 MYPSFVSELSRTPGDVVIEPYSFLEHLKEGTKYEMIVFPDAFEI PQGSWAAYRSAQRKGQEEVVEPSGGEGPLITPPHAGCSSGFPLTRTKQSRLWNSVDRR ISLQRKAGQMLVQFGKSFAGNSEILAQHPSRERRRREEVWSIISAKRLASDMPTLMRH AEHRMQCLDRLRDRKEQRWELERAAGTGCQPASARFPLPDLKRKVCVLSASGSSDREG WEAREGQTSGRWHDSASIVSSALVK XP_001218773.1 MTLNEKKSINECDLKGKKVLIRVDFNVPVKNGKITNDYRIRSAL PTLKKVLTEGGSCVLMSHLGRPKGIPMAQADKIRSTGGVPGFQQKATLKPVAKRLSEL LLRPVTFAPDCLNAADVVSKMSPGDVVLLENVRFYKEEGSKKAKEREAMAKILASYGD VYISDAFGTAHRDSATMTGIPKILGNGAAGYLMEKEISYFAKVLGNPPRPLVAIVGGA KVSDKIQLLDNMLQRIDYLLIGGAMAYTFLKAQGYSIGKSKCEESKLEFARSLLKKAE DRKVQVILPIDHVCHTEFKAVDSPLITEDQNIPEGHMALDIGPKTIEKYVQTIGKCKS AIWNGPMGVFEMVPYSKGTFAIAKAMGRGTHEHGLMSIIGGGDSASAAELSGEAKRMS HVSTGGGASLELLEGKTLPGVAVLDEKSAVVSYASAGTGTLSNRWSSL XP_001218774.1 MSLKERKSINECDLKGKKVLIRVDFNVPLDDGNITNDYRIRSAL PAVQKVLTEGGSCVLMSHLGRPKGVSMAEGKELRSTGGIPGFEQKATLKPVAKRLSEL LSRPVTFAPDCLNAADVVSKMSPGDVVLLENVRFYKEEGSKSTEEREAMAKILASYGD VYISDAFGTAHRDSATMTGIPKILGHGAAGYLMEKEISYFAKVLGNPPRPLVAIVGGA KVSDKIQLLDNMLQRIDYLLIGGAMAYTFLKAQGYSIGISMCEESKLEFARSLLKKAE DRKVQVILPIDHVCHTEFKAVDSPLITEDQNIPEGHMALDIGPKTIEKYVQTIGKCKS AIWNGPMGVFEMVPYSKGTFAIAKAMGRGTHEHGLMSIIGGGDSASAAELSGEAKRMS HVSTGGGASLELLEGKTLPGVTVLDEKE XP_001218775.1 MSTAPNAKNNISLKKSVGDVWPLTAKRVLMRVDFNVPMQNGHIT NDYRIRAAIPTIRRVIDQGGICILLSHLGRPRGVSMVAGVRDIRRRYHEAQFHDNKGK TAFFSVLPGEEKVKILAKSSAREEATHISPEVKSGKTMLFARLPEDEKKSLLMQYLNE NKDSALPQMSVSAGYEEQYSLRPVAVRLAELLGQHVYFAHDCLDARVEVSRLKRGNVM LLENVRFYSEENGENAEEREAMAKILASYGDVYISDAFGAAHRDSATMTGIPKILGHG AAGYLMEKEISYFAKVLGNPPRPLVAIVGGAKVSEKIQLLDNMLQRIDYLLIGGAMAY TFLKAQGYSIGKSKCEESKLEFARSLLKKAEDRKVQIILPIDHVCHTEFKAVDSPLIT EDQNIPEGHMALDIGPKTIEKYVQTIGKCKSAIWNGPMGVFEMVPYSKGTFAIAKAMG RGTQKRGLMSIIGGGESAGAAELCGEAARISHVSTGGGASLELLEGKTLPGVAVLDDK E XP_001218776.1 MWRLLRRACVKKFPGGQTASTTFGCSVKRGATTIGMSDDPPAAD PILFDAPVASRVVGREREIMQLWQNLLAGRHCQVIAGLDGIGKTTIAVEFCSRARRSG RFTCVHWFDWDDSLESHLLQFFQSMKGRKEKDVLLVVDGTPNPQVVLQLIPDHPNVYA LVTSSADPVGNLKIPVLKAGSFTEVDADQFLQGINVEERATSSTRDVMHAVCRVPILM HLACCLMESGSVSPEELRSLLLAKGVGAEGTISVSHALNVFLDVALNELESHFPDGTK QLRTLAFFDARNIPYAVVDSVVGSERGEEFVMFLTGLGICGQRWEDSSLFIHSCIADA LLTGADDAILLRSAEVLQALWPRRWRSAGSSTAHELVRHTTALLKWFNARKLSLSNDL LLGLDRSATFLALNEGKELRKAAEFWIRVVNSDQHADRRTTEAVRVGRECGRLLHFLR DQRASSVLQYAYHLGVAVYGQQSPEAALILGCYAPYLPSSHETVQLLCQSAAALKNRL GCAEAVLGREEERMLLETVFVLYMCQGQMLQELGELVPDSLWESLQSVEKELKGGKHS KLK XP_001218777.1 MFVRRLVRLCVSRLPNGVVLQGKLNPRRPDLLLEGSVKMPDGRA YSGVFDEEYGFPLKGSRLEDDGDLYCGEFNTKWQRHGEGEAWLADGTHYKGRFCEDDL VEGTVCIPNGTTETVFVGTLENESFVRGKLTQHDFTYEGEFHNNKPHGRGRLSFTTGA EQEGTFFAGKLHGTNCKMKLDGGFVYVGEFVDGCIRRGQLFAPTYIYDGEFNEHGRAH GEGTQTYLANEPRLLFTGIWDSGALVRGTCSDEYGNPVDWQDNHELQTKVFSEEGGAS AVAMNCYSAAKLKDADRLHRDMNTSYAKDAERVSRNSGHYPSKMDLGYEGGIRQEQDA FERASRKCVEDTRRAREAMETKGEDINRLCDNVIGEASIEVNPNMAKMHFIKQEGAQQ LAAEHVDEQFERFMKSFDRPTDVGASRRLIDCNAPWKAFTSKG XP_001218778.1 MAMRSNFLCRLEVYRGPNECTLRSFSIEEAPSTILEMVNLLKSY DLRLQHGTFELTAAHGQDEAPRKLLSDDDVVAFFSVHSCPCFVFTAVIDHCDSGVGSS MLVDDPLVLLSIQHSDGGVPTTKRFIPPRREIWKALLVAVRGAIQQVLLPGQQLTLFS TEGNGKALTEICSEEAAALLIRSCMAQRSVCHLTYNIGRGDTGAKVVGATLAEVDKKE DKNKVPISTKNDNDQLPTCAVVNARSKNMDQNLPPEPAKAETVKPVAVPEPAKAETVK PVAVPEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPV AVPEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPVAV PEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPVAVPE PAKAETVKPVAVPEPAKAETVKPVAVPEPAKAETVKPVAVPEPAKAEIVEKPNLSLAV SVFLKDRDPNAFAMKKSPALRDSEIGSGRLLEPLFTKDVLVGEGNAASRPWNNAKVLP TGFKGGDGDAVTAKNGKGADESPLTSKNATECISGKKNCSDVEEELPNEVVVEVACSL EKKAPVRFFLTWKKASPKVFESFRNECLNALHLTDMDNIIIYDSNGVPLCNPSGVTAM VGEAIRKKRSCINVTAVRGHPCTSGLPLQCLFTWGTQQVMRALVLRPGCALEDMRSAL AEECGLKEKDMGELSFRLVERGELDDMELTPDNVYKELKRVAENSCVVRIAILDRRRT SLIAFVVRTLCDAASAFAAEVEHVFGGHFTEMDVVPILTRCCDLSPLEGDVKRYVDAV ISNLEGSQVLRSDNVVKAVLDPVSICGEEVALSFLNRCIAVTELMSSHRPFEVLMRFF RRLYRSIEYPERTESGVPLNVVTRQLAYAGLSDARWLLFRDQPFSSVVHEKDFVEMLQ RVYAGNPSLVCRVAVACRLSGISSLKSRRVMAKEERDVRRQHCRKEIAHAFDGIRPDE VMAYIHHYRLHGKPNHCCLLGTVSTLLANCSERLSSQWFVERVTQRCSVTMETMLQNF EDAQIPLPLLYQLCWDVLRPELHHLTLLPESHVASAFAYWAMFAVQLLCINRNLEFPP VPLVDLKEFTWVVPEKASADTVTDEADGAVAIALPTPQPAGVAKKKIKYKYSYLCHDL RRDQRFLPMIR XP_001218779.1 MFAPPECTIEGELPLKCESPFSSVYRDPQGAVWTVDSTSTRVFR FPRVVVCPNSAPGHSSPAPKGSDELDQPYFDCSEENDRVLSVVFTTVRDDERFFVCIA TAGGCIAFGVADSFEGDIRRVQLGVEVTALAAAPCTDGASAKDCDDGNEDLLETTSLC SSGYGGGVLVSSFDGTFSEVMLLCWVSGSCAADLPQRDSDVDVVGLFRVQGRVCGLMV DEVGDRVVTVTQRGDVDVWNLRANVDETHTFGMPAYLASEYGEPCCSILLGGYQLWIG TSKGFVIVFFLQPLGNVVSNDNSPCISHTKCNVDESKGSRGAPHRVWHAHGATAVRSL LVMSLGRLVWSYGADQQANVWDTTELLLRGSLQFPCGDLSELCCGGQYLDTSVWGVCS ESGKLTQFRVKELLFGGSRGLAAALCGPTSNEQMERCNVLSSFVSYLCSLLNPTSEQP HASSTMITAATDESNCSYGLSLNGDGDTDTDKYETDTLCLPPHMEVVVKQMEQCYPAS HLLPHAVTSLVKGQRIVSQLTANERRGSATFLDGLRHLQRQHQQDSYDLKALRLQVGE ERKKRQDAEARLAERENETHEYQQRLERLTVLQEECEKRTATLERQLKSAKKAAAVTS AEATRLCEMEANLHEAHCTVQGLQERMESLVRERQEYLRVSQENKSLRAQVRRFELKQ QAAKRALANFIATQDHIVDSLGNILLVDEGGDHRRAHADIDNLYEWLCRNIENQQEFL AGLKRSYETQAGGVAEDRIGQ XP_001218780.1 MSHVFLCIIAILSPLLFSFPNPSVLLRLSRHTFFCLHNLTFLFQ VMHRPLYISFSFFFSFPAILYLLYLAFTALFFTVVRFEEKEREISG XP_001218781.1 MHSLRSNKQEARVDNDPLQEVDYSYNVQQLQAEFHVLPPSEAYR ELCFRHQCKPINSVANLFSSCVGEWNVTTHLDFSQSYIGPKGVRPVVELCKRLPALKS FNCANNYLTNNAVYFISRMAAFHPSLERLELSFNEFISWTGGNFLYELTLCNSNIKEV GVLHTKIPPNVAEEIFRQSRCNCVLVYQAMGRPPKQSSHPEAIHLRAMKRFFNDMQEN GTVPASALVEGHRERCRILGEAYDASKYTKAFYDELSSRLPQDNISWEAFIITLKLDG SVYNEEAVKKLRRLFLEFNMEPTAELDGFVEVRDLPAMCTRLNNEHAPSTVIKNMCDV LGLDDTMTLQWDEFLSLMYNSVATNMEHGVNLRMASPHSAVKMLHL XP_001218782.1 MRLHSRQVLTLLCTRASSLLTTGGETAAPSCDAFTIRYVSGVSS SLVSLSCTTRDTQLHQCALQWVERLSSQLKHFPEGAPLVDEVVVNIFRVVKNSMRSSN SSWGEGSVPSLCINGLLLGLDERLTGMQFASWRPQAVLYSLQAIAMTECARQLGMTNL LFYCAKEHLQQFDENRVARLLWCVAKLQQEEAQAVLWKLACRRLLRLYPKLKAPSRAL VLESLLLTHGCTCEAQQQLLCLLHEDMSSNRESGEHHDSEGEYPATALMDIEGEYHVL KARLLSQVHHLPVGTLIAMLRGGSGQLSPCSPHSGGATTADTETTYYLLKHLIRRPLD GAACREVLEVIASFEPTDLTESLRRHVLDCVSSKRSTATSVGNNPAQLLEVICLAVVL ERQQVRNVGEELLSLLHSLIIECYNMRGAPLRRCCGRLAGLLSVALDVFTACRGKIGP MDPAITECSSIVVKFPEVWEQNGVAVTSTVSECITAVRVICELLRVPPLLRVVTESAT SPTIVSSEGNSTDDHSGSLGSRQKLVEPLLERCAKCLHRDNVLVPASAVFDTWQHVAR VSSSSAIIGGPVSRLGGGGKHDALQQIVTELFTYTEKRPSEFPLREIAKFLGASSHPL PMEAYDLFIRCLKNERKNVSAHYLELLLSSLEEVSARGDKVVLERLLLSLFVRSLGHD DAFAHTAPFTARQFVRLFHCCTNLTLNAAASMRATLLQRMTVACSEAAGIDDLNAVAS LLPLLQQGAQRDALAAALVARGKVLNPATMSDVQKALLLVYLYKAGVPIDDGLTDAFR RRA XP_001218783.1 MNSHIFHFPSPSSVCVCVCTPSAAAIVCACNKIFFLVRMMCPLL LRFSCYSVQLQFCYLCSLPLFFFLFPLLFYSLIFSFVFTAGCWTSTTHLRP XP_001218784.1 MGFGQFVFGGDSVGAWRYKALVWYSLCFLSQSPVEKVHFFIHLF ICLCLYCGMEQRVAFSFFIYIYMKNKPFPLTGKVTLFAHLFYFLSHVLLMPYAWRKVS DRLTGRSNNFTPPPSFKKKITPFSYAKKKI XP_001218785.1 MMSNTTSSGKGPTTFMWNSVPPSGDMPLHGCCHSLANYKDEQLI LFGGGALHIIFNDVYCFDLKTSTWSYKETTNSEIVAPRISHSAVVHEDKMYVYGGQDL YMPTRFADVLVLDLITFTWSLIQQQATPAPDGPGDRRLHTAHIYRNCMYVLMGEPCNI SNSFWFLDLTTLEWHPVHSSGWFGKPILPLLGHSAQVEGDYLYVFGGYHADSARRNGS PVYNNSLFSYHFPSNTWREVVPSSGPRPSPRYASAMAVIRGRVFIYGGDVDGEVYFDD FWCIDTNIPSSAASQSSSSSSYTTSLVAAPPAASSRWIDITLSCGRGRPSARSGHASA VAQGCLFVVGGELPGDRDVVYYSNRTYRYPLGYLTRLSLVELSSLWLAKCNPANIWML DTQIPRHVRQLLVRNLNAQDRESTDDMMPCTRVV XP_001218786.1 MFIAIILSYRTGVNFDFLQCVYAIARIEIRQMEPVDGAAGVGGI WTAIDAFVACGSSEAGVFLRNVLREAARSSVITTTSPPLSMLADACARFNTNGIPLST LLLVAILNRIFCMQDVAAALALPVNGGRSSISNDLLPFYKSYLDASIGLPESVKSQLY LCVSSLLVLQVSGMDVVEALLSQFGGTVPADEEGFRCFIKIFSGCMMVISDRRIPMGP VQRSKQRLRLQRNIHLLLMCAPSSTEELKCLCVTVSQAVTFLSECTLQDPQDTSPLFW KHLPTSSFWEAVIHHLRHGISGEEIISAACTVIRAVSHVDDSTFPVLMSALLAVSGTE GVTVPVLYTCRVIAAAMESSVETVVLNFDPDHALYRQLAHGAHVLEGILEQQVTLLAN RVSAVATETEEIVLVVCEGLQVISQVLAPLPIPEMDPTDDPSDYDMIVEDIRYKNERK EHALRVLRDFFERCHASLLRWMKHVDDRDVCDIVDNLLSSDVDEFFVQYDELPIALFA TYERLCSLLWRQPSSHCVPQCAELTLLASEGFLATSAWDVSLTYQSLVFSGSDSASKQ QRLLRSSLLVPVVVRRHKDKWSAGETASVICSLVELLRWAVTCKIDVKCVASISEGLR NLQTSAEPTLMESLWLGLHLDCGEDKTARWSLASHLGSFLSPGLLPALLPFDDCTQLI LISHSVGSVGDVWRAMGVLQRVEPDVAYAYMSADRIVSWLHNYISQGSVTTPQDRQVV AQYTETLGQWCAANPSHFRVAWRLSKPLGPEAAAEILADSLEMYFIRVIKDNSMSWLD EGDYFSPYVLDTLAQSGHAPRLLRVLKYVIRIPATCISTSDAPYRLQAVVRGGNTLLK GGRCTNESLLELFYEATVEYRRLIQSLVADVTYDLDEGDKREDNHEWLLAELSKLGRV AQLVFSLPEGVPQWLHEVRCAVDEFDVRRVLKGN XP_001218787.1 MIHHVSVLSLSCAQGYWWSYLRRLLKFVFAVLPSLTPSVVSAAQ PFIFIFLTMRRMCVHLCRAAAAAASVGSSNKSDPIEEKKQKADNIFTIRRSPASLYES TMHSNTLSDHPLTHRARRNELAYRKRRLTERGNYEQAAEAEAEAERRDREGRGGAETR EYYSAICFVVLGYLTAHGVVFKRFYPQDLQLNYSPDRGYSDEVAARRKELAAINEMVG VSVLESVYSKEKAKIKSEIDA XP_001218788.1 MGTMEEEMGGGVSSFMISLLCVWEAAECRARRNHPIQEKVIRHV LLLQLFKALLRQRGSRVELPISYFGSRSTNCLGTNWEQHYISSFPRG XP_001218789.1 MLGLKAIVFFAVLCFVSAEYCCGITQSYILQRRIDGTNDWRIVS TFNISRHSVDSLVKLKHSSPQGDNDLTAVEKDALVKAEHVFYRVTRGGDDTDAGAVAV ALSPCTIVRGFEALDPRTVLLHETLAVAVGTNVSVVGLQVTSTTNAFHAKMLDGDECD LTVLLLFPQVKMRLKLGLLVASAPLTTPNYTELAEYTNHLSKEGSSQKKGTKKSKKRS SDAQNPLSQEQEQDDAEDEEDKRSFVERYWIYFVVPFAVSLLQGIFAPKQ XP_001218790.1 MSGVPFPSRVIGDLDYSNLLNIGQEEAIRCVLNAYPNIGLEATN LGRARRIVQRALNDNGMDGNKVMLAYTSNLISSGLRDTFACLARENRIGAVVTTAGGV EEDVIKCLGDTLVGDFALNDHALRNNGLNRVGNLLVPNDNYRNFEDFFVPLLRRLHEQ QRDSRWTTKTTPSQIIAEIGAALESVRPNDCGSSLIYWCYRNDIPVFSPAFTDGSMGD MIYFYNYSRKGLVVDPVPDVRRLRQLGCKSTNVGRITCIVLGAGLPKHHLLRNVQADA VVYVTTGSDADGCESSCNVMADRANGLLSPNCDVVRVHGDATIISPLLLLRSSDGKEK VGVREDGN XP_001218791.1 MYMLGWQTMILTAVSEQNLRNLKVTLDTSRAVLVQGEVGCGKSF FVKALAEECGAYSTMIQINVDDSFDSRDLLGKFSATDVPGAFEWVPGPLTTAVANGLW ILMEDIDLASFDVFSVLLSLLEESTLFLPDKNKRINAHPNFRIIATQQLRSVGDTFTT RKSNSVPFGELWGVTVLKSLPPEEICVVATSLYSVPRSVVSALTTLPSRLFGTSLVTL RSLLKWCKRVSRRLPNTNLSNEFISSTIREMMFREAYDCMLAGFPKCDELIRIINIMA ECVGILPNVADSLAYQSKPEMRSGAEYFTVGRVTLPLYGCLSGDLKTTAVFAATKHSM SLLERIAAAVDAQENVLLTGETGVGKTFVVQYLADKVGQKLIVHNLDQQTDTSDFMGG WKPLDVGVAVRNAYQDFVDLFSQCFNASRNAEFLSAVQMSMKKCSWLVVVRQILKGCS SFKLKNIQHPFSTELQERWLNLENVTQDLLATLHTTRNTFAFRFEEGSLTRAWREGYW ILLDEVNLASTEILERVSAVLGDVDALYLTDKVGSEPIKRHKNFHVFANMNPPTDVGK KDIPPSLRSKFTEIYVSEPFEQGDISIVVSEFIGHLATDCKIQEVTSFFLEYVGKAKS ALCSLDGGTKPPTVSLRTLTRALTYVRKATSQYGFALALFDGLMLGFATPLERQFHSI VEQLIKKHVFGNGEPPRPTLPRCPSGGRFVAYEHIWLPVGSEEPRKDESFILTPSVKG HLLNVARAVFADRPVLLEGPTSSGKSSMVKYLAEFTGHKFIRINNHESTEIQEYVGHY ISDERGKLRFVDGILVDAVRNGYWIMLDELNLAPTEVLEALNRLLDDNHELFVADTQE TIKPHPHLRIFATQNPAGVYGGRKLLSRAFRNRFLEITVDDIPNTELCTILCQRYSLS PSFAEKMVEVMTTLQIRRQGSRVFAGRHGFVTPRDLFRWAERCPGTYQEMAEHGFLLL VERCRKTEERQIVKDVIESITKTELNEGDIYSPHHWPYVAEHYKHVESRVAEEFGIVW TESMLRLFTVVGICLFHREPVLLVGETGSSKTTVCQVWAAILQRRLSIVNCHQHSEAA DFLGSLRPALPNQQSSAIFQWRNGPLVECMLKGGVFVLDEISLAEDSVLERLNSVLEP GRTVTLAEKSTADVIFAHEDFRILATMNPGGDFGKRELSPALRNRFTEIYVRPTVERE EVTLILSKRLNQNLSHWAAHMASLLCDAASVCSVAGVPQHISIRDIIGWVSFMNAADG HCDEQVSFVHGLDAVILDGVGVGTGQSDMGTHGLREALIAKVREVCKVSSDCLREPFW ELFDTLEEPTLPPEMKGRFFFGAPSTSRNLSKLVRAGIMRRAILLEGSPGVGKTSIVE ALGCALRKHVVRVNLSEQTDIMDLFGTFLPCPSSGDSGGPQFSWSDGVLLHALKNGWW VILDELNLASQSVLEGLNALLDHRSTVFIPELGEEFQAADGFRVFACQNPLAEGGGRK GLPRSFLNRFTRVRIEPFDVEDLMRIVVAVAPGIGEATLCKMVGFVDELHREVVVRRA FGVRGSPWEFNLRDILRWAKLMEAYGVMDMPCEFVDMLFTQRMRTDTDRKRTVELVEK IFGSSAMCGLTFQESHFLIANDAVHFGSRFLTRRVQGSNPFIDTAYNSLMLLPSQAGV VRSLLTCAEQNQLCILAGPTGAGKTFCIRAAAALAGARLLTFSMNASCDTVDLLGGFD QVEGKQGQFEWSDSLILEAMQSGHWLVLDNVNYCNASVLDRLNPLVEPNGMLSVNEQG LVDGQVRVVRPHPNFRLFMTLDPKYGEVSRAMRNRAVEIYVHPVEIPSLESLAISGAS TSCRSVEHLEMLNRYHREFLRSLFSADSALPMSTQLSDALASGTPNTFTLVKTASMLS AASNLEDLKMFIRHKYIDNRCGHWNVRKDEVATNLDMLVAAGESDACRCFPSVADLLS ALSVTGSSEGSGSALESVEEALVRIRVLRSGLALRAQLTAEGSHQKCHSLSDLVLYFV LDQCADGVLSHILFESRRQLLCWTIGDALSEQGMNDVLSLISLQPTTDAAAHLDSIWC SSAPVLGPHASSLKALRDANIFISDSPVVNNSFNRVLSAMGKVVEVLSSPSLQQRIFR LLGPLARLVCSSFPQYQINVDNYVSLLTNVLLIRSVIKKQLGSTADIFFGAVDEFLSS LRNYLGLPFQLVLEGLNIRKRIVRPHITTAEERAALVAWKASATSALHEKHECLLKSL WDSAIFRAEFSLVLRAQQLRANCSVPVAKRLQCEVLEFVNIYCTKLRVVPQLNVTLWR EVTTIPLVVERDAAALSSLVPLLTTNFLSRYGLNGGRHILCGSWTSLASDALDYAAEV SVAAIDSKSNPHVRALDNLLEFCRVLPMPKVDPFEEWEANCLTDALSLLEMCQVPHRA LNTNGFSVPEEPSTAMLVQLLSKLRTLLAETQGNPLIDALRPVLSMIVHSNDFTPDDS LRRIALVGVLRCRMLVPRTPIDPMYKWLVKREVARNELVYFSRLDAAFSWSEELSMRG SCSRQRLLLQGLQAEEEAHLARALEKVVVRPDSTGAKFAQLVDLLHQTCSSMLSDSRL EVVVAQRRSETAAARKAWSEVVYQQFIDVLDNYGGYEDVTLTVSEPALSATLAAYIGY YMQTRPVRKSMIFSVPDAVRMMHFPSTSINSPVLPSSGSQSLQQRLAYLDSCLLLVQC TPARVIKRDFVEKLFDSYRELYRAVEELEAKERSEQELSVLYKEKAVIIEGDDEAHMG LLKQLFPSYEEEFIRARDAEDDKMEDATNDEVDLNPAPKPEVSALSTGRHARLMLSGP QGAKYMQRLLDTHYHFFESLLELNDGGAFCGDRHLEAFKGVFDVVSKELRGWGCSGVY EDGSLVRAEDEQMMAGGFAARATLLSKCLFSSETPLSEAKAIKFNIFTDPAPSELRAF NPSLLSLMQAITELSAAYPDTPSLRRCSRIATCLAALPVLTTPLMKVMTGCEILLREC YEWERNASRDTSIMDHMTHLSTFVLRWRRLELHCWGQVFAAKREEWELKVGKVWFSLC DLTGVQQPIPMEELQDRYAKFFHYCMDFMWGARCGDFHARLRLLKAFAFHLAAAGRRS SFPLANASCHLTDFFTQYSQLVEQKLRIALSPIEEDMEEFTRIMRWEDSTYYAVRATA EKSHLKMGRGLASLEDVLRMPVLQIISTEEQRCEDDQAMGFTLASLQLEEKNGKKNKK QGAQGAVDIGLKRSRMGRINAKAKTAVSGCEAPPGGEDADSAEGKLPGYMSEGVEFLK FAANEIIERVNALQARSVPQNQKLRALKTLFTVMEEKGVPHTHALQVSGWEELFATSS ALLPCRNIHKHLVASKRIEEAAQEYYRGVRWLQRMRDAEKHPHKDLSDAQVKRGAGTA ESLFAVAVQEAELIGNFCEVHQQIALLRDFISTCEDRAVPTGAEQLHASMEMCVQLQS FVYDVRWMLQEHIFDLEGSRSTIDELYCLTEELWKLCCTTEGQRRAEIPIADAIMEQY GALYGRVRLVCSRLCSTGVGCVDSASSKLCESLDLLGESINCAVTASMPTRAVSAKRN RTEVGAQWEGKVTVMVESLESAFAKNDGLTDFFASSPGHQQKEENSTGGGGTDLVYRR YAETVGKLLAEARTAHSSLHTLLHDETHDRKISSAARTQLLSRLDVCVEHVGLLLQGA TRALLAQSHLTLVVSRLLCILFRKGFCKSEEEEEEDGDGDGESGDGNQLDGTGMDDGQ GEKDVTDQIENEDQLMSAKDKNDEEQEEKQQDKGDGSSDGEDNAADVETDFAAPKERR DDDDEEDEDDGDESEREMGSVDGEEVQERKRMKKETNEGNDLDSDDGGNADDVPEDEL ANEEESIDGNEGDQETANFENREAAIREAEEQQQGEDHDLAADVLEGGENDSHDGGDG DGESNTCSDEGEREGSEGDDDDNAGDSDDEAHNGSGEASSVLSDNARDIEGEDNGKDD NEGEGTCDSGDFCEDKEDDWADENVYNGGQQDEHAGEEATEEREKESKKRPRAAQQEA GTTEEQQPGEEEQQDEAGRNWKRQNRDERTQHRDNAERHNTSRNPYRAIKEAVERHQR SVQQLNLNKRVEVKKHREADNQQQNEQQSNRKEVVDEFEFDEEGEEEGMAATDEKPVV PTEATNNLPEQREVADPFSESGTSEELDEGNDEDTPPRHRKHAVEVSVDTESSEEEGD EEDAEKKKARRHVKVASKIRNDNEEEEDSFDDDDKTADSTGGGEYDKLCRGRELWQEQ TAAVHTLSHQLCEQLRLILSPTVADKLQGDYKTGKRLNMKRIIPYIASQFKKDRIWLR RTKPNKRSYQILVALDDSLSMQCNNAGIMSCRAVALIAEALQQLEVGELGIACFGKET RIVHEMHEPFVAESGPRAFSEITFAQKSTNLKLLLETTLDYLDDARRRMNGQIRSSTQ RLQQMMFIISDGQITEDRMELRKLLMRAEENHQMVVFVLLDVKASGGENDNNGAAPLA PISAKELAGMTPAQRMRRLKAERDSRLQRVKSNSVLDMQLVEFRDGSVVRRSYMEEFP FPHYLIVRELETLPSAIADALRQWFELLNVQH XP_001218792.1 MNRTVAICGQRSLARFKYAAVTGLLTLKVPQGALFNMLVAAIGF LEGFDAHGLSFSVDVPDGAFCKTRPIGCCYTEVSRVSSLPKLMPTEQTSASTRNRYSM NAKTCESQPPHSVENIPFRVFIDVADGPLAGEEFLYDKLKTVSCPCISMKVMAVFFAA GVTAPKEIMLPFFDRVATHDKTTAMNLASFLEYEMNISSYVRFLKSGQTKQHYPNRSK LEEIMKFAARSNCGETQIASQRGGPTRSSRLQRTRERK XP_001218793.1 MDGGGRVAGTGVQLKPATVHGSVECVEFDKLSGSSNLFALQTMP VYFGASRVPWRLLDVGVKERKPASESGSAGAAMWLALVRFPPRGACPMPAAMGGWGVR XP_001218794.1 MEACDTYRRDFFRLGFAWPQRNTSGVIVGWQSARAGIRNGGEVE VKTKRLWGCTSPRVVRTFKAE XP_001218795.1 MMRRKREESAEGKERCGYDGYPSNPQQSAAKKQGLHLLGGHFTG GLTTAISEAHQGESTSSKQ XP_001218796.1 MGLAKMENETDASASNIERKRPAAQRRTSLYGGARLQRCQEKRY KMSISNKNGLKRQRPSLVQCFQAATAGFGNRTTRQHHSTHQLVMACATMPSKRYKHVL RVYRRVKTLLE XP_001218797.1 MLIRTLSPSRVPETGMLLGYLHRTVRQPLIHQLGKKVALRPRRG QFGSIHPSQEQPAAGCDSKRRGMSILFLEHTAIVLTLRRFAAKTYPHVTAHSAVSPSH IHIRPLPCAPKKLSRDDRTTPTPWCALSPSPQLTTEILHFIGTRLVSATTKNVVGNPH RNIHQKKKKAGVVRDTTC XP_001218798.1 MTTAAPRRQQTLRHRSGGTHRAHVAYTLKIRSGRGGCSINPPLG EERPRNWTGSTLGRAPVTYGPDIASITCP XP_001218799.1 MFKKIKSRIGKKAGTRSSASALLSMPLTRMAPRKELIRFNSDSV QITRESTNRQTTLSAYTYLRVANHRKEANDPLLARVAFHTTKDRIRKRKPPKDSNVFK Q XP_001218800.1 MGTPHPTFCYPSTRAKREKSTAPCSSFTRRVSNDKKYHSQYPHS LGGINTRQNAIGCSPQHILSLVRLSTTSDAKQKTYNNQKLGNAGEIFVPKGAACLNHV C XP_001218802.1 MSGENIKNLLAPQPTVDQGPYSGKYEKRMVDLPKPTYSTFYNKN KEFDSTHPRYFKQRDAVIGPIVNDTVDPKNFLRTGQGIKHIVPPVPHKKQHRKDFLDC GDIRKRTQGAMEPADQQQKESSGMGGMGSLANTNGVGGQAGDGIREHDMSSNDPERKG EQANGGDTGLRGTGNVESAVGSCDNKNNFQGAATGDNGRCHLNSLDRSRCKKDFVTSN IIDVDNMVPKRRKDQPEDPTRRKTFGRVPGYIGRVKEVIEKERMQLKAIGEARTNNRL ERVGKFVYRLDEQERLNMISKLRAKLTEKGAELNRMPFAKDTYIQMKRKSDLEKRIKE IESSLEKLEKDAVFIYSDDPRVVHWTKDAAFEEARLFASD XP_001218803.1 MRRLLCLSRTRVSFMRWKFDEVAHLRDQVKALDNRIAFIEESQT IKSLLAFYSSRPLSNINTPSKFISYCAESDHNAKVFCHAELPTLLARLITTIDSFPCG LNAMLPIVSVRNTFLDSFKKLIKCDFPEDGAKSEQFLDVVKEIEEAHMKREVLLTIGT GLLQLKDLLSCHKRFILRNKWSGSYKEMEASSNDWLLDLTGPLDDFCFRMVNYNFLSR MLLNSEVVKNNMVDLVDLQIDLEKVVRNAVEDAQSICTNFYGSCPGVKFIILKDEKPM KLAYLSSTISYVVIELMKNAFRATVESHSDLTSPTIDCDDAPQVEVLVNIKEGSSHAC IRISDEGLGMTVAQAKMAMSYAHTSAKKCLIGSHLGQEDGGENVAPLAGYGFGLPMSR VYARHFGGDLVLNTMEGYGTSVYYFIQI XP_001218804.1 MDGSECFLVTPTRWGLMLEYEREDAVALLRQKLAFFSSDSLTLT EREDVLLSSGAESSKTDSLLIYGSVALVRKEAFLWFLRVIRLTLVEDMASQVVICPQV GDAVPNLLLPPLWETGQTKSFRRWSVVYWAMRCGILRGIKKRYELTQLYLDQIVSIGA GGDDFDVREEEEAAFLQEKLFHNAHQELRESVLEAKNAPFDIYHSACNEERINLSFVV SNVPTLIVVWASWDGASLDWLRKNIFNREVTCSCDPVPRPTFVADLKIRDPWLRVVRE FTSIPNGEANQPVEEVSGIAKCAQIVLISVDEEQGAAVGCLKGLIDGIDGWKSPIVPL MPLWSGPKGMLSELSSALGFSRLPYFMAVQNTRVETAKGDAKQFPRICYVTPNGQGEV PPTQSCTEGNTAELGECGMNSPDWHLVDKGERQRVTGEIERFLLSTDAPLRFHARVDK TYHSLGTPTLASSESHQPDVSSFVSLSGTISSLDLAKLKNALRVFAGVRNSAVNLNVI KPSCPLLVELNPATPTKYIRGVQRCVTCSECHKDILIDKEYHFRCIQCSQVNGTLCKI CFEGAKHPAHHILLRMNVETPTTVELLWGPSNVAPLELFRGVLVTNKRNTHIGVYCNL CAQLVRGRRWKCAMCYDFDICNGCIEENCMKFSDAGEVSFVVSDGVGHGQKNTLSSSY HTKDHMFLCVRHACGSAGDACLRPVMEPNAVKLLLEG XP_001218805.1 MGCAGSQQQKPRSNQNIRTKDEGVGPTEPFGAQNGDEFRSCELS TVERPIRLASPGSLSRLEAQQNCRETSHRRRKASKISGKDNFYFSHCSVGGNGRSKSR GTYKLASQDTFADGEMTLTILSNSSSPQLLRIQPSERAQQGDGTWEWWYEQVEKLLVT KDCNSRTRLQDIPNRLTRQYDADKEHVELDLSWCFMERSAPYVLSRLLASPYLVEIRW VTALRLDGNYFTDDGFGSMLEVMSTVNETQLTLPLLRHLYLNNMNLDHHSLHGILFYL FPVDDACYPSVGLTSDSRAIAGRAFGKPPKAYLPFNSAPRIALFPSLTVLSLCDNPGI GNLGLIELLRCFLASHYEHRVLPVLDMSRCGIDEVGARCIREYIEKLPLAVEENRPVV TTQRIVLYGNRHSADRVVAAATDDSPYDTASVVG XP_001218806.1 MSRFAASGDGKVVARKERALMSVKNFIELIPLDIDLETTRQLVL KGKNITAIPPNIGTLLREVRRLDLSTNDVRDITPLATLANLTSINLTRNPHLLSIAPL ASLHLTVVVVAHCGLRSLVGLEGSAGTLKTLVVNDNNLLLRSPSGKCVEGAGADEIAT AVKNYDVIADLTECETLVLSRNPLLCSLYAGPTEEDKTHPQKKAEAGEETKCEPDWEH PLSAIVKMTCLKKLSLSGCGLTSLPRHWFIPKVTELRLSQNKLKSLVPEGVLFRSVKI LDVSHNELKEASTLRRCRFVYHLGVRGNPFVEDGNVSTGAKRKAGKGDDDNKSESPPP AKKNLGVVLQYLMRIMPHLEMVDGTPLAQLLPTEQDDERKETVEFTANKQEESAESVA DDGGSKVPEEEDNALEPPETSELGKPAIVRRGQTNLLATKKRRLSAEGAAVTQILLKR KTEASGW XP_001218807.1 MWRVKPLVWLAARDVNTLRTVSSLHSRTRQEDVTISGNDKVTRN RERNYAAAPSGCFRFDLPTASLHSELSRGRRYDVPKGKSCSGQWASKGKICTTTNNGR AAVGTGKEPSMGPLPSSRSSGTRFPVDYRRMKESHKVEAGNAVNSTISSSDSRAPSKR ISVRVIALE XP_001218808.1 MNVTNPSTVRYADAFMSYLRFLEKDVWWTIVYVIFLAWMYLFHA PFRVHNVWRTDWYAQVTKVLQVWIGVVVFLFHTGSGFFQPQRSLQAEICNADDDTVAT IFFPIFSTTTLIILYIHSKKRVWGLGVTYGSSTIVVVLNSLLLSLFSTVHFAYGMRIQ DFEHSSLLKGAVGVLFPSPKQTLFPTLLLLSVMNVLFITFDYQYKKRCGFDPLRGLLW SEVCRSRKAHEVVPTTIRDGCDWRKLQPKPKRISKVEQPWIVSPEGGKRLSFALSSLE VKQLSVQYPVNRPGMVPWFSTFIAGTALQSVLGNSLNFLTFDQRAVESQMNPKVFKLS FTKHRSKISKSYSLSRVSECSPSTSVDAVCCDPYGDDDYENVWFDFVADVGDGFNSTY EMARLMAQPFLRLASSDSVQTRSGLVNSVSVRDGGGRRGLMTPPLTAGDGAFLAVEGN GQQNRCGRTLSMCDYEPQENFSLPRASFVVVGGDLAYPNPTNETYRTRLLEPYNNALR CCAPLCKLVKKWYNRLVVPEEDNKDVARIHMLSASKVSEMTQRRDIADMCLGEDEVVH STPLLFAIPGNHDWLDGLVTFKKFIIDESWMGGWFMPQKSSFFVINLPYNWFLLCVDT GSVTDIDPGQRNYFLNYIEEHLDVSSCVILISHEPGWIYEAMNTNLTSTMQPELHRVV DALGTRLRMRLCGDIHHYSRHTPTDALSEAPVLVVSGGGGAFLHGARNNTVIYQGTEY KREAAFPRDNHVTSFLTRLVGFRLINWKFDIIAGFMCFGLITSSLPLNMEDKKLEEIV DIHVLFCSTVTRTAELCLYTFDKGIISLFVAVCFFIGFFSVGSGRKSVCFRIAYSLCW TALVVFASSGMLAVVQTTMAYMMNHGLILSTKEQWSSMLESQVRTAADDTSNHLIEWL GDEHALSRGIESLRSAAHGSVLVGAACTLLRSMDMIENLAYLSYHVGTNVTGTLSHTT NRVQVVLYYLHILLIYWLLATPLLSFIIGVFLFVSVHYFDLTYDASYSSFQIEDYKHF LRFCLDGRTRCLHAYVVAEKFVPKVWICDGRHVTECRDERTKHLPPHLKKHPSRWVPH GVSGTDYTPTVLEHFVVRPHRVTQPDPLRE XP_001218809.1 MSVQCQVAHPYLLLKSLSLLTISKDAWAEVEFTESSVTLDVEGA GQSATATAVLPTSIFSQYTTTGVRFAVHLGMFRNALLLGGPSQLNSMSTSRVVLSYPT KEARLLVELSDGKITLRSKLITQRPKEYPLDLQFSHARILNQVTLPGDAARAAIEDLV TAQCSHAVVTLDPREGVLFRGEGGPYGSALIKIPLNSDVISSIFDGDERVETHVLQSH LVLVCGVRSGGKQRRNTLVPANDFLMGPNSNGGGGYGQQQAAVGGFERLMLQINEARQ LSVVHMLRERDIPVTVTLVVSPIYNLHDQ XP_001218810.1 MADLSLQVAQTPNDILKKKKKRSAVVLGWHVGNGGHASASAASL SYCPNGGITLSVLAQLSLAMRVKGALSGKPCRI XP_001218811.1 MSQLSELLNTSQLTKYYALIQFLSSHTNTEYTLAQLDYLLPRGA SLQRFPASWIEFMEEGLCNNQNVELYRRPVAGGEAAGENISSLVQEEREEIVLICRRP VINDLKDLARLLQSPATVPDVEGCVALHTDQITLNENLLRASQTQGLLYYFPDNYTKS REHRSAKSRRSSSQSEIVSNLMRNEDIEGLLNEESKDGKLDLPAGVTAQFMLYNRRGV PVRGRNSFPMRYNVGDRAMVIVERSVPPDADHVPAKPPLVTTTLGRPVGMSSVGGSDG STPVEIRVKVSANSVGSQRTCISIKAQVKGRVVLSLFVDSRETIIPLEVLDESVGMPG VIVGREQQPLLPVPDDIRINDPAIWGSGNGDEQAGRGGVSGIDRDSGSCGNSALSWWL NPSPAILEVRDLTLPDSETFAAASRAVWNVHRADESAMKAVRKALKDQRNSETTRKKR NKRSRPRDLRNSHMIHYGFDASIPFGTQRSFPKDA XP_001218812.1 MVWFSAPDNSEFLGDPLPRKARQPKVHLATEVSVEASVPWEDVS SWECGSQTSRNSSRSSTSDNAANGHVANINKFHDRMELIRRLNKRRRNNSTCRESGRT EQWTCQPLYVGVSTFPEMKEMSPKSVGGENVPFWNGAVVHDSPLMTDRSGSEVDKKPL KTRKCAWNLTYVRKNDWPEAHQMHEGDAII XP_001218813.1 MPKSSSAYRHDLPEDLLELIDHTTKGVQRLYESTKRASRAVSRN QRQAPTTLPSYSSCSECTSETEHAATQLRNGRGTSVPRQKSSSSRAKERKASAPAVKS IRDEGTELTYDDGLPLHPHPLSCVGHEKNGKGRRRSTDSGDRVTARQQQWGYSQPLPT SGADMGGPSHMRMGSTTFSSDNPTHHPVFNRSASRQQMSTSSAFSSSAAFEQDHSSSL ARKSWKDTFRSLLTGDAAPPSFSNEAAIETISSRSPTPFTQGANAVPDKAPSHCGSRV ALEDADRHSRKPRSPLQEPEEEDIEHLRHRLREQEVSHKEELAQLRTKHCMEVTRLRR AALEARQKILDEVTAQLQSSADVKVKLLRAEIESERQRADGVQKMLEEDKAVIERLRG ELDVANNNVKTLRKDLSTKTKTIAKLNDNLEGTQRELAECREEVGKHSRNLAESTRRE KVAISREKEQRNAVRQLEEQLNERERRSREELARLQEELRTMSDGYEKLIKEASEKLS VLEKVERKYHALKEQHRDEKKQSEVVTSETAVLKGEKEELERKVEELQDELEAFRLQV ARKEHELREERVGHSQMVDEIVQKMEQQQEKSAREQEDLQKALRNSEERLARSQRATE GLERQLQEEQAHSKELLLKLEEGTLRHKEELAATRRSAATYHQQSEGVISSLKRQLRE KDTKLEALANAASEPLHRLRSQLEDERSKRARLEEQFNQYKQKAKLAQEAALREIRRE QQRVSSVGRTQPKSGLMPRPRLSGHEEGDLMFPTPPSASSASPAGTIAVADVSPMPTP TYPPPLRDTDVAGGSSRTPVKVANSVSHAPQMHDRHNEGNTVTPPQYVGHRSGWDSVG SISNISCSSPLCSSRFGDLRSVDESVVSAGDGRPAAHALANGNGMSPAGQTIDERMVQ HELVLQEFHKSAAEVFRKITGNRDEFLARCTSAVRSASHRTADKEHISVHGNGVLD XP_001218814.1 MCSRSRMWRHMIAPECAVVKAGLRHLFLLLFFRSPNLWTILVRY CTWVPWISTKC XP_001218815.1 MSRGGIFGDPRKKGRTATDKYSKKEPPQSKNRTETSSAAKVSKK AGALYGPVVVHSSGCASRDSANDRRGIPFKNKHEEREYKKAKHIPKYAPNPTGGKGEN GEPTPRPSGASSAAQRRAIRRVRDDDSVLEHFRTKLSASTFRLLNEQIYNSPISSVAE LLRDPSTYADYHNGYRQQIQQWPIKPYDVILQALLEDRRGRFVANKAKSMPGYIPSSW VIADMGCGDAQVAQALCPKGYTVHSFDLHAMNEYVTVANIAHVPLEKKSVDICIFSLS LMSTDYIKCLYEAFRILKPKRLLKIVEVRSRVPHPRRFAELVEGIGFTLDYHDTVGDY FVAYDFIKLDGQESANQHPKYDPQEVLVPSLYKKR XP_001218816.1 MGRYTRRFTLRVGVGAVAFACAWAVCHRWNLRRSGVISFPEEVV HFQPLPRDLKLRQMKESSSFENPFDALIIGGGVTGLYTAVDAAKRGLRVAVVGAGDFA SGYSGTSPPLLPGAFPYVQRALRQRDAKWLRRAAEAWEARTIWSNVAPSIVNNNVRTL VPSAHAMEFMELAATAVVATLLSPFFGPWRSCSFVRGKHLLEEFPGAEDKAVGAIIVR DATVDGAAAAIALARTADALGAVTLNYAQVERITEIDNESTSSGRANFVVTISDVNAC CGLGDVATSKVEMYTRSIVNCAGALVDEVKQLFPGNSSDNVPTAVERHQLSSYLVMPA TALLTGERITGWAGSARALMASSTSYAFSSVMIVPWFDECVLLGPSVAPFSLSPTRAA AAATISGAVPMHSLQASGGHASGADDSTCERICAALKASGVEVDKGRILSCISTVVPV LRDPSSVPWLSNVLSEGYHIAAGGENRHRVHIYGGSLGLARLIAEKAVDKLLNNGITF NVEEVKRLRPCETKWLPLVFGSAGAGMDYYDSLSPLERVRTLVREQYAVRLVDVVSRR TRIAYSSPAEALAALPALAELMRVELGWSSRRAKWELEEARSFILAATALT XP_001218817.1 MATIYHEGFKVRYVTRGIGSWVLYFLSLAALFILPFVIATSMGG IWLTNSHFTQHPRLKFSGRCLLRYTTVRGKEKLWTCSDAFNEKLLYGHAELDTLPFFT IYEEDRDSDGSVDCVTIVLGLPVNSLGSRVENSFPPPDEMDAIERVEFIPEFIYEIIH PSIHLNMTAAPLIVFQRPGGGHASASGTGGAHTGGPVCASTSGDILFHTTEPLYSWSN VHYAHTYADSPLDAVESIDDVLNVPRFAQKYASRNQSLLFRRYAESAGGASLLPDITY DRVLGEDMDTVGDFTWKLTLRVQDANVPYVSSIPELIKWAWIQYFTIAYVIQWVLWKL RGMLLKSGMIGSTAIFHRGYAPR XP_001218818.1 MAIVVVQVGQCGNQLGEELWRQLSIATDKGAVRSPFFTSNRKAR CVMVDSEPKVVQTVYNRYADIMRAENVVCGHSGRGNHWALGYYGLNNPKSSRCAEKAA ASRPFQVTKDQRRGDNFVVRDALRAIYAETRRTDDTEEFEAILVLHSLAGGTGSGMAS LLLEKIRYYFIEPTEDELANADEKAEADMMWNDGLDGMLMHKRRALFLISIAVAPQSI GEISTQSLNAALTLHALRIVDAVLLLRNDDCLRARDDRAAGPRGAGGKETISSSSSLS LLKPCATFTEVNEVFVTLLMPVLLYGVGESPICNLVLSCSPSHRKMNNILTIVPTPQR HYLRFKESSILSRFYCITGAKSHLPSVCPTVPVELLHTSRSLQSTDPVHSREEVKIPK PLYLSFVQPGSKKRSPAATLLTEIEGVLVMNQARELNAQLLFPLLRTAAVKVKAGAFM STFLDSGVAAERIQLAIKSVALKLADAEED XP_001218819.1 MRPLRCSFGRRHRVTSAAFGVSQFPSSSSTFSASCRWVVIPPVE PITSASASASSSSSSSRKGECRTSYRFAVKDEERGLYDHGVTPDARTLAAEAEAQYRA SSEFLHAPAGGLPAPELHMEVDGTSVSCIAAPFGEEYVPSSKERFAHRGHVGDLSRQR TSDRKYLLDARSHLNIRKERQLSELLSAPMPLLQKSNEIQQMLLSDFYPQNLVVSSRN VEAVIALWAQCSLEQCIAGLNSQRPENLLAPSADTTCHEVDAVDSVPFLNHMKRLYFH SRQTHVAPTPLVLEYVMTALGNVTTADSRVFHLANRLLLDADKYVILPTRTTYAAFFT ICSLHNAMPFALSRFKDAVVNLHVAVDATMASAILEGLVQNGLVEEAVLLLARLQHVP MDVQLLNTALEVLLLSKQPQSCFSAFEAVRHSGVEPTADTYTLLLLACERSGLWGNTT AILADMQMRRVKGDGRTLNLLLKGLLTERLHGYSRQLYETMVNKNVEVWPALESHMKS RTAGGEQLKRGSTIKTSKSSQPS XP_001218820.1 MRQLIPLKYCCVADSIYRVCFVSLCFSPSPNFHCSPLFPPFFLL LATKYRVRVCSRHGFHFWVVFNRRRTSIFDPQARSMLSYTVKEEVKDEKLPGANNFAD VGGSLEDEEPMTLYTCANCTRVVALCPSSQLMCTHCVHRTGSSTVFYKVRQQPTTYDT I XP_001218821.1 MRGAHIFNSFTLCPWWLIVPNSFSCIPYLERSAARLYVIWAHSC LFLCYRVKSEIDCKALQPVKRQNGVCYRFLLLTTKTYFSFLKFSSINRKSVGCGPLGC ASVNLFIVQRRRSLHAQTSACLGGGGGRLRDFCVLPLRKHKRPNKV XP_001218822.1 MFVRSFCFLRKYGSKSKTPDLKTSFTKTTNFRKAEKISITTTDG EIVKGRGKIRRRTHSALKEAIGAMEHPAIWLWYPWRMNPEPPTPHMPQRRALKNVHGA VFNDLTPVQKKRQEQMLYGVNIPETRQMKFEEQHPLLAGALRKLEGQPKGFPFWYRKY PTRRHAYEYRFSIPVEMLDGYNDDVKKALSKGMMSIQEKQFAQEAMYMERYAEHDFDT TSPAVLAVKRALKCRVLRNHLLTNPHNNIIKTVLANTERKLNHALRRLRKVDFKKYWE IIRDHDVQDILQPPNLVTYRQGSYWKYDWNAGLAISTNLADVMDPRGLNGCVETGRSR SEVARDLGLSYTRPLHENEKKQLSHQAVYYERLAKFKMEQPEAARAMERERFVRKFSG MFVKMDIRSGAPDFPSTYRRLLGTKVVRWASKRHGPN XP_001218823.1 MGYTRERTNRHFFVARANAFFSRLPIARVQRSLAMEAVREGRMR PWKYTKEQILGAPVTCNFEYNPRPVRLIGTVMDAHTEETSIKGGLKVYARNEETNMML WIPPGNPKLRHEVTSTKGSFQHYLDERDKWDEAWITGRARMK XP_001218824.1 MPATEMQQMEMDMVQGMYDSFTLCSEDPPTYSVVLSATEDEPPE LRVTVVYESDDYPETMAPTVRLEHFAKNRRMPTAPLLKEIMELCEEQIGMHSIISVLQ KAQEYLTEFAEGDEKAELQKRGEALGNAAGTAVVQDPTIRIGNAVTRELFEEWSQKRR AERLREREANEKKVSGKLTGRQLWDAALRNTEWDLFCGSDTEQDVDLDAFEPVDEDDL DEQEFDLDE XP_001218825.1 MRGITLALAPPSLLFVPKIQRRCFNVIQRGNDREVDSLFALLGF AGDNEAHRIRRTRAELRQGFMREAMKLKDPQNDKSDAAKLEKLREAYQLLSNDRFRVQ YAAHHYASPDASLHLLVDGGQVAANFNPEHQSFNFVDHAISRAAMSPSSRSSSDKQRS FSDFTGQYNSVIGNTGCSTDARPYNAPEARAAINGAGINFMLRISFDESVLGCTKTAV YEKNVSCQRCSGNGRMVLKRPRKCPQCRGRGSTHLPSATYHIERSCTYCNGDGVTPPP KCSGCRGAGVVPGHTVQVPVDIRPGTTNMTACRLRGMGHDGVRGGVAGDLIVTVLVQE HRVFHRDGLDLHMVLPITLSTALLGGMVSVPLLHGPFCTRVPPCVRNGQQIRLSGRGV TLDGSGVLTNAEEGIDTDSSASKQEQQQRGDLYIHLLVVIPKGEELTGAQRSALEQFV VEQDGNGAEGVDDITPTALKRRFRHWLPGT XP_001218826.1 MEGSATAKAYMLPSPELRPVSGTGACKYIVVTGGVCSSLGKGVT TSATGALLRAEGYQVCSIKIDPYINMDAGLMSPYEHGEVYVLDDGGEVDLDLGNYERW MSVQLRREHNITTGKVYQKLINKERQGGFLGKTVQLVPHFTNDVVESIFRVSQSPVDE SGAQPEICMIELGGTVGDMESQPFVEALRRLRCLVGPDEFCLMHTTYLPVFGGSQKTK PTQHSTRTLLSMGLQPDILICRSENRLTPDAKEKLSNLCGVRSGDIVSAPNVSCLYEV PVVFTKDGLVDRLVEKLRLVKRTPATDVPRIDTYKTYVKILRNMSNPTVRIAFVGKYL QDAGDTYFSVLQCFEHCQIALQVRLDILYVDSEELEGPNADEARKALLGCDGIFVPGG FGNRGVDGKCAAAQVARMNNIPYFGVCLGMQVAVIELSRNVVGWSDANSEEFNKESTH QVVRIMDCDRNKMGANMHLGACDVHIVEKSSIMAKIYSKSNIVVERHRHRYEVNTAYF EDLRKAGLCISAVTDPTFSSRCRVEAVENPSLRFFLAVQFHPEFISTPMDPAPTYLSF MAAAAKKDYVWPQKCSQRRLKQA XP_001218827.1 MKTSLVYICVCMCVCVTSDTLRIHARNEVSGGEQINRDGHDFLL NVNINRLIQFVRPMKKEKKKEKKRKKKKEKRKKHFRCHSRAIGLVEVVEELRYGMCCS L XP_001218828.1 MVSLRCFAFFDKCYGMYRISSFFENKKKFYSSLMDFIFIFYFFF VALSTINTIPHPPLSLTPKIIKKRKKKRKKGGTYARVCCGKRDEEWRGKGGKEGKIIK KGKKKKRKTEEKNRQKAADRNVTSLHIHSPLFLLLFFFFLSFNNIYFLLKRSFPSAHP HLGH XP_001218829.1 MKDISCPTGETGSIFEKSKKFQHFFTMDGAVGTLVERCGLDPSK MGSMWSTSALITDEEIVRYVHKSYLDVGADVILTNTYQMHAAGCAQAGVTMNEVVNTA VRVLCDGITPERAAATKEAKVWAQHVMNNKRSEFVNVFAPLFYGPRDDASKCPVLVGG SLGSYGASLGNAQEYRGEYEVNEDIIRDYYVGRFMAFVNHVDEKEAHLKVDFIMIETI PLLNEAIEIFTWLKYQKEDETLRSAPVCLSFISCLREPRPDVTVDDATLNEWWLAAES NIRLIDGNTFEKAFNSLMELQLPQLVGFGTNCCSPLEASVVASAFLKKKKHKVTDPSL ALFLYSNSGENFKEGEWHWGGQLPRGSHSPTSSPKETLPFTTLQRLMLCCEADVRTAA FFAYQLLLQRPEADDWLFDIIICGGCCRSTPEDIAMIRSLV XP_001218830.1 MELRFFFLFFPFQILFFLYCSFFFFVSFLIFLFFFWGGAMIFMT SDRAPIHFPSFFFFLFSFFPFFSIPFLSSTKKEESENVVSELTKIQKKKQQRKKNKRK RGYNNRSNQTNKQRNKHINTRIQARIETTKRNDVEVKAATF XP_001218831.1 MKMLATLGGVKKKKKKKKKEKKKRGFVGIFISKAIKRRTQMSLC GNIGESTAVVLMICFNFFSPFTFGFSINNSSLFYTLFPLSLSFFLLSFYVLQVSDDTY ESCLH XP_001218832.1 MTEIVSDNYFPPNDKLGETPSVPNEATPPLESPSSTTENATSLK REENTSALHSNSLLDTSSSICAFEFNFVIEQDETVRGAIETINALMQAGDINGAVAVA EASQLTIDMLALNGTQLATAMNMTLKYAVRPICGAFLFLFDTAFGVWAMVPSLEGILR KTAHKVENNNTTATSYVATREKPFLWGITGGMDVTNRVYAEVTMLVVCVILCLLIFSI RRLFRHYSASIDTLEAWKQKSWHVQANGAYSPAPLLPLAVNHTHVETLAWEDGLQFAN KSKSLHNISPTSSGANLVEDNMSPISLSRTM XP_001218833.1 MSLLVALVRVIGFVLFFTALLRVKKTRVRHLPSRRTRVSRHQGE TCIWLERLTSAVFDIFYSAMREGNEPDTREQRGQSQNNSCEGDFAANSARREDKSCGG GGGVGGDAWRFVRLIEEHVEALLEDRGIAACATFNIHSLGDKPPIIRAIRVINRTGME SVPPGSAAPATGSGSPGSGDKDTATPSTVVVPPALNANTSTSINATGVKPVVSHKTKL RPSQLTLYPTGDGTEKWHNEMHPDEAVAAAPSAANPILSCLPPLPATAIELEAEVEYA GDIDVRLQADICLARGRRLPVFIRVSDVEYIKAHVRLHATLKHENATMDTQRKPYLQC TLWLESEPTFSFKMSTVFSFHGIRDFFAVPVVAKFLFLRLVNYRMLYPRGAGLSFNIP LPEDVVDGGVYPWFSPMDDVGTVATPSLNSFMMGRGAL XP_001218834.1 MCVCVCVFYIFSLCLGLYDGGAGVILNWRSEICLSFKVVFTGER NEEIQSRGEGKCGDIYVECVCLCAASLCICPQ XP_001218835.1 MNVAKREFIRGMMAHYRASLPPPEHSVVIHELQKRVLDIGMLAV NKAHVELFGSHVSGFCTPHSDADISLTYRNFSPWLQGMERVDEQNNKRMTRFGKEASA MGMEDVRYIRARIPVVQFTDGVTGIHCDVSIGNIGGVENSKILCAIRQVFPDFYGAYI HLVKAWGKAREVIAPERSTFNSFTVTTMALMVLQELGLLPVFSKPTGEFGELTVADAE MLLQEFKLPPIYDSLHDDDEKLGEAVFFCLQRFAEYYAKYDFSAGTVSLIHPRRHRTV YERVVRRHLELLGSRKRLEWEKHIAEHKEDGPLDENDFSASMQNETTQRPSNSPYVVE DFVNYVNCGRRVQASRVRHIQQEFNRLREMLIDKESELKFDEVFRESDTVPRFQGFEG VGTRDHRVKTFRPQ XP_001218836.1 MACSTKRDASDIRDGRTERRLPAIPLDAWAFRRMAPQGSITPSG LITADVDGDGVEEVIIGTTEGQLLLLKMGYRTPVYSRTIPATISVVLYSAKTLRLLLV TLEGQCEVIEGFITGSKTIACSSRGGSTTSSRKRDEDATAGVMGSEMCSGGSHSGVYS ECLDKEYQPCGASYIFSIPPNCICGDIGVDEKSGEGNIVFLGSYDGHVYVYSVSPLGE RLGLLFLHSHVSSIKSFIVPANGTALPPSRDDHLTCAESSSTTTASFTMETWRRCSLL LVCCSQRIVLLGASREEIMHWQGHHQPRTVSMVGYVCEGCAATSACMRPTDAVGSLTI GMETPLSDSSTSLNKTAPLEPKWQFPLYHLSKSNVHLPTEEGGRQVAPQEESTAELHA PWLLPWKYSQHQGSSNATVTTLEIQQCRHSYQRQHDSVASTSTTHDHGESSPKVNDAD VIIRSPAAVDVATAPHAAQFAVATEDGRWFIFEMLLAGSSIGTASPGRIGDGPVEGST SSFRGTVVCLMSGCVQDAGFVERVRVVNVGNDGFQAIFLSMDGTCYALDAHKNTVVTS RVKAGALSFTVMGCASENGEAGSPAGNTAAAAMRQNEALSSLAPCGFSLVSVAVDEVV VLSIGGESHEWTPPTGADGLVRDRGSSALQTRISTNEGGRGIHEGSEQSNRKLLDQTE NDAPDEDAVMLKLGALLLKGSHNPGDVERFSEEYRRFVARKFCAFGLTQKEWRMLQQL GE XP_001218837.1 MQTSRPSADNVHVSCRFRPLSSGERNGGLCVHLEGSNHVVCRVQ SCQRLGRSNGAPRQQPIPCNSVGGDGFNRFPSADTAVDQYRFSFARVYPPEVGQDEIY NEVARPIVKDVMKGYNGTLLVYGQTGSGKTHTMFGAGEAVGRERSGTISTALYGTAGD DRGPVRAQGGRANVNAGIIPRAVNQIFDMIHSADEALEFEIRAMFVEVYMERVRDLLD HGNLNLQVREGPSGFYVENCKLPYVSSAEEMMQLINSGVCRRVTAATACNEASSRSHC VLNITVKSVNHTKHVATVGKLFLVDLAGSEKVAKTHVDGMQLEEAKMINKSLTTLGHV IMSLADKQAHVPYRDSKLTRILKDSLGGNSRTALVVCCSPSQFNDQETLSTLRFGARA QNVCNVAIVNKQLTAEELKGMLDLARVEIKRLRKLLHDMGVTVPSSGNHGGEEISDCF SGVAESSCGGETFATRVASPCDTAVPGPHSEASAVGHLEETDAVINADGVVDESSVNE GDVVRRGIYDELSGEGISPEVSHLHDNPCVSQGTTEAIRNQLAEQAALIKLLREENAV WEEEHMIAVRELYGYRRYAEHCREVLGEVSITGDVVSRRISSYRERVLAIVAKLCSHS DTTEDNLATQSNEYPNQIKEGRSLVELGATRETCSSVSNNLSQPLLSSHISSRTTMCS LPALGDGTAGNNNTITGSMELACASVESPNGDTLQIRKKYEELQGRLARAMDEIERLQ STNSVLSLDLQLAEKRLQIRHERIESLKFGLRQESASNQELQQSLERQMSAHRTQLQA ARNDALYWRQRYDDLLNHCHTSSSSRKPRATHISPIRRRSLTPTLEGVLVARSPSARA PPSSVATSPGRGVIVKPIRGGGSKLEHAFA XP_001218838.1 MFQPLCFFFTFFFFSSVVKFVCWTRGKNKVRYPSVSLFPSGFVF LIAIFTLFLLLFLQTHYFLHTYQKKKKDGEERNETEKGSSRNLLHCLSVCCTPSLKFK SSFALACEWNVMGGGV XP_001218839.1 MQYREYRVHHAAPDGILVQLPGNGGFGKLTVETLGGDALAAALL KRFATNDHIRAVARPTRDVHHYRLLSVQLLELWSGAATYPAPVLSLLLQLFRKHALIG LGTTAMARVVKSSPEKGVVLSLPGGIPAVAVLENVSEEAGAVEVRLLNYDVQVDVVNV TVQYDVVERTPSDVDALQKLLTSVTAGSVVSATVLVSCTDDNCAIVEVPCGDECSVIG YYIYNWPGLSAAGDEKPVVGSCLQLTVEFVPEEPALQDVLPFFVLSRRQHFAGLPAVR CAILPNTSTPHATVGLVGRFPWRDKKRDRRPEVEDINDPDDDDSDDDENTNVNRSKIR KRKLEETIDAYERSMETAVPSSSEEFQRLLLASPNNSYLWVQWMTHHVSLQQFEEARL VAEKALTTIGVRETQERLNVWVAYMNLENLHGTAESLASVFKRALRHALDELVVYERL ADIFSATRKFNQLLSLCRAMVSKNRKVPRVWERLGTVLIDHNKRDQLKRVLKDMSDAL KRDEYALVVVHLGVHEYRNGSVENGRALFEGLLLRMPKKSDVWSVYLDQELGLLARRS EVASAVFVRGLFERAVSTSFSAKVMQQVLTRFMSFERAHGTPADVEKVKARARSYVEA KISASVGSVDPTVKTGGVSVKKGATKDFNATSASAKGSSVSDEATDKHYDGEAEE XP_001218840.1 MSEHFKNVKSMIANLRSEDPEARLNSMRGIHVIASTLGPERTRE ELLPYLTDYLDENDEVLRVFANALGTMLHEVGGPAHVQSILGPLELLCSLDEVTVRDE AVSSLQTVGKALFCETGEAAVQARRDFVGLVMRLGQSTPQCRSSVTYVIATAYPHVAA SVKTQLFNLFITLCGDEEIMVRRSACISLGKHMAGVPDTRSSELLNALTKFSRDASDG VRLQAVEAAAALLTVLPPETHSNIVGAVKTLVGDVSWRVRYMAADRLGKLAGVLAPPH VKQIMPFFRLLTQDSEAEIRASAVFNMAGVLAACHDATSKREVLAGGCRLVSDDNSHV RMCLASALLKSVEHVPVEMWSSTVVPTCTQLLTDTEADVRLALVSGFSSMGNTAEARE LAPKLIPVVVALAEDPKWRIREVVISQIPHLITSLGRSADEVVEICVQHLVDRVAAIR DAAVQSCCSLVSESGLAWSRDTLFPRLSLMVTANNYLHRVALAHFYESLSEVQQLDRT TVSQLVLPMLRVLARDNVPNVRLRCARAIVAFKRKKILLESDAEPLLSRLVKDTDVDV RFAATEN XP_001218841.1 MLVRTWMLLNRPKGPQGLRPGKEYRLTVPYRSEVTMLRLANHKA INSNIRELFKKPLVMNNIKAIPRDLGEIPRDYVLRLLFFHQPIRLVDLWTICKEHDDV PLDSAKHLRLVLKIAKLQRWVYAEKNQTNNLYYYYVHQSRIQEVQQMVRASEVRKKEQ ESLREIEAEKLRMEEQERRKVALDENIVALQNALVSNIAQIQEFDPGFARSKPYVTES GAVNVGWGLNDGGSAACSSDLDGQQVA XP_001218842.1 MCDTGVQPPLAVVVPGSPVITQFQCIVEGTNWIVPLGFAPESIV VFLTFPTLLNPGTALGIYLAREDDRAFAYVGHLSNTAPSAILRVPSTFINIDSPVRVL LGVSTEREVDIANLDDATKQQQEQDRAATKLALSERLAEDLYNFVTSYGRVVPAEQND GKSEEAVFLPMSFVDRWRERVMKRMRKELAFWS XP_001218843.1 MPRWQTHTFRLHENTAARPPGKLYVTFFFLFVKFSTFRTALLSF SLTFRSCSLFVFLTLILFPLPQSTVDWLCFIFYFLFSPLPLFYLINLLHSYFLFFVFG VVFVVAALIHPSFPFFFNIYIFPLWVSKKRKKLTSTTSERSD XP_001218844.1 MGAFPSHDAPELIYSMLVLRPSTNSQVEVCLVPLLPRFFNDGHK KAKKKLNELLASKGAHQSSLAASLAPRAPATIAPGKVPDQGIGHALVDYFISSTEGSF FVTTKDAVVSKVAIQAEQGQRERSDISTTGGRSAPGTASTGETQPSNAPSHTAHHSSS HQAMSNSVRPVPFAVCIVDSDVSTGTPGTTGGSAQAAAAKHHHVHANNVVLIKGRRLQ LVGFIACCMDRPVRHMTTANPVKPHGASGGAGATSGEGKKQGIPVCLDVIFDDTEAHG FLTESVVLLASFAFRKQFLNCCALHFRAGDPDVESSFGRHMDPLQLRLVMRHDNYRIL RLFHSRYPLLDMVKELRVSGGSSAPQFSLYENDGNEVGLEVTFGMNFLTRWMRFVEKM MHVETVVGNHIRCGTTAIMSERDKLIQERRDSGAFLEEEEDDERIFGGAVYAVSLRRW TAAEKQAVQQQQQVPLSGASPMYVYPTTAAPGQYWPQMSVMMGQPPGWPTSVVPLSAA AAAPTAVAPVIGQQQPFVIQASGTRPQLYYASPQPTVSGNATFVTQSGIPIVQQAATA SPFGVAATQPASGQQIVYVMQGAQATSASVAAPTVSAMAPGMTPQAFYYPQTTAYAVP YSPYGFQQQPQQQLVTQATHIGTQQQQQQVTSAGQVAQQ XP_001218845.1 MPMQKYYRHISPLSYFFFLFFFFLISLLLLLLLFLFLFLFLPLI IYFICLPSTRFCTTGHSLFAPFTSLLTTMLAKIYISLFVCLFWREGEGGKRGMYTNTN TNTYTRSTRTYLHRTYILMYILFFPPPQIYIYIYICISFFFLASFFSF XP_001218846.1 MMIAATATAMATAVLIYAPLKREEITQLRVKTAAITNEGVTKNG DNVANENGNDNDDDGEISIS XP_001218847.1 MLRIFMCMFVCACGKEGERKGKERKHKHIIMRKCFMYACTNNNN NNDNCTRLCIEYKRTYSRIYHPRTKAASM XP_001218848.1 MSLSLSPSLFVSLFYVHFFNSFLLSLSLFFFVKKLCPFRLTTQF SVVFHCIAFTFDGVSRKGSETHKKTKEKGKVKQKKRVKGSYLFIFILSSVRICRPRDI RRLFLCARFWLLVSYPVGSVQWRGCAKKFKEEEEEEGKEKEEKKNKRSKNKNKKEKEE ERKGERGAAHLHFYSIAFAL XP_001218849.1 MGGIPSRDAPTTLFCLRYGTHEVGLIPLVYRYFHSDNKELREQF VRVRNDPSIRYSFMRSPEYRMDEIPKKVYTEKTLDKFLDVIDESLYDSTSAMLADEDA PFRPVPCAVCFVYVNGREGSDCFSFYGRRINIIGHFGCYESEDRVSEGPCLHARKTIL KAAGDRTLERVVTLAAMMLKGEFFRAVQTVCPTRDPLAMLKRRLNPLILVASTPWNSY PTLKFVYDTAVIAWAYGKEVVEADPPRLSMYEIEQSGEGGLCMRVSGSVLLWAVMRVC ETLTLSAVRGSWEQYVLDDFEMQQKEKIVSQWLSTSPHVNSFLGSETFTDLVQKRLAT LLREVVRARETPTEDTTLWGESQEYSHDGKLYRIFNSPTCGPFLATVKKKVPKRGSSA NTGNASITSATGGDSASDRRSSGVHLTDSPSSGGENKSRSSGQGNPRSKNNGNQPSGF PAHNNAGQPPQYKDAVNSVLQSQFATAAQRVVYQMPPPVGKTSQGQVSVSPQMSPQFV PMFQTAPPPPMQQFSVPYVVMAPPESQPQPQPQPQPQPQPQMGMVFPSTVANYVLLPD GRVGMINAPAPPTMGFWGQ XP_001218850.1 MYSLCYPHSSTSRRAKRKRNKRRNVTLCFGFYLPPPLPVSSLCL SSPAYTCAIVLRAKMVSFVCLFICSVLKSFHCFIICSCGGASFFLFLVCAYIYIYIY XP_001218851.1 MELSRTRSATKGIGQGGMQLTNISNGNYLSMSHPTLPFTYVTHA CKQTQIHKIRSYAYLRNFMHDNISHFHYLPPLSLFYCWFNNNNIYIYSHPLRFAFYFC LSFKCTQTHSLFPSRSIALLHTNTHM XP_001218852.1 MGGAPSREATVVYSYLRGTYEIGLIPLTSAFFGRPSGRGEHEGE NVLRGHFLQMREDLFAACTLPTRNKVLSWTEIVPNRFTGEDLDAFLEIVDKRLYPTNA AMVADKNSPLLPVPCAVCLVCKDGRERRGSFRWRGRNIVIIGYFGCMDEKLDAAFKTE KRTPRQGMYSITAAVFPSIGDRTFDRVLALAPVMLRGQFVGSVLQLRRGGGEFATPLS ERGEMVDVSSEAPSLGAGIGGVVGQSDGLIHEDSAVDSAFEMFVERVSQMSVFVEVVS DNYSSLKSLVENVAFIQIHTQAEPKQCTLDLPPIVQNAFNRTTTASSCEKKADAEGHH AEKSPPQRSSAMEQGSSCCTVQGVSCSLERDDLTDLSSVEPEGSSHLSKVPTEDGGSF HFCTEIVRVHFNERYVSLAIQWLSSFFRKPTLLENGYWVHYCLKKEEEVIRRILCHRD TIVSGWRTSHPELANQYMGDGTDKLLEHVRILQHELQQARKAAEEPTLRKKASTPPTL PCPNTTSMAVLAPPPPPHVMPYAVPPLPSPVYFVQQQPVGNPMFVSLLPFQQVQQVPQ VQQIPQFQQVPQIQPLQQISHVQQIPQLQQTQPVQSISHVQQISHVQPIHQVQQISHV QPMPLYHLQPPELQQPSVCYLPFGDGGALPPSYVIPSSLS XP_001218853.1 MSVCFVVSNPISISISITFYFYFCFVSNSTKHSIFNKPQNKRMQ TCVFMCTFFICFFLSFLFLLCFFLPSFLISFFSFSLPLLFLFFLFFTLLPEQAHTISD TTIYIYIYIYNYLYISYIYIYINVLSLPATQGI XP_001218854.1 MMEGNDVKRETRAFFLCACLYVFPCFSFCFDLSRCGVTGVCKGT FSPSLLLLLLLLLSIVISIPIPISIPTTAIIIIIIHTIPIATTMIIIIITIIIIVIVT IITPRLYLYS XP_001218855.1 MVSKEENANIKKGEESDAYLQERGINNIIENLLHDITKCRPDDH LEFMKEWLATRETGKKEFSDGNEAKQMIESPLEREATVGETSEFISETVPEFAFFQPV KKPSTVSWALGARGVDSSRALFTSSRKSPQPIDSVNTTSSSSGSSALQNNLSVAAQGV SMWVPSAAPLKASPAIPSNPLPLSPTTSEGLVSCGELPSSVKKIIEGVRGLSPADHTA VIAFIDGLANRAATTRLGRRKLSNTRGSFGVNFNVDSCGPTMSEGAVPPCISLLVDDD GNVGGERKLSSVQLQQLVGDALTTSMIATGEIFMEEERAGQLSASTTQGASTLGRRVK KGSNLQLARFTDCFSMSDQILPGSNGGVGSGSGPNDGATDLSQMFSSVDEFAAVPVSF IQQYMQHSRLSSTVSRVDSEYSSGATVSSEDEVSVLRKAFRACKRFSDYSETEIEVLA RNAQRLELLAGHTCNFKDSIFYVHSGLLRVENNEGVLRELPAGTFLDAQSCEQLNDAR EPLEVRAVSDALVLMLKDEALGTIQENEKKLKKRMFVRCLQKSPLFATVPLKLLKNIA NYMHVRTVVQGKVLLRKGEHVDWLSVVISGTVSRVVAGGNGNHEVLPQNKQKGGPSSC AAPYILPPESQSGFEFGELELLFNSPMLTDVVATTPVTLARVCTAFVHSLLPHQIIND MKYAVATNPSAGPLLTIAPDAVRHSVKMFMEHYCQSKRLGGSRLRKRISVSSTGTNST RGFADSVRCGVLASGAKASGGANNLKVSSAGEIVYAGKCNYYRFPIAALGLGNTVVVA VVSDGTIIRWNDSAERITGFSRQQVLGHSVFSSLTTDSARRSMREQLKLVRNVAGNWN QYVSAGLSSPQVYRFRQASGTHSVGLLLSVIPSSAGSNEDVLLLVGREADNNAMTSYV EDTTRWLNDVLRPQLSAFRKRVCEYEERKWNITLEEGAKLHGYVEACNQLMDRYMRLA NLNKHSVDALWKPVRMQNVLRQFLQEVTPHVQRAGNKLTTDVVAGPKGEVFLHVEYVL DVLRGMVLDANKNRPNYHMHIVVEAVLPTTMHQDSTTMPCQGEKSTSCDLAFHSVLDQ SRVSLDTPHCTTSQITASEDSIRQRSAHSPRQLSKWPSYMQLIRFIFTISESESGLSM ANDNTSGGAATTPSGEVPRSSKIHHSFYAGKECGDLVAAMGGEVFSEQRGMNGSGHCV TVELPLLPTPWEEEDDEDEAPTSSTTGPPFAVILADKNEKERNSVCKLLWARRHPVFS VSSLSEVMMRIESPDIGLLIIDPCHLDMTEGESKTFAKAPYPFNMEHGGNHFVVLIYT EDFDDWRVQKMKKCSHVIELPKPATTSLLHSTLYEAERMVIRVREYEERVAQMRSAFR EFQAERYEMGKVLGRGSYGEVYEVVDRLTGGKLAVKRMTLSDGLLAADVAEEFLSVTS MRHKNMIHYFYCEQESETVLRLYMELASGGTLKDKIRQHGGPLPLPTVVQYLEDLCDG LAYIHSNLFVHGDIKTANALVDQYGRVKIGDFGTAKRLKRKSEKLYKFVGTPRFMAPE LINADATQGHGYDQKGDIWSLGCVALELATGKPPFWHIEEAQGIGIFNFLINLKETPD LSIIEHSDPDFYAFVRRCLHVDPKERPTAQELLTSNLFQRAGTSVRDMRLAQQIQAAT KLHEYAALKEDNSDDENDDDDDDEDDDDDDMFVECDFPLVFSGVGTFPNGDQNVATSN RGNIDNEKKGTAKLSG XP_001218856.1 MTERELVTLALGNYATLVAAQWANGTTSYDVAHNTLYTERHLRA VCGGAVDNNRSELQSGTDGLIRVPRLVLLDAPHATRLRCKPSLNAAAAVQNEESEGEH EQNETRIYDDDDDDDDDDESESEKDAGGDDAESRYYTRGEVGTGVFLQGDSLAMNSET HETGAFDAQRSTSETAVSSFQKSQPSLKAIKRRLFREKDDLIPWWQYICSGVASDAVH VLHPLHRIGGIPTAGGNDIPLLHNFGFGMSHLRSSVSSNVADVVESLRRQLEDADLLQ GLQCFVDGDSAFGGAACNIMEEFWEDAGSKVPATFFCCFQTLPEEVAAAHSDVDFADR RKDEQCLNRLLATAKLSSHDSAVYVPMELAQWRTSFASSSSLNGSTHLAPKAAAWLQD DTATAQVVASFADTTLYGTRDEGKLNTGGCGGPAFYMQDWQAAVRPTRCLRVASALTS MPMPVHDVRLPRGDLWDFLQRNPLLQTSCGEGGGYFAPLTHAVSSGPEKESGRVLGHA VTLRGAGQLHDLTYPRQEALLRYALPLRSGNYLPLITDNSYPISSTFPRDFVLPNELV SSGALQGIDMGSHIVSTYDSAPMLQSIVAQAQKVVRRSRHLHEASYEMDGDEWKEVLE DVMVIRDDYYHPADEDYADNGDDISMN XP_001218857.1 MTDGVTLHGETDVTVQRQQQERNKRIGGGAFHPTTPSVYRFESR DDCTVWETTSAPHTQKRSAAADEYVTVCLSPFHGRRPTILFKPFDRPCDDDLLGADKH IYIEPSPLPRLQSDATEERSQEEGVFIKCQRTEQIARTGPYGTLSFTMPAPAVRFSAV MCALERAGFVEDTSLLSKSWLLKWCKRPVRSDFSKLRLFQRINHFPGTWRLGKKDELH RHLVAARVRWNAQIASDSGGYGERHRSSTNSNGDCCEGGNSEHMNFFPEAWVLPDEQE ELNRVLCAKEERGNVFIAKPTTAACGRGIQLLVAGEPSHSSLMRRLNGVHNNSDSTQR GRGENNTPNFQCSNGADIRRSSNRMIVQRYVSDPLLVEGYKFDLRLYVVVTSYVPLRA YLYTEGLVRFATSPYPNDPAGVRAEAVMGERTLTAHLTNFTINKKSEDFFSPAGVHTN DADVEDTASVNSASKWTLSALESHFNKHGLDWDGTMKQIHDILVKVLLSVQPHVKAEM DSIASGGSGSRVRDSCFEVYGVDVLLRRPPSSKVIPIPVLMEVNIMPSLSTHYSLLDQ CVKGNFVADMLTLVGLTAGSSPVSAGGTRCKGNGDGNMSPSEIYGHAFLDSLNDVTER EACVRAEEEQLRSRNFIRICPTSESYNRYCALFSEPEGVGNRCRSLDEVLSAWEQARR DNPPSWAS XP_001218858.1 MGKSFVVRSVLTDEDSYANRIYLNPADKALYKHPDGVVMIKNYP FTIDVRGPIERGEVAMNSIQRRLVGVTTTAGSVVELEDYVGSVSCITTMHVLVEHVAA SKRGGTLDCDLFIKTFRRQYNFQCFRDKQALAVKVADMKLLVTITKLVVENDGTVGQV SENMGLIVRVGEKSEITLNNLPDDQIDAQQPQILQTFNLEGLGIGGLSSEFAQIFRRA FASRLFPQSFVKKVGVKHVKGVLLYGPPGTGKTLIARKIGEILNCRPPKIVNGPEVFS KYVGATEENVRKLFADAEAEAAAKGDQSQLHLIIFDEFDSICKQRGATRDSTGVNDNV VNQLLSKIDGVNSLNNVLLIGMTNRIDLIDEAILRPGRFEVHVEIGLPNEKGRVEILR IHTRGMQENKVLGKDVDIEKLAALTKNYSGAELEGVVRSASSNAFNRHINLEDPSEII NPQDVFVTQNDFLTAIEELKPAFGQAKEECNNLKRGGIINYGKEWVGVEDRCRQYVDQ LKSEGKRINTLTVLIDGRPGSGKSAVAAHLADMAGFPYVRVISNEDMVGYGEAQKVNI IRKAFDDAGKSPFSTVILDDVERIIEFSYMGGRYSNAILQALLVLIKRPPPNERKLLV VATTSMSEVMDTLELTSCFSVKMHVPCAPQSALEKIAQEMGLQWMDEQTKVTCKTAIT EPMPIKQMMLLLEMSAEKCGTGQLTIMAQTFSQALDSIAGRSE XP_001218859.1 MFLRLSCSFFFVVKGEKFSFHCECKCAWETDCPKCRINGNRENS PTCALKCYFFFKSKYAKVTLFSRWGVNIFGQILTYIYTHIRGDIDVCMKGRKDYFLHC YHEIQAILVQKPALFPLKK XP_001218860.1 MSLRRHVITRSISVHNRFISSSGEQKTGGSRGGFFSVLRYGPRN RAELFGLCLGCAMCPLSIYLINWCEGCSNRNNNTQPELVLGNETFVTHGVAAPDKGSK YPLGGPFRLRESRTGNYITDKELFQDHWTLLYFGFSKCAEVCPSTLRFITDVMKACDE KLAGDKNLSTEAARLQAVFLSVDSRRDTPEVLEGFVSKYDPRVRGLTGTSKEIEQAAR AWRVYYSSIDETDEEKSAREAKGVPMVGADDDTYQLDHSSAIYLVGVDGKLKDFFFKE MGVADAVGRLEVHLQDVYGFKDTRG XP_001218861.1 MKFLFFSLSFPLFFCMCCFCFSSYYIFCPCVVCEIPLDLEGDSD LLRRCYGWDGRVNGIHDESIMKKEATEGCFFSLFFLICLFSPLE XP_001218862.1 MKKTLSCRRRLILGMSIEDAQSKFDSPESRVALRLAHERHNQGV YHELNLAQRLRNRLPSERKYHLVRHTKPSVKGFQAYIKSSPDDYVVKELWYGKKATLP KLAGDVGAAAAITSGQSVVDSSPNVIPSIIQEKGVGGPVPCDPLYNGYSNPSGVGAVV DNQTGPVCGVNSSDECARDDTSAASVEGSSMSSQASVEAYIGPQLGEEMDAYSRHRTI FMAELKRVAKTLSNEAKRQLLIRRSKGAAPSARQMSVVDRLVEEVREAEEKGYTSLSS SSHLLEPLGLSLNVCTKRDKRVLEAFRSPLLCVPAEENYISISLWVAGALIENNELAT EFPYYIAKHASPDLDECDVITSPNSVPRASIDVYFSLELYKLQQSIGVDGVLALRRFI GRAMIRQHDPLPTTPSIAFNMRLKQRECGGLCSGESTQIPWLHVIKAKVASISGTVLE VSSDDSPSTTVMREAIGHVWRTWGNLVKDLHVHGDLDYLYVSVRPEVDVQVGMPLLGD STGGVLNCSKGKVLSTSTSLGNSSQQLLQGQDGQDWKGQNGGSNGANLGRAISGKGGK NGSLWNGFNSRKTRATNVILPTDFVVVECLLEKRGLPHRLVVEDITESLTEMQRQAME VQRLAVIDEAHQQSQQYVTENEEDESCDEGIAQFPVDVRPVLYAPTITVSHAGVIDSA SHSFQRIRIRGSCLAHIEALAKALGSGEHFVEREGIHLYATKTSSQGTSLPQLPPNLH FSRTHADVNEHAATKRLIGHHASSSHAVMEQRAASGYFHPYQTLKPMQYMIADHDERE FWREHFYKLSDITLVFHDRVCAADINNSRQWSSTLKSQLLSIGRQRSGQMGLEDESDD TAVNGPKDEEGDSAGRARKKKPKKGRGKRAAQGDLEDVVSTMSPEKIFEYLCFDMEVT SASYDLRMGDNDGYDYRVKLRRIPQSHFPLVKPAMVSLQKHGFINYFGPQRFSSYTRY NMHPGLHLLKGEFHAAASIIVQQFYMDSDLAAEKRRNGHTIEKMYSSKGAGFRLPDID RSFGGRRSVTENGSALQSVLSNALQASSLLGLNENSAEMESYSSSPKDQQIMNVTNGA SVLDPCGEAFLRVVGPKACSMLVHEFLAFLWNDIVNQRLQRYGTFAILPGDLVRLNPL ASPHSNEFGRVVYASKNSIEKGKYTCYDVVLPVPGAGVELPDNHTADLYVVTLKRMGI LFNPESKQWDIFRSRSNFGNDGALHDGEAAFASSPYNRFSTSFALMEEELGGETSVMN FAHESQVGEEGDAGGMVTEGVLSDGRGLRRDLRHEGNMGNLLGVNIWCSYRHMLVNPS SQLRWRFQSGRTGDPYQRWAFGVRNTFMGRETNVSSLSGALLDKGTLGRSAEQPQQQL LLLGNGAPGRSGKDSRGGKRRARTLPAAPYWLPEKNNGCLELSFQLPSSVYPSMLLRE VTKSDVNSPDVVDLDRPLIDTRAESWNGLTADQQQTYRRYLAKKRQKLFTEAPRAINI ALLHQHIFRSGGMRRGLIPSMRGYDNVSK XP_001218863.1 MQRDVYASLIKKRHKQMVPLLIHQVSGDITRENIFDEVFHGYKL RRIVLMTHMAATPATLPRLPRDIIVSDFDKLKAIHQPHFHYKLLPLLCTDFEAFSALQ GICASANSPFTIEDRKDPQGLTHRLSNGCSERQALCEFFDPHIAPAEKLVPVFSRKLP ISAVVFDGLLLKQNYPKVAALLTVHEAASEKSIIERAIMRDFFVSPLYTKVSGNSDVA QSLRLVHHCTHFMALKRAVGSDAPSRQSLMRLAAEKKLFMYEKIGDEYQFVH XP_001218864.1 MLSPWNDCVGDDHQWILKPLQNRLRYERPLPVQQAVVPTVRRAL LSGLPMDVCLTAPTGSGKTLCYLLPLLQMITEAKKGTNHTRLLALVLVPTKALGQQVT HELQRLTRGTTITTVSLCDEMSVKEEAAALVRTVRLVGSGTHQTQGHYRNVPYMNIYN GDSVSLPSNAAGVAHNEDESEDGASSSDGGNGEEVGYDSMVDSSLHYYSRADIVISTP QRLLRHLDGTPGFTLLHLRLLVIDEADQVLSGNFANFVAKVVERFEEEQASRVGSTGD RRRLTQLTYSLHKFLCSATLSSHITRISEVRLRNCRHFTLDSFGTEIQREDEGEPLPL PSTDDTGSRKKNKRDQERGNANTKNKRGDDVGKGGEEDSCEDGNEEVKLPLSRQQLVR TSFALPPRLQEHVVFVEDWYRHAVLLKLVRAIVDKQKKAEALVKEHKRREEGLAAQDD ESEGTGLGSNGYGTFSRENVRECESTEFRALPHRRRGASKLVGASDPSYPSCDDDAGR RIVIFCRSADEARVMGHFLLSAGVQATEFTTLATESERRRALLKSRPDSCVVASDALM RGVDVPNVGHVIMYNPPETLSQYVHRAGRTARAMRAGHLHLLLQKLGPSGTMKDGEVA MFKAISAAVSRMQPVRYERHFFMFDVAPTQLGKVAIESSPEGGNNGEGRGLVKDKARL LIEEADRYLKETQLRLTGRWVSALESARRGDAVGNKPSSATFGGSRRFISQTKRERG XP_001218865.1 MGGTKKKATVPIKKESKYKIPSHFDCPFCDAKKSIAVRLKRSDG LASVHCRVCRVGENRHYNFSPLEKPVDVFFRFREELMEKDHELLRAHNVETGAMAART GLAQLAPKNRQQATLEEDMASAEPSDYDNFLSTVENISRSALLASDIHVDSEYDEPLF XP_001218866.1 MKFLVVDGDWPVTPYTLTRSELVSHSVEEADGGSEGGQLIIHGH TLVALCQQQLHTSVVRVRAAPDLSTLGLTILHESLSDSTGNIRLVTPKSLTRQVFAVD DLLVHGRLIVFTSRWESLASAAIVGVKSDRREEHELTRRTKSRPEETKNSGRGNHDTS VSRPSVFSGRNRTALHKFTCAETYFQSIPPATVHDLDLLNNLIQAGTIRLDPRVEVYL CTVCGFLPRQTFTTSCCGAIMCGACTPLISTSSVMPSVMSERYVCIACKELPFTSHTA HVSRDAEVMKLVRELRVLHHPQLASAASATSSHGMAEKSHASLPFLLHSMGIMPAKRD VGGAAAPVLGSVFPNP XP_001218867.1 MWRVKPLVWLAARDVNTLRTVSSLHSRTRQEDVTISGNDKVTRN RERNYAAAPSGCFRFDLPTASLHSELSRGRRYDVPKGKSCSGQWASKGKICTTTNNGR AAVGTGKEPSMGPLPSSRSSGTRFPVDYRRMKESHKVEAGNAVNSTISSSDSRAPSKR INVRVIALE XP_001218868.1 MHNSVPASNTCSPFREETVSKRGKRSRGTGGDDKITRGTCSQPF SVITLPPGKLLEWLVCSPCRVYDVNLPRSRNNDNNISSLQCAVLPNFFVEYGGRSYSC VAKVCKPQLRKVLLRALAAVRQEMGSVDDIPHDSLLVVAVSPINAFSSECIHDASRTA MPRAREGCKIVGLCVARELSAPHRMHCESNWSHEKSEASGVGAAVQEGLGDCWEEDAT RVGGTNNVRKAFCGVQLVWVADCYRRHGVAKVLVDTARRHISYGFEVPVERVAFSEPT SLGKLFAKSYSGRPDFLIF XP_001218869.1 MLTGDILLQEIESLGRVERYERVVEVGRAARAGGDVCKERLALI ELSRSCVPYERLTAALGLWQSGCSSETLRLLKDPCGRVSRLAMLPAAEALPDADLLKV FGELPVQRLAMFCGYLERKGRVTGLVDRYVAAVTPVLQKGPGLTLLCWASVSMLAAQP TSTFYLLRKSEWGTLVRRNPNFAATLVKANINSGTEANPSAITQAHTVLAQLRRFHPS VGSQLLGCVLPRVRVSNECIEAYAKMYPVEVTQMLLKHKLEETLDVSHFFKKLDPDTL LTLFSAGVFRGKDFKECFAYAPHNLRMILYKRYRKELLDPDGSMDISMIELIPNREER EREALRALNESESLRLDPKRWVSFLSPLPLSQAVEVGKQYLKEKDVHVRAELIAAIAY GGQYHRECLDDILDFCIARGNDNDVVRRKFLMRLSMISRSKWEERHIPKLAEIVKAAL DARDSSSSSLDTCMSLVLGTAHLSTKVIESSFLPLVRRVGPPFNLGKYHLPKSALLCI WSCLEPVMKKEAQSDQHMKERIMWMFSSRLRDIGDVVIPYLKGLCESEDDLVFCGIST LLKHFPTIGSQMLPNLVVKRPDVISVPEVCFKVSNSIQGELLERCLMREALEDIVCRG RFPHSALSGRYWTAAKQQMYANSTVEILLEKEEEWFEAQCLLKPLESLYSVDVAKIAS VLLGEDSKPPLLRDRLIELLGRAENPESLQFLLSALNDNRSRVAIHAISKRAGALSTA QVLQIVDKAMHSKLVAVQKVAVRLGALNGDEASYNFLMQLRKGGRMNADVEAVWVQAM FNFLGKEDVWVFFHNAAQDERRAVALAVVDIPSGTLEACWQLTALCKLFVLLLNHDDA YVTVQALKKLSKSKLPSYDEELISCLFHLLDTRRQSDYFPDILLALANSSADARELAQ RLVDVDLDSVLSVNAHTHCGSMASHGERFRNIVSSMVELLVEEGRQPAIVCHLICWLH PTVAGEYLMKLCEKGHLHPGCVYAIVEAAGNFGSETDGVSALEEQLRGHSNPIMQRIG LELLRRMASSAGWDGTLCEALEVYRSASDPWVRDTAKVISAEDLNESCSSY XP_001218870.1 MSSSKGGETNNAAGADASSPQLSRNSRTFLRTKLQKRAKKNSNE GKGDSSGGAKFQKRSLQCLKKFTCPVCRMKGAVRIDVNAKEQQAVVSCSYCMQLKPRP VDLPYPFTTSFLPKLQNRADVFFEFNELYRRLQLQANSIECAGEDVSGLNTSHLLRDG VLGLTGLATGGVTNENNECEEGNDYEEGGDYEEDASDDDETVAIGCGNEATVNVAEEE TVTSDVAVDDVNAFFDESD XP_001218871.1 MGLHWPLARSSNWCHMRALSNEHLRRRVGAAVRSIASTAPCFAL ERVEVAHSHAYSRRGVTVGFTSSSSPSGKTGSGGLSPASQSLHSSSSFDASNGDVLAN YVNFVADDATGPAATKENGAQPMRSLRSASAVENLLFTDAAKNYCRLCMEEVQVTPKA HISTPYRGSHTNHTCREVVLDSLALLAIRGYPIDDVYFVWADTLYQSSVFQRIPELVS PRWTVDKRSEVLAKILFMLKDMGVIDISLAAQAPDLFDNTAQQVHHRRRVAFERLEYI GDNSWGNHLSNRMMLLFPDRQWTYSQNAYTFNCFRDACEMNVTLEFMFDTLRVGELLP PGVREKLGTGKIKADVVEAVIGELHVTLWGLEPQLYDSVCFVEINGVGEARLAALVQH CLTEIYDLIVLSYVQELSGSAVPLAKQIAADRIWNSVYPPVRKAKDRAPGGRKSRSTV VNVVGVGEVRQLPSLPSLFPVASKRPTRAPHPLRRLRKLGEIPEETVCAGTNKDVFVH LIESYERLDMLDDSLLPTLNMRRLQDVQFSKLKRQLVPSLSPAALEELHNCKELDAES VTPHKVGDKEVATDERVLDLEEVYFRDKYFDLFPTPLPNEVVTKEGDCDKRQSGASTS RAVIISLPRGFDGGRPCNRAQLHMARNTIYPSLTERPAHSPTAGITKGDRVCLFSLSV YVPPDTKRPSAGVVTDKNLHFGEFAFHGVRIKGIECASEPECISRRSNAPGSDVGTSL NRSSWKEGGDNSCGTLTVEDGTGENSVVGEDGDTGVNGGEGKAEDATGKHLLRAKWCR ENPFFPRASLPLLGISVGA XP_001218872.1 MSTRSGKQASMCGKKRLKSVSDIINEQFSGNGAERNDMDAWDEL GGDKPQIDDYYEGNDSGNEGADDFDGMPPLSTTKRRRTEHKLPQRKEEKRLRRRGPLD PSLSAGDYAATPVDVEAAMDGIFGALEMGEDDEEDISGEGDAKGSKRGGGRKYETEEQ YVKWLEAQQAKKLSKRPDSGLTEEDDILQQLESLRSAQVELLHKQESGDTSNPQARER EQVQKAIHHYVMVYSQLLRMRIKLQPVVARAVTFPQYYALKDFLQNGDETIKKETKVV TGSLKELLGTFLSLAAGGKKGEDNGKPTTRGTVPSFKEVNVIHKRFIAGADACIEHWG AKFVQSNSAKLHTVSQPLIQQIRTILSSRAPLRARVQKNRAHVTILGHPEHYRATQSG EHKAARALHIADGDIDGEIYDDGEFLREVVRRGGAVKLQQQLQEIQRELQSSEEPAKR GFHRLTKGKAVNYEPRPKLVGFLLPVPFAVNGQHEVLFKSLFQ XP_001218873.1 MEKQLFAILSSGSRKGGSAGERRQAFSTAFEASLFSTTYNEPAS AKKGSKRPKATDKETREDECLRHAVNSFFTMPTPGPLETFFLRAAAMLHSYTLLLPEK DGEAGELPGVVKEAAIAFSSAIFAPRLRAACESLKVEEKCSAWVSTNNCGAGAEALNH PLWHCFLCIFLQQLSARGGSIASWRKFISDCADGSHASAHPQEGDCGRDESEEDKGSS TNITCEDECTRLAVAAWGAVSLKLLDATVGRTRYRRTAVLCRSVCPHIVAQAPGTTYQ LLDILHGFMSAWRERYSKASSNGREGKKEDDDNTEDETGATIVTLYAERLGHIVGDGQ THAMLLVTAIGAVLSATYVLPKRQRHGTVGSGDPSKWYPPRCEDPSLGLTESEWRATH FPPIGRLLQCLHIHSLLSVHVFAFCMQLRIGLSSSQHAEVRQRLALCFYLTEVCPVHV ALAIYYYATSPQNALAQGSGELPGDGLMAYTKALDHFVTAAHSELLFSVTDLPTGRRR QRHNTRAASGTKDGGPDDDDDDEPTAVDGELKGPRFTKMEFPVSCPTWSQYLTEGTAG KATSGPAGPATKPVAGTLQVAPCRFVVELLEIGLPMLSGATIHLLQNEMDMDVCAVST AAMPAECGRGVAAIIMDHFHSIFSRTNPSTACVGPLPTERHWNTSMIRVLGEYLPLLE AVYGYVASQSFISFVLEQITKGCKDFLSAVLDRHSCSTDESKQSVQALARKALALAER YLITVVMPCMRVMSPSPIVYDRLQALFNLFKEEAPKVSFGTSPAEFLHTSVFCSALLA TGVSNDTLSYRAPHERLRSKELDALFTQSLKRLTLGNVNRYRCMLRPSIYANPLLAAE KMFKQAVGYNNNFLLIHTQLLRGAPPAVMTLIAHMGLHHMQHYAENERFGTADSTRVS CIATFLAVLWRENPNSFDGGLLLRAAEHSLRREGRASVVFGLELLRAILHELLDTKLE HEGKFTPEQLQALVAPHRTQWFVKGAAESFRCGRWDSTFTPVVLSASEANLRSALQQR CIIPAEKESSDDDAAAANCGDGTTCNDNEEKKEEEVAHNNTTEDQQCGGSWSSVVEVT LGQAILLHLCRLHSRIYAVQSDLSAPMQLLLLTCARDYNVINDLLLCMETLLTQGPPP KEIYMVAMPHIALRLTARFAANGIVRQRPEQKHNGHLAATEPSHCEGDANNHHLCATV ESIFTVMPAEIGDHMGDMASLCNMGGNISFALLQKLSCYTAAHFVFEESVYVAAGKEL NRFYVIGNKKQKNRDDVPNTGVNVQKECFLLWMQEQTRQLRYERESHRTLYQRSAESL GQLLDELRSGGVLTEPVEFAKAYLLPRALISLEDTLFVFHFLSWMLNSTEGDERNRVI DIALSLVTAGMSFFVGLTDGECMRLGVLLSFLLTLVEDCAIDLSGNTGASDSDEGTGG GSAADGAASTYQPPGITAEALRAHLDPEARTFLNVLSARVQEEDEKGDEEADNQVQEG PTAPSYSKVAAYPLQLEAYLCRALVQVLVHQWDVQYLHRNAFIVLEHLTKGKHRFPST LCAADWLITVVSLHAVKSSSSYASATAVLQALKENRQRRCELVERRKSAGNSVKKTNR WLKLLKDREEFMQLLLAPDMAAAEKKICEREAVIASSVALADAEGDEEGAVAVEEGAV NDNVEKNEEPNESEGAEDLDDNSDAADDAHSSVTGDRRGSSGGESSFEEEEEGEDEER SPSASASHGDPNHGSITDGKGRNSTSPPPEEPEANEVANAKSRKRPREGSVERTGGEE ET XP_001218874.1 MCDLFSSSSVFSFCSSAFERFSMQATLGFAFPHPQRKQQVYEIS ITVFVPAPETRPRTVANFYYMCTGELPPTYPTYGAVVGNNNTVCGALPSLEAIKSNAS LKPFEGSAVVRIEKGVVLEIGSSTTKTIFGGFTEDESSPLAKESASVGASKAAAGRQS VSASMQELRAGTLLIGNMGIPNSNGSRYYILLQDASVNERKAEFSPYKPLGFVISGME ALQAACASVAVHPRTLVPTQKVKMLVSEVHFRRSVMQPAEAMAKQRLANIAPTAGRTR CRDEFEGSEIAKEGLDSNTSVFFDHTRKFPLWGNESHGGANGESGGNLPQAKRPRTER VVSNDDGSVSLRKTAVEGPAGEPFDYMAAQEAVFLNDIDLIAETQAVRHRRRAKRQQK KRKHAGSGQSKSAGADNSKKGKHAVPPSGGGKVLRRRY XP_001218875.1 MLRYTSCAANMLYARGALRVLAGEESLMSHKLLVITAPLLSARC VRMASTGAPGAAESLDNSNVGSGSNMQCREASIRRLAVVRPDLVGEWVPELNDSDVSS VLCTSTIDAWWRCNACGTNYRATVKDRATIEKGCPECASTRTLRQNHVSSDSCTGTAA VSERTNETAKGSLKETHPAIADRWDYERNGLLLPTDVDAASELNVWWKPAPGRPSRER SFRRPVFAFVETPYSEEEQLEAQTALELDVLQQVRQASKITTARENHALPAAARMLDD IVFRNNSSSSSEHTSATTNIAASSEPMITEGAQGNRCNLDGEDLYKAVELWERKHKTK AGLETRPMFYSTENCDGAAIARGQVLTAYHRFVSDRKPKVCTAHDGNENDQLPIPSAI EDPDWLQHFTLRVDDVAIGHSASSARLVLPDNVAFVSAVDHEKHTTVASNGASRKSRM ATLPPPPEEYGSEVRTTFAVQKRSYPRRPPQPPAREDDVTEAVPKATEAAGERAIGSF PRHTGSGAARNNGLPEASFAVVSLSGAQSLAREYNSGTTDNIDALFDAKGEELQRQTL LGPTAISSMTEEQVRALQYNRGSPRPRRTGRFRLRPPVETDSGSTEVVRKGDVLTSTF GSGVLSAADAPTQDEDKDLKIIQAPGAPRKVSRPKRKKAEEAAPGDDYGNNAVRAAAG DASKDKVAAPA XP_001218876.1 MSYYNNQQQSLPFQPLLSSRSFRTVRSHVTRTSNLHCSTARDDV DATCSDTTMSLHHSDTKSCTDLDCATMTSRRRQRLPCFVWSKKTIPQHPQDWSKSRPD SFRHDDTSLLKQEGDSLPSARRDVQLHCQGHPTRPLVSARSGGKTRTRTVYNNSTVTC TTCATGRKLSYPLSVEAHNDHALCTCVPWLRSLEALHHHDVTPEKGWECISIERDAFN RSTVSSISPPLSPYLPAKTQGKKGQMTNLLSPRRLYAAVNSAKTKSDRDVFAVSARPH KTSMGSSVNTARQYTKYVKTAREASRKPLTVRHINDTNPIYTFSNENKQRNKENALQD TKKPETKPEIEISTATTDGPTYNEKITKCRMESPALRKTKKEATTENQTQCTKQKAPA TKNTSSIQKKYELSTTQKQQLEIKKKEKKKKKSSINTKNPITQQQNTKQRTSLTQNLQ NNSTSEKEFNHIRINSTKTIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQT EAIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAE ESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQ QPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCA PEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSE ADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAI MDEASCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQ QHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQ EQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPED FLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADI DEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDE APCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHT ARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQ TETIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLA EESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEE QQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPC APEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARS EADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTET IMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEES QQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQP QEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPE DFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFIAEESQQHTARSEAD IDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMD EAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQH TARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQ QTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFL AEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDE EQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAP CAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTAR SEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTE AIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEE SQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQ PQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAP EDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEA DIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDVEQQPQEQQTETIM DEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQ HTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQE QQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDF LAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADID EEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEA PCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTA RSEAGIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQT ETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAE ESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQ QPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCA PEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSE ADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETI MDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFIAEESQ QHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQ EQQTETIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPED FLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADI DEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDE APCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHT ARSEADIDVEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQ TETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLA EESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEE QQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPC APEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFIAEESQQHTARS EADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTET IMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEES QQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQP QEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPE DFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEAD IDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIMD EAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQH TARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQ QTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFL AEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDE EQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAP CAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTAR SEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTE PIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEE SQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQ PQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAP EDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEA DIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIM DEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQ HTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDVEQQPQE QQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDF LAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADID EEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEA PCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTA RSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQT ETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAE ESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQ QPQEQQTEAIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCA PEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSE ADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETI MDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQ QHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQ EQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPED FLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADI DEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDE APCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHT ARSEADIDEEQQPQEQQTETIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQ TETIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFIA EESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFIAEESQQHTARSEADIDEE QQPQEQQTETIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPC APEDFIAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARS EADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTET IMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEES QQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQP QEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPE DFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEAD IDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMD EAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTEAIMDEAPCAPEDFLAEESQQH TARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQ QTETIMDEAPCAPEDFIAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFI AEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDE EQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAP CAPEDFLAEESQQHTARSEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTAR SEADIDEEQQPQEQQTETIMDEAPCAPEDFLAEESQQHTARSEADIDDELGKIVNLFI VEEKCRRSIIEDTEFCMRNIFSDVFNFLVETFYLESDMVVSSLGSKSESPCLHVASDL FVFSNGVKSFLPSDFPDFDNGGFCFYSESFDVSSCNVCFGNPLDDADYSRGGSMGMRG DAVDGEVCVDEVSMDTMVYCDSVCTVNVEEEGGVGEDAVPFCRQPVPVMLQKSKKRVH GGQLGRDSDDEDAAESYHGWWTFLSVPFFKTHRR XP_001218877.1 MELNLTQLPLVHITFCGRPAVSIGVVNLVGLFGSTDYVLLQRIG SQGQTALRKGDGGGRHSKDSRDSSLDSLEIENRVRSSNMKLCRNTGLPVGCYNVVEGG IYDVVRYSDLRKGKVKGMDFATLNRHSDGRPKTRRGCRSRRKRRRDGTVENAAQSTPS DTVSSSFKQPSTPVPTDPSGTSGGTNGVSQRAKVVRAAQPSERKAHQKATKVSQTSKQ TGGKEAPAVDEKNSNGTKVERTRTTKPRAPGIPKERPPRVGKEKVQQLKPVAEAAPQH APSRSPSPRQANSNFAAVVLTASDLRSCDLGSSLSNVSVCTDKAETQMTPTTGPVTTS MQLNKSKHVPSSTGRTAAQDNGAKKTPQVATPVGESANAKKQQDVVDVDNALLVGHGS SSNGKKEGGSTGLANVRTDHSRDVVDRRAAAAPSNSIVECPCAPDAASPELGFVTVES ALSRDFSLGSSLASSADSVY XP_001218878.1 MVWLHWTTEQIMERKGHARVKFVCFPNFILLILFPFLLLVFTLL GDLFFLFYCYVQSLTPSPLIFNFFSRFFFFLLFDKLFHSPQRDIFLYPLTVFFSLFFS FVEVAVCLPHICFFFFTLVDCEEQMRQFIFYLPHFSSITFLSLK XP_001218879.1 MNNALDPAKAPQFLDMMLRRNKMVMVSATYCQFCTKLKMLLIEL KHRFVSLEIDIIPNGREVFAEVVGRTGVHTVPQVFLNGKYFGGYDELVAMYRAGHLSA EIERG XP_001218880.1 MYLYGVHISLILCFGFICCCMLLGRGREKKEKQRAETFWLSCWS HYSMHVCVCVCVWSSVSPHFLTHAFIIIIIIIIIIIIIIIIIIIIIIIIIIIIMVHLC VICHVHLLSSIRPLLFPFSFCVICTLKVAIALAIVY XP_001218881.1 MGIYSDTDDDSPIGIEVVGEASSDSEEPNPHSTTKRKVSGAVDH NNGYNQKKNKRRRLKNKWEENPQLHETPDEEQISNVKKRVYVLDTCSIVEHIEWSILE EVAKYYLIIIPKKVMDELGKMEGGRGSTCNRVLNKLENEHTSNKMNVGFRLQRKNDKD KNVLSAARVNDDHILSCACSFRNEHDVVLVTSDNILKLKAYAEGIPTVSTLKDVTRLT NGLRGGKFE XP_001218882.1 MKRKKKERKREERVGAEARRSLPATSTSAHAHEGKEKCACEAHV TACFFFFSQSQLPPLYACTRIHLFAHLLNYFMLSTLQPPTSSHLSRNAKKKKERNAFV VMVSGVPQHLPFPSLLFSCCVVSCLAVSLLIVVIGIYMYVYL XP_001218883.1 MFRCKNRVSKYTKGKEKNNRLLGRVVSIKIFFSFSNHKSRKLNK LICLLKISLPLCETLYISTRAGSSKRTVKRVGEYFDVCFSVEFNNLLFPVTHSLTHSL THSHTHVNA XP_001218884.1 MDNSTELGPLNSPGNIFTSHGEGNSIGTSSPPHPHSTESTGDNN EFMNPHNIVSSHMPSREGSVFVNRHPPERPVETLEKDDQNRSYLYSPAPTTDCNESVN FVCMQEAAAAGLQTPIVPAASSSISQHTAAESKSVHSTENNNDFVKVFTTSKGSHKGG RLEALRRISNKHKTFIGLTTITPQQRNTNDCINRSINASAPNELSRAGDNGAVTNPGG TKLVHSVPVKQLQPQVSHIVLDTCSLLRVGPLQLACLMRRAVVCLPHGVLHEMDSWNH VAKKYEDEISRKRAFVARRIRTWVHYATKYKASIRLQAMNEVNTAFDKHVQRNDMAIL GFAVFLERNEKTLVEFVTDDTYLSLTAAQELKGVVRTTKGLLDYYGC XP_001218885.1 MIPKRNEIVKKECLLSERFIWNRIRPFTDNSWFRKDKKKKKEST RKTARNFQSSFSAQKRKKEKRGGTERLMK XP_001218886.1 MRPAPTDANMYNNVKKNDADNGLSSSNHYNSVNSVKTREGTHKV LRLGVGTYSNDLSKRTGAVTATNALNSQGNSVRTDPAGTRGHLTNSARQHKNAESPVT GTCSTGQLKTNCSVGTEGKAGGTTQPLKLLRPPMTTVEPMENLTGLISPLEGSATASD SSGNCRRKTVRNLPLIDVTPQPPAPLPKGQDTGGSKRSGYITCQRVKPSISLKSLSPN VSPPSTRVLPDVHSPQSVALRLPLRHQHAKPTAVTNSTQLEPMWKERGKNDEHHNKAN EELKNIADKKVAETHNEGKFTNIIGWVSVSERVEAAANKTHDTGNESSGVIQTGQRED PSLVLAESCAHMWESLMRGAAAGGSSLSSLAENANCQHKGDSSAKDVEKKSLEASSTE VKKDDIVRRVVDLTTWAATPLLSVNDDLHTLLRHSAATHHQWCGERDAATKDNPITLE RGGELKTLNHRVHNVIAQILHPKRPLQPSYPSRMPLVMEKVHGKRRAVSPLRFYGPKG KVIGPRWFESALDDAKRVASPNIGLLEAGEAEKAMSCKRS XP_001218887.1 MNRARKPEPPSTPCARRDFRGTTTGRRPGLPSHNRNGNDANATY PIPNNRVGRHLSPACGKYGNRPLTAKPRKRLISRRRNRLWYENALADAKIVRSPLWEH LDDSDDAAVFEGWNSKMRRPRKNFFYHGAGQSFDGAEVIDSLFMLAWMEEQMGRRKKQ EGTQGDGNATIFRGGSAENNVDRKANNVKGKEQQQQLVNEESKRHETHPTVREDETDH NPVECQGYNRSRTGESLELTPNSCDISFKSMFSTRSRKRSGRGDRLRFSCASLYKEYS NINTEECEEKGVGEIRVMECARQLGKAAEEQLQEGSRGSRRVMDSTSCGIRELHSIQL AELPDSVVKCPSGRRQSGEHMLPKLVKGRGETIHNTSEGTAPLSVLRKKLTHTRGHKT SVSDKTYPQNAPFITKGQKSATLRNKEGGGDVSTTNQPDSSLGVTTQRQGKGRNVSSK STHGNASTFTLSNIFVNHMGSFSSSVSPLAPHLRKKELSTGTQQRSGRSKNDTHCEGS VRSRAPQKLKRIVYPEFLNAQSGGEHREDNHITTIQTPRSCGSGYFVNCEGMRSTSVS CSRHMGGGAKDSSISTFEDTFCCRGSSLLQVMCNTDKNYATDREQTNSTGNLCSSVNP YYF XP_001218888.1 MLIGFERKNYRHRRERERERVRMSLTLFSFTVAQFCCFFLYSLT NFPSFFLFPCSHVFRFVLFFFTSFVACVLFFFRHLQVLYFLLSLLPFARSHMSAAKIP SPLLHSGLTFSCHPFHCLFDVPPPPTCYRSAFHLFIYYKNI XP_001218889.1 MFKCSLSFPLFLPFARGTSTSGDGEEGTEEFLQKEKDVVVRNQL HKKYIYIYI XP_001218890.1 MNESHMCHQRPVCLNAFTKQPASSLALAPTAAVTHKVNSMKVQP TADALKSKITLNEGLTCIDFQPGRHLLAIGCTKVVHILEVKQLAEGNQSFTPTRSDSG KRGGAESEAFQSSHLPHSSGHMGLRQCGTFCNISKVEAVAWYPDTGEDVLAFVQRNRN ITILFDTISVKSNRRVTKQWIHKASDRCLISSMEAGSSLSSTAVVNALNATCTPIFGG SAPEVPHPTFHAKRDREGRGMVEVIIPTGHNHVERIAWDPHNAYTLALAADATHFELW HIPVVDDHVHMPRLILRPPAHDERSTTRSVAFSPSNPNLIVVAVQLTNAGKVMIYDRR HVEVPRCVTTMGPCFAASFHPVYCDLLAVCCRKTKAKTDSRVQFFRVMDEMRPVGVLK LPAPASVDSGATTFHSTGANSAWPVTEQNILPPIDTVDPLNRLRWRPAVKGSQGTAVD VTVDSAEAIIDKISSQLWFATTSINGQEVSVWDASNVFCPIFSIKSISNRRNVSQRER TLREPTDCVWVNALTLVCVFKDGEVELISLFDEATESNETLFRCASETTTTSDPSSDT TINKMVDPGTFSLAAVLPTAAIVPDFFGQCLVVRNSSSALREYYANAIRADKGKILEL MLLEYGAEWGSSISGDGITSSSAASFPISGTSSSTGLGAVSRGLRGTTRIAPVAQTPA DRSQSSQINATFRHSHTRPLLPNSGSSVDRSRSPFVLGAETPGVATAGVASAAGRRHE SLFPFLISLALQAQTTSTPRDNLPTTSAPAASSGDVLELAPDREKQQEEPHRTTASHV ITAPPRRSNSTSHNGCADICYEKSPDGNARTVGMRPSHNAFLRQERQQMPAMSPSTPK IPCADILELVLPAVLCPNSASIISPLSLMGSGSDYSRCMLPNAGVAPGSCKSSEVLNS PLSDLPYTRGVPYSFREYGASGRSTSPRSSAVASPTGLPKHSLAQPLLLGVKSHVNPV IEHHIISSDMPNLTYVERLSEHDAFVRYAREWDVGYDVARKMISDRYSVRCSTDNTEY EERKEGQYLANKRHVDRRFARLMAHNAKVCFIHERTMRLKVGAYSREGIANSSCETEE RGLCNDLNSFAGQSADIRGSLWASAKEIWRNHNVPYIASHVALLLDYASLTGDVQFCT VLYLLFCLWWEQRHGSMLSHPCPFLPSANANAREGHNRKSSQSAVTTSEVGGCSPQRW RLRALQWVEQYASRLYAMKLYVPINELLLVVPHVLGDSNSGLPRSEEIAQKLFTYLYC GVCRKTEIVPHHTMREKLGYLPSSLSEQLSLGRSGGEDDRQAKTDHSSDECTETCDSS GDSTPLSSMRSSSSSLSALDGKDTLGSVVGSEVIASERMKPTSAASYAGNEPSICGPV TYRNAVCRSCTSNSLMTCMICEEEVEGMYMWLRCCGHGGHVQHIEEWLSISNECPLCG VPIMMKE XP_001218891.1 MPSPKLLYSVGLPEFYADGFALAVPPPPPADMPSPFLARRQEGS SEEQGKEEESVGFTPRNFLVAPHVSQATPTPSTTLTSSDPCTVSAPHVHVSETLSDHL GPCTSSTQSFQTPNNATAGTSSTTPTYELAQLLCDAVMKCYRREDELRQQLRRVDEEK EDGGWRAYNSKLNSKEVAPRSGAFPRMVDSIHSLKCRRRQQVQLNENHMLNVTRVRET PQLVRDAVQYAQQLIEWRSNSDDNSNISVDPISSNTEFPNTEKRHQHGRAEPPTQPNH PCGEGRDSSHMLYAKYPPPLFHTTSFADGVTRLLRWIRTWQRSSSAAEAAERAPNGRR NLKRKRNTTTETESKKRAISSTGFPASNEVDLKGRKQGARGGVVGVGSTANASVESRS SSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSCSDASQEAQQQQKEQRTSLQRY YDTWLHVADTLASGCVNSTLESGLAMDHMAHPGLPPELCRKADRGLLSDQIMYDEHGN RMRSMRLARKMAKERAKQEKRRRVLERRRGYFARDEEENNMTALNVLLSDNIRVDDKG NMWKHDVEDDVTSIAMIYGPCGVGKTAIVYLAAAVLGYRVVEMNTAVRRCPKNIDRLL SELTRSHRLSGLASCKPLMSIEEELKKLKTEQANEAELRKSAAKSTPVKNKKGNAISA KAVAAFFKKNVPENVVDVHTEGIVEIAVSTENDNRAREKEKEAAASAAQPSTTTPNAT LDETTRTILLIEDVDVILGDESMRTFYTAVKDLAQRSKVPIVLTASSPPSTTIGGSTG RPTKVVAAGNDPVQMIPMSAVQAEQYFGRRTPFTRIGPMSRSELFAQMVVVAAAERGL LSLREDTVPCPPKKKSRRRRRGKRKANSTEGETKPKKEKLISFTTVVVNDVAMFESLA DALREEVYGNVEDIEQCHTLNDQTDVRRWLNRLQYLLLREFWPMNCSTFTDDITSLPL TIIEGPPTSKIHPDTDDTEVSRSEWDARLGRMLRESPNAVRSTIASCWEWNHFQYSEE AASVAIHSTTPAVTQFSAPGPGGTTGDVTDDGGADVPPCEARGSNIILSSQCPCGECD ASFMPSVDMRDLFGFRTLLNGLPSPEHARNCITTNDRMNAFGSWWRRTKKKEAAKLFV AGCSEKSYMDVIGFSCLLQQSTVVLHID XP_001218892.1 MSISYFGNGRSHPLSVTGVRNDTNENKEKRHNRNTIARPSTPCT AVVIVSICVWWNSCEQKGKGSCDYGSVNHIVNHFNLSRTLASVPPPPKSGFIPHAP XP_001218893.1 MLPSSQDQLPSEKTSRTTADAVRRVAAYPIDFNTAQSSTITHQQ QQQLKSCAGIDLNLHPLCQQHFYTGEMMRGGEGSRGALIPHGKGTLLWVLYATAPDIS FTDPSGSVGLPYELLVREFGEVNTLRDAVLLALCVYSGSFNSGKKWGGGSLTIYPQCV KLDCCWEDGKPMLKRNYCVLYYPASSQAASCGDSAGSNSGRSGGSSDSTASLGTLLRS GLTRAGKAATASTANHQYIGMLTMTTVAGKAQCSLTAGLAWSQHVQFMPDGIGEMCYQ GGVRYCGEWKSGRQHGFGVEFDPNGFGSMVYIGRFENNRRCGTGTLYNCKAGVVVSGT WSEGKLCSAVDVGLPSWPFVLRNAQWTNVDEWSFEKASLVHSDTSLNGTWEPLFSDFD SALTDPADLEKEEMLLQKGTNGGRDSDADGGADEWERSSVIMLLRSFLQRTELKATLM LFQRCFYFLYKPCAGGARWLDAEMLTPLRKSKQQQNDGEPATVGSSGASLPVFAKLRD LGRTDCGISFFSDRGRHWCAPSWCNEMGLGSGGGNSTGGDGYVGYGCFHTASTAEGLA ARLPPEALFKWAARDLAFVVSSVRLRLLSCIASHPAAGSLVGSRHVLAVCWDTVYSLV APVMHDLASAVEIKAYLETSMALKRCINLCPSGFVCSTHLSEASSAAVSNDEIQGVIR RCGFVFSSPSRHWRRRATETNNGKLLQFGPYVSNEIDCTSEEAVTYATPSTLLAAFAT LRCYADRLFPRNELLRERWMSGSIANAFTKAAEVHPQLAMSPPAVLRVLATLATEVNP AYPFAMEDVLGIDEQRGVTLSSTRIDSGDRDASITDVMSLLLAAHESAVRLRHAYPNI RHVVSYVVSSGSGTHNAHRFDAVYPLDELAVRTRFVLLGVAAEVVQQQHMWFGRLVSR DNVRSGNGECNNSYLYCSDEDVAHPQVMSNDASGDELAQMKERAERVLRLPVWVMQEQ ISKRCADQHTPVGKGERKLQTQTPSTEVLRWVMKCVGSILELPNVSKVQGQSTQQAKA SRQRSLDSSVRVTQDLWQADPVLAVSSECNDEDCGRRESLSDSGLLGQSFKWKHFLPS IPPFTVAAADARRHTVAVELAATQFITGTQPDALLTSGEILNFALIQKLLADVGVTAK LCMRFCYDNEEDSLFSPQLGATRGNGEDLTLSFNSLNAAANEEESDTPASVSCVGREA EFTLHMGSDFIGSLCWDVLADAWMAALTSLRTETMDVQRLAKLTVVRQSDTGDV XP_001218894.1 MKGCTLQNNFNHTAPFCGEWNSTILSGSLPPNSGGSSCVINLIK DEVHTVNGNTPRGITITSDTTKDGVFCTMDANGCPFAVDREIERGVNRMKAITKHGTC HMAYATSGGRTCDDSYDRSTRNYHDEEDEEWYEEDEEEGEDSPRIHIIDEHRDGRLLG NILCGMSRAGERISQGLDMLSPLEKRKSGRCAAIESVDYNNTRRRGGGGAETQKGLFQ NYYNTGRGRWASRNHCRTNGWDESVSVESCFSHTYNQQWRGREPDYAMMEAHAALDGR SFCEGTYLSSTKLSERKKRVALSGSRVCRDAGKVIVQDNCPYDHKKRHKGRRQCQSPF GEEKIRANDPFDSPKNAITHIKKAGSAGSDDNDSCNPHSKSPGLTGSGSRCSQKETAG RSAEQRNGSGKAASPKTSPHKDELKEKESTVVKKEKVSCGCFGGSREKR XP_001218895.1 MPRYTAMRRLGPVGHSGGISSNGVTTVGAVTASNVGNGVVNIGG DGSQGVAMGPGATDVASARPLLIVPNTTSAAGGSADVSDEEVDQLLRELERSVDSPQA AAVMRDAVWAIERRAFSSCDPHSRDYFLESRVRIILMSLSTTSDVKQLLLAVDFIEAL LAVPYTNLQHRFTRLCRSLMNILRCGIEEPAREAQRVLKRMLVTDSQFGAYHTPLRSF ITKELRDNCKVALMRLYGRAQQANVSFTPDFLSTMLAAEATAEISPRFITGTLRQQVL ELASMLAGFSDLLLRRAAYRCMVVLFKNTSAMRRKEVVLENRRIINEAIRSLNLSHNS ESSIISTLETLRALLSSRGVHCMDKQVNTLPQLCVLVTEQHRISKTTAVRNAVCDLVP VIAETDISSAARRTTYCAIIMEPVKNVRDDSNKDLELRNLAIFIQNVGYEVLDSTNRV NLDSIIQRYITRRGTEEECWHILAAICSSRARPETQRTHMCSKTSYIAMSSSSAMQKG CETIQGHQSGGEISLSAQELRSASAEAHSVCQLVAGESQNSCNSHELMQPVEALVRRC LPHMVHAVLSDEFVRYITIIQDHLPSLSSDLQTYLDQLVNRTLRNDKRKYCGGEVEDG ALVAQVFAGDRLSTELGNSQPQEDIIQSSTLAYVTAMGRLAQYLLPTTLPEGGAINWQ QSQGATSTTATDGNGQGYSLGSVETFCKSVVAGQPQLVSPESTLSSAAGATTAEVCSG AAPDSVGASELKIALEVFSKRQITSSDQLREVSDIIIQYQRHPDKRVRQQCCTTVIEA LKCWARYSQSDRTSAYSSLVPDLLEVYLKNVAMELDPKVRLVEVTLLADAVELRMFLR EKRIIDTLISFLHDISHVREKTMELLVTLAQDNHTGPSVETVQYSLLIVVESSVAALE YSSDPRILIRHMSDLQTVAKFSLRPLMGHLDRTFVACRRRLVEEVVPDTIALSTLKTL RTILEAIKREEAVLLKYKDVALELYAPVAVALRASTASPLSHAAIGVLVCMHEIGASP LNWDARQLHELLQSVTAVYIEAANSTEEELGRALTLFGQIGAVDPATKPDTAAMKKKD DEAAIQDEADLELTYDYTKIVYRNLSRMLDLSLSESVCVQSMCTLLHLVRLTTDRKEL IGGMHAIKAILQIARRANDAPLLRIEALHILAAITSLRHEKVCKTLLPELVTLFEQLW YPQDHALFRAVLNLVSALKPGNLTGKEQSEVWPWLYPRLVDVALQDHTETREFCLRVV GIIQHAKYIPPHCIPIVFPMLTQFVQQMDQLAELRSLSLCAAIRIVCNLQAVQHLPSL MHTICTLTRHCDLTENLGPRLSTPTLHRSLRLLSNTHPNGEADVNALCERLCATGSEG SADAGGCFTNEGGETLPTSTQPNSSFHCGNGDYASAPYLGQYTQKCQQQQQGGTYLDP NDDEAYDTLPQGQQDITAFMKHVEFGLRAKDNKWREWFAEFQKNMIVASPHPVFRIMV DLFDKHEPLRRKLFHPSFKCFYESLNAEHMKKVKDVLNLALRSSDTEVVSKCIGLADY LDHNPPNISESVLQQLRHLEGNEPMDVGKFTKHSNLHVGNVIAGSRSPTPACRHEPGG YYASHHGQLYRNISDNYNFESVLHSLNSPKTEGPVFNEGVRPPSHKVQDVGNGEHEVT DNGGTDSGCGVERNGKEGRSESESGDVNGSARRQTMLPVAKSIEGDTNEGLSFLAGPV ARRITVSAHHTTNVNASDGTCPNTGDAEVHIRATAIPSTVNPLFTNDSLVEAALRTRM PDKAMSYLENKLLPVMQKYRYAHSVPKEAVQAVVLPLASLYSRLEMQDSVVGLFHAMR YKSDNEDGFAYELLQWWDVAQGVYATKVHDCCGSSARDIDGYVRMLCLCGEWERALQV VKDTYKSLDQPSSTIAQSGAMAAWILGKWDDVKMLIERLPNKEKGNITLRHFFQNATL FHQEFGCRAQWDCGVQDGQRRLETSPQVEALRASIMRAKMEVDESLKTLLPLSYAHAY ENLTMLQHFTEMEEHIAYMESRTEEFRGQLRERWNRRFAALKPDSLMPNLRSLMLHSL VLNASEMSVMVVNFCERTGTNYPQLSKWAMEWLKQGKFPRANHLSQSCHVLPATPVSL EPCVAITYIGQVWSGGQRQKAVQLMENFLEETHSTLEEQQPAAYGVAQLRLGMWKQEM FADSFWKVEHRQEVLRHFHEAVRAVPGSCEAWHSWGLMNYRVQQRDCSLSRYEQRLFV EAAHQGFVAAICRSASPSTALPGVMRLLQLWVFHNGMSLLKESVADSVARIPTDYWVQ AIPQLIGHLRSASHDVRDVVSMILQRLCVAHSQAVVFPLLVVLMSADGGGGETHSRRK ELARSIINNLPKRIRAEAELVATLLINASATPIERIGESLSAVATAWNPNAEYEEDKE EVRRKLRYVLDVFNTNRRQLLYNVGDIGQYVRIVMEEDACGRREKASGILTQLVEEIT KHISEKLGKEPQKAMEPLLNLRNLSIAVFGEYDIQYTNFPTIASFSSKLDVIPSKKRP RRIRLSGSNGCMYTYCLKGNEDIRMDERVMQLFGMVNVLLSDAKTAKSAFIHRFPVIP ISDNVGLLGWVEHANTINNTICTHRNTISKVRTHHESNTLRAYVETIGSWEKLSMIRR TEILDYVMSQKDCEAVDVARAMWHRSNTAEQWLERRTAFTQSLATMSMVGYVLGLGDR HLGNILLSMSTGKIVHIDFGDSFDVGRLRHVLPETVPFRLTRMLTNAMEVFGVDGVFR ASCNRTQSTLHKNADSIMALLTAFVHDPIVQHKGKMRNMMEKSRTPQNIVERIRNKLR GTEMAVRNADTVIFNTVLESSRRPDLLYMSHAFNDVAKRTVVNGRTTEEQVSMLIDEA TRLENYAALYFGWGPLW XP_001218896.1 MRTRKGQKKKRQIKSNQNGEKVVREHFGKFAADDLFIFPLRQGR KKKLRSRMKWNGMLEVSDFIFSLKKKQNNLAKQTNKQNQKYAPINIRASTSKKKKKER NGKQLSGTPKNC XP_001218897.1 MREMELRMGRTNIPLRSIRCFFRGEVVVGNEQHKKKREKGKERK GKHKGEAYPFFNFFFLVLVFGSFASSPSLFRSPS XP_001218898.1 MIVLPTFDFPQLEDGHEPLTLRQQQQQPPRGELVNLVHVLPFGL AIQQRALLTARLLMEERQKPSRRHEDPNAAGASDGEDDSEGDGDGSGEYGRGGGGSFQ QQQSYASRRKKFVKLTEEDLQVDWYEILGLEQSGGATDEQIRTAYRRRCLETHPDKQK DRSDAAFKKVQRALDILGDPETRLTYDSSRPFDDTIPAETLPTGADFYAIFGPVFERN KRWSTDPSLPSIGNDKTSLEEVNRFYDRWVRFQSWRDFSHMVELDEIDDSMCREEKRY YMRENERQLNCLRREEQQRLRTLVERARKNDPRLRRKREEDEAKRQREQKEREDRRRQ AREEGERRRAEEMERERQKREEEKRKITDTKNAIRQAKDDLIVFLEEHGLLDETATNK LLRHAVRRPNIGWIFSKINTAEEAAGVVSEVKNKDTTRRATSTKSGTSSNVASRTTDE SEEDTEVEAVICFNELVEEKERQIGLTRYGEAVKARPEPKPQEAKKKTVPESVNKHAK EWDEEDLTRLQKATAKFPPGTVERWSKIAEQLRGKFTEEEAMQKVNEITAGLHRSGGQ TGVASAKQPTSAVEGNGATAAAAPSAGGANSQVASVEDWTVKQQKMLELGLRDLKDYK EKDKFQKIAAMVDGKNARECFERFKYLCAMNKRK XP_001218899.1 MRLQHPFQSKYRTVTKLEVQTPDFLLFLGVCVCVVLRFSSTELH DLILCCRLSQALHNCKKKKAFPPFLPFTQHTHTHTTNKQLLSRVFVHWENRVGSKLEV VKEKGGKKETRGRRRAPRKNK XP_001218900.1 MDSFGNQKHPMRQQQQQQSPGYPDQSSWGGTQQNAMLEIGMQYG QNVLQEKSQGFMSYISVVTGFRRYFRVDNQYVKRKLTMLLFPFLFSMKKTEGYSNNDY EERRYPSGFGGDSPPPMQGGSWSPTSTQSTEQVPPPTEDVHAFDLYVPLMGAITYVIL SGFLYGLHHNSVPNEQLVGPAWSLLFWLQVEVFILKLVCHLLRTTPASTILELTALCS YKYITICLAVLLREVLRLEGETVYTWAILLYVVLANATFAAKTLMRSHQREQRVPYNA KVFAYVTALLQAPMTLWLAIRPFQ XP_001218901.1 MATEAEKAQVGTHPIQETNKLISSSNVMNNERPAAWNLKGRSAV AAFDPVTRHRILQSQTMAACFHRPLMATMEDLISVSYVGGLSGPLRKPEPFICLIARV LQITPNTAIVMAMLRQDVHKYLRVAALFIIRLIGNGTAMREALRIGWNDYRKIRVYGS KDDCTCETKSQDGEKADTNEVEGEALVAAPIHAIMCVDEITDRLFNTGREGYSSTWLG VNFSPLL XP_001218902.1 MRMFCVVWRVREQQAPTAVYLRWGGWRHISSFVRERLMCCPPEE ITGREKRSGSTQYWKVHTGSRWSASTYGGITT XP_001218904.1 MYHNKYQHKMFTNHSTRYPQFRVRKHTEEKLYTISHGGSGCHKY PIIAENKHKHDNECEGNPNHIHVYPGLNTIRLALWIGSRIYAKDSVHQEPCTIPPRT XP_001218906.1 MRRETVFAIALLAAVLSPSSQGDMWSFKWTPELIDWRAIRDNVH QEEKHHNVGEFETLCRIYRITQAEAPQPSFKNREKEGEILKKLEEMVSEAETVAGNKG SSNSSNSTTAYQEMKKLFEKAKKLKEEIEVNRTRSLNASRSAEENMLRAVYGDAVDVA RNENKTLEEAMRGNKSLLFNSVYNAATSCGSFGDKLVGKTLINDFFCLCVGEAIDIVK KEERGTHHHDSDVEDSSDDNIYNGYNCPCKDEIRRPENGSWTMMADSCKGDNSKCDPK KIKYNHTEAWDVISKACAYKNVASNVKTLKSALAQFDALVNLGQNGHQVKGILGYVRT DHNENSTCLGYTAGFTCVSYNHTLENGGIPWYNHLTNATEQLQEMAKYAKESDSHLHE LEEYQHEAEEIFLEVKLGGDAGLWKSNRAQGESDSEGYDTGVNNDCFYYIDVKTGVVM LLILSLFCMF XP_001218907.1 MEDLDNFNINVQVLDRRIDKLVEEIKKAGAQSTWESGGSSMVFK KVDLLYADICKSKIINGKTAVRVFNVNMQLRRVNPCKYVYWCVFPPLLDAAAAGLSQA LINFDILMYLFTGCVSQ XP_001218908.1 MAAVTPPTSRALPLEATAIFPPQGSRELDRGAPPLESLSCTKRA TAAQHIRGGEGRGAAPCLYIQTVSGSLLPVVLSPSPTHSPIPQRCEQYRDAYANVTIC IDTEERSIQRLETFGGGTTITAILCKRYFPPFQ XP_001218909.1 MKFTPFVINCLEYVWSATDFFLPFICFVLSFLKSVPPKGMPIIY PSWSHVFLFFFLTSNLISSITVPL XP_001218910.1 MSEFELMSELSESAAKGALSEQAEDGHRTYSPGPEEEENPDAAG REGAAKDGLDAHAEEASPAPSPAGESDEKASKSEHESEAKEGSDGRAEEASPAPSPAG ESDEKASKSEHESEVKEGSDGRAEEASPAPSPAGESDEKASKSEHESEVKEGSDGRAE EASPAPSPAGESDEKASKSEHESEVKEGSDGRAEEASPAPSPAGESDEKASKSEHESE VKEGSDDRAEEASPAPSPAGESDEKASKSEHESEAKEGSDGRAEEASPAPSPAGESDE KASKSERESGTADGSSGRPEEVSHAFSPNRELEDKANKSGGESVTKGSDGRPEDISHP FSPNRELEEKANKSEGESVTKDGSDGHAEETSPVQSPEGEVGERSAGAGSDHEKQKGE SCFDDRDTGNDQAVDRNAHDAAPHLDDKHTNGAAADATDAPRHTQEVNDLFKPRMTPY KQPDRWDPIETYLGEDVSDELEITTARKPVFTDEEKADVPTFKNGEPDYEGEYLSCFD EPNLLYRIYNREEKTWAFYNDTYSYEMHVRFTFAKLSKLQALGNTKMYTQETGEHIAE VIVYPRETEMFVKGNANGFTSKLRAVPLTEEYYARRQELANNKIQEEIARVKSIVGDV TDSEQVLKACVENGIPFVDLEFPPCQDSLATGAKKPFKRLPWVRPSQCLPDYMADQVR LFRGPIRPGQVDQGELGDSWVMCSIAVLTERPDKVVNMFRHPTDPELGKKERSVGGYR VSLNKNGQWRSVIVDDYLPMSGGRLKYAKSRYDLAEIWPCILEKAFAKLHNSYANICS GDPLHALQDMTGFPTSRFDDAFANAPLSGKDDLFQDWVRYVKAQYQIILSTPGKNPRD KASGECHTARRYTSVGLLTGHAYVVLDAAFFPEYELRLVKLRNAWGRGSEWNGDWSDG DEKWERYPDVAVKCGYSNGESDGTFWMAWDACLRYFNGGGVCFTRNSINDYRVPSTFV NCTPSCVLEITVEQPTWMCFMLSQKDKRGSPEAREYNPVMISIAQPIGGGLYRVVQNS SADAYHPLSDKWTFYQARDISILYELLPESSPYIVIPRLMLVESQPDEVPYTLSFSCK RAVGHEGVTVQLKTIDKDNKVLYNFPKFEPDLSSTDVEYQARVAHKPFPELKVDSSVC XP_001218911.1 MSSRNGSLAGCYVDEFDEAATTKPETSSIYRYGRPVYEGTPTAC FEGGLLFRIVEEGKNNRWSFYNDTPSNQMRVEVIFGANSAIKALGNTSLIKLPDGSYK GTVTVYPLETEVFVEGKCDTYESNIVAEELSTEYLQDVAVQNAKVIEKETQEVSKLGG ENSSPDDTLAACIAKKTKFVDLIFPPGQESLQIGTPHKLKVIPWERPSMYLSDENALH ARLFRNNIHPGNIDEGELGDSWFTGALACMAEFPDRVRDMFRHPRSIEEGKNEREIGA YRVTFNKDGWWTNVIIDDYLPCSGGHPMFARSYGDPMELWVSLAQKAYAKLHGGYGFL VVGDPLRALQDLTGFPCSSFNTAFENAKMNGGEELFVHLLQYGQTSYQTILTVPTRGA LKLQGASVEAYEATGLLPGHVYTVLSVMPFPEYNLRLLLLRNSWANHSKHRWNGAWKR GSEKWKQYPGVAAACAGACDADGVLCVEWSEALALFAGCGVCFVQDTPYDYRIRGCFQ KAIPSVCLEISVSVPIVLCLSLTQEGCHGTDKADYSPIMLSVAHGSGCNQIMTVEANS GFDADHPNPGFTFFETREANMFFHMIPEKSPYLIIPRTMTPYKELSYVLSIHSPVEIG TPESAVFVAFRTLAPECGVFANCRNFQVQGSTCQAEFQARGTEQFFPDIYLGNSIELS XP_001218912.1 MGCGASSTQSEDGMHEPIPPMEMVRKQIAAAQPEQNGVKEGQSS KKKRSGDDPPDEFEELTVTALPQRWQRDSTYKCGYPMITGDEVKPCFEKGLLYRIVKG DTWAFYNDTRTYEMCVSFTFGRDSTVRGMGNTVITNLEGGEILAEASIYPCETAIFVR GRFSGFRSKIKAQPLSDEILKAKVKQYDKYIQEDLARVNAMINENDTDDAILAKCVEG PTPFIDIRFPPNQTSIERGAVLPIMTIPWARPDMYLPLGFPPQVRLFRSAISTVNIEQ GDLGDYWIMCAIASLEDDTERLRGMFRHPVSCEKTAKERAVGAYRVTLNKSGWWHSVI VDDYLPVVGNRPKFAQSLSDPCEVWVSILQKAYAKVHGSYVNIVAGDPLHALQDFTGY ISSRYDYILDPEPGLEENEILERLEKYDQQGFKIILSTHNAKTDNPNVDETYREVGLL AGHAYPVKAVRYFASENIALLQIRNPWCRETEWKGEWANGNAEWKSHPEIAKACEINA QDGSSFWMSWGDVQKYFNGCGVLFRYPRSVDYRVRGVFRGDIPSVSILISVNKTISLV CSLTQEDRRGTKMADDYPPIMLSLCGGDRLSDDMEVEKSTTTEADYPGEDLTFVHSRD VGMMCTLTPFKSPYLLVPRIITGRDEEHPYVIGLLSEAEFGADLKAEFFSVPANCEVF RNAKSFAFQGDVVEAKFQVRTPDCRFPTEYNSTVIVKAKKGDWYVENKKK XP_001218913.1 MCAFVPPVGASKGVSNGDGEFQEFLLHIRMLEGHLYKRAPAICA SPCGSYWAESSK XP_001218914.1 MHWFLWEQKWVGSEFLFVFIIIINLFPFLYICRYLCVLVFAFVS SLHSVTCSLRIHNFFCILHVTESTQMCQVRFLPFFFPFFIICLHKCILLFLLLLLL XP_001218915.1 MGLFLSKQGEGQLLAEPTMQSTIQVPLFNGLLYRLVDEADGTIG FFNNSKDYEFHVTYLFSANSLIEPLDKATAAQQDDGILCEVTIYPLETQRFVKGEITG YESKIDALLLSDDYFRLNEDRNPERYFRHTGPFKATSF XP_001218916.1 MGCIQSTVSYKNGQPNFKGATEVHSMFNGLLFRLSDTKNKRWAF YNDSPCYTIHVAILFDFDTQILPLGSTTAFRIDEPRPGREGDRGKYLAEVDVPPLSTE LFVEGEVTQWNVDTLEARTAGSEEQYRL XP_001218917.1 MAVIIIVTKTTAIAIMVTIIGIGIGISMGIAINNNNIIIIIIIC YYYHYYYYYHSYYDNNAVIISLLSFSFLFFFFSHLFTLRQYPFTHTHTHTTYVRTNKQ TNKQWITIVIFFLFFFWGGGRGD XP_001218918.1 MISPNRRFRFDTRSVWVGKVREKGNSLPSTAHAKRLTTEAEWLL LSPFPVYFPLFSFFFTSFSTAAIFSRPLAARVSIIPLATFSIPRHRHLASRFLFLQKR KKKVTAPYIYIYLFISVYVNSFHPFTFCFLLAFLKLFLSVFPMETQQ XP_001218919.1 MNFWTVPSVDDIPPNVSSGTDLEPRTAVEVEAVERRRWLRLVQE AWLRRGRRVPLSEREPPAGGIAAEAAVVPAATTAVVVSININPLLWGEEGDGNQEENS IQALDAPYVFGWRSLGLLPGDSFNILGELGKQLERRYQALSKRSLMVKHCHNTEVSRL HRGLMQCRERAGGRGKVIFHYGGYGVPRPQSGLIYLMEPSGSAVKCRTRTLFEKVGLP LVVVADCPNASELLRNFLRCQSSPKPEHNTGSDAEGDTSFAQGPPDADRPTLRVPHEG TAGVSSFDAGSEETCATSSVLSDFFFLGATFSGELPQHPKLPSDILTSCLMTPLQMAL LWFMVENDSLTDIHPLLPFLIPGALDDKKTPLGQLHWATMAIMECIAWSSLPYKTFVH LFREDVVVAPLFRGFLLAERIITALGGEVCVYPPLPHMGNHPQWDSLDCIIGRTFVSL KRAVQPAPPTSLTTLEFREWLDWNVTKWRCEQRSMSLPSLGNRPVTVPDFLEEELRCL MAVAERVTEQSLFHCGGIAWHQCRRPGHIGPHRTGPRKVSIYRGMGEEAAVDPLLACS TGSNDLSGCGCSGGAFMRPFPSVAGLPMLLQGLLVVAHRDEAMKVLCRFIDAGLSAAA ACAKVGIFDMALARFWSRSDLQHLLPSMLFVYAKACYADPSLAGLAWAQRSAVTTSCL KALERPFSLPSDPPAGPGLWQCKELGYWLESEGQRVLSSAILSMTSFSFDQDRKYLLE SGALNLCSELLRDASVRVPLLQRGSAEVYINQSRGSRVQHHFVATTDAYAARLTTSIT SLLALFVALTWKASALEPGAARPINAGTEGALTNGSGGEQKLGLEELASPLKTLHLLS WASSSIIRGAALKAISVTMSSSCTDEATSLRCIHIIVECANVLVAPREGNMSNRIDLV DIVFLSIRWLVRYLSAHMPMETVRASVQCASEKIYRASEAGEGGSNGGGEEDILPGLV SGTRSLICDIYFPKGCPLESCPSKCDNDRAMEVGCPIVFLARLVCWTGAATHDPCPYV SSRAEEALSCLPPLSAGGFFTQPTTPRESGAVEKSTKVPSDAKSRRFLSMLRSSVIRV LKRGMRGTGNRSDGAASRGKAAGVERDRRLSNESGGVADVQTLACDAVLPRRIVSTGS GAAVASFVFTLLGFLDELLLVPMDDDDPRNTFNLRRDFCMREYVHKVRNELRLSAPPA GYSGDSCNLPAVPSPPLPTWSPPVSHVEGVKPLVDEEREPSAMLSPTGSQLPLINLSI TSDTSLGASAWSHPVSNVSFEHDGLIGVMAFHMAERHLVTGTSHGTVQVWSWSAEEHL TAMAGRSSTALSAACSLTAATASASTSPATSVGVGSTSVHVKATCRWISDICAPAVTS WVDPVAFARTTTLYNQQQQSGPRKKMTSGIIAKSSHGWVGLPWNIHSAWQCPEEDSPV ISEHCATNSIKSVTGLHFVDAAYRTLLCVVGSSGSVQLFMDYAGGTAVKRVTSFATAA CGGRCGGGRMPCLSSYHVPATLLHVSGPDGLIDSWDLVCEHKVLEGIGSQQSSFIMPS VITPSSTDDSTVAVGAGSVYLFDLRKPARATCIFPSSAESEDDAAKPPVGGAENSKGT CLHISFPYCYPHVIVTGYGGAKGVVTLWDKRFPRDPLRQVVVAEPVAPASSALRNPVC RMDVQPYHQTLSTVSATADAIFISDVMEESAVNGQARASYTRVKELPGAVAFHPILPI CAVATGGPPRIYGRSTTCVYD XP_001218920.1 MRLYLQGREKERKKNAERIVYEIKINEGRHVSGGCVSALPLRLC FVLFCCFHLHFWSLRSVFHASFLTLYYVSSPLPFMNLEAEK XP_001218921.1 MGSPAAPYNSAVCAVQKLINKLEDEMHEAVTKVLVENNIKLNEH YSARHEVITNAIKNGKLPTTFWADAIIAVLRDAHVEEDRDGSRAAGNDAEDDDDDGNQ LGTFDEALLRKHLKDMMVTYKETGSRLSLTFSPNPFFEETELWIEENQLNNEGSKNND DDNNNNNNDSDGGGGGDFYKTYEVSDITWKPDHGPNFDDNDGDGGGGEKRSGSKRGRS NMDPTRHGWSFLNMFSKIPPHPLDDYYDDDFYDDNDDDDDDDDKKWIEEAIDIWEEDM DEREQLFRFLVREVWSDPLEAAAEKGSDNGAGTSPEKPNKHE XP_001218922.1 MKRKKKRRVRFGNKTKKRKGKSETQRRDQAGVLRPCFVLFCFFF KKFPSILLFSISFFLLFLFLFLKKNYQSEESSHKCTIRQWRIKHCEQIRHINFRQLHP SIEVIPLARCVERRRRRRKKKNENKQNEVSLSTATPHHGT XP_001218923.1 MGCGGSKTSTVEFINGQPTVQGDEIVKGFNEGNGLLFRIVKTRA GRWAYYNDTLDYDMHVKVTFSEDCRIKALGQTRLEKLESGESVATVVVKPCATELFIE GHVNGYKAKMDAIPITDGDRQ XP_001218924.1 MIERKRKRERKKERKEGGEKKMCVSVCVFSFFVFSLALLFCYVH FLFMIPHLTILLLCCCCCFFPLFFLFFLGEAINVVLFVFAHFIIIIIIIIIISRM XP_001218925.1 MCGGREGEREIYIYIYITKMAERFFKKKKTRKSLNGGFTRTRAC KKKKFLIYYFLFLFFFSFFFLIICCVSPWFAWFLSSLLHLLFYRVTPLVLLFP XP_001218926.1 MGCGGSTTSVTFINGRPTVQGDEIAKGFNEGNGLLFRIVNSSTG RWAYYNDTLDYDMHVKVTFSEDCKIKALGKAKLERLSSGESVVTVVVKPCATELFIEG TVNGYKAKMDAIPITDGDRRRRGSGRK XP_001218927.1 MAVLLSILKKRKRGEERKRGREKRGNTGEGKGNGREGNTNEK XP_001218928.1 MHASLFCFCFCFCCCCCCVSYICIYIYMSVSLSLSLSLIFTPYH SSIMSSHVSSCLVSSPFYFSLFSVLYSTAICLFVCFGTFFFLFFSFFLLNSVNHSKEG VFFSSLEEGEGEGKKRKEKEEKKKKDQKEIRHVHSGTWGKGILGTH XP_001218929.1 MSTHTHTHIYIYRCMLICLNYKKEKKMYSHERQGGCGEVPFVYL FFLIFFSFYCYRLDIYEYLSRRVHHCAGWELRRKKKKKKGKERPRTKRCCCVLEMTEE EDMRGCSRKKK XP_001218930.1 MLAPTHVILEVFLLLLLLLSASHRGTFSHNSLPYLFVVFSSTQY IFFLFFPPTKVPRFLYYNRQTESCMSIYLCIYLYTCLHIYIYISLCICFLLLLFIYYI DIYTF XP_001218931.1 MLYHFFVCLLYRLGVLGCCCLYLLNIYYSSLPLAFHLLLILPPF FFCFLVFCFFVFVLLFFHLCLPAPFAMVQRLHRQIIIINNVVIMY XP_001218932.1 MRCCSTALRTGRRGPGGWTEPRLQQATSTDFMRDSTGTGGDNNN NNNNDGGKGPSSALKRHFNVNDFSMIERQQMTLTKLMRITMDATFKPKDPDSRTYGGD GATQRAALREELKTMDSRIVPFAHEEILNDYLKNIVELSGPSGQRYDREMLRGRLVGL LFFTETDRSLAFMRLLREFHQRHRRDFVVVAISLGGKEMMDITRQHGFYHCSHRDGAT WVQRDTGLMVRPWTPLPRLIVVNGTSGEEITSSGVTAVKAHPNTCFEKWKNGEEGCDW WDYIKTFYM XP_001218933.1 MREIVCVQAGQCGNQIGSKFWEVISDEHGVDPTGTYQGDSDLQL ERINVYFDEATGGRYVPRSVLIDLEPGTMDSVRAGPYGQIFRPDNFIFGQSGAGNNWA KGHYTEGAELIDSVLDVCCKEAESCDCLQGFQICHSLGGGTGSGMGTLLISKLREQYP DRIMMTFSIIPSPKVSDTVVEPYNTTLSVHQLVENSDESMCIDNEALYDICFRTLKLT TPTFGDLNHLVSAVVSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMMGFAPLTSR GSQQYRGLSVPELTQQMFDAKNMMQAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQ NKNSSYFIEWIPNNIKSSVCDIPPKGLKMAVTFIGNNTCIQEMFRRVGEQFTLMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATIEEEGEFDEEEQY XP_001218934.1 MREAICIHIGQAGCQVGNACWELFCLEHGIQPDGAMPSDKTIGV EDDAFNTFFSETGAGKHVPRAVFLDLEPTVVDEVRTGTYRQLFHPEQLISGKEDAANN YARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVYHAVGGGTGSGLGALLLERLSVD YGKKSKLGYTVYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAAMLDNEAIYDLTRRNLD IERPTYTNLNRLIGQVVSSLTASLRFDGALNVDLTEFQTNLVPYPRIHFVLTSYAPVI SAEKAYHEQLSVSEISNAVFEPASMMTKCDPRHGKYMACCLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWSPTGFKCGINYQPPTVVPGGDLAKVQRAVCMIANSTAIAEVFARID HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESADMDGEEDVEE Y XP_001218935.1 MREIVCVQAGQCGNQIGSKFWEVISDEHGVDPTGTYQGDSDLQL ERINVYFDEATGGRYVPRSVLIDLEPGTMDSVRAGPYGQIFRPDNFIFGQSGAGNNWA KGHYTEGAELIDSVLDVCCKEAESCDCLQGFQICHSLGGGTGSGMGTLLISKLREQYP DRIMMTFSIIPSPKVSDTVVEPYNTTLSVHQLVENSDESMCIDNEALYDICFRTLKLT TPTFGDLNHLVSAVVSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMMGFAPLTSR GSQQYRGLSVPELTQQMFDAKNMMQAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQ NKNSSYFIEWIPNNIKSSVCDIPPKGLKMAVTFIGNNTCIQEMFRRVGEQFTLMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATIEEEGEFDEEEQY XP_001218936.1 MREAICIHIGQAGCQVGNACWELFCLEHGIQPDGAMPSDKTIGV EDDAFNTFFSETGAGKHVPRAVFLDLEPTVVDEVRTGTYRQLFHPEQLISGKEDAANN YARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVYHAVGGGTGSGLGALLLERLSVD YGKKSKLGYTVYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAAMLDNEAIYDLTRRNLD IERPTYTNLNRLIGQVVSSLTASLRFDGALNVDLTEFQTNLVPYPRIHFVLTSYAPVI SAEKAYHEQLSVSEISNAVFEPASMMTKCDPRHGKYMACCLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWSPTGFKCGINYQPPTVVPGGDLAKVQRAVCMIANSTAIAEVFARID HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESADMDGEEDVEE Y XP_001218937.1 MREIVCVQAGQCGNQIGSKFWEVISDEHGVDPTGTYQGDSDLQL ERINVYFDEATGGRYVPRSVLIDLEPGTMDSVRAGPYGQIFRPDNFIFGQSGAGNNWA KGHYTEGAELIDSVLDVCCKEAESCDCLQGFQICHSLGGGTGSGMGTLLISKLREQYP DRIMMTFSIIPSPKVSDTVVEPYNTTLSVHQLVENSDESMCIDNEALYDICFRTLKLT TPTFGDLNHLVSAVVSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMMGFAPLTSR GSQQYRGLSVPELTQQMFDAKNMMQAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQ NKNSSYFIEWIPNNIKSSVCDIPPKGLKMAVTFIGNNTCIQEMFRRVGEQFTLMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATIEEEGEFDEEEQY XP_001218938.1 MREAICIHIGQAGCQVGNACWELFCLEHGIQPDGAMPSDKTIGV EDDAFNTFFSETGAGKHVPRAVFLDLEPTVVDEVRTGTYRQLFHPEQLISGKEDAANN YARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVYHAVGGGTGSGLGALLLERLSVD YGKKSKLGYTVYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAAMLDNEAIYDLTRRNLD IERPTYTNLNRLIGQVVSSLTASLRFDGALNVDLTEFQTNLVPYPRIHFVLTSYAPVI SAEKAYHEQLSVSEISNAVFEPASMMTKCDPRHGKYMACCLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWSPTGFKCGINYQPPTVVPGGDLAKVQRAVCMIANSTAIAEVFARID HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESADMDGEEDVEE Y XP_001218939.1 MREIVCVQAGQCGNQIGSKFWEVISDEHGVDPTGTYQGDSDLQL ERINVYFDEATGGRYVPRSVLIDLEPGTMDSVRAGPYGQIFRPDNFIFGQSGAGNNWA KGHYTEGAELIDSVLDVCCKEAESCDCLQGFQICHSLGGGTGSGMGTLLISKLREQYP DRIMMTFSIIPSPKVSDTVVEPYNTTLSVHQLVENSDESMCIDNEALYDICFRTLKLT TPTFGDLNHLVSAVVSGVTCCLRFPGQLNSDLRKLAVNLVPFPRLHFFMMGFAPLTSR GSQQYRGLSVPELTQQMFDAKNMMQAADPRHGRYLTASALFRGRMSTKEVDEQMLNVQ NKNSSYFIEWIPNNIKSSVCDIPPKGLKMAVTFIGNNTCIQEMFRRVGEQFTLMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATIEEEGEFDEEEQY XP_001218940.1 MREAICIHIGQAGCQVGNACWELFCLEHGIQPDGAMPSDKTIGV EDDAFNTFFSETGAGKHVPRAVFLDLEPTVVDEVRTGTYRQLFHPEQLISGKEDAANN YARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVYHAVGGGTGSGLGALLLERLSVD YGKKSKLGYTVYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAAMLDNEAIYDLTRRNLD IERPTYTNLNRLIGQVVSSLTASLRFDGALNVDLTEFQTNLVPYPRIHFVLTSYAPVI SAEKAYHEQLSVSEISNAVFEPASMMTKCDPRHGKYMACCLMYRGDVVPKDVNAAVAT IKTKRTIQFVDWSPTGFKCGINYQPPTVVPGGDLAKVQRAVCMIANSTAIAEVFARID HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESADMDGEEDVEE Y XP_001218941.1 MRWPAEPGCGSQGPATPERANKLRFLLVGKTDSGNHPSGWLVAR TVRYSTADAEIGPCKGLISHDCKLLLLLCGYFERNPGPLRFVQQNVNGVSAVKLSSLL ALDADVYLLPEVKKLREASRICKVPPYTVYCSPPNARGGRVAILVHQRSSIQTRSVTW KALPNDTGIKAVTVKL XP_001218942.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218943.1 MMPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLG GCCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218944.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218945.1 MMPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLG GCCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218946.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218947.1 MMPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLG GCCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218948.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218949.1 MPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLGG CCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218950.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218951.1 MPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLGG CCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218952.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218953.1 MMPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLG GCCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218954.1 MSRTKETARTKKTITSKKSKKASKGSDAASGVKTAQRRWRPGTV ALREIRQFQRSTDLLLQKAPFQRLVREVSGAQKEGLRFQSSAILAAQEATESYIVSLL ADTNRACIHSGRVTIQPKDIHLALCLRGERA XP_001218955.1 MMPCDLFCLLLLFIGGRAWRARIVVQVYLPACPRCAVGEETSLG GCCDTVRFSTSPPAVRMPPLQVWFPIPPYIFLSFFSSAHSTLGAISGSVE XP_001218956.1 MEAKAEGFCSFLVGLSGAPVNSKELKSALEKGDMKARASALEAL IRMHLNGEPQNHMIMTVIKFITPLDDHYIKKLVLYFWEVVDKTDASGKLLSEMILICS FLREDLLHPNEYIRGLALRFMCKVKERELVEPLVSSVVQNLTHRVTYVRRNAVLAVHR IFKRFPELLPDAAELVEKFISEENDVSASRNAFEMLVECSPDRVVKFLAELRESKNLE SLGATLQMSIVDFAGHMIRANPYDKGRYVTVLFSILQSNNPAVRYQCASTLLSISTSP TAIRQAALTFIDLLKTHTDISVRLIVVDQLDAMRERFSKILQDSLLDILSVLANGTME IRKRIVTLGVELVSNQNSEVFVQAIKKELYWVKNECDVDDKESLLEYKKLLIRATRTA VARRPHMASAVIPLVLEYLYEEDDSGFEVVSLIREVLQLQPSLRSETLRQLRQTLRMI RCPSVIRTVLWLLGTHVTSADDALEVIRLLINTLEPLPLEPTVKEQMKQQEDFDSHKG GQQKPRMQMTTIVQEDGTYVMSSVPSNKTQEDAEGNDSNCGLRGVLTGGKFFIAAPLA STLSKLIIRLFNHHSSGVDESTMKEAQNSAIMLLNEVLRFCTMDGAAGMIDDATHEQI RLALLNITNPRSPLLATFVEDSSKALDSLTNKVGSIAGGDGFDFNKRNNDNVVGRTSF DEQQVALCSVDTPVMFTQIMEGKGSLLELEAVDDLGSVVANASIEKTEEFLIKLEKTV PLSGFCDPLYCEASVTVHQFDITVDWYIANCTANVLRDVSIELTPLGSMKLCERPQVH TIQPHGSVRIRTALKVGSPETGVICASVLYEGPQNERGCVVLNNVRVDIMNYVRPAKC SASEFRDKWCKYDWENAVAIRTEKTDMREYVEYVMQGTNTRLLEPYPEEDDEVVSAFD GKGDNGHRYVSCNMYARTLFGDDALLNVSIERDAEGKLSGMVRVRANKRPVAYGFGEK LNILNRRIVS XP_001218957.1 MQFGPVVSEAEIRTVQDGIANGVRSDGRSLTQRRPFTVVTNRGS TTHFEGSSVEVHCDGTTVVAAATPSVVELDIDRGQAPRGSLFVNIDAVPAVVEHYAQS VRNNSARYRQAFLSFLATAIRQTFGAQGVTAEERQQQLGIAEAEIIADEGDDEQREDA FGGRGAAEEKPVEETAEQRVDCCGFPGEQLYIGGGYAFRIDVDAHVLQAHGGSLASIV ALAVHAALKTVRLPSVTLHEASAGFSLEVNYSKPYATAVNWSRLPVLSVVHVSPTRHY VVDPTRLEELALPQQLRVAVNSFGQVFHMRFQQLPSRRGNAMRLIRSPREEAGKDNSN DNSERDVDGDDVDDSSRRGFDAGVKGTVGGMNMPDMAAVLHAAVHISQAVIAECDEAL AGSSG XP_001218958.1 MLITSSLRIYIYILFPLLISFFILTLCASLLDASVVEMLQGDGL RELVLYFFFFSLIYFTLSTLGLNKPRKKVKKNGAYEWCHINARVQRSGSCTLTCVESN KTSIKTSKYADERSILLDEYCFSADQIPTQFPLPCFFFHF XP_001218959.1 MEVRDVNTHGGGHTAGGRPAIIKRDEQSRAIPSSSTVTNEPATR VKPERQSNATQTEADMYVPSVYHDVNNTVELQRPASAPPLSYAECPCAACAQQLSSYP AAAPMRRPPTSPYGTITTQQYNTYAAPQTPLQSHQSRNLSVHPQQRTTAAATATATAP PVPTLSRPAPSTSGDYGHYQQEPHYPPQQKEQPQQHQYPRHNVIQHQPYQLYAQPRPS QQPPPSQAPIYSKAVTAPTALDVHPLIDTTSEFLRKCAGRVVELACTPDGRSMLINAL SSQDAALVDTMVREIADDLERVALDVHGCHVLRALQSYASAEHTRILVSCFTETLVLN LCTATQHTRHILESLFQRRLIDLQPIIDVLASHSRYLAATQQGCISFMHILEFCNEAQ KKQLISPLVPYFAHVALDPFGNYVVQRIIQSIGLDASEYITSCFAGELLNMSCNKFGS NVVEETIKVCGGVPAVRRLLMEELISKPGALQRLVQDSFGNFVVQTFIGSITDQNELK YVNERLRPVLQNSPYAARIETRLRTALTAASKRNSQASAHCQQRQPHPNRCSQHYPTH PGTPTQLAADAPPCFAPPSSSFAHVATTAASHHQPRQQPQQQQQQQQQFMSPRYQPPP CNKAAFPQRQQNRRQSHSQPRRQPQQQHHHQHQQQVDCDDGEC XP_001218960.1 MGIFNHQQLMGPSFQFSSLLKRFFVVFLFFFVFCFGVLQIK XP_001218961.1 MTHSFLFLPSYHHQNPIITQSLHFKNYLFFRIYLFTSFSFPPLL LLLLIILLLSVVSFFIFNFIFPSYFFSFLSFLLRRGGGGKGKKKEKKTPIKFDLILSF XP_001218962.1 MIILFVIPKKEKKRKEKIHVYFVFLSFPFLFFFFKKIKIPFIYL FACLFIFFFLLLPFQIKTNKQTNKQTKREFQRHEYAIAQRCAPPRGSLLFFFFFLKNQ IKSNQINLI XP_001218963.1 MKSEKAEKALQLFASLQDTIQAQQQQQPPFHSKSPSTSCVITNH IIPQPEPSRPTTSEEKNLLRRAEAAECLARRLHEKNKQLLGQMSALERRGRGGANDNY EAEAQLLRDLLAERDVEVQWLREQLHQMEEKQKQKKQQSTQIQIQSQSQSQKQQNHKK QSPNHQKLLLLQAATAAVGGINGKSSHNASIRSADGNSHNRNGHNKQLKCAHEDNGED EEEEGVIIVKQRRRSTASNTHHSNMKNNNNNNSGSGSGGDNNNSINNSISSISNINNH NNHNLLHHHHHHHRSSTVSSRGQQELREWLPGASSSRTEVLY XP_001218964.1 MSLLLRLCVRLKELRQGFHAAVFHAKINAPTRLFIYIFFPSPPL PSPLFMSRTHFTPNVIIIIVIVDVAAIFSVPHRCALLCC XP_001218965.1 MFFFFKNYYYYYFLLGDNMSLTATAVIIIIIIIIIIVLFFSSIF FFVFSLWGWVGGY XP_001218966.1 MPNRQIIQVFEEYQRARVKFVQTVADFASKPQHIDALQQAGVMQ LLRPLLLDNVPSIQQSAALALGRLANYNEELAESVVSGDILAQLVYSLGDQSRFYKKS AAFVLRSVARHNAQLAQAVVGSQAVEALVGCLEDFDPTVKESAVWALGYVARHNAHLA QEVVDKGAIPPLVLCVQEPELSLKRTAASTLADIAKHLPELAQAVVDQDAVTHLAPLI GSNDGKLKRQVCQCLAQIAKHSVELAELVVEGEIFPRIFSLLKDSDETVRKNASTCIR EVAKHTPELAQLIVNAGGVGALVDYTNESTGSARLPGIMTLGYISAFSETLALAVIVS CGIEPLSNALEKEQEDHIKSAAAWSLGQIGRHSADHAKAVADCNVLPKLLDLYLHPSS SDDLRMKSKRALKNIIQRCVQLPALEPLLHPEAPKNVLKYVCGQFAKVLPTDIAAKRE FVANRGLATVQRIQPEPGSKLAEYIQSINNCYPPEIVQYYSPQYAQTFLEKIENYHVQ QVQQH XP_001218967.1 MGFGKCAGADLETHNFFFFEEKKKEMYMYSFFEVQVESRAAAVQ KMCWVFVLFGFIVVVVVVSVVFLFCFLFSFTLFSFLFSLHSFIFFFLTAGRS XP_001218968.1 MYMCGGGKKKKRGEEVMGFRETGKGRKKRKRKRKRTNNNNDDQN QNMKTIKKKEKKRKEKKNTASPRRCLLGNVFSLIISSPFYFLFVCLRLGFLNRHDVKC KKKRNWLRISLKSQQISLFRLLFPFFFSLLLLSV XP_001218969.1 MEQCCGAAAITDAVDLRDVITSSRKRRRVDVGECEELWQQINKI LQETFTEPQMVVQRNEKEMDTITVTILAQLYRVLRDCWCFSGDPYDLFEPVTNLHDVT KRVLMTSGGYVLTPDSQNLLLGSDANMAYVGILLSRVYRVLVGFGHLHCIPPEDAGIT NLENEVCIVLRNRQGLTGVESDLIKEICSTLRSQLQDPTGTAVALFEEWPLLETSEFA RLVADFNMKFIEFLPFLPTEGSGGAAFCLIPSNNYDTVWGRVVSCGSCWVAGKMCAQS FLVSPSGPLIVHLLRCQRKDGIPYDGGAHVTVSGNEDEVILREWLRQRLWTPQPTVIV QWWRANVLSSCVDFPSSLISEVDNGPGNHKFERCWPQFIDGAADCLPAVWVRGLQVVS SVKVDVECCVCRMVSCPW XP_001218970.1 MDSLRSLPAHPPIRSSKEREKGLRRLRVIACGIEIDIPAEGETS VRALMRAVVSLAFPDRRSHGTHCCLFHGDRALPESTRVAELPPNAVLHLHFVADGLGT GITRSEERDAVPYTHTVPGANRGILRHSASPVMLSPLDTIQLQQRGGSYDGPRMPNRI SSGPSSTSPMPNPLRRYGQWQQRNIGLTASATMMGSSTDAGTGSRATLPLPMGSYPRL RREYQTTSPARDQLTTDGSRISITVMLLKRNTTEDKDNAKGDDQQATSVTSLECGSGG ASSSDGTVAYRRLRVESDYPVGTLREFFGITSDYCIYLGETAIKDDRAPFGSLQGVST QTFSFRPYPTARAGLSLATTSVNVAHTNAGKAKSKVGEGKDKTTKSVNSNLLSSSSPS GVGKTKSELDETKEKEKEKVEVEVAAEKEKEKETRSETVEYMKLNAVPVTDVNSIGDS DGGGGGGGGVSSEGQQKKHKKCVTAEGPESVGKAGNCECSSNDGNASTVGDPLETTMP FESPSKATRSRLAAEALLSQTHPGPFLGSGDALSKARSLVRAELMGEPPLHEFGSLSF GAGLGRRFGGGRVEAGGVRLALRPHSLAPLETSGDVRGWREEGQTQHHYGTVTITPPT PTERGTVVVPVPPLRPVDVLVDDTNVKGHHTLAPLSGVSHPVSILSSGLMQRHRRNVV GSGPVIVEEKQQNNTVSQQTPPSVDPTKEKN XP_001218971.1 MRVCVTFPRNYSDPHAAVAASFLPPLSFFLYSWFSFLYIILHMV VVRCCFVCLFVFCLLLYMYVWACCCCCCCCFSFIFNPLTQIYTNRLQTPVTLCQKTNI N XP_001218972.1 MFRLSFAAFTSVAAGSRSVGCSTTFSTTTSAAASSSSSAAAATG KEAYEALMKFLTSSGATFEGVAVRPSAEQCRGLIATQTFREGTTILSVPMTTLSITAD RLLNTDFIRSLNPPTRDDVRRLLMARGVNDPVLCDQVHLALLVAGERINVHSPFAPYF DVLPYPAIDDTAVIQRYKDVLDPTQLLEWDDHQRDWVTVLRALTDQWGNAGPPVEVCY WAWRTVLSRMHMLPDRGLAPADVGSSLHYSALATYGRAERQTRIMKRLRATIGAVFGS DGVAEDYRLVPTLLPLLDMVDHLPSSNVSVEVQPRGDRGSCGELQALREIQAGEHIGF SFNRSQGIPFTLYRFGFLPL XP_001218973.1 MQMMGRATMHLRSAASSLVSRARLIPSSFLWPCLILTKESLHVG VIAYRTFIHSRVRLVLRTAVGVPIASVSLYLSYALFAWYPEPTAAVSTDSVADRPLLR CTSDLLEFGRSCAGRYHRIESNSTAGMTGWGRKLPESERNEVVGDVLYILRCIEAIRG TFEERQLVTPRADHGAACPAEELLRIVLAISLDQEWFDIVEAAREMFEQVVRDRPVPV QANVTSAIADLCALSYELEHCTDSSEVTVTQRQRVARAVDSFRPEKSVRELCTIAAEI AVLPLVDLDETTRCLMLTRNSPGYRPQLIITFIGTNSWRSWLTNLRYWPRAPPAGLFG TQLRVHAGFLEMLQSIHFAEAAEDFDQIILIGHSMGGALAQLAGVCLANGKSSRRVTV LTVASPRVFAVRHGTLWPLRSWLSGTELTKNEKVVIAGAEEGREIDDEKNQHVQYSDC EADVSRALPENYRHIRVFMYADVVPKLPPVFLGYHHVGTPLPLHTGCPTRKSFVGWGL WSQCFHSAEMYKAVLERPVVAQRQRYYKSLS XP_001218974.1 MESGKNAHRLNRIFRKPKTMVAPRAWRPAADGEGERQQPFSGVS LQRINAMISELSEEERTRALAQQDPPTVVQEELAKRAARFGLTIERADAGNNEKTASS EITPEVQAVFQRRMERFGQSAAEEAMQKRVARFGNAATSAEGPGVELKLSEADREAMQ RRQSRFGG XP_001218976.1 MSVSSPLASSGEREQYGPQEQRQYGFVPVQMTNLVPVRVVSVHG DFFDMEIHQDAPLHIVQRAAEDCFKVRPELQLLTHNRKPINPNQSLRRNGCLLLKGDP FVKIVLDVKKGSRLNIVCQMAHCEPIPLACEAEDTIWDVKKKFCSSLEKSEVTPQRIR LLWRYWELNDKATVDYYHIPTNSKLTVMKKRDILGGQQTERRRAPSQRRRQDVQRRHE RCDADTDDVAAWPKAPSVVPPYEKYRQASGREPLEGEDNRSKTPNANGSAQVVEGGAD RDSHHPPIRAWPALPQEQFGRAVIPLYPIVPPLSGGSYYREPRAQTPDRRPAVVPVAE ATQVVDFTEVQRLRMEVEELGRRMETCVTDRAEFSAMEESFRAAVTRVSELEASVGRV QNLLKRALHLM XP_001218977.1 MIPVHALRQLRSRVVFVGLPHRHSRTDSYATKRPYTPKLQETFF IPYSLKDVFPIHHFRGLRPQRQMREISVGR XP_001218978.1 MMGHLTLPQLCLNVQHGKYSKKTQRPPTLSQRCVTTLISSRISR KLSPADCPTYTSTLLSAEGSTTENLKYNHRGPTTTFSATASNPIIFPN XP_001218979.1 MGRQEDGSFDARGGDAVLVAELHSEESKEATFVHPEARALFNKV PCLRHIPLFGEAAEGYGPKPVLSIGLSYFLCKGLADGLVGGSIIAMFLNRFTVEGLVY QRLTNIAGMGWSVKPLLAAISDIFPFFGYTKRWYMFVANLVGPAFSLGFALLPAQPSS AAIASVFLFFSGLSRACTDILSQGLYSRMMRRVPGPGPALVSWVWWFIILAGLFVSVI VGPLGDAGIPQISPMIGSAVQLLVAPFFLFNWFGELPNREERYIDAHVLHEQKLKEAK DTPPVADDNDPIDVNGGMVERQVTNSEPVAGPNADGGEAAVKPFVFREPRECCCGVFQ MNEEVLERNKRETIYSVLIAFFVVGVAITSLFGSRLHLLIAAAVVSVGHCSFNFYALS WAVAKVNLFSYLNNASTVSFGGAVTPFFLSGPDCNPGGPHFSLFFLQTVGGTVGSVTS ALGVVLFNYFLSKKTYRMTYFLTLVFLIVSNIFDFIIVMRWNRPYVSDYLIYFCCDVV ISPVIGMMNWMPLTIILSRLCPRGSESTVYAILAASSNFGSTVGSSLGSVIMEYALPV KTLVPCDFANVKWLVLISGFVAPCIQIPLIFTLLPDARMDEELDGDGKPVRKVAADVS PEGKETVCEGDSDVTPNAEGNGIAPKRS XP_001218980.1 MLRNQIIKETHTRTTGHQRFNNTVPQHNYGTPKPRTHKYTNTYL RIGTSTNSAKLTLESISTAPSCLQRVLTATMIKRQSVPPQI XP_001218981.1 MMGHLTLPQLCLNVQHGKYSKKTQRPPTLSQRCVTTLISSRISR KLSPADCPTYTSTLLSAEGSTTENLKYNHRGPTTTFSATASNPIIFPN XP_001218982.1 MGRQEDGSFDARGGDAVLVAELHSEESKEATFVHPEARALFNKV PCLRHIPLFGEAAEGYGPKPVLSIGLSYFLCKGLADGLVGGSIIAMFLDRLGVEGLVY QRLTNIAGMGWSVKPLLAAISDIFPFFGYTKRWYMFVANLVGPAFSLGFALLPAQPSS AAIASVFLFFSGLSRACTDILSQGLYSRMMRRVPGPGPALVSWVWWFIILAGLFVSVI VGPLGDAGIPQISPMIGSAVQLLVAPFFLFNWFGELPNREERYIDAHVLHEQKLKEAK DTPPVADDNDPIDVNGGMVERQVTNSEPVAGPNADGGEAAVKPFVFREPRECCCGVFQ MNEEVLERNKRETIYSVLIAFFVVGVAITSLFGSRLHLLIAAAVVSVGHCSFNFYALS WAVAKVNLFSYLNNASTLFFGGAVTPFFLSGPDCNPGGPHFSLFFLQTVGGTVESVTS ALGVVLFNYFLSKKTYRMTYFLTLVFLIVSNIFDFIIVMRWNRPYVSDYLIYFCCDLV ISPVIGMMNWMPLTIILSRLCPRGSESTVYAILAASSNFGSTVGSSLGSVIMEYALPV KTLVPCDFANVKWLVLISGFVAPCIQIPLIFTLLPDARMDEELDGDGKPVRKVAADVS PEGKETVCEGDSDVTPNAEGNGIAPKRS XP_001218983.1 MLRNQIIKETHTRTTGHQRFNNTVPQHNYGTPKPRTHKYTNTYL RIGTSTNSAKLTLESISTAPSCLQRVLTATMIKRQSVPPQI XP_001218984.1 MMGHLTLPQLCLNVQHGKYSKKTQRPPTLSQRCVTTLISSRISR KLSPADCPTYTSTLLSAEGSTTENLKYNHRGPTTTFSATASNPIIFPN XP_001218985.1 MGRQEDGSFDARGGDAVLVAELHSEESKEATFVHPEARALFNKV PCLRHIPLFGEAAEGYGPKPVLSIGLSYFLCKGLANGLVGGSIIAMFLNRFGVEGLVY QRLTNIAGMGWSVKPLLAAISDIFPFFGYTKRWYMFVANLVGPAFSLGFALLPAQPSS AAIASVFLFFSGLSRACTDILSQGLYSRMMRRVPGPGPALVSWVWWFIILAGLFVSVI VGPLGDAGIPQISPMIGSAVQLLVAPFFLFNWFGELPNREERYIDAHVLHEQKLKEAK DTPPVADDNDLIDVNGGMVERQVTNSEPVAGPNADGGEAAVKPFVFREPRECCCGVFQ MNEEVLERNKRETIYSVLIAFFVVGVAITSLFGSRLHLLIAAAVVSVGHCSFNFYALS WAVAKVNLFSYLHNASTVSFGGAVTPFFLTGPDCNPGGPHFSLFFLQTVGGTVGSVTS ALGVVLFNYFLSKKTYRMTYFLTLVFLIVSNIFDFIIVMRWNRPYVSDYLIYFCCDVV ISPVIGMMHWMPLTIILSRLCPRGSESTVYAILAASSNFGGTVGSSLGSVIMEYALPV KTLVPCDFANVKWLVLISGFVAPCIQIPLIFTLLPDARMDEELDGDGKPVRKVAADVS PEGKETVCEGDSDVTPNAEGNGIAPKRS XP_001218986.1 MLRNQIIKETHTRTTGHQRFNNTVPQHNYGTPKPRTHKYTNTYL RIGTSTNSAKLTLESISTAPSCLQRVLTATMTKRQSVPPQI XP_001218987.1 MGGCSSILFSFWWHAGVFPGMYCLSPQLLLPMERKCALPFSVID VSVRLHDTYVLLYEHLLLKSVGSSTAITTSLCKMHHVGSIHMTSIVHFPTTTQPPPFT FGCHRPLLVNGCIRQ XP_001218988.1 MHCAEVVRTAEASSSKKKWHVKADPDRAPALQISTDVKLPNSFT VGLPRGVDPLSVPAFFFSSTQRNWTSCG XP_001218989.1 MLILSLTRCWQANFFLSKRRYPAPLATPNPHQLRYNVLFSVVRV LPISLSYHLSTGHACPHRLMFPPKDLQETSIPVFYVDPVTLTGSLCYELNRFQSVTGL XP_001218990.1 MSDLRMFPLVLRPRVLNPNISLSPGLKVSGAGSWFPSLSLGPSD HSSSMLICYAQRRSIGNTVQCSKEVANFSFYIRFLNCLVTGVIFLYLDPILLFPNSQF PVRGLALATYMAQKYSCSVPSSQACSYRKHPLAKLLFSIAEKCGTFFPVQIPP XP_001218991.1 MMKTPHYRAPAVFRMTLLVCTPSSLHMSGYFPLALKPLSISFSY AHTHQNHTCRRRRREAGPRRFGSWCWGGPGILDSGTVSPRCGSSVLLIFQLCLQSGGR TRSSSTKDTALVGKLGNRVRFTFAS XP_001218992.1 MARQRGEKWSACQPFCIAGARARVSAVVVVIVFAEFYSRRRESQ TPAAVAASRDTRHFSVAKVPPRGATWREKAEPRNHGGWLLLKRPR XP_001218993.1 MPLSGKRVSAHFTVTLYPGILSRRLKPANGCCFISNLGEPEGKL QYVLWCRHVCR XP_001218994.1 MKHVSEMFIPGMTLSHLVSPLLSMPTATNRFYALYGHYSVREGD SDATESSLIVEAVVRVVLPLHAAPPEETKFIGFASVKKSSPHKLSYEETRLLRGDTEP AESFLQKSDRSYPMLLLLVTTPLEVPVAKSTKKVEYSVFECKTGSADFSEKAIPLKVD NMVDSFSAFVRLSNLGSHALVRKQSSPPTQSSPSANELSFQSSISQLEVASAKRQTLL KRLAEVERLLERKQTGMLRADEQKPNRI XP_001218995.1 MLFGGNGSHSEMQCSDGKTCECSPRLTKKYTFIPLCSSRFTMLL SIPVRSGCEANSLTVTRDRKHGTSNCAPPSVEVSPCASSFLVGDAAQIVVCLLKSPSV YQICGTVLFRQHRVGSLACCFVEPEGRWGDFGCSAVTTLTSNESKNIHIGEGSDIVYF SFMSSTEVMAVSYGACVGYMQLSADKHIIKGKSMQLDGLSNVSCACLVNELSLLVVLT ERYVCGVVDIRSGCILEVVCDSMPVKLGIPSSCVLLQLGDSGTIDIMVNFPSSVEPHI LTLRRKQCEPPSADAQKRVKAKHNSHLKDLTGGLCTKRCEDFSLVASVGWEMVKFLVM QKGKLRGLANFENETGTPFFSGFWAGDHFVAIRADGLVVFLSVELHQGHFDKLEISTL GSMDLISCSAALLYEQSDRFFVLAVKNMEALWNNASDAVCISDSNNARRMLKCHTAPV CPTGTVLVDTVFFRDGIALLTVESSGDCSATFLTASGAPSLLLAREKNPEDIASCLLY DQRETIFVTGHQDGTLHVWITVQLRHIVHTGHAGPVDKVVLLPEGGKRCSYGFISVCT QRGSVVVHHAETFECVCVVQAPSAPLTSLLWDPSSECMIAISGTLGNLWHAPTHCIRF AFHTSLCTAEGLKRPDLLRYPYRSEDVEVDKISVCGRTYLSIRVDVASLLDALSQRGA GELRPSSRIVLSLLFSRLSQVIKQLREFAGSTNTSKDGHEFFSPVNGVQSGTYTLATS IACKLLSGSRGSADLEKVIYGKELCDSLLNSGCIAEVPTPEDIIIQAFPLLLKLTEVA RKAVRQSIRAAMALLPTQRLNDLVKNLLNSASSDSSIRRWFGVSYSTSNVDVWCYSLL IQLTIASEAPAAECADAVSSVVQSLRTETPCFAKVLVAEGETQRLLFFLLVLRDYYAY MAGNLLTDLQPVADAVATLAFEADGNVSASAVKTLLVITITEGRPFIQDYLNRWYSTN VHWRPRLLGFLGSLIQVAPLQSYISFSTMRELFLQAYDPHNPSRVERDACAMPALRLI GLAVTYLPNVSFQQQLQYLAVGNHDGVVQVIDLKTTGIIASFASHTEAILCVSYSSNT SSHDIAVLGEKMNQVKVWHGSRSTGVFGALFSGPTIEFRLRFVVDIPLLTTFDPVSCD LQLLVTKCKLKWLSPRCVELCTPVHDRLRLTVP XP_001218996.1 MAGEAGLPWVARFINHILTPGSALSPVVWCAFNVVMAALLLCWL PLLISMPSNIHLWVFGFLGAGLAFSTNWFFRELSARPPSAAEATEHEVREKRNQ XP_001218997.1 MLTPTRRLPKALSPYAQALRHVALRGATAFGPGAKEMELDMLRK GTLPADYRPPVQGRWDDTIERWAYAWQFPAEEEQDDITKSVERNASGMQALLEIGNKL LRSPPSPEPLSGKASKLYPPVGDMSSGNTALLPPNPTYDVLEQDVSELMAEDAVVVAS QPRLRAEELSAKYNVPMAYIDDSSEASNASKSLALVMEDVGLEFTEDGLTVVISALSR QGYGTIGRAIFDFASTMGLGPSAEMYKALMKYASRRGDVNESMALIEEMKGNGITPRI GNWHELMYTFYKAKDYPAVSQIVDNMKMYANIEPNEVTFVLQLKALAKDNSQLNSLPE AIQLFDQMENVYGFIASRPHYDAMMFHLSQSPRPEMRLRCEELAHKMELMGIVWNANT YLNLIRSAQVVGDVAAVEKYLSRMREEGIPASIGHLTWAVQAHVQSMIRIDYDALKEK DESPLPTWLEHLETCFGIYELVVRRGWVMQLPFVNALLRLTCQATILSMERTPDEAET IGRFEEQANKIWNHTFDEWQLQKDVYSYECYIALLAHQQRIDEAEKLFQEMILKKDLS PSRRTYHCMIFMHLSSGEEGGTARALRYLEAMERAGIQVRPSLLKKIVRVNNAAGYKR DMKRRARRIMQAREEYLARKAEGVSFGEGGKEGASNQRADVDAEGNSILEPLAVSPTS TLAWWEKWKRETVSKHELFTEEGADGTPKGETFEEKNEALRMMGITSSFQTKDLVPQP DRQKLLPLIRREEGEIAGSLWAMDGGELSYPKDGGGPQGWGVRLWRERQLVKREYQKV LDGYRPVPQLSTLGNSVRTAGDQLDIERSGAQTPGELSDYRNFPDNRFDGGQLKPESE AAPAVPFSAELVWQGEANDKLSPYKSDEEIALENDNTFFSSLSKETEGKLSIAVKAMQ NKEENSVDVRGKGVTRRSKFDYLEKWRDMYRHGTLEVPEGPTLNFGRTPDDHKETMAA LVRGWYQRNRKEPASEEELKRWRVDEQRSSETSASRAALKKRKQVRSRHRNK XP_001218998.1 MTFISSLIQAVQPEVVQWRRHIHENPCLSHKEQQTADYVESILR SMPAKLDIRRLTPSSVVADLRGGAGDGPTYALRADMDALPLQEESGEPFSSKLPGVMH ACGHDAHTAILLGAIKVLCHVKDKICGTIRFIFQHAEEVIPSGAKQLVQLGVLDGVKM IFGLAVDVSNPPGTVLCKSGVLTSACNDFDIVIQGASGHASQPELCIDPIVIGAQVVM SLQTIVSRRIGALTTPVLSIATFQGGRGGYNVIPDTVHLRGTLRCLDSGVQKRVPAMV EEIVAGITSAHGAKHTVSWLEPNIVTYNNEAAYDVVKRVAEHVVSGITFVELPTAMTG VTDFGEYGAVIPGCLFLLGAGNETNNSVSNHNYSSRFRLNENVMVDGVRMFVGLMMTL AVPDAPSPGT XP_001218999.1 MRTFLAPTFPRWCGLFAKSPVLLMSISETGLQSAFRRACAEEGV DLREGLPEDVLAAVIAAPGQVTVEEARAQLNSSTSFRVDAGLVHLTADGAREQSQMET MLLKLATKIPPTGVSGAQFRAILEDEAPHFQPSAVGALSLKEAVQRYPRLFDVETDTT GKWFVRPAGVGKDGRASVGKNSGPISIINFCSERALMGNQADYVPLHVAMREESVASE GVLRDLVDSEELSKQLQIRFSVRLRPKRPVTNAFCFVDGDEIGLEAVDAIWKEMNLSD KSTRLVARQPTSRKHNSSDIVAPADMPTYAVVERKARELSLTQSVVLQDVIYMCSLRQ FSLYADHIAKLNAFPDADVYVCCPSKVKLVAQKQFVPL XP_001219000.1 MTGHQDAEKRKPNRGTAPSRDLTQFRAHADCIILIPSLGGTFPV LTPLTSVTAGTAATVVVQPSASLCFT XP_001219001.1 MLRRLGVRHFRRTPLLFVGGDGSIFERYTEIDNSNERRINALKG CGMFEDEWIATEKVHGANFGIYSIEGEKMIRYAKRSGIMPPNEHFFGYHILIPELQRY ITSIREMLCEKQKKKLHVVLINGELFGGKYDHPSVPKTRKTVMVAGKPRTISAVQTDS FPQYSPDLHFYAFDIKYKETEDGDYTTLVYDEAIELFQRVPGLLYARAVIRGPMSKVA AFDVERFVTTIPPLVGMGNYPLTGNWAEGLVVKHSRLGMAGFDPKGPTVLKFKCTAFQ EISTDRAQGPRVDEMRNVRRDSINRAGVQLPDLESIVQDPIQLEASKLLLNHVCENRL KNVLSKIGTEPFEKEEMTPDQLATLLAKDVLKDFLKDTEPSIVNIPVLIRKDLTRYVI FESRRLVCSQWKDILKRQSPDFSE XP_001219002.1 MRTFSRTARITSSAACVGRFEHQGVSRDAVLARWSLQVVKPNAM KTGGLIGKGMKFASPLGPSGWATRNSGTGSTVLDVNWDLRERMDYYVHYSVPSTWTVV EHVSDNSVAIQSKPPVCDSPGAEGPTVHGFSLNCFAYKQKVKEPDCEKLLSLFLHRFD ASVSNSLAVLSKASGRGNGVICGTAKPEQDISEVLANRLECAVAEITFTPAVGEPLAH GLCRAFYNSNRRFHYVVVVAVPEDEFTLSKDLLTHALLAVVESRVEAAPKGT XP_001219003.1 MEGTECGTEQAKSSVPLRTHSNENLLKFDTHDKYSTVASVKKAI MRSLPPPKTNVPPQPLFTGLLRMAKSPNTPLLFLAFETPEHRAAAAEMLSTMSFRGRK PWHEVPVTPRDLQLTYKGAGRKRERTEDAEGQSKVTQWGGCPMEEQLQRKKKHCLQVM RAITLGGGSEYEKLFTGIHPSPHQTGYRNHVQFTFGFTEAGEATIGFLKGSVIDGIYA IESVLEKDIETVNPLAKLVADAVMTVYHLFKPLEKGGLEVYDKIKEEGFWRRLQVRHN VLGEVMVDAEMDTESVAEDIVASVKAQLVAALQGETLRKKLCAAHGKDTANIVSVQYH HGTGMNPAPPEAPRHVLFGSATLTEHLLGLQFELSPTSFFQVNTAGMELLLRETVAVA ELTPETTLLDLCCGTGTIGIALAKHVKRVIGIELVESAVRDARLNAERNGVRNATFNC GRVEHLLPSVISQLSPEDRKDIVAILDPPRAGVTPTVLKWIRGTATIRRLVYISCEQK ALQRDCPPLTKPATKAYRESPFNVVAGFAIDMFPHTPHVEMVAVLSRSNSS XP_001219005.1 MSAWASGDTLERLKLKPETAPSNRGNGRQASADATSSTSVKATS NADAEGVVQASMAATIASTNKNKNKKALEGKVSKKNAPKNTAHTADEKQPPTQEQTAS SSGGAKRNEPKKGASDSRDCPVTLPSDVAELVVNAFTFIGTVQALRAGTSTDGRESML SAAVRAPEFVPQRRDYAVQNATSGSDTQHVGELASNAYPMHHHHHHHHYQLHQQQKQP QHTPLVSTSRVQQQEQPPWAPYYNDQQHRQHHSVHHHRHQQQQQQLAYGQPDCTTGKM YHDAYSPVDYDMNLCAAPPYSRMYSGYPGMCMGANMLPNMSGYGGLALRRPLPYFPQQ QNQVTAYKSTHVGQQQPWMRNDSGPSNARKGSGAGQWYPGGQAGFNDALSVWNQQRNA GNWARQAEQSGPAGAHTSSAP XP_001219006.1 MGGKEINKTGGEKKCWEYHRRSFSKEKREQKVICVDLHVVVSFL LYLSCSFLPHFNFFTPICSFLSPTPKVVGRNKYLPLPPPSSSPLTPPPQSLVRYFSYW XP_001219007.1 MKERKRFGGRNSCLFMGVNCYLQCPSMQLVKVGKGVEESKGKKL GVIFPL XP_001219008.1 MRKKQVSHAHTPLHFVCFSIFGLPASTPPPPHTHTQARHLGLAY SQTLASSTYAYIHIYLYIYDTCLCLMWFTSLHSSSFVHPSLITLF XP_001219009.1 MSAESIYRDAEKKLKKWFFVDYDEAMELFEKAAGRFKAERNYSR AGDAFMKAHDCAMRSKNPVAAGRFCSEAVVMYQKTDRTKAAALLDMAVRTQIDNNKLR EAAKLEKDYADAIYEDGHGMEAITHYEKARRYFDAEDYKSQVKNCDVAIANIYGENDM FDKALALFERLGNTSASGPLRHEAKEFYMRAMLCRLASIGEDNREVGSAEAAEALSAY MKRDPYLKNTREAESLQKLLEAVEESNEEKFEDAVSLLQELRMLDEWKTHVLLVVKNK MSSLL XP_001219010.1 MRRTQVRNSRLHDIKDSPSNEAEVQAVLAAAKASEGDAWNAVRL LAGCARCTLQAGRGHLALPLIVASFELSPEPFRQLVGLQQLTVDGSQQQILQEIAEND AFTKNERGANGIMSTVLIVWHGVVVSVAQNGSCPKDFLRFSHCIAHLLSLLPGADGVV HEDLSACWLYNIIMFAHKCKCMKAVAHYLLEGMLADVSELPEDLRDASTLQSLPFAFR KWGAAKAFVHAGVRKHAVATMFKRLCLALYTEGCDDLRTVQREIDSRALAVTNALQQT FGSETSHYMVDFHRPLRMEAQHIALLCQHAMDEDQLCTPRGQFGCIRLACLLKRAANK IMAPTMNECKLVSLSSVVALALRHQYIDIAAEILQTGVETVDPSDESLLLWGKDLELV LAQNLSAHLPAAAQCPVKPVERARDEASSGVDDKVGVGTVGAPEPTQLLHEVVQGSLS DAEAVRVLALADDLTQLLPIGLTLLRGRGTLQQRLLADKIAVPFVIGALARLVQLLVN EGDMPLVRCFLPFIAHLCVGVPSRAHLLFTLQAIAAFAKGFPGDTGEWASIASALSQF SPLRSIDAAPSYCHTKAITTGRTFASRRRVFDALRVCRKTPKTMMHSYCQVQVSLLGE GGGVRLLRTTHVRTTADTRWEKVLRIEYLLLQLVEEMKIIERRNRDHLRSTDQGESPL CEDLPVSSLRSDISVGPVCCSVGGAQDARKAREEWWNERRALDRSIGAVVQSMQSPEG FGCWRAALCGELPDSCQVAVWDATKELLSGLGLPAQHEGDVSLVLAALPFVGDRHPED GDLLFNPSHVGPTNPCGCCDETLRRLSTALEQELITHLDAKLVNEPTACRKACLHVLT AMHAVISEKKCNQPVDHGGEPEKRLTDGCYHVNLYEIPRTPVYLVLDNELHCLPFEGI DVLRHGSVSRVPTVSFVSTFTSTLGQRNDSCHSSGDYIEKGGKNAAGCAGTVCCVIDP AGVMSKTLRRLLPLCSRKGWVVKSHNSPPSARLLREMYRAGVRLYVYVGHGKGEQIIQ RGELYERVPDPANFPSVFLMGCSSAYMDGGLTYDCYGMPYAFLHAGAPLFVGCLWHVT DGEIDRLTKRLLSFVSYGGGSGDDFGVCRTMAAGEALRLARKSCKLPYLTGCATVLYG MNLPLGGTPGGAM XP_001219011.1 MAIAPALRDMLERNTIPRRQLLGRRYHVVTDIGKGMFSEAFLVE DKQAGGALAVVKSSRIAQGNAEGMNREQQLRLAISEARIMAMFNDDGVVRLLDFWCED GIDSICFLMEYCAGGDLEAYLRLRYPLAEGLLLVFFVQCLLAVAHIHTKGVIHRDLKP ANILVAEGDSGNTVPTLKLTDFGLSAMNNAGTALEELSLVGTPLYMSPEVIQHGACVF GSDVWSLGVVFYRLITNEQPFNALNQRALHFSIVNTQPPHPCSVAKHYSRELGDLVMV MLEKDIAKRPTARYLIASPLFERVLQRSPWRSRPLRGATCLFVCRTDRTVNILAEPHF SAPIVATLGFGEHVFVSNRLCVRTVLKQCSVTGAVTRAFTWLPPQASNGGNGRSDGGG GSNPHALNPFECSHRPVARPPRTMQVTGEGILLWYRVVGPREGYCAPSECGRNLLWHV HNYTPCGPLPTHPAPVTTNLPRPVSPGSPDKKQTWTIGQIISSLFGAHG XP_001219012.1 MREATQPPSLHTLATPFCFVQANVQSNALCLCIPCTSLSCCFFY SIIAFQLSSQ XP_001219013.1 MHVVSEIQRRPNKARLKSEKYIVHFYSLCTLVQLVCLIVFVTQF DMASNRTFTSSLWVENPFELAPSLVWLSKRCSHSVQNERVFAFTGVSVNISDKVVGVM FAALATEMHATFFLAVTALLVGAINRYAVKANFFEFKWRNFNVRKDCFFATEIVLISA LLHSVLLAEDTHRMLHDYLDHCNTRSRGFLPYCSTVPMIIFITFAFATYFFGFFVYMW NALPKYGIMSDEEVVEYREWLRRREESVAEVKRMEEEVRRANTRLQLMLENEKNMKLG KSTYQSRRPTIRREAYGSKQGDDAQQWGT XP_001219014.1 MHANATRVFPRSLLSFTLYFSSYRISSFTATDVSGTYTHDHPWP TEEAGKTNRNSKREKIN XP_001219015.1 MKVYFTYEGKDANGVVVDTTIVEAYKLKVDIPSKWLSGPCKQLL QFVVTTYNKKDPEQQLNPEELSMWLGNVELKPTDIVESRINEYNDIRIVHTPKGRSGQ NQQPEGSIICTNYGCGQYFLPGENNDTACKHHKEPPVFHDIEKYWRCCTSRRARDWEE FKAIPACCTGPHSTENQAVSFASAPVANVPLASGQAAAITGVNNATAGAPAERRTTGP REFEAAVREKRRDEPQAVVDGKAKCRNFGCQQEFIVADNHPTACRYHSEGPVFWDTYR YWKCCPDKKCFDFDDFVKVPGCSVGPHLL XP_001219016.1 MADKQENYARTATVDLTVQHEKAYQRQTAVNENIRTASKKHVNR SGHIRYSKKIGLGFATPKAAINGKYIDRKCPFTSNVSIRGRILRGVVHSTKMRRSIVI RRNYLHFIRKYQRYQKRHRNITVHCSPCFDPKPGDEVVVGQCRPLSKTIRYNVLQVVS KSAADKMGKKFSKN XP_001219017.1 MLLFHVPCTFYTLSPCLVTLSFPPFIFIFIFYNCLLVGPLISTG NERNKRRRATPLVLSLLENPLQVLYSVTRYKANFLRKVPLLTSLLPRLLTFCEGSL XP_001219018.1 MDASSAATTKPFQGNVRCEWFQTPSQVTFTFYVKERQRGDVRAD VTEQSLTVSIRLDPSGREYQYNVERFYAPLAEASATINISGMKVEVQVRKAVEQQWPT LEAPEDDVVLPSTSGGTPTTSTIAGLPATAKDLPYPNSRGRDWSAVKLDDDDEKPEGD QALNALFQKIYGNGTDEQRRAMMKSFVESNGTVLSTNWADVGNRHVTTEPPTGMEEKK YEG XP_001219019.1 MAKGKGIAGTLSRKLAPRVNYQHHQVYSNVPEDKLIGTVSHGRK LPHLLLLYSLLLLQLALPVSPYFIILGSPSLSLCHHLFHCLANLISHSMCTNWTCIFS VLFSPTKPHTHVIR XP_001219020.1 MVLSAAIEKRQHPTEQGGEEMQWMGSSPRCPSSVEACRRICIGE GLANNDVRISGWLLLCVSNTKEATTSPLSKFDSAANKGCGTKLNNDVDDGDSSGSNRA EVGGNGNASSMKSDLHDSVSRSEAPAGTTNTSSSSLSETHGDSAVVSKRKEKPVDEDV DAKDHVEEGYGDSAQPVDALEESSTISDSWGADLPANCHARVIVADVKRSLWKLYPNE TVREKKRKMLCNILAQILSNNPERHYYQGLHEMVGFVMYVMEGAREADIVAVCSRLLV QQWRSFSCKQLERSQSMMYAMHTIVVKEEKILAEELEACSVGPESHYAMPWLITWYTH VCDDVEALSRLFDFLVASEDENTVIFFTAALMLHEREQIIGIIKEVKSSCCDCDDSDG TATAEEINKTLVMAQVYSRLVRLPKEVLRRDRARDLESIIRRATVLHEKYLSYANDVR GRFMRNIVSVSTTSDSSGKWHQCKRWLRFSLERRWVNAGFICIIAIFAFWYRESTSRG VTLLGNWITDLYTVSCSIRSSVFTV XP_001219021.1 MAYSGAGFLILRAQIAWWPPAAELHRHPLDPKRTFFSAITDGGV SIGAGKQFVSLSRLGKSVVLGGRPLMNCSSSPPCLQVYDPFSGMEITVAFKVEGGLTE GSKQCVLDVSTLREFVNDDRVRVTAAPLTAGVPFHQLQEFLQLDEGEEENDATCGEHH GGHRNHDNGFANRPGNYHSLFGDRYLGVALRHITHRLKCSPRPAVCSVLFSGEHGVGK TYAVRRLLELVPPVVDICGCPHLVERREMSIAALLLLSEQEAVATVQAVFTPPLVEAH HDSGNRVNGAEPCGVLLLVTLDRIDLLVSAANSLVALVTHQLCMVLDELQHGVGEGGG RIVVTLATAESTKSIPTALLARLGQRLVNLAHPTLSERLRFIRTTCNDADNLRSYSPC VLERAAEALSGRTAAELQAMKVDEVLQLLEREAALHRKHEPFAKNSVTDVPDEYRGLV GLSDIIREVEELVVWPLQQRKLLSRCGVQPQKGLVVYGPPGSGKTALLTRLARRLKSA RVHVLLVDGLSLIEKEVGRTEKNIASLFAAARATSPTALFLDNIDSLAPPRGRETGEV STTADRSLSTLLTEMDGIGGGHTGSDVPLVFVVAAASNPEALDAAISRPGRLDLHITL PLPTIEALQQHIVRRLVEAVEACDEAEAITADFIANVDAYVMRWLGRSPSATVADAND FVRHILLRVVVEPEGVPGAKDRLLECFTKVLPL XP_001219023.1 MAAVQRNSLKESRLQTRLAARQQQLLATFQENVEKFSNELHTEQ QQKLEMLKQSLHDSHENCTKMLEQELQRAEAHRVFLQKYEGDYAQATTSPTTEGATME PLIDCYHGAGEGFAESKKRMAEERYRLVSMAVTLRYSYQERVETIIGCNEGLQECEER CRTWLQQALFDVILSMAKIGYSSVSASQVLAQRVIHSSNESFYRTHAAYKTLLLQLQS RELLKQRVYARQMALLYNNTLQNMERSSSLWAATLLHTDSFRRPKYRESLLQHMGKLI ADMHRDGVEFLNNIGCILQSLRRARDPLPNECGQTCGGTMHDGWLRGFDGGLFSPVFV PSAPEDVTVEWRVKANVLVRHAIAQGVLVMNEVQEAEEQLRQGANAIYTQLAQVLRWI HEPDPETGEALSWATASLQERDAIYIPFTTLNSPRLSKCMAANEALLTPLLVVIQAES DWFVTTVDEELCRCQNTLESILLTDSHSVLQMFDGATKKLGQTVEAAVGFTRSQLVTL YEARKDHEDNLRYLEEEFTSAQMDVQIATTPQAAEKYFVEGLKILDRINVTHRTFHRN TVEALQQLESEGVAVTEQQCKGLLRLLGLESESTRAQRLKEERIAAAMAAAEAAAKKS RGKEAKTFEEFYEEMEEAEEEAEQPNYPTIVADDGELYLVVSATRLVEHGPGDGHGER SERSTPHSRTSTPKGRLRKTSKSQKAKVNQQSATKLSGNKRRLPMRAARQHGHSDSEP PPAPQPSTSPAFVVAYRQLLDRVLQEQLIAEEGQPGGQSEDTNATGSSGNVVIVQRAQ LSTAAVDEWREMLRREVLNWTVHLRQATAEYLRKQCLEKKLEVDAETNEALRRNRRRP AALQAGTYELRVRELQCTIDSIGKQGVRIRHMHKRLLESCRAALNTEDGSQDPFSQDD SEVADAKLFAELEKLQGMIPAATSVGMLTGQERIFSNLISTALEQREAHYSRTIGGIV QQGDALEQSIRSYLRSFAVTLPADIEELRLASLAENAKKEAELAELEANAKGQKKGGD RPKKKKGGKKSASKEAVEEKANKTEDEAADGAQGEKPNEMIELLLEALNEIERVKQTI AERREIQIQRVEEARARYMAAFQQNIGEMETFSQLQELIARLKLQVHSLIVQSEAKEA KIDSMLKELEELTAEEPTLPAFTNKLKGVLNGEWGDQTEPTSMGSRVTSAEEFRSSSP KEGEVAQVEKFVEDSKAAEQELPGLLSAAVADLQSEVRGSEVVRILKLLDKMRTRLYA RGRLLDCLQNGIELFNVPQDRFVEAQSVSRAGMGTDEARASNATPSPNQTPPVSRPQR RSRANTSALKGPELQYPPLELPEVKPIAVQMQEWKDEMRTQATSLLEPHFASFPPPLL RRLPGMTNGEIEDVLLVLYQQFDGLEQRSQQHVKQAVGTYREQIERLNSVLKKVPAHL VASTYQVSARALERRVKVIMEVFLKLHDKSNALRRKHEGSMRTTLASNANFSRMHTIR DAENMRQAEAQRLIENFWVLVQREVDEEASMHSGRNISVNNSFFAIMRGIVTPQHLKP PSEEPKHKGLRRLLQLKAQLTMPTPRRERRLQETMPRHAHNAGKGAANADAAGKSSEP QDTTKGPLPLLQIPDKEYNCVIAGDSQKLQLAGGGVRVSPDTTTIAIQGAAGTSAKPN QAAIMTPSTTSATGLSENERQGTVSVTIVGPGTKLHKEAAELTLRSVDLFNQRSVGAG AVINGAFRKWTGQEEMCSKVWKASFARFRKSGGSTSLFGSSR XP_001219024.1 MTSDGAVNMDTLPTLVSELASVAARANGKALDMEGGVAYINALH KLESFALSTGEEEWKPTPSTTSAAADIMELALQSAAREPLSCVLLQAWVAVLDALLQR ASSTIIDPQPHAKRLWESAQRLVKLRTAPGSDAALASVLTFLGVILRRRQIHIECAPR GQLPSPASTPGILSRVAALQLVPLLAASALTSSGPKKAYITWPWLEYLRKAITCNVPA VCETGVRAMGTILQTGAEPEANYINDFIELLFSLAVSGNASAALENELGFSIGRLLAA SQRPGGWSHFGSSMAKGSVRIMKLLFDPAKINPSSKRVLSTAVGELLAILGPTSANSV EKAIHCIFEWLLAADPQDGTSNMPDIVAGALLHWAKTARSDTFRSAILRHLIPFLDTD SSETVAYTALLCLKGVVSTVVELDEYAANLWEKLLKQVTRNKDIKKATSEVMKCVVER NEWCRRALLRHIFATCCSNNPPTGVDAYLSMMPLFTMHVQALLELPAAVLQKPCMTGV TLAAVARLSVDDSHDALADIFHWCVEYFCKLSRLLLVHQRQSLPPTITTSVRSSLRVF LGALVSSAPTAGEVRAIASAHARATVAACELLPLIEPGDTEVKLVVALLETLDDPIVP VAVPRVRGAVYRLLSRLPWGTCHDDAGKRRAVTLALDDMGEAVRLGVPCAWDEEEAEK CGTSDGANGSAVSIVKLHNVELLPCLAAVWCLDDRQCMRPTPKQALTSTVTRCAVQLI AAASAATCNAGTQSGCLLRSVLVSMRHWRQIKAEDPTVQAWNVLCCLNAVTAPTSSNL PGGNEAATIVAEDNGGEWLEFISTHWLGHGVWALRLLSAQIMARLALASGGVDTFTSS VVNQVNLSSCRISGALIALGLMHGCPNGAGADGTNKITTSGMAISFIARMLSQYGLRG DGEGAVVAASVLISLIHLSAHQRPLVETVIRTSLAPQLLLYQEPQRQTALAPMRPIVT VLLLELVTRLSLHKSVHDGSVMSVFASSVVSCAAVAAVTSTTATADVINQKNNSIITY GSAFPIVMSEKAAAAVVETVREVVEDIKQLPAHPVASTPSAHHGVGHRLAVDIAVLQK IVLQALGNTGYLSDAVSCAAAGVTVSAGRVLKPSSLMSQWLLRMAERIDGATTMESRR AWTKAMGVIVNQAWREPRERAACLHSLNLIIRGKPSQLYGTAGEEDERPNRGDMDMDD YDIETKGVSLAKAAPALELRTVSSEPQSKAAVLNVLLQVLNEEHQRVELNDDTLPQFL QLLFSAVALAEVSPCLVRPAADALYLLLRKYGYSMKDISTPLLQPWKVLLINGMVHVI ERCVYYSGVGSALAEEFFASNISDDTSARRVVSALTQLFSQLGQLDVSHAEVSHGCSG RVAAAIARCSAVASSCNDSSKTQPARWPQTLQRAHDTLASPNAQAVIALLCNQIVSAI TLAHGYEPPHGMVPTPLDSCTYVTPAVALELLLQLRNTSQDIDSTVSHAAGYLIVILL AIKIEETVVPRPVLSGVSLQQLRTLVPLLSPPHCETLVDTVLALLPTVLLKEVGMKEE EKMEELIEISAAAASLIQSSRGNSAFMPTEGRDEVREKRRSQVERLISMLTAETHRVL NLSLAPLTLVVHSDCSVDCVVEVLRQLDVNVLLRSEGRAAALSMHLCQRFPVEELRDM AQSSVCAFLLLLCCEESQQRLKMLETPNISPTVLARIAEAFAQSRDPKLLIEYLRPQL SCSTRVCGVFLSVCTAAKRAELQQQWRPCVEDMLLASIDAAEPQEKEMEGLYKQTQPY GDDTNKRVAFFVRSLISLSHMHGGPREAYSRYPKACSALTDHIMKNYANELRTVIQSL HEGDAGTLRELMQLRGGATQCGPGAQTTTAAAPQRLTINLSSFT XP_001219025.1 MSAFALATRGEPVSWEKLVVRALSFIDATPSVAATNALHALTSY SASPPSIYCDAAAGVRNAKLHSGLLLSLHHATAQWPKLLRHMLAPPPVIVGEQSLSIS GPAMELFVVLYNASVSNCEAGFAAMSRAHADAARTGMMTAAETPSSSPLANSKSAFKS FTMGAELAFLAEQALAEGGDELLPAVDRHSLQKQLDFRSIHELAELCVATAKYVYSTT SGAVSKKHDTLAKLAYAAATTAVPLGLKAMSLLLTVMSRLLTAAYHRHMAEHYYRLDK VPDMSLVLGHIMYAMKLLKKIQVDCPAVLRGHATSQKAPPSSSSDAMRSVRQLLGQIS ASIVGNGGTGAKEQGCQSINELADLLEEGDMMKVFPLAGSLVRDVAKLHEKYQHENSV VYYSRPASDEEIKDDVPEAKLLDVASDSIRYSSNREELLEVLAKRLDTDLSKFAELPA PDELASSLEGREMMVRVRDKLKALQQLTADAKETLSIPHFVEPTLTQLESLLQPYVKP AIQDRGASRNDEGSGATTDSPCPLDSCLDAAVNNVEEALSRHLQVSNGLYRAKCEYIG EYVGPFEVTETLKNRQHAWLTRVHQLRSSVRSILIHRDKKVELRETLLLPESTVLQPC LANAVTVVERAGDLLSSLEPKRASRGTTPPASDNSSDEQLSAAARLQVLLETLCEADG SLTAAFERAQPLRDETRWARVAGVLREAAELIGEGNNIVSEAVSATDEMEKQQSRIVV HPIERLQDVVFETPSLPGQRKRRGKQAEPPRQRMATPTKQELEGASCSTSEQAPCRKG KNRHTGLGEKAEVVEGVRWSWPSTVEKGIRTENTSGAESPADNVAVVGSVRSTKRSRE GTPLSPLNSSVASDAAGQLNIPEAEASPLFKRRIKELNSGRIGRKGGEAGGRKGN XP_001219026.1 MHTKRQREGRGEYFYSLFSSSSRDQHQSSFCRPLMVLQHLELLR KKRILLASASPRRLEILKIIGLDVHVCPSGVAEDLPKSEFKCGGDYALCTAKLKAKHI IRQKMEAANSGSGKTQSGSRSRLPFDVLIAADTVSTMPAHEGDGTIDIIEKPSTREEA AATMRRLSGNSHEMWTGVAIAVVCGKNSTDDDGGVGDNCEELIRWFELKVCTTVHFAV LSEAEILAYTSCPDNWAGKAAGYGIQGIAACMIRSIEGDYYNVMGLPLQAVCALFDKL IDEGIISHN XP_001219027.1 MGCACIRTKPPRFKGFVVDAYPSDRKNDSPVQDTLGKLENYVAS NPERIPRICRKISKLLAQDERRKHTQRILVGLKMLKELIVNSSEVSGFVPHSIDICAR LLNQRYTAEIRIAVADVITALCFKVVGHHDREHSCHLLNHSKDRLLPPLLQMCMERLT EVRKSSDDSKAEDGGGGFTMELMRCHHAGVVALGNLAFCLQGALANSVRGVETAFLLN LLHAVSNGGPAMQEQQFNMLHAPDMHEFSASTSYNSNGGADGGPFRSVLLPDDRNECT AVRIAAAAWGIGAIASCVPSVNIRAFLQSVQNFITVHRVWSPPLFPCVVFRSLTHAME RRPQRLGFCVCKFLHDFGKEKAVSTSSVSKRERGKGKRGMMAQNTAGVLSGVLQALVV CVSEVRMIGRTPQILIDEWPTDAVLIDSSLVDGPAMEIFELHLKLVLRLLQCAYRWQN APQLHQLLLKLLYCLQRVLSTSTQGPVVTVALQALAEAASYIRTVPFADRSELSAASF IEPYLQSSGSMRPHIARVLAGFLSGAPPSVHTPPDELAGVVKGRKVRETADSSTEQLE AHQSPLLTDGRDVATAKEWLLSVIGKSRDDNSDVSATCVVEVGRVMGALLQGCGAAEL SFALAVADMLQSFASDTKKNTDLHVAWSHQSLVILVNCSIFCGAPQLYRYATELLEQR HNAGELSTHFCHNTEGDTIRLMRPKHGSQQEGGQANLQPLRTQPMDEPPVTIRVDMDV VINMVAEYATEELMSALRFPPQDNRASKKNTTAVADRLKAAVADASSAVRHSKQSVEP RQHQQKGGQSTVTAGAQRDAWVFYPGILDVPAPEADGKSQASECGPRLSVIVDSEKIP AQDSAETTHGVGGQPLFVLPVRERIAELLARHNVGPTTTLIPPGSNFTPLPLPLGVRS LHVDPTADGMPAEEPAPQSRATNERRVKQHEFSNGGWKRHMPTSSNGSKVVHGDSAGS DDADGASFSSISASSV XP_001219028.1 MSLGTPCGPLDGERASIDLQHCNADGEAAALIQPTVTEGLPVAC AFTITNYRFTIRELPSSNEKDKDEHGKCEGLHFSMPLLSIESWSAPRVAMTAALRAVF QQGRGQTIPEADTGVAEASAASKGGAELPAYKLKICTKHLWDVELLLQGKRMEGTGRS LEALRVVQHLKDMPAFELYAKRYKGRPEVEDPSGDAGHNHEGGSGGNADEDLINSVEF GWNLYNEDRELERQLCLSPGSEIPSVSDTQRAGPMRDLRPWFRLTRVQQPLNRYGRTP TYPFRIVVPNAASDELLLDVMSARSRARIPAVSFVYLRNGAALARCSQPLTRSSLMRA DGELCSMLTNDYTEHNAAPNQDMSKTRAAAAAVVETVAQTSTKPPPPLFDTDVEEGKT SGKETCGSSPVNQQQEDLPRRQRTLVVMDCRPYSAALGNANLGGGYENGSTHYFCDVR FGNIENIHAVSKSFAKLKELIQRFSGTEPKSGFLQKLHETKWLQHIQNVMQCSNNIAD SLERGESCLVHCTDGWDRTPQTVATAMLLLDPFYRTIVGFCVLVEKEFCSMGHKFAER CSHQVKGDTVYVLDPGVSASDTEAQPPSQQQHQQQQQLQPSPIFVQWMDVVFQIVRQF PRRFEFTPRLLEYLSTEVYACLHGTFLCNGEKERMFEGVRLNTASIWTDIVRTALRER EGKAPLYFVNPAYDSAAAWEFISKRKGCGINRISPNCSSKRIVFWESFYLRHDGDTGS TELLDALAYEHMHQKRGTTTPVGVKFHEEWEKYFNDLIREACEARKREVVEMRNLQQN LEVQRPPQGVDSTSRSSGPKMCHWCHKTFGLLSKFTTVRCSHCGHIHCSDCMETEVKG KKLCRSCYSAHKLNSA XP_001219029.1 MEEVLDNKETNFEAANNTGSECDVSSCGSNNGVDEHRNRVVALR PADALDAPLHLTEIPKQFLLEPGAAGVGVGIGTRQLVLKDKTEAAQRSWPRFRVPVDR PLPRAVWSPSCRRRRPSQVESYLAPLFTASGNEEEKATLSFLHPPEGNPRQVVEERKR QEMSQRWVDAEGNPRLSVAEVPIRVPNLKKKVPKDFVPQCALVFTQSRMAEVEETRKR QAEIAELSSKRLSRQMRDDRFSEERHEEENTPMA XP_001219030.1 MSTSKSEKGEESFAEGESNNESDDDSGNDGGHEANNNNNNCNNG SAEACTKEETPVARNGNNSESPPQQEEKPATQSSILALSWCWGMVDLLRLKNFTELTE VNVNNCVDLATLMGLKYCKKLKRLNLHKCTKLQDLSEAGSCWRLETLIVCECERLENL RGLGGHQRLSCVRISRCGMLAEVNLDGTVKLKKLSIDECWALKEVYVTRCKTLESVAL VDCSRLKSLCGLVHLVSLKSLNLEGCISIAEIGTLNTNNKLERLNLGNCFMLTDMEFL NHCMKLKRVVALGVPIDEVVKSNLRNRGVRVIDENDVDESYKCYQRQYLERASVAEKS LQWEENVKEILSYPR XP_001219031.1 MRELSVCPSRQWRGGGVGGKRNSGMAARRHESETRPARTLSQAS NQARDQVNENGKQENIASSSFFFLFLMVW XP_001219032.1 MNHDCHPTASHKPVCAGAHSRSHTSLSYHIVPNSYRQQKGTPRK LFFPPPPPPPTEGLTSFL XP_001219033.1 MTDGHATDFTAGTWLDQTVTNTWAWTVECNDTITTHPRHNKGGT MDSPSEPQEDRQPAASHFIEFTFSCGSCAKKKLHVLSSFLNSLPHPSVRSYCSHLTTG AYGKQAPHSPTHSKELALDLPFIPTFLFSPLSPHMVSTPVSGSQVPVCFHKANI XP_001219034.1 MRQQLGKLGIISTAQPEQLRDEQQKQLQDIRVEFANQMKQLQRQ NRRYLELLLDMLERGVNR XP_001219035.1 MLMCLCFSACGFALRGPFPAGKCFVHYFSPCCYVCLGFPSPARS IALNHFFCGRSFGCASKITNFLFHVGRHNLFCARLLSGIVWHMPPNTCTRMHFHAGYA FVSECAGVNLWEVCVFVCGFFVSVILSRKWYTALVWGKASDPPPI XP_001219036.1 MQWKGWKGNNKTELMVGVVILRLLSALSHGTRARTGTCTCTCTK HTPKAQVSDLRVKTKTRADSTDVKQKKQVVSIKHKARQTAQIFFHMYNVILSYIFHDE YLTTGVTQMFLSCIRIPTFSTVPTSMHS XP_001219037.1 MPLYRLSVSAETEGVVCIRPMRPRNWGLKVTCDACREFSPNFVY VDESEECDSGGGGTRNAAFKCASCKTLITAHIDPESYGTYRPEEDNGKGDGNSILIIE VRGATPTELEIDDKWVVEAEGATFEAADLSTDWMEYDEKSGNAVSVSQFTVEFSRLKK SK XP_001219038.1 MRHVDVLQSLMVRLVDPEEEEHDWLLISSLFYSLTKLMLPATRV KELYYHYLQVHGSRPAMEAACKDFSNKLSEKLRQRIESTTTTTTATTLAGIHRSSVSG TSAAVGVLDVTKVSAGAAGGEPGIPVGVLSGSPTVATSVWDESGFCGREAAFRKLTSE QKARWHNLRRELISPDILIDLVQQFTLVDGAAVFLAPVVPSTVMEFNGVRSGPYVTVI HQPLSLMCVKRRVLAARRDYELHKHQSGAYLPTGQNNVGVGSRKRERGNGGVTSRASA VSQPPHFSIATNSGEKGNVIRTLQELEQAVWHITANCVMFNAPESYYPYVARKFALAC VAIIDDYCTQRIAGV XP_001219039.1 MLILSFSVFASTFLFRICALSFFLFFPLFIYLFTYIFKLLPPSL LLLLLLLLVFAVKGYHNMKRRVLRAHANKRRLRILSAEHGLCLDSYNILCDASFLRAV GHAICNNNFSMGGGGGGGTSSGINNNINNNKNNNKNGISEMHEPPVRTLKALMHETFA AAASQTTQSDSEPAEKSKQQKGINLSLYYLPETATALRRMVQREEKNDVEKVTNRGSK GHGKSISSASHAGGGMKKCGLGAVAEALLKGLMCVGYQNRETTSTAEVSSESGGRNEG KAVTQFITEVASGKPHGYSVHGGKNANFFFVATQSHDVRRLLPADSALIRLTTMPTAL WIERNGDSFNYEGSGKMNNRDANSSNYNHNKSYGSSGSGDVHNDFHCGGVRVGGHKGL SEADIAFMRHLSANLVPGAEPPLKRARGFDNIKSVTDGIPHVAKGKSQRDAAVTSRSG DSSVSAFVKHSSRRKARGPNPLSVKKKRKREVLRVDVSASQRKKERKE XP_001219040.1 MCLCVCVKLLWWKSLYLSNKNYNIVSVCFIINIINVINIINLFP SITCSGYEGVNYQYHH XP_001219041.1 MRKRRRNFDFFFLNKKKEKKKTKSDLKETKTKVYESSEQVRK XP_001219042.1 MCMCVGVCGRMWAYVNGGSCTCNCFACESSLPFFPFFFICNTQW LHVFSFFFCVCTHFASFSMLFSPPVFCFRLLHVHFYA XP_001219043.1 MASFLPSQVTARNSNGLAGVKSDAVSSTMSTHFTPLNHSNTNTN TNATIPQPGCLSTQCSGTGTVNNDVQVVTAASGCNATNNPFDIFSSYVASSALNSVAI SNNVVNSHVEASKEDVSVSRLSNNSATLAPTADFNPALPGCVAEMQKFMSDRSIRPEE KEAVSIIEFALGRYAVARMQVKQRLEEGEAILRRWDELQKAIECAAASFQATSAASLT DSFLFTSPQQPLQHMVPNGPVGSVKGQRGRSRVDVDLSECKGILSHVGTFAQSFKKLR EEQDQVCAALGDIQTKSPSHNNGQSLGFPFVVPPQREQLSLGGHPVDDLQVTVHVCGL RLRQQWEEVVGASCGDLLRGLKENVTANRALVALQGLCNDARERLNDMRTRIARLGSN RVEEAGRLDERLAAMDSYDPTMEEYEARRRQIDTELSTVKGWLEAIVQVQASADQLHE TLRSWSAGIDIGTVLSPHQHQQQQEGPASANDRASSCWAVSTPSATTAAASIAECRLS SIRSSCQTGGAPDCRATYPQVNAAPSPVMRMIGTTVLPLTRYDSTRVGDDTTTATTAP TTTTFVAANGVTGVMANQNVSMPPQHVENICISVPTSGNEGSAVVCSDKKLPSSSDIQ TANAPKELGNGALVVQLKDECAAVAVRDGTVADAAVVVEANKRKVRPSNETNNGEGNA AVCRDENDDDVDDVDDDDDDDADSRTSLFHPSAFSGGDRKKRRRREREPKRNGCMNTF ASFFRAVINRATDAGESDGGSRTDGEEYEGSDASDDEDTEQLHKKTRVRLW XP_001219044.1 MRKAKQKKKSKAKQKKMYEEEDDDNKCVWFTPLSHFLPEVSFFS FFLFDCFIAWSNFTSPLFCCFIFFFLIFLLLFLWCFSTFFFSSPPSLTSMCICVCVPS TQSLQSIHLFFSFFPPSSSINKYIYIYIYYYYYY XP_001219045.1 MMPNGSLRASARLTPAGRMMEPPAPFTAAMQLYAQRRQMPGFMC ARRAAVAAALQEMRRNYNIED XP_001219046.1 MNMCLNTFFSCFYPSPFSFFFFLFLFSFFGTIRFKFKSSSRIFH PFLCDFSFARYTFAYSTGSQANTVTPFVSFSSICAFNLQFFFKKIIISIIIIILVRLV SFSDFFLSFSLLPH XP_001219047.1 MSASYFFHCFAIIMHTNTRANATLINIVATVMNFCNGSGNNSVI PKGTFTIYLLPPFLSLSFSHVQLQPQRRYSLQCSKHILPREHTTAYKSGKKNKKNGGE KTERKEGKGEGAVAYWFPHKSPPQCIRVNL XP_001219049.1 MATQPQTITVPPCRNYLKSPPLPQLLDFPPLHSFFFHFSVWQQK RTERIEGSKLFIAHTKRKRK XP_001219050.1 MDIYQGSDMQTKGTVAKKKKRKKERSNVDDAKDSPLHINQFAEA HTPCSPSCFCPHAIIRDIIKLASLHAHRPPVHSNHTSTEYIFICVHIHTYVCAHTCIR VNVKVLNSLQTYTCKCINMHA XP_001219051.1 MNGNLKKYRKLSPWIVHNPSCAKSHRNPALSSRCAHGRRLANQK KKGKANYYHLFIPSEETTKRKENQKKLRIIPIALPVTGGLIVVIRHQIRKEPQRP XP_001219052.1 MTCWCCGNGGSKNFVFARESIDYSTFAFPTFLFVFFFPLREVSF RLRLFVHQQERVALVLVYVLSPLFLPFPFLFLALKAEQLHITCTPFLFRLLDGRIGRG GDVVSMRINA XP_001219053.1 MGVGFQSKKLQNFCHSGAGEMCALENCIPRWGSFPCNAPGLTPV YELSSFENVELPLIFQKSNIGDLLASTSDSVGQLNGFQARHSNTEGIKSQVSYTSVAL STTNRENLFGWVDCAALPLCSSSTAGASILLVTLLTRESRGKSCMCILDDLVCQLSNG PFNWGCGGDCHDLISISLPSEPRNHAACPIILPIAKLSRKEIWLREAAPKMDVETIIY EGDNQQHLVDDVANGTNAGSCAVMEAGCRPETALGPENVKYGDNESVTQCEVTVDITP STEPSARCLHFSECTTPVSSNRSFMEPIPLSNMESKALRCRKFDGTSYEVLRRRAHSD ALSLILKKYSEHLERVSQTSGRRGLDAHC XP_001219054.1 MSVVLDVVTSDNYEPSETELLEYGKWLGMDLPTDKPFLWIAREG LKAPLPEHWKACRSEKGELYYFNFKTGESIWDHPLDEHFRELLRSEKINPSPKSIANG AKKPGPALVSPAKNLSERSSNQEERTSSLGSKTKLQALKTLKQKRELPEELRIDFKGG SNPSSGSTERSRTAQRLKGVLSAAGSSGPKIPPLSQTQENVIPPTISGEKALISKPFA VKKAPQSEDSDPLSCGPTGVSEAKTGAPANQTEGDIGQEYQNRIKFLKEDLENKFNKE KEMLEKQYASETEQVINEFIARSETEKKKHQLLEEKHNREYSEAKLRWKAEIEALNEQ KTKEIEEIKKMDTRLLDAMKQCTEEADKCVSDFISTYEDARNALTRALQGTRETHLTL VEPSLSMLIDRAQQSYDKAIKDLGACYASESENIKLKFAANIVVAERDAKAAVEEIMR QKENRISFISENTRPTAVIGKNRTETRGTQCEWDDLEDIQNKHTYAEGTSTVSCNHNA DTIQSVKEILGDAVATLRGTRDEELNELKVHLREFAEEELRTIFDKISQGRQPVSCAT IVDTRDDMNVLQHVRPEPVKDEGNAQKDSHAPPVEILRKEDLATAVSEAFERIFVNTP SFPFGANKESTHVEEPDTPDECRTPSVLGMNAVGLDQQVRGFPISIQDQRSLLESEIK RVADMRRIIESQRTKLEKRRTHLHTTRHRWKQDVVIAKKEGVKASSHQGQLLNKVRHV LDGHIKKFEYDEAVLRGSEEWLLMKERSIHKMEHRIRDAERRMVSSGGAGSPDTCVTT GHCLKCLGVGDDCSLVSHNVRPRDTLIIAYTQTLNRIVRRLERVAAVMHHPGRRRRFP TSYVGKSAH XP_001219055.1 MRTIRGIQYYYSCSGKSSILALNWLVCSSIQFEFLSFIGKSLLP QQGTNHMLMRSRPNGEKTKQNELYSCCQLYFAFTEYICVPLPFSFLFCPFLQNGKRNR ALQLSF XP_001219056.1 MFSGIPSSRWRFLPLAEGWRVWVQTAESRDFPSQRGRAQMKGSG IIRWLSDIVRDFIQVHGCWLSGGAGGWVCLKGCYVIFSTRSAARLCLVRWRFLCLSIG RARVAVRLWKVIIIIINSVASRFTRVWC XP_001219057.1 MHPVPQAPYLSSPSPLFPNRGWCWQRPLRIFCPFFSAPLRAASS RRCHRPFLSIRFKKRCCNNPLLLGVVEAILPEPHSPAIPPVILRVFTPPS XP_001219058.1 MASDNISYAVVEPLLLLHQKHVNPSDDEFTLPCRLSFAMKKLAH VP XP_001219059.1 MNSGSIACWISHRFVAKYLHVNSPSSRLFLFAKSMSYLISPLHL NAFTTGESARLTKGAFYLRTRCRWLPFTYHFSCFNYVNIACNMFLFQPVPSLPLSGHV CKSSKALSREQPPHAPSTSLAWRDPFTSVTPPFLFTTDNQPLIIFILIAHFSTVARFV GTFFAFRCTVYTNIYIYIYIFAQYPMYVDFFEFSSYG XP_001219060.1 MGAHRRLRLFHKKSFEIEKRQKKKKRLWGTTNTAGGVRTLLYRG GGILLVTDCFHYLPHLMQVGTCFPSSQCHRF XP_001219061.1 MRLHNAVPTRHLPPSLPEGEGPCPTQHFLSRDAQHLLTYINQPP DKQSQKKATHTPRKNNEMKASVLEPLFYQ XP_001219062.1 MIGLMKLSLPVRAGGLYTWSRFKGQSALGKGEHVLAHMSCENLR RENEFHGLKNLGES XP_001219063.1 MKKKGCHSDRKHCQKHVQAELCLFILHSVLRKCPNKMVHSILQN SHGNIRKKFEISLKHETSTPAPQKRRREVLLKRKTNSKRGNRA XP_001219064.1 MNQSTSANCVYGYPPRWKVGCGMCQKRWAVSMESIPCGHVFCST CFPEIKVCPLCCGEVASRRPAFRCASALDRVRARRNYVGPTAFYNDCSQKTGKTVVDP MRLTRGMGGMVPSKLFERIKKSEESNWKVMDLSGCTELLDARLLTMLASLEELNLAGY PRVRHDLEVIASLNNLVRLYLDETDADDRCIFKIRNKSLLHLSCVSCRRITDVRPLAE TTTLQKLSLAGCKNIEFGLEEICELPHIRKLNLRGTQMNDACISKLSRNSNLLELDCG DCLEITDVKPLAKSKKLEVLTLEGCENIIRGLVDLCALPDVRQMNFSGTAADNACISK LGKSKKLVSLFCEQCPRVTNIRPLAKIKTLEFLSLSGSINISRGVGRICDNQWIRGLN FSDVDVRETDVMALADCDYLVSLNLSGCLEMTDLDAIEGCMSLESLSLCDCRDLADIT SLRECRFLKTLDLSGCSSLCDISALRECARLKTLVLSRCTGLRDLSGLGECATLVSLD LSECHSLVDISALGGCVNLVALYLRGCNGLQDLNALKEWKSLRMLDLSGFRKLEDVTA LRGGRNWLTLNLSNCENLKEAWLDGHDCRDLLALDLSNCENLKEVWLDGCRQLANLNL SNCKNMWYIHGQTECKGLVTLNLYNCGTIQNGIGDLLKLPRLTVLHIDYNNADRQSIE NLRNKGLEIVMEEEEEEEEEGSEYTSEDEGC XP_001219065.1 MLQNMSNILDRTCWSCFFFFLKRKYSYMRRGTSTYPTTGCPLKK HEGGSHCPRARNWTASAGDIVGNGRRAEVGLARLKSAFFFVT XP_001219066.1 MLHIITLVYNCLHHVETVILVVFIVTTNALHYELSSKWCLLLII HSCFFFLTANEDFSHLRRGAGTITFCVPVLYRRVLCLFLTRCCRRIFFTMPPGSHMPL CVVGSKR XP_001219067.1 MLNHFLRTPRKKKNLYHHHSLSLTPLQTLIHRACSCTRRCYTYS SATPKESLHLDTFNCQHYRLPDHGHPMKMFSNLMRDEPISVELYSLCTYDYTNAAPAV FPVGFQNVRTKGKEYEILESITNA XP_001219068.1 MHPRWVESYGLAGAAGRISRLLLLSPAVVWEPVTEDNLALTVSY NNLTNSMSYATPLSTLLRLCLVFVSTLDGNALVMRSIIRFSVLTVESFPYQAPHDHDS GMFINFHPLLLIFIFCNHHVAKWI XP_001219069.1 MLFYKHTATDTLHSRVKQLMRLRAVKVIKNLYEQGAGVPFCFVR GTHVLHTLAPSLN XP_001219070.1 MLFTSVSSSCLFTLKTKKHIRNEYYLESPLLLSGGLCPPLSAPS TFIFTRSVLNALNKKQKQRKNFITYKIYVMMRRCERYYIMFASTMRMHIYTLCVCMFL SVLLRVTLCGTTYI XP_001219071.1 MLIGMKYSAPKAIVISLHFPKSRSPYIGTHFHVVCYEGDGPWKH LLQPAECWCSKLLTGKKKCATTARHHGMPRTFEVPMSIMGIHNHKRILNRGIFIFVPN RIYFINNREFLLTRRTCVYFDTTANTFPKKKTYVRW XP_001219072.1 MKYCEVQPQTGSRGIKRQRELEENASIPTKGSRATETRGHPVND PAGTSEPLQCTQCGFVSQSKGGTTPSTKIQYRRGHPLDSNAGTKRSRSITEQEVSLIW NTVTIGLNDTCCTQCRHTHVSKDSLMEHFGGMHRQHPFSVAKESPPPLRSFNVRGFHL HFTFVNRNKTSHSTSGSSIHRHQKHEICVERKHTHSNECEENPTHILVRSSLKCSAVT SGLRAKICRR XP_001219073.1 MGSRPVASFLTEGQHPVDNGVGCGPAAAVRRCKWELTVELTDVQ L XP_001219074.1 MRCTHVRFFGSSGVGPFGSWFVRIFGSDVVRKGSMSLGKLSLSN GGTNLRKFGFDTSGDAPDRSFKRDSGAGPFERSGGGGGPFGGSLGGLFDRALEWCSES HARDIARREGIDVRDIRFEKTPEGGVKVMVDAPNATIKQIEQLGEKVMDECPVARFRK TQVTSPQQKVEWIRIPDRYDR XP_001219075.1 MMASKGQCFCLHLFPFFLVFQVCTNIYVSERPMARTFVVFDKDG KPAERPDEYFPLVQMDKEEFVNRRRGHVADENLFAVDGDLILSHLIMGTQIMYDAEKN AVHSCDTGESEADGSIPISVRVLSDEFVVRHESQPDCLAWGSEAPSVYAG XP_001219076.1 MATGRLKNGEERIVGIIGDEDTVTGFLLAGIGDNRPVEAQATGD RKAVAQPNYAVITPSTPLADIEDAFTNMTANPSIGVIIICQHIANEIRHLMEGFTDHI PCILEIPSKGGVYDASKDQVLVKINRALGCQ XP_001219077.1 MSNYLDDLRMHLAASPASGGSASIAVGSFNIPYEVTRRLKGVGA DADTTLTSCASWTQLQKLYEQYGDEPIKKHFETDSERGQRYSVKVSLGSKDENFLFLD YSKSHINDEIKCALLRLAEERGIRQFVQSVFRGERVNTTENRPVLHIALRNRSNRPIY VDGKDVMPAVNKVLDQMRSFSEKVRTGEWKGHTGKAIRHVVNIGIGGSDLGPVMATEA LKPFSQRDLSLHFVSNVDGTHIAEVLKSIDIEATLFIVASKTFTTQETITNALSARRA LLDYLRSRGIDEKGSVAKHFVALSTNNQKVKEFGIDEENMFQFWDWVGGRYSMWSAIG LPIMISIGYENFVELLTGAHVIDEHFANAPPEQNVPLLLALVGVWYINFFGAVTHAIL PYDQYLWRLPAYLQQLDMESNGKYVTRSGKTVSTLTGPIIFGEAGTNGQHAFYQLIHQ GTNLIPCDFIGAIQSQNKIGDHHKIFMSNFFAQTEALMIGKSPSEVRRELEAAGERSA EKINALLPHKTFIGGRPSNTLLIKSLTPRALGAIIAMYEHKVLVQGAIWGIDSYDQWG VELGKVLAKSILPQLRPGMRVNNHDSSTNGLINMFNELSHL XP_001219078.1 MLKFARNLVVLIIKLGRESLPLCLFVFFSFFLRFQIYNYHNMEG TCLMAHATSVRCGDNDDLLVPTGDPPAPYQSPSGGRSYYPTRLFKRGTHSLRKAPDER EHAQLGAHHCATINSRKKVGISGQQRSRGVSGHLRLGAAAAPLLGRYRPHESAQKKSN TETFAEQRSSRSYSALGQSRGSLSQDQGVFGNAEGASHRAMGENRGKSNDLPNTPTVL MPRAERGCMQRNGGIPTASSKAAKGPDSFADNKQLGTYTKSEYAPPALSCADAACIHG RDGILDYISMCHRLRGCHDELRNKDAELDKLRRYLASLESENAVVISRLEAEIKNMVR QHKKKLADVNERHERKFDKVLSENLAFHERVVKEAESLRAELNQERLEHARTRCELQE TQHLSNLFKQQLECFQVTGIPGEVRQASGSLATEAHRCRPCADKKTYSAAVEAPAPAW EVGEELVAEEDCKIDAGIDESNGRHSPFEGLLSTQTCGVPLGNDAEALNLHEGTSADV SVPSFNCSAVFELGGSEGPSFTSVIKEGVIPDRVEILVGTRNPIKRDIIEAEELPPTE KVPPPADQQGGAGNALFDKQGKPTVNPFLLCNRAAVEERYRPVDGMRRPSAHLFDEFV GREAVLCKELMRALLEKEKHVAELKSAGIASP XP_001219079.1 MRSGRKAGMRISAKDAEVMGIEVQPYHVIIGTAAFVVCVLLLHF YGKLSSISA XP_001219080.1 MASNQQDANIGVVDRQTEPKRRRRSSGTAFSSMELHRRFEEHVA KAQQLFLQGNKDGAEQEATLALRIHPTDHLFALLAVIAESKGQFNRASDLRLLQAFMA NDAVLWEELLHEFMQERLYYKSAVCLHRLAVLETRDKVRYRTLQLQLADLYIGLGEFK RAAHILISLWNGSRCRDFEVFAMLSSLFFQLGRWNSLHRLIESSLKSTFRPVVCTMEG GEKPPDAPSSRLGREPSTVEGKEELTAPMARRRVPRRVRFFGVDDNDECDNDTDSVVK RAEGIQQAGSLSQPTPTVDNTCLAEALCEGDDFDFTSEGCDSQSVHGIRSGACATPSA VIHSMYGDSVKFRTATDKKNFLTLVNVHAELLNEEGNFPDTVRLVEFAAGCLNVSLLE LHPDLLVRLGVAYAFLGGMEQQCREVFHHLVDTCPMAEYGDVLYDAANALQQVGLHTE ARMLFQTVRRYHEFRMKGSNGNADDGNNLDDEEKTEVKTVFVAALFAESQCEASLGNI EVACDNLCRVLEVDPHHLQSRLALGRLCMYDMNDTERAIEVLTPRESEPPLERIQLAA ELVRVFARSKKYVEAIALGVSVFELIMSSQYEGDADSVAPGSTRRSTAPLSMPTLSPA SSAIIPPSAISDIVNGPRLSSSADLSSTRLSHALATSIRASSVAFRINLARRGGTPLT ASVYGASAAASTVAGWSHGDEETGQKDSSTIFRFNRTLKPVGCLRPVNKGTCNRKCPR AADEGDVDTEDDCRRARKRRREEEVAQRDVRSFWKEHADSDTDNCGEPSNQENETEHQ MEQGGAHLSTDKKSENEDEGPCIDDGSDDGDATRFELPSLEEVSKQFGDSYMQELFMQ ASSNEAMTSAGHMPASNVGGTIALDNVLGDDTEAGLGQAAAPLRVTMRDALKVLGCAG FIELAVTVVDCYGAIGKFTEAKEFAFVVLIGCQRTSIFRHLVTMERPLRWAVLRAALA SGECEDAYRVGIRLLQEQCSEQEKDRILELLFGVLNRTEMGSSILLRLVAGGYQENPS VLVLLGNRYFLRRTYIRALNMYLAAMQRRPNDVLVCFLVGVCFLLVSHQKRIRARNAC VVSAWHYLLRYQGALRDIGPQRQAEATYNCARALQYLGLHHLSTPLYEQVAYEYSVPE QCSLPLQRAARFNLYFTYRWRTGNSRLALDALQPRF XP_001219081.1 MCVFVQLRNAFLEVEGRLEGFSACWCLFLSLVFGTTKISGGAVL FMY XP_001219082.1 MSDDSATGNLGSPTRLGGSSSPPAFSVSCDNGVSDTRLASSGRC NIDPSRSAAIERFLLGAQTTTAPPLGGGLATSVASTSAPGGDYIRGPQSAVDNVSFVS VKHPESADAPLSEPKPAASPKNTFTVAKSSGTVVGRSSRLGEKSLEPSAGAEAKIKSP KEIPPEAEPRGRTPPSRGRVKLVLDTPVQLRSPVSERSPTPPVEVRSALAQPRTTTLT KCSSVGRPAAVFYGSPDGTRKCGIKRTKSLGDLSQALLRGDRAADVSEWLAECSSHRR ADRSAASVAGSGVYQRGSGFSEFVSLGPVTARSDRGGKSGVRTQLRAVDRVRRGEDLS RADAHFDPSPGLGRGGRHEWQRGGFARGRFAEDRAYGHVGPGSPGLQSHASAPQLPYF GGMRGAERDMDTSIGGRSLGAPSVHGAMSDQFVGQHIRVRATSVTRAARPESPTTAFT PGTQGSGFASPGVGGKGSQPSPSHVAASNLGQAHPPVGQATHAERMFMSLIADRSRER RQHFQRTPSTFSLPVNCSTDVSPVRDLSSPGSFQSPSVCHGASGGGVGGGGKGGDGSG GGGGKGGGGGGDRGGNKKGGRAGKVASSAGDEEENEERQYKERSCTALHSIPWDQRAF LPVGGFGMKRFSIFSAPLFWEKLDWTVRASLFTVLPLMILTLEPKTEHIFPMPSSVAF LAFWISMPTFGSGLREFIIALKGYALSLLLLLFVVLVDPQSTWLILLLLFIFVLSTAF FAEELKKTCAYCLTVFLMERQAKPAETGIEFVKDYFITLLIALAFGLAAFFIPSIRWS SDLAKAKVSFLGNSLSIFVQGTCSSFWTRSPLERELHILRLRQLQFTAKKAADKAREF LEEADYEPHTGHQMEGIKQRLDFFTNMHGILSSMVQVVELVNDDPGRIETPVCISFGE AIEEDLAIISSAMDSTILKISDFKNGVNEEDIHLFCEARERFQDRLAEVRQQVILNNE MYETGESDVLLGFFMFSVDELCEVISSFNPSIEAKSRFLSLSREFLSSFKSPYEALRR LVMTIIHRRTITRRAKEAIKLALCMTLPSIFQVYALDNNATSPVAGAAIIAFVYSSTG AQSFTYAVNRVLGTVLGSLCALIAVQVADGRRLVLYVAVAIISFLGAYVQTSKEYYAA GNAICNSVISVITQYKNSEAAMVRIQQNLFAIIIYFCITMVLWPMRARNKVYMSFDIS LRCFREATCRLLRNLDMPEDVNEVDATTTEALAQWNKKISRQAFFLPGAATEPTLVGA SFPEPAWSRLIDVQWKLWAIVSMMRFAYVTFMASKVDDETELSVHWVVLRRISPFAKD MCDLLYATVDLCLLILNKTAIVPSAHLTRLRHGMLDAEHSIVETYIQTLAHKIAGDGG SANNSCSDMGSGPGGGSDGVSSHLDMHSPRGVSHPDSGVAQRRRKPRVTDGYFVYNVT EEEEELMRTFRNRTCNARQLTQTCDNDSHGGRSDNGSDGDGGRKPGHRKGSDSSRDVR TPDKDDGNGSGNKSFEKAEDIEEITHEHGEKGGVRRALRQLLRRRTTGRPSDAETDRR KEASSNAPAVAACHSQTDEAADVHNSDDDVEPLVKGPRRDRKKEGGERLYSESEVTLV HSQSDNSQVETREYTMEKSSKAGKQLEKQSKNETLRPSAAAAASPAPAADICTRSEDG SSSHSVGGDGVFPACSFFDAKEKELVLSNRDIHSLEAFLFGVRALTVQLGDLQKALLE MVHSNELEKTM XP_001219083.1 MSLGVERRGGSRSVDRRQSDATSGVVAQKRGVYTYIYICVSTVE CRTTVVSCTSAFTTDCYCCGCRLSLERGAFLCFGAALSVHGVPLLPPPPTVYICVVTR GGLLRGTGRSCFSFPSLFVANLPSYRCPILLYLRPHAAINYELFSLPPSFCCFQLPCA V XP_001219084.1 MFMFHLPSFLVGVCFSLYVFVPRKRGAAFFFSAAHRGVREERIR GNRTVW XP_001219085.1 MHQQDPTAGNNSGRGFVTVAEALDRAMKQCMQRGLFDTSTWLAQ LALDASDSVLRQTLRTNAPAVLSLQDPPLVGRAHRHHVVALGLMQKGEYLRCHHHLSN ALRDYNSCFSAGLSASTPSSLASAGITASRTEEPSGSAGDADGEEGATGREHFSFRRG GGRSTPPPRRQQQHQQSPLSKKATVPQAPPPQLQFLCLYSLYMAGECVKTTSSNPRKT TNPHLRMLRGLLLSALERQQQQQQHQGPQSSPTHPSSSAIRGSSSLLSVSSRPSSRAG PRLTQTGSRPSPPVTPGAAAASVGVAAYGDPFLCWLHGVVLRDLGMKQESATYFLAAV CNHPLLWCVWEDLCTLVSRENQIEEIEAMVGSLEPRFMPEIFLASVKAALNVSPISFV LPTAAPGAGQRSASPHFGESTTSLQSATQEYGRRRTHSRRDADGSSNSCVSPRLVNSW EVLLEQFPNNLFLLSNLAGYYYNVKKDLEKAHSIYKQLHEASPYRLESMDDYSIVLFL RGDRIGLSSLAQQVYHVDPFRAESNYVVGNYYVLMGAHDRGVLHFRRAVAADPTFIAA WTLLGHAYLETKNSAAAVEAYRAAVDLDQRDYRGWYNLGQIYELLQFYHHALYYYWHT TTLRPTDPRMWSAVANCLDREGRTGEAMLCLEHAEACESPKSDFYPPLVRRLGQYYLS IRKVQRAVTYLEKLLASEAKKHEDVLMAVPHVVTYYLRQAKQLLDIPARSPSYNPVHY YGGNSLTSTVVMETGCNAGASVYDDDGCGAPLSFSATGTHRVESFGSGPGVKAGGSLA DQWLTADAVGRRSIDVRWEQAGACLSITERHLENLAAALGFPTLQAAVDSTMNRPSDS SVSGGDAMLEEGRNRHAAQLAHHFRELKNLQYYLRDQQQQVDTSVRQGERA XP_001219086.1 MFRCSAAGECAADKGENFCVCTCFDLSRLNFLVTHSDCLCSGRR DVFFFFLQQSLGWLGPITHFRCPSFLFLVLVGWFIHMHATC XP_001219087.1 MNRLFGSVAACSYCYCYLFVILIASLPVRLSSAWLGSPPKQQNA RGRGFSTFFFSWRIFLLCLPRECCLPHHISLLPTA XP_001219088.1 MATPRQGPTRPPSAVAGMTEDEIAAGENAGKSMTCQGGLYATSE RCRELLDDVANKERALSQLRCVLEEMAGAYEQLQRHSQRIETELETLTARYNVQQEEL IAAKSKVSMQESKLQQADEDYQRRTQQLRWELKSAREERDEVTADRESLWTQIRSLRA DVEEHRRVQQAAEAAWESKLADARKEATEKAHAVLREREEILSIREAELQRALSKLEG RFDETAAETRRLELLNESLRDRVGEMQECVQREAEMRHRLEAARGTQQQENKLLSECM ELERRGRREQQKAIEGGYEAQLREMKRSYELLERERRRQEEESCRALRESRLATQLAR DEWLAQQQHLELTISGLRGEVDRGRLRFDQVDELKQRAESELLRAQRELQFVQQRETT LQETVETLQGTVARHRATEVRLEGEIQRLLAVVAEREREVERWKCAAERLEWKRYVGA EEVPSLSSHGTCHFHQQCGHQDGRDVGGMCGPTTELPFSPESAVPAQPTVVGSLAPAC SGPSTPSRPLPSFLTPCLRQYKRCQQPYHSQHIESIDRTPNCLLNDVSRFDCTQSSEE VQAELLRSIVQDVLWEHLQPGDGVSINLMGANGHPYSSASPTGARVGGQGVPHISPWH HESRRGPPVPTRPMGEQRKSVKASQERSQTAHDATRAQGNSSPLRNPLVELPNACATP LQGPMPRDCTIQLNIRDRSGGAEHHRCGSLSTSSAIAGSAVAASETAVSPKATPTPPF VAVTSTPNSSTLCSGAFLASTPNNLSVGSSATSHRRRSTLSTHFCNKEKSKRRSNVQG YFSKQRRQPPHDVVPAPVSYEGVNELGAGYGFESNPNNSNQRFSKQGRDSRSGRSPSE GSVKRLPSSASDIRCTSNVLSIGSDVTSSTCVSATPPAPLLQLTALQERFATMSIL XP_001219089.1 MDIYLFSVWFIQNEEKCLDFLNISKKNKAKSSVQHIGGLARKQN PEPTKSIFVSRYGARSLKTSTMKGNQEFFQKGGAHVSKGVHINPRVKKAQYAVRGLVP MRADEIREEIRSGTGKSKFSFNELVYCNIGNPQALEQKPLTFHRQVMSLIDAPFLLED NAVVSRYPSDAVSRARLYLGHIGQRTGAYTDSAGYAFVRDIVAQYVNERDAYVKPLQE ASSIVLTDGASTGVRIILQTLVGDEKDAVMIPIPQYPLYTAQIALLGGTPAMYYLRES EGWALNVGELEAVYKDCVANGNATPRVLVVINPGNPTGGVLERTVMEEVAKFCCDHGV VLMADEVYQENIYTATKRFESFRKIVLELPPPYNTDTVLVSLHSVSKGIIGECGRRGG YFTLTNAPPELVEQVMKLCSINLCSNVNGQLMTALMCSPPKPGDASFDHYTAEYSGIF ESLKRRADLLAKELNNIRGFKSQSVEGAMYAFPTIELPPKYVKHNDEMNSKEGRQLAP DARWALELLESTGIVVVPGSGFGQQPGTLHFRTTILPPEAHMERVVKALRQFQEGIWA KYA XP_001219090.1 MTLKCVNGECVGWAFGGSTANRSRSKGGQNKQGRREIKKKKREV RGKGRLILDL XP_001219091.1 MTAWGPNTAEKKYFCIYDNTLIFVFLSFFFFFGELGLLPSLYFG STLI XP_001219092.1 MYWEMEGWELKESMKTKKNKMKQVVGNDNNIINYQNVSPLSLLL FKFLQKKTLESVVVNHVLVSEV XP_001219093.1 MMQINLIMTRGSGKTRWIENDIYIYIYMQNDMCMYWGKGIARGT NYSNACFFFFYPCCGEGKRKLTKC XP_001219094.1 MSEGFGVVCACISFISLFIYSHFTLLYSHFTFSLTLLYFTLISL LSYTSTALPFSRLHVFSFLTVSLVALFYSLFFFLLHCLHFHTHLYYFYPAFIH XP_001219095.1 MFHLPRAVQCALIASASPSSLRYATRCIGTSTDGPGGTRRGSAP TAGGRRGRPSAHMRNEAAISTSGGAAASRSASRQGAHIDYAAVSDGRTTSRPGVSSFT RAATTTLGTVGGGGGVTGSSVSQLSMQNVMEANQNRVLSRQPLRRTASQTAAGGLQAT PSASGVQTAPMAAPATQRQQPQQQNRQVARTSTSIPVQPLPTLSSVRPPATKSPASSR PAIATSPSGTARSLRTPRTPRGTKAAAKPSSAAAAVNISAATTAGARAAAAAIVARAS PTRVAAAGAASGTTTTTTTTAAGVRARGSVPSPDTRAALEKAMQAVNAAVKSATPAGT TVPIAAMATRPISRAAVEEAMRAVDAAVTATRGATTAANVVPPRSRVSKSAPSPRTPW GTRPAAKATTQVPASAPAVTPTAAAQGRVRSAATTPTATGKTSPARARRRASPRGAGA TAAEASPVARPVPAAVNTTKVSETAATEAAVARALAAAAAAAAPSRARTAPKAAGSAT TPAKKHVTVVTPTRTPRAAAATPQAVTVVTPTRSAVATTPVKPQVAVVVSPTRATRPT SPPAKPQAAVGTPARAASAVAPANSQMMIVTPTRAARSTSVPSKPGVTVVTPKRAPRA ASTPAKSQVTVVTPTRSPRAGAAPVASPAVEKAPVPAAASVGTTETVATPTPRRRSAE SGANTPKGKRSASGKRGAVDATEENSKASRVTTPTSTVATTPPRAEVLRDHSSQATTT GGGVANPFRGLHNHITRSYRLDQLQAAMKIHDLLLGRQEVKSGAFSFIRFACGPKFIN DHREIVGPDAGGGVGVSGADEKKGSSRNSSRTNGSEDCPLVIAERLLSFPPHMHHFHT VCGRENVPCDFFADIDLPNETPAGGEKALLEVLNYLDVRLEAIGFSQPSFLVLTNEVP SAGKVSYHLHARSMGTQSDSGGAAAAGSMGSSGGSGGAGVDEHSAGGDVKQGRGRSAE GGKSRASHGKIVAFQDYRVVKLLADEVNTTLGRTVIDEQCYRVNGMLRCAYSSKITVP QGMPLSSNTAGGYHRGKRLVPLLKAKDTALQKRLDDMAAHLCTLSDAQILERTFCIRT APVKERRVTENEDVDNAQKLLRARSDYLRSFKLIRAKNIVGAQNAKPVEYDAYGNVVS RYLTESAKWHRFKTAVEKLHRLPPRAAECYDIWVRVGLALHNFSNEDHVFEEWVRFSL KCPQKYSRETCRRKWQQFDRNPDALNWRRGFNYLNSTVWRSVPGC XP_001219096.1 MHFIILMCSRLLFTHFPLRVSLHVCVFFILLFCFASFYSRMHFS LVLLSVSSVLVSELLLLPPSLPFSFLPSLLPSLRFYFNSLFAFTPIFVYFPLPIFFSF FFFSLFYIVGPLPVATVTVGPSPPLSSSSHFFFLFILLCICTSKRASRIFFEHVPSLR VLSVCLYEFFFFLSGLEV XP_001219097.1 MRHFITALVIIIIIINAIVIAHLMPFYCFIMSLHSYHIISYHIS SLLFFLFSFLSSSTLLLLLLFVYMYCINITLGYSLSVQLHFFFNYFSSYIYIYYYYYS LSFRVAAT XP_001219098.1 MSDDAADSKTAKSITKSKSNGDDGSKAAASLDTVGSLGVHEQVE LLAQALLREFMHRRGYTKTLRAFDAECPRDERTISSRQLMRQLLEIPSNAFPSRLSGG EEADDGKGKKKKKAQPTFMEELCSYRVQKREVEQRRMMEKEGGEGPSIATNKEGNVGN IIDPSDAEMEGLRSAALDVERRIEEARERHEKLLEERRERKREKKRAEKKSKNKDKGR SKDGNGDKDSGRGGGKGKLHEDEVIDFDPFGSDDNGVGDGDDGFFTRRTNTKKKLPWP HDDDDEGSDSDLYGLAGGKNGSSYAGKGSSRVNNLLEEPEFLLNSIEKRKTNRAGTTQ VGSGWTPGGGGLGDVGLNTMPMRQSVEADIGRPPSFLAGDGMSLMTERMQVDKKPRDT WRPPAPLPSNSMGGGGSGGLRGSAGFRGELSGSPTNPSPLGSVAFGQRGLNGGGTRFH HGSAPSVDCLGPPLVAGGLKGEKKGASPPGSGGILMSSSESIGKGYDGSLRPTASALR QSGASKNKDGGEGNGNGTTGRKARRVTILVD XP_001219099.1 MGCSTSKGDPLRTNKGAGDGAGSLRNPPGGRWSQTDYPDVGNQR HLPQCHFCKRFIAEKEYNAHTVMCDEREMTCWNSWCRQMVKQGMLSKHLEECAKNQRA LCYKCGTEVLASDLQVHRDTCQPKKCSACGELCITRILSWCPHNFGRIGATQGPFATE ALSKKYLSGGGRPKGASSVHNNSPPHVNFNVARMQLLWRWIKTKSIIEETLFRVMSRE MDLKKEGFAIFKALDKVNESHVLAPKRSRSILQSPVVAPAVSSHYFPTRSSDPITLDV VSRLMKDLSEHILPPYPAVWRVFTDAMCYLNTMPNVVLLSPPLGARVVNGRVNQGSKV VVVGDLHGQLADLLHILKECGMPNDSTYYIFNGDFVDRGPNGVEVLLIIFSLMLACPK FVTLNRGNHECDYMNEEYGFDVEVSTKYDRNVFRLIQRCFCAMPLATIIGRKVFVVHG GVPRRKGVRIEDISRIQRFRQIPMPDYSQPEEDEIFQDLLWSDPVEDLQGWRESHRGA GVEFGPDLTHEFLQSNGLELIIRSHCDCERGYEEFHDGKLVTVFSASNYNGPDTNYGS VAVFIGDNPEPSFSVHKVLEDDLEAHHHVDLGETQTGGLGMTLTSLSMLHLVRPAIRR RTKDEVLRVLRERVYQRRHRLMAYFNKLDRTRKGSVWKIEWVEAMRNVLNTDLPWFFL RGYLAAEDDDGRIWYSLFLVKFHNKLQSLWLNEWISSARDRLIQQQRANHRSQYVANS FNREQVGYNEFCSVMRAIDYTMSDGHLFQLFVHFDERGVGFIDGRKFVHMLSEANTSH PGADPLRWDLEAMEQLQSVVIQGRGQLQYLFKVSTKDCVLTKESFMWGLEQLGRGMRR QLTQQQKERIYEYLLERAPNGSVLFGQFLFMTTVFDNCTMSTSSAIDLADINLVAYCL RNCSFSSFVRD XP_001219100.1 MEGGRTKGDKVEGVCWYKFRRGDLIGMNERMEVREGVCGESEEA VLKEDMLQPCAYTHKHVRIHACKYIYIYIYIYTCAHVCVCVCVSAYLFVAPCTRLCQ XP_001219101.1 MHILFPPFPFFVPSMNIFCLVGVVFPRSLLFYHYFLREKQSVCV CVCVAYKQWREKKRGSEVFKKYLGSRLM XP_001219102.1 MAEWIGRQKEMNLKICLNNLRRKKLTIKNEEEERRERRWRKRKV GSGPKACGAILQ XP_001219103.1 MCTFINVYILYTRLNFNVITNDESKIEGRDNNSRKPKQGNSSRV NKLSKKERAREGSKTKSGEVKR XP_001219104.1 MFARRSLIATVAAATATKPTSSAAQSNANGTAATQSTLLQQRRY DHDRWYGHALELDSHNYKFTGEPPSWMRVRERTEEETNFAKSVLPHVDFASSYECLLF DADRLNGTLNRKEFGNEVRFRLEKQSNTVARAQQLLKDGRAGGDERVENAMIARIFDE EHVQAEMRYVKCIRANELAEDNRLDILPGGSPNSLREKTRWNVNTELHPADRAEIASR LTAWLPEKYHIVYVDDFQTVAANDSCARDAMLRIVEGVSKEYETEARSSGYERDLREV VQELLDDVDPSRHITSEAIKAATDLTQLEEWSRVVHEYNGDERILDIYSRAAELTRNE EHKKLVEDMRQWKKLSNKI XP_001219106.1 MFLSWCAFFRFIAFFLFVILFSYLLFWPLIFFLPFRFFLLFFCS LAFNLLFTVRIVSLKKKKKNSLRGVKGLCACVSAFCVTFASLCICLLSCPLIICTAIF SSNTRTNFVSNGHRAANK XP_001219107.1 MPAGGDTAKSSAKSAPTLLLDRTIRFDTSLSELQEQTSRGERIL MRLSPVEDTKGNSGKEGVLYVTNLRFMWHSSHRVRNNLSVGYYGVYNMSVQMVETRLR GHAEALRITARYGSNRFEFFFTHPSEDRRSRLSAVRLVWRAYDTTRIYREVRLRSCAV RDGELLLLKGERLVTRVKGVSNVSSEQGHIGTFFTTNLRLLWCSDTSAEFNISIPFLQ IAAMRIQDTEFGLSLMVETTASVDSYVIGFRVDPVARLDQLFKECTSLLNAFKTHPNL GVAVTLQDVTAGNDDEVSAMLGAAEGASVGPQPRELDGENVVQEVATDAFAAYYVGIG QKGADRKPEYNASIGLAVEKLRNGVTLQDLWNVVT XP_001219108.1 METPFGEVIDKPYTVFIFLLHFIFCCIFAFVLVDGSLGRLVIVR CRAFIYITPVNKYMRIFTVSVVALLSSFSCPKNPVANIGEDKILFCFLRFFFYFTALL IVFSSF XP_001219109.1 MQIYVKHRGKFIFLALWSGFTCIAMFWLMIRRSGFGTSYSRCCY LVMWGEYKQLLEPGTFPSNGFLPPHLRLDSRSYLDLPETEIALPPLIDVSIAERDQVR NLESMLPSTQRSDLKVQFGPIRTQTIVMTSGANERYVRRRLGLSEKDRKRLQEEEQQK AVEVNK XP_001219110.1 MVSVCVENLLMCSSPVHGVYIYIYIYLLMHLYLHMLPPILLFFS FWLHGKLVHCCLKLSE XP_001219111.1 METSIGLTGEQVYGDLYHAWLKDTGKKNTDDSMKLFREVMERGF RDKQITLRKERLGANVAASLAALLHRTPLNRLDLHGNTLRDSGCETIAYLIRDMPNLT YLDLGANDIGPLGIQTLSYVLGGHKKLQTVILGSSKHDAYANRINASSAVILLEGCLR SRTLRHLDLSGSVIGQCQGRMRDFSLMAGTSSSGASFQGGALSKGAFQPTSPGSRKNA PAVSKSGYTAASFGGGGSTGAALSSGATGEDHNGLKSSGERHHRPVDVLAELISTSTT LTTLKLREVMLSTPEALRLIRAATESCSLAYIDLTGNSLTRSVGDAFGDLVRARTLMQ SPSVLHTILLNDNPLMRPNAGMPAPRLFSALSSDRVVVKLHLDSCGIDDAAIEPLCEA LLGSTSVLQSLHLMNNAITSRGASLLSSVLVRHTRLQDVSLEGNVIKDEGICSLARML EVNCTLLSLNIARTWMGERGIIALGVSLVKNRKLQRLKIDHNHFTDESCESFTALLES NRSLQCCSLNGNSVGYHTVLRAEKITARNLEEFRNMERVELEKDVIHLHYQIYKVDEA RVELENLRQRKAEVGRALDAFEIQHKQETGDVAKRLQDLQEMLDTCVEKENHCLSEKQ RLDDELHQAYKRAEVDMELLKERLVVEAVQREKAEEDLRALKAQLEDMMNNGAGREEA KRKQLQDVNEDQRRWSAQRKEYRNAISEAAAAVAELEERIKEDSKKEGPKKSSKKGKG SKKK XP_001219113.1 MECFRNLAVSAEAAAGLWELPDTFFFSFLFFFFLRYFLFLLVFS FVPLNICIL XP_001219114.1 MRKRNVGIKARPQGAVILSNQRLCLVDATKKGGKHLWAFITVSV LRAALFHIFFFLFSH XP_001219115.1 MEWSRLTLLNRICHFLLLFFSSPHCLLSAMRAVKRNRQPSLQQP DGKTSAVAAPGRSEPPSSCSSDVGSPRRKLELDDEGSQSLLSNPSQPVASGGAVRYDV SDRTTPLPAGAHSSHMIVLPRSLLNYPLESVGGIPVGNGGLGGEHTNSINEVSNDGGM ESLRSSGTLFPAASVGVSPGAGMQLCGPTFREVAVASVRKGCDFVRLPHPRHGEPALF LCPPATGEGENSDCSTHGAVLYEVQAQSPAEGFGQTWLVGELVEPNENLLIVTPFDCT FLALRQVSAHTMKDKFLPAEDLITGPVRSGACDSTWPGWGVALAQCPALAPAVQAMQS HTVLSRICDVKSVGDDSYYRFSTEKMGIWLKNKVKRAMASPGLRSLLELDKSGAENSD GGATEVPISVAFGVVAEYIPQDLCSTAAELCGTAET XP_001219116.1 MLKACLPRHLRVTPLLRVWAAEEDDANAPPTTFKNVKPGRLLRL WRQIRQRAWVAFTWDEEWTSPGSEGYLHQQRLEQVCFAPLSAYGMVPGSYCDPLLYNT KNTSPFRWHVANTSSDIVGHWYMEADEIFRIKDWQPKNPDDPTEMFPRPPQQILKWDE TVDEHGNRTFRYKYRYDFMGPTGMWEAYPRYPFSHLYLNGQDHHGRAEGYGFKQGHLL RCSEEEEEVLRRIMEEEDKEWEMVKRTEVVQEPWSYPGKIRPQDFNGAVERAKARFRE QIKQGKETDPSEDPDYDLVQAGEYVEPRDGPRAEWRHLWTSNRAKGEPLPYQVTFNDG ITFEDNEEKPPVHPASHYEVKPKEAPYKKYEEQDTKEADEKQKKLKEQWEKSFEESIS RHEKTVGDGKTSGGNSDSRSSGSAADSGAKEPPK XP_001219117.1 MCNASPSSPLILHKQMHAFAFFEHQPRHEHRRLPNIAQFAHLSC DDSTRTKKFTSVTAYTPLPAIDLFPSTLCSLTMVNEEHEFKTKKQKTTGIRAVQRRLC XP_001219118.1 MVGGYLRMPRDNTRSSHLTDVEEESSAGSATKRCKLEGGSCDGD SNMAITSDGVDVNVADGLTLIQSYILSPTSSRSFWHTGVAAVSSSRAGENDTPPNNRG NMLGPLSAVGANNNLLPMVPSEEENSDAPPDCARSACSGSLCEGDGNEGVASVSGGAV PSSVNLSSSSSLKECTSVCVVDHAVMCNIVPLLPKKHPEYGLVLQIVPLTLWPPPKAE VSGIGSEGGDLGKSLQTTVSFASQLHNDYLLRSDVTVLHPPTDAAQGVIVEWVSGDIG YTADSKGLWAEQRLFSQRYYTMSPVERLDIRHNGCSDNNYGKDDAEVRYTAPHLPPTT LRGAVLEQLRRFRVNPRYAPTSLTNGTRNKEWVLLFARVIEVGQDCIALTSRLMRAWT TAPSRETIAMCDVLLDEVIHIGIAPQQEPHRLSLVCPSVAHRILVSQHRWMNVDKRTE LSVAGSMQVVARELLEVILQAMRGTLRHRIALQYELIRLLRAKPLMFANNELVVQYSR WYTTIAPPNVILHVLAGSTIFSGVMSQLHIVSGRVMARSQVERVCGNGGDAALQHHCG GSLLDDDMEDNDVSEDPPASDNEPSRKRGRPRDEVKNRYAPANGANHDGSDDNHDDND NDSDSDDNDDNDDDSDSDDGEEGDECSGEEEEGTGDTESTNIISDNQNYQRHDVSAWL RAISDSADNIPLVDLVSVPYEMDLLDEGFVTRFCAILRGQDPTNNKGGGSSHGVGGSG SGSGAAGSSPSGHTGCDEVALSCVASWLKRTRCTMTTADLESYVRKLSR XP_001219120.1 MIRIKERRRKEKNKRGPISTFRLSFLQFPSIKQINAHKCIFAED YTFNTFTIHKFQIRYCFILQLKLQQREGGEGTNKSNQSTQIITTILVIVKVVNNGNNN DVWGYVRRNNLTTSW XP_001219121.1 MEKVATTASTAALRRGINKKEKEKGEVVDGGSARRKKGINAVEG GQSLGDSEARQRQLLLSRKDAVTPIPEVALRMFNIAMEDLCNKYLQDYYTAAGNLFLV HSRRLSPQTNGPRSSQQVQSQRHPEKVIQKISSVPVKTASSERPSQAPSRGCSTSFRS SRLSAGTAGAATITRSSQSIPLSIRRPTSLLSSSKVAQSRKDRSTCQGGTGTTEDINH TSASRNKASRSEFMDARTTAVSDERQRSRLFTMCSGTTSPSAGNSSRRWTAAGAEANQ THPSGASKTSANSPASPVPRIPISKVWKASVSSRTADRQLESPGRLTEPLRTRLYATD AEVSPEHRRQTSPSLLSRKHRESRASATPAKPEPPLNESSRHPRASSATTPRMSRVSA SSTPQFSRRSLVTTPRVPHRPSVRRAGESPHTSVSRLTTPRQLRPCTHCSGRGIEGGI IGLVSSTRSADLAKESVDCSTEYRLEWSCTNSCAQSYTQQTSSQRRSTALVGPNRSTL TGVFEWPVGRHTPLSRPYSQTAVPRGSITRDGGCPTTDVTPPSPLVRSLTLISESVNE TPAEYISRHFSSCVINSVLECVRVKMGGRKSDEADPRADELNGSDSSDDTDKIGNGDA TNDISLGLQKGDLLLECNGQPLSGAASLQEIIRKALLCDNNTVLLRVLRGADSIAVRE TLLKDSSAVRIVEPVM XP_001219122.1 MDSRVFVCVECITVICALRCIDTAPILSYSILLYHYYYFCMCVF FPLFIYILFIFILPLLSINIHTQGTNITKRENCLHINQKQIPKYRIRKKTRDRKGDH XP_001219123.1 MAHISVTDTHFRVLGIPRGCDETALRKAFRQKALDLHPDRNPNG ADEFKKVNEAYEALQQHFRRNGGRDVLPHAPPSNAGTGFQYARQAREPPCHFTEEELF GCSPGGFSHERRGYGAKCYARFGGRRWQASGNSGDNNVGGGIDLDGGSMPFGGFPVGS KEEHQRVDERWRQAHGRRVPVSGYSYARGGAASCHARSHPFSHNHNQQQQQQQQRPPQ SSSPPFNGASGSPMTEPKPNGGEKARGTGEGSSSAGGGAAGSNDKSYTHASSSPPSYD GSYTQQPNEKRMSSDELYREWERLMREFDSKTSATGGAFRDPCEWEWDNSDTSVVSDG EDSQDTDDDDNDDDDGEENYVVNKKKHPASSSSRRARTCSSRFSERNRLNETHMRSII EEKIQLKKILFTQRYTPDPADVALMSDNEVYVLCEVLRDVEQRMQKVLVGRLTKGLCS RCMKAPKMQGSKLFTCGHASVCSECACTCAVCPICAATSRR XP_001219124.1 MENDYPVNLRVDIAPEDGTVMLPGSITITRTTVSVFKLRESTDE EPLFIGVCSVPLIKFSRCPSTVFPRTDALLLTSVSGHLFINAALTAGVVPPEESGLGT PSSSASDIARSHASGTRRDSVDERRKKIDNPGLWTRLRLEFRDNDDCDRCVEALLARH AEELNSRGTSSTSSLSKSHSQNDVTGASRSRRDSSMRTHSGPRRSTHSESTRSTESSM RSTQEDLVRSSRGGESRNSRGDASRSGGTPLRSAPDDSRRSRGPESHSVRSDTTRTGG TPSRGGPDDVRHSRGPDSHSSRSDTTRTGATPLRSAPDDSRRSRGPESHVTRSETSRS AGTPLRSAHNETARNSRSEASRSGGTPLRSAPDDSRRSRGPESHSVRSDTTRTGGTPS RGGPDDVRHSRGPDSHSSHSELSRSGGTPPRSAPDAMFRHSRGPDSQTTRSEASRSVR SSSKHSPAGAGRSTGTTRSSGTALPAGAGVSEMMHTCRSGPTYMEGSCTSSNARRNGG IHHGSDRTPIRAGRGPADGDSESDAASSAVDIRRHGRSSVTSSASRGSGLDSQPLTPS GSEVGGQKRRSGSPADFHTPNSKRRDSQSEYSQDSQLTPRGLPNRSRGDGSYREADSV SELRTPRSSLREGSRDGYGTRAPGTARDAPNAAQSPYNIRRHSLNSTGTSTMQTSVID SIVGGGHDRGRAHSQATSARTTPYNSRSPPGHPSTGKKRTELQYFLRCILKFMHHRLH FMQYLHKEVVFEAYLHEEARLLGGRPRSRSMRRSSRATSASHNASRMSASPRRSLIPP GLEEAHRLREIEDRLREVENLHRTTELERAELERRQREFEEERRDFERRRQELAHQRL EVQDLLREVGDRWRDGDRMGGMGSGINRFDYLSDQRPRDSYGYGEGIGSRHSSHHSRQ RDSDTLSVPTGEAKLLLSSQKPPALEEALDEADYPTAFVDRYVYGDEWRMLLPKREME VRFNALVDTCIALKLPRRLVTILTVSVEQPGLRVTAEVRHNPETLSRDVLTRRFTTQP LRFLQRLYDLRHYYAMDDTVANAPQRSLPRGSRGTSRGTPLQGSRVLPGVIPRVNENS GIYDESSDDDLMGDNAMVDPMARMREFQRGAERRRLQRELESANMREKKQATQQKQRR FQQLEQLEQEESMGRSSIVLAELQTRRSMFASAVFRQRPSAITLRRQLEEKEQVERSL LEVGYVRQTQVLMQAHRRNLNFVRLLMHEAERRLRHVELEREARMELDIMMNPTRWVK SVSLPEVNERTRRQRLVAAEMKQRMHIYANIRSFVADAVQSEIDTLISDEMAARLVLG ADEMKSRIDLYSWSQAPGSIYTPRSAAIAAAGRQGIPPQALHALFAEESVERVHLGND ELRRRHLYQREYIITTEDAARNDIELEELDEHVTMLDGLVQKNRKMLERRRQEQQRSI KLLSEEQYYRKSIINDEQDEFEEFMEQFDAEINDMIMTEASQLGPAHDIDSPSRRRRV PSTPRQAKLFHYMSFVPEDMDHVPTANLAIEGMLGCSINKNLEVTCIARPLPKAEAEE LQFQAGDMILDVAGYSLHSLSHLREVLANRAMQIQHEAREEFDDVPEDELLTNPALQK YIEVLCEHHNFLVQVLRGCDIFQIIVKS XP_001219125.1 MKCFERYKKDKKRKEKEKKKENNNNNIIKRRKEKKKQIKQIKGE MRECSLYPCVGLQEVPAVMHM XP_001219126.1 MGAGEKKRKRGENKNKIKIKYKEVWRITIFGVYEHKGVNSHREM RVRVNVKINK XP_001219127.1 MSSTESSGGGSSNASPRSDGEGSTSITRVSSSSSSDSSKSSSKS STSSSGSSKSSSEDERKKNPGKKKGVSVQSSDTTSYNMQSSSFKVSGSTERKDSRSTT TKSQLGSESKAQTSLDSELATTEDKSGCREEWAELRNMVSDIRSRMKQCHLPPLDVTV IEKFILRVVEESEGGNSSPAVVSAPQRLRNCGRVRPLRYSLPGGPYVRNIPSRITVTK SLGRVVQFRARSRHADHYNSVYNQLQAAADVCVPGRLSATEGGDGGGSAVGAHGSKMP GGSSHAPLVFVGYDNGNGDKGGDIDMDQDIDVPMLFTPGVMEVICLDNILRRHEQSIL ITLAAINKRESVMERLRAFLVIAAKDLSIPSAGGYDVGTDGCSNSADGNPASATASSL VLQRCGAPVPLRRYMDVNDASWTSFRAKANKKKWGVQSLKQRWGSDARLRGGYPAVWQ RYYKLGVLCLLYQLQQASLEVVEGIRAWRGTLTAPFPFVTKGHNYLLAMAQSMAELAN DPVMHFLFPPPTPRKKRPGEPKPKPGISFKFSDPDPASFVLPMCLQYYPLLSNMPHLP VYKQPPNALVGINTPFDFGGADTKGNEEGLRGTIPMPSSYVGALVVHQGRIRPNSSSG GSGSSQTLLDLSYGRRLLKAEQVVHSELRVQLRLIEWELTLCAKGCYPLLLRDVGQTE VVYLRSTKRQEEWYFHLRDKLICLEEGSSAVVRG XP_001219128.1 MYICFCLCLCLCLCVYMCNHRPIFFFVHPCCSFHFSPPFALLRF RFLSFIFYFSVFAYYSFNFNFNFVVRPYFHWFSFLFFSVLCALFCHIICIYFLKNLHM VMRSQLCRRAGKEKRKEKKRREEKRR XP_001219130.1 MDTLFYFPVGCLIGAVCVVICYLFGMECPVFVGSTVKGAACFMV QKLTNCDVSLLRSSTLFIPSDSSSFSFVPNHGIGSAARRSLYGRNDNEIKNPLMTQRC QSPHSAGSTLSPERLTVMCQLAMCHEDEEDYVVRNCHLVMSESFMMLYEVLSSHSVGN HERAITNERFIGRIQMDRVVSRAIYVSSADKRSLRTGGLHGHMLMLTTHSGDDGLFFE AEDGECGIEEEDPSLGVTVRGSKWWDASSKTLHDVMLGRRRSSGLVGVTKRDESVEKL SNSASLRASVGGHGENSCSDHVGGGSPWDEDNGSEEPDPDAKGTSQMYIFLKFRYARE QERVHNLLVGMHEAVHWHEYLQTIPTPNAFNVFLSRVIFQSLRSTALANFIREKIQKS LDIMAVKKFPRGLEGRILLDDVALNSEVPVISNVTDPLILAKGEMMFDLDILYRGGAS LLFRSCLTYRGVRIPHVTLYVKLVRAEARLRVSVGPPPSKVFWVGCLAPPDVQLEVSQ GMESGHGLLHRLLTSLPNLSGIVTKLLKLYLLHEMMLPLMDDFPLPNVEDTPPVTPRD DGKKTWNVPFCRREAMRYIQEHMNIHWFQEAGDH XP_001219131.1 MLSLLLLLLCGVACGAVATVFSVWFAFIRFDNFLQRVLERNEEA AIRALEDTRTSVTVPASKVEGICEMACFDGDVVWKTIPVRAVLFGSTVSVYRLVTREM SDDLNNTVVCGDQLIGKINTDSVISSVVKISKYHRHVNIAERCSPVSGQCLLLRHKPG LPLFLVDPVVQLKQRLRRKQREQTSRGTVHHSPLQDDDEDFINAKDTHSDQRNNSQSN NLSSSALDSSSSVDGSGEDYSKWTAVLFKMCTRRELERWYNLLQGNSQSEEWRNFIKR LTRADALSLVVARLYFANTDTSSLQDLLTRKIRRKLRRVSRRLPNHMKGEIILDRLEL GEEIPLLDSVSDPVVSPNGEIEFDFYLLYRGGLHFSLRFSITYRGMRVPDIIFNVKVL QLSNRVRLNVGPPPSAKIWLSSPHTPHLQLEFTQEVATNDGFLHTLLKLLPDMSAIMT TIVKVKLFEDMLLPSMDDFPLPCLSYSPSSSEASDDGEEDVDAKVEYSFASPAEEESS PPPLRSFDAVSMGSLIERRPHR XP_001219132.1 MCCPTDALTEPRVFYRFFFAIFSSPIELFPDLDSVSLLYCVDIS PLF XP_001219133.1 MPITGPSHSLRFGPDDTGREGCSQGPLLGTSTGQSRLDGSSASL NAIARFRSLDRSFPVAQALRQRVRGPEPAAPTSAIQRAAPLSNENSGINRSGINNTRG SSVVSPYGIGPAALVYPTHSQTSAVESMSRSSSSSSSSSLPPRQLNELWQMVRDLRAE NAVLQQRVGILMEGGDEKFEETLQGIPLHGTEHEKNTTGVSSNNTTTTTSAARPSSEK AGAVSDTTLLSEGSGGKNGHMTPYLFAEREAMLKRIRRLEAALKLEAMERDALELRLR AQERVLARFVQQ XP_001219134.1 MQKTPRLTTRNLFDEVFATIPADVVLNTGPASLREKYALMESIQ AENEEQNTDGITFTTEEGGDNGSAADDPGPNGNLTEEGILDFSAVMRHAAKRTEEQQH GDQEQGGEVHRTIDLQLDAEDEAVQEAMKYASLFDDVEQPQRQQSVGVDADGNEGGDG IVNNTGDGGPGVGASSKGTAGGMFTSSHGTRHHTMQHQHQHQQTSGSAQNAPRRQLTA EEQIALMCPGDGRRHTGRCVLFRQNKNFGFIAPDIGNPDVYFTRESIEFTFTRRVLEA FFGMPVSANAQHREGGRDDGVDSRQSHRRQRRHGSETVSNTSGTNNCNNEGSGNEAVL DGFAIAERNIESSCTGEVIREEQPALPVAETNAGGEVSLEQPPDSDTVTSRGDKKGNG VKAMTTVGVSEIVQTEEVKEKPDDGSAGSGDNGGTVANAEANDMIQRAAALLTPEAAR LLALFLQVGQPVLLVGEPLTFTVRWNTSVNRTNRRLRADNIGGLPINHHALAIEQSWF SFVFNPGAERGRDGRGNRNQGVPTEGSPSASAGESVPEEKKGGAEEKVSVPGKEGGPE TGDAVQKQGGNGLMEGGDSGGVTVKENEGGKKEKRRERDKGGTGSERPVCSVLRRYRG VIYVYDADEHRGHIRPEVNGSSTVQFSSDAFLWASWMEAGRRRPAEGLVVNYSLGGTN KGGKHIATLITATDDTALSDTNLVWETKVANERALNIGRTASGNGSRVENGDGDRRNG GAGGATGAGGNRDEGAKGRKRGRDEELPLLEEDDYGIM XP_001219135.1 MTTTQGEVISTTFFSSKALLKSLQDVSRSLHISGNQFLPPNTVL LCAVGLGFLVDGVYTATVWFRNRRALNVERAVNHHNGPVKFVVTDELEDRDEEAKACL VQQYSDELCKAILGAPRTSPGSWARSPPADAVAEYETLPEPIRLLWEDRVRYTLDARE VVGLLRRRLEPHLAGSRYGPSAALMALLWRLQLLRTVHESIVIAGDVGRCNAELTELG KHTGALYREFISTLHAPVRVPFDSCWECLSAEDDRLRGQARALQLRNLWSLTRYVFAG GLDKLCTYGMVGLLTAFAARSASAICTIRVDVEQLLPNLFGTAASINTGGTTGDGAIV SWTAMHIVVARLLVSEWMLHMLRLGITRVTQDYTHASAAWRRDTVKHRLYDALTRTPL SYFDKTERYAVEEIVYYVNDLEGVDVFVHDFFTRLAQSGISLCVALRVLDMRSAVTVM GAVAGASLLNASLSFLKKTYCALSYCNGIGFEAVDSPSDAEEEENRKDTTDHLMFCGM DIIEHIPELRPYGADTKLMEWWNNYTAHYRRRSCGLRRVFQLTYNSRYLWAIDALKPV ARWLLPAIVAANAAGTCQIQVFLLEAIRASQDVLERVVDVQRVVDVVGYNAYKAGVLE RILDSKNWEDGDTAERICEHTNKGNISDTTALTCDMNGGTYKKNKEDGELRLKGNDVE VLAVRANGLQFRYPTAPTVDAFLKPASFQFELRNRTTGLGRLVCITGVSGCGKTTLLR LLLGLYAPENPNTLLLEFRLRRHHVSESNGGGTGNSGNKEQWAPVELIPRAQLRSTFF SYVPQAPTIFPGATIAQNVSLRNRVSITDTLVLERVRVCTEAAGCGHFIKRLPNGIMT PLCVNVGWATPDAVRLSCGQGQRLMLARALFHCSSVLLLDEPTAGLDSETKKAVMSQW RELLSSGLVGGIICVSHDADVLQMADETVTL XP_001219136.1 MKLTAVSTFVACCYFQFCHLLLSRFIFIFMHMIHFPSVVLCALF ACHNAIWCMHELCGLALEVMGSTLVGMGGGGKGVTKAFMFRRRSH XP_001219137.1 MCVCVCVPPFRFCVKPFELFGRVRQTFRSLIPSVFVIPFSFFFF GGGPVRVCVYAKSHWFSLGLIFFSFFPSVPFFSFWFIVLYLCVCVCLLQTYACVSLSL SLCGLCVSVFNMYFFFTPLFVHLRVNSVFYLSFFHFLTSFLSPSPCPFSCIHARGIKC THVHICTNNDNTKTNDVVGLVPTSSSPRTFSKNQRLKRLCGFSAREWEGKL XP_001219138.1 MYTLYPRFDAQPSDPALLGEAAGNELLKEVTDLTSKGHRMDVGE YIPFRRSLRFNTPQSRRPARRRRGLQDTAPEYESEGSSGFCSDVLATFASQLRCLFPC CGPRRVEDEKLWFGFAMTRGVRNIYLRVVRQHPIVSMIVWSVLFLCETTMVAIYLWQV SGVAEGATWNSFTEEELGLCFCISSALSILLLSQLIFAYSFTPFTIVVVLVTTIYQIV LLFMALVFGLTWASRMYVPMFLRCWPMRQYFLFLLDSVAMLSSSSDRLDVVRLAAPSL SLFLTMVFTTACIFQIQQIFSGTMIGTIDSLYFVMATVSTTGFGDVLPQGNIGRMLTI GIIFVFLAKMPSWIVVVVGMVKMLRDFPKYGGPPHHFIVYGHVSQEDAVSILDEVFKL YPTRSVCFCNASFPRDVLSLGGHPNYRMRSTFMVVKVLNKASLHRMRVSEADAVIISP TSDGTAKARDDDVFLSSVTFQRHAPFVQQYLQLRFGTHIKLLEERETMVDQNMRSIMA SALILPGIVPFLVNLVRTASSGGSSPASLWSEEGMDNWKDLYEYSRRATFRTFTVPPY FERRPLRDAVYLLKTFNVLVVGVEEGRNRMMILDLDYKLALSDTILVLHESGSDSVDL ALRALDPTEGSRGSMTGCDRSEQWEARMASPAADASAQSGSRGDVPDAEVEELGAVGI DSASLIYPFNPSFEVPTGSNEEVPCLGTECDNSPGKTPPVAMQGHKGKANVPLPNSVA LSKGTITSVLDMQVERLRRRLLGEDFVVKCFGDVSLSRLPLGGSLAVLTHLLNWRQAV CTRSEPVESSVERIERQINDILRHVSNEYQCGRSGKAPGENFLFIDHVSSFKQPLLES MYDHYLNDHASRFELVQMMRCIRGIHSRSRVTLLSWQSLSDSFLRQWDSSFEFPLRHI RGSSTMESHLNYAIKESGGAANLRGILIYCSQLSHWDFKDVPLVAVENNIRAILDCHA TSEKQQQGVGGGSSRGAEVEQQVMVELKSFKSCISVTPHHADTEWRQRGEVHFQYSLA FMMGRCFSANMLQTIFIHAHRNRCIMKFLNNVLCLHRQGSVFDTGGWNSGDKSDTTLF KVCGNQLLHFQTFGDLFVFLLKHRSWVAIGVFRRFPTSEGLPGVPRYFITNPPMKMPL RVDDVVYALSGVTGAHNRMGC XP_001219139.1 MLVLVLALRVYLFGHMRAPNAKLFFVAVDFFYFVAFPVCSVAYG GEELCTCSDSLTLALSIVLVRQEQVL XP_001219140.1 MIKNASPLHPYNIDAPMGTSLWRIGRSSQHRPSPECHTTVPDVV ATVATDSMDSHHNSTEEKPSCCPRLRTPVRGDDYDGLFSHAWWRCSNCVHDPGLLAYG LHRTAALSSFQAAQQQTTCAARLNGCSHHANLGGNDCGGSYNFVRGCCGKFGCGHLPC RATAHGSVAEGVNPMRYWPQTNEYHSYNYPHAAGRAHEASELAPSGKPTTRAWYQVPC DTSELHPLSQPYNISERPSTPQCDAATASGGVEAPDWLTSRARARYEQSRSRSPVCTP CRSSPVLGGDVEGARGEAFAIHRFSCQLESLQRRLDALEAECVVKSGVMHGRPPPHGV GEMGSVYTADECPSNRSTAVAPTPSTPATPPPLPPEPKMSPMDSASHEGTTMKSPPYR QVWPHSTPSSPTAGASDNGGGITLERLMRAVRSARERARQQDCQTAASSNA XP_001219141.1 MEHPHLQVHSPGPIRVSIDGHYALSGGADGVVALHPLRRFPTAQ PLWARQCHTGAVTCVRLHTALRLAISCGRDGTVVLHENVISDVNATSNNNSNTNASTA GTDANSGEHTVRVMCRVTGELRTVLFDSERRRVFIAGDSLRCLHMSPSGCVVQTIPMC VPSPVVSLALSPCGGVLAVASASCALGVVSVCSSSSNDSASSHFSRENSQESQERANR LKDLKIVLPNVLTPVAKREDEVAYRLTWCTTGNGALFLLVPRVTEARVLRFEEATTPP YTHRLRHVGNIDSLGLTDLLGVACHPLSVHVISVLLVSGAGTVVGKVDTRKWNIVRIR SQNYTGCADAEVDSTSGDVVVGTLDGRVTYMKREAPKAVGASTKGATEGEGKTNRVSE SDCGGAKKKLKTEPSVRDRSRDVTNGDKAGQRNNREVRDGGGRDGSDDGFIVDDDDSG SSGGVKSVSSGSSVMAVEEDFKQVVADLKRTNPTYDDEIDQKERPSRWRREDPRRVIR DVEDGDATGTPRRSVFLDDEAEESSDGNSDDDGREEEDEEGEDDYDGNHVASTVRGHG RKKFNDGGDNDCDSEAVDVGSTSSSTHLPNDAAATHSGAAMGGSPVMDYFFQIGATPP GEEGSCYLAYNSVGYIHCTAEATTIHFHDMSLQAVRVLERGTMLMASLSPVGAAFVIA QEATDMESVDDLVPRLTIYYRTFVSIGLQPDWRLRLHTGEVVRCIATGVRYTAVATSR YLRIFSLSGLQLAVISLFPRIVAMVGTNSKKVMQGYGADFDPLAVCYLEGGGELRLQV LDVGSRSVVVPATTVPVTTTHQLQWMGWSEDGPLHIADTAGVLQMFTQNWGGSWVPVY DPRCMNDQTYNLWIWGVCDESMLVYRSCKDDPPYPAAVASGLPTERVSLFLPLLHSGT ERDTVMWDHLIRREVRTDEIKRRSDFYTSNIAKHDMLHDKKIMEFFNKALGDQQTSRA VDLATFLELRDNIEVCAKEANAKGHAQLVQKLLALLEVRVKSRKKRRCSLPLEGSVVS ERERDMLLRKMLMKEKSEMNNNNGSGDSTKVHSSLGATSNECGHDNTKGDGTNSSNPT AGSPDRSLNQVEDKPETANSSCATASFPTRRRVTFADAPSSSPSPGRSLRASPGGAGA VSTKTPAVLGPSSASKATNPFSKRPTGGKAPTTASTAATAVQAPPQPKKPINPFNKSV KAATSSVDLSALQSRSLTQSPEKGAPPSTTMLVLGDSQSQDTFETEGSEGRTKDLEMG RDVVGSPQQRLSSNASAVTPLLSPSLTTSAAASGTPKRGDGAGMQSPEAAAHGPGCNK GNVMHPQKGISTETVHPATQKDSTNGLYTAGGLATTTTVTRTQNNSVTTTHFVPKVEP FLSPQNAVSSSLPVTNEVADPFLDVNDGGPVSLDSLLLDVGHDSSATFTPRSASFGAA LLKRYREEEEDNSNGSVGFVVETKLSAAAAGNDIDGSGSGGSHDNVGL XP_001219142.1 MTSAYRIRDAAPADAGIMVRMLMSLASETENVELDEGEVRRGVT TLFEQGSLGRLYVAEEVPKGDTNIKGPSDGNRSSPQIVGVMTVTYEWSDWRGKLFLWI QSVYIIPSKRKQGIFQKFYDHLIRIMAEDPKYCGLRLAVNSKNEKAIRAYKQVGMKRE KYQLMGRMKSKY XP_001219143.1 MKGAVPSTWASLASVWKKTVGEFELSTLLMAQAVAMLALYKVTN GFQMPFRSLFFFILVIYVILLALHLVGAVPLLFEVYDIIVRNYTSTQEGDSMIHLCRD LHELAETERARVMFVLTLVYVFLQSFCLPGSALINAAIGAVIGLPLGVPYCVLMGTAG ASSCYTISHIIAGRCNGHNNRLVQKLRKQVEERTPSDLFAYFLLLRLTPVVPNWLLNM ASPVAGVPLPTYAAGTLIGIIPQTYISVRFGEAALTTLSGEELMMTPWDMMWIGILCI VVFAGCMLKRRYGKVDCNT XP_001219144.1 MRGSGIEVKTLTHKSKGRVRVCVMEDWETEKGNEEAIEGSEMFR ELQKFKTVAAPQKCFVKSHICFSPLLFLLLYPSVCWKVFIPLFYFSLLLLLSLHWRI XP_001219145.1 MYSGDRAELQRLRAREAELEEERKALAAMRAELESRIGGRMNEI HEMEEKVKGMWELEDVNAKLREELDELREVHEQQCEKAEEKLRNAMWELTETQRKVQK FAMEVRNRSNSISICIDSKPSASGASGGDGKSSDGKASGSDPSGQQSGFSIEAELCEA WNCVDKLCVACLEARVALEAKQQRRVASAEGRAATKVNAVMELLPALMAELEDARRQT LSEGERMERQAICGSWGDMSARAVENCRLSHERLAAEEGRYRIAFECSEWQERCQICE AAAMSSFRYLAGAQDQLKATRIADDAQQKQHDMELNRLRAQMKEAEDMWNREVNARQR AHECALLEQQKWHDLAMREAQNRLMKEWEAEKESFQQRINALDEERSHHAFSVLSEAQ KREEELRKAAVEMREAFEKSIAAALETSSAMLERYEFETRAGLLKLEHAERSMLRDQE REDRESKCTSTQHLNIHRTTIEFSADILNAAADFRLDFPSMMLERTKIVVDNMKVLHD QRLREVRRRYDNEMRVAASVPRELAKKLEQELEETKCSLRQAAATSEHCEDENRRLTE QIRSLQTQFDERPVAQEIRVDVKNSLLTAMHRLIVAEEATECIFSCGLCMQPLKSPLT CVPCGHTFCEECLLKHPRNITSLQAASQMDSNKRAHLKAGSPLDDSMPGNKSRNPVPL IVRYCPDCAPMNCSSAVRVQVLDSLSGNLRYRKGDIGFLRSVMAEVEKS XP_001219147.1 MFLKEGNSEMRLSGATFLKGAVDDFNACESPVLSSGSSLVLTSP ALSTSDTCRSAMRETIPSVCAGAGLSSRCSTDCTVLKCVNPLHVKFQEPSGLSGADSV DSFDSLGDLSELHEEHQHVSSVASEKPLTLHDVPESVLYGAIPYLSLPDVIALSRTCK KFHKLVQGYFAVNEHGVMSIPAFDTRSFMQYRPERKPPVTKASSMEPATKASDVKPIR LFFGQQRRDPHPSALRRLLRFIAPDLVIAHMEAHTNPVNGRGKGCAWVFALSQLDAER LLRLSGRIFLDINSNGEEVYLFAPPNCREWLNEHAECAVASAVRPSHLPRQPMVVEAP RKSSAKVEKGLEQCVRPHPQHRGVTEQQAPVAAAQQMPDSVEPLVRVGSPQDEGLQQQ ERPSEAWTTSNHSQGDSTHGRRRSGEALQSGESTPERLQARRRPWLVAADASATQRAR ELRFCSNSSTSLHHEMWGFHPGARLGSGVGGDRFIACPETTTRTVPHNVYTSTIWTGP RRFRHDPYVYGPLCVLAAS XP_001219148.1 MFVFICRDFVSFFPFLSLFIPFFLFLLFHFECPYVSKCFLLIED CPWQFLSYHFIFLSLVLFVLYHFSFRFILYHFSFRFILYHFSFRFIYYFILLSFHLLF YFTFVSIFVSAAHTHTHTHPALPVA XP_001219149.1 MFLKEGNSEMRLSGAAFLKGAVDDINACESPVLSSGSSLVLTSP ALSTSDTCRSAMRETIPSVCAGAGLSSRCSTDCTVLKCVNPLHVKFQEPSGLSGADSV DSFDSLGDLSELHEEHQHVSSVASEKPLTLHDVPESVLYGAIPYLSLPDVIALSRTCK KFHKLVQGYFAVNEHGVMSIPAFDTRSFMQYRPERKPPVTKASSMEPATKASDVKPIR LFFGQQRRDPHPSALRRLLRFIAPDLVIAHMEAHTNPVNGRGKGCAWVFALSQLDAER LLRLSGRIFLDINSNGEEVYLFAPPNCREWLNEHAECAVASAVRPSHLPRQPMVVEAP RKSSAKVEKGLEQCVRPHPQHRGVTEQQAPVAAAQQMPDSVEPLVRVGSPQDEGLQQQ ERPSEAWTTSNHLQGDSTHGRRRSGEALQSGESTPERLQARRRPWLVAADASATQRAR ELRFCSNSSTSLHHEMWGFHPGARLGSGVGGDRFIACPETTTRTVPHNVYTSTIWTGP RRFRHDPYVYGPLCVLAAS XP_001219150.1 MFVFICRDFVSFSPFLSLFIPFFLFLLFHFECPYVSKRFLLIED CPWQFLSYHFIFLSLVLFVLYHFSFRFIYYFILLLFHLLFYFTFVSIFVSAAHTHTLL FQLHDIITH XP_001219151.1 MFLKEGNSEMRLSGATFLKGAVDDFNACESPVLSSGSSLVLTSP ALSTSDTCRSAMRETIPSVCAGAGLSSRCSTDCTVLKCVNPLHVKFQEPSGLSGADSV DSFDSLGDLSELHEEHQHVSSVASEKPLTLHDVPESVLYGAIPYLSLPDVIALSRTCK KFHKLVQGYFAVNEHGVMSIPAFDTRSFMQYRPERKPPVTKASSMEPATKASDVKPIR LFFGQQRRDPHPSALRRLLRFIAPDLVIAHMEAHTNPVNGRGKGCAWVFALSQLDAER LLRLSGRIFLDINSNGEEVYLFAPPNCREWLNEHAECAVASAVRPSHLPRQPMVVEAP RKSSAKVEKGLEQCVRPHPQHRGVTEQQAPVAAAQQMPDSVEPLVRVGSPQDEGLQQQ ERPSEAWTTSNHLQGDSTHGRRRSGEALQSGESTPERLQARRRPWLVAADASATQRAR ELRFCSNSSTSLHHEMWGFHPGARLGSGVGGDRFIACPETTTRTVPHNVYTSTIWTGP RRFRHDPYVYGPLCVLAAS XP_001219152.1 MFVFICRDFVSFFPFLSLFIPFFLFLLFHFECPYVSKCFLLIED CPWQFLSYHFIFLSLVLFVLYHFSFRFILYHFSFRFILYHFSFRFIYYFILLSFHLLF YFTFVSIFVSAAHTHTHTHPALPVA XP_001219153.1 MFLKEGNSEMRLSGAAFLKGAVDDINACESPVLSSGSSLVLTSP ALSTSDTCRSAMRETIPSVCAGAGLSSRCSTDCTVLKCVNPLHVKFQEPSGLSGADSV DSFDSLGDLSELHEEHQHVSSVASEKPLTLHDVPESVLYGAIPYLSLPDVIALSRTCK KFHKLVQGYFAVNEHGVMSIPAFDTRSFMQYRPERKPPVTKASSMEPATKASDVKPIR LFFGQQRRDPHPSALRRLLRFIAPDLVIAHMEAHTNPVNGRGKGCAWVFALSQLDAER LLRLSGRIFLDINSNGEEVYLFAPPNCREWLNEHAECAVASAVRPSHLPRQPMVVEAP RKSSAKVEKGLEQCVRPHPQHRGVTEQQAPVAAAQQMPDSVEPLVRVGSPQDEGLQQQ ERPSEAWTTSNHLQGDSTHGRRRSGEALQSGESTPERLQARRRPWLVAADASATQRAR ELRFCSNSSTSLHHEMWGFHPGARLGSGVGGDRFIACPETTTRTVPHNVYTSTIWTGP RRFRHDPYVYGPLCVLAAS XP_001219155.1 MFVFICRDFVSFFPFLSLFIPFFLFLLFHFECPYVSKCFLLIED CPWQFLSYHFIFLSLVLFVLYHFSFRFILYHFSFRFILYHFSFRFIYYFILLSFHLLF YFTFVSIFVSAAHTHTHTHTLLFQLHDIITH XP_001219156.1 MFLKEGNSEMRLSGATFLKGAVDDFNACESPVLSSGSSLVLTSP ALSTSDTCRSAMRETIPSVCAGAGLSSRCSTDCTVLKCVNPLHVKFQEPSGLSGADSV DSFDSLGDLSELHEEHQHVSSVASEKPLTLHDVPESVLYGAIPYLSLPDVIALSRTCK KFHKLVQGYFAVNEHGVMSIPAFDTRSFMQYRPERKPPVTKASSMEPATKASDVKPIR LFFGQQRRDPHPSALRRLLRFIAPDLVIAHMEAHTNPVNGRGKGCAWVFALSQLDAER LLRLSGRIFLDINSNGEEVYLFAPPNCREWLNEHAECAVASAVRPSHLPRQPMVVEAP RKSSAKVEKGLEQCVRPHPQHRGVTEQQAPVAAAQQMPDSVEPLVRVGSPQDEGLQQQ ERPSEAWTTSNHLQGDSTHGRRRSGEALQSGESTPERLQARRRPWLVAADASATQRAR ELRFCSNSSTSLHHEMWGFHPGARLGSGVGGDRFIACPETTTRTVPHNVYTSTIWTGP RRFRHDPYVYGPLCVLAAS XP_001219157.1 MLWTACPHWLDCSFFPPFFYITLCFFFSFSTPFLCRLHFARLH XP_001219158.1 MSLEEGSSEMRLSGAAFLKGAVDDFHAGKSSALSSGSSPALTVS VFSTPAFGCSTFGNSSPVCGGHEANYEAVALRDAPLSSRGIVAWDRMKCESSLFVKLQ ASSGLSGTDSEDIANPLVDLSDACGTDALSELREEHQHISSVASEKPLTLHDVPESVL YGAIPYLSLPDVIALSRTCKKFHKLVQGYFAVNEHGVMSIPAFDTRSFMQYRPERKPP VTKVTAAGPAPKIPGVKPIRLFFGQQRRDVYPSALRRLLRFIAPDLVITHMEAHVNEA TGRGKGCAWVIVPSVLEAKRLLRLSGRIFLDINSNGEEVYLFAPPNCREWLSEYADYV VSSTTRASHLPYLPMVVGVPKKECIYVRELLAPYIYDPNRGDCPPYADAVSEFKGLLE DHALLPVSSAVSGIIPPFASVPPMVQTCMFSSSFDRREYFEAPNVYTSTVWTGFSRYR HDPYVFDPLREPTNMLPLHEVDYAEM XP_001219159.1 MKVYLNIYLYLCIREKQFSKCSESKDEKRKSSKDGRHKIIAIIR HHHTYTNVRMLLLLLYFFFFTSIPRLFFLFFFFINWYKTASLYNFHHYIISRLPNSPT NKKKRREREQQNTSPNTIPSKRAPLSFQSNNINNKKKTHTHTKYK XP_001219160.1 MKFQQLSSPSHTSQLLPKTSYFSLIFFPLSSVHFLLFFFLSFAL GEQMNSSEENRRPCTSNYSFIYLFFLFTQLFPCFSCDGNKKKKKEEFPTKIINNKNIN NNNNGTCSLSSTLAKRIRLLHRTHINK XP_001219161.1 MSTEELVQRVVQMQMTSPHFAEYCVAHVTDSKHKFLFDATDSEE RRLYCALLQRMRGGFISDESRECAGATAAGTERQRQRHRHRMLTLWQSLSHYFTSAGA AGATTFCSRVAASAAVVYGPHMPHMFRNIKDLAAFVEMVDAAPRGEEHQRAIVQFMRG RLPMTEVFLHVMLQLCDGYVYEAWCMQAQKPVEEMCMRGGKNQKEEEGGSAYGMASRR KDAASWSTNYTAPSDIMVDEAALQKGGTVIFLANMLMAEHETFGRPLRYGAVFEHYIL RMVQLLARYAMDGSEALAFVRETVEVWTEGNVFAPATLQDMRDAVGIKANANNHR XP_001219162.1 MTVDANAASSTTTTTDYYFDSYSHYGIHMEMLKDCHRTTSYRDA MWRNAYLFKDKVVLDVGCGTGILSMFAAKAGARKVIGVDCSTVAVQAREIVRDNGFED VITIIQGKVEEIQLDEKVDIIISEWMGYFLLYESMLNTVLYARDNLGTPDVKMFPDKA NMHVCGITDEQYIQERFNIWDNVQGIDFSYFKRLSFIEPLVDTVERSQIVTNVAPLVS FDINTVKEADLSFTSEFALEAQASRGKRNGGNSIIYVHALSVHFDTPFTAGHEVVILD TTPYSPPTHWRQTVLYLFNPLRMRAGERATFRMKCSPNALNGRDLDISLHVDFEGALQ ISHYDQDFRLR XP_001219163.1 MHTDDKQAQRYPRTSDAARVHSTLRVGQNTSDKVPYGRLSSQSG PGTPRGDLFPPSNGLSKVEGEETPAAGAWQYPSEPMATPNTRSVQLNGALPVKNEEGE TKCSGDAIREEGTKDGGDGVIIGIDAAVEAGVLVANEESGKEDENRESNVNSTPCASG TNETIATATPTLSNATAASVVLTPAVPASTGLRASRRLLDFTGSTNVSNGPRAASRLF DVKPVGGGAQAASQGVGFLRLSKTVPSAASQAVSARMRTSRKNGPTLSATSRGHSSTA HENLPCRNDYTSLRLKEQRRRELYAWNEELRRQDKSDMSADAV XP_001219164.1 MSGLPGSGTQLHNYFDLIVNSDPRVAFDILHPAVMNAIEKMERS QRRSHHADANDDDEEEGEGEGEGEDGDEYGEGGGDCLMGAAAVSAKTNEEATAIVVNN DVRACFVIPTHQSVLDTRHVHQPDLAGMEAFNSQKNHHLAHQVLGPALMHEVQKFGLQ KRRRNEVEGVEEEDQSTE XP_001219165.1 MEELRKRGNELFPTDPRAAVVMYREAIDLYDTLKDAAGVDSVAV KEEYTRCAGNALTCLFKLGQHEECAALAVKVQRVNPLIAKAYAFIGRCMLLGNFPSLP KRQYGIGPLQYLCRAVYLLPSMAESMRPFIGEALDKLLAEILPPKREGNDDGEQTIEE EPSIEVKEGDCGKSVVAVTRLPAHLAVSSTLHPFSVCSFDESCGVGVCYNCGIVYPLS ESDEPKGTTQKDKYACGHCKLVGYCSAECAAKHRIQHDKYECRLLQRLKNMRDSLQAS HEEREREGQVVSITDSVDEFFTTAVHCITTLSGVRVHRPGYNAVEHQLEGHPVEVAQS LGNLVRIVHELLDGEKEHGEVARLIGVIRCNAIEICDDSGLGVGQALHAASITSYFNH SCAPNCAIQSDAIVTTRVVEAGEELTIAYIPQLYWPTELRRGELAEKYFFHCRCVRCC CCCGGGSDDPYEAALTAMLRSGSNKGGIDGKKDSGDSVCDTHSKLIGDVQLLCGRVRS KDACDISRSDKDALQRLLQKCCAELYPFHYLCHELRNALTFVYTVLGDTRCCLQSCLD EFLLWESIVPGAHPVKRLKLLNALQCCEDLKDTGDNGGCNANVSAGCGGLTLLPHLQK LAQVYYL XP_001219166.1 MEGQNSVSLPDLVSEGQCNAPVVIHSLPVKTNFRGTTDVTVNFT QHTVRGEGGELRNALRGRTLMGREVILPSSYVIACASFTNKPPIAEAGGRKSGGAAAC FDTTQPSSAQVEAAAGDMRITAVAESFCIWEHDKKPERSEMVTQWLRLSNDLHCYE XP_001219167.1 MTANYHTAELHQALGAGVSYAELCFALQQRMSVAEYQEQAVLFG AMRYWSYRRLPNFVREDVSEEKYYVSMVQHLKARLQLFPYPHVEAVVRYTHETPLVYY TVMIAELLKKERSYDTLPNFTAVDVLNCIGIGRNEYLELTREVRSKVRWHVNRGFVKD HLPARLLSTMELTPFCRVLPVDGSAEPRKKSELTKEELLMHNHLLQCYKDQDSKDSSG ETIVKNPENIRSKNGGSGGPEVKKVEGDFGRMGSQCGAVSAASASSPRTPLGAPPSAH SGASVAADGTPIVPSPKSADDAASIAHRCCYACELSRVELLGLYHKGLVCTILDVSIE DQIVVPPLEHFVMNRTSDDPIEVLLYKVLGTIDDRTSLRQLAKLLVLEEEDLCLAVQM CIRLRLAQPRTNRIPKDFEPFVERVHTSWSELVQPFLTEESSSPVAETESTDGFGVIV GDDINSGALADSLVESSWQPSIDTEGLDIAVHFDGDCIKNSSNEVNVQSIISNACGTE GQPAKRLVLLYDTSLLGFLMMTNLSTDPSFRQHVVTLFEMGKLSDETMGGFIEKLEQV QGARAECGLFGEAAQYVQNVLSLREVVKKLRQVVGPEGEQGLDMLKVESVNEQDPTTR YSLLARNYWAYFVASPVAQAPLIDVSIKGVYGSSVSLMPSPWMMLFLYEKVHMGPPSV LLPVGLPLFAWPPALQDSRKRCVAKLRLQPFMVNAEVTYAEVATSLILANEMTATAPL FVQRVVDVPLEIPAPSAMAAAAAEAAYEDGGLEGDVTCAMNGVVVESPFHMLLTVLVP FTASDDEAVELLCKAVQHKKPSVAALRFISNQTRNTTTFTPQVNDTNNTIISEEGVAS DGGCDTPTADVEESDKVVTPRASASVMEETHPMMYVECFRSAVEALQLQDSLGHFNFH VSLYEVGNTSTDSGNAYLFRVDAAHIVDMGLGIALADQPCCELMVKHLEGLLSESRME AHSKAMLQLSREMGNFLERHSTLTTTEREHMALQSAAIAGPLTQQHVRRLGEKGNSGA PFPNCVLLFDGERLSAIDDWDPLVELWQV XP_001219168.1 MKRYFFCNLSSAFMNEVMKPWKAENTKTSAAFSCLHAPEVLLFY IVYTPLPNCLFVVVNFYDCHSSCLFLLVI XP_001219169.1 MSSYEAFRLRELKQKIPYVIESITTAGNLVFIGTNDGKLIVYEL SPSKGDLRCLHIHTARRKHPILATIPIVEKQILVAVADDAILVFRLEEPITSAATDHP REDQLQELSAITGMKDITAVHVKRQKGIFSMAVLQRKKVSIYEYREQGQRQEFIPVRD GLQVPDGGKSLTWASRNIMVALRREYLLVDVASGMVECLYPTSNSKGVNPLLLPLDPV PEVLVDNGRGNGARALHDGTILAGNEANTLVWSSPPNGATYVHPFLLTVHSSSGLEVR LPFASTTRGCSETTPLGQSIGCKGIERISHRSYADFDVTLPTKSTPADAFRRDVTIAT SSSGGSTNTVYLVEMVPPREQVMGLISAKQFEFGLLLYELCVNEVEEALAKSMQTHYA LWCVYKRGDVKTAILRFRDARVDPRLVISLFPGFLTQRARDAWQVPADLSELLSSFRA FEGVVCSNESVDLLLDYVTSLRPEYIAAGSSASVGMDTSLYPDVDVVLEAVDTAILRA YVFLGQEKELLNFLCTENACAPRDSEQCLLKGEQWVGLVALWYRQGLHSRSLELLKEL AVTGEPTCSAIGSSVNKEGEGIDTVPNTIQDDNVIRDSFNDEFLSVLRRLLPKVMIPE HETSGIFISQSDIYSHMEAPMDQEEDLQQSARLLRRCVGVVTTILYCRKLAWDIPETL QLVARHALWVLANIPPLWSVMLFPVDKMTAEQQAAVLRLVRTDMMGVGTTNMHERVVE WLSLILGNQYDTCRDAAMHDAYWKSLVEVVFVEGEQAKSVGVGAEIEVEETEIRQRRR KVLCDFLSSSSFMNLSVVRAYLEQPTVRSQAYPERAIIYQRLKLHGEAVRMCLYEMNQ LEEAKYYAIRASRDEEDVFLVLLKELLRPSTGAEPRLEEAMSIASTCGSIEPLAVLEL LPDNTPIAVVEDFLRRSLSVAAMRNRSAAIYASVLEARVRQAEKVLELEKSRRVVIDI ESSCAVCGKKLRPGTVFARFPNGILVHHVCIDDESVCPVTHKDFRKGVEVLLREVL XP_001219170.1 MFYDHVVSVLVIQFLSFSSLFLSYFRDALRKYPHSEITMRIVHN NNNNNI XP_001219171.1 MAAFFGSLVKSFILPKPSPTYSADKHPGKLVHIPRVDWDTRKEN GTFTYGLLLLDTAAKFIIIYAHTNAVDVAMVFETMSYVSKRTSTSVLLVEYTGYGIAY GETTERSMNEDVLSAYYYAVRHMRVPADRVVLMGCSIGTGPSAQVCALLQGEEEVPAL LVLQSPFTSLKECANDMTPNVGSIVGYLGYDWFRTIDVVAQVRCPIIIHHGQCDDVVP FEHAQQLKRTIEEATPPGVVELHAEPNRGHNDLPTESANRFIDKKLRSFGQPRCLQPR CRPYHLVNPSIYEYLFCVKEQPIINMEELLKHWNETLSIGSFAYKREKLYVLLTASVS LFAMRCARAWQHYAGSRKRHYGNSYSASCNGSVGVVGGSGGNGDGSGSGAEELYCAKE DIIKRCLACWGSPLGAYLSVRGPPIRHKIFGVHLDVCVENVVASDGISNESGFRSCGG NPYFLKRDTGEAYLSVAELEFTHGLTRSVAAAMSTAPTLGGEEDEMDVFLQKNVVTRI QTQCERVVAFLDSNEWENMLEVLVRFGQRAPSFLSSKALQYYSECSSQARFGDSCGTG AACCGNDSGPAPRSEVMETIEDVDEWLRPWVVSPDTRMQLGTEVPWDYYLLKARLCVI EYTPLGPDTSWEEARRITDAWRVVKIIHDLFCSYSRRVLHPSFSAS XP_001219172.1 MSALFKCTLLVVYRSFKVNIFPYLFLFHFSLVCPLIRCKSCFRR CSRCYYYYYLLQLPVIAIFVLPWSLDFGVHALISSRAVFSLTQFVCLSAISSICLFIS ASATAFAISILSFDLCRRELL XP_001219173.1 MRSREVYSGSQPIEEYHSPSSPSISSSGDPCREPISPLGDRTLI MALDGMLHASRKDGGFEGVLRYDDLRQLLARLGLTWETDRIDDCWYDIVRGHAAPVAD DMSWVRHCVCEHLYGECAGISTTELLSEQQTLSPRDEFCNLAELNAISAWRTPAKEKR DRTQAVAPSKFTLRAKTVVKGGAGLEGTSPKREAQPQRQRSPPRSATSPQLLFDEAVC GRGISPRQGICFTSPTMSSMRRGRATAVMSRHRSGLSTDSFPRRRSPSPSSHGDVFHR LTEDAHSRRNRQLAVAAAAVEAQSAAQTGGTVSTSPARSRRPSPGGRGMWERPTKSYQ AKFSGEPPPFDPLESQPATPPVTRHKPPGPSSFVPTGYVEAVARLRKYASSRPHYQDF MSSLRSEPVTSHVVDDTPILRLPVRGGARQFSGKVVDVRLATPTRSRSNDVLRDVPYD DPSRVIYQNMLRGRRCA XP_001219174.1 MYIYMCVCVQPLLSFILTVECPPYNGLCKCLFTVSFFFLIFGRR EEGEGGGTRLFLKDFFKNFYFHRWGGEFIKVLGATLTPICISFQQYVRACCSLFPAII V XP_001219175.1 MSEPVDQLLKTYLQSCDYSIDSSSRKKALEMSCLCCHVYGGEGH LPDGWLVCTREVEGLKKRDESCGFRSELYTNGSKYVLAFAGVHDNRSAFESALQLVGK SDAYKLAAANAALVVSAFGLSNVSFTGHSLGGGLATAAAVFTGAPAITFNPAWLSSST RSELLKFPSVEVINYVIFAEALDVFQRHPQLLNSVPAGAFFAGLLSNSKIQQFGTFKY IYCKVIHDRPHYIDAHLIETIIEELRKENGEKISASDLAASSLHEDVMGGMAQLVQQK MSVIMEVVASVMSKQFSAGGFGSS XP_001219176.1 MENSLQKKWHRRISGGMRGKWEPTFFGKRRKKTKMLESYGRRIQ RNVPQPHKQILQTGMKCTYINTYLHIYIFMYTNVYAYNFIPRALLPSHTSLSRIAENK KQKKMPRGCEKAD XP_001219177.1 MLICEMSHGGKEKKRKHKQRWSLKESAVFFFFCGCSSKEINWWR RRRLTICFATVAALRVVAASQLHSSRTR XP_001219178.1 MKVTIAELVVLLFSVICIDGKEDNGCTLITDYHGDAPLSESVCY LSCLSNALNKLYSEGERRLFVNEEVYANAFRILDDMEGRAGESVRYLSIISVAMVKEN NRLEKLISHGNAMGDLVAKAGGLFAEVNESVRAVRKEIPGALIKVNKYYTAIAEITRT VWDDVKAVESGKHECKDQEFRGVKEFDVTCGDNACLLAHGVSEGALKHYKNGLLEINV MTKSGGVSKCLNLPRNNLYKSGAVNNSNKVLDWRDDADTVTHFLLKLKIRDIFSTLIA PFAAGQPPSALLDMMANITSLYSRFNEVHRSFTSLLFDTNSIDNVKSTNSTI XP_001219179.1 MGSEEGMEKHSMNVQVLIMVVEVFIWRVDELIEKLKKSVAQLSW ESVITWHGE XP_001219180.1 MRCETVFTVGLFAIVLSPSFQGDLGSFKWSTELIDWSKITEYID ESYKHHNAGEFETLCKIYRITQAEAPQPSFENREKEGEIMNKLEEMVKQTAGAGVNNS SSKSGNSTTAYQEIRKLFEKAKALKEEIEVNRTKALNASRSAEDNMLRAVYGDAVDVA RNENKTLEQAMRGNKSLLFNNVDNAGTSCGSYGEKFVGKTLINDFFCLCVGEAIGGTG SVIEKKVERGDVFNTHIYNGFNCPCKDEIRRPSNGSWTMMAEFCASGEELCEPQNVIY NHTEAWNVISKACVYKNIASNVKTLKSALAHFDSLVNLEQDKYQVKGIFGYVKTENNT NHTCTGHTAGFTCVSYSYTLENGGIPWYNHLTNATEQLQEMDEYAKEADSHLHELEEY QHEAEEIFLEVKLGGDAELWKSNRGKGDGEGDNTVVDNDGLTHLNIATGGFTLLVLSL ICTL XP_001219181.1 MKVVSLFLAACLAVLGAVSKAVTGQPSGKAMTLKGAETLCNLSH ALRAVSAKTQDKQKNATEMVDKFREWRHRHGVKGRTWRAIVQGLEKIGVVNESYMEGI KKTYAEMEKIMNNTDKALQVMDTSFLNIVRVSYHVVNASLSIGQVLRDLVVLFEQTKG SGNNWCCLVKEKTASSGNGCGSGSGSGSDGKHELAEAPEECNMSVTKDMSDYGILATL DKYTNNQDVELTVNASPNCWIMGTEEVANGGAGSFIVGTTGGFVTYSQGSAVILKSGD MVLKLISNYTSIRDGYESITKQYRDVEPTLTSFSEHENKLKKLLTQSPMVRRYFKENG RKRKGSVDDDDVIDEEGFTMRKQHTLMGLMAFAAVLVM XP_001219182.1 MKVTIAELVVLLFSVICIDGKEDNGCTLITDYHGDAPLSESVCY LSCLSDALNKLYSEGERRLFVNEEVYANAFRILDDMEGRAGESVRYLSIISVAMVEEN NRLEKLISHGNAMGDLVAKAGGLFAEVNESVRAVRKEIPGALIKVNKYYTAIAEITRT VWDDVKAVESGKHECKDQEFRGVKEFDVTCGDNACLLAHGVSEGALKHYKNGLLEINV MSGSVSKCLNLPRNNLYLNGAINSSHGLLKWRQHSAEMFQLELRVKNIFNPLVASFAA GQSSSVLAEMMANITSLYSRFNEVHRSFTSLLVNPNLTDTGDGIDSIF XP_001219183.1 MAPRGGDALRQMDETTCNFGGPFCESPDALSVCFAASSHGRSNE SGGPTSFVFLYLLAICREFEGANMRDPKACALSSLHTCTHTSSHGFMGHPVMQPIPCC GILQVLFRPVSTLMMIRLFAKKLFLIPDDLFTFRLRGPLLIMSSIDPGNGSLRHPAGS ENGVSQYLVCFHSGGLTVQEPSHSSRWVGIYFAAT XP_001219184.1 MLFKKWLDNTPTNQTAWAEERGSVILPLEADDVKEAVLLSVVRM KAETRTPKTDRLVQHFWSKELTNIGSGMRKLGDARKEGAKIRNVKSAIQRNILRGGGE KHLKQWLWNQKAGSR XP_001219185.1 MHVAVCIATASDKNSVKTSGVSFSFLRQVTHLHAHGATLPILSP ILILFLMFILVYSKCFWYKFV XP_001219186.1 MTFIHFRLRCSSMSLLQHPSPYRDDETRVDIITHAFSDMFFFLI AMPHTILIILKFLGQLFVILPCLYLLFLFFGGYGWGIIP XP_001219187.1 MATVSPLPPTASAVSAVVYEGRPFALFLGCATFFFFSVSPSLRG RFQLHLQPVPTGGAFGSIILFSQRLFVFSR XP_001219188.1 MRIHAPPYPFFNFLFLCFHTEPRRIPRRTVSYTQVVPASIFHGM ADRALTCVRPAAYFLPGDRASSFLSSGAILLFEERVCTPHKASESTVCPVAGHSKVFA PSCYVEPFSIIEVALEERRNRVGRMSWCFRLFAIKLRGGNPTQFPPPASSVEHVQV XP_001219190.1 MESDFFFGNYRSRWMHVKSARQCGNTSAGVGEKMGDGIVGCNDE TRG XP_001219191.1 MTTEEGKVETPVAKGAFSPTRHVMFADEVTEVQPQHNDMNAGNG LDAVEGNHTNHEEVPHHLRQNYGTGGDSNDNGEAGEGDFGRGKRGGRNRNRRRHNAKP ILKALVTEANSEADAEEDLRVRTTLVVAEENAQRLRVEHQELEDHADIGSLFKASDKT LWGRYSLKGKRVMNTSSEEGTPMRYSTMCDASTTSDNLSWRNQEEMQDASTQAEFEEI VEDTPRAISGSGPLARLLGGVGGHRDEWYRRIWKLFVLLVVLFYVRRFVPRLR XP_001219192.1 MCTSTLTGRVWVQLCCMHTSVGVPSYPIERKRQPVGREVEQECS FRLSRSGSSGSQHPAQRRGVN XP_001219193.1 MDEPNRRWQCLQPLRKGLKGTTKLCCIGGVLLPVSSFDRKFPRL LKGSVLRN XP_001219194.1 MGGVVGKIPVLLRGGKTVDGLNFHGMVPIDTEELTELLTVLNCR GYDTKVEVHPLPAGFPHTGEVFSTYELVLRGIVLERGDLEFIGNYASLNKLHFIECSG SCDLGMLSGHSFLSELRVDVDGEVSHYKALRELPSLRTLWLRNSNMTLTDFFHVGEVD TLESLTLRGALNFKCLEAVARLPRLRALDLSETLVNDKCLHAISACKTLQQLGLSSCK RLRDVSPLTQIASLEELNLSHCENLKEVGALYRLSHLFRLDLRGVHLTYRVVYSLSKC TGLTELYVSSCEGLSGVAWLSNLESLGDLDVQWRKNLKHTGDVLACLPLLRVLDLSGT SISNESLWNISESKLLRRLDLSFCGGVKDISPISDIVTLEELNLKGCTSITEGVDKLG NLVNLHILNMSNTPLQSGFLYNISSIESLVELDLSSCWGVASLDSSVQTAVRMRKGSY PLARHIEGINALGRLPKLRLLNMSSTPVTDECLHGLQMCKSLVWLNLSLCANLTDVSP LSSVKTLEEVDLGCCGNLKWGAGSLRRLPQLRILDLKNTVITDHCLGDVTYGGGVVSR MLGRCGMADLSFSRWSIRRGGNLVRLDLSSCWGLTDIAHLTSITTLEELRFTGCRNLK DGVDALGQLPVLHLLDLSGTSITDDSLQGLSTCRSLVTLNISSCANLTDVSPLSRISS LEELNLQKSKHIRRGIDELVSLPRLYLVYLCRADFSRGVAEELEKRGIVVKQM XP_001219195.1 MLMEQSAGVKLLFFWRFHFGAVLPGGAVTIPHDVLVFLLSPSFS FSSLGVCQIKSRQYPRCLLNFQPYNCGLYAADG XP_001219196.1 MRVLRHLFGDEKRPCNKSRVITVAVKRYLQAFTSSKDSMNLIKI MEHKMSKQELIQLSQRMEEMQQRIL XP_001219197.1 MWKKSVELIVGVTLVMTYVSSAEQVILNTKEFQTLCGFVRLTEQ INELLERMKGKPGVDVLPLQKKVKDILFGTRIGDVGKMNWGYYRELDCGQDSGNHQTK GGEALMKDLVCLCEGTDQQSPPGGLCYEGNTKKNSRGGWNNAGAHRNTWTSLKSECTR EHGEGLLAESEFQEMKKQLKERLKERKDIRTSAREGTFYTYGGNTSNGLQTCSGAKSD NDGICVMYPKESDGDNTSGIEWLNELEGLVKEVEKMNKDESAGGNTKPSTDGQPSMEK KPKSNTKPSTGENSPAKGLEGSQKDGNPNAQTTTSTEKDATTARPPEEQKSSSKIILQ FLWIFLFWLFV XP_001219199.1 MLGLTTILFSTLIVIASSGESKASVGTSVAEQRCDGYWDSSSGG GRCRPWSTGAVDPKTVSTRQTPVSPVLKSQKPDVTGDDAQVHKMQEGAASSPIVESGA SQSAPGTEETSNKVTVQLSGVAIGGRSDKIEQPSLSSRISEPAANAATDYSEQKVGQE GQRLGTAEGLEGAKYNGRDGGKEGKKVSEEQTPGPDSRHALQMSMRGAASPRGHSHMA GVTTDDLDAQDPAQNSAHERRSAAQKRCAVVVAAAFSFICL XP_001219200.1 MNRITMRYEIVFTVGLFATVLSPSFQGDMVGYKWATESINWSAI TRLVGVNDKYHNAGEFETLCRIYRITQAEAPQPSFENREKEGEIMNKLEEMVKQTAGA GVNNSSSKSGSSTTAYQEIRKLLEKAKKLKEEIEANRTKALYASRSAEDNMLRAVYGD AVDVARNENKTLEQAMRGNKSLLFNNVENAGTSCGSYGDKLVGKTLINDFFCLCVGEA KKVQIKKSVAKDEYDPTYDGVYNGFNCPCKDEMRRPRSGSWTMMAEFCVSGEDNCNPE NIKYNYTEAWDVISKACVYKNIASNVKTLKSALAHFDSLVNLEQDKYQVRGILGYANA EENTDHICTGHTAGFTCVSYNHTLENGGIPWYNRLTNATEQLQEMDKYAKEADSHLHE LEEYQHEAEEIFLEVKLGGDAELWKSSQGKGDGEGDNTVVDNDGLTHLNIATGGFTLL VLSLICTL XP_001219201.1 MKVVSLFLAACLVILGAASKAVTEQPSGKAMTLKGAETLCNLSH ALRVVGAKTQDKQKNATEMVDKFREWRHQHGVKGRTWKAIVQGLEKIGVVNESYMEGI KKTYAKMEKIMNNTDKALQVMDTSFLNIVRVSYHVVNASLSIGQVLRDLVVLFEQTKG SENSWCCLVKTASSGNGCGSDGKRELASAPEECNMSVTKDMSDDGVLTTLNNYKTDQP VELTDNNSAKCWIMGTEEVANGGAGSFIVGTTGGFVTYSQGSAVIMKSSDMVLKLISN YTSIRDGYESITQQYRDVEPTLTSFAELENKLKKLLTQSPMVRRYFKENGRKRKGTVG DDDVIDEEGFTMRKQHTLMGLMAFAAVLVM XP_001219202.1 MKVTIAELVVLLFSVICIDGKEENGCTLITDYHGDAPLSESVCY LSCLSDALNKLYADGENKLFVNEEVYANAFRILDDMEGRTGESVRYLSIISGVMEGKH DKLKKLISYGNAMGDLVAKAGGLFAEVNESVRAVRKEIPGALIKVNKYYTAIAVITRT VWDDVEALERDSDPNCHGEKIKNVGEFQVTCGEHFCPLKEGVNESTLQKYKDGCLEIN VQDKSGQISECLNLPRNSLYKNGAVKYSSDGTNWYGNGNTYFQLKLHVHSMLSPLTIP LGAGQPPSAPLDMMASITSLYSRFNEIHKNFTSLLLNTNFTGIVNSSNSDF XP_001219203.1 MHVRTFLYLLANAFACMSVFLCARARFPHKFILPFRCCIFMGKT AQLRAGNVIIS XP_001219204.1 MNLRYSFAISSEPAAALPDGAQQQSFSISLCATPLLAYHTHRPH NITSNFTEKEITRQILLNISPICCFVFYLVLTLCRIIFFLHLCLTKPFCHANVQSCSA LTLLVDLRHVAMSPLYAPQPPI XP_001219206.1 MASHNEYLDIMEFNPKKARDLYVQTVSCDDAAHLSVQEYLQKAL NEVNGRILGIPKQEQSGEDLCGEEELDYCALWHCLENRLVVSMYVRQGLT XP_001219207.1 MWKFKVLVAAAVVIIAAQINSVKIVNQEEFEILCGFVRLTLAPA PLIQLSQRIKNEAVGAAVDAIYSEPNQEHLWKKLSPNCEAHQISDRESVCDAYARFKH EAEERLKTSRLTEPELTDYSPDVLDHLEKAFKLYQASELEGKQTSIVTLQEGINSIMY GENDSGGNSLWGDHFRNEDCGTVLGQRPKYAGGALVTDLLCLCAQHVNGSDTKHLCCE GCSWRSNTTVWSEEGGLKLQWEILREKCIGYNMDLANPKDRLKRLREEMECRTVPRGI GSRKGLFVYTYGELEKRELVSCGGEDRQDSGACVLYEWGGTQIQTARIPWMQRLEKLV DMLPNVSEESDRMEKRRRQIENIVYRVNETSKKQPKLEKKEALSQQDTPHPPLGDVLQ TFTDNPNTEGEAREKQQVKNDSSDKSVEIKPAEGRTPGIGIRPLKSASKIGTPLTMFL LLL XP_001219208.1 MRAGETTSCRIAVEGNSFAFEGVFDQFITCFVDVLKVEELPHIV RVT XP_001219209.1 MSSDTMPFKLVEPFVLFHTIFSDDFQHPQVAMITQACGSHSTAS NQSTSHFLQIFFDFPLHVEPNEAPTDLIFLCKWGTSHSSHTPPHGNDVNVTRTNFLFR QCSQKKSATDY XP_001219210.1 MKVAVFQWLIWAFLMACACGKDDYTLVTDHEGDAPLNESVCYLS CLSDALNNLYSDSEKRLFVNEEVYANASRILDDMEGKSGESTKYLSVISSVISKQNDK LEKLISYGNEMGDLVANVGGLFSEVNESVRAVREVLPSALIRANKYYTAIAEIVRTVW DDVNRPLKQDEAKCGNQNFGSVGEPKNECVYRTCPLGDGVSEDTLQHYKKGGIEINVQ TGSVSECFNRPRDNLYRNGAEKHSSDVLKWLEGETTRLQLTVEVQKIFGPLIVPFAAG QSPSALRAMMSNITFLYSHFKEVHSNFTLMLHDTNITDNLNSTHSTI XP_001219211.1 MRSDPFSKAESHTIMSCHIMCANAFPPLRWNMVATMVINQQNEG EETRNFRLFRLFIYSSKRHVFFSCDALTNSHDNLNFSSIAKVSAVADICGIAYSKPCI NTTNYIFI XP_001219212.1 MVGLATVPLLTLTLLALCGESEASVVVSSGSQECEGYWDSSRRY HCTLHNTGGEGQRRVRLPPTPAAPARDKKTSGGTGVDTQGQEARKDTVLSSTSDGRTS QPPPANKETFPAVNGKSSDSVGGGVSKDEVVQRSESFKVSAPATSPARDYSKPKVDRA RQELGDGEGYGRESVKGNAIVGKQVAGPDGGNVVRPPPQDVVSWPEQKNTQEAETMGI QSIQNGKDISDRITQHDNSHVMRPPTRGVVSVAEENAQEIIREDIDGEAAGENSSRKE KGAAHKGHVMLLSAVLGLTCS XP_001219213.1 MQKKNGNGLFNTIEFYFPCLIKDVVMLLTVSRLLPCLPLIGFPL LVWKPLTYLLPQKSFALLFHFPYDYAGAIYTRCNIVLLEIMSLLRSISVYPVNEAVE XP_001219214.1 MKVVIVELVISLLLVVYVCGAEEDGTCRLVADSGGHSHLNESVC YLSCLSSALNKLYTDGERKMLVNEEVYANASRILDDMEGKTGESTEYLSVISGVMESE HDKLEKLITYGNEMGDFVAKAGGLFAEVNESVREVRKVLPSALMEANKYYAAIAEITR TLWDDAKAVKSDDEEVLQCRNGKIISTGEFAVKCSAHTCPLEANVTESNLKMYKDGCL EINVMGGSVSKCFNLPRNNLYISGARINSSGVLEWFENGASFFRLIVKVQDIFAPLIA PFAAGKPPSVLLTKMTNITSLYSQFNKVHNNFTSLLLENNITGNVNKTRSSF XP_001219215.1 MRQQKDKKTVSGALVYLGTKPTADNCGSATNEGRADFRELTENK AAAALKTENWIDKL XP_001219216.1 MCSSSSFFSNAGESAPATSPNKPLIILVSFVVSVTSLLPTGSLI ASASPAAGASVLMILLVSAVVVNASAAVSANTVSRSVRVDLCCFTPSSSPILLPQFLS PANSLVDVLHEPAPNLMAPCGGCPCKNLMPWTVLKVQSAPVCVFAVANFPCVLVSSGE LLQPPICPATAAAPPAIKM XP_001219217.1 MTPLQQTPKPIASEKLSVLKIFAFGFKIRPQPQPATKEQTETPW PTDKLSGTAASNGNQYTRRSTSKITAQRGPVI XP_001219218.1 MGIIIQEEVTKGKTMEKQNALLDQNRVSTLSVKAVEEEVKRRKE NTKCNNTKSGGVRQIKKYTREIVRRIYTDIDRCYCENGGDNSSSSL XP_001219219.1 MTLPQQVLIGIMVLSTSRRAQTEKPADDAACANLCACIARTHKR LNLYEITYASAASQQRSNHENYNKLIVAATVGDANLKRKLVPVIAAGGEILEECADLP DKLQKQTKDLTEGVNQLTRSWKIMDAMTATSRRFKVTATGGTPFAQLPTPSALAARDD KPCPSLTDSEDLLQIDKATEAKQPALPEQAYALKVVYKCTHNGAASCHSTQIAENGFV ELELTGGPAQNQGTAASRLGTSLENGINLEVTPLKITGDLLKKVNANFTAAKQLLQNQ SCSKDLREYSALSGTQVFGKLATKALLRLYDKESIDTGDQQAQAATKDAYGQTGEKLA TNVWQLVDDIKVPVTGDGKEQNTALNSVTTMRQLGDSVARLLLKELKSEEEKETKNKN AEEEQNKECSDKNGTDCTRICVMVEGVCTPKKKGEDENKEKTGTTNTTGSNSFVIKKA PLWLAFFILACDF XP_001219220.1 MKLRTYHLHHFRLFSFPLLTFLFLNVSLANFHCIPFIVLSASIL ASYWAATTTLIIYSYIYTLTTHSSIIIIITIANFNKTNKTNIIRNFKNSSYFSHPVIP LLYTHSPLLCIL XP_001219221.1 MLLPVFLFLALFLSAKQATSDVGPADNGAVFRQLCKLVALAEKS PALGPHATLNTAAVTEMEELNISLSPATWQAKFAKAEVTDTLEEMKTKANVTDDNIAK DWHNKWRQWVLAYKATRPDTAAATRIKEAGFNNLTPGRRWAAQMRVQAHLARAQALLT EGKQYSAAAAKPTAADYPKKLKDAVLGDDVGNKASVTIAKTFSGGANSGRSNACGGNI ANHPATTAAATLMCVCAEAAANQPGGACISGQTVTTTWTTAAPPALGVWTQLAGECPD DDGAVISADALLTPIRELNSMVVKLNNAGFLGKTVTKSNCDGSSDAGVCVKYSDFTGK GDKKFTDIPWVVKLVNLAQDLQLREAAAAAIKNINHQLKAEREAAYACATALTEPPTT VETKSLSSKTKEDKEGCKQQKSNKTACTEANCKWQGKTETDGPCKPKDGEGQTNAAAG TGTGTAGDQKKERAASAWCAKHGTDETACENDKTGDKQNCAFRKGKESEDEPEKEKHS LCSFIVNNKLALIAAAFFSLEAFQNCKDF XP_828095.1 MQAIQDSTIKMCKQLMLLLILCSIPPSGANVGEADNLAVFAAVC ELFQIAEGELPPDEQVTDSANAVRQLEALNMSVAEDKWRQQFYKGNYEKISWQEAKDA GLSPHESWKTKWERWQQAALSTKPEAEPGKTIADQGFNELTGISKKAVQAKVAALLAK VGHLEAERATAEQEIISASNAELSKKLNKALYGVETGRGDFGKTAGATGAAKTLDACS TAGTIDNQQPLAYVMMCLCLETGSTKTGKICAKDHALTKAWNDANPKVKQAFDDMRNL CPSGKKTPITAYQIRKALSAVQAFIRMHSGTGYLGGFNSGSCNGNVANGICVKYDHKI TAGANGFTELTYAANMLEAASLLENRQHAVQKANQLAHAINAEASAAWLISKEVEALQ KLERAAAAQVPTTSQAPGTSKQPSVEEQNKCKNATNKTAEGCSAINCDFDNEKKECKP KDGEGQTSTATGEQATGADAKKCSDKKKQEDCKDGCKWDGKECKDSSILANKQFALML SAEFVALLF XP_828096.1 DAQLFSSNDPAASCSEATAPAGTEPPGSTKRIKHTLCQALKTYK ASLGSTDAISGQVLKHSNLVLNYLRNCIPKYSNIKKPEDAIEAKALIEFVDKTYGESN EKFKEMFVTPLTTAKAAIRLNEKTEPKEIANMVSVEDRAAAISHNEGMRNAKELEADK KNTVATPATDLAKKSEDCKGEKDETKCNNKGGCEFKDGECKIKVTTATETNGKTTNTT GSNSFFIKTSPLLLAFLIL XP_828097.1 MTPTPKATAELQRILILYIGVLFFGLNLVEAATDANAAQHRVMC LLTALANTPIKQAEITGSGGSARETISALNVTTSSSEWQKIFDTSLSREAGTNFPETL KDKPYADIWKANWASWWKAAKHAKNTNIGQPTHDLYKPISSAIQKAAANKKIRQLALQ AETLGRMYDEAAQQATSTQKQLAQTKLTAALYGGDGSKATPGTDHTRKAFTTWDAACT GDENGKSIVGDFFCLCAPKDGTTKECADGYAPTRWDATIADPAAEWKKLQTSCPTAKL SSAKEHDIRMAIGAFLQALTTRTQGGTTKTYLGSSDTGACDGTSSKLCVDYTGYFSKQ AGKTYKDIPWLKSLEDAVTALENMHKQEEKANNLDAQLANLLKQANLIYAAALNGDLM TETAVKAEVVPEGNPRQNVNCAQHDNNKTCVSPCKWESKGDKGTCKLDESKVTTQTNT ERTGTGDGAAKHGVNCSSHATKEACEGFQGTSVPGKKAVCGWIEGKCQDSSFLVNKKF ALMVSAFVAMLF XP_828098.1 MSRQSTQRAVAAALTLLLGSVEAAQDANAKEHSILCRLLSVAAI EPEPKTLSPDASALVATLEELNFSNSDANWTSNFDFAAMTAKPDELPQKFRKAPYPPM WTTMWPKWYAAGKRAGEAKVGTARNSDYPAINDGRQKKQAHSQISALLDRALSLKSKI DNLKGADGTFGMTTVRSHLQAAAYGKPEANKPTTPDGIHALANWDSACGKSNVGKSLA SDMMCLCHGTGVTPQDCGLTVTAVQWNAAKTIDEPWNPLKTSCPCGKPGELTDAVVEA AVTDFTRALKETSTGGEKVLHFGDNSGTGCNGADTKLCIDYSDFFKKGGDSGVSKIKW AAELRLAAGLAKQTETRLQQAQTLALLVEALSEEAKSVYTAAAQNRLVPPAEAEHQAD ASRHRDQTQGITGEKKQEITCQEENNKDKSNSKAGCKYNDKDRKCEEDPAKATATAAP NTNSTGDNSFLINKAPLWLAFLLL XP_828099.1 MKSQSLWHAFVMSLVSILPVSAADIEDTATQQVKTACSAAQYLH KLASKLEQARPSAKEIRTTAIKTAMAYRIKAAFSQDKQTEIAFLAMAAVTEAGIDTLN RETEAAAKRYNDAVRIIKQQEAAMLTLHRTESTSAKVTSTAPSTAAQLESVTGMVKCP STAAFKLEAATCTLDTEKEAVKTNDIAHESHTKIKIIDVTKPATTYTVTTHCKGKLSS SSIASTMQDGGCIERGSDFNTAANNAMATALTKKATTYSTIDINLFTNVETKTCTQIN PTAPYTEYDKDTLGNALCNIRQLKKPTYTPIHRISWAAVRADDKLLQALTDLIAPGGK APTEQQAKKALTDAYLGSDQTAFTARITDSIDKTPLNLKVRETTFDKTIFETAGTDSA ATVLTFLQGKQLIASRTAATASSSPEVRKEISKKCAAITNKEKCKTEDGCEFKDGNCV AAEKNKGEEKKEEKCKGKGEKDCGEAAGYKWEGETCKDFSFLLNNTSPSWFLLHLWLC FSKRIFPFPLKRILLSDIF XP_828100.1 MAKKIIPNKQIVLTLVLFTSIQYTIAQLTNDEAATGIGTLCDEE FYLKKIAEHLRSKIVDRNRGLQEAALTASKYEIAAAAADSPEDQCLINALAVKAKQVL KLRQKQAEEPPNALLSGLEHSKEQRGVLLAEIENSKLAVTVKAGTLHGTNSAGTTMLQ LETAHSNSADCSISQPDTDRTIANKQPDPAKATQLKLTALNALDKLKKVDKLTFTVAS NGCTSSGGGTKTIGQAMAGCSWANGDTVSTSYKPSQYSIATTQTTPIYKEENGKKVCA AHAKQKGAGTTRGEKIADAICEALETKAEGHTMPELSGDTLAEDAVIQEAVASCTKKF TNLVKESDIATNSDLKTYLRTAYGKGTHGFDAKFKPLIKTKTVPVREGDNIKQKAIEK LTLDDDTHAVLARLLHQRLTVIQREPTSGIQTDAKDDAKVAECDGIADKTRCNGKDGC EFKEGKCKLEEGVKSENDEKTTNATGSNSFVIKKAPLLLAVLLLYLKYSQIFWFKFMK FPVFKKYTKS XP_828101.1 MQKSGIPLKGLYVALFITTTNLGCSNVNPGDNANEFNLLCRLVA LAENTPPHATAETANDADLEDIMRLNMSLSAPEWLQMFVKNAADNDVHKTQPETVNKE NKWEPYWKHWHKAAQDILKKENLKIIDDMKLSTLTPAQKQAVTTEVRQLTEKAYQIKT RREELAAELSKVKTSPGKTLKIIVYGNKDKKAATVDQNDAFQGGGTSYSAACTGNSAS IKVKSVAGLIACLCAKADSNQEDRACGTTVKIATAQWTVASPPASTDVTEPLTFCNKA TPKKLTANYIKAILEDLESAIKVKAAAGVLGASETGNSCGGKNNDGLCVKVDDWAANG VVKLGKITWLKQLETLAEELEEQEQAVAEAAELDKRIKNIKQKTLKVGANSWHIKEED TAKQKDNADQRQANSAGTRRDQAKAEKECNAAGDDKNACDKLKGQGCTFKEDGKKDKK CTLSENAKQEVTKEANQETGGNDGEKEEKCAGKEQKD XP_828102.1 MQQSIAVMALLMVACVSQSSTGNVAAGENAQLFNQLCTLIGVAE AKIEIGSEAEETNAIDAEIQQLNMSFSTPDWQSIFKSGTGEDDWQQTVPTNFSSHKTW SAQWPRWLKAAQAHASDKQQQKLKNRGALQLEQHQKLYLSRKLRVIASKSAELASELK MLKVVEQADAAKNAAKNLKIAAYGADRTAAGPLVGAEVFKGAGKDTAQACCENTAADK VTETISGTIICVCAGGANLKTVCVNPQTELSQWSDGQTGAATQWTTLAKHCTHKVTSE KPEEILDSTLQSIKAAIKTDDSHAYLGAYRQTGCQASQNTGFCVKYTNDKRGTSTLFD SMAWVKTVGETVTALRQSREATQRARAIKKELALLETQLQAAIDAAKTVTAAPPIQVT GGAPTPAGNSETSDECKQYKPKKTCEENGCKWEGTEEKGESACKAKPEEQKKQFTDGA VATKTDKCKGKLEGEFTNALG XP_828103.1 MHCNSGLQLLVFLVSLIVTHSEAASTNPIKETTWKAACAVAVDL QKVQKRAAQMVKNKITGTANYLTQAYKTKIYIEVKRSGKYRKVDLALVEYYMRKANLA LQEATGSTLTKGATAIANAAKFEGAIQEFVNMANQIAEDGTHACLIDGGDVRKRSGGS PKPMTEEGCAAKASAIEPSLATTTQFGPNGITNSHLAGTDDATTTQGGASCTLTETKA SSHLINTNTGGNLGGDVVFAGGLFKLGTTFNNNHADAITTASAQHATMKAGHDAYLAT KDVSEGYAFKGPTQLAGDDDFKNAYRRQVLGDSKLERPDAPVEANAVQSAFGSKMETE YKDFPDTKVIDLTGKESEGKELSTINDLDELDKLLRHYQQARVTSLNKEITNLKKQLK NLGAKADGKSPEQICNDIGENKTKCGETAGCHFVDTNEKGKKCTLTKEAAAKAAAKQE TGKYSKTESPCTGKGEKDCKDG XP_828104.1 MTESSQQRRSTFNRYIAAAVAILLPILPQQAIQQLPPAVLADVN TVCREATYFTVASNQYVQKLQQLSSDLTSSQIQTKVWQLAAAKAAAVDKKAFYTSLIA YDNKRRREAAITATAAIQAVTRALAAVARQAGYLNGREHAADLLAGAALAGDPTHSGT RCTHAITQTPTTFSTCTDGSLEAAGFTNTDLDIFRTTHVKISKHSDLKTLTSTSNVIA TTTGTTFTGASAGKCQDSSESGITDVQLSLKLTKEASLSTAASKLKNHGTARDSCRQK PEQQQVEANEDKLERLICEAQQAVAKAKLDITGLDVAALQADEVMLEATNNLAMGNER VGDPTKREGKEAVAKKIAALAGDPTNFYATFVSELNKQKVTFKKAGKTTEKSLEDIAK SDDFGIAVSYFEGQSMLAIADAATKTCKPQLTETEATCAARGAGDDCKSPCKLVEEEG GKKKCTLDAKAAKKQAQNQETEDKDDKTTSTTGSNSFLITKAPLLLAYLIL XP_828105.1 MSISHVLWSTALIALTSVKTADANIDTGANRREFGAMCNVLRWT STTFTNKADDWDDDKIYNSIADINMTLAEKEWRAMFLQEGQAEKWQLKLPTKHAENAA FQKMWALWACAAQRVGSERGLTDKLKKLKANELTATDLSLRRQRVQQIADKAHGIYFE LKKLNRKKLKATPATIKAKIYTAVLGKADAVLGTDPTDDDSITSGGTSAATRSNGCGN SNIANQPGKNLGTVALCVCAKGASGTAVDDACWSETAAEVAYPTAASQAKTKIHELIK MCGTAAHTKLTADAVEASIKGLTSIMHTSSSGTYIGEYLATGCNGEDNGVVCFKYGTL TTTTNSNLMTIPWLAELHQLKQDLTGDDNLERAGQPLVQQLTILEAEVKEITAGELLM RQDSLFVNQPTHPASPEKVATVGEVCSKHNGSNTTCPRDKRTYDEKENKCNPIKQVEG VTAEGTGEKTTTEKCRGKLEKDCKSPDCKWEETVCKDSSFLVNKKLALISTNFVDLMF XP_828106.1 MINYLFAVALLIMQQLAAVDATAQKGMLPAFWKPLCGLSEELDS KPHKAISDLQSATSQVELMQRATLRAQIYGLAMAGTKEATPTALAELYYSSKVQASLS KLRTTSIQAAIETASAATYLKGHVDEYLSLWSNVNQGGSDGCLLTNAGSAAATITQNR LGGTECKLKLTGASSSGIQPTHITANGFPQLAHRISGDDEQHSSGKCTLASGHTNNGL GDGSALAANFKVLDGYLTIPNSANALSINSVQTMTQEHANTHPAWYNAWSKNKLPPQA EDPAFTNTTGEIKNYNLVSEAIRTVNLKKAEAADADVEAQVTSYFGGKDDAKWKEFIA KIEKFPIPAKTGKQAEPTTLGAIEDTETLLTILYHYQLQLSKRLTELQTRLDDTESKG KNKTPEQLCNEIKDAKTCNADKKCKYDETKKEEPKCTLSEEGKQKAAEKANQETEGKD EKPGTTNTTASNSFVINKAPLLLAFLLF XP_828107.1 MYGAGFPHNVVDSLNAGLSASIATREEKLHTLVKVSLIQPSSYE ESVEQLLTTNVEKAGDELLVIVGPLGNQNVLWVRDKLEEHDLVAFAPLSYSDEVRGWN PHFYYPSVEPKAELLALIRYAVVFLRLRRVGFMYLKGTNFGDSSYTFTEQVMSMMGYK LCGTFAIDGNVNERIGDDVFDKEWEKFVKTRPQAVLLFGSPHDITKKFIHYLLREDHH TADAFVLTTSSSQTFLLNTWKEALEETNTELKPGQLIITGTGPLAGDTRYKIIQRFRE EMTKYLNTNENWGGFAKPEHFDTNHNSGELMVLGWLAGEMLMRALVDTISLTNRTLFR LSLYNQRRYLIDDMVIGDFGGECGSAAQLQGAVCRCNQGGSVVYMKEVVGKYHLEAVE EGLLTWGTTRCFSTGIRVYAPLSGLVILISDNHVVQRANSLYFSGAVTLTGNDHIGDN DRLFFHSLNSSYSGAAKDLNDTLDERIVSAVFGVVTNEVLTTPGVIFFDPMVVFPQVS TFKRNVIHFFPTLTQELYVLAQYFSRLTNHIAHTIIRSDEAAEVAEVLSMSLMTFGAS LGSRVLLNEHVSDIHMEHLKHGGDVFILGLTTPDVKTISKYLDTHPQARVFITFTDLL LYYEELKLEFSVTANAKAQRLLFATSLPHWADNSSTSETIIAYHEAVPDPSQWSPMSL RGFAIARVMQTLLLPMKKVSSSLLSDEIFWQTSFVIDDMRYGPFSDSDCIANGVQLSG NCVWNYGATDISVWSFGRVLDPTFPVMQEPVTPSIVYKKIRVQLSSSQVAGIIIGLVF ALLLFVTLGVALYCTISNKRDNELAPRQSTDPVTLIFTDIESSTAQWATYPDIMTEAV AAHHRMIRQLVLKHDCYEVKTIGDSFMIACQDPFKAVQLAADLQLMFLHNDWGTDALD NFYREFEEANAKEDNEYTSPTACLDSEVYCRLWSGLRVRIGIHTGLCDIRHDEVTKGY DYYGPTTNMAARTESVANGGQVLLTHATYMSLSESQRHQVDVTALGPVQLRGVPQPVQ LYQLNAVPGRTFVALRLDHDYYFEDGNETTNSTSENSSSRVELSESAQMIMSSLQMLL ATFKGQQREKLLMPYCERWRVPLPRTNTEEWNDEYCREVIHRIAAKVGRVADHYAGTH SNHSISTLSSTSVVIISDNKILLDE XP_828108.1 MRSTVFGGQRLEKQSDDLSAEKRAQFEEDLVKENEALLNALGNT AAKVKTSADTLRQEVEEQNQMLSFVGSAFSRASSGVNRSVGRIGEVVQRYGFRHTLLI GVVVFFVLIFFWCVLLR XP_828109.1 MRWTAVLCKVSKVPTRSVIPADALRYHPRYSVPRKMVLSNTFNV VGENNRYTSLKLILEKLSGHVGRRQYKMLCNLEKHYDKLKDEGIDWHELKWLSREELI VLFDKVLHLTRTERAALLPAIEAKVCGVLRQTDSRHSTVVCMNRGNANHGWRCGRNGH TNDVYFEGKAKANTAALQLCRRSSVRSVERSGVLVEVRSEPDFSVVGRFDHSLSPRVW STPENPTFQVTTIGYEFRVHREDPRVIPQIVEAAEEWELHANITKQVIWEMLEMYAVE RDRQPLDLKPGEMGDPDIPSRHATAFNVQVVPPSSAGDEAIQVVEQRIVRADGSEVPW FQEPPPQLFSGGIPVILPFAPSIIVKSTFRQVTRSSAQDVTRQLLQPVVDVTCFLHPN VCFWWNAEDEQRCLGHIVDYAKRIPFALPFNLYFRVNLSKDLRGVQNYTEELGKRMSM KAHYFNLRSYGVR XP_828110.1 MLSRTVPGLFARCSVSRMKVMVGVKRVVDYTVKVRVRNNKIQTE SLKMSINPFDEIAIEEAVRLKEKKIATEVIAVTVGNKKAEEGLRVAMALGCDKAIHII TPDGANGEIESLAVAKLFKKLHEEFKPDLWILGKQAVDWDLGTTAQLLAGLLNVPQGT FASKINISRDGVIKVTREVDVGHQMVELQMPCVVSADLRLNTPRLPKLPNIMKSRKKP IDVRNVLEMGVDVTPRLICETVKEPPVRQVGMQVKTVEELYEKLHNEAKVI XP_828111.1 MTGHVKMAMRRLSCSVQPITFRNVVIVGLIRYIKFRQDVRNVAV IAHVDHGKTTLVDEMLKQSGTLVEARNRVMDSKDQEKERGITILAKNTAIILPSKGDE PQRRINIVDTPGHLDFSGEVERALQMVEGIILLVDAKEGVRPGTRYVLRKSLAMRLKP IVCLNKIDKDDTNIKKTEDGVQDLFLETAEDDSQLDMTFLYGSGRNGYMNDTPKKEGT LSPLFEKIFDVVPAPTEDDDAKLQLLVSQVEVDESTGHKTAIGRIFKGSVSVGDIVTV ALEAEQVDALVKSIQLYVGMEKINVQTAAFGDICVLNLQEPIGQKVPVRIGSTVCSQG VVDRFPYRKPDEPTYSLVMQASEASWRKKEANEQLGTIAVLQKRLEREALVNTALQLV GLGSPHIKLMGRGPLHLSVIIEDMRREGYEFEVQAPRIVTKTINGEMCEPYERVTLEF RENLVGDMVSFFSSKMAEIGEITQLSDGRVCMECLMPVRLLSHVPLRFHTLTAGDGVL NHSFDSYKPMTAAETGRETGALVATEDGEVTDWSLAGQAQQGRFFVLPGDSVYYGQIV GENTKTLFQNLGINVCKRNEQLGGMRANANDKAGRRKSSYAATRATFEECIAWVTDEE LVTVTPKSIRMRLPNFNGKTTMRNATKRK XP_828112.1 MIQSDIVSYVGEMGDVPDALLITPLKDRKSSVYLTKYEVARIIG ERARQIVNGTSVLLDSQNGCGTGNSLELAEGCADPFAASVDPIHITKLELLQGRIPMI VRRTWPDGRTENIPVNELKVDKMLLDVQH XP_828113.1 MDVDSDRRDEWAKLLESGDAVSLITVFRETSSCSVSCENTLLSI SEFLESCLFQSALKVDMHSLFSATESPTEVTMEKTEEGTLDSREVLTELLSIGSIKKG RALVAYLRVTRATLRQLFLDTLRRRRRSCGSISEQLRMTRTVLGAWFPEVEKNVCYCA ASLTLRDATPELVRTTNTLDGRKEGKDIANSDESTEEDDHSECCVSDYRFEALEALSH LVLDIVYLVGDTRKTVPLLLLLRATVEAAQPYTENSTFLHRSVSNLKDWSFKVCPATG SKSRDVPITSGAEGVAAPVDLADVKAIREAITQALLQLIPEGELVTFLSEHVGDMFHG DLMESLSYDDSERGLDPRSNRLVATVHDLKRERESIYILSDEDDEGEPTFNGEGVAPV NSYVSLQRVGAMFLLCDLMATGDSCSCLLFSNSPQTLLFLAGPMILEAMKNSSLTVVM TGLTFLSIILTFVTPYSMRISGEDTAAPPSVADTANKDEMEGSLKFSTRKFGLVFELL KSLISLSTTCPSEGPRVMARAVFTLMIQRCSFDLRLRTYSSFLVLTPFASVCSLVLHA LRNEWSSGDWRADQSSGTNFLRDKLPYFLLQAQQSWLRRLQVSEASFVDPMVQSVNFV RCVIAEDFRQSSNYALFRHSGSEGKIRIPEAAASVERCSSWEQYLSYFFKNVASHLRK LAADTFDDSTPRMGVVQLCSLSPLDRFSLNIALDGVEERVEVFVPERSGG XP_828114.1 MIEADVIRLNMEVEAAAARNADLSREVARLREELANSGLHNMDR TLVITEGTQTDSGMLREVALDLDDILFEVSELLFRLYPASSLDLSVRRDAQSSQLNDL SQRCLREVRSLKAAWEMKLDGYNQFISNIQRSQVDYHRSLDSKECQLRRKQQECDVLV GENARLSTLCSELESQLKTTQEAATRAEEQVGRLLQQTADLEAERRRLLDREAAMANT LVALRAECAFNPWSSLVGVKEAATTIESNGQLPSVREQAVAVTKAHIKPPGAVSASDV AMAFQGLDLDGQLPHMCVEPESVVDYYCKVKHSSPTRSLM XP_828115.1 MLRASAGSIKLTNIYGAPSGDAYHPSIPMAYLLEIDGVRILMDC GWNDGFETSYLDALLPYLGDLHAVLFSTPELSSCGALPFVMEHITAETHVAAAGATAK MGLHGLLHPFLYLFPNTNTWKLQSGVEFEMTVDKIYSAFRSVREPYGGKVTIRHRDVE VECFPVFSGRMLGGCGWLIKYQIDELFYCPDFSLKPSYALNRFAPPTTATLLFIDGSP FHLLGNSGKKYEEQLNVFIREVLSTLRNGKDVLVPVSVPGRGLEVLTIIMHLLTEKGG DNYSIVLASVQAAEVIGKASTMTESLKDEVILSEHQLFANVITCKTAQEVMSVAGPKV CLADGETLDYGVAADLLEYFLQGSDEDREHLIVFPWTPKRDTTAFSVAAAAKGDAIKV QYTRRIPLSKEELEEYYLRLELELEEQRRALDGGAYEVAPLEDIASDSGDDGDEKQNG DAAQGSGGGAQKVQQCTPGLVLPSYMSFVSKHLQFPILETVGSLSSAVLKKMDCSYGL PIGDEMQALMRRKAPARIYSDEGPDNVQLHNDAQVEANIPSKTMVVDAVRIKNVRVFI TDLSGFADAGTIRSLLKSRFTFAKKIVMIRGTTDDHHSMTQFCRSEKVMKCGENVFVP RPLGTHLELATHVYSYVVQLDPQLANALPSALRRVKETRSNGFWDVGWVEGSLVRAVV YKEKDEEKEEDDHEELHPSQRRRTEGGTSTEMRDSVYTLTALSSDKAQQCAREREMRG LQRGLYYLGETDLHKLRDAARNEQGIRGEFHKSAPMLIFDNGVCLRKSVSGNVSLSSM VSPSVFGLRKTVYKHFSQVL XP_828116.1 MWRLLFLRPRLLLFHAQTRNVVVSSPPVSSVPDASPAAAEAVVC ACPCSHATNSGGLKLSLAAVMSDIKSLRKKWTRDFRLCISSEPAVVIESSAVGETAWA PLVVLDATSMSDGLVKAVDASLVSHTEKQSSATVLDLRERGEDISTAKRMLEEQFPSL RLNIDHSKGTDLQGVATHTVTVSLNARDSVDKLSSEEKAVKNAFFGESIGVSYSSTAR RAIFEGFKSAGLPQPPRYAERNHNISELSVYMDIIRNATCEEVNIVPTNIGDGQVRVS VQTLSGRQISALTGKHENALPIALSCVEKAADIVSAVATEGARKRIADHPVILALPPK GIRPKEILRRLLHHSFGIEEDRVLFVTLRSTGGTFVTNVDVELGWCKPSPAGAATDEL ETKATPPAVVVTVAKAAGVNKKAAEALASMSAIRECFPRVFEEQLAFHPEVREIMSTT KATVNDSICPHVSKGIVEQLRWAARRQKKEIVLEGVQLLPNSENESLGIRTTRPLWAT QLFMVDEEGCREFICLALDQKKSASEQKAIAIALFKHFKEECQDGVQYAMDHGLIDKN GDVPGCEGIYSSATPLPKSAFEMAAESDPFIQKLQLLPSQPILVPPRQSMLSVFRRGI QLYVDMLGEKCASDRGSGSLSLVENVEQVADNGHFRAQLYTKWIGDDTSKLRETPHEM SPLGEAAYSATAVSALFTAMKSLFEEGGPIVCASSDDAQINEICLETWRRVAALPSLP PQLPTPTPLETIAECVMTKYGLSTELRISGVGKAINVQLYGRCPTSLSNSNIKEAPQF FLGHGRGSSLLKAAVSCCQRVFVEHMQSDSHPLGVMGAEPQISGSVGVRAHGSGSLLA SRIEDVKKELVDNKTVAADASVLVKVDVCFSQSERKYEASLYVVDGARTVELERISPD ADLMMSLLRLTESINKEMGLPSIDKNTIMKKCDDSRQLIALKNLVGFVYGFPVIVETS MKDSLWYCHISVLLSDNIHYGIGYAVSKRKKDAVEEAAAGAIHRCFGTVTNPLKKGES HAEVSSSNPYCGFVYEGKGNE XP_828117.1 MTKTWLPLESNPDVLNEYLKSLGLTNPKVAFNDVFGLDAELLAM VPRPIYAMILLYPLSDGMESGDAAACLKQKSEIEQFMTTNKFFYSKQTISNACGTMAV LHAVLNNTDVVGDMLEGSPIATLLWSTKDKSPEENAKLIESDSLLDQAHALASASGVT DNQPLDADIDLHFTCFVKIGDRCVELDGRKPHPLLHGHCVDEESFVKSCVDAIKEKMG RDPQSPRFNIIALCESRE XP_828118.1 MSIQKKFELTAEDLDRGVPQFAWDPHSSKLAVYCPNGKVRIISS EGKGLHTFSLPNVSRLGWDPTRAVLALIQQDSSNVSLLMSNEAGPDSIDTGLQGLCFM AWSPVASLLAVGNTGGDLMVINSETMKKVPVTGTHDNRIIDGVWTASNNLVVIGEDQV LSVSDAAGNVQQRVTVKNVPSSLSVIDFPGHGDPSSLANVVALVNCGTVLEHYNLETL QPHVLTFDAKLGNITVCRCLAGGLTCVGFTSGAMALVQINHQNSKVYGVTRPFEDAVC GMSCSGGSGTCVAVAGSSIKFFSLADKRITEIKSDSIQLEGALGALQDVQHSGNGMNI TVVTDQPHLISFKRSVPVSSVVCGPNIYSLTGSRTVTVKSVKDDRVVFTATLDMDPTL LAASRDVLAVCSRNTGAYYKAGEGEAKLVNTVNYPSNVSALKVSNNHAAALCDGKVQL HEVWSGADSITLPESGGADVISIGISDSLFMYATPEKIFIVNLADYQCVMEHTPTTGI KRAFPNFSCTRIALIDKYDVMFILNPITLVSTQTEGFEQGHKDILWDQGDYGVLVSYN ASTMVTYVYAPHSRYGPTAESVVVKDSKTVNLITNIPSGFTPLGVMKGTVTLQGPCNA VESLTLASHNRATSRVPNSEAFYNNFSLNHLRWASQNISTPQEAEDLAVKALHMLDVE LAIRLYRQLSQPSLVMYIESIKHINEKNLLIGHVSMIMGYFKDAQNFFLRSSQPLCAL QMRHDLMQWEPALTLAKQLAPEKLPILSKEYAQQLEYRGEYANALEMYRAGEMAMPKG HASTELTAAQGEVKKHNAECLQGSARCLLRTGGVQEGVKLAEQSNDLAFVTECAEILE SLRHCDEAAHLYEKALNFEHAAKLYIVDARNLKAASRIIPRITSRNIIGMFAKGKEEE GAFSEAEKAYTQAEDWDNVVRIRVEKLNNLQGAYDIVRQTRSVAAASVVANMCKKRGE FSVAVEFFVLAKAFFEGFELAKEKGCMTALESALLSQVQLTDGVAPAANQNEFSAIAR HYEQNVKPGQAGLFYHIAGNFPYALKNYLEAGQPEDIEKAIEVVGRSRSDTLTNKLID YLMGETDGEPKEPSYIFKLYMALGSYEKAAKTSVLIATKEQEVGSYMAAHRTLVEAFR ILRDRKMRVPNDLRRSLMLLHSYVIVKHLIKVMNDDETASRMLLRVVRNIQRFPQHIS TLYTSTALQCLKSGFKKSAFDNACIIIQNERYRTELDDKNRKKIEAIVRRRGKEELED PQEDTSPCPFCDAGVLETELECGSCKNTLPFCIVTGKHMVKQNYSECPVCHFPALYTS FITLLRQSQVCPMCENMVDLNQVQRQIDPDLKTHLL XP_828119.1 MHDGNFLKEVTAGSVGGALATIVEYPLDTIKVRLQDDPHRYRGS LSCIIEITRSEGIFGGFFRGLPLPVIGAAVENATLFATYREAIGPAQDLFYRKRCEPD TEPYLAVFTAAAIGGIVVSHVLTPAELIKCKMQVQNTLPEEKRIYKNSAHCVTSIYRS SGIRGLFKGHVSMIMREALGCGMYFSTFQLVIRNMLQEGQVFTDASPFVHFLGGGCAG VVFWTSIYPIDVLKTKVQTNRSEYGNLSITQGLMRLYKIEGMRGLIRGYGVTAIRAFP GNAVLIAAYEQVNRIFEAQGSTNKLLCVCSGLNG XP_828120.1 MSLTLGELSDAIASLAEGCSMEQLSGLAQQCESHLANVEGIIDA VENALRTSHGGQRLVLWFFIDRLAKQHVIFLDSLRPRLRSLATTAAPAADGPEWADFK SLLKYSIAVVFGAPLVSLILLEIDPETQKETARNNASASERERGVVALHSCALRTAGA FSTKAVMTERPAGAKHILQVKTIDSQVAMNMASSYAPARPQEIAVPEVQPDADAPQGY MKAVPAEYLKNYQNARRKRLREIMDRNREEMDRRQEAELLNAVRGVAAENGKVPDYSD VVMPLELPRDEHGVKRGFFPLGVRFIREAIRSCGGAVELDVLVNRLSTLASKEVVAEF GDVREFILIHRPTFRVTNEKDRWIVRLAGDENSDPTWESMQCPLCSKVLRGRNLARHV NCRLCVTTQIALGLHGEVRSPISELAFVAKSIIDRANTFDDWDLEHFAECIERAAACR RFKLSSQAKFAPVLKAMRVVRNRWLARKGVAEVADAVVNPGDAAIVNLFRVIGRNVNR LPIPWIDMGDVVDMCSRFSTGVLPPFNPPPRPADPRINLNNEYPGFLLCESEVDDEDE PSDDEDAFSDDDAPTFVFAPPVDLAETLMTAGFERDTKRLQHRMRTAPPLVLQRVLKG DRTQTQRDMYADVVASGNYISATQ XP_828121.1 MSSPNCCPDDGPVDMQPRKLDEMRSPPAGDSDDVVDYMQQALTS ARKAAYFWGSLTATVVPGYFGRKMGLVHDFLHWNFITDRCILGALPVVTKFGDSGNHL VQLAGQLRTKDQELGLVVACMEEIEIQGFGVPVITFADETAWRQYVNPDVEYCHVPLE DATADVSFDVVVSAVEQIYQCVDVRKETAYIHCKAGKGRSWMMVMCYLTTYGNMKYAD AENLVRANRPQVSPSQPQRDFAMCFAQRMKACKNAASCLTRS XP_828122.1 MFFLNLVSFPFPSHLLLHYKGGMKRLRRFDSDSDDDDADGYVEE PLLRYTRDDKDEMLWAMGLRTEEPDVESNGAGSSSGCGETVEGFVTDVCDGQMRESYQ GASNVRPPAVATRRRHAALREDRDVPDHWKGLMSEELLQVYFTTLSYAESGHSLDDKL LAQYLPYSEERFRPVVRADERIWENSYCIKPGWRWDGVVRGVSTTN XP_828123.1 MLVAVREFRPDEAHRVFGGCPRMRYTINNTGSEVTIADVTDSRA HQVLKCDLFAQSNRKIFDHVVLPVARAILPPFASSESVIVVDGTEVTRNCTLFDATEG LLAQCCRLFMSLSVDLTAALLEAVSLTAMEFGDDGFVKDLILGVSLAANKKSKADLGG SSDDHASESAIALLQDVSCCEIKDEYAMRTVLECVRGSVSSGCSQLFGLSLRYAASSR QKNTTLWFVSLSASERARSVCSTRHCIVTAAQLLECRAPHEVRITTALSSLVEPALLG RRPGLWVSCFAPATPVGTKFPETFRESYRIAATGAHVYASRNGISTSCSMCLLKEWIC ACKGGTATHIADKHVVGTSKLGEKTDDFVFYTTRVKGPERQIQRHMGGENGMGGGKSC LASNKASYEKVGCATAAVNHRNDTSGAEVADVNSKSDEFIKGCSNKCHDCRIDSSAHG KHRCPRCPFEVAHGTLWFRLFVHLQSELDRYARREHVYRRCVMSLKRALVSRQVTSTE AADKSAHHKQELPLQGGENNLQENSGCKAEKDDQRVERLREEFREIQKSDTRTERALE TFLEELQEERRRREANEEKMRQLLSSFNPKEYNHSAEDLVSVLQKKCEAVQCREQPVE DAPKAEREQSTHGSAASKTDCAPSVEQVLSPSKIKPPWMLTPSSDSSLSNVNVLRGSR KSSRVESSS XP_828124.1 MMSDRKTNVKLSDISEEMQNDALLVAARAVKEHQLERDIAAHIK KEFDKRHNPTWQCIAGRNFGADVVHESKHFIYFYVGQISILLWKTG XP_828125.1 MFPGSKRSREENSEGEGAIQETCSATFQHMSLELDEITKSVGSV NGAVLQSVVRKAQQLQRTLEGSRTSNAELWKQMSRCQANRVVTALSHARIADDSRQKT LSIGIMKEQLESSTALAVGELMKGFDSASKTTIALFSSQLEGEQQINEKLVERVQNLL RGALQALQVYSQRALDADLSALKKDVTIARLQSSLSFYQNASLRHHEEVLSLIDMVRI LASKGKDADHVSSQLRQAKAHVRLLEKHLDLSGVVHAIVPPDFVPVQPGTEPPNPALQ RTAPEYFALRLLQHRDVTLSELVDAWQRQESRIAEAEAKYVALKESVAVLRREALTVH EHFIEERQRREVVEHRLADLVRERIHPSGSESLSQQLTQLRMDYTRALDNSVQMATSL NMAREQLSDERAKCAELERTVQQLKDDSDAGKVVHTVAALRRYYEEEVSRLRNDTVNA ETQCALAVAQAETQQRATREVEEALKITERTMTDVSSALQRVDSKRVDDKGRRHQGGS GVVQSGQLAAEVKDAVSRSEAHFQKAITSFEAMLNDKAAQREEDLRAFGQQVLRLIAT FESLQSVASAANDRSENAAVVVDVTMERHQRDEIRSLLRETLAQTLHFLSNKLETTQM TETNLLDAAINDGQNVSMLLDKVREVTKERDLALERLARCEQLIDQHGLVAMDRVLMH EAPVDNSLDAVEASEQIAAMREQLTSLQAVRDAAMTELAALREEKQNISEDAEHTALQ LKLLKNHNSDLLSSLQEHLTRETTLVEQLHRQQRQLQQIAADGEQSPPASNPEERVEC MKGPLGQQLEELCSGFTAVKEQLVYLSETIPGNSGEKEAIESHLLRSGVRQVADTLRQ ALQRAELFRNSLQTETAALDVAEETEPLQGADTATEGEQGQLAALKEQLAAAMSAHES LIRGRDEELARLRAELAASASREESLRKGHEELQKQNADIQEKAMRLLHVNKQLVERV KTSRTEGESQSS XP_828126.1 MTMWFPAETVPSSARKEGVTPRDNGGLLSIGCDCACHSPPRDFS HILESSHVTFANSSRGVSYKGIDPPVRMRSSIGSGARTQDLQVSSTSRRKRAKTSNNR GALHGVEESYLQEIDHLQQLAKQFDEERSEAVDRAEEMRAAYERSRQQSILKEREIQS LGDQMHRLQDKLHRAEYQLTSVQSICRRDAEFHREQQLLLFEENERNTREALVFAEAS WRTVIQERCSNTMSALAHKQYSSVERHSEELGVARHGCRSEKTWNQAYTDRPVSCPAQ RCTSTSRQYSGQQEEDCWATPATGMKSTLLAELHQSKKRADETIEQLKRELEEKEEVI ASLRNQLNLQEEGLQKVPRAGGSDESLMMEMQAEIDDLLLNELLLTHSCERYALETEA MEELTYALRWLLQQHAFALQEAPFITPQRTPAGSKQPVLPRTPGTSPHLVEVHSAASP GCNDVTTSVAEMRAIVGDTVLEVIKKELQGCLLPIRLSVESMRASSEELIGDLRKAVR DAGYNAPQLTPSITMGEIKSEHAALLRNIQRSLDDMNTTVAPPLCTELKQLRETVVKV GRLTAHNNKVMDDKLSDILHCQSAVSRQMILSALPCDEKLDFCRTPVAECSTTVPPAK VSLSREGANAISSVGGGLNYNAENVLSDGGNESGVSIKKTQSHESSGAYVDSTGPAFH DWDVESSDSKFMVPSMDVSIIRKTPYDIEDA XP_828127.1 MEEKESDSSFVYVNGEKFNLLEDGRLALYPRLPEGTLLFDPGNV PPQEVPLSTDATERGVTACRLLPYAHYEAYVPDPATGDLVPVTGGYVCAYVSNLPPNT TAEMLGRFFEAFDMVAEADIFTDPTGACTGRGWVVLQDPAKLLLVPPVMEFFPRNFIH VALSDIIPTPAMFRSITESLLQPPSSVADLGPQLKACIDQCGNGKHVNNTEVRKGDGL ATMPILHAPLDTSVVNLDAYYFVVVMSREDAERSVEAGFFRTEPENQRAFYKVMDRGP VIIIVVLQRCSAVFGFGQLLSPVDDGTGDSLCFIQWMKHGVFLEETDLRGPQSVPLSK MRDGIPLKPEIGEGICYLASGYLPTAAVQDMSKRDARHGRQRPAVGLTPRQTGGRQMV RPPLLPVPRGQMIAAQNYVKGPPVGRNGGYGGGKAVLNPRRQF XP_828128.1 MKTIALISGGKDSILSIILSMRYGHYPVVVANIAPECDDGRETV HEVDSYSFQTVGHEVVEEIAACMQLPFRRGYIRADQAKVQDLHYTSKRDEGDEIEALY RLLRSVKEEFPEVEAVTSGAILSNYQRHRVEDVCSRLKLRSLAFLWQRPAEEILDIAT ILRVEAILVKTATVGLVPQKHLGTSLLAARPELESIQRLYGAHAAGEGGEFETIVLDC PLFREKRLEVVELRPVIVDNNDYSPSGHAVLKVAQRAKTDEEKVADKHILQQLSLFTF PSDRMKHLPSLDQLIFEDLQMNENKAAFQTRKSEGDVEYWACTCGVYDACITSDRGAQ QRILEDVLRKAIEDASKITHEVFFVLVLSPNVDLFDCFCAAFSIAFPDVCPPGCSFAE MNSLSAFRLEVLTAPQCSIDRSTMVVRSTSCWGAPSLGPYSFSNMLRVANECRTIVSG CVGLVSTTHQLATVADMGDLNVTSISEVCHCIGIPDEGTVKEFIAQFAFMYANSVNGL TYFRIQPNEVTHVTFLLTDMRFAPLLGPLWRWCSAKHGGGRELIFYRVTNLSGVPGKY VVCRVLPVVRLLCGAAVEAIFERRITADEREGT XP_828129.1 MTDVDGGSGASWKRLRFFVCADTLLTFQPVDSSHLEGRPGQPEQ RYLLVDGEYRPITSYPTSSLFLETLKLAGSTVVVIAPWKRAKTKKLLSLFGWTAFDEI RSIHPEPGRDKLHLACIGCSADEYSTSVIVATSRADWSPSMYPQLVEVKHGVNQVPHS LALLRALSSCLRLAEWQVRLPHVPISSCATLCRSRLFSHCRFFLEPVVSEDATVALSI NEHGGYIVTRREDATHCVVSGSLPNLPGDISNLPPGGCAAKAVKECSVLPTSAEGRSA EPKSAKVDTDSVSLVKNEVDESDDSIKDEFSYSAGNAATAMVECGDEGVWNEENADDE DPVTMIPPGTFSEDDEDDAPGGLEEADGETVTTVTTQWLKDCAEGLFMYPPQVSHTSK DGSDWNITGLLLATILPTSDAEPLLLSSFNTFAERSGFEPLQLNSADEGGLAEVMQRQ WGGVLCMEEKDGDFLLSQTNIYTEAFSDEMDKHLTFVANRFCEAWKDAVQRRRALLGS DAGTQTTVSITVTFGTNTEVEVAPSVQPPPPPPPAPQPTTASAAANEGSKESATPALP FLMRALGPAEWAKEVEAPRSPPPSAVPDIPLKQDPVPSGEGVATAENSVGNDQKESTS RQVAGDAGDTANVAEATEEIAALDQPFEKCFIPTEALGSDREGLDRTQLERQLPFRNY PIKLNVSKSGIFCQFPTVSDAKRFYEEGTVEILNRSLPIKPVFEKRNETVAPAERKRR RSVSPGGVHPQTAAVSALSRRGIQGATYARTQRSRTDNGWKAEGDRNPFVLTEEENSL LTSLGITYTYALENVPLLEHYAQKDLSSKVYRKEKGVLVKMLEKLKAVKGTTSKAPQ XP_828130.1 MAELHVIGDLAFGEDFGGKSYFCAFEIVTGEHWRVVEGHTSGCT HIMESDSCGGIAWCFPIDVHYTMGSVEGWPKISMQVWSVDGYGRKDLAGYGVAFVPPP GGEEQEVNVETWKPCFWNPNFFIRLYENLREAVMGGGPVLCDKTMIHTNDERFKLRTI SSGSVRLHLNVVARGMERLGVRCA XP_828131.1 MGSLPCDTNFGGKDPLPLKMGGRRASGAASMPLTAERPESSDQG FGQSHQMRAKFARSRHSNSIINRNCKKEGKQKTCYCKLTPFSRSANGSSRRAGFLPVK CGDNEVSLDARNKAPESRYISKVRAIRQDFTAVHDFSLSRGRGDVRAIKITEDVISPP SEFPMKDERRGAAMEVDRSQGCDDEGLKLLDAEPLPIEPRLALLNTVVAEVTVTPPPR CPSVGLDVKGLSQSTRRADSQSLKLGTASLDDGVPLPENGTVSQLISQENAIRSDTED DQDSARRELVDTVQLLLDEAVFGGAEPRSAHEAFVVVAGGPFKGSALKVCESGRKDSE CSDDSVSFHMYLCDDGEVPPVTGAGESQGETEWYHRGTSSRPAEYQSARDTQYTLSLS ESIEYPAPNESDKGDVNASCDTYLITPNLDDIHTRERFPSSLYQEILTSLGVCSDGLC NPLPSTVVSLQERGMCAPQFRGSYPERHRKVVTSAERGGESKPREPVMRGTVKAETGV RLVHSDVLKKSYDAHGLTVQQGSCEFEPLDPSIDSEISCKEQKPINPIRGSFSAEFSG HLGCLSTDCQWAAPQAELRDLFPCTDLPPSLHVASGAETNLSRVPVRKFGLPVNTLTD EDDNMVGCAQVDQSVEQSSDGGLSVTNSVSYHGSREAPFELSSPQSPEFELNSSLLKP VHYWDTVRSGNVVVSNRGNICLGDASRARELINAETRRRGTRPPVVTIPMFALGAVGV TEGKLLFNVRVGASRSLTNASDKTFAVGVTTKYFGLRRALAPAYLFRSNGTIAASVND ASGVPYGCSYASGSQITVCLDFNCKELSFSLNGRSLGTAFRFLDVEDPEPLFPLVIFG EEGDTATIA XP_828132.1 MNIYEWQSIDEDAFGADGDHTSLNLSGHFSSSGSTSISRTHCGS SDVSDGVSSHEKLLRELTKLRDRLEGNSERYASVNNLSRLRHRIEKGLLMVEEFLKET PQHHAENALNNPATHQVDMRYLETLVTALENEPNVTKVCASFRVAVSSGGWETVPVDL VSCGGLRWVKVRAASTYSLQNEANKSGWENPITRLLLAAKESKLPFMQVPEVVVLFSC IPPATMADAVRSMGARPVSIAELKVRAAGGPLQALWRRDDFLPPRVSLPKYVCFDTTA LVALCSEACFAESVESNVAALRGFRVLAEQQRRDKSDPCVRSHIEPILNQYSRWLDVH VMEKELRGHIQKNGRCSVDNPSVVSVEVSWLQKLVDLTADGESPREPLSESVVVRRMR EDQAKRREIGDQNNCLNWIVADVTLAEFRWILETIAGPRELRRALSLLQCCSVVHASS QYVELCDSPITHVSLLASTSKVSQRNLLVFGLGDALRAIVISSNRQILNVALNQGVEL MVATHPARALIEQKVRGMSRREGLLAPPPISTGVNV XP_828133.1 MSRSTVFGPGSLYSFTKFGSFNRSPTNCTLNKRMKDIFRLENQK HIRNDFDRERRYRMCTKCGITTVTINFNNVPSARVGLWGRCADDKDYTHHRMVDITQR EYEVLRESPVEKRLNWWRYER XP_828134.1 MTSKSIDSMMEKAVTTSPKFFSDMNAVEGVKQRKKAIRKERKES ASTLTQWYGMKKSKLGAEERQELELLKYRNLINPELKHKTPKNNTGTSGFVEFGYFAG TGRNKRRRLKSFADEWMEENPEVQQIVQRRLKQNVRLNRKAKERMAKKAARDAEREKE KKSSKRLKKRDMML XP_828135.1 MTQGISEALARRSIEEYAHLVAASTNDSDRVCLIMEAVEAPHIF FYGVLLHIPSVMALATNPSFAWVPQLLEVLCYGNTAELCALPADVQKRLPSSIYEKMR KLSVLSLCRGDVISLKNIREIVGGSGDVEVEVLLLEMMSEGLIEGCIDQRQDLFLLKD WAPRDVRPSEIGKMREKLEAWCANCDAQITAIQRILKGEGEWQ XP_828136.1 MVVVSFKGRGGEITRKGKEKRNFSFLCFFTFSYTLLTRFFNFRK QRRLQPSEGNHRFRKDSSGRFSNIAMGRNKKKSKAALHHESEEDALDEEEQQQAPQVE QDQSRDEAEDAPNAEDPAPKKKEYRGNKLNKTQGGKGGKKNKGNGQEPNAAEEKAAAD QERVNNNKEEALLPSGGEEEALAQKLTEGMTVTRYEPVDVLYCPICTFPAEMCEFSGM YEQCRPWLLEHAKELAEAEERGRKRRVLTERERLERLVKGSGNKKGIERIVLIEVSQR KNKKMTTTVKGMDLFGLNLKDLSREWKKMFSCGAGVTTSEELKQSMIDIQGNVVEQLV EMLPGKYNIPKDAIYKMEDKKKVKCYGN XP_828137.1 MDAYQSLSGRVASMEELLRRSESTMAMVLQRVKGIEEFVVASEG RHRNEGATRSDGLALKLDRVADACNVRLETVNNDLQRQGATVRLLEESVKSIASTVEQ KVNCDILACYQRLQTVETSLTSSVRNLESGIKSQLDCLRSTDQTIQGELASVQHTFSG ELLQTRQRVEKLEASVRSALDELRGMFSNEVVKLSDSFKMQLQSYNQSSSAALAALDQ KLQDGLNTLQGTLAREISADRQTISSVDTNLRDGLQSLHSTVNTDIATLSARLQSEEV ASRAMQQQLLTELSSHRQQLETVDTNWRASLTDLAGKLQDECQGLRGKQSVVETTLQR SLGQVNARVEEVAGELHRTTDNIERNVQTRLASIEGIRDDISRIKCSLATEQDERRRL QEELRSFSVLAERSVMQLQSVMEATVRATHSDLLERLRPLTTYRSEMHAAVTAALNKL WCEVRETFTSQREFQAIQNQIEVLDNAVRKEVALLAEKGRLLERRTEKQSAGTVSTEL ARVSTESSALVPFDAAGELNTVWTELRDLQKRLGTSKEEMIALIGHTRKELLNSTLDI VKDSSDEFRGALTDIKTDVQLLMPRVEKALTAARAAVEKNAGKDGAGNPKKTVIRLLR KSLDDPTKCGENAVYVLPAESEAPLHSFTKERGREGPYGKKNTAPNDDTMTSGAANRP LPEKMQTNPRAPVEHNMEGNGLSKGRPVVPALSERALQISAAREEPTRSPLVSQKDYK GYAANAGEHIASAGVPHATTVRRGSPNATASGERELDGQMRGSRPSHGFADVDTVSAS LLPPLVRGARASPSPGPYPVTSK XP_828138.1 MEGKNCFRTQWSQVPLYSGGNIASLLLLPNSQISSSLHSPCLNG VECLVAACGDTINVLRASDGERLASYTLPVEDVILRIDAVTVAPIAKPSATTKHSHGS VADGKSRKTKRDEVRDGEDTKVVDAAVPCGSYIAVGTRSLQIYVLRVEGAYPNLVEVG ETKEGGDGGDQRIISSSVTKAVSDTNLICTLSPLRSWTASQQAISVVQFTFDGSHLVS GSTDGGVKVWDVFQHYLTHNLHSPSACLAHSFYVNSAKEFICVGSFEGHVAVFDFVEK TLVAHARPHVAAVEAICLTADNKHLLSVGRDRKVVINKMTKTGLEELRAVVVKEHVSS ALFESAFRLHIGAMDGVISTYNVSVTEPLQLSRRRRKVGSDVEESDGELAVRSLVVAN KPRGTHEVGLLHGVVEDDNPSRLYTADASFNISLLVPHPEKATYVPDVTLVGFLDQVL DVKLFPPHSPIHRVVVTNSKDLRCYPSQGCLSSVCLSGHSDIVLTCAVSVDTCLIATA GKDCTVRFWSTETWSTIAIGEKGHNADITFISFNAKQSDSYFLLFSVGTDENLCLWDV GTHVLPLVTKRKSSSEETLPITFTHRAGINSAHEGSIHTLAVAPNDQYVATAGKDKNV NLWTVSGKKLFRDASLKGHRRAVSSLAFSPTDRVLASASNDGSVRLWSLVSLTCVKAL QVDRIPVLQLSFFNGGTQLVTGNAEGVLRVWAISVAEVVWSGETHEEKIWALCVSEPE GGNITFISGSADGVLIATEDYTAEEAERVRHERRDVILKEQELANALRKGEYVEAFML ALKLNHPRNLRQVVLRWSIKDAKGCEESLCKVVLPALNEEQLVRLLQFTREWITNARH CGVASLVIHTVLRAFHFSTLAEMPAISKVLEALLAYTQRHTHRVHDMLRRTYYIDYVT RSLVPPELTSQPPFVHSLASAARPPAKMQRREKT XP_828139.1 MSSCSHPTSAQYVDRARGTITCTLCGDIVQDPQLELDPIFARGD KGGRLRALGHLRPTRGSVSVRMPSARPSIEAARRGMATIARQLDVSDDMVEAALGLYK LAVSLNAVSGARPAILCAVLYAMCRRERTSHMVFDFADATGESPYDILSYMHLVCEAT RTEVPVIDPSCVVHRFAEQMNLGQMTRSVVVCALKVLRAMHDDWIACGRRPLGVCVAA LLVACYMFNIPRSPDEVCGFVRLTAGTISRRLDEFAATSTAALDSIDKYTRDDSSLPP AFTSATKKYDGDKRDAELRQLSAMYYELVAEAKVSTPSTPDRCEKWRHFLLCHCALEG KTPSDASLDLTTLTPQQQLQILGLPNTKPIDSSKARASVKEEEDKIMVKLERVKQEPV RQEPSTPEKGAPPVPNAGMSLSEMTDYYRMLMTRDPNVLDIRRDFDMEAVNPDDVVPA PQLPEEEAVVKTEGAAEAKFKIDPCLAEVLYDNERTLALPWEFIVLQDPELDDMTDLE PYLVLDNEERLRRQKVGEALYGESWNLGAARTKEEIERLEESHSTRKRRREPIREHLT VQDAVSQALRRRGASTINVSQIDELIPGLAGLENSAEDEWVA XP_828140.1 MLSRTFSRLNTVYSRRRWEQRIVSVLSEQKNANVDAVAQRFTRI YKERRFTPVEEAFPLRDTARWVGLNDESNSGSHINQQSTLQQPPDAHKLRAVIVGTQN SGKTSLLNALSLSHIGAVSNRSGVTREWTRGVATVHNTQLVLLDTPGIVICNGEKDRR RHAAPVARTWDAFTVTDLVMLTLPAGLGFVEPEQKTVAREVVHRASLRKMPVVLVITM MDRVQTPRHRELYFAMRTDLESLCLPIACVSETSVKGGNGLVELKDLLCRYASPGDWE FFRNETTDATPVDRVMELLRQCFFEVLPHEIPHQMRHRIIGWTKKDSGTVEVITEVFF DRPAYMFTFYAKLEAICYRAQRIVERELKGRYRFVFQAFITPGGMSCK XP_828141.1 MAPPSDISERRQRASLKSKKRWVRRILWLIVWWFGAVIISRLHQ DSLRMYVIVTTFIAIFAALGWRQRRKIPREGVKSNIHERFGTIASLRRRCVEFNALKN IGTPEAIRVIRDEAFRQNLINSPPDAPSCCCGSGRPFAECCRVLQEELRRCGAET XP_828142.1 MSQVPLASPCDPYNTTGQSQSELQQQQQQPAQQQQQQQQQQQQA PPPQPLGQVNQEPDFLRNLMVNYIPTTVDEVQLRQLFERFGAIESVKIVCDRETRQSR GYGFVKFQSASSAQQAIASLNGFVILNKRLKVALAASGHQRGRNMNNGFNAYGGYGGY GGYGAYPPVANPYAQQQMMAMYQQYMMHAPPQQTQLPPPPPPQQAPGQTGQQSGRPAR K XP_828143.1 MSQQPQYYTTQQQPPQQQQQQAPPPSMGAMNQDLDLLRNLMVNY IPTTVDEVQLRQLFERFGPIESVKIVCDRETRQSRGYGFVKFQSASSAQQAIASLNGF VILNKRLKVALAASGHQRGRNMNNGFNAYGGYGGYGGYGAYPPVANPYAQQQMMAMQQ HYMMAASPMPPISRQ XP_828144.1 MSNGGVTAFPPEGPSLYGRKPGGSFYATLFSSGISAGFRFPEAP RHTSQLLVFLSNSINVAGAAVIGMEEMILKSVRDVLQVYNELGGDGTGTAPCLHQISL ENLRSLADCFAHRPLLGPRAIGALLRMAVLVVKYAPLDALCDEGCNILNCLLQLLSCL PRSTVENEPLSILRVTRITIRNAMRRIGPCIAGTDGETFQGRICAGASVTDEALERDI IPWMEKHLLPLHIVTGDAARVELREDRHLALALLSYLPLNSAASSHRVALRLALLYLA ETVAALLKVDAAVGGVEGTEREQACMFLRQANINFSSYSSVNVNLQTDEDAAGGCCIT DGPPVPAAAVEDFLLAQEAAYRRDFTQLERCINREHDLRVTLERCIDEAKLRSGLQ XP_828145.1 MEPECESFPVGEAAPASTSSPFISTQMPPPMTSAPQLPSNEAEA PEGSKQHLSGGLTPRAAPFAAPRPSFSMEPEPLRNLIVNYLPPMMDEDRLFQLFAQFG PIESVKIIYDKVTRESRGYGFVKYMYFFSATYAVQWLNGYPIAGKRLKVAFANAEAAM ESYKAMSASAMMFTMQQQAAMQNIFQRQMFLAQQQQQQQN XP_828146.1 MYILIVLRFFFLFFLLRHMTARCITKMVRHAHLLWYPHHPETLL SVKRFASSVRQRNHYGEASPTQRPSRSFSPLYVVEPSTRKGSFWRYLRTVRQEAVLIG AAVVGVGFYSLATLAIPATFGKLIDFAGNGELPLGTSMQLLGWFTLAGVANFARLACI GYTGERVIARLRGQLYRAIFRQPAAFFDVAENSAGSLAQRLSMDCNLIGASLTDAVTQ GSKNILQTFGSIGIMLYYSPTLTCVVCGMIPPLAVFAGVYGKFVRKLQRQMQDALAVS GSVASERLNNIRTVKAFAMESKESKWYEKKVDVVFQISKRMLFFNASYVSSIQFVGYG ALYCIIWAGSMLVAANQISSGVLFSFVLYTVYCGLGLMGLTNLATEINKGFGASIRVY DILDTADEIQKLQEQTKGMVPLECHWNIKLTDVSFAYPTRPEVAVYEKLSLEIKPSRC TCIVGSSGSGKSSLAMLLMKLYEHSDGTITLDGTDLKSIDTHWLRSKVGYVGQEPVLF GGTIAQNIAYGAEGHDWDDAVDRWLYSSVVESATKANAHQFVTALPEGYNTYVGEGGR SLSGGQKQRIAIARALMRSPGILILDEATSALDSESEIVVHEAVSRLIEDAKKGSEKR TVLMFAHKLSMIRKADHIVVLERGRAVAQGSFDEVRMHPLFCQLVGLPLPQSVREQQS DTLEAGAEV XP_828147.1 MAATRAIGSTITLITNSQIRYEGTLGHIDASKNTVSLTNVRVFG TEGRAKEKGQVEVPAAEQLFDQIVFRGSDIEELTVFEESHNAMMDPAVVTALPARNNN NNNNTSSGNNNNNAHSNNNNNMRMPQSPQRHGGASMGPGHHQKQQHMYSGGYRRGGRG GYRSGRRVDSHTGRDFHPATGTAKEEFKDDFDFIKSREEFEKKKSEFEKAKEDAKNHS KAYDRSNFFDKISCDQQDRAPPLDREGMKRTDAETFGSEMVGNMRGPRRGRGGRGRYN GRYN XP_828148.1 MPPKKDVAMGKQPRIGAPQEPDVDEELELNERSQPSPANGFSPP VEVSPGTRSNTKPCVWRVQQTEGRRKEALKAMLSPTLAGRRAAVWLQEWDRGSRSVRL RILEAFLTLHSESNSRRIEVDLGDASILFFTRITAWLRLTYKLGVGLRSVLSAISVFI RGVRYLTCFAEVGGATTLADTLATGTLCVEDRQEAVLLLLYIANAGRVYREMICDENG VELLIEAMRREEDEKILDLFSALFLAVGEGSSRSLNSPVYLGLIRLLPNEETTAAAAL YAARTLRTYQTSWEKKYMDSVAANNAENTGSVPVVGINPEDPVGSAQVLLDSLFALLY HSELTVRAEGSELLALVSKNLQLTGKILSRCLDVVDENRLVVEIDDDVEAIHNLRRHQ ITLGTTAVKVMLTDWDFEARRRIIVGLVARRSTHFTLLKFLRLLGVAQNTNAVDCCRL VQLLCREAVQQNQRGREDGEGSAGSCISLDKFSKHIHDVVGSALYSVILHEDLVDEQI EAIVRSIMSSD XP_828149.1 MNRSCSRVTVLRGAPSALYLRAPHTVSVRLRRSAVASLLGPTFL RVQVRTQFAQIPGKRDQEAFLAQFNPLDVLGLNESCTVDDIDEAFKRMSAKYGPNGPT PNAQMVDRVFRAHEVLKDPASPYYLRAHSSDSDRQRLQFQLLPKRQRRLIKAQAGMLV LFLAGIAMLVISMTFRPVKRMLRAATR XP_828150.1 MESVLSCNWRSMIVPSNFGYVEERIYRCGAPEPCHYGFLASLKL RTCVLLTDSHDEAFVQWLRENNIRTVCPLHDDSHPSRTCVEMSGVGHHRGSMTLSEPV VVGILHELIDPISYPLLLTCSMGRYRTGIVCGCLRKLQGWNLVSILEEYRRYAQDKSR ADNEEFIALFDRDLVSTELKNGRKPTILFYEND XP_828151.1 MRRTLGGTVRGHLPCIFIALQQRSVIPGQIPTDDSLRHGNGTGG EGAPGWELRRGHHLHSSVGLHSPYPTACGRMFNPQLYHEVLQACCDQKWLQAVQREMR NDAEWVETLRREGGVAKDMNVLTESTGHDDEFMMKLREKLKTNKKMSLTLCAIQESYE RIREKRDMHETQVAADGGHDPYAAMRQRQQGEFGAKLPSF XP_828152.1 MSPKGIRYKPCTHFMSAKAAPKTLHQVRNVAYFFAAWLGVQKGY IEKSANDRLWVEHQRKVRQQNVERQQALDSIKLMQQGVRATTPGQLEGVPAELQQLAE AFTK XP_828153.1 MIHKLFVTTWMYFFPIESTIILKGNMSFDYAVLSPTEIIIYKER NAPILKKVTNLLLRGGAFGYLNLEKMLHRSTEKDSDDSKKGRRINPVTFKSVMVQCGV LLTPEEHKSLRAAYSDEGGFIVDQFLELVCPLRCLREEQISMLMGMYTDYDSAPMIPL DVLRRTLEEALVARSATPEAGESPVIASALVELQTVFTPSLYPKGYVPPRDVLNFFAA ILLNAVGDEESVVDWLSMVRFSPESPHEVAPALPPVLPLTQRRERGFDYYTDRDNKDE WIRGREERPPGEMYKRFLPGYAGHIPTYCSKFGRTFHTIEESAPTLTRPVQKLDPVPE DRYGPGVELKPSRMSRHNFKLA XP_828154.1 MKRGTRIITPCRVVFSRLPIQSIMRNRRRVSVTSSTSALVNELL AAFAPHHLPSLSTGFDMGRSSEDIQDIDGHREWYRGGRGRRCPRLLLILCLNNGNDPP DFSRIDPCARLECWEEPYIARMPHIVTGGGSERIAALLQRQYHSQLQGAVSSMAVEVE LEDAKKRMANIRLDAREELVVFHYNGHGMPRATGYGEIWMFDKKRTSYVPLSLAEMAE HLSSPTLYVFDCNSAGSLLKFWCEERLHETRPDDMFICACSAGAFLPLNPQLPADVLT SSLTTPLRMASEWYINFSHRKHLLPHVTAEMIRNVPGDISDERTPLGELQLILTAVTD IVAWCIVPSPLYCKLFRRDETTMVLFRNFLLADRLLREVGCVPQTHPPIPEEAHLHHA WDLWDYTLENVICQLPDLLTRDLVLNPNYTYKPSTFFEDQLMSFEVWLKSGDMREQPE ELPSVLSALKRPQCCVAALTLLVTYLDSDVIAGKWAILCGILTHITSLLRNQELFLMA SVLWMQVLRVDESLGTSELIKRRLGNRFIKVLTLTEKDLCVQTVERGQVAPLRALNNV NRRVGRGDTQASGNNSACPAQISCYLMEGVDLGRCKEIACYLFCQLLRHGGTTACVEC WNGGLLNAAASLLESPSAEVRSWSCLVLAQLLFSLQFAKDFVSRKCTTHVGLFTHLLQ DKSPIVRGSCVTLLSSLIGFRVSEAPGEHAVRRLQVEKTLLIKLRGMVYDASVIVRQE LVLFACTVLFHYGPFLPSLQDTTAKTRYVVYMQEVGQCFPSWFLDEPDVQVKSMLNTS RPTANRCFNSKSVFENAPCAPADLTEPPWTPLAMDDIRSEDLPVLRGMVHDAALMLFS LYQACDKVMVTAALEKLGDNKRPESQRFTNESLRSMSSVVSANSTHFMSEAERARVTR NADNMQRIVLDLHDQKTEPPSMCTTAANRTRSLAVDGHSATYNANHSSGIPGAGDEKV NLDLVYAPHQILQSLLPCDQVICSTLRVLETAMVIATRNQYIYHVSYESYSVQETVHS FQVHLASPLHDILVINDASEQAGLLLVNQRGGYSLIRDCWEKTQGSPVEVAVFSACPP QRTVDIKSAYRSHNANLIYGGPIGYGGGTEIHILSLDEEQVIQQLKVSGDPTITSLSA HTTGRAVFAGCSDGLVRYYDDRQKQGFLGAVGSLRCGTTSYSPMEAVLGAGPVERDVA QLTIAMASRSAVYLYDTRKVNAPYLEVRVNELCDAVVPSSAAKPPPIRAFDAGTHTGM LGVLFADGTYTALNARGRPILRRAIQTRVQGPIFPGSLAVHPLRRVMSVGGEILVVH XP_828155.1 MSTKNSVVGSASGSHASTAGETVTSTRSGTSSRHSRQRRQQYDY VKNPSEPMTAMERLKLVRCSIARLRYLGERHRHTSKLDTTGGDRLLSFFEGLRKRVLK LEYNPRVVAKSKVGAPKHLDYIRGHANALLGEASTIISECEQAMDRSEAVYEGLAYHQ LQDTHRELENLVGLLSGAPSDMESAGSLKLLADLVSPHVAEFDAFAEQRVPEFLQELK NEGFLFSSVINDSQQPANAIGLLSVFIVDVAIGVRPGRSARLVIQHALHNLARLKSRV DKADLTLGAEEDSSNNEHHRMQSLEFEVIRGRLREAKEELERIRDKWDHDEGDIFSRA GTGVQGLQSIIDAKRVKESTVIEEAQAIHDRTVYACLPFASPQYCRIRSETYSQPCHV RVGFKFVAKGDGSVSRTKTQLIYNLMPEFLILMRQQGIAPSDQERFATLAPSKYELWL SLGELPEMVLGARCALRGVNQRLDHFRALEEQKEVGGYFVLRGGERILRNLILQRCNV PLNIERERFATVDSFFSPRAVIIRCKRPSGLTVQNYFYYATKGEVIFTFARRVVWNIP ALLVLSALNTRHRTSLDIYKLLTIGLTNGRSPHAARVEALLQHHSQMPYSTLDNFLDY LAVVGLMYRRYHESSFIFRFLPQYHTSFATQHDAWYGLFMLRRHMLPHLNTAEATPDL PPTATSREIREWLSTGLQEELAAKFDALIGILRQLLVFNDGATGNQGNDVLAYQEVFT VSQVLIGAFEVSLMKTMGAVTFRMAGQLSPEIFQATMCLAETPIREAANTLEKLNQLL EYSCRNNGPDPLITLNRLLLTGNFALDREEDFYSPQTAGWAVMTEHLNFYRSFEQLMC VHRGKSIAEMRSSDVRRYPCEAYGFICMVHSPDGEDCGVLNHLSISTVLSASPRVGSS QYKILLAFIEEELKNVRNTTSLISCVDQLVGTVPVWLEGRLLGYLSPNEALSASKALT QKKALRSRGVVGVTGIVRRDSISSLNTMEVVYIPPGSKDPMGLYIFYQYGRLMRPVLQ IESPSRGGDLPFPVVYLGTWEQSWRDIAAVPSDLFDGFDQLRRKYEFMEQNGFNILSF TSSTIPFFEHNCSPRNLFQCGLSKQTAGTQLQTQAWRRDAKLFRMYYPQRYISRTLPM DYFGLDDVSLGVNAVVAILAYTGYDLDDAMILNSTAKQFGMLNAGVTVAKIVKASGKG DSNDVFVFHNCLPDGQPFTPELDRHGLPKKRAVPNATAYFSFDTDHKYPSLRDSSAVY CCAKRFEYIDPLTNEKTYEYTRHQITKWRHFDKGEDAWVHSVIPLTYDGPDPTSVLVL FRIPRPPVIGDKFSSRHGQKGTLPLDIRSHDLPFSTRDGITPDIIINPHAFPSRMTVG MVLEMMGAKLGCIQGRLCDHSAWSVIDEQPRSAKTIGDALEALGYNRYGREKLIDGIT GEEIEAEVLVGISGYQRLRHMVSDKWQARARTDSHTHRAVTKTGQPVKGRKRHGGVRV GEMERDALLSHGVAEVVIDRLLHVSDKTKAFICVECGSMLSIYERHATEYSTWKTCKF CSAGLHETDDTIAFVEIPQVLRLWATELTSVGIRVVLKTSETSL XP_828156.1 MRASAMTFRGRMDVKPWMGVGCYPVTPSFIGGMLSVKPAYNHYK MMRPQRPLRAIGTNVTLPPVLYNRLDQIVQIENAIALQRVERQVEMTLSQLALGIRNA EDFLEKHLLHAPVRPSLHMLWFHEDGIRKNQHILSTLGAHHLVPLFSLLAYDVERGRM SLHMAEELYDELMDCSVAQPKVVQRELTNQMVRAYCLHDEFEKALDVVSEMKAKGIRR TFVTYAPIFRMIRSKEEVETHLKLEQFMRDAEGGRLQKLCFIDVPRIFYVFGVFIRYN WAAINSIFTAICTIAALHLFNYGI XP_828157.1 MSGPVVEAEAALMHCVGAMYNALLETVDDAHPRFTADVAGKNSS IELVDTEVYQLEREHNAERQRVGRIADELERHFAALEGAIRALPDDPVASLDRDIELL NTEALSLAQAMIETYDEADALAELLQSEVETRKVPAI XP_828158.1 MSLQCEGDGAVCYTCGHFDFLPLKCNHCGNMFCGEHIKNHNIPG SEEACAAAYLGRVLPVTSMVQEGATEPRHACAICGSPLCVLIPCDLCQRDFCAIHRFH EHSDNHGERRRVQGTNGHDTTPFPKDVEEAFAHICGRYSPGRPLVLQPPAFRGTMLPV TALMCEVDDTGGTIKAVAVISLSVAAEMSVGQLLDRCTVSLVLPPSHLLKQPMFHISF SDRAVVDQIPLSLSASKADLSNRDVLLVVQQRGDPTEESERGVSDQVGKVTVSVEALE NALTQLLSLALSDGLRPGSRLHSAASRIRLRSLGTKASKRGTSPPSQELSQSGQLPHC ECTNRVKVSSVSDREVVRIGTHQQCDIGGTPWPFLRPPPLDEFIFSHTRMNPRRAAPK EPPSASIIVAVFVADCQLSREVAPFCISLNKELSIGRALDIIREIVDEVAGPRPGPGW NLFNLTTGELLTVPPLSSRSDLRDRDILFFGEVFSEALRAEVVRLREVKGKPLMALKM KMMKSCALM XP_828159.1 MSFPTSLHAAKEMLRMKTSGNEYARLVHEATNEDPWGPTGEQMD NVCRVFQAGTVKIMEEIKLRLKNRDKSWRPCYKALLLLDHLARNVPEVGLPPLCSILP TLQHISQTFYYTGKQGADHGLSVRERAKKLFDLLSDPATLRDERYKAAATRAKLSGES IGSNMYGGFGSSQFQGGSNATQPSNTFQHASTTSYTISREQQEQEDRELAARMQCEEE RRAGITVSEAQRMLGLERRSNAAKEASEKADMELAKRLEEEERRRAFGGVGAAPSEIK ATAPPEDNISSNAVEAHPKAEPRHDMLDDLFASAPAVPVTATAPPSAFSMPAQQQAAS VDPFGGFTSNNAQQLQTHQQWPQQQQQWPQQQQQWPQQQQQQWPQQPSQTQFPYANSQ PLAAPLQQPAGVPSGGGWYNMPPTAQQTQSPPLSQAMPTAQSGMWSSGAPHSAVGMGM GAPQGYAPFDNMGTGVTQLNTMEQQIAQFAGQNSGFPQPSSTSLDGIMAGRRLGQ XP_828160.1 MVHSHGYKCGTRHLFAKKFRKHGVPSVSTILTNFKVGDYVDVVA DAAVRAGMPHKYYHGRTGIVWNVTPRGVGVIINKPVRNRTVRKRICVRFEHVRKSRCQ EAFKQKLKDLEAFRAAKKAGTPLPPKKVSTRNGGFVCPKKVEVLARRTADYEAMIPY XP_828161.1 MAEGEKVVVRALGVCWTAAAMEAAVYELLQHVSAYVVHPGDSVA KFVEAPQSGQCSVALGGLQLLQQLFPYLYDEKKYLCEVIIKNCEVHQASIGVMCRVDF VAYVVLHAFHTLVRGVPLLPRGASLLDSFSSVSWGDDLPWTRNVNPTEVRESHWCRNL LGDFIKKLSVIVHPVDPQDLLAEVASSAGLVEVESSGGCDTPFGFLSSLLLREYLRRT YAYDSFSCGFEVAALAAECFVRVYPHLMLSSRRAGEPGKDVVTSLHGLVDRLLWRVSD DAGNRRVPHGRVGCVISGVAFVTTLHAGGAGRDSTLGTCSLSPFNALGTLLREDRHDG ALLLVSRFPTVYEGENNLGIRHLLSVVRGAEEKLLVIAVEGKVCGEVLYYMETLGNCS RTVLVAAAVGRATMTQLALTFRTLPRTLESLKLSPVKCRLKMCALSSSSDGLRRRCGG VEPQSFLLSLAPLYTEASGNDSRVMRNIISVFLGGRCVVESALVECLFQRQLHHLINV LCAPTPHNAMIPAGGTSEGLAVSYLSYLTDNFEDDGSSFGTFKIAVIKAVRDAINSYM ECVLRKSGGLTADDAIAHLGASQERFQNVEWGSVDQSVRGCCVTVVPGHQEPHFGPLY RVTIPTPPLFSAFEASWPVSVSVKSNHLLADIREWEGRVEVASAYICIGRCLDTLCLS GVVGKRSNVGEGKNSEGASDFFFFPSVV XP_828162.1 MGDKVEYGTSTVKSLGDTCRLVRALQGSTDPRLVSINSLLEGVV KSAQSLVKGTDSQKRFNMEFAEFCGVALMGLPDLEDAVDDLRVQENCANAAVNDQDDF DGISPAIFMREIIGYVVSRNTNVGAQYLEHIGRSAMAKFAGQESSEVTPKRLKSGMND ERHVQSVARKKQRTRPVAGKMGRAPTDDKSGVAATGRVFGVDGDEPKQRYKQSRPKGP PMLSRWVKPWTLLNQRVQGIILEHASNENTRRPPVCNYPPGKRSNITPFDICTAPSGP EMLNLWHARESMTSSSVGHCDPVGVAFDETALDHDSKGSEPSFFPSGDKKVCAFVSSE LMANLVRYGVSSLEAPFKGAK XP_828163.1 MFGRGFGANEIREEYNFGPSNADDQAPSGNHDSRTHQSQRQEAR GSHDTPTNMEEMNVFSESDESLHRSRRSEDVPAASRYRDAHSSSFYPEGSALSTTEIP SHPPPSAVASNQGVSGGDGDGLNVTAGGVAAQLPEVSKTDIVKEITGRSNTNTNGMTV DGKEHALLVERWKNAMAEEERLNILQRQVEYQLKATEGANLEANFPPKFLCIKPLVNH TIGMVPEPRRKYVKFNYVIWMVNCVLLVANASVAITVAFSPYENEFEGPKASNKAQAT ALSIAYLLGVPLSFFVWHWPIYKACITGLATRHLVSLCGLLVALAFAIFMLVGLPDTG ACGAILAMEVYEGKSNYLLIPLGVVISLWCFETAYFFYCMVVQWKFYRLDIMAQREAR SHIDNVIGV XP_828164.1 MSTDRKAIIKNADMPEDMQSDAVEVALQALEKFNIEKDIAAYIK KEFDKKYQPTWHCIVGRNFGSYVTHETHSFLYFYFGQVAILLFKSG XP_828165.1 MELMEERPEMNRSVSADENGEDPAVASGADIPAHVMRRILSARA FRENARRIDDVTSFVSRAVKTLSDKEGVSLHSDALALLENISREEDHIFSKIPDDMRE VLDKKKAVVTFSKRKANGKRDAGVEVSTEHSPRRDHGTIIRFTIDPNTPLPMPHNPVL GSLDPKKQTNGHYHELFFGDRDAIVFQPPENNKRKELEKRRKEKLYWENKMKRPAKEI KLETGKVLKVPKDFVPACAEVDHSAEQEKLQLKKSVDSERNLIIFR XP_828166.1 MSGFSGTARTYCARWIGLIFQGGVVPVLGCTAGPQLRVRQRQPF GPQGGGKLGPVSLSKSPQLLLVKRMQGVEPEGGSVGSAPRESGWGVRQASRAGGGCVS RGSVIFTPSVCISTKEKVVSRSTLYCFAVCNCCAAGECLTASWDRRGSGT XP_828167.1 MSDDEGQFAEGGAQVGSLTYPMQAGALKKGGYICINGRPCKVID LSVSKTGKHGHAKVSIVALDIFTGNKMEDQAPSTHNVEVPFVKTATYSVLDIQEDRED PSKPAHLSLMDDEGETRDNLDMPPNAELAGQIKEQFDAGKDVLVVVVSAMGIDQILSF KNAAER XP_828168.1 MTSKHSAVLTITEKGDWRTAKNGEKVWRYMVQTAPTMRARCRKC SQPILKGDLKWGTPIRHSHGAYGWITAWHHVGCTRIAERKGFSDIVHGIDLLPPEKRA QVVAEVTSDSMPEHLLPLNPDDLVKKPLLPETEAPAELLRPLLRYQKEGLGWMVSQEL SQVKGGILADEMGMGKTIQMISLFLARRLVGPTLVVCPVSSMLQWESEVKDHVVSGSL SVVVVSRTKNVRRDDIQNADVVLTTYPMLEQSWRELVNKKRVPCPYCQQLYLPRQLVV HNRYFCGPHAKKTSKQAKREKRQGGTGSSPTRKVQAKETIMKGLRTLRVDVDDNAEEG EDSVFEEGPRGVVGPMGLYRELMVEAGRKVRSRWDPAYVGSDSSGDTNNSTTESSTSS ERDDSEEVLSKEEVADDKLSSFRCLHCGFQLLRYPFCPKIGQCHVLSDYMKQIIETDD GSDGVDLSQSVFHSVTWSRIVLDEAHRIKGSNTSTSRAAFALVGEHRWCLTGTPLQNR VGDVYSLVRFLRLAPYARYYCGTEGCSCSSFSHPFSGNDLRHCIFCGHGPVQHYAYFN RHILNPIIRYGYVGDGRRGMMMLSNEILQKCMLRRTKAERASDLHLPPMTVETFQVKL TDEERSFYESLYKKSTAAFDTFVEKGTVLHNYAHIFQLLSRLRQALDHPLIVINSMNV GGSSCSKGMCGICTESCGENSVQVDPCKHTFHRICLSQFVESQPLKEYNCPVCYVAIN IDLRSLHSGWDEDGAQPVLPPELVHSDNESDENNVEEESKGRKLDDSAEGKSARARSV KKRGILSRIDSSKPLRGTKLDAITEYICSIPEEEKVIVFSQFGDTLDLIQLWLQKVKV KTVKLVGSLMLSQRQAVLRAFLHDKSVRAILISLKAGGEGLNLQIANHVVLVDPWWNP AVEMQAAQRAHRIGQTRPVRVVRFVTERSVEERMLELQEKKMLVIEGTIDGKVSSLQS LSEDDLQFLFTR XP_828169.1 MGVSLPKPVMTHLRERCGNDIFRCGSSCVNGYRESMEDAHLVYL QPSWGFFGVFDGHVNDNCSQFLEGAWRSALEKESMPMSDDRMKELTLAIDKEWMDKAC DGGSTGTFFVGMKENNTVHLQVGNVGDSRVLVCVDGKARAMTEDHKPNNADERRRIEE CGGRVESNRVDGSLAVSRAFGDRDYKANPSGGQLSQKVIALPDVTHVDVTWDSKDFAV LCCDGVFEGQFSNEEVVDFIKEQMEQTDDLGLIAGRVCEEAVNRGSRDNVSCVIVQFK SGKDYATAEHLEVVPGPFSVPRNGTFRKVYSLMAEKGGMTTQEVLEKRYDYLASLEDK TAHMEEWKGFKDGPPANLEGKERTEWFSELFEQYAAETPSDPRSDNMERIQMLQQQIG IPLPMLLSIMSGQSEE XP_828170.1 MRRRRVGVQQPLLCFPCSCLLMFFVFAEGQPPECYSFPFAYHVY LESETNCIFEHPIVLRGIFPRASSFASFSFLCILFLHLCTFLYSKVCGGHLSLSVCMA SEKSLQPLELQFTTRCSNDDTSETDAHCVRVCLEGGVVFQCPASMLREGCLAMSELFP PGSATSGNATAGDNVKLPALSIEGRAFENVALYLEHFYNVPASDSPVDCDAATDLKDI RPTSLSCPLQFQELYELTEWEHRFVVQRLLMLPREKWYLCKEGKWVDLISGAGVARGM MIDVSHLLRVLNAAVTLDIPPLRCLCGAVFANLLLDLDEVDMLELMGVKEQLGPEEEQ ALVKAYPWLSL XP_828171.1 MGFSDGAVVKLLHEIVGDQSVPQVEQFPSFGGLRKHDFPTPLVF VFCGESWPTSLQSCSLQEMGSCSFSMAPDDSLTSLQLEPLSIIDEEGSASGDCGSRGA LMTRFQLVGTVFVIERYQLFSTKDSASKSFSEECNEVTRSTVIVRVTIDASRSLGDQK VVARPVVLSEDEGLHHAFFNTLKPVVRLNMSNCDEASDSSATFGSSPSDAESGQGLSG SQSSGDSNSDWTNGLSYGNCAAEPTPLHPNPVDSHPAPGTVHGDAVDKACEPSIFPSA SLPTGFDLRRATIYSMLDQEAYQNIDVYRGWNNLTDEWSDVSRPAVVNLIDVIVTCLK CSRAAAHAAISYLDAYVAGVDNLPRGRTFFSGIVHACAVLGCKQVDHFFSPTKQFMEY LRSSCRITGKDFVRFELEVLVKLDFRLQQLTSLEIVETLLHLCGGAAIEEALEARCRR RELARWDKAAAWDAESTTKVDCEGTLRGLDQWLKLCDCARLLNDVVVRETRSTMLRPV VLGVAIVVVAARWVAYRLPQPLLELVPTRFASAAWADEGPVLDEMSFQLLVTFAERAR LRDDDQAHCGIGEELITGLEFVEECLRFCDGGTLDEVLRQRYRHTLKS XP_828172.1 MPLNSSHRERVSVGGLTPCIKKATVTAPETAFTSHGGGHRVLDV AASGSEGLHPDSGEGQRLHPMSDFESLYKVRRQLGSGGYSVVFEVVDCITHERKAAKF VVGKVERRAARARLTGPVELRLHGDGRNGDRRKVSSIGGLEKARSANSRPTVSDSLVK EVAMGLMAQHANLVHTSDVFVHDTEDLRRRLREYAPQLSLETVRRSEGAGPYDVARGA ACGESPSKSWQPHRLRQRSGGSGSDTTASVRGGDAPILHECNTSSADQITQGVETAKR YLQESRVQCILVMELLTGNDLFTLVSRGPLNERMAASYMFDLFLALRYLHNRNIVHRD VKVENMALDSENRVRLIDYGFCEVLRRSGDANPNGVEGKNPEGLLTQFCGSHHYVAPE VITSAWLTRHGSKNSETTTHSGVSLPPIAQLSATGAPPPKESVEGCVVGRQNVGSAGA LRTHLTRRGVGYGLSADIWSAGIVMFVLLHSAFPYHDERRSRLLKMIVSNKRSLGSAS RLSSDARDLLRKLLTHDASRRPPVNEVLEHCWFRKQLGEKVGGKLSLVA XP_828173.1 MSLLKGKEVEVTQDDQRRICIFARMHRRRKELTATITRLKEQAA KLSDASDELMIADGAMYLFGETFVAIDNDEAGEWLAKEQAQLQRDQETTEEELKLVES QLSDLKAKLYASLGSQVYLEDE XP_828174.1 MEPMEQPPEQMLDVIRRAYDSVYLYGNCYAGIKVATSALNSGCV DECDLSVSENTAASSTEHSGGLSGVRLSDSSTQSCKTNNSSLAVASTKAQRGSTSMSN SVLGALGSGANVKDATVPMTLNRLQEFHLLLLRAEGYSGVRQHEKALKDAEAAIRISG GRSAEAHFILGRELLRLYRLEESVAAFQTAELLLPALAVDGVPFPRETDDEEFWAQRG YRLQDAEELKLKRLEVEAEEQQARAWRALECNSSGNAAGTRQRFLEPPFLALKDVKCG YPNLMKWRQLSREAGALLSAHTSHVFSGSYLQPTMAFMERRISSVRNGSVVCIHNTTS YPLKWVGSWFPDCGFHANMTFPQVIESGNCGVALLQPKGWGGYVGYVCYRVAECGICC FFCVESTFMGSVRCGVRFSSPYRIEELGDGDPDDAALKEFMNFKLPNSSVWLPSHTTA PPVNRRLKAWSAVSEGNRSSVFTVAEVLPIGLRAVELLTALEYAGPMVLKKLSAVSQR YRSLVNGLPPPMFHSPGRILYPDYCLRGDRVRSPWTVLDQDTVRWFFLNEGIVGNRER CVLADSTHMTSGILRFTREKGGSMDAKVYYGDGCSLIAQVKGSWIPFSSTLSFISSTG RTFATCYLNHSSQLTLSWGSAGAKSKPEDVEYVMERREARAETGNWRSASAVGKVAGP MGVTGGDDTSGTRSRVGRSSGQGSTNSHSSNTGTRFGAVEAYTVRRVSRRGGDSAIEL SSSGAGGGETMGEVIFSTAKGGTPMKGASICEVHLYPGVDALLLSLMAYCRFNWEQ XP_828175.1 MELAGDTLLTSLSVSFLFCIPHRDFFCFFFCSTVPTRFTCLPDL VHDLFADAACAIVCTYFHMLLCLKFGVLFFFLLFLFFFGGGGFFFLFLVRGFHRLGSI CPSFGGTIGYTLLSLEGGGQYMSRSARLLTVCQKKKEKGNHCAKLQAQDVCG XP_828176.1 MGHAFCLPEKRGNDARPYFGAPISDLIVCEQNEVQKSTNCILGV PLILQDVVFYWNAQSNTKGENGKADVSPNSSWVDEVEQTFNNFVRKRKLLTSSASAVK APKKNAKKTNKHEKRLFQKPFEALTSKTTLHAQHFMAIITLFLRKLPEPLLPVPVARK MEDILTSGPLTPTAKLRAIGKVFRETYSLANPREYASFQYLRQVLHQHHAELKTNEVE ALVRAMLRDHRAPVEEYLIELLWPTADGEGKMKAGTAEADSTTPADERDNAVDGEAKA LPQHLHDSNSETDSSPPKVVDRPTDVVKAGKQKKEDVANDECVRKNAAPPPAEKDCKV EEDAAGAQSQREDMSPHSSRTSDTHILEVEEHGDEVEAFHHVNGEHCGDVDGLDHDKS VECRVTQVGSESCAGPGEGTVDEEGPLQDVVESPTVSDGASCVDRRGGLAHAITDASE TEVCDVVQRGSREDRGCVELDNVVDTPDGGHYSTAAAKGKLRQANRPVHRDERLSASG DAASQIGGPLTATTASLTNNQFAPRKPRDKPRTGRSRKNLRVLTERHPQQVALLRAKQ LFLAGGAGATELPSLPNQPASSPPGGELDDGDCCVSSVDPSSTRIAGGHTGVQYTAAE EQPLSPEGHLQSAAVFSNTDDNQDTRKCGPDNEWMAAQHLQLAFVQPLMEQMAQLRMQ CDALSQQQLRSATEGETLQLVSNDIKLVKEALCQLTQSHAETVGDLLKLHGELKKLAK RETFELEKRHYCEEDLEARKTALEVLQRCTKLETQQYEDQQKVKSLTTKVRELTAKVD RSEKENLDLKMKLATAQRQTTLMREKLMSSGREGRSV XP_828177.1 MVDHISLCVLFASPLSFPLSNLFLFIFAFYSRELSSWKRRRVMA KHPGEGEGEQQRLSMGAKRSTHAQSPSQPPDATAAPIEILDASKPLPGDSSDCRPGSS ETIIVFPPPSIFKRVGSTQRPLRGDTKPHASGTAVSFDEKTTSREPISHQTKVNPPPS INVHRVPTGGQPVPAGPRQVNEVPPKMDDDAADTASTPEPDDEHLRSLTVDVMSPIIS EEGEAKGTVTCPVDAICEQVDNLSSDGDKADIAFVLYSQYLKDYERKPSFTADTWDEI KYVGDSGRRFGVLYDEERGAVQLILFGKCYRIFILSFLLRFICLILFWAVMYMLASRS KFSPGGLYFDTLCTILFAGVVGSIISRVTRVPSVACAVLAAALYNNIPPTGSLTAGTS LDMRGVISLFGLTVGMIRGGLALNLRALKANFFRYLCFSVVPMVAEAFAHGFLAKILF RYPTTTWALLHGFIVTANAPGIIIPALIELQRKGYGTRGGPGVMILISVSVEATFCVW TIQLLLAIQFNTMGLLLAGLLGPIQIIVGLVVGVGLGYAFYFVVFDILYKEGQRVPLR ESTILYATQRHLSHVRLTSCFIVMLVSMVCTSVGRMVSCIGGAAVIIVTMLALFSHWC DVASKMEHLTAKGDVLVFFRVLWDYVAMPALFAMAGASVNFSEVFSGDFFAPGIACVL AGLVVRCLSSMITPLLLRMPFTWRELVFCGIGSLGKGPMQAAFGAVPLMFLQTMGANS GNSTGTADDFSADDDIKHAQTLKNSAVLSMLVACPLCSILLGVLAQKLLRRDAPSVPA AAK XP_828178.1 MNTHAAAVTVGSVLFQCAESRVYECDFYSHPAVCKYRLPKPYRH PTLDKRLREQRSVREARALVRCQKQGIAVPAVYAIDRESCAIVMERIIGMSVRDVLNE AQRPLEGAVSPVAARLLEGMGEVVGLLHNAHIIHGDLTTSNFMYRTATVAEGKADSAA CGAAPRDRLVVLDFGLVMDKNSAEERAVDLYVLERAIKSSHPSLEGVASAFILNGYRR TADPHQVEATITRLGAVRARGRKRSMVG XP_828179.1 MHAGTPTTRAATPVHSKHQPLLMPARKRALSPIPQRLQQRPSFG VASPKRNMLFQRVKAQDEASVSLPDETIRELRNTRSLFLCCRNLLSFGHIQYLEHMTN LSSLNVHMNAISRLECLFNLRNLAELDVSANELRDVDEGAFVGLCKLRRLNLSSNFLT SLSGFKHLPALEWLSVSFNELEDLGEVQQLPCPQKLVYLDVCGNKIPSVANLVKPLGK CCDLAELRVEVPRAALLLPTTPPQLQLRENPFCATESNYVERILAKFKRLKVLNGVPC GCDLTEDLHVSSYSAAASEGPLTKDNGISVRECKLHPITAADSRDTASRGELRDAGHC RKEVVGAADTSGVAGNEVRNSNDCDGSGSFLNVVEITSSSEGLTSPVCVSRGVTTDLS VPPHRVMHLSSTDDVAAQKVLEDDNAHLKLSLRRLQEQLSLRMALEEDLRRSLEEARR NHASLVESSEVESKRFGRQIDALKDELSRRAQESGVLERQHRANLEKQMKNHKAAMRE LRQREAVRAAQDLEEKLSQVHKEADKRSAELQERVDSTLKQNEWLQKMNVQIEERVKQ LQAEFLLCEQSAKLCEKRYLLMLEECSSRHAVEVAALNALVSSGAAYLHLWQHHGRML LVSHSNNQQEWKEYTSKLKKHYEGIVTQLHAAQAARGTRFDVACDPIVVSNENLRMDE EVPKLQEALSLARESEQLVSRENVRLLSCVAAMEKELADSVKLLQEHQAAARSAQDEF TRERDNLLRTLHNLRDTIRKKDTAYDELEAEAEAKIDEKRAIIAKLEARLEEARESAE CQREEAAKLLRVEEELRRVKAEFSAIEKDASLQTTTQQPQLKELMDALDDSKRKLATL TAREHQQSVKLARAAEALMLVRRQLVRLDEVNTSLTNELSEREAALRAATTENRHLQQ QLQEIQETERARHRAALQTLSQLMVGGIEGATRA XP_828180.1 MVVSLRRFHSYANSSRGGATTCLITGGGKGHFALTVSTRGRFYR PLVDDGINLWRRRMGRIHKGWRTWEYQHTRPDPRPFPDPPVNDYFGRSRIWNPIACKL GYVRKKADEWGWPNKPPPPTGLRHSQEFFPFFFERYFPDAEVRLLLDSVLNNETKRPV FQIPCSMSKVELVNYLKNIYGIDNVVRVEVRNRRGRRYKNEVGEIKMMDDYKVAVVEL DTPVSVELKQIKGTEDTSDNRPQERITQ XP_828181.1 MSYRPHHATVPTNPKVYFDVSIAGQAAGRITFELFADAVPKTAE NFRALCTGEKGFGYAGSGFHRIIPQFMCQGGDFTRHNGTGGKSIYGEKFPDESFAGKA GKHFGAGTLSMANAGPNTNGSQFFICTAPTQWLDGKHVVFGQVLEGMDVVKAMEAVGS QGGSTSKPVKIDSCGQL XP_828182.1 MKKLRSFLPWVCGVSCGAAVPCHSSRCTSTLASTSWHGRDSNAP SHRQQACLSSTEKRLRRSGEKLARRSTSAVLIKIADLSSTLPPQGIRCCEDLEGAPLN TDDRLQVLLNTCISTPSAELYEELIRSSAALYRSSAAQHWGFYARVLANYGVQCLVDQ NTGKAVEVLRKAIEIISFFEADTAVLHLRVLLANAAACEGQYFAALCEYESCLAVMRD FPVESSLRQLVSGSEMYMPLSRSYINEDYDRFLEDEEVVLRALGQGQKQADACVDKAE KARVAMTMARLQRRRGEKDASLTLYTSALRMLLGAHNPDLEIQVLHDIGLLLCFEVLD VTQGLPYLQAAAEMACDRARVELNGPNSDQGESGPSHSSYPLVRTNMEKGLRARRAVL ALVDTAVCYAENDEVGKSLGFFEEAKSLMTECGMQEHSAWICMKYADALSSASLLDAA IRIYLDAMDTIRLTEISGEKMQLACMGMGMYLTQSEVEGRLAHCFQTRVGDYRRACVH YCQSIRRCGVAVRCPFQVSQEGKTLAEEEIDPETLCWMLEKYADCCVRVGNVKIAKEV LEHRVRVEQGVGTPCAAALLHLAELHSNDNVPRAIELYTRILSLPQDAVEPDTLLQSA YNFIAVCYQSKDEDFEAGLSNVQCSQSSGQGDMVDENTPTSSELAPVDYENSIIATFK KSANVILASHTVNKTKLCTNRDDELKTLMALSRAGFFCQRRGYESGAEELYRLAVDYA RLTDVKCKEYSRELAVMLANYATVVVHKDTELAKKLYEEAVATCPTDENVSNAAASFF VLTANYAEGRACIERLVAATTTNAVLQGLYGKLAWLGVVCWDELLHEERLLCLKHMLF ALGTESDAVVAVTAKSQPNPFAGPLPEDFKQRLLRGVTISNDQETVSLACYIAQTKLF HEGRFINSCYKVALKRFPQGATILVNYAKFCGDYNAVVLARKYYAKAFALSHTDLRIT ECYAHYLAFLNGGERQRSEHRQVVCGESLVRFQVERSALVTCAIQHAQSLTLYGNYVA TSMPSPSVPVVSFEEALRLNPADSRATSLYCSFLSNVYGSALDMKKNPEAKEQLARKV TDCYLSCLKLQPHSVAVLTGLGSIYIDLGGRFEDAVRVLERARQLSPNNPTVHRLLCT AFHEEWVREQQSETTLTNKRLQWLLTTTHKLYEATIALEPTNSVVLARYCQFAHHGLQ DNALATQLMQRLRELSRE XP_828183.1 MNKISATGVLVELDGDEMTRVIWKKIKETLIFPFVNVPIEYYDL SMENRDKTEDRVTVEAAYAIKKHGVGVKCATITPDEARVKEFNLKKMWRSPNGTIRTI LGGTVFREPIICSNVPRLVTTWKKPVVIGRHAFGDQYSATDAVVKEPGTFEMRFIPAN GGEPKVYKVFDYKSGGVMMGMYNTDDSIRDFARSCFEFALARKWPLYLSTKNTILKHY DGRFKDIFAEMYKALYETKFKTCGIFYEHRLIDDMVAHCMRSEGGYVWACKNYDGDVQ SDSLAQGFGSLGMMTSILMTPDGKTVEVEAAHGTVTRHYRDYQKGKETSTNPVASIFA WTRALAHRARVDNNNTLLEFTQRLEDVIIATIEAGAMTEDLAICIKGEKNVVRADYLN TDEFIDAVSQRLKVAMQKSKV XP_828184.1 MEVFDLAAFRTRMNDVERDVRVMALFDLQQALKSTVFKPDSVTL SKIVEYVTTCFAQSEPCREVRCNAIRLVPQLLLLSGEKDQERLVSLLCTSSTSQRARF GEKGYSELHDSAARALKLACECMSSKARADVESWQRLVPVARKIADALSSALEKGVEG VVREGIYDCIGVLIYPFGRVFICDVGCVLTKNALADVHHTGQLRRRAISFLSLASPFL SEDLFDAVFEVGVRGLREGNHRGAVVMPYLQLYEGLVKGCPSRAKTGALETMKFLTDG LSARLSHESADADAFDDDDYEVCDATVRLMHLMVCQYSKELATIHCALFVQALEIARF DPNYCDNMGGLDGCDSSDASGLYFTEDDTDMSWRLRMWAARLLALLIELSPFSTELTH QLGCEVLSLIGDRVEEVQLAAIHFVDTVIQSSRGASVCTSLLLFLQGAIDPLLGALNT REPKVVVAAAKALQNLFYFHWSVFTTEVCRAHDIVDKLLKAHLTGKEYAVVELTALAV RMLEGTSHGQPNIKLVTKLLDTVYAAVDAYVCGGIGQIVVCSVKAMAHTSGLAGAAYC ERCMELYISLALNANFGGELISSAVEATRHCMSTFAASLSVDYFRRCGGRLVVLSEGR QVAIRLLKDLTASVPAAQLQPQELERLSNSIGRQDRAVQQHIVSIVCNALDNSGQLTA ETLEDMFEFARSNSLKSGDRLLVQATLEMLEKICRRFSNLGGRIVDQLLPTVWEILSS APKCAGHHPLLLVRGTAVLIRSLHQMLEPAQRSDLVEQTLRYVSRSKFRETSSEILRG VASVDEGILERVGSLMSGDDSLLCICVGTIGMSVPLPDMWEARLFRFLSSTGAENLGS VVPLAVGRAVSNAQNRSLMERVVESATRNTGGAALFWRAIHEAALTTVAGAELSPFSD PFFCKGVVEKLMENLLEDDTETAATVLGSFAPFVRDYLIDITATHLSDELDSKKAVCI TVQRYLLSSVKNTDECPRLVSAIERALRCLSRKADLRVRFAALQLFATLLSVKPHLLI GSYVRDVVYPCVLEELLEDPTLVLAINLGSCTHREDRGKEMRKLAFECVSMLLRDAED RGKESILEYCGRYEELGRCLVHACGPRGGGETDGDINTKAMDLIVRFLRLCPSSPCDG SQVMVLYEKLKMALGVDIERTAQDASKKQLLKRQALNCIMCLSEWPPFSCHPQWQSLV LLAQQNPLLPEAIKVT XP_828185.1 MRTGRLLRCLGNELSVPLGSHTAVLSRVFCPKIQSPELASLAVE TIEVIPQLDLLHTVAVLDIFSRHGIRHEPLMLGCLWKVFKAEPPTSLCQGHASLMQGF AVRTATAFRIMCQHGLVHDPQLVAIALGRCTECAPHMTLGSVVDVYEAVKEWDRKFFS IAEAALHSGGASEEQMDDVSSDSPTLFSSQPNMMDVLCGELESRLISVAREDYEASVQ DAERLLGSLAAVGVATGDVVLALCQLIKPLKVTADSLLKMMVSLHQVHMRVVDVLDYN ANDWDIQSARRELVRTLTAKLLSLRSRGSFARHCDRQLVVGFRRLFEKYPALADDAPQ LWDAVRAVRVPQKEVMKMNEPCRFLGGELFKGKYAVKVKPVTTSAAEVERFVPPQFKT WSGPSVGNNRHKSPKTPRVVGFGVQKISKDYIKMKRKKFAPSVW XP_828186.1 MPHKEAEVGTLGFKTPARRSRCIGDQGFHSATKPWVSPHSLVKV SPRLRVPSTSRLPALAEAPVFPRALVPSKALGPVAISKAPLAAQLEAFVRREYKIYST GNRDCTHVDTLHIVREAFSVFLEHFSEYREVLSLIRDEYEAAFQELLSEVKRLRAVEL ETSSDRSVHAMEMLKQREAFKSIVSNHYAQLQATEGIVHSLRDQITSYEESNETLRAQ LKKAKEETREATDTSKLLTKSMIEEAARNSQLLAARTANEREIGRLKVQVDMVREELK DVESRYTALLEKTLCTGGPDTIFKGKDVRAKKKLDQECEQEAKKKLEESEENARQKAS EEEERRNRDNAYFALINERIDELLLEREQLKQQLADALASRCASACGSGGGGRPASTS RARRFSADPGALENDRRLVEGDATYSRLIAAWLREEQMTGAELDRLDVLIPPGASEDH PFGFLKATLPVRNRYLKREDTIDMLNSWWGFRRNSFDSSIKHHFMCWLQKTTGSESGA QELGVNIVHVCEHNIYDPDCRAFLLIFRECVPEDVVHAWTKDIAYFEELCRESMSAHR FVRCSVLYDKLRNKLQEKTYLNMLELRLYLWRNSDANGMISVDFLFRKDSRFLYLLKK QLLREVEEFTLRVVEAIRSVALDADTVKLQDVVNALSAQDPGIPQGTLRRLVAEATQL TSMDVATCGVDFTVRLRPLIYRFRSAVLLRRVTPPVDTSQLNPNIFDAKAIVEEAEEV XP_828187.1 MRRAVVLRTAAPMCALTGKCVEDWESHRKSFDMRIFELLKGRLT SPTEPLPIKQIYATIANPCRLVEEFTCAKTTRRLGRLHTALSFLRRHNVLLHSLLFHV KETQTWNTTPDFDRLALYGESSLRHEVRARTLRLFPGIDSETYAALTSSVLSEEALHG LFDRLLMKALVGEKPVGKMRDWSLTPNQCGQMLCAIVGEMSWFAARTKATDRTHNNAL FPPSDALILHVLCCHVLESLPAELLYNVLEPKVQRIKENWVNEPMSIPEQLHLKPRTI GSLSLSLVAKPLTEEEGRRKEVAVSAEKCQLSLTPERVIGSVRSTMLPRWNYKRFEER RYHILESDKRQVLPLAMSPVGRGDVSLASEQMPDERRRELVALALGGR XP_828188.1 MQEGQLFQSMMSVNFLTDRPVSPISSASTAEVTAGHDISTINRT LDASFMYDDGALMDFDSKHWIRVTRLDPSTSSKTLRQMFYPYGGDEAFVIWDNAVVGY VGFDNHFMAELAVEKMNTFIPCRQAQALCVRPASMDEVVLARSAVSSGQESIVPLLYS DCPIKCIVQVIEGHRQPSYCAMELVEEVKRAPRSLFARVSETLSQLKANSFLVEEFRE ALVRNLLLHIFEEDHTDTKANCGVLLGELFCSGFLTGDPFQIASGILQRGVKSIGQID NVCAVAHACASLPFAMSKASFWALVGQLSLQTEEPLRSALRGHIRRFHQSTEFISPKP TANAPLPTADRAASSFPGLKMRTVYVSHLPASMPQRTFMDLLTSCGGVNKVRVCRGKG YTTLFAFVEMATADGVAAALRLGRANVLGCNIRLQMARNPIQDSQSDDAVINANGTVA CECLFGRHGGTLGDVASDKGY XP_828189.1 MIRVPSRWPCSSSPVALVQVNDDFVAICGVVSSDEELASWELDC SITLNRQVAERVTAQDFSPAGTHEVCFIGPSKQTKRRFVEVPVHPGGGGDTLRVLTPL STYEAKTVLLEGPRVSTPGFMERLFASLVGRYVVVDCDVMTQEGLQFRVKDAELKRGY RGLALVGSTARVKLNQRSAKYFWPSDVLVGLEEQAQELRTVMQAMLQSPGRWLGVSLH DSSGCEALTVVRKCVADANGTLVWWSPSSVYGQGGDYCASRLIVLCIPDMDEVFPSSD SSLAGLTVRLLRGSIDSLLNSGSSEKPALVLVGITKNEIIGVANSLFAAKISFEFPDV DKRAVLLAHVRGGDRMDHMSEAHTLVGLSRSEVLDAARRQPRSRGPLNKVLRWSDIGG LDDVKDRLHRALILPQLEPGLFARFGLTPPRGILLYGPPGCAKTSLVKALCSEGCFSF IYLDFAGLISAYVGESERILRDAFHRAARQAPCIVFFDEVDAIGGKRAMNSRDGDQAR LLSTLLTEMDGFSSSNGVCFVGATNTPHQIDAALLRPGRFDYLVYVPLPPLGDRRRVL SLVLGNTTADLDKLARVTEGFSGADLPALATSVLLELLDGTDEGSASECLNDSEALTQ LLVSRAGEFHKTSYDVAALERFNRDCSSDSGAV XP_828190.1 MDWTDRVLLEDDNDMGKSIVSHHVLMNCSQRSNIKDSNVQTLST EMLHWDDYREAHGINDGTPKMADTFQNACEPLFQGHVCRSGGSTKRLLSVATKPVLGE GGDRTFCSEMQHWDDYRVKNNIQDGSPAMQCLFRQFDGHDMFILDSGWKLLTLKQMCI TSTRQAYGASVNQRVSEMVRWEESQNQQGINCFPASGSAEAVSAITPYNSG XP_828191.1 MSAGFGGGFGQPAATGFGQQPTGGFGQAPQGGAFGQVAPAATGF GQPSQSAVTGGFGQTNTGGFGQPAATGFGQPAQGAVTGGFGQTNTGGFGQPAATGFGQ PAQSAVTGGFGQTNTGGFGQPAQGGFGQTAAAANAFGQAGPSGGFGQTNTGGFGQQSN SGFGQAGRGATAGFGQPGTGFGQPATGGFGQATSASPFGQAAAGRGVGGGFGTAAGTV GGFGQPAATGGFGQTATTGGFGQPAQGAAAGGFGQPATGGFGQATSASPFGQAAAGRG VGGGFGTAAGTVGGFGQPAAPGGFGQTATAGGFGQPARGAAAGGFGQPATGGFGQATS ASPFGQAAAGRGVGGGFGTAAGTVGGFGQPAATGGFGQTATTGGFGQPAQGANTFGQG TPSAGGFGQAGRGVTGGFGQTGVTGGFGQTATTGGFGQPAQGAATGGFGQAGRGAADG FGRPAQGAAAGGFGQPATGGFGQATSASPFGQAAAGRGVGGGFGTAAGTVGGFGQPAA PGGFGQTATTGGFGQPGRGAAAGGFGQPATGGLGLAGGSGFGAAAGAGGFGQQSTASP WGGGATPAAPVDVSLLNLPEFADKPYGNVLLFAPEKPPKKPISVGHTPSPAAPFNPIS VPRYQQRIPVGIPAPTVSANVKLQPTPFSTSALSSVELRELLNASKVNLGVSDDVQKI DSPKVSRVVAAGEKPPSHDAAVFAPVCSKEEYILDPPLATLQGLAVRQLQEVYGFSVY RRDGKCSVHFLEPVNLVRCDIAEIVELRPSGEVKLYPCVQNPPSIGQGLNVKARVTVN GVIGTTSNDLLIRCQKEGNRFESYDVNTGTWVYVMNVGDDGQNEYDDADREVDIVETD TQVQDGEQFPVANDIADGGVRAISQLDTCAQLKRSDPPSPPFLQQQQQVPVLHQNEAV SALSFSRYAPFDDQSLILPQRTQRVSVLRQAVHRPAADTTSGISDFELPYTLPSPKKT PLCERKGPLVVKEPYAKAHGPVYVVKREDSKMYEMNASVVSRGAMASLSRSFRCGWCT GGRLVAPMFAWVRDGTESRHSVDEVLGSRVVMSTVYFAHATSKHYLQSCAISVLRALC RHAHRVDASSDKEGFFPLLELGLCRDTGSTSLSTEKLREVIAAVDAVRFDGASAVGES TARQAKTILSLLDALYGLPDADEADKNAITERRYLTQLRRRNLNSWLKTELEYMDLWT DLDVDANPSQKLLRKLLCGKLREASSVAKALGSTELSRVVGICGEGNHFGSYVQTSDN SCIDEALGIRERVVSLLSGIVEPFVSQPQYAWAEDEKGGRTVAKVPLAATWKQLLGVF AFYGCTPDSSAEETIDCFLKRLRAPTSRRENSFPPYAERISADKLGTGRGRSFVSLGE WFPDAALSLLEGFAMGVAPAATALHPHSSSYCATDYLTPFIIIVSVRALKLQRTDTYD DAETKALLGFAAALECLSDAWFWALLPLHMIVDDKCRAVAVEQCLRRNAHRFQGGACR TNTDYVHLAELLKINARLLDVEMLLEKVPADAPVNAPSIRTHSSLQEALHRFSRGFTK R XP_828192.1 MFFTHGPSFSLRYQNSGRIGDVHVFMKNLYRFWVYKGLWFSCLA SLVDFLNSVVVFCLVVFLTTVFDWPVAAACDEVSCGTVTLTHHMRLPTERGASHVLLC LILLVSSAASATYEFLKFLETCSLQMETERMVRVIVDTGYTSPFHRLAHIWRRMRVQS DGHEELPDHGLPFIGNMAWGDFLDEVCARIQRDRSFGVIEHKEFDSLRAVQALMVYEN YFITFHQHGILERGALKYADENVIRMLIRSLFDEFSTLVRGKDHVTLLRWKVVKYLVV YALFYPFVVAHFLLRVLVKNAAMVRTDWGGYMTKDWNKRALWTFSLYNEVPHVLSARI ASGRQIVMEMICRLKPHSSGKRFVCRVASGIILVLLSLSLVNTSLLVSGNLCGIPLLW WLTGSIAAFSVCNETPPVEREYNYLPDLERLVKQLHYSQEEWNHSGESFYSAVTWDFL ESRFSVIISDIARTLFMPCILLGLLFDGSLPALMECVQSESVRVDGLGSVAREAAFDF QLLGDGNDYPLAYHLSEKLLKSIASFSAIYPKWMLKHMPDAVPPADQSGGSATSGALD ILVTKLRNRVDAETEMQVLGDPSVSALGVVNSEAEITRSSALLGKGTAHEREQLFVSH MMQSIYASKSFGIDSRTLRTAKEGREGCGT XP_828193.1 MDLLGSQIAAALEEGSRKRKRDVEEGCSALVSHVNSTLDVITTD VRNTLTEQHCEMEERVNRLMKMAGDFATAVDTWQKKASDSVCAVESQQQLLWELLQDV EKRAQERKKEMLKRQEQRMEELRTMLLERIQKAEASMQL XP_828194.1 MRRFVSQQDGKATALWTNLPHARVSSAFFSSLTHESRVVQSVTC VRRVLAPPTPSIYPAFRNTFKSTKRWQGSTTSAGGDYYKLLGVKPDASQDEIKAAYKK LALEFHPDRNHDPGAEEMFKNISEAYNIIGNKTRRKEYDMQRRAETSNAGSARSSYHP GGAAYHSPPGYQHISKEEADKIFRDLFGGMRVDQIFRDFEEMQRGTRSGGRSGFPQEF GAADCSFRPSFRSESTRVYTDGLGNRMEERTFTDSHGNTYTVHTTTSEQPNASMNQRA EDYYSGHASNSGGGRFRMGNSSFRVTPRDAANDFGANYFGIRTHGRHPAVAMMILVAW SIVLGTLLFATLAFFVSHPFFTFAVLFLILLKRMRLF XP_828195.1 MSAAGVKSGNGCIADTPIVVVGACFVDYVAYVDHLPQVGESLRC RSFSKGFGGKGSNQAVCAGRLGASVSMVGAVGTDGDGADYIANFQRNGVQVSNVYRME NTSTGLAMIFVDSTTSHNEIVFSPNATGALTVDYLRKQSDNYNNFFGPKCRYIILQNE IPVETTLDVLKEAHTRGIYTVFNAAPAPSADEVAVVKPFLSYVSLFCVNEVEASMITG IDVKDTQSAILATEEMQKLGAHSVVTTLGGNGYVIGEKGKPPRHLPSITVKAVDSTGA GDCFVGAMVFYLSMGKSLEESCKRANMIAAISVQRPGTQSSYPTLDELPAEVKECKI XP_828196.1 MSGYGESMHSLREPVSPCPRRRSLASTPLERQRSYSRGGMVGSP VPDDMSFTLSPLPYFPKRTRSVTFEESDEVPVREERPYYSWDDRHGLSDKRGFDDNGA AVAVRDGGEECHYARSGAAGRREEGDQRYRPFVVAGTTFKIPRSRSQSRQHREAEEKL AMSTFSYPEIRKVEDFCTQLLRPLEENEPPQREGYRGAHTNEGVNAGNDAGQPPEITP IRKAKATLKSGLKTDYVSRANCDLQRTVADHLPRRRGRSNKREASRPKEEESGEEGYS MEASVAPHEAKQKTTRCVTTATPQCGVGVGGASRRRTRCESVEEGVVHSTTQKCIHVI DIHNAEEEIKSLPTEITATVSCVVKGLLDDLSNQSFPIIIKPCKSGGLKQVEVHLVDG MGDEEHGDWMPQRVVASAPQNRKRAPQKRAAERSVPSVSCKKESSATPLVAPEVVPVA KRSLPRPAAPPLIDELNGGEEPVLLRRSGTMQKRPARSVSYISVDTDDMAEANETSAT VRRPQSAPKSTTTTTRRCRKASATAVEAPTCHPPLSNVTHSSPIPPDPFLATEEDLES IVEEPVTLRRNGHLNKPTSDWNSFANDDSDVLDNFLVKFVPENAGLMLARANICTRKG RRKTRGAALSLPPSIGGRTR XP_828197.1 MLFYLHINLFIFNPTKLTPCSCDCACWLVGVADLLNRGSDFKGR GVRRLMSVAPPVSASSTQSSSLSESVALLVPKQLRRVLSRGGAEVVVEKRTAGKKRMF VNIPDEYDREEGCRRHASHADVHRRALEDLRWARETLWNDERLQPYLYTSDHLTWPPL TQLASMVNLAWSARETDAVPDHSAVSALKKALGIIRHELLYTGLHPLREEALAGQLLA FTSEDGNISASGSTLHAVGGSEARFGDTVWSLELHTPSFNIDDVLRLSLTARMQKACM DALPIGLAPATVGNTWSAVLAAHRQAEERSYPGLFHSVPHCITLFDAEAAHVARMVSE AAIQGRRVRLRVGVYLTMVQRNELYVLSGSSALGDATDDESELRTSFERATGRSYKVC QSSGLLLRIRGKEEIEDALRLRFLGPLASKHELLETATLTSRISSTHVVVKHNPFVVI HGPRVAEGFPENAAARSELLGLLSSRESTASSGPARLAVAEATPLTVHATSVTLTGED LRQGVDDAVLKTLSSGPMPRSELAVHSNMARFRDAPNFEVMLKDSLKRIAEYHGRKYR LKE XP_828198.1 MGKGARTKRSAGPVVKRQRHEVRHRATEVDPALVERYRSTRQPV KKSQLFEELREQTKDAKRFRREARERERKVLKENAPAKPIPKTKERMRLPDVTFVEDK NDAEILRDEADDEFASYFKEGRKPKILITTGEHPCFRTKLFVKEALWLFPNSIYRPRK KYNLKEITQFCINREFTDLIVVTDRLKEPYNLIISHLPEGPTAMFRVSNFMTHAQLKD PAPRTEHYPELIFKNFDTRLGRRINRLLECLFPATRDYEGRAVATFHNQRDYIFMRTH RYIFDSLDAVRIQEMGPRFTLRLLSLQSGTFDTQFGEYEWFRKKEHDDDKLEWYL XP_828199.1 MYSSDRVRTVMEQLASMNPYTNAKSLPEVPRPDELSIPRKLSSR LQIPYVQNILNSLSYNFLPNTFFCLEKHRSLQSILFTSKEILAEALPIRCLEATFVGL YLTQDLKDVDRIPLSFKSRAKGRAYHHIVLVIRCNSMYGAVGLSRKSTLMDKPLIYNG LYQLIMEYKKQYEAIGHELVDFKLGLCVNHKRDSRKAPCWRYIAVKLGRSTAPSTSTS PRPQEQQEEVSTNEVDVSLISNSGGCSCGDGIEKMLKCYSDLLCRLSEEYDNLLTSYS RGASNNNQQVCLKDLHDMDNSSAKVENRRRLEELRQGRSPCGSVGTRCKKRSASRSVS KKRAVSQNDVPSTDRSRVVRLSSAVATKKTARREKAAAANYRQPAASDLKQPREFSSA SGEKGDGQLPHVSRGTNGNISPERACRAAEEGCAANPGEEVTGERQSVRNPLLSLALP LLDSKGGELGLTTCQATDRWMLPNSAKGANINDVGRS XP_828200.1 MTTHWVRDYGAIVTSAATFFLCASYQRVLLRHGDALIPSEKKEK GGATSSFFREFMQVARIALPTVWCKEALGTFVFVLLFFVLAVIRALTSEANGRVLKSM TEGTGGTRLRNFTHTLLLRTALHLLSSVCSSCVEHLRTWLIGCYRVRLSKHFQERYYS QLVFYRAAVIDKRLEAVDSVVTTYCAEFAEHFTELPYYFVLPALGSATSMVALIRRVG AGASAAACGLVLASVLLMKKFSPPFGKIYASLLSKEDAYRRMLSNSLSNVENIALHRG GEHTRKKLDTQLGVLKGYLDHFALSRGHFNLLELSFATTLRNIASIIVFGDALRKENK STSDIYVELLYLRDLSKSVTDVVSNFREISHLSTYTLKLAEFDRTLKDIEGDTCDTFP CVASIPDVGTVVPTVVSPSVPIAGALSFPLFTFTNVKLKTPTGHVLFENLNLTIQNDQ DWVITGNNGSGKTSLLRLISGLWRACEGDITMSSCVKLLFAPQESYVVPHCTLVEQIL YPKIITSLNEGDIACIKEAISLAGAESVVEVLGGFESPAVGCDLSNVDETYDWSSLSG GQKQRVNMARVFYQVLQADRSREIPVVLLDEATSMMDDTEEKVMLNLRKLNARMISVT HREQVVKHHTHVLRALPGGRWMTTKVTQYVGSDDVVTQGISSVGGSSNAEGVGVDLHR GF XP_828201.1 MTNRENTWVHVGESPLFQFRVKNITTISFIRLQCSPRDDVLTPL KDSCITLWAKYSPYVRTADGVLCDLPPYVVASFRFDETGEAVSSALAWVLQEGSAVTI CVATDTGVPEVLRDDAAERSAAAPWAASYGLGCNISVSLFGTEKLRDYYLSSWRPLLV YRWFELKDCVREATILPSRYRGPLTPNSTLKFRKRRFLHGGVREAKCISSCGNYVLWA DGTMGPAGEVVPYWRRFAPARVDKRVRVRAFPHRFADIVGEVPFGCMVEAIGKKVDPS TREEYVLLVLGVLPNAASLAEAYELTYIETGKWIWGWSKIATRNGMVLLVEVSDTCGA TAEGQMWVEHLPEPAFYTSASDDRRVRIRRGPSLHDDVVGHLERNEVKLAVALWHPVD GAICGAIPSEDVNNTNSDHGAGPRGEKVPQSVLHHFVEWESGGFSLLRNNDRVYLVAV ELRKEPRHFPVCPRPEPQSPEIISISRKRARAESPVSEASEKAKADAPSSQLWSPSML PEAVTMGLKSGRVRLEDLPTIESAGGSCGSLSSFEGD XP_828202.1 MACQEVNSEVPDSDVVFHDTIFMRNNKHVSEQWIRIGELYPNGA KESLLPETFAREQFGQGSHYECFMLSALATLVKFPDIIRNCFVSRNVRRDGRYTFQFF RDKEWVKVEIDDSVMLEDDEVLFIRSPTSHWWPLLFEKAYAKFYTSYDNLEGCTLQEA YHDLTGNPVLNIPMDARLAKAAGVDVTGGQYWLDLAQKLQSGQFVGSLLTRETDLESM GLQSEQQYGILEIFSLTGTSSVSDIVIHLYNPFEDEEFTYKGPLNSKDTEWTPKLREK YNVDDTHSIFLPLHVALKIVNSVQLCYISPIDGDATYFDDEWKGETAGGNPTSVTWRK NPLYCVRNAGINPVELVVVIKQKDQRHLWTSEDDELMYKRCGIVVVQSTTVTQIPTYF VTGNNHKAIHKSLFLNSREVSSFVRIPPNSLCYLVPSCMKKGAESAFTLALYRMKHQN YTEFNVSRLALPKLLWHNPIQEKLQLQMFTKDRRDFYVDIPTDIHILMHQEKPFVSEK TGGDAMVQDYVGVYLYDDTDRKIGGVHAATNFRETSVLHRLPRSGRYAISITCPRASG EVPIALTIVGSPDANVRIVEAPDDASMFDDEDDIAEGDEDATVSNPIDYIPVAGGGQV FTEVPDSTVPFEDKRFMVDNKIMTNEPWIHIGDLYPEGKTRSLLPERLSREQFEQGEH FECCCLTAFAALVEHHPNVIRDAFVTKAVRRDGRYTFRFHRYGQWVKVEIDDRIPLLG GKTLFCRSSDGYWWPLLLEKAYAKFYTLYQNIEGCTLRELFYDLTGLPVLSIPMELKL AKAVLCDVDDVSFWVELSEGLKDCACAAVARPGFDDTLGLSNGQEYAVLDVVSLTDGE ASSISDLVVKLHNPFLETEYKGPMNASDAAWSSELRTRLCPERRDTIYIPVEVFCNAF LTVEKVMVRGLIVPGWQFNSEWGEGTNGGNPTLVTWRENPIYVVQNTADTPLQIIAMI GQPDQRRVLHLLPEQEVNYVQCGLSLAKSIKGESIPTYLLTPNSHVLIHKGRFFSYRE VADVIVVPGNSLTFLVPSAMFRDKTKFLLSYWYSSANDRNSVTIERLNLGVARHLPAI AHLTVKERVREQVDFIVDVPTDVHILLRQEKPCHGDSVSNALTDDFLAMYLYNSEDDQ LSGVVTATNYREMGIVHHLSEGGRYVIYVVCPRAEGEVPLRIEIVAMQSAQVRTVEAL PLCKSILQVEKELKLSTGSLPYSPLLISEGDLKNFSGRLSDNSMRLESSSDPDLSFLG AEVEGIPTLDLTLMSDSSFSEMARERMQLKRDPIANASRLAEVEDSMVARANAIAKEM HLRERRYLNPEPEGVPLELLPLNEDEVVSEKEDRLRALNRKEQKDEKLLRSLEDVIAD RVHEIARELKVSERDLFLDPTPGGFPVAQLPLDEDDVFHSLEVERLQLRLRQDSLASK ERIAELENMMNCRTTELIDKMKEEIRKFLDPTPLGIPLEELKLDEHDNYVAKEISLIG MIRKGKKESQEAISIREQLLQIEYAVAKEHLNNFRIQYLGDDIEGRQPNELNLEEEET YMQMERKLIEYYNSNQRNSEEAQKIRVNLLHKATKASKHLNRSERKNYIKRDRLEISI SNIPLDDNEQFTTLEAERIRKKRNKKNSEVEQIEMELNNIAQQLAKLKASDSRSFLDP MPEGVPLSELELDKDEKFSTMEEERRKLIAEDREDNATRIAELEAAMNEYSHELAKLK ASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMN EHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREDNAT RIAELEAAMNEYSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKL IAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKF STMEEERRKLIAEDREGNATRIAELEAAMNEHSHKLAKLKASDSRSFLDPMPEGVPLS ELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFL DPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAK LKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAA MNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGN AARVAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTMEEERR KLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDE KFSTMEEERRKLIAEDREGNAARIARLEERMNELSRELARMKLKDRSFLDPMPEGVPL SELELDKDEKFSTMEEERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKASDSRSF LDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNATRIAELEAAMSEHSHELA KLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEA AMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREG NAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEER RKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKD EKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGV PLSELGLDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSR SFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHE LAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAEL EAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDR EGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEE ERRKLIAEDREGNAARVAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLD KDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEYSHELAKLKASDSRSFLDPMPE GVPLSELGLDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASD SRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEVAMNEHS HELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIA ELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAE DREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTM EEERRKLIAEDREGNAARIAELEAAMNEYSHELAKLKASDSRSFLDPMPEGVPLNELE LDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEYSHELAKLKASDSRSFLDPM PEGVPLNELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKA SDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNE HSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAAR IAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTMEEERRKLI AEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFS TMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSE LELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLD PMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEYSHELAKL KASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAM NEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNA ARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRK LIAEDREGNAARIAELEAAMNEYSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEK FSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPL SELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSF LDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELA KLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTMEEERRKLIAEDREGNAARIAELEA AMNEYSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREG NAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTMEEER RKLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKD EKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHELAKLKASYSRSFLDPMPEGV PLSELELDKDEKFSTMEEERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKASDSR SFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHSHE LAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAEL EAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTMEEERRKLIAEDR EGNATRIAELEAAMNEHSHELAKLKASYSRSFLDPMPEGVPLSELELDKDEKFSTMEE ERRKLIAEDREGNATRIAELEVAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELD KDEKFSTMEEERRKLIADDREGNAARIARLEERMNELSRELARMKLKDRSFLDPMPEG VPLSELELDKDEKFSTMEEERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKASDS RSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIADDREGNAARIARLEERMNELSR ELARMKLKDRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNATRIAEL EVAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDR EGNATRIAELEVAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEE ERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELELD KDEKFSTMEEERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKASDSRSFLDPMPE GVPLSELGLDKDEKFSTMEEERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKASD SRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEAAMNEHS HELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIA ELEAAMKEN XP_828203.1 KLIAEDREGNAARIAELEAAMNEHSHELAKLKASDSRSFLDPMP EGVPLSELELDKDEKFSTMEEERRKLIAEDREGNATRIAELEAAMNEHSHELAKLKAS DSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNAARIAELEVAMNEH SHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNATRI AELEVAMNEHSHELAKLKASDSRSFLDPMPEGVPLSELGLDKDEKFSTMEEERRKLIA EDREGNATRIAELEVAMNEYSHELAKLKASDSRSFLDPMPEGVPLSELELDKDEKFST MEEERRKLIAEDREGNATRICRLERKMGRRVDKLAKLKASYSRSFLDPMPEGVPLNEL ELDKDEKFSTMEEERRKLIAEDREGNATRIAELEVAMNEHSHELAKLKASDSRSFLDP MPEGVPLSELELDKDEKFSTMEEERRKLIAEDREGNATRIAELEECMSARVRNLAICK STLGGVIVDERIGLVPLYLLPLGEDSVLSSLTSKLESMRCGGCLPDSVAVRDIQEQII CRVEKLAEVYLEHELDFLETVDGISVSQIALSDDKVFCEMQRSLRQLRKSPVRNAEAI RDIEYAMNNIVRELSSKLLLEDCSYLNQCPHGVPLADLPLDNRLFREIELKRRRLKED DPLKNATRIRELEMKLNDHAERLAALMLSEERAFLEPCSHGLPLSRLQLGEDSSFLAM ERERRKLLREGGKDKNRIRYLEECMREHVSNVALSLISWQDVQFHEANHSVAEMWPRI GELYPEGIRNSVFPEITQPGDTSSAPGELGYLAPFIAALSQHPPLIHRLLETKTHPVN GPYSFIFFDPNSNPVRVDIDDRVPVDAKCEPKFTRVPHRSWYPLLLEKAYAKFVGGYA KLDQCTPHETLHDLTGRPVTHIPFDEKRADGIKIGDFRSVKFWKGIKRDLAAGDVIMA MTNGSVPDGLHSQCSYALLSVIETVHESNDVSDIVIKLHNCYYDSPTYDGPLCNDDDN WTDGLKRLCHYNPEEDALYIPVPVFLRNFSSMQRCHINCGDRLTAPGEWTGVSCGGNP KFTTFRNNPIYLVENKTSRPVTILAELRHQAPVFFDADGVNHYHQSGLALLQQHHVSE IITWLLTSTTHRFLQKGILMDTREICSRMEIPPNTTCYLVPYTLKRGSYGKFNVSLYP ASSDITLVPLAALCNSHVVINVDVLLKPGSREGRRVDFSVHEACDVHLLLHQNKITDP ASVKRGNLLAEDEVSMSVNDERMSVLATTRDTSNAREQSLALELPTAGRYSVFIECRS RLRTGECPCTLSIYSPSRAKTRLAPLPPSGTQSVLPAIAPRQPPRQPALYGRRLDQPP LSAGTVRAAAATLPVGLVPAPPKSGTTPQRR XP_828204.1 MNYQAITEIPDSNTIFHDTIFMRNNKHVSEQWIRIGELYPNGAK ESLLPETFAREQFGQGSHYECFMLSALATLVKFPDIIRNCFVSRNVRRDGRYTFQFFR DKEWVKVEIDDSVMLEDDEVLFIRSPTSHWWPLLFEKAYAKFYTSYDNLEGCTLQEAY HDLTGNPVLNIPMDARLAKAAGVDVTGGQYWLDLAQKLQSGQFVGSLLTRETDLESMG LQSEQQYGILEIFSLTGTSSVSDIVIHLYNPFEDEEFTYKGPLNSKDTEWTPKLREKY NVDDTHSIFLPLHVALKIVNSVQLCYISPIDGDATYFDDEWKGETAGGNPTSVTWRKN PLYCVRNAGINPVELVVVIKQKDQRRYMSSEERTMYLQCGIVVVQSTTVTQIPTYFVT GNNHKAIHKSLFLNSREVSSFVRIPPNSLCYLVPSCMKKGAESAFTLALYRVKLQDYS NILIKKLEVPSMDWGHCAIGKVTLETKVKERVDFFVDCPTDIHILMHQEKPFVNKVGG DITTRDYVGVYLYDDTDRKIGGVHAATNFRETSVLHRLPRSGRYAISITCPRASGEVP IALTIVGSPDANVRIVEAPDDASMFDDEDDIAEGDEDATVSNPIDYIPVAGGGQVFTE VPDSTVPFEDKRFMVDNKIMTNEPWIHIGDLYPEGKTRSLLPERLSREQFEQGEHFEC CCLTAFAALVEHHPNVIRDAFVTKAVRRDGRYTFRFHRYGQWVKVEIDDRIPLLGGKT LFCRSSDGYWWPLLLEKAYAKFYTLYQNIEGCTLRELFYDLTGLPVLSIPMELKLAKA VLCDVDDVSFWVELSEGLKDCACAAVARPGFDDTLGLSNGQEYAVLDVVSLTDGEASS ISDLVVKLHNPFLETEYKGPMNASDAAWSSELRTRLCPERRDTIYIPVEVFCNAFLTV EKVMVRGLIVPGWQFNSEWGEGTNGGNPTLVTWRENPIYVVQNTADTPLQIIAMIGQP DQRRVLHLLPEQEVNYVQCGLVLSQCTCSNPVPTYLVTSNNHRVVHKGLFVNFRESAN IVTIPANSMSYLIPSAMFRDKTKFLLSYWFQKLPDMKFIKISRINVSVARSLPAIEHL ELHNHEKKRVDFLVDVPTDIHILLRQEKPCVTVRTHDAMADDFLGIYLYNEDEKRIDG VTAATNYREMGLVHRLATAGRYALSITCPRGTGVVPCRVEIVGVEDAKVRITDPPEDA GNLCEVDLRFLGSEAEGVPLEDLPLDSDADLQAILEELRRLHGDIDANAEPIAKHERM MSDRVHKMARQLLAKDRSKYLPGYDLQTVNSILDAEPHFVVLERDRYHLKGDPRNATK VRNVERSLLTLANEILEKHDDPDLSFLGAEVEGIPTLDLTLMSDSSFSEMARERMQLK RDPIANASRLAEVEDSMVARANAIAKEMHLRERRYLNPEPEGVPLELLPLNEDEVVSE KEDRLRALNRKERKDEKLLRSLEDVIADRVHEIARELKLSERDLFLDPTPGGFPVAQL PLDEDDVFHSLEVERLQLRLRQDSLANKTSEIKELESWLNRRACELARVKVEEGRAFL DPEPLGFPLTDLNLDGDSEFVKLESELRKLRWEPRVNSTAMRTVEEDIARVVYRIALR KTCLDRDYLDAEYEGRPVQGLPLNDSKEVLAMETRRRVLINAKADRDEIGVAENMIRD AVREIARALNVGERLDYLEPCPRGVPVEDLPLDMDTEFHELEVHRQRLKCDAKKQDTV IMDVERALNDRAEALAREHLSSDRGYLDPASVGVPLRLLPLDSDSVFQELEIKRALLK KNPQRNGRAISALEGGLNERAHVLATEMKHAERSKFMDPNPGGIAIEDVPIDNDEVFC DLEIRRFGLCEDPHANIAAIRNLEDAMNERVIELADKMRCEIRMFLDPMPLGVSLDEL PLDNNESFVAKEAELHKLRKDLRANGADVALLKSDLNELAKQMAAEMISKDRAFLFPE PEGRLLEELPLDSDKEFRSLEKQLRLLCKESPPEAQKIAAIEELLDGRAHQLAKMKNC AERKDYLISHPCGVPLDDVPLDNDDEFSMLEVKRAALMRDVVGNKDSIASVEKALNGR AEKLALLKLRSERSFLDPEPHGIPLHCVPLDDDDNFHLLEVERLTLKGLNIRENDRKL VGVENAMRDYVNDLALEVKRKMREDVLDTSPDGLPLSVLPLDNDLPFIELENGYIKAV FDAKDTLKIQDLAGAMRRRSEDIARKLRSDVRLSLEQHPLGFTLDELPVDDSELFLGK ERELLELKQDGRYNSPTAIEIMEELNSIILDIAKEQAIREREFLDQEPEGRHITELNL DSDTTFLGLERQRRLLKKDPFADRSRIVDLEQMLNDRSHELAKKLNSLDRPKFLPVAI RGVLLSELPLDSDAEFTRLEVERSSLYRDPVRNASTINHVEEALNIRVAAMADEVVRQ DRMFLGEDVEGVCVRHLPLDDDAEFRALETKRATYKSCGSRMGTKAIKDLEDQLGDRA AELARTAKKELRNLLGTEPLGVPLSDLPIDVDGRFRALEDNYRDLSRNSANGGKLCEI ILQLNERALELAAELHTKERVVLSQCPGGIPLSALALNEDEMFKALETEARKLRHSTA GRGRNAARISELESAMNERAMELANQLRRTYCDAAPEGIPLELLGLGGVEEFTKLEDA LRDATKDPVKNREIISDIEFELNSRAHVAAMGLLEGDRDYLECETMEVPLSDLPLNTD SVFRALEVERAVLKLREPHRGARRVRELELKLVERAHRLAEELKEEDLSGLDGEPEGV SLRALKPHNDSLFSEFVGAMRKLKRGPKRNTVAIEELRERMNERAHELAQEKLKDDRE FLDQCPEGVPLSDLPLGTDPLFHKLETERARLKERDAARNITHIHELESRLKERAVEL ANEQKGRDLSELEQSPCGVPIYILQLHDDPVLQKMLNKMRGLRNRGVTGPEFVALIDE INNCARDAAEELLLRDREYLEPEPLGVPLSLLSLTTDTVFHGLEVQRAVLKAQNARRN AAKISDFEMRLNERAVQLAEVQRQRELEGLDPEPEGIPISVLNPHGDVEFIKLVTELR QLCSEGNNEGMCDVLKTTMNDVVHALAKRLKEGDRGYLDPEPEGVPLCVLPLDTDVLF FRLECERVTLKARNAHLNARRICELEDALNNRAVELAREQLRQDVNGVDEAPCGIPLQ LLRLHEDSTFLVMVDDIRTLRKNPDANSEAIDAVVMSMNDRAYDVAIAQFDRGFLDPE PEGVPLCILPLDDDELFHGMEVERIKLKLTDARRNAQTIGTLEEEMNGRAHELALQQL REELSGIDAAPEGIPLSLLRVMEDPAFALMVLELRDLKKGTQGNAERIREVEDAMNNR AYELADGLLEGDRSYLDPIPLGVPVSELPLCSDEPFATMEVERARLKAEDARRNAAGI GELELKLNNRAKELASEQLLRDLEGFHDEYEGIDLAHLRPHDDKEFVSLVPELRRLKR DGDKEAVEQHMRSMDRRLRELAKELVGGDLWFLDKEPEGVPLTYLPLDGDEILDKLRH ERAQLKIQDPRGNADRIAELERKMNERIHDLARCIGDEDFDGVEGEPCGIPLSLLSPR DDEVVAKLINDIRKVKYSTGEKSSNGALNNLQVELSKRVHQLAEDVLIRDRVKYLNQC PESVDISFLPLSTDEQFRALELERVIINIQSNGDDKRLDGLEDMLNKRAHELAKEQLQ EDLRGLEQAPHGVPLDVLKPHSDLRFIKEAEQLRNLKRDPLRNKREIDDLVEQMNNTV GELALNLLRSDRDYLHLAPEGVPIEELPLEVDAKFCALEAERAMLKGENNPKNASMIL SLEEKLNERARQLAQEQLLEDLKELSSFQHCVPLSLLSPHTDVQFAADVAEFRRLKKE GEQNTPAIQGVVGRLNARANELAEEYLRTDRASYLNPEQLGVPLDLLSLDTDSEFVSL EAERLKLLSYPAVNNEQITRLEAELNACAKKLLVKRLYKERNYLDRDPLGVSLNALPL DTDSQFHFMELKRLALKAGDPCCNEVAINTLEERLSDRAYELARGQIAEDLSDLISAP RGIPVSLLHPHNDPEFHEMVVSVRLLKRDSQPDLSKLMRLVDDLNRRVKELADCAVGT CRRKLNPLPDGIPLQSLPLDEDPLFSQMERDLVSLFLEDEKKNATRITKLEDRLNERA ANIAVSVKAKDLEQLEQTPCGIPIELLRPHEDSTFASLANQYRISKHLGGSDSHGVNV GTLNKRATEIAIELLRGDQSYIDRQPCGVPFSALELEKDPQFRDLQVQRMRMVLSNPK RNLNRITELEVQLNSYVQRCAQAFLAADRGFVDKEPGGVPLAELDLDNDPLFHRMEVE RAKLKIREPVINAYRIRNLEEKLNDRAHELAEELLATDLEGIAPEPEGVLLASLHPHD DAECASLIAAKRRLLKEPSQNMEKIRDVQEKLNNRVFQLAREVISKLRKQLVQEPEGI PLEFLPLDADNTFRSMERDLLALQATPRRNDIKVETLRGSLNERACELARGQIQCGRG FLNPQPEGVDLEHLPLDENVVFRSKEVERTKLKRCPHENAAAITQLESDLNALVHSIA KDIKLSDRAFLNLTSHGIPRELLPLDEDRSFTTMERRRRRLKRDGNRRATAMMNLEEM MQDRVDDLAFRILRGDRGNYVTLPDGIDAADIPIDTDERFGTLELRRAVVMLQGSDDC KEQVGKLEHQMSERLQELVRKRVKEDRDFLDPEPEGIPLKDIPLDSDAEFRHLEARRR KIRRESRRRVTNVADLEEAMNDRAHVLARCIVAEDVGHLRPDYRRIPTNELHLHNDDT FRSLASKRRELLQVGAVTVDIAAIEDDMDIRALQVADDVVAEERAFLDPQPGGMFIVD LQLDEDETFFALERERRRMRKDFRFAKRNKEAINDLEVSLNERACELAREAFSKQRDF MDQEPEGIPLKELPLDNDLIFKDAEISRYVLLKDPKHAANELIAFENVMNCRAHELAK EIIAKDRDFLDLEPDGVPREELPLDTDERFQVLAQEHFRLKRLNGNLKSTEVRVLEEK MNERLHELAKEFLQENRSFLDSEPEGVPIADVPFRCDPTFVEIERELLKLSRNPVVPD SAKQIVRDLLNKRLHEIAAAFLKKERIFLDPEPFGLPLACLPLNYDHILNLLERKRRA LKKNGRGEIKTIRSLEEEIQDRVNVIAVEYLEMERSFLDPRPRGVPLTLLPLNGDWRF RSMEVELRALRKNQTKNRKDIEKIEGDLNLRATELAGAFLQKHRVFIDPEPLGVPIEE LPLDSDSIFHNMESMYLQMGRDIPRAAELRRMEEEMNDRVRELATEHLQGERAFLNQK PQGIPLCDLSLNNDRPFRDMEKRLRALRKHPRSNTEGIKTIQDEMNQRVNALARRKIS DDRSYLPLELHGVPVYDIPLDDDREFRDLEIKRHRDKNLSPEDAVLVQRVEEELVSRA LDIAKDFVSRERSFLDSNPQGVPIIRLPLSTDEQFRELETRRRHLKKDPRNAGIVALL EQQLRARAHELAEGLLGWQDVQFHEANHSVAEMWPRIGELYPEGIRNSVFPEITQPGD TSSAPGELGYLAPFIAALSQHPPLIHRLLETKTHPVNGPYSFIFFDPNSNPVRVDIDD RVPVDAKCEPKFTRVPHRSWYPLLLEKAYAKFVGGYAKLDQCTPHETLRDLTGRPVLH IPFDDRLADAANTGDFRSVKFWKGIKRNLAAGDVITCISNHESVDGLHSQCSYALLSV IETVHESNDVSDIVIKLHNCYYDSPTYDGPLCNDDDNWTDGLKRLCHYNPEEDALYIP VPVFLRNFSSMQR XP_828205.1 MNNTVGELALNLLRSDRDYLHLAPEGVPIEELPLEVDAKFCALE AERAMLKGENNPKNASMILSLEEKLNERARQLAQEQLLEDLKELSSFQHCVPLSLLSP HTDVQFAADVAEFRRLKKEGEQNTPAIQGVVGRLNARANELAEEYLRTDRASYLNPEQ LGVPLDLLSLDTDSEFVSLEAERLKLLLDRDGYVSYINVLETRLNDRVRELCRLSLLD FPEFLLDVSNFGVPLEELCLFEDDGYRRHICSWYKLRRTPEVDNERISCEACIVEIVR EKAKLYTEEILRSLPLVYRGIPLRDADLFRNDGFINSVKRFRRAVREKRDESEIVEAE DNMKNIAFDIIDGLILKERGSLDQEPEGISINNVPLDYDNAYLEMEAELHSLLKQQSM CEAASILQLRKAMNDRVHHLALIELAKMRTFLDPEIFGIPIKDLRLEGDMDFRNAEIS RYKKLKNEANADVKDLEVLMTARALEVAKAFVARERAFLEPEPHGLLLEELLLDTHKV FTDLERERRVLLKEPGLCENTVKIKSLQDKMRAVVNELAVAYVAESRKFLDPAPEGVP LEELSLDSNELFIELEKRRFGLLREGKGDEGNLKDVEKSMQDCIRELAKGLLKENRAY LNQKPLGIPLDYLPLNNDKKLRELERKRRELLNTYDNDSPQVKSIEGVIIKRIDKIAA RYLEGERSFLDPCPQTVPLRYLPLNADTNFCSLEQRRRELRRGGDTAEDDAMIKELEI RINDRAHELAKEVLHNGRLFLHPEILGVAVSDIPLSDHEPFVQLEEALRRIKVDNPIN SFMEVDLREKLKQCARQVTEKMLDEERSFLDQNPLGIPLNSLMLNYDPKMQELERVRR KKLRDKVDANEEEREMVRRVNEMAEEELRRQRMYLPATPLGIPFQYLGLDSDGKFGQM ENFLRTLKRDPLSKEKAIRDQENANRQYVFRTAAEYKRRERAYLNPEPNGVSLKRLSL DTDPKFQKLEQMRRMLMHSSDSNDAQIGHLEHLANEYVLQLALQQLGWQDAEFHDRNR HLADDWVRISELYPEGKYVPFEPTKANGCSVVSAPNDASYLAPFIAALSRCPDMLRQL CDTTSHPVNGPYSFIFFDPNSNPVRVDIDDRVPVDAKCEPKFTRVPHRSWYPLLLEKA YAKFVGGYAKLDQCTPHETLRDLTGRPVLHIPFDDRLADAANTGDFRSVKFWKGIKRN LAAGDVITCISNHESVDGLHSQCSYALLSVIETVHESNDVSDIVIKLHNCYYDSPTYD GPLCNDDDNWTDGLKRLCHYNPEEDALYIPVPVFLRNFSSMQRCHINCGDRLTAPGEW TGVSCGGNPKFTTFRNNPIYLVENKTSRPVTILAELRHHAPAYRDPDDVNHYHQSGLA LLKAINTRMPVSPILTSVTHRFLHRGMMLDAREVCAQMELPPSTTCYLVPYTLGRENY GKFHISVYPGLAKVNLAPLRTAALFASPVVISMSLKTELRNGVKVEFVVSRACDAHVL LCQRRPKVIDVCKSDLLGDFSVNMAVYDENGILLMNTGEPRNAREVAVSFRVPQQGLY SAVIMALGVDRRGNHRGCPCHLTIFTSKKAKVRLLGTVPNFPRRATPRTSIRSQSAEI MTPVSLTPRDGPKTAGSFHLPYISGGQSTTDSPFVMGIK XP_828206.1 MQGQNQAAVDGTMAYTFQHPPQGFLHAQYRDPHSSVGRKQYANI MHDRRVYRGNTYAAVPMSTYARDEEERVVREANRRRKELQQRATSIKRRKELDAAQRK LATPPPVVGRQHIEVQTEEFLEELKDEVEVVQQETQTDPLLDRPATPPYVPVKSGRDA ESQINEGDLFHFDDAVDPILDVMVGKTLEQAMLEVLQEEELELLRQQQLEFEQRRKEE LLEAQRLEAREKRLFEEKERRKKQEIERIKREKATREKLQARQFAKMYLMNLENRVFA RLQDEGWFRDRVLHEVEFDFFPWLMDQVAVELEKKQRARVLVDDLIRQVVAIQLNS XP_828207.1 MKALSDDSLPSFRLGSQGNLAAVPTKGPSPRFELAPIVNELQQQ IISADCQSDVIAMLGASGRLVLRDTMQDGPPLVTSVRNPYRVFMSPDGNHTLVSTTDG ELCYFSVRNRKSTGHFTLHNRGASGNEAARNNVASCVCWLSSDAGFIGSPRTSISGAE GVSGSGSGWQLGSGTVYCLVGTKLGGLVFLVKVEVHGDGGSVKLTCFRSLQLPDANPQ QQVGSVEVEHINSLWVLFVSTPTVLYRVEGLMDSPADFFDSITASTGMWNIRRVSTAG NASASGAVVLYRPGIGMPAQSYAWASVAGVVHGLLNSRSDGSSANSGEAALVELTDGG SVVNEQLLDLEHVVVPKSSSNESAAITAAVPDHSFRGESQLPVGATLVDVGLTAFHML LLYRDRFIVLNHPAGLSWRGASSTLQGDWPYLCEIEERIRFDPFRYSKRESPLRGIVR DTAARKVYVFDANTFWELQVEQEHRQQSELFLDRAVNTRESSHLRNRYFRAAYQLCKY DKTMRNKVQFLRGRFLLQIGAIRRAMDVLAECDFFEDVYHLLMSFRNSKVLQLYVEKR YKNLVRRVTEGKGVQMQLACLLALIVVLRLDTIARSENITDAPSATAVAETLTSGLTA FIEETLEERLPMFSCVGYVNLIAQLLEEQSRPELVLRFAERMNKMRYVITYHVSRANY VEAANVLGAHARRIDMRSTWYSLAPILMKKCPIQFTKAMLRAVSRDAYDMPYLLLNAE KLIPVFTQYEPHMNEDPDNQEHQVVLFLDNCITKLGCDSPAVHNYYLSLVVKHDTKRL DEFLQSSLYYDIRFALRQCLESRRYRQCVRLYSQLHLYEDALRAALDCAELTQDETPG GASSAIVGSGVNECAATSLVSGADLGCNEGEERDAWSRLSVAQELLLSLPDDMPLPRR KALWLIVAQHVIQKNDNRAALRILADSCGVLKLEDILEEMNDVSVMENFKGAICKSLE VYASLTKQLREKQLEAGRMSEELKREIEQPRNSFGYVTANQRCIICRSTLLQGDAPFF IYPRCCHAVHESCAVAKLESIGGLEAFVVDEGLPKNFLDGINSTRDLAQMDCVICGET AILEIDMPLFTEDDSWLVL XP_828208.1 MKFWVKAITDKNVQEQLLVDAESLDELTKELSSLLNTCVTSISV SDFQSQVVDKINSGTDIVTVNVGTSTTCSDNNSKANTNTSHFETSVTSTGHWLCNDAA LSLTEDDEWLCSTASTAIAEGTPVRRTLVANQLLLDATPQIDRICLRRDQLELLLRPS HRGAYHSVITGCFARMRWRQGYSLYQIVRPASDQMLVFDMIHYEETHGMEVVSNAPPV AQELTTWGKRMRAASRPFVTAGFIEAKVGDVNSALRTARGSSVERPSLQPQIMVPSTP PASSTDSYPRRARKTLNAYSPSLAFFSFDRLLIKTNDTLKHSQFCIVNEPEMDSFSVV IFASRFSNTYAKKPRRSDFAGCMITLDKNARTPGIASERDITEKGYEMLCSPQWWGCR YIALVRPQPTGSSEPYIDSRGICMQDFTVLSGRFLSSLFNPPTTTSLPVPTWATGEGL SANILRPQLVVHHKKLHVFYLISIVTSSPVRRPCSVRLGACEPLNVGAVSSNANADSQ AAEGGASLDGSFANSGALPHRNRSNSPALVGICQYSLAHAVCEGDAFGQWHIVSDEEP LLSCQTNMPVFTAASVPAVDAKREKSIVSDNSPESDPLNVLQLLWYEGDASDSQSSAP SNQSTLSSASRSNSVSNRPLLPFERWVNTHEDASPDAWEQEPSYSAVRINTELMKSGV CSLSLCEHEGMVLAASVNRDAQQRTVVIVAPLTTEP XP_828209.1 MSDTLSSKLDDVDADVLRGAYEPVAIIGEGTYGVVFRARGVASG AEFAIKKLRSDKLKEGVPATTLREVTLLHEMSDNPNVVRLLDVLCSKRRVYLVFELLN EDLRSFIRRNYPQPPATASSSVVPLRLVKNFTCQMLHALWRCHQNRIIHRDLKPANVL LGVKKSTRNDGEDSYILKLADFGLARTYEMTLLTYTKEVMTLWYRAPEILLGERHYTP AADVWSVGCIVLEMIVGCPVFRGESNRDQLDRIFYTVGTPTEETWAGVAMMPGYDKAT KIYKVAPLHERLPTFDKEAVQFVAFLLQVNPKSRPTIPTILQHPFLQGD XP_828210.1 MSTSIEGDNCGICFTSIHPQCNPRGKLNSCGHIFCAYCIKKWAE STNVCPHCKARFTTITVSNTKGKNEVKKVRKRNYKLWELSDSESEGEGGEALALPRGS VRCGVCGEGDNAIRMIFCDRSQCDYTVHLDCVGMSDRPSEFFCSECRAMFGEGETSTC PPPNVGKDRSCNPTEYNGSSSSPSSNVCAPAPSPCTTTARKISVPPPAFLREAMERSA GKRLRDANVENRSETPVMPRRNANDWSPEGGYVNVDNGTINHAAQTAMQQYLQRMEQR AHREKLQCAHRPAQRQGGGDCLRQNTQLRLDRRCAQVRSAANAAEMEALLNPITRQRE EERLARQLAKDLMPVLRRNQYLKDNRLVLDSDGCVVMNPPPSELEASGREAELYSQAM VEGRRMAQQRISAKVASARLRKEQLLMIQAEREALALNQLAMMIASRRTGGGDPKVD XP_828211.1 MGDSVIPHHTVVQCIYSFLKAHGYKNSLLALQSESRVPYNTIDV VEIDEASKDTPCVNPKSLERAVLGGKWDVVLHNYVDTLLLPEEIVFALYELIFEELLA LGGFVHAARALFTSSPVFANMKRSSGARYARLERMLASFKNENINDVGTGGTEVVPRH LMEKREALLKTLQEAITWNEEPYDGALPAALCRMFSNDGRIGGPPSQQSTMAKGNDAV SVGDASAVCAPTTFLRYPLCCPKKLKSQTLPGGGRPSACIVTPLSRSGGEQRKFTALV VGTTDGVVNILDAESAGPVEGSVKHTDEVLSVTVDAGADGGVAWVAVGYRDGWVKIYN TETRKLVRRFTQVHSGGVTSVVFTGSRAPELFGHRTHLVSGSYDGSVQLLNILSGVSL QRIGDAHHSKYVLSLCGICSESSEDYHVVSAGNDGVLCFWSFSEEKIQRVGHPRALTT IHAALRDDIPTRLLPIDDSGTTKEILVLTRGQWALVLSTCFTNNSAEPLVLTLHCTIY TPRPMFGGCLRVVNTPAQPEPMLTVFLTDRSGTIILYNIEMRWRGSTTVGTTKEFRPV DESSIVVIEHGKTVETLNVAYVPGKLDNLLAYSPSIPAMYLLSWEAEA XP_828212.1 MSNKYLLDEKQQFINGIGTELAWGWSPAIDFTEFLNTRKREQTL GKGPEGTSEVVNPTVSDDKKSSQESSCLTKEEKDIESMIATIKQRSVEDKERNGSDEP GDVRVLLCGACDIRHVFRTLSSLRVKGSLTSSGESVDPTWHFYFYEPNLRVHARHLFF LKWLLDSTFSLDELEERVLMFLDVFGNAMTRDTTAAQVRNVAQQLLNALRSEGGGDLA ELVSFAEMKEKERDFVEQQIVHWTRDRSVAHVEETRSKRLRQEMAERFDNRDNIIDWD FHFHLNDYTNLIKFTEYRTWRNNGVAFDATHINPRREFTYTYSVPNKTLCHFNNKGDG VFLGDVKNGPFFALGAQTANTHIRSRTFDGTCKYGNGVVSMHNIRAWLYGLMTGLRWP WSDHLFAWDDASNYNWLPEGTPKDVTHQTTLPKVKFHFIGLDLDRFLKRMRDKGRQKF DAAFVGTGCTQFMTPSFFEIAMMEDAVVVAETAKFVIDMRDEGKKAFVNKICELARAA NWNRNEDITKSLHKDQPDPQKVDENSSNNKAALMAKERYEMPYQIALTRCCSVVA XP_828213.1 MSDFVDINVNTQPARANTISWFDAISPGRASRVVTPATCPPPSS TNMSTKEIRQSDLAVTQRHQENDLTVSTICYDDESNSSLLRRLNSTVTPPRPHTNLTD GEMGTSVVLGEYSTAAAASPQRRMVSSGAVPRSRRRCDDMYEEAVRGKLAKRQWAAAE QIRQSILERARIERDCTFQPQLSPYAKKLHRPSHLAPENRIYEELMRKSEWRAQKQKE HIEDELKGCTFRPLTVQAAKLKSSAHVRDSHIFSELYNHHEEQQYFRDELRPRVVQHL EQQILYKNPSNKIVSENKMSEVVERLISRGAIVQADRQRAKSAAISSETFKPAISTKS ERIVSRQQDAGLLSEDVLERLMNPPKTMSQDRCQRREREEKLFDEDFKEICSEYLKGV RVSLRKELEKNFISAKFDALADYIHREEPDYKGCDSRVDKYPIKQLLKAAVSILSAEE GDELVHILTHSRSPALNRKGFVTLCLKALAVVEDPRSSALASLPPPPSNSGNGNRTGF VDNTDKNRRDHLNRLRHNMSPEEVAAIKASALERQQRRTEEELQRQRAKEEEEMRECT FRPQTTRKIPRECRSGRYTNVRITKGEMLRRAHIRKSRTESVETPSLDLPIPLVRDIF KKLKIPTAFPSPQRRAHSAGAHPGVCGKHRQIRTTAVLKSPKQRVKSTSRRSRAEGDQ QGVATRAASAVDKKSHFPAKSKGKEEAACAAVPSEQSSEDAAETYTGRSFDGNPWPNA GALFRDAASEHGVFGGEGALTDLGRQLILQQLREYRSRQR XP_828214.1 MVTTQPNQRRKQGRAHSLGMASEQREYEAIMAQIKNIMLLANEE PPQGEDEASIAKRIDLLQQALGALEQRAKDNQLMVEVMRTNLLNKRQERLVETAQQRQ EKLSKKLEEREKFIHLLQEDRKYMLSQKHEVADSRMGLVQENAYNLFTTAHAKAEAER QRREKAVERIYEERARAVKEHRDRTQQREDQNREALLRQKKQEKLQRRQRELEIQEHD KYVAKRQYEADRKQQEAMREQWQPYLRNGASSANALQRCGSGMTAHEAARQNLMDELK EKEKQHQERYEEEQITRRYEIERRAYKHKERQERQRQRYSQQLDERIKRGDEIIAKAQ EKKRRAEKAQENRQKQESDAGAAFARDVEEHRKRAEAIQLQRREKFLRQNYLRWNERA ALVMQHLQDVFPPDGRCTLSGEKPCGFLPTLAPFLVNKRGNQQQKRANTVGSNKVS XP_828215.1 MSHTMTNASTLMSITVCDPNMKGTGLEMECLRPSMHIPELVLIP PIYVLIISGFLCTLVNAFFNYVSFGRSSITTAMEKHTRVKMLIHHSLIVRDMEGHTSV SRRDNIYNETDHLLTHSNVSVDHNDSAVFFQGILNSDALGFESPRVPASVADEALYGS LECIRRVGQQIVFSHNPKYMIISITKSLVMLLVLQYHFDYNGGWDRFVVCTAANLMSG LLTPVLEYGAEFLLQLDPDSNLKVAQEHDELDDVVLISRILTRIGEFKLEDLETVLVQ GCTFIVFGAVLLPPVFVFCLVGAAMFLWLFGPLWFAYGYFRHLYYKPRQSKGLSSPNA RGWLRITPFRELARIVLLKAVTLFLLQWTVQCSFLLGLMLLQGESYLKALHLEVKHQL WRWNNPLKMGGFRLLCLVSQLLF XP_828216.1 MRRWHRSLNPALFGERGSGHYFSTTRARLQLQAQDRIICGTHGA GIVAHQTAVNSSDNPMLSSVTTAAPAADLSRTKPHEGTGTSERDPYIRTLHNQRSAAP ESSVLQSHTVNAPTVDECEMLAERWGTMNYWHNDTFPRLVVLLKKLLVPDVSPLSPTA ESLLSMFEKVVIPKLTSDEEDRRKLVSLWSETTLQAEAAVTKFLFQRGSFESMLHRII TDALEKMSTLALGGQEGNLALEALKRQTLFKRNDFIQKRLIDVVSNSAYLGYGDSVWQ VFFAAVEANEENLLSDRATTDAIRAAWEGVMREDVVRLPDVTGVVALYLTLVCIRESG RLVPVELKELSSGLEDGVRPGVRKLQQYPLIFLHPTVKRRFVVKAVAEILHNSSSNAF SNMLRENGLHDTAREVALCEAMNRNKELAEGDVGDAVGRFVSKGEVKTLLSSLVSGTD AVVRDAVAGIFGIGTTITIDWDAVMQNVDWSNNWQRLATALLSNSAVLSAIVKLVKNA IGAKGMSKHLFTDEYADQLQLILDAREERAASRKQRIENIAQELSSFERVDLSCDLLR KLGVDMTELDTAAAATRNMNVVQRPCIEDGLLSLVLEAVTKRHPNWVKAGVIQTTLKD PFDALRWMMHIFIRLSYVPHAGAATIARLSRRRIGPIGLEPHQFNVPAELGFVEQYDN LQYKRYDWQGWYQRMLDVHNRNVSLRCRICDLQRLDGNGVQFVDMQTERRLRILAQHR VGMGVLKLDADKYEDQADNVTFGTTKLSELLADARKAQLGEEYWPSVELKVRKPSGQS KAHYSLIDNERIEKRSRELYEKYRDAKKRSLFVTPMETWLEVKGMQVRKSVDNADEDG YTLDALQDMMDGDDEDKV XP_828217.1 MEENNATTGQKRRRRKNKRGREAQRPTDSDELQPLVSYGSLAQT PLLAEEQSVGAVSLSAQTAAAPSPVVVPEFTEAAKSSPSSVQLLGGSTVALVDKTVES HFSLHGLPCASCASHIESHIGEMGGVAQVTVNFASSHAVVVHNPHVVGASRITEEMEA MGYTAVLISAHSDDAEQLDNLGVREFELLIGGMTCGSCVSRVQSALLEIDVVKSCTIN FSTGTCKLTMTGGRDSLNCVQEEVKKLGYTATPLEERGSGNAVDVMKEALERTKEIQA HKRAFVLSAVLATPLAVFMILMTVTKYFEDITTMVIVNTIQLYLATPIVFYCGSGFFS RAWVNLKHRTFTMDTLVALGAGCSYLYSVAGLITMFLMKRHVTTYFDTAGMLVAFMLL GRYLEAYAKRHTNDALIKLMNLVPPTSLVVTPAGDVSMPSSTVKKGMRVRVLAGDRVP VDGVLVEGNSDVDEQMVTGESVPKPVSAGGTVVGGTTNLTAMIVIEATKVGEEAVLSQ ILRVVREAQNSKPAIQRIADKVAARFVPAVIIFSLVVLAVWLLLGAFNLYPSEWRGNN ESTTVFAFDFFIATIVAACPCALGLATPTAIMVGTGVGAKHGILVKCGMTLEAMRRTR CVVFDKTGTITNGTLCVVFQRRWGNGADAAVDAVGAVEQRSNHPISRAIAVCVASDPK SQVAAYEVVTSTVHSGLGVKATVQRKDSGHQLNVFIGNMDMMLSSGIDVSGEVAKVVR WQMGLGRTVTLAAVDGSVRFLAALADEPKAEAAGVIRFLKRQNIRVFMVTGDNPGVAA AVAQAVGISQEDVYAGALPVTKAAVVKAFQEEYRDVVFVGDGINDGPALAQASVGVAL GAGTEIAIEAADAVLVRNSLVDLLNLRALSITTVRHVYGNFMWAFGYNVLILPLASGM LYPFLHVRVPPVVAGVAMILSSLSVLMSSLSIRCFSPYKREQFIDII XP_828218.1 MTKYELKMQYFDEWMIRWRKFQTESDWEIEKGRQWWRRFNMAVS GALFCGLVLYTSGTATLKRQYGLPHFFDIGVDGQAKETMLKTLTSRWRYTPQGYGRVL ITGVPTYILFVSLEHYRERRRMQQYLQQNTVFGEQMRRLLSTGKIEEYLPVNIKATLP ASQQAIYNY XP_828219.1 MRPTGESEKFITLPTASRVLPTGGSPADGGQRLQTTMGIVSHGA QQQRHNSQNNVTWRLGVDDSVESNGLNVHVRVRDSRTPTPFTSMFVSSSSSSPLTARS MSGYQEEETSPYSISGAEYLSAGEHIAHTALSGKCSFFSFPEGLPSFRPPSVPAERTH KLFIGQLRFEMSLEALVWFLHETINVNVCNIEKRGCGCAIISLSSEADREAVLQLKER LLVDIGGMWYARSDEECCNLHNYIDCSVAHIPRPQKVPQRLVVIEEARTGR XP_828220.1 MKLSQRFLSNTLAEAGTLPSRGTSHSEAIRQLIMSTFPTATISF ITTGSNGAHSANVTDTTASVTNAGTCAEEDEKLMEQLRMKDQESKQSVGCSESLGARR GPFTDCCSPQGVAEWQRLHQLFPAEAFVNAPQPCWTPHAVVYIGGFEAPDLRRTVAPR LFEKVPAFVTDSEREQTVGGRTAVGGRQKNPKYATKTLHTGLLMSEKGCALHEPDLLQ LALPHVGPSAMIRTRTYLSFAGAEPNVTALNGAVDPMQRVALRPAFGYSAAALRNTLP FVVVGASLLLRRWNARWSSTTLSSAFWLSAVGHAVESGRMSEAGLLVQKGGVDANSVM RVLAMEASSIAKNCPEDCCVNYFLPSETFELERGFAELMNVGPRLPIDDLKVLPVYPL LVRQTR XP_828221.1 MCVCLCCQSFIGKWVVVYPREGLLLLLLLLSYWGRSQRIEFFLK EKMVANLGNILFLSISLKRKKRYYNNISARVYIYMYITSNVPSRFVLLNLYIYLSVLF FMPYPTSYHIALCQATKRKERGSLRMNVFDSNSMLCQVVSNITDPVELFIEPEMTVGE VRALVAEMTVDSNDWNVRLTFQGHTLEDDEETWSSVTQQYPVAQGVAPKLFSHASKKR EEKARRPVSLPLMEEQKDRQQAKLMEPIIDTLVNDPNFSEMMISGQPSLRRLIDANPE VGRLFRNPETLKSLIMSQIDPDQRRAMNRNVQLQLAQISAIPGGEQLLERYTSGLMDD MDLNLDLVRNTKIKVPEDEKDDNSESVRGVNSEALPNPWSQSTNTADSGNTNTFSNAA MNLFGIPGNVGHPSLGNLFGSGLGGPFPFMSPGISIPQTGVTASQRGDDATRWSQQLA MLKDMGFMDEELCLDALRMTNGDVDMAVNFIVNKDSS XP_828222.1 MRFVPPFLAGAALATYYRPLDKSKSAYCYASIENALHFQPKPKP GKVFSQRYQPYTLGDVVPITHDTALFRFLIDGNEEFNLKPCSTLQACYKYGVQPMDQC QRFYTPVTANHTKGYFDIIVKRKQGGLMTEHLFGMHIGDKLLFRSVTFKLQYRPNRWK HVGMIAGGTGFTPMLQIIRHSLQEEWDNGMVDRTKLSFLFCNRTERHILLKGLFDDLA QKYSNRFKVYYTIDQAVEPDVWPHYTGLVTKEMVHETMPAPNEEKKIILLCGPDQLLN HVAGTPMGTMNTMSSGMNIQPMAPDLNNLVNLGGILGELGYSNDEVYRF XP_828223.1 MLRRTSFNFTGRAMISRGSPEWSHRLDLKKGKKTTMMHKLGTSK PNNALQYAQMTLHDLTEWCLAYSPWPLTFGLACCAVEMMHAYASRYDLDRFGIVPRPT PRQAEIIIVSGTVTNKMAPILRNIYVQMVNPKWVISMGSCANGGGYYHFSYAVLRGCE RAIPVDFWIPGCPPSAESLVFCLHTLQKKIRWHEIQKYSVRD XP_828224.1 MSAKMCHVVMFALDATKFAANLPGSELQRHLKLLRETVPGLLEI NMGPTGTDLFPGYVDCSGGFTHCLVSKHTDVKALQEYVAHPNHVTFAELLKASYSKPP IRVDFELKE XP_828225.1 MSSVRLLLGQVMHITPSSVIFSADEVDTLDFQTVSTIPKAHRDF VQKNGLIAKVMLSVTSKITSWSTREERQKIWWDALTSTGLVRSKATTVEVPTNLSGVF WPIIDDEQLMIYADAAIKRHANLKLNECPYVVPVLYAQQIFYKCQEVKGTLCVVWMPK CRYNLAHWTKVQVPRRFIPESVLYKLIYDITNALIFLRDVCVEYPACISMEDILVGGV SDAEPSFLLNTLTSRPTCNQSEANSRSSSTVLFAPELLIQPCKLLTNSACNVWSLGMI AYQIASGLPSEESKAHLMGTRHAAGEMMLNHYRLKPSDVVLRVRAVLQAGSYNNPFIH LLLLMLGQDPHTRPTPYVVYEMLRDIMRFKPVIRFPFAIGPFTLVHLWSDDKIAVDPV QRRYDTEATCIVCNESRSNLMCGDNEHTSALCSPLWSAEEPLPGYAVARETSMTYLYP MLGRLDKKAQRRYIDAALRGDKMNRGSLLQLLGGFALLKPNEGKSAVAHDVMIPLPNG VLHKDEKVAVAAELNFTAALPWPSQCTFKLQQEGRIQWSIPGLFGFSNARWYAWILPG EKLELNGEPWVPAPDGAFVFWFNESLQPSEYDRYFVVCSVLSLTWQAKMKSNVLPDHV FKRKGDVGGLTPSNGSEGGFSSCTTSHNGEGSAERHSKKDVDGVNKSGRSALGQRSVN SLLNLPKVGDLQCTKGDGILPARRQVSSTANTTSSSSNSCDSDNSNPNHRRPPRRGSA VVRMDYVDDVVPDMIFDGTGETNSKGQPLQPQQRNGVTGHRSNSTEGIISVLHRSEDG MACSTPREPTYPRERPQGRHINPQPTEPTANPRPPPPNNSPGRRQRHEGEGKFGRLPS GMVTTPTLSKSPSGSRFSGRPSSPSAADVNRTLSPKESAVLQQSKTGFPLQSPPLRVF GRWHPPRHIDAPFQSLTFCTSSTAEHGTLSPPVMLSMGVRTALHAPAAATHMAFAANI MPLVRRNHPKSSAALVVKENNARDIIPHHGLVFYDEKEEVVGLLALRFALTRSPDITT GEMLLPIRLLPPVVATAAFNAQLNAAYFTGNAVFHSITRVGSESEVLRHSESLQHLGN FETLSPLSKKLTLSGARFGASDDPPHKVVCGDDDVLPFCWIGFENQSRMLMISDVHRL SWYPLYFTDE XP_828226.1 MWRPYTLFVVPMRFGSPLQLRSLFVSRMPGRFDAFLTRRFVSTA TPGGQPICSDSHNSAACLTAEEFSNIVPMFLLGQALRQHTSNEENRAGAGEFVITREV FNRYCSESHVEDTDKALQLLCESGVVVSISDGAAVHLRPVQFLQMHNDAADASDAKVK TPFEDYIRAAQNRLADAEAEEMAMRVALQPAIDNAAKRRRLLWSGALCLMAVQLAVIS RLTFVDLDWDIMEPVSYFLGSGTSILFLIYLLRNGRVKSYKEYEEKAAASRVRLHAPA DFEWRKYDAVVRRVEVEKNMVEQMRKWFKHH XP_828227.1 MTMSDTSATYSLHNHYGRINNVCTISPQASPSPSALPQTVEEHF DAEALLKEQRHLLESSPAAEIRASPFLRFRLNAQQKFTMQHNQYLERCAQREASDRNP HPLTASATGLREQFTQHLGRQSISQGQNQSYQDGEGLCCSGCQNRFVDAIALNRHKST CSEYAALVLQRRGSEKLHYTARCFSTRETAVTPSNTDIRPILSIRERAEDPQPIPDVL TARGGHFSYRNQLSTHGGYQGNEGENAAAVAVFSPKAGSGSLSRSLPTPTSLREDMHS EFEARDTKHNIRARTQSQELTPPTPTSTWHQPSTSLRVTSIDEVPASVAARQSVDATM PTTPLGYQLGERFDVDISVDKSSFGAGTFQGMVSYSHLGGGVDSLRESSEPPDEPLKP CPSCGRQFFAYSRWPRHVAVCDNKRQQINSRGGGSVSSISSFRFPSGNANRLPQTALE KSTELGGTTTTTTTTTTATKLTRTNTSEKRKSLGASYVEPSIERRPLSASNRGRDAME GPNFVRTSTYSSETFAEEDGQVVDDRVPCPSCGRRFATHVAERHIPHCRERSEGNPPI RL XP_828228.1 MPSIASMIKGNKVVVFSWVTCPYCVRAEKLLHARTKDITVHYVD KMSEGEQLRGEIYQAYKHETVPAIFINGNFIGGCSDLEALDKEGKLDGLLS XP_828229.1 MLLNNFIVEERYSAWQKKRVRTIIVNSRSRLAAHRSITGALAIA KPYERIELVGGEYFESLLISAPIEIVAADGEEPVVSSRGSCITITRDVEAYFEQVTFV SKSRSKLESAVAILNGRSVFFRCNMNSVLIGGWARPYIENCTIAESHNGYGVQIGDSA GADIWQSAIHSHQIACMEIDTRGIVNVRDNTIHQSSNLGNAVVVKAINSIADETTPPE FLACRRVVVTKCRIYISPDSYVPKDRDMSSLETPTQPCSCVLISRGACPTFSFNELTQ GNIGFTFDGAGSAVLEGNCIYKQKICGILAIVDDTNFSPDSKSRGLRITGGNRIDRCY VGIDVHCSAKAAMAAGVSQQNLIPLELPSSRRAFTWFRGKDSGKVVAPPSDKDNFDDN HTFVVHGQPKTMKQLTNELRELATMVCAGHIKHFTSATVADTRYGVATSLNQLGELLE EMLNITIPPPNISERAAKLLELRRTDGVEIRDTRFSSCGLCAIRFGSSSYGVVEDCSF VSCGATCIVVCSAAHPLIIGCRFEHAKGVAIYMDNFANPLIMGNEIIGNAENGVEWRN ISRGILMGNAIVGSTVNGLLLTGGCSAIVAANSIQCNNAAGVEVAEGSKPFILLNKLI GNRTAQILSSGYSAPVIAHNRITSGRNIGIHFLSCSGGTALDNAIAQNERGIVVELGA DPYVYDNKIMSSNRYGVVVENNGLGTFVENTITQSGMCNILIKEGGCPVLRKNSIKFA NSGGLVIVNEGSATVEYNTISGNQVANVILLDRYTDPTLTGNTISASCSGGGILCGRM SSGKFSRNIITENKQYGICIIEKAEPDITKNVIMGEQNGIIVSDEGRGSIRENSIHSC GNAGVLIQANGDPFVENNKICRSAGCGLVVTSDGKGKVIGNEFFENDVGLQCGSSAGN TTLDVDENFTTKSPGAAVARTRRSALVSKRSFAGKRQHIQSTSTANPHALVRCNKIYG NTTCGVLLECGISAVLEENEIYSNVEFGILADSRYCARRLHGKLKGIVFEGFKLPRLR STGLVTVIRNNRIIDQRHTNIAFVDFSDGDAAIVQNQISGAPVGIYVGNNSTVKTVEG NVIENCDDGVYAESGGCGNFVDNTIRDCTGTGVYVSDEANPQFCGGNVIERCRISGVF VDAGGKGLFKEASIRNCVVGVVAYTSPPLCHAAGRDTAGKPIVTSSPAVEQCVIERNY LHGIFLCTVLSGLPLRQESWGSGKEYTKSTKLMTSTETRTFPIFRGNTIRNNRHFGVC HELYSLDGRLEESRGSDFSERTGGTCAADSFGAHVGRAFQRGTTSSRTPSPRSLQFSY RDGVSSSSEQDVEWHFPERMQRQASFVENDISNCSIGVVVGSSCNPFLLNNNIHNNDF LGILLRRGAQAMSYGCKVLNNGWVGLYAAPRSSGAFTSGEIRGNNGFCRPDTKPTDTR CFTTFSFTEAATPLPPSFKTSYDLRSSMYGDLLMMLSDYGDTVASALVMLCEVVSLSS ASLSLAGTVVPYGSLDSCHSHSGGSLLEQYDRAWAADGGIGVWIALGSMTEVSDSVVE GNQNVGVFFSRGVEHQLKNMLNGVLTREYELPEVPGAEVITGGPAGPGSTRPRWLLFT SESIRGCSNLASAELTMTCNGFGTEAGKEPNPAASLTSVRTELDDWCGEPPLVLPRPP IVRRNQILRNGYGIIIHLHQTLRAEGKQEVQGVADEGTPRASGKDKQKRRGSVGGRRA SIVGAAPLPGIRRRRNSMFRKGKKVEEETPPATPSDVVNPWADVLPPHALSEKSTPEF TICVQENRIFENFTVGVVCQHVLELMCGRFVKTRHEIGVAADRYDSVCVMITLKNQSA LPEKLFTLETQERLVHHAQIMKNDIYKNVREQVQVTSRYVAVTGDKERTLLQIDTLRR PFASVCSSCALLRIPLFTSLIGATSPGCFYLENNTIHDCSMGIHLIGVLGAKSTCLRR NVFYDIADISVHVEGHLSSATIGYGNIFERNGISVLVAMPEDECTEEERQWQQFIGVT TRICGNKFSSPVSVSLAFRGGGGPGPVVGGNKFANHQYGRVVFFCDSSSGSTIRGNVF QNNYAPLIVTGTEGREDDGKRTIIENNLFSQNYIGLLLCNGAAAQVARNVFEDNFRSG IEIIGKGTNPLVKQCLFVMTKDLLSLSGAREQFIYPSDGVLRVDGWPQFSVTLYPDNV ITHGDVSRRLSSGVLIECESGGTIENCFFKGNQIGVDCIRGASLGVENIVYTSESELL GPRFLNCLFLQNTIAGVWLRGAHAEVGVPQSFNGRRRRSQTHPKASSFDHCFFINNTA TMDEYGDVVATDDGYATFSNSMFTGCIHGKRGSMGSFERNIFSCGGKVDTAVILHRGT RMEMRDNTISGYRRSIITHTGAWGRLEKNWILGASRCVISAPFCNTLFTGNRILGAKE CGVFAYGGVFVSNEIMRCQTGVVIRNPAEYKNYHVIPSVDKGTHSLLFSENKVHTCEV CGIFVAGGGRVEGNVVSNNKVNITVTCGTKAAQGSDICHIFQNAVFDGGVGLQLMNRS DALVEGNNIFDNSTYAVLMKKGARGRLMNNNISSHLNEGALAVDEGSETKLLSNTVRN QFSPVYNKLLGPQRMRERQNAADVLKTELHELEQRGSEYATKCAALQEEMRSVFHRLR ESCCESDGSKMNIGTLLGNSFQKALCADEDEKDALKLPALDVRTLSTEDSTAPNDKEK SPTTPSMLSSTVRASTSSVKDGGNKDSYNIHIHLVHTAYDESECEQVCNEVQSVFDSL SLSLQLPLRVLTTTNSSAFPANWPSKQLKIFVVVVGNITNDDSLEDDVKLVRALRFPK TLAGNEEIPEEMSDVLIISIISEEFQEHADVLRTTTSGFPLAVQLQDLLSHSNPMHYV SGVSECLEELHRRAESYLLNAANSDKSDDYFTNDPEEEQSSRYSADTHDGHKTGASPR GSEWRGTSPMLESKEDEWQRLPVFGEKLNAYMSFDLIRKKVSHSNTSVLLPNVR XP_828230.1 MSNEVESSAAEPPAPDEATNVAGHRYILINNILCRTETSDGSFR PSDVFVGLDPPPRGTATLGSAAEDNCNHLSVGEFDPTAYVDSISKDCSIFNVFGVMSG GVLVDSESRGFIDFTDDMIFRPPLPPPVEGNDTHNEGSRDGQIRADGVVSLVSSIFPF NGLGTVDTPLPWPRSLPRLRSQRISYRLAAKTVHRMLSRCVGNVKCNKAVLVVLESYR IHRKLHSPQLTFLPNEIPFRFQWHGMTFRVKDGGAPKRFDANGNRTHEYRSPIGRINH MMDGKTGSAYSSFHAFTGLPKMAFRRYRESARRESRHRLENLADGYSRRLEMRIQNIV HPIREEMHIDENIEVVFRRRSGVHLWSAFQRYFTILGVSRCTSCNTPNCNAWYLDNDD KVDYPSALRKLEEDLKYRRTFNLSSSILADSWDWLLAKERGPLTERIRKIIKYARQID AENKREVINTDKDLR XP_828231.1 MLKQCLLQRCLVQYRSLMSVADKLNGMLDTRQGKGFTPRETLKN HLRSEVMPLLKGTKLDRRHDSITFRRSMSQLVRDAAFAAVVVHANPTGAFVTSLTECI KHDHERMRFISYMTSAQSSRVIAHLCKAGVRDSAALSPLISRLDFNELKEISRAMFAL VEEGKCNEVIFLIVPMYCGEKWTLTYDAGKGHTNTENRNCNVFEAVRVLRVLSKAVRS VVERRRTDLEAQGSLSPLPIESIQRLRTSLANFIIENTQVLRGAHWINFTRAMMHFPQ EFKLVKYLEDYSPVVRAVEALQLPNRGAGQQLIDTIDTTDLAALGMSRLFEPVKHMKR PQQSSESGMSEGPRGSILDVPPSELSKIIPIIEHLPSSKSIQQLRLQFVVDTVVKRLE FMNFADVVHFLHVLRNIEGSAQFSTSLDAAVNVASKRLLEAGPDCDVSSAPYRIPYAR LVQLATLLSAFRVKSCVGFVTYLSYLAPTLRSLRVDDATAFMCALATIAPKDGQELCA NVGKEILERVGVDSERGGNAGLFMTFPISCVKLLRAVVILNTVPSSNFVSLMFGDTEG RTQFSEEFCVEETSVLFDVSRSLYHFSRMRPGDMAWNETLWSKGVMGFLLPLLHYLSS VWRDGLVSPAGKSPRVTYIPIAWRSAAETIFPFVDVNLDVISLKTMQARLEEVYPSCR DIILMAVDVADAQMRIREGDAVAGEPIAYSSNAFIHLVYFLLMVEHMIYHATWQAEMG GNTEDGATLREKMQELKGNYNTLISTSSIGKTGAQCGGTTALSLLEKAFVQDGEDGGK SPFCLSRSEVLEITTNLPFALTLVVNQGPVNELFCERAVSIMVNVDD XP_828232.1 MSHRISSTNNPFSERDFNLLKSGALEKSRSVVSRREQVWDNIVD VGEGVKANDVEELMARLEQHGLRRVLAENEGGTGALHAADGTHGTENCDTSTEDIDED DSCEKRAPYYYMCVPCELSLTEISTKPATMRMLQDAHYHFSSAEHRCIASWMGVVDIE KTLAVTSRLEVGGYIRIFVNGIPFLISARPGGGGMFYPLPHETVEAREQSGRNAEPSK GTAVRKEIWYRPLQSVFTRCKQILYTAASRQKLRGESSPFRAQRRNGIVLLHIPMKTY ERESFIRGPSVPALFEVQRERVSNKKRRHKENGTRTCYVMREKPIAAWEDEDDSTDAI SKVTVKEEGVYRLVLLCSDDVRRSMRQAEEEEHEPETRVSGEVTHPLTVEALQMIGGT TREESNQSDGKSLSYDSSSWSRKT XP_828233.1 MPEKPGSHSMINSCNARVVSTPFGINGLECYDGNLSVNHPRVLN SATSRNETAVGGALGIRNLCNRNKEEMDFDKPIVLASLHHKGRLVVWDADTMDVLGTC DHSGASVIECVITPTYIYVRVENEGCLEDKEASIFIWHTKSLKLCDRVVAHDGRVTSF AVSDESDACFATAGVDQMVHLWDLAKDHMIPVQKIALKSSVTVSLYYAGKIFASYAGS PMVVWDAVSGKEVFRTKEESGRITLVRWINDPQRVKSKRRVAQDLSIIVGFNDGFIKE WSVHTAHSSSSSSSSSVSLALKWAHKVHRSQIDDICGDDEVVLSCSALDGAFLLLPST GNAASLFSYGARIGLLDTCSKLAIVGAEDGSVAVFSYAEFYMGRSVPGKLSVFRPHVG SVTGMFLQLQRDQKWDRLMCSSADGKLLFLDYSKSRCGSWIERVKSQTVDFIPRTGGI IVPQKDGKVSIVNRDTLAFSSKQPSLKLSKSVITVRWVDAYNKLLLGYETGEIAVYEC RIDNNNIVSFFKLEERGLSPYFVPLIALSEPSSHLAVACFRKETLHEGGFLVIDVEHA DITFPLQYLQRSYAIRCSIIPLKDMEDCDYTVILLLRDGSILQYLGDAEERVDAVLTR TISNSQLPNVRSMELTGLSIFPSQYVLSVEQTTTDAPKITLIYAEGPHLKRATFSATA AGSVEVTDFAEKGANASQQTEEKKLGDVVCLTGIFQGDLGLAVVRDSPFVDLVSPGGE YLYRIWYGGDVLNYDMEGRRRRQLSFPFDPAILNEKKSLAMNSSCSACCVSFCAERRY LAIGYRDGTVQLFDTTQHVIFARFMAHTATVTSIWAFPHAMVSFSVDGSCYVGRVTRR VLFG XP_828234.1 MAYQQSRALKKEFSLPMVPGMTCGEEMLRRSYHRTQVHGRKYDT NTHIDGVPEDMSRFNLQTVSSISKYAPEMLPTATQTQKSDEQNVDLTGRVLRFYAYTK ELVPESFVERERVRKFVFNVFLEDNTMSVVEDVADNSGIAMPASLKRHIVPLPDGSPI TFANFRVGETITFYGRTYMVYDADKFTRDFYSQSGLELDPALPLPFDAYTELQNRPKK IYAVRTIAASDPTNLTLLPEQVRATQQFLKHDGEVLRCDCVWDDMEALHGTKHYLTLY YFLSDDSIALVEKDYPNSGRDPFPRFFRRQRVAKPKDGRFDPTSLGTLTFEDTSNRDY YTDADIRIGNCLHVFGRDVLIYDYDEYTQHHLLKKFGITSYDPIPGGKNPPAAPIGCH RREKTAQELEEVQMRKRAENRMREYGDVTVKFLMRLDNAKYEDEIRRFVLTVYPADDT ISIFEPVIRNMGIVGGKFLQRQRSKRPNGEFYTAKDFFVGARLTINGFPFVILSSDER SLSYMETKHDEFIRSDINYVVRKLRAMLLSRKTGLVEAFREADKENSTGLKMDVFLDI MNRLKLDISEQELLSLLRYFDKQNESYVSYEEFMSRVMPEGVAVASDDRPWEVIDAQS AEEELAAFVVDPRIDEEKRLRAEQISLAARGAEEFLTLYDQRRQLVLKEFRAMTDYSP EGVIGAKEFKMCIRRKLFVQTIPDAALDALCDKLFPPEMPKLSLEELTRVFNGTSTLP RNMKDIKAGESK XP_828235.1 MSSASCYLPFVACFLSRFARFPPHLFNLLCCWTPFTILRIISHN NKKEDMMCNSFVALLVSLALSRGSFADVDVGDSKGRPADECRLLGFDKPSVRCRHCTL LKQHTTNFTLYEECLACCVDEKVPQLLWYATARLVVKSKSRDEPDREVDKFLAKYRNK FGNRLQVVNSAIGQPTHLIMVGERGTRDAQWVVEDWSVSSLHDYLVRAFNMETEELL XP_828236.1 MMRRAFTRGACAAQSFSMVRFYTDAKTVRQPHRYDQAITAENEV YIEQLLKQYEQNPCEVDGSWIPILEKLRSGSPDAPLVQDFTRPVKDAPKSDSERRQSM GITWMVTAYERYGHHYAKVNPLRSEQDVESDRRDLLNLHYSNFGFTDQDLTKVFPVDI GGGLKEAFGENVKEATLQQIVEKLQMMYCGSIGFEFLLTEGDDVRHWFHKEILKTFEP LSKEERIHILDDVVKSCGFETFIQLKYGTQLRFGLDGAEALVPAVIALMQEASDLGVT SFVQGMPHRGRLNLLANVKVKPLTDILAEFEGKTHRNAIARLGDNKYHLGADRQIELR NGKVINFDLLCNPSHLEAMNPLVLGKARARMVVEKDSECVRTLPIIAHGDAAISGLGM GHETMGLWDLDNYRVGGTVHIITNNQVGFTTDSVDARRAKYCSDISKIHATPVLHVNS NDVEACVRAARIAARFRQTFHRDIIIDLIGYRRNGHNEADFPDFTQPQMYQIVRSLRP LVDLYSDTLVEEGVLTKEDVKAKKKEYESRLREAYETAQSCPEYTKVIPNLREDAGNA SEGYDLAAEKEANLPKAVETGVDIEVLRRVGLHVTTIPSEVKKVHPVVERTYAARKKA IESGEGAEWCLAEMLAFGATALEGTHVRLAGEDVERGTFTQRHAAVTDLETNKKYIPL CSLSEDQALVTICNSSLSEFGVSGFELGYNAVNPHTLGMWEAQFGDFANGAQVIFDQF LCCGEEKWNAKYSLVLSLPHGYSGAGPEHSSARIERYLQLCSDTDVVPHNFRRGCPLQ LLEVRIQRFNWQVCYPSTPANYFHVLRRQVRRGDPKPLVVFFSKARLRAPNVSSLSEM STGTSFKPVIDTAVNDEVIARKVLFCTGQIESIVDDRRKKLQAEKPGVHDDVVLVKLE QLSPFPWEQVADVLEKYHSRNPNVQFAWLQEEPKNMGSWAYVRPRLQRLLRHLGMAGS SDFLPYVGRVTAASPSTGYATVHAEEEAEIIRQALA XP_828237.1 MRSALPERALWVDDQYATRCRGCDAPFTLLRRRHHCRHCGQIFC GDCLATTHTTTAGRIITDKIATMVGLRVDGSHKICHECDRIVRKRRFVKRYIGQPAMI ANETSLHHTDETSAPKLSESPPTPNISEEHVDVAASSGEMMPTKLEATLSSSIDEKCN EKDENMVATTKLAPVPLESEQPPTEEIPTHPETPKEREISYSLAELPLYSRNSMTVWI ERLRREAQGRKWDGAARPPKPLSNRCVFKAEPKAKFTVLEAAEGPTASMATVFTAPVA QEELQKLEQEVSFHLIKRASRHFMSEEVLLSGGGLDKVEWVAGICDLAWRVVSQTLVV PREHVLAHLDVICVPGGSLSETKIIPGVAFLQTVAFRQMRTTVKLPRILLLAGDVGVA VKPLTDLTEYIGSCEGYLDKQYQRIKLWNPSVIVVEGRMHHYLLDRIQRESDITLVLQ AGKAALHRLSRCCSASIIRDLQYVSGMDVSDPSALGTCDTFQLIQIGGKNICAFSGLR MPSFTTVLLRGGEGGQLDAAKRILVNCAITAYHLALQAHCFADFGIGMCPEYNPDGVA GDEEGREENRRLPSPCGNLAASNLHDHVECMTFISSSPRDEDLAATLSMNIAIKYPDD VTNMDPRYTKLVTDCLEVGTALLDTAAGDDPAGVTPMSSMISNVHQHFESFSFYSDAD ETLREYLTARAREGDGTRLIVHGNKRVWVTVKANASSGSRTNAQGSRAFSGLGTTSQS LKLAQPCGSLQLGEKLENDSWRLPLRTEAICKQCMQDVTSNFNTVPMEPCSVHTLNIS WGAFLEFLIYTSPSVVMTCGHRMCESSFLSFTLFPYSTAEVAVSIRVEELPVYDIIPP SMTMPLCADVVSMYYHHEFEELRQCVAQTIAIVQTALSPQMSQQVGMANQAKNAKQTF SQLLSSRNCITDADTTNVTEERGRSLLKQAEDLIGRLSSLQSTEGLTQVRCGEMAELI IDTQDWFAAYVALLERRGGKVSSLVDTLNLDCTSWALDMARSYCVRPDEPSSFLAVAL RAIGIWSESSQDSFRSDLTPCGGYCPAPFADAYAEDIELTAIGEEVQKESMEKTVEFV LGVSPSNPTDASSPFAAICSVSDALEVLGEGVTKTKATFKHVVDCFYPWDKGETFRAT VEVMFPTHFAALQYLYTEGKVDELMLSLSRCRAFKPQGGKTNSDFFITLDGRFLLKQI KQAELLHFAEFGPRYFTQLRKVYSHAIRSGVRVGSVPFGCSLGKVLGLFSLHVKGRKR LSDSSTEARFFVVMESVFYSRQPDVMYDLKGSQRNRVAREGSVVLLDQDLAKILCRGS FFFCSGETKNLFMDSITCDAHLLATSSIMDYSLIVGVDHTTRQLYVGIIDYLHPYTGA KAIESKVKAGLETVLGGQGRDPTIIDPPSYRERFTRWLGDCVFSVPTKRLAVPWVTGR EGKSGDSCSLA XP_828238.1 MLVSLHFFCCRALLLTLASWAAASGDDDEPSTRLHKSLGSDALQ VVCHIKRIADLARKDTPTYILNRIREREASALHAVGRVKHYIDQVNAIIAMRKGTPDG EKTEPLMTKIYQLCGEAEAAANSASSTVGKARAKAETAAGDVMKHAAEVSGSPQDRTT DGTGLRQLLDWHCKGNVRIPTEFECVSYGPSSSSSDGSSGSTVDCTQRRPDVNYKTLD SAKMKAAMEKWVSVKPPASETVSTGCRNYNTNEYLCAELEKWVPSYRRLTEGMLTLEQ ALREVSFVAAAVERQWTIAYHLYNEVEKNHDLESLSQVVNKKKHEIEATRNSTEDMEF LHRNGSFDDGLTDLSGCEGEENVGGNGDSTEGGPQSKESAEALKKSQRSKKIIVFVTV GFVSLAVAVSIVAAFLCVCRRRRLRESAARTGEVSKECAEPSAKK XP_828239.1 MPAALCGLSSSAPHTRASSHRMRHVTLQRGAKVIGSLLLLIMLT VGSLARPIEATDVVTVKVLSLMYGAGSPNDVVDSLNAGLGASLAAREDEVSSMFKVSL IQPSSYDEPIEQLFNTTVERTNNELLVIVGPLGNQNVLWVQDRLEVHDLVAFAPLSYS DKTRGWNPHFYYPSVEPDAELLALVRYAIVFLRVPRVGFMYLKDTHFGETSLLFARDV MSMMGYKLCGTFAIEGGDDRSEDATFEAEWKQFVDTRPQAVLLFGSPHDLTAKFITKM VTDNRTAGAYVLTVSNMQNFLLKNWKKALEVAGANLKPGQIVITGTSPLAGDAQYEVI QRFQREMTKHLETNKDWGGFAKPGHFSTNHNDGELMVLGWLAGELLVRALVESVSLTN RTLFKASLYNQRRYLIDDMVIGDFGGECSEDAQRQGAVRRCNQGGNIVYMKKVVDQYH LEPLREGFLTWGTSRCFSEGVRVGAPLSGVVILASDHTVAHRANSRYFSGATALSRND RIWEDDRLFLHPLESSLSGAATDLHDNRDKIVISAVFGVVTNDVLSTEGLIFFDPMVV FPQLSMFRRHVIHFFPTLAQELYVFARYLSHSESSFADAIIRSDEAGEIAKVLAMSLV TFGVSPGFGVFLDVASPIRESHLKRGGDTFVLGLTAPDVKLISKHLETHPEARVFITF TDLVLLYEEFISEFNATTNTKAQRLFFATSLPHWADNSSKSETITAYHRAIPDPKQWS PMSLRGFAVARVMQTLLVPMKKVNAALLAEQVFWQTSFVVDDMKYGPFNDVDCVANGV QLSSNCVWNYGATDIAVWSFARVLNPSLPVAEDPITPSMEYKKPREQLTSSEIAGIVM GSVIALMLFATLGVALLCSRRNKRDNDRAPREPTDPVTLIFTDIENSTAQWAAHPDLM TEAVAAHHRLIRALVLRHNCYEVKTIGDSFMIASKHPSQAVQLAADVQLMFLHNDWGT DVFDNFYRELEETNAKEDNEYTSPTACLDPEVYSRLWSGLRVRIGIHTGLCDIRHDEV TKGYDYYGPATNMAARTESVANGGQVLITHATYMSLSESERQQFGVTALGPVALRGVP QPVQLYQLSAVPGRTFAPLRLDREYFFEDENETTNSTSENSSSRAELGESAQMIMTSL QMLLSTFKGPQREKLLTPYCERWRVPLPRKCTRVWDEEYCQEVMRRIAAKVGHVADHC AVGQSNHSTSTLSSASVVIISENKAFLDTCSSML XP_828240.1 MGTTMSGNQGRKRQRISTPEHSEALKEPSTSQKNTPTTITITEE MRDSSNEGTLVSLLNEREKLIKETPRLESNVKAFRYFMSGLTAMSVERQGGVCDDAKC IRTVTLYHAADNSFSGKGLNEGALSSLCGRIQAEKLTITMSEGEKFDLQGVSELKQLE ELRIEYPRGKLVNMISLKRLHMLKRLYLKSNNIDDNDVSHLFNIVTLEDLVVADTMQL TNIKGISRLTNLKCLEFNYTSIDDSCIEEICECATLSKLSVSECNSLTDVTPISQLAA LEELNLSNCYHITKGIGALVRLLRLRALDLSGVSVEDNFLKDLCDCGPLERLNLSHCI QLTDINPLSNATAIQELNLNRCHRITQGISVVWELPKLRVLHMKDMHLSESSLDSVGT SESLVKLSIENCAGFGDMTLLSSFVALEELNIQKCADIISGVGCLGTLPYLRVLNIKE AHISSIGFTGIGASKSLLQLTIESTTGLSDVEALANILTLEKLSLLGCNGIDAGIGCL GNLPQLKVLDLSGTNTDSDSLRGLCVSQTIVSLNLSHCWKVTSVFHISALETLNELNL SDCIRINAGWEALEKLQQLHVAILSNTHITDRDISHFSKCKELVTLDLSFCDELFDIT SLSNITTLEDLNLDNCSKIRKGLSVLGELPRLRVLNVKGVHLTNSVIGSLGNGKSFVK LILDNCKGLSDVTFLSSLSTLKELNLHHCDAVTSGIGTLGRLLQLRVLDLGWTKIDNN SLEDICACSSPLVSLNLSHCKEITSISAIASLNALEKLNIDNCCHVTSGWNVFGTLHQ LRVAVLSNTRINDENIRHISECKSLNTLNLAFCNDITDITALSNITMLRELNIDWCFN IEKGVEALGKLPKLRELDAKKCGTSVRWMQQYPYNTLFKSLVKLNLENGRESFCVGTL SSTAIVEELLLGRACEPYHLPPISSLRRLRVLNLDDGRVCDIWLEGISQSKSLQSLNV SNCNYITDISALSSLSTLEELNVNCCDRIRKGWEAFEALTRLRVATLSVTWVTNEGIR LLSGCKNLRNLELYCCGDVSNIEPINNIKSLEELTIQNCHNINEGLLKVGMLPRLRVL VLRKLQSTYFSLSSLGESKSLVKLTIEGPEELCDIKLISNIATLKELKIAHGDRLLND VGDLGKLPWLHVLTLSHFNMGNTCFESVCKIRSLKSLDITHSFELPDIYHISNLTALE ELNLSGCYHIISGWEALTALPRLRVLNLSSTRVTTSYGGYYISRCKSLITLNLESCDM TDASCLADIKTLEELHIGKCEELTRGFSALFTLPQLRILNLMDSLITDEDLREIQLSH TIEDLNLSYCKELNDITPVRRIKSIKKMDLHRSSEGRGLREGFRSLLELPCLSWVDIK NANVSFDVYKELKERKVDIYN XP_828241.1 MLKGARAIYGGTRGRLYAAMVGVMLLTVLWFLGEMSVRNKETGT LLRKKDSGRNYTPRVYYAVVHTRYSPGWCRMIVSSILTNASVATLGMGGWYGHVKRWD WIYSYMVREKMRESDVIMLFDGGDTYFTDAGVREEAVKHFLDTTPKIPEAFNETAILR GEMTPPMLFTAEKGCATPQLNIMVKPEGRSEFQNCKKLFDDAMDATRGVGVENILRVN ESGRSYLNGGGVIARVWALKEALKVFFNLRRQSLIWLCDQTMWTIVLTWSVSRPKHVK PKLLLRRGIISLDYETRFFHYPHSVSVESGVVLHFPTSISAWRNLMPKYLNQTSWFRA LRDSSFQQKNAYRRLLENSSVEVHTVWGARRYMKLSGVCPLSDISDPRWLIRPLRK XP_828242.1 MLKGVREFYGDSRGRLYVMGLVLMLFFWWLGLRNVGSGETSVEG KKDGSYGNHIPRVFFLVAETRYAPGWCRMIVSSILTNVSVATVGMGGWYGHVSRWLWL YRYLRQKKMSEGDVIVIFDGGDTVFTGEDVREEAVKHFLDTTPKIPEAFNETAILRGE MTPPMLMAAENFCFTPQSHFMVKTPKSERAHQCSKLYNEAFVVSNRTGLRSILRANES GRSYLNGGGIIARVWALEEALKAFFNLRKKKFVWGCDQSMWALLLIWSITRPENIKPA LLVRRGLISLDYETRYFLYPSKTMVMSGMILHFAGPVHVWKRTLPQYLSEINWYRALS NSSPKREASIRLLKNSTVEMYTFWGSRKDAKLSTVCPISNISDPSWLSGQLKK XP_828243.1 MRSYRLASIRRHYTALVAPCGLRFFSPSTTVNLDYLLNPTPEHK MLRETVQRFAAERVETQAKQSDIDMHFNVDLLRELGDLGVLGVTIPEGDGGAGMDATA AVVIHHELSKVDPGFCLAYLAHSMLFVNNFYHASTAAQRERWLPKVLTGEHIGAMGMS EPGAGTDVLGMLTTATKESNGKYILNGGKTWVTNGTVADLVLVYAKVDGKITAFVVEK GASGFSVGKKIDKCGMRGSHMCQLFFDNVVLGEENLLGTEGKGLVAMMRNLEVERLTL AAMAVGIADRCVELMTCYANERKTFGKHIWNYGQIQRYIAETFAETEAAKSLTYAVSR SVAPGNCNRLGSDAVKLFAAPVAKRAADNAMQVMGGMGYARDMPVERLWRDAKLLEIG GGTLEAHHKNITKDLINSL XP_828244.1 MGTKGLRNYVEKHGLVRSSSRGRDGVVKRTNHLLLDMNAIVHYI VPTNVFTTKSVIEGVVEAVQKLISAFPPSETLVILFDGVAPCAKLQTQKTRRASYNKR HSSECTSSLELRYNHDYIGQEISFSREEIIAGSEFLLACEDAVRCAFGIGGEFCVPPG EGRNYTIIMSGCAEGGEGELKVVCVLRTIWLEQRKSQTYTGDDEIIVVGNDSDLVLVG VACTPYTKFTIVDPRDYALTDVGELFKHWGSSSPGNQIRPDLLPSYRIDFVFLMLLSG GDWFEGIGKVALVFWRRYRELRLHCGYFKRSLIRGEGFDVDIEFLRAVMAVKDVSSPA KYMSKIKQMTMKESPLSREAIEEGVELLKGAVWALRSIVAGQCYDYKFRAHTKKPTAG MLKGASHVKGLLGKIRPVSESPLPCFSPLEQCIAVLGLRGRFSSPIHMVIKEESVNQG EKLTNSFSSEFLLDETRRLMSQVKVDELTAAEQDLLQVRHMRFLESEDGKITFMSFHP EKQAVADVRVCDGGVK XP_828245.1 MPSSWLLNTQEGDITAPCHCSPDVPVTDVQLETFLVYSRSIDVA TLHERHPDDDEGRTYAQRLIWNLGYKTLEKVTFTTPSKDESMEHLNVDEQMRIVESGI IYVDVRNEKDEWVRIEGKMGDVIVLPPGMYHRVVSSNSGPATCLRLFRRAETFRPIPR DTAGLSEALVKEAVEAHEEHMFFINNPPVETAMGPANDTDNILVKNPRDFDATLEKVK AALQPGDILVVLIKGVSHPKTHKSWCPPCVLAEPMVQRAVKAAKEKRRVVYVQCNVER SVYLGNPKYLYRTHPFIKVTGIPHFMVFQQGEGGLKDICRESTPWEGYEKWVEKL XP_828246.1 MLRRGGPTRTPTLPWANITALREAKREEEGSTIDSKPQQTTNTS GNAQRLKAMPKIGVARIGVHRVGIERNCATASEDGTKGLRFDGKERHESCNISGSSVG CMDLLEFTVAKERECVNLKNMMRQWADVFSRSSGCFVLREIVLKCNNMAPILPDLITS CFSLMEQELCGRELVAEPTHFVSCFLRREYEVKLGPIKMKTVELSHNYASLEEGGKYL RICLNLRRIVLETLSYSYRNAKNKSADFTHGTVDLKVENCALKVDLIILLAGGGFVTI YRRCDNLKIGSIGADFSGFIPNLIFFIIKPIIRRSLRNQIMAVMNERTFGKT XP_828247.1 MPAFSSLSKCVPVLAALARQAYRSLHFYDADTISTTVLSLGELR IPPRDVHAFVLSFLTALPNFHRGKHVAHLLKGLSGLNVRHPVLTAHLLKIFLRCYRGG AVRGRGKEIVEGEAQVICLPSDHRDSFFASFVSILQTLYMYGYSVQLIRGLFLSIEKF LQEGCDLSPPCGIRGTYRQDNSCFNSGSGANADGLVVDRFGCMEGGGDPVFFVLLLFL KFFCPALGGAVHLERVDAYLEPLVDRLHHHLAVSLLISLNQQPSRWESLQHGTVMSRC QRRLIYRLVQCFRSCSTPFVKLSIDQIITLLRYAHHLRGDHTGKQGAEGELLYAMNRL RTHLEGASLSLNQIRTVAEGGLMLWGDMAEGDSFTKIHATAIRTKISLVAVFHMLQKS EEGRGGKSMRSVEAMNTNWQKAGSLISLCHYGFMSIEGRSNDTGEPYTPSFEGLCNLT CKILGELYIGDGVPHISSVRQLHKVIGPLKELLAVRVGTSTNSSRKGCAFMLLEALER YEIRAINFFGIQGYSHDADLICLCTMLQDSSLQRGTCLSVIDVVLPNICRRRSLSSDS IEPLVHVLRMLLSDTKNRCSRKWYESIVESERLSTAASWLCVAMAAVKNTAGSSAYFI TMFSLLTVICPIERRSARGGEGVDIFAVCIPRSCRVLCIVKRLFHNCRSLATGVILNR AGVRSSGSDNFYSALFLPAKSVAERCALQSRFTDLCRTSMTPAPDSDDKSEECCGECG KEQELKYDTCVNCALRCNILDFFVWLGAFRYAAIQRTRLRNEGGVEGVVADVHEIRQP HAELLNIVSSLLEDLPRLNASLRRAVLVGFYMEAQCEALERSNTKPNSMDAMKEAACA ERQTFMRNSVFLRVVPLFIGELFHNIAIRPMVGSDIGQVTHDVKTVPYAQMTHADFRN AHTTHNVGYKEITFFLSQFFDEFGQCKEFRRNLCALHDVVWDSLTCITSLCSSTGRWI EEFRHSKVFSTGNLCGNNDSVSRPCLNGMKDDMVIAHPRNTLLWSLLLLTCFIRYRCI PRESDGVGAEWLTAAEAVASRIVLMVSDYTPPLRRKRPAPETFVALHLREAMERRFNL CNPGLVSDTDRRITCVLDEVLHREAECLKMWEPTFPCIAARVRRCLKST XP_828248.1 MKRGRSATSRSLRHNEDKKDFKLEDDSERKDTEESFVEEILTVE EKRTILLRFPCLDFFRNAHICETLCNSAQDASKGGTSGMETKFAPEALRFDADTLETP HPIVRLRTREFGELVFEGTWCQVSEINGGRESMSNRVVVHLCERSDEQSSGEVDKAVS GDNVGINRSNKSPLLSGSGSNSLSGSGVASLLKHSSVGVTADDARGQRRAQNALWFYN RIDVPCGTLVLARVK XP_828249.1 MSLDVGSCTPSDPSLIFSPNYTINPTFRDDMRGSSVPCLVDIAV NLTDCVFRGVDWKGRRVHDDNFDEVMRRAVEHNVGKIIITGTSLPQCVKAIRLCRRYP SVLRCTVGVHPAHCAEMTRPMDWKAIEAAAEDDVSIQVPYYSAGEHSDDSLHHSDERM EKLVELVNENRDVVVAVGEIGLDYAELSYCPKEVQREYFIQQFRVLRTLGLPFILHSR DCGMDFVELIEEEVRSWTSETPFVGVVHSYNGSPEEQERLLAIPGVYFSINGSAFREK ERSEQVCSIPRDRLMLETDAPWCDIRQQHYGARFLRTQFPTNRRGKPFDPTLCSERRT EPCHLRQVLEAYVGTVRTMGEERGDAFLANITEEEAQEQFYQTCVEVFHI XP_828250.1 MPVPHQSNVALGELDSSQFYTAEELWPYLSETDRVVLAEEVNTA INHELAHAEDLEAVIPRLLRACTTEAQELRRAIKSMASSQMLEGHRAVQIVKESGERI QDLRELFIKQGSIIAQMNVTSGSYKQLRELHYLRSNVTSVIEWVEVLNELRYTDMYRL VEQRQFAAVYKRLCRLQLIRQTVTEELHSYPKAHQNSFDPYFEKLELVQTMFVEGVYK LFRETSVPAAIQKALKDPPRMGAVVQSIPEFTQLEECVQLCAVEITEDKGFLYSADGE PLITEAKIFEAVAACAKKLWVDDVMAEFTNPVAQGVAYLDRMKKIAPILSALEMTLIP LSSRLSLFPIVVVSLHGEVVKSLEIYADPGLEAKANDLIAASDFVRWYKEMLKDGNYS SHVDIAALDKLSACMTAGAVDGLMMHLVQLCKVCARTVLEDGNKPFRSSSGLPVTTGP KDMFAVLQQTLAGLSTAIEVDVMRQIGRACAAAIESYFSECKLLIDYDRWEEMEQRQK SGGNSGNEEWKMRRLAFLYAFCNDCSVIERNMDTVELVFVSYWNEEEGLEDNSPFIQT QDVLVDHASHYIDEVILHVERMVEGQWPDVFRSKGWYEGEDSPTRIILDTMADFIDEE FSCSFEASQLHTVTHRMMQRYIQKFLTELMEFLGDAVRHPSSKAAKDWNEFVNCFVRD IVITIDMWKARISDSRGKLISKVNKALELVKNLLAVRKPVDFDFIVQKDLLDDFGDCP TFVVRFLLLSRKKEIGEDTCNSMLALWDERIAHQRRDADDKPTTGWKQMPSFFGMLDR SLADIGKKGGLFSSSPTKKRKKAEQKRQKMEKEKRKAEQEKRLADAATAVKSKSPPAP LVPRRDSVEVVTLASVLDT XP_828251.1 MWAQQLSLQKQTTKISPADKDAQALITANVFIEGNRMRVLKSME QYQAVADSAYWNYGYMGGSMVTTMAICLSLSGRLPLLQRYASWISLAGGYFGGKAALG IHNARNLSHVVNTIDSAIVETRKMDEQYNFKIPDYAREVEALQRRKFELLPTSAEAIE ARKNDLNNMPLDEKVDALVEAYEKRRQAVGKE XP_828252.1 MLRRFALTSSVALRLRFERDSGHNTVRYRPIPESMQPKHLEDNF TPFPLPKFDESLEYGPVRLRNIPDIEAAKERRRGSRLAATEVLLQETLQEENQFATSG KGDGNMAIAITERHTEDVTTPAADSRFPSQTMSPCSHEEEMRGYVVSRDYPLIDRLHC TRSIEELVAQFEDRPQIESRVAALADMASTVSFRSDEELLRMFTAISAPFSVDGRGLN FLTVKVSKFGRPYYVPNSLLPAYVNLVDATTIALVREQPWRLSASPALFIQVLQFMAL IKVFEPNKWFTFSDHAPSNRADYRHAIGVNHSTAFWGTGEELYDFMVELLRVEDDGRI PTMLDLCTREQMVDLLSGFCGVMPGGKAVGDVFKTITDAFLRRVRNDISGSWGAHDWA IVERMYLVTVLCDAGNNEILQLLLSDTASPRGPDFFAAVSRTKDTPTKKRALCLLQEA IDNASVKADKVTLLGLLESGSEFLLSLVDKGVAHTFATQNLFDYRILNSFLHCSLVAD RLRVEQSVITSRIPSSLRDVQVQMLMSNERNALNPLTSSLPGNSGAIATAPKLKRPLM TMLSQLEYLNSIDSVFILHSSLMATSTDQLVSAVRRLPSGKDSLIVTMSCLRALSVKS LTSPSMKERIACARALEIVSYELEKGRAVLLPFSEEILLHDAGAYCDEDLMLWTVAAF LARELPLVKVHTLMHSNCTARTPYRFLKGGHNLLVSSRSLYDKGAPLLSSLHSKELRL VTHNVRLRTPVRDRKCTLQYYNPIRARFVYRRDKPLFDKYHVTARNLAPGFSRGALKH DWRALGVYTPDHPQVPYHPLQTWMLGETTVEAE XP_828253.1 MSGQRNSLRDAPVEAVSRRPRTNDIVDNNQGEREVLYVKAPLTF TDPTPRVLPQSGYNQQYQSPPRVQRRRRGGGTKTLYVRTDDSESEKEGSSDGRNGMRQ LVTAAEQPEGDSDVGEEEDSSSFMGKLAACGDAFLRVANSIPGTVFGVCQKWHVTGIL IFLFFFLCFMFFLMLSAKQAQYAAKVIEHDNRQSLLFTASLVDQHLMAANEARKLMGS DSQRSAAALKEAKLHVEELAELCDGSIKQLHSRILYPGPVEDIKFLLEEHVAYEANLV RLIKEEVEWFERHVHDHKKVTTGPQTGVGNASSKKKERTPKHRQGQKFTLTKTGFYLG AGDGPEANSKDLLPFFRVFLGGLLGNRVIVAVALLALVVLYRRLS XP_828254.1 MLRCSTFWAALPKVERRRRKGQQMTRSRMPDLPKSAGGYFFRQL RPYLPPAWQYGWRWKLLQGIWLGSALSFSSYLVYVMYFRDSDSDIAQRAAQYTYVRNE HGQVVDIGYKPLIDAAHRARRRAQRLLEEECEE XP_828255.1 MEGLSSTSLLWLYAFTVYHIYLLIFNLPLVTVTVTVRKYFPLWD MSDQMRRLRQKRRQSDRYFHGSSQRGNGIHERDRGEKSNFTERSRRNGGDDNGLEGSR KRKRAETKQDDDGGEEDTPERLGVIPGITLDDMGGLAREIPIIKELIELPIRSPHLFS RLGADPPCGVLLHGPPGCGKTKLVHAISGSLQVPLFFVSAPEIVSGISGDSEAKLRNL FLDAISAAPSIVFIDEVDTIAGRRDQAQRGMESRIVGQLLTCMDQVAQAWRQHNKVVC VMGATNRPEALDTALRRAGRFDREISLGIPTIDERHSILKIICQKLHLAEDVDFFELA NMTPGYVGADLHLLVKEACILAIRQKHNELEEKNKLDDPNAEELVSFVVTRDNMKEAV KRVQPSAMREGFTTIPNVTWDDIGALEDVREELITSILQPIRSPKLHRRFGLDHPVGV LLYGPPGCGKTLVAKAIANQSGANFISIKGPELLNKFVGESERSVRMVFARGRASAPC VLFFDELDALAPRRGSDRANPSSERVVNQLLTEMDGVEGRESVYVIGATNRPDMIDPA MLRPGRLDKMLYVPLPSVEQRASILETHARRYPIDASVDLPSIARDERLQGFSGADLA ALMREASLHALKNIYRGATEEMLEQMERDASGEAVANAQLPSVTMEDFEVSMSKVKPS VSAKDRMDYEILHKQLARDAKGPC XP_828256.1 MFSGVRVLLCAACGSASAISQGVSSVGGSAGRPFKRDPRPLYLD LQSTTPLDPRVLDKMLPYMTEMYGNPHSRTHSYGWTAEEAVEKARTQVADLIRASPKG VFFTSGATESNNIAIKGVANYNKEKKNHLITLQTEHKCVLDSCRYLEMEGFEVTYLPV EKNGIVNLQKLEEAIRPTTALVSCMYVNNEIGVIQPIGEIGKICRKKKVLFHTDAAQA VGKLDIDVDRDNIDLMSVSSHKIYGPKGCGALYMRRRPRVRVRSPVSGGGQERGVRSG TIATPLAVGLGAACELAKVEMKRDSERIAQLSKRLWEGLQKRLTHITLNGDVERRFHG NLNISFACVEGESLLMGMKKVAVSSGSACTSASLEPSYVLRALGIDAENAHTSIRFGI GRFTTEREVDVTVEECARTVERLREMSPLWDLLMEGKSLKDVEWR XP_828257.1 MIVKTTVSKRQAFRTLNPLSSLFLLCACVACLYSVNLGAASYHP GGPAKYSNYQRLEILWITGCTRPVLTERGRQTISCFSDLNSYHPVQLTVRGRGFPQLG NLSIVLEEHTPIPHHGGEEDLDLISMPCLHVRPSSLFPRQILSCTLLNPMDSLRHKPL WLERLTTRSTWMNLKLLQTVTSDNSNKTTIVTLASVPRAVELDLTPYGNGSHSGGEED EEEDAEDPLEYMFRRVRHSAMNDEWVSLGIGGLSEQLHELFRRVFLSRTRQLRGVVES LNIPPVRGVLLHGPPGTGKTLIARMIAKLEGKGTRVTIVNAADIISKYVGDSEKNLRR LFDANNMWGDDDDEDEHGGTRHASGADEETANSKSLHIVIMDELDALFKRRADLGEES STKAVYDGLTNQFLTIMDGVNKARNILIIGLTNRLHAIDRALLRPGRFEVVIEVPLPD VKGRREMFFIHTRELRDKDFLAEDVSLDILAERTGGFSGADVAGTVRAAVSHALLRFR DSSLNTSIPTGDIGIEDELSGAATEHFKVTNSDFQLALRDVWDSKAQVNGGQDLAGDG KGLDNAVDKLVDFDGTISRGMGVVRRLMRSIQHSQITNAAVVVIHGSSGSGKTVFARN VVSSIRFSVTKFLTGRELSGRAARDIQEVIDALRDAGAFKGDYCLVVDNVERYVRSGD SGAADALRSAINEFKSVSSSGLFPHSEWDVSQPRRKRLLIITTAEEEVLQGALGSVEY DVRLNLQPIRREGVLKLLHHYGILPQTAKLPNEVVRAYPTSLTYRQFLRITDLALWRA HEEHHRTAETTSTGEAESLETSLYSSLNELFTKGGPQRSGGDHHSPPVLTESQQAELA AAIRDVASEMGISDAFDGVLNADGSIHGEEMY XP_828258.1 MTTMEKPRLPTVATAWLLLTAPVVLLDAVFVLNRPKTPDTPHPL GELVLFQPWTVYATYDRRYAPNEDAFVVAQSWMNLLEVTLGLLALLLSFSGYWKSAIK LAAVVSVMTFSKTLLYFVMDFVEGGEYTRHIGYLDKLLMVLLPSSVWIIAPFFTAIRC LQSLSQAGSPGSGDNSPKKRKNK XP_828259.1 MWRRISAVTFASAVFLKAGGRSVFVRDLRTPVSIAKGEVEYPAV LLWRWKSNRQRHLVLSVQSPDSHVGMAPEAAAKMISEDRTAPNGGKVSTDITGDYFFG RIFLRPYDVASLLAAFEGKMRHVSMEKQHSSLHLKPIPRWQGGEGGGFGAREGKSFPA LPSAFALAGEVTAKVVTAGPKNTEDGSNLLDDEDEDDDAANAGGAATKYNLDDRRSFN ATIEEENLVFVMKYLDAVLGDMFGIQHQYHARITNELLVKREMAIYALQQKKKVTTKK EHRYRSMEEVDETVAVEDSCGDSFSRKARGEGVSKMLMVRGERTVAAPVRASSLTRTP PKRFVGSTAGASAVGSAIAHAAVASAAASTFRMNSGRSSSDMEVETRADAFEATSGHA KDDDESSSAASSDDGSGSYSSSYSDDSETDDSDDDGSSDDEPSTRDDDPVDDESDGDS DGDSNDNSDGSEEDSSDGDDSSDEVSIYDHDPVDDDNDEDDNSDKDGEGEDSEDECDS ESSTMYGRRGDDRESDDDHTTLSSDDTGDDDDDTGNYADDDTGDDDDDDDDDDDDDDD DEHN XP_828260.1 MIRLACLRGPAMRSAWSRGASTFSGVQSLPKFEIHDVRDDPALG TMTRVAVDGKLLLISQYPQLGPRKVDPNDLSPQFDADRRISVRLRHVDLAYLVGVCKE RVPRHRMETKAYTLDFEKSAQGYHLHGKVHRVASQRMEDWSVKFDNHFAVTLEHFLES ALDESFGFRQHYATRAAEGGEKIAATSSAEGGARRKRSVSDTSRYH XP_828261.1 MQQQQQVPVGLQLMRQPYRATAEQFQRFIVGLDAVLNQWTALHL VSQHCDLSALTSMYRELVSWFQKDGEVYSDDLEIFFENFFGEARSVIVEDDSMKEVGD VLHDMYCRCCQNDFSTVERYVASLEVYRRVNPVQLSMNVGGADDEDELAGAGAMSNTY DGVVGNEEEEMETMGDGLDMKDEVEESEQRKKRRSKKRKNAYERDADGWCVVRN XP_828262.1 MCEFQFHDEDAEAAVIFVSFQTLLSQECVGFIDNVRSHVPVEGH YLAIGHPWGPPNQNEILYDGGFAYMPSCRDFCCQKKSVEDWMRRVKYIHGIAPNIGKE DISKLKDFSGIKKAQTNFLEAQQKGDKNWLPCRGNPDRLVEQLFEAMDAVQSFRYRHA TPLRTGAVVFLTTRDTLNMARVSIHWLLSQQPDDSMLYTVQAIEDIIPACSPLVDAEE RASPNMEERCPIHSDRRNQPGNLYRCCRAETGILRRVAERLGDYISSLVAGDEPEVVY PLQPFVDCESDESD XP_828263.1 MDLQQGDGMEEDIYASFEPEMQDAFGDMAQGGTQGFPRGMPAGA NGGPGGVKNPLMQAPPSKWGGESGGSAWGVPGSRLENFGGAAARPMTSNRGVGFNAAK GRNVNGAVFDPTGQGRTAMAMGPAPPLKKRGENSPEEQCVEIEKMTHKLIEESAVLAL RKDYGGALEKAKEAGKKERFLCKQRENLGLADQINSDLTYAVHFNLAVQYQNHQMYTE ALNTYNLIIRNLQFPYASRLRVNMGNIYAAQNKYLLAIKMYRMTLDETPSASKELRYK LMRNVGNAFVKLGQYRDAVSSYEAIMEGNGDIDAAFNLLLCYYALGETERMKRTFQKM LTFKTLGAEGEDEIEEGEKDVLVDDSLREKIKEERTHFLYCIMTAARLIAPVIEKDWR AGYDYLIERLRHYEMRDSSSHLASELEMCKCLYYLKHNSYKEATEGLKAFEKKDKLLR ARAATNLAYLYFLEGDYESGERYSDMSLEANRYNARALVNKGNFFFIKADYEKARTYY NDALAVEADNIEAIYNLGLTAKRLGLYEEALKMFKRGQSLVDSHEIVYQIADISDLVS SPATSEWFNRLVGRVPTDPNILARMGSLYAREGDDSQAFHYYLEAYRYFQVNMDVISW LGAYFVKNEVYDKAIQFFERASQIQPQEVKWQLMVASCHRRRGDYVQAKRLYEALHRK YPENLECLRYLVHLCKDAGLIDEANEWFMKVKKLEERRQVEDSAVSGGADDDDGAKTV SPTSAAPGAATAGRRATVGLGDKSTLSGADEDDIVGESQSSVARKKAVQSSGDEIDLP GI XP_828264.1 MCVSSGLCSVLNYLLQSAEFLLFHIKYCYLFLDIFSYIFFLGNI ITAGLANQPNYLFLSPLPPSLPYTFFLYPTCSKIGIETTDSKRMSLQAVGWLSTKLGI NIGGRGASGDGSTLESRPGSAGASRIDTCLHKLTDVVFGSGDNSHGGVGNLGLDAPFS GACNSGAHSACNSDKVELDENGLPVSKNWYYFDKELNRWNVSPDAPESIKAEFQQRLQ EEELERSGEKAVPLPPPPPMQRGSSSFVGAPLSRVNQGGQPFVSGLSQQPGSYGHGPF GTQRSTGNIPHRPQYALPDYFATTSAPTPGPESYSQVAQQHFSSGPQQPPYGSDMVNT TNLGCSPFPQQMYPQSVPHPPTVQQPPLSPQHTYQQQFSYQSQQPSAIHHPIASVASG GNHMPLNPDSNQTQWQLPPPTF XP_828265.1 MLELDGRTLTFEQFRECCLKPNLPAIIRHAAVDSTTNSVGGSGP SPYFSPLGDMQSHLSPIGVVNLFGGDHVVPATESPATVASDSLKDGISEGHMKCSKLR LFEVIERWRGSPTLVYVKDWHMQSDLEAVSSCVGAPDLRGVSSVVASDAEEGNAAVCN GRRAVVHGGNLYCVPCYLGPDWMDEFCRFSQHGDSKYRYFGEEESDYRFAYIGPPRSW TPLHFDVFGTYSWSLNVCGEKLWFFPTPEGNQTLLRGGLHGVALAPDIRTTAGAELWT VTQYPGDLVFVPSCYLHQVHNVKGSCFTLPQTRETANVAATSCEGIESVSTPNESVVD LVISINHNWCNEWCVERMVDAFCRDANRLWMLLGDEVRLTLFGDDVGAWHDHVENLLM GGTNWNFGCIRSFLVYRLQVLRSSGPTTAEGDNVRRLIELCLGKVNETEMRVAHCVRG XP_828266.1 MSGCGRKHRAKHLTRQFLDASAWCGLGEGEVLAVCTEAPQGQHV RVIFVEPPLSAGTDAATVEKHNGASPSADKAEEHLVFIPGKFRKVIWLAVRDIVVVAD RSTVASKPSPDQLKHFFREQPEWKRLVGAVQDYVERNRREMEQQPQYAKTAHTTTSTL PKVAYPLPSCEGYQSSVEGSSDIGELEHMNPNRNNIRHKVPFFFGEEEEEEEGGEEEG SDEETGIE XP_828267.1 MHVVKLLLRHNVGGRSLAFDLRAVNLVSHPKRSTCGGEDAFLSM SEVQCVFDGVSWWKEYAGVDSGLYSAALAKFMYSFVEDDALGSLPLSSCELLQRAYDA CLSDEIHGTSTALVATLQRPCCAADASCSVSAKFSNCMLDVCSIGDCTSMIIRDGRIV FVSDEQMHSFDYPFQLGQGSADIPVHSLQYRVVVRPGDVLLLGSDGIFDNVFKHDIAE LVWKFVGPVCGRYALDFDRPSQYDVATKIIPPDDVLRALSAGVDEVVRVASANARDVK CNTPYSNKAIENGANYRGGRLDDMTLLGSIIDEKFDLDRSVQLAESGVLLPTPYRDWP XP_828268.1 MIADKCGKQLSQNDALVGAGPVVPSEGIGIVQRVPPTGSRDAHS NMGDGPRIADGGGSNGDQASADQMPPEAGNCGTTDGEIKRSVVKRRPSWTQFSNPNPT LGGGSSCGGENDSLCAQQHKNGSCSKSGDEIVVATNPKSCDEDELVCCICLEGYNEEN PILYGECKHHFHMPCLMAWKQRSNVCPMCDSETLRGVADDQEPPPRRTSPTSLDDHLS PTAHQRYSRREPRIPKNPGVRGHPGRPLQGRQPGSGARPTNHPLGGVSGDSGHRSVGS TGNGGRAATAKVNRQVSPAQNGQVASKPKRSFFSRVFCCFKR XP_828269.1 MSSAVGAAMSLIGQDSDEARAAVRLQRELKRRKDALRKLEKKMK ALKPSDTVFQVTMEEMEKLKNEVDQLEKGVASQQEEKKSSVESKSPRRGTSAAPRLVG SPRKDPLKSLTPRGAGVKTPKALSTPRIRRDLAPSAHTSRQKAVSKEERRAGYVTDDL GERVAVTVSAGTAGSQMRDEQLFVDVQAVVQEHIDRSVTGSPCAIVSPRNDIDCEGVH YQVAELALMMESMMVVGGNARTFAMIEAFKALLKSSPTLSGSTVNHFPSKEFESLIKV NFDFLCRSRAPSAGMTNAKDSLVRRVVALLSQREKARFSADDLFASLRSARLESSMCV QSTAVGRDRNETCFLDISPRDLALKVLGAIERELQLSIKSIVEDRAEPHLSSNDTILV FGRSSTVELILLAAANNPRLASKPKVIVVDSAPLYEGRALATRLSCSGLDVTYGLITT CCTLMPRCTRVFIGAAAVLQNGDVFSRCGTAVVVSSAKQFRKPVLCFSESIKFVPEVW LGNLGQNTRLTDMRQPHRGELRIRSPGNWSPLSHGSRELMDVKKGWGQCNSGGLSGRG TNEEPSRLLQTDAPPSSGYLYDLTPAAYIDMIICEMGCLHTSAILAALRDREDRDLYL MSAT XP_828270.1 MYNALRSAALAVGLVLLFAATPASATREGSFQCENVWDGPSTSN DVQACILNAERMRSQWKLFVLPFLSAVLLAVLLVSFPLVFICSICCNCCGCCGANCCK PETKKSRNQARCCLWLYIVYALLWSVMVFFLIVYGTRTVTKAVPTFVDDAVSGPLSYF NQTAESVMDYTYDWSSGERREPGDFTIDFSEFSSMQKKVMEGVSAVRATVFVHFDKVS IASYVVGSLGFVMVLVILPFAMFKCCIPGFPICISFVYWIFGLAFAVLGLLLTILAYF ATLTCGEVERHHGRDPGLIQWYGVPVCKEFFNFQQLNKGIMAAELQLSQGVCKAVLPF CDRRKLRGPGGVVDRADPHPGERNRLLPPGGEYPNEKALENTSHKHGNVPPASDRAGG PPHPTPVRDHSGLPGISEGPNFPDLPAVPVLNCQEGFTDASQCTTFDAMSALVLTAEV KGSLNPCGEAGKACNLTECAARCENDQLQELAVRATSQIERVQNVTIAWSYARPLLEC NFVIDKIVESLEACGDITAGTMVLGAGFFIGAIVFGLGIYIMLRGACVWGEIPMFTRD AKAS XP_828271.1 METAELARAFVNVEEECRRLRSEVAILERARRYQNEELSSLTLS SEQGKILSKNFKGRTIFIPIEAVGEVNNKAGELHLLVKERERLLRERSHLEAALQDAT VRTTQAEEENNEFKRIIGLTGGETMATIREMTDAVALKNKLRLDIVNALQDREVIQET LKKQNNYLSSMVSELSTTDNIESDRANALNQLSEKQAELKSIRDEVAAMRRLLRRKEA LLEKEKPVDELALIKSAETDRTVALYKLDKEREAVRVNDLSVCHRAAQIARLERRIEM IGDAVGGDESLEEERVDADLVEQLRKEIISLSRLHFDGSAHLELLDSDISDLDRRAAG LIRTTANVRKEMKRIGKEHKKYTNAQRKELEVEQEATEQEIKQIEKEVEALRQMSARN GRSKLSQ XP_828272.1 MGAARSKPAREAPVRYVAKQLPRVDSTPVERQFQKPEFTAKGIN KRLDDVNTEPLMYVETSKDASSTELTDHTAPRWYLNTYMEMVDNQRTDQVIITGNLPL SWERDKFEPYALVRGRIDDEDLKWVLAPEQRSKGVDALVEFTKLEKSTLQDVLDTVEL PRTQYRNYKGKLHKSIDDANTHLAARKQQIEKARETEILRKIGYSEEEIMKEEQYLTN RTRGVKTLDELGASLRERKRKERAATVNEMEDLLEERRVQQLEAGEAVFTDEELVERP EELCLKPKPYGTRKQVYRHIYAADIGKDGVNQVKFQWWLDRTRRIKRAVDTIHGVPVY NERLSANEEQTRKQMREAAEFNFSLSRAQGAKGFTDPRGHYEQFTSVLRDNKGLNDDS RVEVHEDGVLENDIYRFPHTAGHGPKPPPKRFSDKGEGTERVCVDIHKLHDDDDARES LKRGVRAAREVFRQHVTGVVKPEESGERATDKQPPPSCEDPPKSG XP_828273.1 MNNRHSGSEKTPATQKKDSLAPSATNADITKVKICSGHTRPICH INYSNIIDGTFWLVTSCHDAKPMLRNGETGDWVGTFEGHKGAVYCSVFNEDATRLVTG SGDYSSMVWNAVTGDKLHTWSHPKYIKSCDWCGNKIATGCVDGLVRIFDAQAYDANPV CFDSTEKVNQVKATYFIDHTTMVTACENTIMKWDLRDTSLPYLRKEIPGLNFLEYTHS NSIVAAHEKSISFIDTTSLEIKSSFTTSDDIECASLSPNGQNVAAGSKLKAKEFTVDG TELESHRGHHGPIFHIRWAPDGKSFTSGAEDGMARIWPSHDIIETYDNEN XP_828274.1 MLFANQAQQVLRDGASEEKGERARLMNIMGAVSVADIVKTTLGP KGMDKILQGMDRSQGVRVTNDGATILKSLFMDNPAGKILIDMSKTQDDEVGDGTTSVT VLAGELLRNAEKLLDQSIHPQTIIEGYRLATQVAREALVASAEDHGSDEKLFYDDLIR IAKTTLSSKIITVEKEHFAKLCVDAVLRLKGSGNLEMINIMKKLGGTLRDSYLEPGFL LDKKIGVGQPRFLEDAKILVANTPMDTDKIKIFGAKVRVESVSQLAEVEASEKEKMKN KCMKIIKHNINCFINRQLIYNYPEEIFAQHGIMAIEHADFEGIERLAKALGADVLSQF DESQNVKYGFAKRIDEIMIGESTVIRFSGLPKGEACTIVVRGMSQHILDEAERSIHDA LCVISQTIGETRTVLGAGCSEFVMARAVEERAKTTPGKKQLAMIAFANALRMIPSIIA DNAGLDSNDLITRLQAEHYQGRNTFGIDVVKGDVADVKALGITESFKVKSSVLGYAAE AAEMILRVDDILRAVPRKRTQ XP_828275.1 MLPGFPYKGNDISVANAISQLFSSRRERNLAMFLLLTTGVMVLE LVYGIAVNSLGLISDAFHMMLDSASIAIGLCAAVVASFPSDERRYPFGYARYEVLGGF VNAVLLLFIAWYVTLESIERIIKPPEIEAGYLIQVSLIGLIVNILGIIFFHGMHGHSH AHGGCSGSVDHNIRGVYLHILADLLGSISVMTSSIIITLTGARISDPICSILCSFFIA ASAFPLLEETGKVLLLSNQPYGELSFFQTLISEICSVVGVKRVLCLCAWTHSTSPRDS SLCAVKLLKHDSADQSSVRGLVKGSIRSFITSATGVRNTGIIVHVE XP_828276.1 MMIFIMSIELSSLLWRQRWYQALSKCCGCWARGWGPVRYLADPL VDTIWRGRHWMWVRRTGLRTLLAILGTGRQYASLRVSGPPLPMLRCVGKRRLVSWHGE HPVQARERPPLFPMCVGLLAPHVPSRRCGCERTARIASRVGRVGYMVFKYIKRRLSGE RCVLPCLSVSSRARLLGGDGEGFPCSAPPREWCCPARVDAVAAHLESRRPPLVVTLWC RHPYSPRCFSLPEARWCAAL XP_828277.1 MSFFQLDWECSYQEYMKMIYHHATNYVPPRVSQERHLITCRELL NEAQRECKGGKYDLSFFHYLRCVEILTKVGIPSTYKDSMVLKKQCMDAIEALMNGALK NHYEKMVEELNSKKEGVDVLSVGDPSVVNVNNEEAEHLKRREVLLKNERGTLDSWRGE IGETRIPYNQIYNTTVDYQYWNRDVAATVASDGVVVPPRSIPCASPNAYCKWTYNSSF QGKWNPRRGMVNLGNTCYLNSVVQTLVSTPLGAYFLGDKYTLDVSTAGRQTCRLVNSF TFIVRELNRRDCAAPVSPSPFKKAMGEVNEAFSGFGQQDANEFLRVLLEGIHDGLNDR RTVKAVPSDADTIEFSDAELAKRHWEQYTQQNNSVVVDNCAFQERSSIMCLVCRRISR SFTCSLGIDVPIPAGTGNICLEDCFRLYCKEEELDLDSAYHCPSCKQKVKVSKQLLLY SLPTLLFVTIKRFRSDGAISMKLNDPVFFQKKIDLKPFLCSTEKNTIYDLVGVVNHSG STTGGHYTADYYDNHCGWSSASDERVSRADKPDYRLVYILCYVRSA XP_828278.1 MGSECSRHSNQTELRDERFCSKRRQVLDATLAQAPRPPSFVNLS NDYRCCCGAVGPGGLRFAEPPNRGSTTGPPRDHRPGITGNRRSERPGNLGQVSSLYPA VEPHRGLPSRDQPKSTLFPQSCPKASTSKVNTHVRARSEPHTRGGRRAGSKISVANAS GSRSKRSKLTSYVDDNENVQGVHEFRKKLIFLSLEEEKERLQISNERLTGFCNMEDIF VRSMKGTPHCSAELKLFSLQGRRREFIREQEHNEWRQLLFSVNQTLLNSSLDGKAKGF AYSHLDENSLYWPSPTSERGCIRRPFTAAELNQLARSNSETDFYVSSDGLKKPTSHAT KMCALCEVALKNVLSEDIIDIDRINRKIARQIYLLNEISSYCSPTDSGSSACSKERVA GMCPLTTNDNLQSVACRPLRLPPRASTTTCTSYPVGHSCCGSVSSTSKGLPDYLRKEC NFATRKRLVSLLQE XP_828279.1 MKRTRNALLSSELLCKVMKITEEPRVVKELSDILLFPRVKINSL PFVPPPKWTTELNRRLQKRFPELSRKRHILFTRAFIHPSFTAPEAASSTMNASIGLGE SLLLSVGGRLVVSIFDDLRRDEVISLVSFLSSDAALSHLLRHHWELEDMVLTDASVQL FQPKATPSASNIVSWLPDQRGKQVPDQYCAGAVKAVIGAVFLDGGLSLATQFIFQHVL EALE XP_828280.1 MKELFCLFTYFSFYLFNFSFRASMNHPDELEGSATSGVLCPLTA YEEVPPTKTRSQIIKEQLLDLENYSFEIPDRPEGDPSGKLAYLDMCEQLHKESFMKFP THSVANSLCEGKESLDVSFFALGKKGSAALAAALRVNLTIRKLSLLRNHITPSGAMEI ARALSETKVVSDLDLSENLLGLTDADDIQGGAVVAEFLKPGNVLKTLSLRDNKLSDQH VTEFAEAAIDNTELHSLDLSFNRIGYIGAIELANILSKNADLQEINLEWNQFQTIGSR HILAEGLLLNNTIKRFNMSWNGLDDACGVLVGRIISENDIEEIVVAHNRIGPAGAEAI AKGLLNTSALTTLILDDNPMQNEGCAAILRVVGEAATLTNVSLQQCRCDSSVVLEADR VMKEVRPDLDIRISEGCCAKVVM XP_828281.1 MVDRIEATLNRLIAFGPGTASYTDDAAFLQNVASAMEVAGEIPV LTEACMSLSVDLLENSSPQSAATHAVLTLLACCCMRDDNRELASRFGIFSLCVLLLRS SELLCKDTLLTIFDLIGTLCMSSASTRQMMRPCIPYVLGVMRTHPDCFQLCFSGAVVI GTLVMLDAANAELAAENDCVQILLTAFMRGHDKRKELLNRRRTSYSLRCQREEDESRR LCDNVIRWTEDALQKLVLAPSPVIDAKLEAADFGNYGDRVEVDELMWKLKFGRGKM XP_828282.1 MSSAVDSLLVRLKIPDLIPKFREMNVDRIISLRKLSEEELRTAV PDDDQRNRIIEAIKNRGSSEKRTQAPAASINPPRSTDDGGRGGMSYPRGAPRGGGPVR GRGRGGTGSAGEGGFHGSGSNNANMFPSRQRVCNHFLNGDCRYGDSCRYSHNKALCQE AAESVPRRNHDATSLSEDFSETCIIPTHRIKYLLANRADRLRSIHSKNRTHNKSFQHI DPTIEKFELVIYGADQQSVQESKKMILACIGVTREEEQKNRVQYTMNELSSNQRAAKF LAACNMKNEGTVRELSEASLRNIISFFRFEKQQDIRHFWVNTNSEHSKLDIIANIVAQ LQGVQAIMFCDQKRVVDMSKVASKITRYFNGVSPLFLHRAIPKEERMKMLQTFKDGKP NENGIRERLLVTNEDYAKLARKTIVPYVNLVINYSVPRSEEYYLLQSLVAGRSDTVGV SIVCVFPHEQSLFQELQRNIPFEELEEEGNFKDAAVKLVYDTVDEPLTGEDADPPSNW HEQLKPKTL XP_828283.1 MAKYIAGPNVELSLKEIVHRAIKRAFPSINPPEILITLGKTTEY QCNNAMGLVKLLSSASPPIKTSPALVGEELKKNLEENDMIESFEPTPQGFINITIKPM WVAGTVGKVLREGIRPPNLPKQKVLVDFSSPNIAKEMHVGHLRSTIIGEAICRLFEFC QYEVHRINHIGDWGTAFGMLILFLRRKHPNFTEEVPNISDLTTFYREAKKCFDEDAEF KEQARLQVVKLQALEEESMKAWKIICDISRKEFLQIYERLNVTIEERGESFYNPLIPE VLKLLDEAGKLVESNGAKITVLSDKKSISALDAKDMAKLAAPHLLWAGKDTRVEFHPN MITAMREVGVLKGEEGNETVALSKKDAKPLKNFDIRTDVDKLVSLLQPLFKNKMSPLF REVFEAAGIVDGENITIPRFSFPLMIVKSDGGYTYDTTDVATMYHRFVLEKMDRVVYC TDVGQYEHLRMCADLAKDMGWMDRGTWSHAGFGLVTGADGKKIKTRSGESAKLKDLLD EAVERSRAILEEREKGDRAQGHTKEDIDELSKKIGIGAVKYFDLKQSRLNDYAFSYEK MLDLSGNTAVFLLYQYARLCSIERKCGVDREQFLSSEVVIETPQEKKLALCVLRMDSV VIRTVDDLLLHHLTDFAYELVSCFSDFFQNCKVIGHEQQNSRLCLVELTRLTLKQVLD ILNIDVAEKI XP_828284.1 MAQSWFKRHPLGIYPRGNAKNLDELVSPRFGILSTLQFASKGRA GKCCILGSQPAEEAFVDVMLHLLSFVAVDDMCRLSAVCTGWYCFIHASDAFKQAHGLL SPTYTCFEGSWKETAIRRFIKLRSKQRLNTAKRMRLEGGTPGVGETVNLSHRPVMVKR AFYNDQLFQAWMCTILPCHYHLRQSSIAGTATANRQPSVLSARGRYRSPLKEVPRCSG LSVDEFRTRFEETNLPVIIADVATEWPIYKILQEKFENLAVMQKKLFRPGTRPDVPMC CEHTTMSVADYVRYARDQTDERPIYLFDSEFGTFMDVESLYTVPEYFSRDDFFKVLGG ARPKYRWIIAGPRRGGSSFHVDPNYTSAWNANLTGLKRWILLPPGHTPAGVFPSEDMS EVVTPVSLTEWLLNHYDATVEKWRDVAYECVCGPGDIMFIPCGWWHFVINLEDSVAIT QNYVSKCNLSSVLKFLSVMKSSISGIDEDVDNCDTSRMVETRRANFAEEFAAAMHLSY PELMQTVAGEAKREAEERHEKKKMRAALPLLDVGSNGFAFSF XP_828285.1 MGLLTRYYEKLAEQGRLEQLIVFGMYDERVYEVIDRHKLAGSIT ALLPSSQAAAFLSRGMAYDCVNEEVLRNFIKICMMLSTYKTVEEGASLAELIGSCGVP EHYRMSLFNFSETYRHMILSKSYFPQRAVLKLLSYIFCDDVLTDAVAQLQYKSDILNH VNKVYVDTPDFISWRFSVRVLSLAGGYNEDRSDVEYTDALTRLVTRTIPEFIVYFGAT FMYAMIRHYPRRVRGITPRWLARQRAVFSAAYCAFLGVFVNSILEYRVHKHRMLYELR KSQECRRRRGAEKRGVDYTPNTYFDSLEGVTRHIYSMQLTTYGASASMLLLSLVPLVP VKFPKWMGDVAWRHPFVPRLFPAILGMHAASRCMVPFVFAPFTIMTALRFNGWSTTLY DRYVELRMRLWHRKVEAVFDTSTDVTDLDVTRESKKKGGLAGN XP_828286.1 MTSECVTVKVKLGGAALPAHDLMQLERRLIGARSLMQATEKTLK ETVVVVSPNLRKDGDERRDHILNDGMVSKHTQLSWYIVEDVLAVYYRLSTLIEEEERQ ARIRVERFHSSTINQMRRRHNADHLSSAKCVYERSCVEEDAHWALKLVAQEHSDYLFS IKILEEDERQDIARRVGLPMQNSTPLLGWSRTPNTVWQQPELAPWWDHLEGPAGYFWG VSPSGGVEMPQWSHVHWGDNPASGGFQCVPGEWCHRSNLQ XP_828287.1 MSSSFLVPFTLNVRLSVSCSSLHDGLGGLLSLLRADDHSVKAGT MSRDPCADGERQDALRHLLSRDASVLSEIDFRGDIPAIEDFASTIRSVDSFEKGYISL IFREYCKRMGIISEWKASLQAAQRESSFPISGALLDTVIAEEASARAELHTSREHFVE WAKGVAPRWLETARNMGASKKTEDGAAERAQNQLETVIAQQFGFSGNDVVNSGPPSSP RVTGANSDALRRQAIAMIEQEQSLRRQEAKTRVASLLEQEEQLRCQMEERRQKRETEE KRHHQANMEAFCRQLRQEEESFRQRMEARESERLQLLEHIEAEEQWLSQRLADRERQR ALEIEAKERAEREQRELYREHVEAEEELLRNRLQQYEASRKMEAEKARQKEKEDREEL YEHVLAEEQLIRQRLEQREKEAERRARYELYHAGRADAGLGNTDSTLPEHSFGTQQLV GYQAINNSYTGVGLCGERQAFTSPQGHPHSYHQQVHSLPHVQHYQQQYLPQVITSPAQ PSCYPVAPAVPQPATVYSPLTQSVVTPPYNHYVSYHPQGELRSPQPPQMFPGNHPYYL NR XP_828288.1 MKLRADSRGGGEQDIRASFGHSTPRDTGESPSIQPVEGPIPLNF QYDPKVTSLEGALLFVGRTSSANVNSVNQKHLHGNSGVAIPNSPELVGGNQPLASARS SVADNSDQSSGKKKSAVGTGSIGGRHHDPNAPAAKIIVNETQGRTLNKPKSAAADIVN ETPHAEGTGVKSAFWAVAKNVSDRTPLSGQSKPPPRKNSNDGSPTPDHAGDEPIDVRA CNFKFKIIRELGGGGFGKVYQAILGDGRFVAVKKMKITSHDKVIDREVRVLSTLPPHK HCVRYLGSKRSSNHYYIFMEYVSGGSIRYIRKSAGVFEEPVMRRCVKMVLEGLQHIHR HDIVHRDIKGENVLLDEKGCVKIVDFGACKVLNSGHNTVGSVGTPYWMSPEVCRGEAA TEKSDVWGVGCLCLEMTNESGIPWEFHSTANNTQAVLYSIAAAKNPPKIPQHLSPAAR DFIACTLRVDPKDRPTVDKLLQHPFFSQSYSAAQPVEREAVMSRVESFDFVNTISTLS QPGDAASTGSKPPVVNIGPVMISTGCKTVAPSKDKEKSQSPTYQLKAASSDDDDDYCR VRNVIRPQGNLERYPPPGTPGDEGIIGKRKKSVIATPSRVGKDEVKEMLEVTPAPQAR ATGVGPPADVRVSPLPNPSSSSPVRVVRAQPPPRQRRSTEASNPSSTRVSSSLTSGKP AGDPPVTNSLAEGTAKRKKTNESGKSASEPGHNDGRPSKDNPGRSNSSAWWRPKQGSD TNKSVIKWLIK XP_828289.1 MPSVSQEKRDYEDRLNGCLTKYSRVLFCLMDNVRSQQVHGVRRD LRGKGELVMGKKTLQKKIVEKRAEGNKATDADKLFHQVCTDKQLLCGNTSMIFTNSEV SDITSVLDSHRVQAPARVGAIAPCDVIVPAGNTGMEPKATAFFQALNIATKISKGTVE IVSDKKVLSTGDKVDNSTATLLQKLDISPFYYQVEVQSVWDRGVLFTREDLSVTDAVV EKYLLEGISNISAMSLGAGIPTAATLPHMIVDAFKTLLGASVATNYEFEEYDGKNLRT AALEGKLGGGEAAAPAAAAAAAAPAAAPAAAAAEEEEDDDDFGMGALF XP_828290.1 MPSVSQEKRDYEDRLNGCLTKYSRVLFCLMDNVRSQQVHGVRRD LRGKGELVMGKKTLQKKIVEKRAEGNKATDADKLFHQVCTDKQLLCGNTSMIFTNSEV SDITSVLDSHRVQAPARVGAIAPCDVIVPAGNTGMEPKATAFFQALNIATKISKGTVE IVSDKKVLSTGDKVDNSTATLLQKLDISPFYYQVEVQSVWDRGVLFTREDLSVTDAVV EKYLLEGISNISAMSLGAGIPTAATLPHMIVDAFKTLLGASVATNYEFEEYDGKNLRT AALEGKLGGGEAAAPAAAAAAAAPAAAPAAAAAEEEEDDDDFGMGALF XP_828291.1 MVRSLVVLLTYDEPECGGAADALVVHLQRDCAALADRCQLSARP ISILQNSSHRDALYRTLQDLIQVKPQDIYAISFLKDNNPDEYRKIRELCNGVKPRRIK HQILTHLANYNDVGLIIRNLVRLVLDEMSRDV XP_828292.1 MNDWITKALEEKQILSLPSLADVKGVFCVAREHEWYDDCVVMDW TALHRGLRPSTSSFNPTVAVAEVMRFGCELEGDGSLATALELRTPWCVVPLAEQAQRL SVFLHPSGANVKPYMFCRGPPGQRSVRDDLHSVLQGVQRQLRDSGIRCHVFFGSVIVV SDDFEHLMHLTAAVYRALKSAGIELNANASSFEPRRVFRTAGGLCWNTLSPISLKSVD NMAALLVDLFYMWLGDFYLWLCVTPPESLLGVAGRDEDKMKVFRDFIVKRVVPNCECI EFNGLADVDVEELLTAAKPLLKRKLPLVGPQKWSALELRLCLAALKAYEHPPP XP_828293.1 MEVAVGHVRHVPCTVPDDPASRMRAIREIVARHCALFMQKGGDV GRSQCASNLGNNITSGTSNGFDADRLQVVAFSGGITNEMYHVYCEGWEAHSVVMRIFG KETERVISRGDELFYQSLFIPTYVRGQNFLVYQYLYQYEPLPFVEMVKEYEKIARGIA FFHVRATLEARSDYSVTPVGGVVGAGGATVGPTNTCSRFDLEENYIVHSLTEWVEQAL SETVQEKVDATKRATYASVAQQLKEEAQWLLPLMQRHSPELGESTCHNDLLSGNIMRQ KSDGALKIIDFEYAKRNYFLFDIANHFNEYTGLECDYATYFPSEEHMKKFVTTYMCAM REELEKHAEEAKRRQLTDIIPGQQHFFMCDVGGVEGDKRIHRMVQLVKLLTLASHLSW SVWALLQEAVSKTDMDFLRYSQLRLSRYLETRKEFSASS XP_828294.1 MFQIDVTDVYSAQTETEIARLFALAANSDFPSETPTIEVRLLLQ TEKDGSQPTGDVWTRQERDTLLLCLDRSVSRVYPPLDPLQYTQPPPELEVRNGKRVAR IYVTPTVRLFSFHSTPHCVEGRMGTANGTPPSLLTPFAEGEGVKASGEFEDFAVSRSR WRVAAWLTARLFNESSWVSTCPCGGLNNGDGTVAVPAERCSDVIDAAECDGQKRLLHV DVAGMEFVGLITAVTSRYAYVAVPHEEEGQLATKWVLVSRVSVPPALERIVGRETVKR PREDSERSRREEFVYEREVISHDNTPFRVLRVEERLLVTLRAHKVYCTKQRPVLGLPR AVSVLFEDVAVVKKVADSLTDGGDSTKVIHKSCCNSFADVLEIVRGSCKRFLRGYTKY KLNTNGPAVERLFSALTDTVSVQSVCHGAGTRRRRQRPPVTVSSPRDISSLYEGTCVE FKAKANKWEANSIEDFVETDVFGDASASAGGNYRSTSRKTGCMNMERIRHTIAAMAST LGGVLLVGVSDDGKVLGHSPDALKELRLTGFCPAMPKGTVQCTTMRAVSEDAPVTLPK EWWKNKTQNIGQRVNQQTNLVVTVITVSRGPAPVYTVARHSVPYIRGFASTLPLHVIS VTRRITPLLP XP_828295.1 MSFMSLFQRERPEEAMRKYKRGLDRTVVELDRERNKLQQQESRT TIEMKKMAKQDQIDSVRILARDLVRTRKYQQKLYRMRAQIQGVALRIQTMQSTAQMAS AMKGVAKSMASMNRSMNLPQMQRVMQEFEKQNELMGMKEEMMNDMIDDVMDDEGEEED ETELEIQKVMDEAGLEFKNKVGVADSALPKQGANDVGEDKELDARVAALRAAMK XP_828296.1 MTREEEVLDLGKRWYERPLPLRVCSLKEVANDTTTKEEMDAMFS EARDILNQATNRGHSDKGRWYNTQFISRGTTSDKIASAAVKLSDTDFMFFLEGFNLLF DTARTDTHHYEAALKALAVIWTKLMPRRPLKRFFSQFFATLPANPADRKVVLVYWYLE DYLKRTYAQFLSLAEAMLKDRLQQRREAWLDVVGKLICNVAESRHVAMALMVDKLGDP AARVAHNAYHHLLALLRDSSIHQGVLFVELEKVIFMKNCPKSTMKYATNVMNQFVYNK DERKLALKAIQTYLSIFRQLAISGSVDLSVTTATIVGLRRAFPYAGTDVASLEEHLNA LFVIANTGNFTQRVTTMSLLQLVALGKSATEEFRNRWYRALYKLLLISPKQVSHSAHI TGFFSMLHKAVRLDTNDDRIAAFIHRLLQRALFFHESMICAILLLVGDVLQAHPRLRS VILGSRRPPVVPAEERYDVKHREPQYARAKNECLYTLGVLARHSHPSVVQLAVMLLFG EEIIFDSHPLDELTLINFLQMFVDAKAHTQHGIDSDVAAAEAVGKAGSSVFRRATHRA NLPSASDPFFINSSVQQVDVSALFLHRYAVQRQRFIDGLSRVRSTWGDASGEADVALR VSDVDASLFGPSGVLGDPAVSKKKNKRAKKKKERLKEKLKEGAVLDECVEAIGSEEVE GGEDNFFDMEGSEGDLEWGSGDEGVMMDDDEDGDDGNERDDDGVGLALSRRENADVDD GEDLAEMLEAHRNVASKKRRREEAWLERVTSAAGTKGPNRRSFVSRRR XP_828297.1 MQTTGELTLKQQLQQSGSKGNDELLGRLMSLYRALCVRHDEVGQ EMLLNDILALLTLNHQHDLAERVIATCEISLPHRSNNQAARYFYYVGLTHALRLGYVE ADQFLQYALRKAPERASGFRVAATKLSLVVQLLLGEIPPRSDFLQKDMRECLSPYLQL TSCVRFGQLGRFMSILQQHKAIFEHDRTYSLILRVRQHVIRTGLRRICQAYSRISIPD VCAKLSMENPDDAEYIIAKAIRSGVIDAVIDHEQRHLISSETVDVYSTSEPLLALQRR IQFLNATHNEVKRSMRYSAADPDLEEERRKVDREEMDSLLRAIEDEELGGADFEDGLP XP_828298.1 MSDSMGEGQDLVPMTLPVPEVMHVSELDVTGPLQPGDDCAQKSL SGNLPDKCMSVSFAKSLSGVDLSILTRSAGNASHTSIRSGSRARGGNTESYELAAVMV RSYPLMTVEGTAFLNTTRPPSSLGGTEPSVSLRQDAGSPSGVATDDEPLGESCVNLAE SGEHSRTSANVMAIATSLKRACMWQVIITNFLAHRAWMEAFRRNKLRSVLEVHLLPVI LRRRGRMNLSGRFTRRVCVQKPELVSRDSTDLLQGTYLYDTVPILKTLRNVKFCEALT ETVLKYRYSCGQAIASSGNPEQNALYILVSGKCDAITPASETGGKVRRRRLQPGETFG GLFGGKAIFTDVYRAISTCVVYVITREKFEELFSQYADSSMKETFLGALRDHEMVRLK RLHPLPQCIARVPIYRKSEQLAGQVNEYIKGFTPLVLLQGDVLFEQGDPPGDVFCLIE GYVLREQLGPDMKYESGTRQVLAPNEPNNNFALSTRFLLLGEEPHILPGPLRYRCTVA SRAALFFKINSDSFVNMLLDDASLLIRLRQKYKEQLQQWMRIAPEALRQVPMLGEMPR QNLNTIIHAAEPRVLERYVAVCEPARVIREIYVLTKGDVRDPRQFDHVPTQPPSLPPT ESESVEEEAAGKQRKEKVTKRVPSPRERNSKAQKTAQQPVSPLKEGRPRKGNRSVASL VCKYTDDNAVQWSFEENTELLKATIYPDERQEITPPLPLNPERNIICTIGGGWEGLLL EKWPTGWETTTTVELWAIPTLAIRTEFNTFPKAAQNSILRCIGEVQMRELDLPTPPKV KLPPMSIYTPIEKRLTKPGLNVDRAPLRSPRNVGGSGASHDRSTAGTTSKIGRQQADA ANSQSSFTTRSSSFFSVDISTVKPPPSALTSNRDPKTMSPKPAAVDTKVPTVPKTRKQ LAQVTAAESAPVEPRKSKAVPASLLLFQRFSKKVTEEPPKITPELRAMYAGEKPQKGI VRESPLIEADKRRSHSCLPTLPITASAWPVLAGRRKRWFPMVPTFAPLPGTLDNANNK VDPPHLVPNTSHMVMREKGYRDVLQSQVVYFTSLANSKACEDPTYLSVSPCTTARSRS SRHGGSTAAHIGAVLPTPGRHAYSS XP_828299.1 MQDLNWALAEKGTKVVEVSHEAAHVASSATNLLVDREELLWITG DAPQHVTLRLANPHPPLNYVGWHVWHDYVTNPKTVEVATGESPDEMVAQIVCQAVAGA GTQIWKLPSPIPANHLYVRMKIVETFGPGPTYLNNLVLFADDPGSRFRILRGEERDKS MADPRNLSSGKMSVLLQELGQDIRSLHPIKTVASKKNMLLYVPKEPDAVIRPQGEEDV TFQSSSQHANNITPRCGTDSARQCHLDSGSGFQASSDFPGGFNERLCALEQAVASLTQ TMNHQREDLTMIKRLLLQQATDRRREIERQGNGPGIALPQHGTHPVSHHQVCVDFPER ALRTFVEEVVRPKLQKHSRRTETQTIAKLDEFLKDVIGEMTQVVDERVRFHIQRASCN SSVSTGFHASCSGGSLQPCSPHLSVSGVNASRPSVIADSSIPQTNGFPPGSFSSSVHG YSAAARHNSPATSCHDPISVPSTAVRDVSFEVDMTSTRNTTPRPQ XP_828300.1 MQSRRNSMMNRVCRKYARVPGQHGDGNVPAFYPIAINPGWWGQP FGKVKDAKGQILFFYGLVLPIALYWIFDVTFAQRTRVGNVGKRPMYSNFFFRQMDLDD PDHAIKYEKLRQEIAENKLEVRWGGTNFLASYLWEPGDPEPDIRRREVHAHH XP_828301.1 MELIGCPAVAVLTCFYWVASHLVLLLRVALPRFSVIVRYGGRCV TSESICSGFASWIQSSRDYAKRTVGMWKSERLSRAVFHCTAILEGSILCRIRVSRKLS FCAFYVAGIVSIVLILILMDGGHCSPIVEGMTVYSDGLNHSAVAFLVSYAGRPLLAFL MHCTVRLLECLFLHRFRGGSDDCVTGFAAVAGCSFYVFASCSSGIILPCTERSPLVIG GQRVVNGMTEASPFFPTFALAVDALFVLHMVFQVTQVYHHWVLAELRRKPVGTSVLKG EHCSVGRCKTTPKGVSEEGRIGDGSAVLYHFPRIALFKYVQEPHYACEVAMYAVNAIS ICLIVYNRSLPSGGTGREIGDEFSVGSEVVPLLCATCLPPLGVLFFSLFNLAITAREH RRFWECVNARRGDGERELIPKWDLFYGVW XP_828302.1 MPSASSGYTFADFLRRLERSPDSHMAPLYHEHRELFVRRHDMFA RVISSVTWSKGVALVAAAGYTQAVNVTIYRALLARMLLHNRHVRQCGAGSVVPWSAAL RTYSEAIATHGNAVPTRMTLSALRLCTPARQWVAAISLLMLSQANDKLTLPMLIDAAG CCATPAAWEKAMTLLGRFHAQSLQVLPDSIQSLRPVGTSASTVDAAAHALLPRSEGPT PEQKHILTVINKVVSAVPWQVALSNEMCRSYLTHLVASTTLRPTEKTASLTTAVQQLP WEAFVTLMKTVTATVQEGSQGVLGSRSTPQLPPPQDGMERGDVAKSLLSNSIIREGVN LLQSEPETAIPFITTILHKLPSAEAAALFLSEATSAYRNSSSAVVAAAIRHPVVVGAL LKRCADSNSWYLAASIFKSTSPTAIPCDVASDLVIQMRRANQAPLVVDVLQKYIVPSR TKLTEEAIEAALLCVLVHNRALAKASAVVAGTSPDNRTGKPNGIGVANGVHWISALSW ATDLLEEGVESRILQTGTTPSVGGVNHEDPTVLLRKKTLSPRILSLLIYICVNAGSPR GGLFALGYARTVSKTELELSEEITALLYCMMYDRPREAESIIQHAVKKHGEYKGKYLG RLLVASQEAKGSALRNQT XP_828303.1 MSEQRVSQPKTPVSNEEKWDHSLENFFRKTTLGLAYSILPAFLL ARSAAARGAILMFATGIGSGIAYGEARYLFDHDVVFDRRHLVHIELLKPKESKIN XP_828304.1 MSTSHGMLIYNNDITADSLLDTKDCRKIVEEVSSKMPNSSLFKL DREDHTLANLLRMRLHENPLVHIAGYRVPHPTQHRVELRVQTSSDGTGKPIPTPKEAL LEAVGSCMKDLEEFEGAFLREAQSKGLDVE XP_828305.1 MAGTQAAVDYTKVLVKNTVRFILLVLFLISLVVSLLLCMLADIF QAYAPVFPLWPMVFASVALNVACAVSTSLYHRVTCKASRSYKSSGSNHAYLAMQGFGY GLLFICPAVLFLYIELLASPVAVYRYGLITALGGSCAVANGLLIASLRYSEKTQRRVK EGGLGGGERPQRDSGLWRRRLLLLPDGETAIVVLLCIIALTCSIIAEYFTHLRRALTI VGMLLLVVSAAITRVGTGYRSATGEHPFSISRCSFRTGIVQGLGWFLGGATLCFDLVL CNSGAGAPPSWHIISGLSSMVSVAALLFARFHRFTEPTFKQGLQLSSDGPYVMTATSL FQLTFVWCLTLLLSTEYCELGMQLRQGLITCQTLTAVSMFALPLCTHFLGRVVFGKEY GIWISLDCRLEFAILQRLAWFCYSIAIFFAVLHMTESNHFRFVVIQALLVGVSQCLVH ASLWAFGGGTLGRYSSDVEDQESLSRTTSTKSTSQEEDSEGEASVSYEGGRSALPLVL NAEMVTAIAVCVCGIALRLVADVESMDGAFVGALLGVPRKSLLNLARVMATVAVPLAH ISSRDRVPLWQPFVGCGGYVSMQAVGWSVYAINTLIEAANYFHEERRSLASPVFGTQS MPLEHTVDGVCATVPFICIFLGSLFETWAQQSEKIHQRKMQQKIVELNSLLQHVIADP EDRERTKSLLKVVIGPRWKDLRSSGSSDEDERAEEVETRKEGMRNIVAILCVCVMMLF ATSAFSATHQPAFTLIFGISGMMMTSVSCFSLQLFYGSIVHGATGTYSYFMPFSGGQK FVAFQTAGWSCYAAALLLILISCLEGRGSPTAFVCMGFFSVAAQFLILNSIPHFDSTP RPASLLEQNAEAALAVFALVGSFTFGVVWNAYTGGGIPGQAPSPLPIIVTAIAASCAA PLGIVSLKRHMERSAMFAVADGLESSSEASDGEEEIRSDGHTDAIAHVSNGSGHQFPS SLMQGQVNTGVDSERGTNDSFDTQASRSFPGSTGSFGSGKRCRSVQHMEVIPGTLYTI CLILALLATLIWVIFVPIVLFYMFYSYAYSGLAVFHMTFTTFHIVLFLLSIAVVTPVL VQIIYDRRCKGLRGKFWSPLVAFTVYSLPTIVVSTVLVVWTQVDTMGAEVFALNMVFM SCLSFLSCAYLVASLFNTCFLGYVLHFYLYTCLVQGLAPLVVWKCVTDVGFTVFWLWY LRGYGKLPHITGCMCGAKSRDLFRTYLSPAIVDYFSARLIVDGRGKAGRGKTVIYSNS EGEEENAGPDHNDPSNKYIYSFHPHGVFPGTALWLPMSPQWEELIGRNEETIVTTHGA DVIFAVPFMRDALMSVGTMSVSRKGIENCLKQNNSPIIVTGGMAEMVYQKGSDTEMHI VMHHSGFVRMALQHGVPIVPILCFAEQNVMMNVPFPRLQRLTSRKLGFPFPTMPYGRW FLPLPHARPLTVVVGKPILPDPAMCNADDPDHVVHYRLRYFGELQRLFFKYRDEAGYP NMVLHLHCHNETHIVTEFKGSESVKDDQNQ XP_828306.1 MPPSQTVEYEEDFGHNLMIHREYISKRCRDRVSFELSALSYSNL ELRRGQEHLAGIMNRERRGVSVGASGAPDDQVQMQTDVDANSREVLSARYLFNERRLQ FCDRFQNFFQSKLENSAASDSNGHEKQHLFSLMEACAVIFGCETEAARETYYRMFLGL DSETLLEEDEALRNRIADAKLVQRVLENNKGRQEVTQSPKLQQQQDQGKPLHAVSSGT SLLNDCEEERFISSIPELSLFEDETEARANGFVEGEDDVKANNGDLTAGSFSSPASSV NLPEEFEEYAPLYKAYITHAVGKGPVASYDISTLGSTGLTAERRRWRTLMEKIVREDY HTMTEVEQMDAIVLNEQLHTVKFFDLKIGDAIRDILQLLQRETGVGSSVNRDTPVGIS PNNPERRV XP_828307.1 MCMWLNRIYIYIYSSFVSLLCFLAYFEEYKTMATSESSGAKRFW GVDSEYEVTLCSTDSPVRAYESHMFDKEVLSADGAAHTAKKPKAGDSLGYDKHQASLP RLPIPTLQDTCDLYLKSIQALVTAEEYVHTKKVVEDFLKGGGSGETLHNLLLKWDKEC NQPSWLEEFWNDSYVCMRDPIPVNVNFFFQFKPHPQHLQNGRHVSQIGRAASLLHAAV EYYVSIINGTVAREFERDAPVCMSQYRFVFSTSRVPGLHQDRKVCYSERPLTEDEKRS KFAEYVAASPTHCVVIIRDRFFKLEVLREDGTQYSVEELIVSLKYIEDFVTSRQNPGA PVGLLTTMDRTEWFHARERLKKLGNVGILQTIQSALICICLDGVRVVSPEVGARLLLH GQGTNRWFDRHNIIVTADGTAGVNWEHSVNDGGTALMLADFMYKKDCERFFTGDEVEA LSKREGVSLTVRRMVAEKQWNLDKGIYGVMKSASEDFKTLIQNNELHVLHFGNFGGAF LKRFGISPDAFFQMALQLTYYRLFGRNCATYEAATTRTFSHGRTECIRSASSEALDFC RAACEPLFPKRIGSAVPSQGEFLRKAVAAHANAVKLAKSGLGVDRHLYGLRVMARMHG VPLPGLFNDPSYHRSGTWLMSTSHCGSNALDAFGFGPVVVSGFGIGYMIKADSIDVVI TSKCTSHFTSTVVFASMLESSLFHMKTILQSEDMSRRAERDILLFSHPCGLNDFQFSE KEGFIYEHHVNGSKGEMTDSIADASPNL XP_828308.1 MVSEVMVELHDFVHGGGLQDSSYVPLNSPLRLRFQYSVSHTVEK PKWALTFVADIADKRRVVELLPPMNVKNELMHEPYKTYDGVPPLSPGERYVAEFQIET MNLTGVERKYLEHVGALQLVLMSDTKRVSELTVVVQVKRNDSGELMRRVLSPMV XP_828309.1 MYNNDSAAGGDALQETCVDAITNMARRLDPQALTKVTQSLQDML EENRQQVANRRGRGGYQQHQHHQQPHHFGHRGNARPPHANRQWGSNNNSRNYYQSSNG YDNGKYQRGGRPHQHNDWNASSRRHQSRGGGRDYGNRDEERVSNTNRDHSNRDGDRSP VAGNRSPPRGHREGAGARSSGPHNSKVEEENERGATEKPCEGGNERPHHGRHWGGGRG AGRVRRGERRPNGHNDAKADGPS XP_828310.1 MMAESSAKEMEANQVSAAGDQTAKADDRYVIIDRGVKRHLLNRP WTLWYDSVSTYDCKQWELSLIEVMTVRTVEDFFAMLHYCKPPHVLRVSAQYHFFREGV KPMWEDPNNKAGGKLWVSLDDKTMTDKSGAAGGGKTRKDNGADADKKPELDTVWENVL IALVGEYLDYGVEGEHIMGVVLTKRKYCNRIALWLKDASDSDAVAAIEKQLVKEAGLL PATKPIFTAHGASKA XP_828311.1 MSTEAEDDQLLAEAVATNKTSDTELASPLGVASSGDGINTLFRF SHATSEKVATGCATDWMSDRLLAVAQGLKTIVPKGTWESLGSEEEVIEENGYNVKKRP QVRVAFEVRRDILLHQRLGNANYLTKEASEDSRDQRGTVNALYEIMRDEVDASVQAVA PVMDRPSQTPFSRKVDATVQAEPTLVDMCIRGTPLPPVDMSAMRGFFSKVLPRTLHCL LQNYEIPIYQDDFAMFSEDYAIVGSRDDIVLIERGNYTHTTMKDLRVSSISWRSSRSK DDVVCIASVARHNLEQRIEAEKLCETVTNLVWDFADPMHPYALLEAPVEIQVVRFNPL RTNLIAGGAMNGQLFLWDLDKISGSAAGTRSPKDRTLDPTAAARAEHGPKDIPPMPMN VKGVTMRRDGDVLVPHLQPCQASRMELSHRRAVHDIQWLPNGLECAFGGKQTVTQEGR QFVSLSDDGYMCVWDIRPEYLPADKLRKMKHQGRIGGEDFPWVPLLRFQMSKPGGGGD VAGFRFHVDGLQASETPSYRACCGSLHGEIAVCSMLVPDERRDFSAGSEDVRVVQQLL RGHAGPVYSIQRHPTISDVYLTCGDVQFKIWRVGTEFPILTSPQHDTAITCARWSPTR VSVLVVGTADGKILVWDLLDRNSEPLLVHQLVQDAITVINFKPAPASVPATYVQYVAI GTSVGSFHWYALPKVLSRGPSGEQRHFRAVLEREVRRVLFFQWRWSERNRERDRYGHA APKMRDRDHETPAAITADSNWGNEDNYYAYDHHRDAEFLAKVEAFRPEEDTMSLSELD GDGADTRASPI XP_828312.1 MTTFEHLPDLLPLLPFRRGKKDSVDWTLCIRYISSNFTSNYTSN VESALRQMNSFHHTIVQTCHEDATQAPTESFIEEKLTPYCKLVAMVQSHLPLHGGLVH GDLRFIWYDSFDGTKYESKNANLELLSCVYNLAASWAYIGAQQTRDGLVDKLKVASKA FQNATGYYEMAEGLLSRLPPELATGDLTHESLSLLKRICAAMTHHCAYLKAEIDMKDN HSVLSKIAREGGKVYQIVATSLKESAWYTNSRRGSKAVLLEQELRTLCCVFNARAHLH VAEVHSNANDQGIAVAHFNEAQKHLQQIEKLHSVELRSWISSIISNVNKGNERALSMN NTVYFCRVPNEVEPPAGLPRPLGKATEHQSFFAFESTRENDPLFGIVPAHIASTAAGW RDRQRSLVSACSTSSRSTRKRAAELLQRLGVTAVIEVLSGETKDRGRVPAQLRSKIES LRRNKAGEAVNIVQTLVNMVKICDKIYVSVQEVVKKVKNELEEERRRDAQYMETYGNS MWRGMCCSASEVQQYHSIQVAIGKYEEDLQRELVEPFGQAKIVLDENLKCLGRLDWPM EDLDALMPFTKTEDVRQQSEKVLQQIERLKKLTACIHELEASQNNRLQELEHALETDD VARALSAVEESQYQVVMAKESKKISDMIDGVSSSVRKEEEVLREIEEVVESLAVLRSS DPILEEAEKVCNTLECGCSAYAELHRDFSNIVKKGSAILKGLEVVLSSAKSFVATRKS EAEQIRVSLDEQIAHKIAELEHKKESERAVEESQRRQEELQLQVQLLERQLDPQRSER MAEVLRRQREAAAAFSSTSASLPPSNMSGVDAPPAYDFVVNNVHPNVPTHTHPPQYYA PHNPLS XP_828313.1 MTTWLFNVSVLHLSASFSEPRLASFAAEGQLACRMFFNGKPLDL TFQPASEMIGGGKPQSTFLYRIQSLTVADLLNMLRQRSVRFYIVPVKRNSDPLGKEIR AACRATTNIMCIAERGGGLLELPLTSAASKEEGNSGIVGTLSVCIAFDQLAEVELRLK EFYVSGLENGIYGLHCRLAPFKEGVVSKLTRALSGTARWTDAEVPMAKYRGVLRNLKK HQFAIAVYLIDGNNNERPVASFEVDLAIKNTSASCKEITIPFSMSDGKTSTTVNGALQ LSGLPTFEDEMQKILRAGPACVDTEDEKKEKNKFSDPEGAKSSEAESTTEIDTENSSM GRHLPEYSQGSSPPIVSRTSTPTRASPRDISSPPSEDQDAEDCRFSFPSKNSISALWH SEADLESPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPP PTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEK DMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGD VPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPP PEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDV HGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPP TPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKD MDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDV PPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPP EKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVH GDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPT PPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDM DVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVPPPTPPPEKDMDVHGDVP PPTPPPEKDMDVHGDVPPPTPSPEKDMDVHGDVPPPTPPPEKDMDVHGDVPMVVEGSA NVDSSCVLVCSGGAEEVVDVPADLESVDDENVYVSELQSTAVLNEDVTLLLDECDRMV VGRDEGASGRSVGVPTLQASNLIEFPPPSNGKEPATSREEAADLLLTRERELLDAMEH ENECIDMLVQSLRRMDNASEKDRAAYCAEVDRLQQQFKLLDEAEEELTLLLARATQRF NLCREERRKSQLELLEARARKETYSLALAMMDRELRTQFDNDGNRRPGGMFLSKSDSS XP_828314.1 MADHELSESEKAIERYKVKKLIQMLESARGMGTSVISIYMTPKE QISGMVTKLNNEYGTASNIKSHTNKLSVQSAITASLGRLKQYNRLPPNGLLLYCGTVL TAENKEKKLTLDIEPFKPVSRSLYLCDNKFHTEELHRMLESDEKFGFIVVDGSGTTYA TLCGSVKEKLSSFTVELPKKHGRGGQSKNRFARIRMERRHNYLRKVAEGATQLFITND RPNIVGLVLAGSAEFKEVLYQSDLFDPRLKAIVVKVVDVAHPGDVGLNQAIDLAADAL SGVKLVQEKKLLQGFFDQIACDTQLYCFGVQDTLKCLEAGAVETLIVYEDLNIYRYTV VKNRGADDEETFVHVMSEEEAKRSNIHMQESGKTRNEIEQEDFVDWLATNYRKFGCAL ELITNRSQEGTQFVRGFGGIGGVLRYKLDIIALRDVEKKEDDEERIAANNEEFDFDDD FM XP_828315.1 MYHCIHHQTHLKTNCSFHVFFLLLKNMYKQHTNFAAANTPLFTQ LFGSVAADTSKPQQRVEKDTSEEFNRRNSADPAQLSRGRIVEMQSSGSKRYQTADSAT QTTLPCSEVVGSNTEETTQNFLRACQPQEVGPPPCAEFKPMDVEGGADISVEDDVPAW KKPTIPFRKMMQELEKRFHEQMDVHRGLKSTMERLDVLRSEISLYQAEQRTAKLAEAL HQKNAYSIERAAQLSASAYAYAESLSAFSPKPPPAITTAPPQQQTKAAASETTPFLKS ILESYQKEREGKTRGKNGEATDVPVKKALSVDIIRQPEEPLPYKRVYYVPVVEEKPNS VSAVREDGVNDVNSTVREGNGAGASTSSVSVVAAGPSAASNSEISIESGGVSANTSVG SSEVGTNVISQSLDTDQVSETRSLSAASSRNSPPSPTSSTPSVNELSASTGHSSIADE TGSSIKSSHSTNILATRNEGKAEPLVTTLKEFYRACGNANRLMQALLGRNIRLTAVTP KKKGDAYKEREEHSASSESSDSRNVKQSEKPEWLAALKRDVRDIRKLRRFIDRMKRNI KSIDCHHRAQEVRRKLFVKAQRLAKAQRRVRNNMDAVSDVMDEIADIIRSDASGAGDE DEVVDDISVAFSAEGSDSIMDEVDDEFNFGGTTRSGGGISDEVDDEVVDELQQSRLGS ADDDVVTDVVSELSWAKEESDFAEALAEVSDINDLLDDEVPLPDEESLMDDVVDEDLP PASSIETEGSIEDRVAAAGVDDVADNVISGAVDGIVDDVEDAASSAVITEESSADNAD VSSVMPASSASTRSSEDTEDSVKRMRDMSMSRIYDDTESAESALNELERAMRIPTKQR SLSSSGAHPVNFLGLDIQNAMSAETLVTEELKDGYVVRSDSHTWESTLHNDVAFPPAP ADITLSADINTNPPTLRSLSTPTSVASVAGLPVKAPGCANYETDDIVAQLNWKERQLL LFEKIHPKTMWTQAETEDTPADAEGPVEGRAGYHWGMLETLLQYRFALNAVDEAHDDI TDYVDDFEDIIFDDYDA XP_828316.1 MSQQKGKKHRSDFLDDDCGQSALQLAARGSAIIVELLRLSQHIP REFVHPEESEYAAIISDFSYFKATEAFEENISKSEVLLQRDEVFGNTHVEFLDRCFKL FRGVFGYVVELNRFVEEILDGMYVSHTIESILADIDGKQILCEIYHLYGVMLLLLDHR FGGKIREYILVSYIRYKGTSEPNMMDVTSLCRTTGYRCDSANGLPPNYPLSYFNRVPV DKKVVGTIIGRIRSDDIYQMSYNFPVPEHRSAALSLQGAVLYVLLFFKPEILQHEGPV MREIVDKHFADNWIINYYMGFTVDLMVAWREFPAARNAISGTVTLENIGYYMTRQRQS MSSVYDSIEEVLRQGVLTEQYVLDNIHSTLLPLIREANVVLRWFVLHTHRLDGRNFYH EKSRQIYEMIAGCVRDDDIIMLLLRTAQLEFTLRAMFANLLKQKRARWESSRQEGAMK MAKLSTFFSGKHVLSDDMCDPQLEAWFMEISQRIEGLEYANSTIASRKIQKLIKALEN VQEFHQVDSNLQVIQFVQDTRFLLLQMIRYINIENKVLITIATVGDVSYAWESMAYYN NYVIAMQLKIKQKPDLAVQMRAVFMKLASLLELPCNRIDQGAQNDARLLVALESTSEY YSGELVAFARRVLHIIPTSIFSILRQVMDILTNHLHECPTKLARKEMKGQSQLNVRKA LSTHTADIVKYASGILAMESTLVGIIQVDPHQLLEDGIRKELLQQITMELHNSLLFDA KKPPSAASFNEGLARLAQKLNGIRASFEYIQDYVNVHGLRIWLEEFSRIVNFNVEMEC NAFLQKKLYPWESQYQSESIPIPYFEPTPGRSTYSFLGGISQHLIAITDPSHALFLKS YGAWFERNSLEEVVGSRTFASIGEAIGSMGLVALDRMLCFIIAKNLQQLLKTIRATLE PLEETVMNLAEELCSSSHDPRKLIEIYEKLMKLINSSGGEGSVPLETKEYNNRVVIKD HLAEVTKLMVLVGRIQIMRVMIAHELRSFCKLNSGSLFAALSTVNEALLTDLQHHYHS PDSHPMPGEIINAVSPFLDCAGISDALTKVYVTSKPIPSFVFYLIALTLRNIPRMRYD DKLASMVPSELKYLLDPEALVIALALLLKQFHSDQLVLFLNHLSRVVCAVVRNFYEDS QQQQQQKQKQKKKDSGPQQRLDDILPEDAEKIVHVIHGVAEATGAPTLDLHRMFPAPL SGDFRLPVTKGKG XP_828317.1 MNVLEDLEAQVATARLRLHELEERLHEERNRVNSCGADVLTSSG PSSIDNSGGSTAGETPSFVSSSGSLTKSDVERFSRQIVLEDIGAKGMDRIRRGRVLLV GAGGLGSTAALYLVAAGVGELCIVDFDTVEHSNLHRQIIHNTMRVGMSKAESAVQSCL ALNPRAKIRAITAPFTPANAEELVRGCDVVVDGSDNVATRYLINDAAARYRRPLVSGS ALRWEGQLSVYCGGLSCPCYRCLFPTPPPASAVGSCNDTGVVGPVPGCIGCLQATEAL KLLAGAGDVLEGRLLLLDALRMQLRVVRLRGRQKDCPACGEAAKLNVGKSLQQLAAER PEYVMPSCASGALRSANMLPAEANVAPSVYFSVLQKLLRGERMSWMTLDVRPKEQYDM AHLPHSVSLPLKQLEMWKRDGVLQNEWEKFVNSVPCASRGPMDVYVICRRGISSVKAM QVLLPLQEWCPKSCGTDVDGKAAIHQNPGKRFRFINVDGGLNRYHREVDRNFPFY XP_828318.1 MSRPYTKGGGPNRADSRRRRKGDYDRDVLTDRGGHNSSWNAKPD RSRRGSRGGSGPPGKGTGGSDNQVPQSTMMTTLLDLLFQKSSSVIFNRESGMLNLSNF SQSQDLTDVRKSVDFNNVTFCRSLVSVIKSHMGSMLRAVAVNDNKITKLSVFLSALVE ADLHIGITALSATGNTIDDLSFIGPLKRFVNLGELVLKENPVTRREDYNSSVIQKVKS LMMLDDKVINRAPLRLPNPIPSSLSQLQFQVLYFLEADVFSAAAEGKWDTLTGVYAKN ALFSVSRSEEPIACRIPFDAKHKSDTLNPTQRKVMTDDFVFLRKNVPWRNLHVEIHSL RSIACGQTKAVKAINILGGGERKFFSVSHELNGNANVVFLSDNMAVPTCVLTIHGRLF WHWSPIDGGEKIFARDDAPFVSCFFHRTMSLTLDPNGTTWSVHNDMMFLRPDRLLRQE SGEKALPMFFANDPMRIEEMRRRYLPQAKTDVMKTIVEAVSSDADVVGFIQNCLAKLP PDQLEIALSASDVMANLLGG XP_828319.1 MYASHKKDINRHKSFHPLTFQNLSRVERGLEKEEEKKKAKEQRN AELLHDQEQRRYDDLVISASGDSIAARVAKFRQVENVFAAEEDKPALQPEAVAVMGQK TSALTFQKDPLEAKKLTQKRQRDDVTTKNEKGGSEGQSFGGAGRKEEERKVSGSLVTG FITKSDAAQLRKELDKLQKERHDPLRRVEQFQNRTVAAEAKRRALEARAASNNSRGDL QKDIIHSRLQELLDMKK XP_828320.1 MPTLAVVRDYLFDFIGKSYTEDQFEDICFQFGVELDDVTSEREM FRREQGEAVAAKGEELSDAVIYKIDTPANRYDLLCAEGMGTAMKVFLGMTPAPRFQLL NRANPLYKVTVEKSVRNVRDYIVCAVLRNVRLDKRSYRSFIDFQDKLHLGLARRRALA SVGTHDLDKINQNEFLYAARPKESIRFVPLNQQERVLDCRGNGLAEFYKDDRHISKFI PLISEFSHYPVVLDGKGENILSLPPIINSDYSCISANTRNIFIECTAPDHHKASVLVN QLVCAFSTYCEKPFTVEAVRVVYDEPTPDGTKEEVTPNLDPRLVSVEQSKVEKLIGIK LNSANHMGELLERMLHHVAKVEDSTVTVEVPPTRPDVLGPTDLMEDVAVAYGYDNITH KECLTFGDVAQQPLSKLSHLIRIEMANAGYMELLTFSLCSREEGFTRLNRVDDDVAVH IANPKTMEFQICRPSLMPGILKTLNANKSHPLPLRFFECADIVLLDNEKNFPPVITPK LEYPNCGARNQRHLAALHCCSESSSFEDIHGLVEFVMAKLGVVRKVGEEVVDGDSYTL ERSSDGAFFPGRCMDVFLHRSGQKVCIGGFGVVHPNTLKAYDIPFPCSYMELNVQFAQ XP_828321.1 MLKLVFEKQQQRVYNAETGVLNLTQFKEIPDLTDVAGSINFNTQ AFCQALCSTIKSLIVPPPSAIQLKGNGILSVSHLAMQLEKADLHLTLRAISLEANLIK SVESLQELKKFTNLREIVLRDNPIANRSDYRTTIKKLMPSLIGLDGESICVPPLSLPW PQFSPTGYSEAQKHVLQFIQCGLLNPLEGDGASGVAHGVDAVSDLYAANAVLTLSLSS PEVAVSTPMRTMNGAAGVPQQRNVIRDIVSLRLKQTESNHNLLHGVKSTVVALGRTKV CSQMEHWLYPKTFRVHHYLHSSASTVFLDNSYLTGPSPTAMKVPVTIVTLHGTMTWNN TGVPGDATTIGPMTIRRNFTRVLSVTQGDAGRWLITNDMVSLYLTPSGSSASSKSGNG VPETTQLSECRILLDPRSDRSRAEVLSRKKDVPVEVIMALCQHVGNDAELFTVLDDIR GVPLSAFEHCANLAGENIMESIQMCRLVNLFGMAPQNALEMLRHNNGNWSDTVAAVAA TAPVAAVPQ XP_828322.1 MSLSALRSKRTVNDIWPVRYKRFFVLTDPQNIIGETTDGLATLT TISYLLEREAAVVVASSFGDLKGIPLSFSRGQREEAIEIFRTEGGMGYTNYFNSLPIN LKAEVLKVANGCETPVDSVTLSPVEVNGAFAALSIRQKAAALHNVFPRVEFSQCSTYA FVRELTNRFPTVPVKFSPDPLNAPISQLKPGEILVLENLKFYQNEISPNHEERVAMAE VLASYCDYCVNESFATVCAVHASNTELPKILYHGAAGFSMEKELAFFLSFLAHPPRPI VVVVAGRQSSKKLRMIRSLVGKVDKILVAGALIMPFLAAKGLTTDKSFNNSERVERRR LNEAAEVEELSVPCVGFAQEIITLCEKNGVELVFPVDHVVTRRITKATEDSAAIVESI AVPSDVYAVDCSANTIALFTKCIRPCQCVFWTGTFGCTRMGYSEGTYAFARALAQQGK LSIVSGNSTACVVRQLGLTSQFSHISSGGSTCLDALQGHHLPGVEMLSDIPVAVDVRS CILADDLLRSLPLFSNCSSHQLKAVAQKFVRRVHACGDYLTYYGDKHACMWVVASGGL VARSGDTTLTLPSRYIGRGQTVGMYGFITQSFATDTIQAAEDETVTYQLTFSSLQDLF NEQSDLAAQLLQNVSETLRVMAIEEYREQSSIMNVLRRAALCSRFPTFLAIPKDWGFM EDVIQDIISGTVLSGITQICTGQTALSSPRTFSLGLGSSTSRGHMVHCLCRVVLRDLL YHKLIEYGIMPATCISSIILSPFRLRAMGMMWSDVTCKAMLDEALLLAVEMCSPIAAH GTFLVVQRRIEVLLRRKCATIVKFFLTALVDVGFGFVLFPLTFHRRTSEAISLPDLFR SSVFKRHQWKVVLLLVLRCVVHVLLNGVRRVHRFWVIKRKSESGCNLTPQRLPRVTK XP_828323.1 MEAYVYNTFWTRFALKEYSLDDFDCYEKHWTVMNYTNPEALLQL HDHDFVKEFNEEYASSGYGEAAWEKIAYPKILKMLREAFGMVVTRGGDHSRCRAMYGV DVMLRTERCVETGALTLEPSLLEITFSPDCRRACKYHPTFFNDIFHTLFLRDPTNMTP L XP_828324.1 MGCGGSKPNAVSRDVEEKALYLRGIKESIDKAEGNMLATLHALQ ALMRSYESTSYSFVELAHGTDGNTSLKAKTFESDMRTLKDSGIMPKLQKDLGQSVSSL GKDIRAKHDKANVVYREMTQANDAYCKLRERVNGIEKSYAKKNKPVSECPSYTKNCKE RDVCLARYEGLKKVFLTLVEELRTLIRSYVTAGLTRYAFSTADYAQQLVNSLQKYKSE XP_828325.1 MMKDFEAFSRVLADWFVVHSVPQHLQRSLFEKLVNDVFDAGTSF SLAVVAREDEDEVDAEDQSSETAGNYVLVASKNLKANDDIWLIDHCCTFRLRDFRAHL EANEALRTRLGRVLAVNLSGADNRHGAQLIFDHMWNKVGSYRLPTSADGDDSQYESYW FIHDEVGSAITTVVNEKANMKLEPIPICFPEKGGVFSAMWCVEDMEEEEVATRRAAST LEATSGKEILSLIYNTRHEEETEEDPYRSAQLLCVEAWRRLVKRLESVPGRRAQREQS ALAPVERCPSGPLRVFTDSQQLSQNLTDANHFVVVDLPQEAHIVWVVHHSIEGLNDYG HAQYISQFPEESEFTSKQGLLRLIQETYGYVDWYQTSYDSTTQLKELIGDFIVRKAAL DKKIDTDPLVTYEDIGKLRSNDGTNLWISKPTNLARSIDMTLSSNLTELLRAVETGPK VICKYIANTATLRKRKFDLRFIVAVNSFTSEHSSSMEAYVYNTFWTRFALKEYSLDDF DCYEKHWTVMNYTNPEALLQLHDHDFVKEFNEEYASSGYGEAAWEKIAYPKILKMLRE AFGMVVTRGGDHSRCRAMYGVDVMLRTERCVETGALTLEPSLLEITFSPDCRRACKYH PTFFNDIFHTLFLRDPTNMTPL XP_828326.1 MERSGPIVVESQLLNVVQRHLRVIFGGGNLTRGDGCILFTAQPI PIGGEEEARLTDDLQRLCLTIVRDMTGGRTSLRLPSESEETNFTLVKKQVLPFDKFLK EVGAVDDFPDNIDEVSDQPNIHLVLETLYNDVVSDLPSGAVMVEKFPKVMCPTGKRLD LVMRKASCVQVYSNLSTPLPMERAKSSRPAGDEEENEDADTNGVSGGAAATKKSSGEP QLKVWVNMRVLASAVASYSATPVEHELPIQWRQKSFALAEAREVLGLVYETLRSYWKR NPHSERNKMDAAVLLSIVSGDLQEYVKGQLLLDGRDWRGIWRMNIDQLEKSVELIAEW GSMKEVLLRGEWKDVDVGSEKGGVQSAFEQRLRDVLRIRQLLASAENLSPGKAPESIA PEVVFDGESVTDRRVTSDDRWKTCIGKFEKEFSFLEDHLRGRVKQLFGSNQRGQEDLI RTLKQHRTLLNREGIKNGVEGDMASVAEHLIKQLQKIQVRYENNKRRSTTDLHTVKMA KSAQTECAQIPEIAGILFGKSTSLDQVVKVAEGIMGEMQKEESEALAAWRQNMENHCK KLTSLPDAVVFNGSNKQCITCTVHPSIKQCLQEIYSMRSWCGRRHEELLRISEEGEGV IKACEKLIKATTRSMQVVSNYNTVQRQIIHCTRSMLESASNHALSQLLYKGSDKRLVT IANYNEIDGLNMRFQNAVDALCVENRRIRRFHVDFMNQVAELHNLELAGQTDQWRTAV DGLRRLFEEFLNAHNIDNYDNWRRHLDAQIYKALEHQYQRGLETMHEKMQEFKVELVF KQGQVQFKPSFEAVREAYYQQVRELVGIPLRFRGLQQKKESGGPYELYKLIPLSNKDR IVTVHLKAVELFGKLNRVRKAFRTHVLVGTCGINGGPDIDALVEATCANLKNYSDGFN VVKEQLNKLRDIDDNMKIDGFTISTIPIKASVEEQLHRLEEALLNAMRKTMQQTLSAI DTFVYNASNVITRQPVTMEEVGQANKAYREFVAAMPSYEEKFSEAEELNRVLRQHTGT VIDIGSTKSRWEHLREAIASHHKVIDASMSKMRVSLDSMIQKHMKDGQRFSSKWDKMK AQLLEAFKESKPALIDTTLTQMKDSYNELDELKTQSKELEAKCLHFKLPPPNFHELET TLNDVSQTATMWTLYDNFRESLDTLRKEDWLTFRSHTYVFEDFMKEWKAKLTATANAS HMGSDTDVIAQYLHNMLEGWAICVPMFRFVRGEGMMTEHWNEMFRLLEIEKGMTSTDL TFGHILDHHKQLVAAETELKQLHARVQGEVQIREALQDLRAWALEANFTLIAPADSAT PAKVKLISEWKETLAQVSDNQSLIGSLKDSPFFSHFADEANGWEVKLANLYEALMLMN TIQRKWTYLEPIFARGALPQEQARFKRVDKEFVSIMQDVEADPRVMTIASQADIVDRL KTILDQIERCQKSLMEFLESKRESFSRFYFISDEDMLEILGHSKSPSVIQAHLKKLFM GINSVIFSEDHKFITHMVSSDREQVELAKPVSIEEDDVEKWLVALDQCMKETLQRLLA SCVKVKNIIDPEPINRYPSQVLQVTLQVQFSAAVEEAISKNSLSALGGELKNVLNKLT MFPADTDPVSKLKVKALILDVIHHIEVVEALVAKGVTSTESWWWQKQLRYYMNENELC YVAMMDTKFDYTYEYQGNAAKLVHTPLTDKCYLVLTKGMQLGYGGNPYGPAGTGKTES VKALGSAMGRQVLVFNCDEGIDFKSMGRIFMGIVKCGAWGCFDEFNRLKIDQLSAISQ MIQVIQEAIKNREPSCTLLNRLIQVNKNAGIFVTLNPAGKGYGGRSKLPDNLRQLFRE VAMTQPNNELITSTMLLSEGFTHAKNLAKKIVEMYRLSGQLMSKQQHYDWGLRSLKAV LHLAGSLVQKWKADNGGNAASEKQEEELVLQSLSINMLSKLSVDDARLFRELAVDVFP QVSIREIAYGELESAIEVAVRELGLQLVKSQVHKVLQLYEALGQRMGVVLVGPSGSGK STLLRILRKAMQILKIEVPLHVMNPKAIHRQQLLGYMDPDTREWYDGVLSAAARDVVR QPKESRPWILCDGDIDPEWVESLNSVLDDNKLLTLPNGVRIQFGKNVNFIFETHSLAY ASPATVSRMGVILFSEDDVSLEPAVRSFLHKQPEERRELLGPLIEKYLIPAVHQTLRL DALVVPTTGMGILNCCLAHILHVANEEDFVFSLLRGLCGMLHPEGAKTITTAVYEMGK VSPVSKKRPLDTYYDHEKKCLKEFASNLTVDSSSGSLLKGELIVPTVDVQRLMATLEP LVSDTNCRPVFLVGPEGSGKSITLQQCFARHSGVRITVLHCSAQTTSLHLIQKLEQMC TISSTSSGHVYRPKEGERLVIILKNVNLPKPDRYGTVELHAFMMQLIMYQGFYNNDLE WIGIEKVQLVASMNPTVSAGRYAVTPRLLAVVGIVFMSYPSKAGLNQIYTEYFKSLIS SVPDLGCDLANCPTLSGFVINVFEKICRKREGEEYAHCLFCPRSITNWVTNVLMYEID SQTTTLPAVLGHEATCIFADCLPRAEDIKKARKTISESLATIGYSSTSSSSADDANSI LFVSWLSEADERGQKRLKGVSYEAAAAEVEQGIVKYSREHKTLNIHVIPEVVGWLARV DRVLTRPFGHLILVGRPGVGRRNAVCLAAFLLKMNVVTLNMMQKYALKNFRQDLRQFI QRATTQNERLVLMLEDHNIVDETFLEMINSLVSSGEVPGLFTQEEVETMCASLREDAA NDGYMGSIASFYLQRLRRNLRIALVMDNCHPLFLVRLQSNPGLISNCDLLWMGAWSND ATRNICKKRLAAVIDNIGADSANKGFHLHRELFSVHESFGEEATPERFRVLMENYESI LQKKGESGEASLKRLDAGLAKLHEAEESVAKIQSDVKRKKKKVEEKQKEADKALTEIQ QKMEESKEQRDTAEELQARLSTEQEEIAVKREKVTKELSGITPMLESAREAVSSIRSE QLNEIRSLKAPPEPVKDVLEAVLALLGVNDVSWQSMRKFLGERGVKERILDFDAKNIS TPIRENVARLMNQKAGSFKQETIRRASVAAAPMAAWVKAMIDYSTILESIGPLNKQLE DLETNSAKGQEQLKQLKSKLKKIDEAVAKLRKEFSEKCKDAERIKDTLEKAQKELTKA KDLLEKLSGEKTRWSQDAQKIQSSNQLLPKRALVAAAFITYIARETEDVRQRYLKQWS SRLNLPDVVKLTGYMRTDGELLQWKSEGLPSDDLSQENAIAMLDSVQTPLVIDPSNQA IEWVKMNLKTNNIVSEVTSMHDERFSHTLELAVRFGKTLLVMDVDGVEPILYPILRRD IFTAGAKQVVQVGNKQVDWQDKFRIMLFTRRTDIDLPPGAAALVLVVNFSVTKFGLEN QLLGVTIQHERPELEQERAALLQKEESLKLELNKLEERLLSDLANSSGDLLENTALIQ ALNDVKVQASSITEALEKSHKLEAELNEKREVYRPFASNGSTIFFLVKDLENLNRMYH FGLNDFVRLFVECLSGYKGETDIEAKMAGLATNFIQKCFVHVSRGLLERDRLVFGMHL IHGFFPQKFPSSLWNLLVGASAGSANTEGNAASDGSVQLPIWAPPMSRAKFTALLADA TASEHVKKWDLNDEKRWGSWILENTPEESLTKNSGLSFMEQLLIVDTFRPDRLADLAH HVIMHSLQLDSLTPLTSLEEMLTGASATTPIILITSGGADPSQEVQEVAYRRMERQRF TQIALGGGQTDDAMLHLRRCAAQGDWLFLKNLHLVLDWAYVLEKELSAMPPPNQDFRL IITTEPHDLFPTVLLRMSLKMTIEAPPGVKQNLMRSYIMWDEGYLRLKTKTLSQMLFG LAWFHALLQERRNYVPQGWIKFYEFSLADMKAASDVFCMLSHNNMDWVTLRGMLQNCI YGGRLENVRDEQVLLKLISKIFNEELLVSCTKALHEKLHVPTTSEHSEVVQFIRDNVS DVDTPALLCLPDNADRAVKEQHTDRLREELRSFVHSAGVSASAKDVWRSLLVPVLEVW KNSGLKGGSTQALSAGQGNYDPMKVFFISEAGLLREIVESVAAKFADLQCVADGLLMP SAALREEAAELISGRAPSNWLDQMDGPKEIGLWLQLLNRRLTVMTTNAQRAFSPSGGV FDLVDFLRPQTFLIALRQYTARTTKAPLVDMSLVAVPKGGKGSASPASGTPCITIKGE SIQVQGSLIDAQATVSPVSSSSPSSMPMPTEVQVWWMYGSDKSGGAHIPLYTNATRST KILDISVPGGEEKDEQLLLGGVAAFLMSV XP_828327.1 MTNKYAPGNPYYGDSSPTSALLGTNQVVFCVNSVLFQSCGLLLE VPSGLTTSSVMKIRQECPNRTAISLSVWVDIAINVLGMRESVASSIFDVVYALTGGYT QYTAASLGSSTGSGNGSPEVRSPVECRQEESRSLLKKMGGTVDVARWPVVSATRQIGL PALIIFLLAQLVVEQTQRVLPGESERERVMASVRQHLHDYISVVAITRPGRLTLSDSK ELRILLREFVNGVEQPFGTSIGFLWPRSEKTIDITILSQFIRPRITVPSELAATSRSS PFSNNIVVKGLQGTICIPTCPVVTYSPKLAISSSYTVEKCSQTSFYVTSDLPHTRLTQ LVNCTVALGPVGGVLYIDRCENTNISALCTAVVVNRCRNVNIFICTNSPPVLCQLEGS ENSENVRFAPYNSHYSTLEEHLANSGVNPMMNLWNVGIPSPHCILPPDEFTPVCFPVA PHTSAVVTTRTNPCPPPPPYATAMERRVRRFQETSRQLREAYERLEADGRKDLADELR GKVHTLFVDWLRRVGQERVLASLLRKNANGQS XP_828328.1 MRVADRMNGYTKHCFYFYFFPLFILSSSQFLSSVSLTLLMVFLL FPFLHFISLSHKLVHTLKKKREETVPQQVSLCCSTYRRKLFFLFFFFLFLLKSRHLYR GRGAAYLHCLHHQKGKGCKKIIYHLTYTKAAIVVFEKLFFQKKKSKKGNRLSGKHVEE QETKRRALG XP_828329.1 MLSMLAEVRFLSWVLLLLSLMEDSTLPSYAALPNKSVELPPYLK NTVNICMHGEWFEENKTCVCDEDWITSVQQDVLNPRYIWCNVSVIKDNSTDTDSSGYL GFSLAKIVRNPLFGLVGLALVFFLLAGCCNMCIMRQFMGGRRSGSNDTGDNRRPDETY VGSNNNTQQQQQQQQQQVHDHLQPTAMVPSQSYALTPPLTIGSIPPNYPMQSSGALQM YSGNNLNNTQQNYSPSEPFSMFAASSVAQPLPPGYIPQQHILNSMLSLQRSMCVPSGS AAITGTGTGYHSAIHPIEQEREMTGPSPGKFQS XP_828330.1 MPATLKRQRSNSVYEESNLSSFVDSCVNFVPNVTFHTTTEGKHM AKVLTSNSAPQPHTILLLGTRQQLKSKGLSQLFPYHKPELDQLIDEIDEASFRSDGYR CGTNHELRITLGVIAGAFSRHNCPLRPDSITNIVEEAVAYAKKVKGVTAASGALDIYC CEAENVLCVAAAVARGANQSFSAKNGYAEKSYWNRGIPVRVVFAPDTRKQSLSEASDT FACGKREYLDAAALSIQLCQRLVDSPCNLLDTTTFTEIAAGHIAKLKNKLKRNVSIDI ISGEDLREKGYGGIYGVGKAAEYPPHLVTLSYEPNAAGGSGINPQEKLAFVGKGIVYD TGGLSIKPTTGMCGMKHDMGGAAAVFCGFIGLAMIGVPHRISSILCLADNAVGPRSQR NDDIVRMRSGKTVEINNTDAEGRLVLGDGVYHASALLPYTPDVIVDMATLTGAQGIAT GRYHAALYASSEEIENRVLQAGRRCGDLCFPVVFCPEFQCPEFRSSVADSRNSVLNRS NAQVSCAGYFVGSHIDSKYKGDWAHVDLAAPATRGDATGFGVTLLLQTFAASLFGTA XP_828331.1 MCGAHSCKVRVGNMCDCEVVGYEVPGKKRILLHLLPLFSLFPFS SSIAPLCKRSLLLFPFVFCLNCNYLLMVDSTAEQTKRTCVFYTLVPALFLNFSTRSLL RLLILLFFSFFNVAGRRTLLTRLCCCFHLPFHASPLPLPKVMGAEGKMMLTNEVRKPR RRKEKKEDEIMVIAILTTAVNELATFS XP_828332.1 MSKPRDAEEGHVTVCVRARPLNEREKRLNSPICLQFQNGKTVSI YKSSVPNGAAADAGCDAAGGKCFSFDRAFDSNDSQTTVYEYLGIPVLQATIKGFNACV FAYGQSGSGKSYSMMGPSGGRDVVVDPGIIPRLSRGLFEMVAKEVAENEREREAARSS GVEENALPPQLNITVLVSYMEIYQERVNCLLNPKCENLKVREHKVLGVYVEGISEVQV DSEESMMQVMHGGNQSRHIAATNMNDRSSRSHAIFSITVIQKRMGKVKDGTVSCTELR AKVNLVDLAGSERAKSTGAEGGTLREGANINKSLTVLGQVISALADIGKSKPDAGGRR HVPYRDSTLTFILKESLGGNSKTFMLSTLSPAAANYDETMSTLRYADRAKSIVTRAVV NAAAGDKRIRELEEEVQQLREKMKHYEELALRGGVMPDLANPGGLPNSTGGGHGPASN MEDLESSIPSMTPRRPHDAAVLLSKLEQAEELIKQLTKAEGKQQEAPRPTHPTIKLDR NEPFILNMEGVGDWVVEHLVAGTTYLGTEEPTPGSEWRSITVSGEGTSGVAPRHCCFV RQGNGCVLLRPLEGNLTYINDEQEPISRDVPLMSGTVVCIGDEFLQFKFTDPTAPSMT ARRRPMRSAEGTILSPRFKSISESSVPSLRIPAPTQSVGVGITTVAATNSPRGGIASS AANTGNGGSAVPVVPPITAGSGVSPFVPALQLKDVLQHQAQTNTYRPPTHKDPVAADV RPTSVRLPRTAKGVGRSRIGAGEGMERIRAVKTARRLKLRDDEYNVIYRHTFLVLGCG SCGKTSFRENLHKQDKWYSIFTSDQKNLRPSFGVESTTISSTGEYPSVEMNVMELGGT GCFSLLQGLLPTRRATYVLCFSLLQSVPFEGLLPFLEFILSHTTTRDTSVVLVGTYLD RSPLKSSKLAQHFSELEMQVNNYFRTLQVVGDMRPSIAGCFAVDNVNRTVFAPMFERL RKFPDLLSWFGDQAIRRCRNDADFPNAQVPKLLLSLGKRTKEINREGKWCVAFGEFKS VANALDNRYSDNLDDLHRHIQLLMSWGVLHHHYRHRAMRKYVIVDTPWVFRVVATLSC CSTVTPKLPGNDSSLRSVPLVLCREVMQSMRKSLPFDVDAVLIEDVCGALLQGVLTMR TALALFDGVLKEKGYGFAQLGGLLELLRSYDFIIMGSRLQYSFFNDENTSVQSSPTNA GKDMREVGSGLGRKKSAGPSGGPESEHFVLIPSCFMNPTPSSFCMHVPSFLHGPFYRF TLNMVPHNFFSRIVSRVAHCAKKVYLGPATARCVKLEDLVWNPNSQDDGKGGNRVGSN VNGSSNNTKGTEPSVVATNSVYVVGSSQFWENTMWVISSPGSRALLRMVQRSLFVTFH DMENSDEFYDGLRHVIRNILYESPGVTCQESILCLRRALEDGEKAEEVHGDLTYWRHV DENVNSLEKIKQREATALMTPRGTTPRLSMKGRAGGTMEIERSRQGGSRAGVKNGSGN SDEEDELNDVVIPFVRNHTEPIDVEAALRRVCSDSLLTDNALNELKTALQAMKEARSC GDHSGECCALDRLVDVLAQT XP_828333.1 MKAYKELERVFTKLYRYEHFMNLAGWDMQAIMPPNAMEARGAAI AELEVLMHGEITNPKVKTFIEEAEGSVGELNELQRANLREIRRSWEKVNRLPEAFVER KALLTSNSQQLWKKCREENDFAGFLPTFKELVELYREEGKLLAGTTGVHPYEALVDVY EPGMTLKQLDIIFENVKSWLPNLLKEVLEKQKSLNASIVQPKGPFPIAKQETLGRACM KLWKFNFDGGRLDVSAHPFCGNVKEDVRITTSYNENDFAKSLFGVIHETGHAKYEQNL GPVEFTTQPVCRARSLGVHESQSLFAEMQIGLSGAFMNALSPMLVEHFGEQPAFTPTN MKRVLQQVQPSLIRIEADELCYPLHVILRCEIERDLIDRKIEAEDVPRAWNEKMKAYF GLETLGNDKEGCLQDIHWFGGAFGYFPTYLLGAMLAAQLMHTVRRELGENVVEDCLMK ADLDVIFAKQKEKIWRHGSSLLTEELIKQATGEPLNPQYHREHLERRYRDDAN XP_828334.1 MKPPSAAAQRGKGAFASKSTRTPLKQLRKGTNLSRQKLLRLQRE ALMVKRQKTEVQKSAQKREVTKRVLAAQNKKIHKTFDQGILQSVQGGLVESIESLGEP VTPLSLFMAASTALSLSPEKHHVPYILAILSACAPRLSQGMLLHQLEPSIATVERVIA ENIASNYLAVAKAMKFSQQLVLSVESPSMKVLNVFSRLEPSKMQVDIMKMYLVAFRKL LERSAAHSPTDESIGGTRNNVSEGGVYILSDNQNFFVQGVRHFAALCVSNFTDAPLSV VSSTTAEFTVLIRRTISPYIVESAEGREMIDEIVSNRLLELLKPHCQVYWSYALEILE ALFNRINYLKRTAAGDAMLLTRRFPSFHFLLRVLNKMRRMDDGKLNGAIERAMVALGR GMTVREFVDIIPFDPRQVYEAELRGTAIGGAYPSPDDDPWINSYVMGVLRRTASHDSL PFFVEYFFPLIQFTSGVALEYGRQQQVELAAKWTALLQQYWRLAIGFCQYPRIVDKDS FRDVAKQLVGLLPHPLFADAGATALHMLCSGYYDLASTEPVDDDDDINDGVGEAEEQE KGWLADEQQEEDSSNRVRAKVGLGHKNSLDEDDLFLSLNDPTWNRHVYHGISQTTAKD VCGNVFSRFSANIMPKLCNTFETHNSTSILRAIHSFSRVCSREVMQVILKGILDVGAN IAHKQQLLQEKAEERGDKVVKKAGYAPLTGKRRMILDIACAVVEQLEKEHLVKLFDDI IEPVLMDPAPESRLLQKKAYKLLYSMFEFRSKDIFPLFTRISGILSVGRQNVTISGIK MRLRCIVWALDACKMFYPDHVTTMIRAIVGETITLSRERSSEVRTLSMDLLEKMHRYL IGAGNPVNTLLHLVLAGFSGKTTWMISSTLVAMAKIVSVAHEELPEKDLDAAVALGIR MMESTALDVRSAAAMFVRMLLKMMKRSRRVGAAVEKSISKLMLAIALTTSQPRVSSNT RLQMRVILEKCIKRFGYERLEPTFPIGSKNFLRYTQKMMKREQKKEERELRKRTEERK NEFDRLFLGAGMKAGTEDDAERDLLQAGGLTSFVSAYASPSFNAGSAGRGYNGDEDDD ELDNMHIEFQEGKLHIMTAEEKRKQDDRRRREEMAKKLLRSRNGLVHADALNEGAVAQ RGKRTRNDVEDFENDELLLRYGDKINNEGAAAAARRVVGPGVNQVERLREQKQEKREL KRARVEADIIRGDEFKGTGEGDVRRGGLAPYAYVPLNRQYMNRRHQREAIQRLEVVAQ RSNLKGNKAKLSKLAKQRRAEKKQKVNLSQ XP_828335.1 MSDRDVCIQRLTGANQDHILTALEHGSEAERASLTAQITNELAG VDFRHFNDVLRESLEISKNCSTASLAEPPAKDSFFDISSVDRRRGQAKRIKNLEAVGY KAIQKGQIAFLILAGGSGTRLGFDKPKGFFTCDGLQQRKSLFMMHCEKIRRRQEIAES ISGSGRKARVQLLVMTSGQNDAETQRFFEENSYFGLEREQVHFFAQSSVPCYDENTGR IIMENRGRICAAPGGNGAVFAALAAPRATKDKDGTLQVKESLLQHLRKLGIAYVQIGN IDNLLANVADPVFIGYAIEEEAHVVVKTCPKRGPDERVGVFVRASGKWGVVEYTEIGD RAKEIDDATGELKFNCANISSNLCSLHFMSLAAERMKSFTQYHAARKKIPTIKGPVMG IKLEAFLFDLFRFVDECDHPPKDSGAFRIMQVDRDDEFGPVKNADGAASDTPADAVRL LLSQHTRWLITALETAAMSDEQESIRGGVDVTEAKEAVAVMRSCSIKAEISPLVSVGG EGLRQHLPRVIHQLLRNPPPVIFIRRDDEVVSESSNM XP_828336.1 MRRCSFASTCRYRSADDVPLGLGSAYVWTCRNITSRGQFNPIHN FSYAMERGVRARDVKAFEKLITNPGPLRVAYTPDYLDWLHRCYKAKGTYMDARAVAEK KFNGNIVSSELSAAVNRREGKRGDTRGDTVDNDHHNLPGAPPPGMFLRPAHSFRRLAG ELKRRRAQSILDEVARAQGMLDLFERQPHFPAIHIDRCSRFHLVELFKEMVLERSLDS NMIWEKALLYRAILSERKPSYPTSFHYIFTAVEDTVFAPTISTPMEMAGSGGEGHDRS SHPLAAKCPTLEAYYYYVYLVKKYYIDNAVEAHVVLRCHREPNAADLLFSNPPPKDDT EIMKAVELLRNADIQRGVAAAAAVSDPTLPPGGEGSVIGNSDNKKNSEKTSEGSRGRP ARPPVLPGAYPPIDMLWRCEENLPLLKVLLFGEFNLIVSENPFVKFPSAHGFLTRPYS TDSSRTLADGMSLANVMAEKRGHLLPSLPRNTATSIDARAQDIRRLQQKHHRDDIVSF QKLLRSTHAEDSPSAFSSYSDWSYFNPRAVRAEERDRLTRKAVEALKLYDSATNDIYR HSFEDVQACHTQRVTERDRTMPPYLPTLPHFVAIIKKDPHISFLLHIGLPDRNSSEEG SAKHKELEKRIYYLARALYHTALEYHNETVRRVNRQKVNVAASLLDNFVEQEWTTILR DKHDVTDVTKTLNDTQNDKKQLARRLGRYMLFANRSLDDTGFPTDARADDYTRWMAPP SVGKVSL XP_828337.1 MSLADIFAFAHATGHVFSTSERVALATSLPLLTVKCKRRNMILW GKVYGFKSDYIILQAFDDDLVAQPVIYYSTDGGYSFVYLGTTDSLFPKSMDMTQTAKH KQALMYKLRGPFMGDPSYEYRVVDELTGSTASYKESLRLVLFVEAHDYHCRVAPRGAY YREQRNTELPSEIKRNIAFAGLKRTFEEALSLRNYYHLRSEDPYLQLLARNQGTQTHE KSGLERLGEDQDIDAIFFPISDDLPGGVWRLRYDPVRNVVLGMSAKFIGSVFYHVPET NKHGTVYMGDGNINHDIAFEL XP_828338.1 MSVEQCSSLTPSLKAKLQNAGFLWLRDLCRCAQGGVETTFNVGK FLDDSHLNAAAKRLQQNCSQLTHTEAIETARVVLPSAVCRTPAIRTLRELLDAEATKG IENVTTLCRSLDILLGGGLQVGTLTEICGPPGVGKTQLSMQLAVNCVLPKELGGLQGG CLFIDTEGSFLPERFREIASAAVGHVREIVLQREKEGLGAGNVGVTNEENGVRQASFL NGAMNEVTAEQTCTTSSVESRKRGRVEAAVPPPLGAIVGSFTVDYILQRTQYVRVLDV VSLMALLNGLPAYIASHPGIRMVIIDSIAFPFRSLLQLNVNSNSGGVTESHGAVGKSV AGVDDSTGMNSGIPTSRQLGWQRARLLFRCGQLLQDHARELNLCIVVSNQMATRMGDI RGVDGGFRTLVPALGDSWAYALSTRLLLTHQHDVLHHNEIEKRGEKCHDNMVFVMPSG QDSTTSCNDSDGGSGSKRLHAAQHRVARLVKSPAQPQGQCCFSISHRGVRDVFRPTL XP_828339.1 MTDEKKEEWIRRPYTRSIPVKLRSLAQLEESTVDATTQWYELLE QLVTETDVKGILQQTTKRKAARKLEELASQLRAVMQEMTAQSGRVVREGVDTQSFEQF CAWAGSTPSLQTTEASNGCTQMDKLCARVCMLCLCVAVILNDLELLICGMSFAESHKL LETYSRECEQRSVRQSNSIPDSGMEKGKNSEDVVLCSTVAHTLICGNDHNTFVPLADG DVLLACTLLYYLYTQDGPSASKSVMILERVLHCAEEVGYTSDVLDETGGYRIDFFYTI SRMANWVETDNCPRLYQRIAHGFHGGSEVLVPFPPFVFLFTQVMLHMVVRRRLVEMQL QNVWRPVVTMDAAPVGCDGTAAETCSHVHPTVSSTSLCSAHGSKFFAVCLMLQRDCLS QYRSWPLPMELQKSFFC XP_828340.1 MVATGTCNASTVVGVPPCAAASLFSDVMFMKEFGVSGLMTCCLQ ENACKPTDIGAVRRITLNIPMYVDKVLREKLIEVTNGAHQMISRMEYLPCACDDRRRS PFGFDGTRVLKSAVTTFTVTRVTTNPNKCFVDVSTNFTVDVALPPEGTASTKDEVLFN DIKHFWQQYVERTVLAASEYLLSIALPRIKRSVEAMYDSAYHDLEEAVCRLSVNRGKV LERPEILRIMEKALDAWSHTRRELKHQEMLNHRLEDDFQGARLVAAAAASAAAAAAAA ASESQQKEQEYVGVSAVGKAADLPQPRVSVTSAGRRPTTNIDGGKTISGESAAAETKA VDEGSDIIEHNPFFSPTGGRTLSVHQVDEEEKVLNKEALELAAREARLQDISALIESF VTDKGLVDEVTAHALFTKLDVMRRGYVTEQEVVKVLRQLDPLGVYEDRNGAMKMLAAY REALSVGVYNKQGSEKVDSPHSKGLQSGDDMEQVSLMCQPSTFCSVKGGSGFLPVSDE LKSVEGNRATLSAVLRRYCNLKEAMQDDAVRARASEMLHKYAFKVRGRLHYDEFCLMM LHVLKDY XP_828341.1 MTSFKDNISSERLLRGAQLASWVVVGGYGVALAYHFGFLRSIFP KSIAASLECAVEKVKSWTEPVGDSVRYAVVRRHLTQVYSIASVGMLAGALGAALFFSF PKVPIAIPVSLTVAPAALLLLLPREVMFPACRVACFLTSSFAVGCSFAPIGWVAWDSL SILMMLTASTMSGLCIPLFLTRGMASYVLSSQLLSCAATIIALTTTPPLLTSTGLNNG GATEVVRVLQRTDVNVLLVMQLMMNWGINLLHTLPTIARFVKWRGSEDELLLTVDPVK EALCICSGGAYVFWRCSQWACRRLVEGTLGAGVGGEAERRGHHATTLYDHLKSTMRSR RVVDAGATVMLVLCYVRAVSTLQKGETVKALETLRVCCARVSPISLVLTRV XP_828342.1 MSTSFSSKKGSITSESLAERETTISLVPPKVLEELRVLKLLRQE LRNTHENPDASSEAAVSYTDPLDRTLDAKSLNVLKLPTFPFIVCESAPSMYQTPIPYD VEKAVAVLQDEVYALESKFRDIEDEQGFIAPFCEESSLTSREDGADSLAISEDRWRKD EKLRLFMEVAGSDTCFADVSWDISVMRWYTRWITSHSLSCLREVRDQLEYVLSEFGVP GEREIRKAVARMTFAEAVYTLEQDRDDVIEFERRRMASAARTYRKRLTISAVTACSNS FLTLEERYLYQEFYDQSEHALQLLHHAMHMCSTLQSCGSTEQQAGDIDSARNVSPSKA VSCAPSCSDTRDVWCLTEAAMKTLKQEQNLITRLLGSIHSRQEGSCLKVELEKKRRRS RIAALVVYKCVVDDMEMLSRHVKVATDLLRDYDGWVNYRSGISSHDIILQMNVNQRGN GETISRQSGCSSPPVMNPDIIGCRLEFSHSGQFQPGRLPRHVEEVCRSFIKLIKLVCD GTDQEGGYSIDSTTYRRWSYLALRYTCIQIVRGRWPSTVILESHIDIARVLPLFLLEH GSDDADVHMHVKELSSVLQCFLSLVKDGKAMGLGKRESTSPENGSVRDGYPTTSTMRF VDIPVPIGTVSEINAADEASLLTDCDSNVWKWFYEAMLFQIDPTGDAHGGYLLSSDFT ADTSKGFHYVRLGAVTLPHKSPRELLHGAQESLKLHINLHYVVHPNNTAPARSLAQIL EEGASPSSVPAFDMLRYGYARRTLHIMTALEKQGMLKDYACAIPEVKLVPLADIVFFS RYMVEESSPDEVRSCPSSSRSGRGSDVLPREAQKMITAAGLLPPAAVQLMRHNTVNPS GFPLPPSNEHPSMWSLNLLIGNMLMHVATCARPMLSEMYEIGERACNKGLRQAVERND LTYTDNVVKKHHNKEVYDGSVVSGRGCAEEQETPKDKDNFEVAGPILRSTDEYMLSTA FERTVRKANIVMQKQNQSHLIITPRSSIRRSGPWSASRSSRSVMEDKDLQSPSALGLG SLATTLRGRFSLASPKVLFSQRSGNLSPGTRTVVSERTTKRRAQRAVPRLS XP_828343.1 MLITENLFASVSTWRRNVLARAFIGGDEETIFLLSAMNITPCGV EPITLDRGENNEAAGWGDEEGEVALQICTSCCSRSRLMLTSDWVRLLQFLRSRSCAST SVAKCMEGETVGANIQSVASAGAATCEVSENIIEKLMSELHSPSCWWRLGEVARHALS SPSLSWTNSSLIRHRCSAVSLKTLSTAASGSCDTSSTALSNSALGNLAGSDTSPNNLP QGNKLLLPRGTPPSFIRENMLQRVMKWLRSLITNQASALSSTTPEGLLCTLFGIKKKR SLEADSSGNNRSDENPETRNGNIDLVPHVSLLITLFGYTVTEVPSSDAASRCSGGSEG NEASKGVGEDVEESLLAALGVDIFCRFCGGRPPVVYSTLNGNESGKGVQNVDSMSCDN NEGESGRVRTQCTIRWSERAEHSGHHESCPWRELFLTSVLKDDAAKACSTDLIFTVGA TNSTVVLLMCFKLHEVVNLMECWRVSVYTEFKLGETYAKNPFPVALRSALPPPAEPIV EDFLQKLQSSRRCGEGTHGSSEEGRTVTSSGCSGQHQVPLFGGNSFWNSYFRVSSFVN AAASGAGSDPAELQATGSTAAPRTCRATVGPASAVNGQRNKDWKAAYDAGRRYILASA EAEADSQSAVECTLEELQKFTDLYRQNFQRDAEAAPELLYPPAIGAAMDQLWQQFSAE CSSNALLHRENVTPPQRASQLSAEDRARVHSLLDSLERSTQNAARQRAAQAPQSLQKV SAKESPQAPVAAVSQQQPRQTQEIQQSTQGVSVMQNQPKQPWHQPWKQRHTQKNETTT SMPTPRKPAVPSEGILPIPVGEGGGGAVLSHPAPAVLQLPQPQPPFPITQQGFPPFAA RGRESVLTGDSGGVLDDNYSQRTPLMGQRRGGTSRYGIDRIGGGRGSDSRRGRRGTGR GAGRGGSGVVFK XP_828344.1 MAAAVTIEELQNQLDARRARLQSRINALLRAGGDENLPHLDELH LERLRLMQTTTELRESCSDVKQEVEEQHGAHLVYPLAARVQRLEGFAQRAAAYVVDEL PAEMENLAAADKIHSKEEIAAYGVELQKTLEGEHRSIEALRQRTARCAREIRNGPRII RGEPLAGTRFTRAQTQEVPDELRDTLAYTDEAKINNTELVLRVRELRKVRKELQMQVR EEKQRTEKEITKLKINIRSMELANKRDASICQRLNVTNAALTTNAQTLLGQLNVEHFG NEETDDAVDRLASRYDNSYRRRVAEIAFDQIDEFYSENPEEKKEVKKVRRIRRVKRPT KKAEEPAEGEAAAEGEAPKEGEAAAEGEAPKEGEAAQGGEAPKEGEAAQGGEAPKEGE AAQGGEAPKEGEAAQGGEAAVEKPQGAQVTEGQENVKEPEAAATGENGAGGEETSKNE NGAATQVERTS XP_828345.1 MLQNCWLLADFDGTIVATPHKARGEYLTLSESPCIIPVKRWLAN GGNLCVITTANKRVVQQLYVPLREILRDKYCVNSSDSCGESVESDGESPSNTGNGSVS GQNDGKSIATNRYCGQLLLSLNTGAVLYRCSRQGISFVPGYTEALHRATEDSRRLAKV YGVKLDQGTTTRVDSKGEKHVTNIEYVRGTCFAQGCSALIARLEKTYLELVEDLIRGE KKGVHSAFLGLSSRYQNVWRLVFDYIDRRYRLQQKGRADSGARVQSRAGRNHVGKAAT TAEAEWKCSFVRKHKQMFVMFGFIHVEVADAEIAPLAETLSVVGGAKASDIEKVKRRQ LREYAKQMFNDDSDAACIVVRKVMDLLGATIPKTFSSGRNAVAAFANRMYNALFCQQS KESITQVLALGVPMKIFPLYFEPMQRYFAAVGVTAIPQPNSVAFGKLGICKSTTVRYL TDESEQQQNMQAGLCGVVDLTQAIALGDNPHTADYELTVHPGLRFISLEKAKQRRDRH TYIDARCTRADSNHEAPECHVVNTNGDENEPQPRGMLMDDRRVKNIYYVGGEEDGTAV FLDRLMDILGVPPGFPNRDDSQQKLKPLERSTFAEAVPLAATQAAAVMNPSMAHL XP_828346.1 MYHDAPPKPVATVMCRRQPKPREGIRSQYKLDSVASFILTGSSL SSSTSTQALASPFLRVALQFPDDLLDDAVAVVETLKGILLSDPRCVGGVYGVTPSPDV GEDCCCSNNDGRIRFFVVADNTFGSCCPDEITAQHYCSDCLIHFGESCMSRSTRIPVF YVQESFQFTATARQVTQQQEEQVRQKDLSARLVLGAVELLQKYLVGRVRSLVREKDAE ATVNLVVVGCHRSRNVVEDAERRWRERHQAGTPVPDFVTLIDGSSVSWCSYELVQSTT NPEQPSTSGSVVNVHAAIPHSWSPSSGECSSWVINGVRFPRAGTKELQYFLFVGPSSA FLPLHILNVHQHNLYHYSQELREVAFEANESPPALIIMDESFGLTGDGGNLLSPLNDT ARGDIDDATLETVLSTCSSGDGHSIAADIFSGDTCFRNAGYAKLQMVVDKRSKQRAFN IELIRATSAVGIVVASLSIAGYYETTMLLHKLLRAHGKRSYIIYIGHLNEFKIANFVD TVDCFVTIACPNSREGHFPEKKDGFPKPIVSPVEVLLALRAEDVDSPLYGHPAVFSTT FDSILPLLRNEVEEATGSQKRKEELRGDCTTLIRTMAGTVMTHDGGGSALDRLYQRTY VGLEPRTGQTPVQTQIEKGRHGIARGYATEKEQ XP_828347.1 MGGQSKKHGKGGGGGGGHGRNCHPQQRKKLTKDPGVPDLKKVAQ NLTRTARNRNRSLFSIPALRGSNKIAAASGEKEPQEQGNEEARVAAERRAMTLLAVQC AEKVHHYEVPQQWIGESENINDMDDDVERRGVDRSLRRFYKEFQKVVENSDVLLQVVD ARDPLGCRLNQLERTIRSQFGEDKKKMVMVLNKADLLPSKETVDAWVHFFEEHEGIMC IPFAATAKGASGHTYVANMFRRLRALATSEETGARKAIVVGVIGYPNVGKSSVINALK RKHVVGVGNMPGFTTGNTEVELRSDIRVMDCPGVVAPGEDCGDVVLRNAVKVSDLADP FTPVQRLLQRCAQVTLDPSQQQHSQYLSAGVHPLGLFYSIGSFDPSDVMSFIRLVGQR RGRLLQGGVVDEEGTARMILHDWNDGRIAYYTLPPTSDLFGRTTLTADPGEGLTGCEE YGESGPQLVDGFAQGLQWEGLPTFHLSWSKMKSGVQ XP_828348.1 MMRRVCQRVNRQALTSTVVARCTATTASVSLRGICPPVSTDGNR NAGIGPMQGSVRFCSTQAGEKVPEAADNADEDIVIDPVPDLKGNAGESADEGSAGGVK ANEDSEKVVGSAEEMGFKTETRQLLDIVACSLYTEKEVFIRELVSNASDALEKRHLME LSKPEEYPREEGDEAPIISITCNQSKSRFVIRDTGIGMTREELAENLGTIAGSGSKAF VRELQSQGESSSGAAEKIIGQFGVGFYAAFMVARNVKVYSRSVKKGSKGYVWESDGTG TFKIAECEGVDKGTKIVLDVKDTELSFCTPQVCERVLKRYSNFVSYEITLNGGKVNTV EALWMKDKNSVTNEEHIDFYKFISGAYDSPMFRLHYAVDAPLSIRALLYVPQSHTEKY GGGRMESGVNLYCRRVLIQSKAKGILPEWLRFIKGAVDTESIPLNVSREHTQDGSMMR RLSTVLTKRVIRWMEEEAKQDRQKYERFIKEYGPFLKEGVCTDQVHKMELAKLLRFET TKSDIDYPYVSLDEYRDRMVANQTHIYYINAPSKEMALESPYYEQYKEHDLEVLVCTE PIDDFVMQHLDTYAKHKLQNIELFDASLDGSVQNKLKLEGDKGEVKVEKQLTEAQVKA LSDFISKRLVGRVGVVKSTTRLRDSPAVIADHESAQMRKIYRITGQMAGAPPKYNMHF NPKHTIVRKLYTLSISPNSEEVETAGLLVEQMFDNAVIAAGLLEDPRSIVSRLNTIMT RMVENVEEPTADK XP_828349.1 MGQGKSDAKRPLKDIEGLQIVRVLPYSPSHSAGLIPFFDIITAV DGKQMEVDGESVEKFKYYVAGRRDETITLTVYNLYIHNYRDVHCVASSTWGGGGLLGC SVEWCQAEKCVERCWHVVDVIPGSPVAKCGEIKEGRDYIIGIQKADELVTALIKDEDD LYTRVELWRTAQSAALRRLQRNSFVPTGASREDRGLQTAGQLLLLVYDSVNIEVKEVL VDFGNDIYAPLGMNLATGLLHLIPSPPKSLADNGRVTGTVGISATSAGDDSSCSVNSS SNLPTVTAFVLATGGVLRIGKTAPTVLAPPKPGATGSPNGPPPPFTSPVVTEMQAPQM LPEFPSRVHVPPQKYHAAANSTAFPKEIEGVHEQPPTPLFPMEGIPNGLHAPLPNPAG LFMNTVSPRKWQEDVAAVATIPAAEGNPSCATTSHDPPVPSYSAQQHTQQQFGLPFSQ ASYQQQPPPQIIRQSLLPDVTNMVDEQLPVSRVPPPLAFPIIKPATPSR XP_828350.1 MVNRKAKKSSPARSSTAAGAAAAAARVAKAETQRAQLLEWLDAN DPLYDRSGAPPLTISEVLSTKLAHKTFTKADVGERPYDWSKLRAVLEARTASSPRWFM QIREEDLLVEGGLDGVTVDCVVIAEASQPTLAPAHYIVKQHLPFSDLEDNAPERILPI EGQNMYWKRVVRDDGANINAFLLQKRDPASSAQFGFSGATASSGESSKAPVATGGFDF GFGNAQASSNGNSGANVTGSGGVTIAFGFGTAVPTANSSSSTAVAPASGFNFGFGAAP VVDSNNAVSGNGSNSSNNVFGFGSAVNNNKNASNSTGSGHSQQGRDFEQVVSPLKANE VLFALWSTKRLMEMSKSLKSGSRVVREGFKPNPGASPIKLVIQNDLKDDIAQTVRQLV LNRLFAKRSSKVKAVDHWIDACPVYEDHLAAAMQKFRENYVKEVAESSDEFHDLYKEY HEPMEAELRRIVEGRKAVITRELEQAGEIMQLIKDKKIAEKQTFRLLKYYAANDVMKF RPFGKVSGISEMGESADVCEPPAPVIVNPFMTMQSKP XP_828351.1 MEVAYGSTCHMIVQCMCSFPPSFFLFFFFLFLHSFQRFLFYATV TSRHLCLLLFLGLLVCAFIRPSLPSFFSFSVRMCVCVCVFGGGGGKAYEHVTQLSLFY ELALFFFFFSDLYFSFSFSLSFVLSLYLFFSSSSVSCDMFCEDGSQLGWSFTVTDLLA RKRRNFPSSFATALASRSREALLHISRNPTVTKLLTERPGILSELEAPAKQLRSLEDL VLLLRELNTSPVGDRLRFLNGASPTLVEVFGEENVKDPQAIAERELIAWLQLSVWVRY CTVIVMQRLVALHECISAYAQYWVWAEKHSARASFHLSLSGWQWLRQQCYGILYTGWG RYMLQIALMANTHGAFLHRMMRSVVCGIGTLHNILDRANSSIAAVGNDDSWFPVLTDA QHEEARQRVLSRVRTAVTRAVWQLKGSLHVLVPPEDVSGGSARDPQSFPEGGATSLHE VLNLFYVTAYSLQLNVSKLEEGADKGHMPPRGRRWRPVAFFIFVVIPSVAWLAAGGAR KLLQVCSSARATLRWMVSNYVVWPLQEVRRSLLGSRPGVLERRRALEEEMKSVANIIS DYCKDCSPDMSDANHLEQLHRDTLQSLRMGVMTDDEGYLTINRDFESAIKRPIRSVLF GNLPRLILVQLACKQLEVNRVINVTGEILEENDLNFRVMAMIPVITFLGGILQLSLQN RRVKRRPVILHLKLCWWAVHHLVTFPEMGEISGANCEHPHHNYRDHYVKDVQLKLPEF RRDESPVSTNLEEVSLVNPSRYYHSTYLSDYDQGMLLLLTHHMRRIAMEYYPRYHHLK QYLEDLDRLESVWSTRQQRLSTLDRMWHAFHFLSQHALAG XP_828352.1 MLRRTNFFRIGLDKVMSLSSAVQDIKNGATLAVGGFGTGGMPHA IMQEIKKMGVRDLIIYSDGAGVDGYGIGVLFENKQINKMIVSYVGNNKIFARQYLEGD VELEFCPQGSLAERMRAGGAGIPAFYTPTAVGTVLQTGGQITKYDKNGGVLKESTPRE TRFFGGRLYCLENAIKTDFSIVKAWKGDRCGNLVFRGTARNFNVPVGQCGQTVIAEVE NLVENGDIDPDEVHLPGVYVDRVVVPERYQTLIEHRTVTRHDGAGNKPTKASTRGEEV RQRIARRAALEFANGMYVNLGIGIPTESSNYIPAGVNVVLQSENGLIGMGPFPTEDKV DADWINAGKQTISHLAGSALFDSATSFAMIRGGHMDLTMLGALEVAANGDLANFMIPG KLVKGPGGAMDLVSCGTRVVVTTTHCSKNGDPKIVERCRLPVTGKHCVCRIITEYAVF DVVDGRLVLKEIAEDTTVDQVKKLTGVGFDADNVITMPLAPLT XP_828353.1 MTCNVGTTAAADGCNDVGVRGIETLPYHTHGGVPPIQRGVSSSI FGRFAVEEADELRELLRVERCRLANSVQREEVLRQRLIVAEEGHVLYHLYFERLLELL RTDREETSTTSTKDDSPLITKEDLLKGLHEALRSVGAATAGGTISNADGEGCIRGINC SGASGETESISSDEQKMSGGAAAPFVNLSNATLLTASALQMRLANALELRRREKARKH ELTKRALKDVLCVCVEEIEARHATVLLALRNAERRVESCDREVSAMLKYRVRQIQDPT ENLEGLREKLVSLSQEHVRTLQELRLSQLEVAALKDDVVRAEARVTSLSSLNRAADSL EDARQGVCDFIRTTASDIRQRVHRGITLNEPFIQSMRSVALKIEAQVERLRPDDWHRD DNCSGVAGSVDTVVDSSNKTCLNSNEPCGVIALPIHGVPENGWAPRSNEQREKLRLPL ASRRAEVRSESLVATALLEELARVMNNFCRLLENNMEQAAANTHSIMQAIDRFETAVV NKLTDMWDSTQSSLASCGLTSSPSAHGSTPCGTVERETFCTRNETHRCGRNDTSPAVQ PHATPGKTITTTSAVLPSLMTSPQPEQAVASPHWQPEPPQRRLVLHERDSFGTPLCRV AIIQQ XP_828354.1 MADTLSPWDRINRFYHITRKPIHLFVAYHADAAAASLTLTSLLK ACFIPFNLHPIAEYAEARDIIQRTSLAQDAELQVGDAAPVDELFLLIGLGASVALFSF FDLQRHIVLVLDSYRPFLLENLRACDSDRLVIWGQEKIHEEVDDFFRTQREQEKARRR RRRRRQMHRRRVRQHAEGDVEEDEVSDEASSSDDDDDDENDDEYGDDDEMTPSQSQER LDWLREDVPAHLERLYYAAECAGKSCALEMYDLAILLNRVKEPVLWHAALGVCDLFKR RLVDYGTYITDMRRIHNEVKLRKGIRHGLLDDLTNDTVNRYHKQVSSSNTMQLSSLEE DQLFLLRHLSLWNAMWLHPVVAGLLSLHRVEEGLGTLRQLLARCGVSAKVAQQPWREL PDDVKKDSCQLVRNELKQLLRTRGSFIKNPIQIQCVARTTGYSVEVSTFDICTLFTAL LSAAPPSTIYTTSKDETTMKEKLREFRREQFWRAHDIIDADPNSPAFGAAVKEAQALH ESVATATSALMQPGMMQSTKGIHYTQPADPTNTSTALETFGCPFRLGVLVERLLYTLT VERGLGKYTRDVRPVLLSCYIPRFTAAPEQQQQQQQHQQQQQQAEHSFIVVFAHEGPI TAGLTPLIPVVRWNDCVTDTEEFLVPPLRDFVRRDAVVVEGRESTAHLAEMLHLHSLA GGR XP_828355.1 MRGMWATLRLFRCDRNFTALNRGNGVYNIQSVASRDCALCHSQR AVKHRSLSPDDPFGEKSASDDPVDGFYGAWSNINVDAFANAAASEEVRMAHFGPEWSR FTTIGRLSVKKMNESGSSASSGSEDCGMASQHPLGAEELHMRELRERRKVLERNYSSY DEYVAKELGLSEVDDCDNACGLQSEDPEIVADGEEAEDNDDADEDADNVPLPQFMRRS GCEQENDTGVNIYSSDQQQQQQMGGVPANTVNEGHVPQRRGTLHPPEPLAQVVFCQLG EPHGDSELPREDPLHWDTEEVILWIRRMEAAQCVLSSGSLACDSLVMEDPSMQEAFRM ARIDGDYLLKNTVPATMFQVMRRWHLRRHEIVLAVLKEQQRRGIDSHEINTSIFDDPI MRGILDSGRAKLDEVVLMVTPMLVQETICQGYLYCR XP_828356.1 MRVLVCGGAGYIGSHFVRALLRDTNHSVVIVDSLVGTHGKSDHV ETRENVARKLQQSDGPKPPWADRYAALEVGDVRNEDFLNGVFTRHGPIDAVVHMCAFL AVGESVRDPLKYYDNNVVGILRLLQAMLLHKCDKIIFSSSAAIFGNPTKGSVSTNAEP IDINAKKSPESPYGESKLIAERMIRDCAEAYGIKGICLRYFNACGAHEDGDIGEHYQG STHLIPIILGRVMSDIAPDQRLTIHEDASTDKRMPIFGTDYPTPDGTCVRDYVHVCDL ASAHILALDYVEKLGPNDKSKYFSVFNLGTSRGYSVREVIEVARKTTGHPIPVRECGR REGDPAYLVAASDKAREVLGWKPKYDTLEAIMETSWKFQRTHPNGYASQENGTPGGRT TKL XP_828357.1 MAVVEKFLRDGRACTEAEALGKVLNERYRRWFLLSVLPRQWCRD KRRNVLYAPVFQLLFGTSDNEEELDIFIDSTESSQWRLLLYNMKNSCQEVLWETKGAE LVADIFRFVPASLHPASFDLRCVTVGDTHEKLGLFSDRAFSLFKTRLEHVLAPLALQS FTVLRHHITEVISGRRLPGMAGEVVAWAVQHEPAAVDVSAPSQVGNDAVLRSFQQVHP LLYTASFREPDNSDSIVSAAGAMTSDGTGADGSFPALEVERRMAIENLKKRVGHVTSF TLPTISSSDTSSAVTGDGRWHVLLIQKPFVEKQEVSEENLDPGPLAPQPIGAELHLMQ DGGEVLYLQDRLCDEKSFDTVYDDMMHEDGEVFAQLTPLEGIAFDDIDRFLFFLSMQE QAVETFPCEPLCKGSCSSYSFPKVVYRTPTGSVVKDTPIASPHVEVLEYSPRNEHDWA AAAAAFQFLSRNIISCKDGNYTLPRDVSPVPPPTHNEVCSWCQRRRDKLLRCGRCRVE MYCCRKHQMNGWKSGHREMCELWQRAREDYEQRVLPHLKERGVKLPQTTTASTVMTLF KFLTDNTSALQRKVNPIIHVVDADSDVQPFIAEFAPCVTAARSDWGPSHSEWPWKQLR ILLCSDTFAEEDHNAVYAVTNDGNFVRTPPTTVLGDVWHTHSSGDVANSAVLLRFCST KYHIFANDTMIRVRTTSGSTDESDITPSAVAYFGKSTGNGMTYFSGVAEILADRFVGH IPVLCTEATLVNAHNSVHAVFTRVKNSSVINRDIKNAVLRCHGDPSSLIQLNIDGMGG NAADPRASGAFAGPLPAREVAVARHPNSYYFVIPSVGGKK XP_828358.1 MFPFDERSPSASRPATEVTSFYSSRDGSHTTNDSTDCSTVTSAR GGSRLVAELRLELEMRDERIKELEGAYDELLRKSNFDQGQLQCDLQKTRDELASTLET LNALQKGTGKEGEGNLTQETGDHSVYKKNIASAGDNDPRSADYNPVTGKVAEEQLARL VNEFTDEKESLLAALKAAEDEREELRKVAEDDKKIITASECEKEKLVAALKAAEDERE ELRKVAEDDKKIITASECEKEKLVAALKAAEDEREELRKVAEDDKKIITASECEKEKL VAALKAAEDEREELRKVAEDDKKIITASECEKEKLVAALKAAEDEREELRKVAEDDKK IITASECEKEKLVAALKAAEDEREELRKVAEDDKKIITASECEKEKLLAALKAAEDEN VTLSTSLKETVESLEEIRRQNIWALKERDEALNSLKTERRRMHESSPSADPGDTEAPL LEPGKATNRRFRLYGMCPRCTVM XP_828359.1 MLCVLLLPAHYVFSFFSPLFFSYAEHERGWPLSARQGCLPMETE GVVQYRLLGSHPAASGRYHSFGFSGDVVTVTALTQDIVKQHQINLTKYKLEIQRVISA GNDSQEGQTPSTVADVYVPLQSNDVLHSYDRVIVNVSRRHYLDGVVDAAQDEKKAREE RLQHVEGMLHEHEATTVTGTVSSSGPVGTGKRVEVRDPHKLKRVTAVSGKAFPVLPWL LNRTSVEGLTLPTPKKGICVLCELESFDEVMLECCRFYACASCLDLAKNMLTKETTCA VCGDVPGEKGSKGSLRPNAVAAVKRERSESCVGGASIAAPHVFSQFSSASGDGRGGSR ATSISGTQSRVYRTTAMVSALERELNTNMAHILSLLDIPDPLTAEAKENRRMSNLFVR NLSK XP_828360.1 MFSRRRKVAVVAERPSLTWHLMREEYHPIFVTDSSERIEYWYNK LATQHQRENFRRICKEISQQDPERGLPKMSALYSLQYCICEADSMIRHYGFMFSVLGK KLARLWIMHDASHRDKNTFTELFTGLQTDFIPKSVMKTDFQPPEQGDYEGIDRSHFLS SIDWFNPESKRNLPRVDIQKFGNKIASTRASLPVKKPKNQFDGVSPLAVLGYTGDLDT EIEKLREKQQKSEEAERYYVEDGCTVYVAGRKENRRAATLSKNRVIATFYGD XP_828361.1 MLAGKISGTQSCSGEMPLRRPRCVSGGRRAHQTTGGAVEEYWGS ISRMHGDALAVNCSLSSANQGGERSPSPTIPCTDSSTFGTSSSSMSTPRVQSGVLENL PIPARIVRSLGHGNNGQVFLAHMMPNNGHEHDGEEGGTASHAAEQLQDSSNDCCVPQG HLGGARETVAPIPIAVKLMNSLSKHVQREVSCLEYLHSCRECWKGDLVAADVEGDISD PKCESSTCRESPLLSNTCLSPPPCPKLFFVKQVEGHVAIGLELLGLDLFDFTGDFILY EGELCLVGIEMLRTLSALHKRGLVHRSVKPENFCWNETELQVANDCSGLVNANDHKDK APSHCCPPVFMFKMVDFGRGTIDASDSTEATLYERPYGGWWHSLNGFLGKPMGQKDDL MGIAHTVGYLLDDYRNYHKEASRSSSSQQEDSSDPSSTESTQSCGTVSPNRTLSRSRE TPIGSQVVVHSFQRGIAVKRGREDGASPGFEANDLCNDAERSGSTSRSSSGGRTGSPP HSYSSWRHFLGDRIARAYDEVKERYKQRVAPRGAEPSYCSIKEPGAESQRELLMEEER NRRRAWIVRGVEEDFMPSLLPDNYYPPNMPQWWVDWYGQCNRCCSDASVTAEVMAEVM MDGLRQRIEAHGATVESVRHNVVNAYFSTKKRSDRVK XP_828362.1 MYYRTQQKPASVLGANGFTAEVGGRDRVRYFRPPVEVAGVPMRY SGIDTVRFSATAPTTPNLPRTFAETRFDRPTSMAAGLVGPPHHLPSLPRRAGAAPPHP VKAKSVKLPPQRTKRVEKLASTIGTDGKCDAAMQTVFRENEAQTLPYTPNYYIPEGGD PNPQVLGLMELHWNEGLPAGKEEVELIQRLRRRRAVEASLLDETSKEAKMENFCKLYD LEVKEREEREQHFENLRKRRLDQIHEALQERERARDELNRQRLEKVKEQRLANLQRVI EQMESKRVGMGRKALAEQASKAPAAAGRGLYAVDPIETFKRKPDLIQTYARRGTGAVE PQLCTNNGGGSASASPRRDRSRKPLRNYRQYDIQPAMLKYEGGIAELEANKIPKIQQI APNAFAAPENHAINSLPSLYQRREATRVVEALEYVHAKIHKSDTPAETIRVLELYRAT PRPQRPDTPTLELEGDVNEAVEESCTLLQRLLRGRAVQNDFFDGKERCRGLIEELQAA SNAKYAERSAEEKQAEAEEKMREAIADSIGNEAQGDIICDTLDYLFHEMTRQQDLLAL EALRHEAEAVRAEREAREAELRAQERILHDKEAVQYAAYVRAIEDIVECYSHDLYATV AEECAMEEAIEAECQRLEQLPPPTSNILSDPEVAENLVCDVLDNFVLPAVIDMVRMKP EELDRKAPAAAAAGFRQRSPNEKETKE XP_828363.1 MSPVKAFWHRKCPLRCASSATFRLPLSRVKLGGRGLSDGSNTLS NISSASCSFSGALFVAVRFVQFEQTSATLRGINTRKRATAAPWITKSTKDYMSEVNDH VASVNPVKDKLQRESKARRDRFTQVAEETDRIFDTEMDRFRYDDARRWRKGIDFFKRQ GIAFTLFYVSAYLGCLFGLYIGFATGFFKKEAAYEYMYFFLGGYVEKEWFYSRVEAWG TYINFGFAFVINEMLEFIRLPFMIYTFYTFRPYLTRVNRRVKPSIFRWNAAES XP_828364.1 MVLRCAALTVSSFRYGHRRMISDSLVILSKHKTEDSRAAATLWG DVTTNTATRPLVSVEDMFVDVGSGWPAWHSTDEHKNNMNHYRYDSVDIKVDSGFTSSD ENSSGTVMESEPTDVMSGTLIGCSSQSGGGEVSLVSIFAASGDGFSDVGRDEEDVGGV DIDYDSKREVEYDNETSGDDDYEDASGISADDVDEDTGLLDELPRAARDSASPALFFG TSSATRKANGKVGGVPPVFDDAAAEGVELETVGDAMPSPQRLQHYPSR XP_828365.1 MWQPRHGHGSQPAPSPCSFTTEGCTVGSSTDVSPRTAEDRFIGV ADYKEFLRDPLAVLQHNSKDLTEARYRRTLESCMGLTGTGHMLSHGGDYFRLTRSREG DPDGSFSPAHGIVDSTLTLSSQPFSLTSALISAPSSPPSRGRHPPILQERERCFVDSE GMRQRSETSRMSLTRHSSLMGSPSSTPTRRLGGVMSSNAGFAPAPLMGVLPADHREGC HTPVRPPRESAVTPATVPVRGMGYLHSTTHCSTFSVGSTISVPPMEGPHSPIVHRESR SATPRASSLCGDAHRMTPVTTLRTPVLGPRQSVADPPVLRTPVVHESDLACTPAFSAQ MSGFGASVKGSPLLRRGALYSQHPTPRRQVETYEKVLDAHGLPSCDSQGHSNFSPLCW GYAGAVIALQNRVYVWHGPDRTQLIFEAPQTCGVVSAVASSRQATDSGDVYIAYGMEN GWIVVSRCPVWGDVAPLPRCGSGEGPGRRGDVMFARAVQVVIRDHTNHVSTLQVVGHT LYAGSMAGTLTLHNLCDWSVEWYTALHLPPGSGSWKPQCVVNVGAPIYRLEVTPDEEH IAVGTNNSLLLYQTSRMGPDDRSKRRTIWANAPHPVRAFCWWGFPFSDFSSVASDGHR GKHGSFFQSVLLYGGGADGSVLSVYSVGSRSEKAAHPLAAPILGIVSSETSDEILISL APAGSGQNTVVALRQSPANGGHLGTAENDGDGSGFVASGVWDTPDNSSDEEDTRYVTY VDRHHPFGGVGANGGTTNNTTATSGSNYSASNTTASVTPNTVTSRVDGFPPLPTVGQS RAPVKVAELLQMYQLKEDGEVLERLSGYGGLRDGPIYLALSPDNTQLATAGPESMIRM WRAFQTKAPSHVDPCQFR XP_828366.1 MGKILLCVQSKVRDVNNILTIHNVHPQVRADVMRRLATLIYQVQ EHLDTQRPAYDEAPEEICGVFVREIVQNVINSAIPLVELSTEGRRRVLLEERIKELEA ELKRREQERSEAEEMLGRFRTVHDEMLHSYFREVLILRHQLHDCVTVMQRTRWGRMIT LQCVPAELLNMAARGASRPVSGVVRTVEAPSKSKMEECGEVLPSGSSSFSGEESGTSG PLGMEDTHPGPGSIWSGSSPRQEASHADGEGLERRASVVSARFTQFRRSTGGKAGDTA PATPVSLSARSARFGGDREGIKLLTRRTVSVATQTAESVWGDPVNAIFDYEQYVRALT MEGLLGDEGKKLADTISSPGLSDEAARKALAEFLARRALGGILDKGDGCRTGLLSMLD QCSASVITAQIPTIVSHAQVLLRERLDELRHSVQMLRCQYNDDMNALRKAFEIIQGRV NSLLGFLETYAAEVRLITDFMEDPVKHLKGKHGEKGHVMDPRSRRVVASYFIDSDKGD PSTAAEGGGVVSDDKVDVFSVNSRSGRRREFNYWAKNEVVLGAKDAFARLQAASYSAV KRLFKGGKMYLGQGVGRRGMRGLCFGEGGEEGVGYMNGTKQYDSELGASPSADEEDEF LASLTREELLKLLVQRRLRRVALRARLSNCMKRLSSCSREGGEVDALQDILDEARYRE DEVRSTTSTINKILALIGVTNDESDGEMAYFKKDLLFSPYLVNPAGCIGGDGQELVFV QVPVSAQHARSVYDSLMNNCTGYIVFNEEVIPIAQPLLTGSDYTTFNGNSRRPLRPRF LFTGSVVDYTRSVQLGRPLVGTDEPRNVCWDLENTQVPYTCTRVPTPESKKSVHYSTL TIPQLYQPPAEAYRPLPLVHHPNRSGNELVEEESITQEVHPPRVVQDIKVRNMKFRPP PERDLLPKTGINSPPKARLFYPEVHQPTAETKELFAVESLTLKHERRGIFDDEKMLKP KSRILSVISGEERCARVRDLSSKLKGHAKTSGSSITQCSAKIPSENFLRYRLPCLTQS EVSSGK XP_828367.1 MSTEPTPRVIVFDLDGTLWRPEMYELWGGGAPFMLSKDNSSIAI DKKGTEVRLIGQTRELLQMLSTTEEWRNTHLAISSTCDEPRWAMELLQLFRFRDKRGN DVPMLSLFGDLVEIYKANKKNQHRTILKKVKEHDPTVRGDFSDFIFFDNQQDNIGHVS SIGVTSIYCPNGMVGGVFERGLKEWRDKEKKRAL XP_828368.1 MEPGTEDIVVTTVERLVATVGISADCRIECHASDGDKDVKSKLC FGTRIVGVDGHMVTDSGELRELLHSRRGNTVLIAFERVDCYGERVELRGSVECNGVSS SVAPQNPTETQRNRVANGKRQSDVEKPSSLVSSASSSPAESVSAAISFPSVAKRCSDE VEVGHDEGGSQLAFSFSNFSLPELSGKVNQIPGKEAGSITEGLTPSLLEFEQLKVLGR GSDMNSANGVTSSSHRPASSSRTIRRSLAAALDGVEIGSCIICVYQDVNPIAELEFTA AKMGASVLTVDLGAKLRRRTRPEEFGEQFVSAMTEGKWFVMVNAHKSISTCGVLEDLM REARARNFEGFNPKARVIICLEPHPHFPRFLINHARVVKVRSSLSTSLTVLSHTMTAS MTRDRVVTGGSFCTIGETSENRDDPGRQGRGKRRVRINAAVDVVEIAPREVIETQLVR EEHLSDGRLALCATFSGVPGDRFLCVDVAGEDGRFAVGSSMGNVYFVDRFGKSLLQTH VHDSSIWDLSSHDKFHFATACEDGTCVEWAFDLDCGEDVVLTPSYTASLGNDAYCLSY VKSLGSQYPFLLLGGLRHELLLLRGGGNTSMRISIPANAQVVDAFGNEPVALVGGSDG TVMAVNVDVGLSVVQFAAHTRKLPALTVRNSNNFFTGSFDSTILSWDYRTPKPVAGIA PNSTSTADITHTLKLRGYVTGLHVNDMYMAGSVGENLYLWDVRKLGEVLGGHVQAWKG LSRGIRVDSVERRIITASQDGVARVWTFV XP_828369.1 MVGTSMVGAAEGTLLQSNKPLPLPFPKLLFLFHCLCHYRSRLSP HPAVALGVFPLVHPILGPFHPFSSQLDHRSFPFLCYIYQNSKSYQSPSTRQSGYHHAG EQAEKRKRRLTEEQRENKTKTTTTIVISGSDRVPPWVVPVRKGLWDLCSLRCAAVVSS XP_828370.1 MSIEVHVRIRPNAPTSVWSSAETVLYSTNNPDVRYVYNKVHHGG TSNHTIFQGLEALVHAGFGGKNVTVMAYGQTGSGKTHSMNGTAADPGIVPRTALLLFE LKKNSPGTEIFVYFTEIYNESVKDLLDPQRGDLALHDAPDGGVYFDKKSVLLDSLEGF TKLQGAAERNRKYGVTNLNDHSSRSHMILTFEIHRSSRQVSTINLVDLAGSESASRAN TEGMLLREGGFINKSLLTLGNVVDAIVDKRTYVPYRDAKLTRILRNCLGGSGMTFILC CINPSTENFEQTVSSLRFTQRAMKIKNDPVMVLNMPPLFAHEYSIGAEEIVRGIDELS DAYYQRGLRDAYLYVDKTVSSIVGSFKGQVSEPIRAMADAQRLLIAHDHSVAIDQIGP LYSRLEELTQQQRQDRDIEEREGKRQRQIEDEIECRRNKVARLEARVKERDAEGDTEL ANWEYQLYEARQRRVTQLELLLSSEKAARCQLQYKHVICIERIAARWVPMIKSLGPAT PPVADKKVALQRLRQRLEQAREELQDLTVAHDMIKDDLPNVQAAAEGAGGGTLTPTSL SPRPQMSEDAIPIGAHMSDAQIEERIHQLEREEKLLMSQAIHVARCESARRVRESLAS PRRETPRTSRSVVRLHSATPSRQDKDAGDMRGQLLEVLDSSPLDIAHRSRCHGSQAHQ RSPTPRTARTVFPTADEELCDDVNVPVGPKRSASPRTENLADGMRRALGVLRDVRSKL LRPALGRNVPSVSRGSARAALRTSSPLPQYVRSGSLSGRRTNSSPPINIEENNGDDEA MPFSDVCKQRNNAKIQEPVIGIAARRRLEGAPTRPNVERALWRLSLSPSSERAGKSGR SRSRRR XP_828371.1 MLFRETASETNSLADQDGFSSSEESMASCQSYATRQFEDRMRLL SLDDSEAGSSIGIWRSDANECGSLGFPTANEDCVGVSVFDEAFSGGTQISCLDCGEYE EWRSLMGAIDGRGDSSATFHAYPPSDYFKANPPPQSRAQECGTGGGGIASGHHGTKMF IGGLRFEVVQTGRRLISWVFEVACGVQVPVTSILVHRKAKGGRCGSPTGCASIFVVNE EDVESLLSMNQRIYCGTRGIYVAASTEEMARLFEKEAITDIADGRKRGPSHPIVIERA YGAAGHPSARDSRAASAASASSRGVPVPRPLLTPGVVSKESQSPFDPSMTTFSSTASS LSSVVSLPSAPVSGACGADLSVSNQTSQQGGGDPRYVQYPGDGEANRLLQHVSEGLNC PSGVFLGGLPVEVTAVFVAWFFSLINVPVHPKNVSLGVDSHFTEKCGCASVRLEEADV LKATSWSKRVLCSSGGVCLADSHRALLNLQATRDKASPPSRPLFIKRLDAGRGVPCGS ATTTSPSTLLVPATGVAPVDAPAPAPIPIPGPLPLGAPAAPGGPLPPAPLPVAWDGSQ SAAPGPSLLPLREANLSSRPPPPPYMPNVIIGHQHYQVPAGASIQLQLVPVITAPGMV PTPIQPMFQAVPPPPPPLQ XP_828372.1 MTGMLRCIICAGNSAHYRCRQCRSMYCSLNCFKEHRDGSGVGSC SYEQQQLKEPKDGGGEDVVAKKPKLDSSRDGYITVCTEPTTGNSSTMEEQKELDCSAG ARRSDDYSVYGRRDEDGSLVVLGEAHLAALARDAKLRNQLRSAELQRLLRIVNISRSR VDALEAALANVPEFKGFCENVLEVLGRVDVNFNS XP_828373.1 MAVVRRCSHHRICKQWDRIVSCGSLKAPLCDVMHFTSSTWPPHL HPQPTTQTVRPLEVSKETKALVEEPAVAVNLCETAANAATTDPASSMFSVPSPTSAYV THTESQGRMSITIRSGDRRQMLKFPTQPVEPVKRIIFLRNGRSLANVNVCTHVTTPDW RIPIVPEGEEESYDAGRRLAQLIGDEPVYYYLSPYVRCRQSFKHVLRGYDAYRSEHKM EGESIVGVREDVRLRDGDIGRYKSKGELLHHLAEREKYGKFYYRFPHGESGADVCDRV TSFLDAFQRERMDFPMDTNVVILTHGQTIRMFVKRWFNLTVDTYHSMVSPPTGSISTL TRMHHRSSFRLDDACIESMRLPPSLNKYNGYKYRNKQVLGSMSTGAPFM XP_828374.1 MSRCGRKLDMSSGYWLPRRLLLVRHGESEANVDRALYSKVPDWK IPLTARGREQAFECGRRLRKIIKNEKLYVYYSPYTRTRQTLTEVRKSLLPSQVQGERE DERLREQEIGNFQPLDKMDEMWAERSEFGRSYYRFPDGESSVDVGDRVSKFFDSLFRE RVELNYLSARKQMITGSSNDVGPASFTVPDDDDHNVVIISHGLLIRLFVGRWYSAPME VFETMKNPPNCGIVVLERREAGRLVMTDTSKKLFGSDPLLEMMKFDGKDNVQLFRHLF AEGGYSFSAGEGTDR XP_828375.1 MLRRCVCSTPLARTAAAGARTYFYPNPGETGISGATMKTLLKIT CSVILVQALAIRYFVFERYGTKNQYVQDCTDLWDDDGTVMEGEEAVANLRIQRERIMP FMNDIKEKLEAAGADTDLA XP_828376.1 MGDDCETELVEVFGFISSGREDVRKMAVQGLAQQSKDNKELFAL LASSTHGPRCIDVLLQFLHAGSVALLGDVLTVLINCAADGTCTEVLVTQKVVRRAMRL IDSVEASDHPQSLQRGLEEMTLMLLSNLTASHVSAVDDLLQVTDEDLRGFYLGKLLTY YTRFTVDDGCSVEVAVAGEQQQEDGGDDNKKLSEGDAVRSNSGGNKSEELNKTVPRDL QRWILQILLNVTRVADGQELLLEDDDWLMALSDCLSSLNPRHRLLAAQCFRNCSFQRE RHPGILRSRCLRVCVERLSDGAEHIGEIEMLLAEITANLMQTEAGIGLLEELNAKKHL QASVTAGKVQADTCKFLNEHVLPLLDDIVDAYVMTSGDELD XP_828377.1 MLTTFEQQHGMVELPPLGEKVSIYDRGSIFAVHDNWLDTGAAND TNSTSAHPSRAFAEVYLGSVVRSVFTADQFMRWSKRDNRVEESNLWSLLLELLLDAEQ AVKYNPDAIGIAKVQPHRQWYVSHQAHIQDLLQRQPLLRRMNIIIRWLERVYRTGNAP LKLASSRTPEEAVLLRQIVLSCLRGGELHRAIDLSVTAKDCMYSCLLSAAQMQTVAEP WFNATPLVPLFGEYGNGEVDLEWACNEHRLDNLSQLYEDSVALSTSSEGQTAGGGLDG LIAAVLCGNLDVMEPAFATGNWKDVVWCHLRSALVLCFTKTLMAARHNVQAPYGALVE RLTGSHDSWQDETAQSVVRRLADRLESSYFATVSFEEKLQMRVILHFLSSDNADWTNV LNDLSRVKGVAQSDPNGVRLVSHLLLCLDTAYSEALHSRSVQVYSTSLAEALTRYAES LVQLPHYAPQDAMRAVIAMNLRLRDARQRASVYAAFLVACRCRELQLTKREEVEALEE KLVQMFCKADPVSEVHDEVMRLLNQKVEPATLLTHNPLAEAVMWRAMHADSPDDFIVA LRHSLEACGSFWLAEPRAELDAITDVAGIIRRTILPNLRRDSIALSATPTEMELAESH FWMTFAALRTAADQHAKTTARLDLALGGTDRTLEFQLVQDEAALMRELHSLARKALTY GGAVVHRSRSCVTAVTWLLQVFVEEVVLSVRLAASRSSVIVEYLRHVFELIEQINDSG YVEPSLMPQQSARDLFAAVRSLRMACGQKAHDLLVEEAKKVAVTQQQLFVTSQPKW XP_828378.1 MSALPDASVSDVSPQFGWYQPRYLERREPPMSTFPSLSKVVVST TLDGKISDEEAVKRRVALGFAWERVRPIEEVWNGPPMSDKVPPAKDYERLLLGGSGEE SEMKAL XP_828379.1 MRPRPVGRRADGGKPVCTLTRPPAPPPLEGSDPEIAVVGSRVVR LLRDARAVIREQRPPNTPPRTQPKFAPKRVTRLTALNPTSGNDPPKLTTNQQRMDPIA FVTSLDSAKDAPPQQLEQLNQLKRWLCEPQHVLWDTLTGRLVDIVLSPKTDAQVSVCA ASILLRYCDVNRFPSLPVVTRRLHELCEVGLEGQSLEEVVVRESLLQPLVQLLKNDEL LLCQPCVLWDALEALRSCSSSEAMLSQMVTLGTIPSTNSLVERIIQLFQNSSSPPQPE VSPANKNLIRSLLSSTCLLFRDFSAGYSHHLRKLGSLDRVIDTLDHFRDDMDVVQAAA RTMSKTVFNDTCLEHYRENIRTCRVVVAALESSMEVGGIIVTRLCSVLARLVECSKEM RDWFMENHHQILLRLVQTHIAQLKVDGKEDEPVAQNFEDPEQEDLLQSVTWLVGVALM SPGCSTAFVRKITPLLVGFLKDFDVAKWHLTFIYTLMCLSNLSFFFTSLEKSEGGPAE LQQLYETLGPMLAGVLFDGDTEATVEATRVLGNICLTNAGRDWMESNRCDEVCVVFLG HEDPRVVYNCFGVLLNLTAADSCQVAADPELTKMLLQHTARYTRAETIALEKERELRH FQASANSQSPEGTNYTDQIADVVEKLLLNLSGLL XP_828380.1 MGDFALISMNGDRVFISLDPVFTSQSLWLQAAEGLGEREGVVPI ASTETLHNLVEYMAFQAKRMAGVAEGECKGGQTVTLPISCTTCSGSGGLGYDVHSLLP DHDIAFLDRFGGTGGVWEVEQQEKLMELMATADFVGHGRLSRLCAVYISCRLMSATES DILSWFSVCGDRCGGVASADPEADDGNRAGERVLRDAERLRILNQMRKYIEIED XP_828381.1 MLRVENLRRSMTRLARHSLIRAVPFSPLSVGSSTDQTFGAPTRT PFRSHTCLLCDVSYESWGDHAESTTHIARHAICRTFVSPERHNAVMQQLWKHIRLDFG YVDEVTHKKEDRRRMRLASTMRHLQEKGVLHHSLPRVTVDAQSEVSLTVESDSFVNYM FLGESFARQETLDRVARLMPRAEALELSSIISFVLSKRRLAHFFDILDMRKMVLNGDS SDDKASADGGVPPTIPRLQQDGKAVILFSCLGELQMFSRRDRSHSVATRSAAEQLVLN VLGTHVMENIIGELVHEALQTVVEEGTAVWREHCGELKHKLFEGTKAVSPPIATTPNP VSNSGGPEVTADVNDQMWVDLCRLYVLDKNGSVPQLQPTVKRHSWHDVARALTLELTV PNPVNKSAVFAAAAPRLATKKK XP_828382.1 MTKGTTSMGQRHGRTHILCRRCGRNAYHVQWERCAACAYPRAQR RRYNWSVKAIKRRRTGTGRCRYLKVVHRRIRNHFKTDIKA XP_828383.1 MRSVLNGFAAFSRFVPVPTLARASIQCRWKSIRPVEELQRRVGQ LVGAGDVRGVVDEIRMGLSQSVFSMKAFANALFLLERTKNEDTAMDLVKQVQESGLVG LWDDESVLAVILRLQCAAGDAAGATKMFSYMVSHQLLRLRSVSVFLSFWCKRRDRRMA FAVYEEALRQRMDLSMEDYLAIGRLCVNIGEPLATLHCLLREMQGHADGVSAAVAEEV IRPWALRGGMEVTDVTFPHSDAMLPAGTCCSCSSVLSGHRFTALQKSKLLSDVVQIAM DGGRKNSPRARMAFETWRRFISSHGHSIDVLVDGANLGYYGLSSWYALAKRELLLRRG RKESDIAPQDVAWSKRRFVDVSVNFELIELAVQEAYRRGMQPLVLLHERHCEPNNVTD VGKALVSRWRREGVLYCTPSGLNDDLCWLYAALELTTPTDAVPREEKGKTVLVLTNDL MRDHHFRLLSPRHFSRWRDRHRIAFKCSRVDDRTLLHWEMPAPYAQCIQELSPLTWHI PISTNQCGGEDDETEHHSAVDSFTDSTSYQFESDRHAERAESGPIHPTQPSPLQVTQP WLCVR XP_828384.1 MHGRNNAGSGVSPSNVSTDVSGAFEYLDRYCSSGVETGFGSRVS SRGLSPLPDSPVNNVAAPPPGRWVPIAPPAEMLSSPPAKDDAAEGVATRLWRYIKGGM DRVMENMLEPNRSSRPPTNASGSEPILEVVRLSEPFPQGAGSAVASRGLVYDDNSYLY HEEEEEDELDMEDLDVRVDLSSALRAYRKSPNECFGHLVAAALAGRSVENPVTAEELK EARLNPYLVRMLLESNKLNLDDYNVQQELQRCIDALISTEVDRVPQAVFEYLLPLFKP RFVRLDAEQYSVVENSGFEFVQLLYEYPHLFTGSKHFIRMNNACCGWHLAVFVMVISA LAEVLCNVGIALVSVHWLTSDPESYYALYTAIVYGAGYASHLIAMILLMRARERNRVF GNVIFPFPSPHLHVLPVVPLYNIVSIVTYVRYCFVNRGGLFVDIIHDITAAQVLSTVC FCLCLAVPQFMCQMYLVSTVDGELAFNKRYPFRMLSTAVCTTYLLALLRLCWIFTTQT SINNFGFACYSFHSKRIIQRYSALLRMVYAALLFLLELNVFIFVVTVTSAKQCHNYEK MVLSAMSIVSFVAIFVFLVLRGHTVFRIAWALIPLTSLQIALVVHKGKDYPPECPKNR ETPEYGRHFQILVCFVPLLFLVLWLLQLCYVFVVKKRICSLF XP_828385.1 MGCAMHFARLYLSFSFRSPTYFQCDSCFCPFALYAFFAVTCVLS SPAYPIWFLPLLIINFVYRLDRCDNKKLGYVRQSPSRAISNMQGQADALTPKAQRVAA GETYFYREHRKPQVETSYRIRVISGTANYALSESVAKYLKVDLCRTEIKRFANGELNI KVVDDVRGDDCFILQPIAANEHTDINTAMMELLLLVHTLKLSSAKRITAIVPYFAYSR QDRKTEPRVPISASAVAQLLQCMGVDRVVTVDLHCGQIQGFFRNMPVDNLLMFPEFAT YVMRQPWFDRERTVVVSPDAGGVERANVLADRIGASHIVTILKRRSGPGKVDSMQTVG NVEGYTCVIVDDIVDTAGTLCKACELLRDMGALRLVACATHGILTDPACERISQCDAL TELVVSDSIAQNINSQKCNKLTVLTIAPLLVQAIYNLHFECSLSSLFRT XP_828386.1 MFVSVSATTRFPSSLPTFRYFYPFPLSAYVLVILCIRTASLLFL ASHRLGESKRMGRRLRQCGPQCVSGCGCCRLLREAHEAQLEAQRVVLEKRHPLDVPKM GEGARQLMTDEQFNVSNPAVRLRMRFLH XP_828387.1 MECKTNLAGGLRNDERSNGSKDALFSPLNAMRKLQEALRSADVF ELEEAILVAERSVNTVSVPRQMAVSLRTLLEHSRDTLNNHLQAREPHLKPRQQVHRDG SSKKALVVLEQTAAGFPEQPCRMETDVGLSEVLHSDVMRDMKNDVAPLETDHWEESGS SLASRSSAEAGAYDSLSVSMWSKKGVRTQPRICTTVVGSATVERAGAACRSHGKIVDL NSPFNDLAEGEDVMRSAVEQEEYTNRVRYFEYALAEQAKLLARAEEFAWSSEQGDEGN TVDAHLSHCSSFTSLYFSSDSGEWDVVAASGVASPEIIPLSTNVLRGLRSDGVGPSRL RASSTPSSPFSSESSDIGMVFR XP_828388.1 MNIILIGLVMVTGWSIDKTDPEVQSVVQYMFYSVHAVLAAVMIY MFSRIWDTKDTRILQVKDTYTGEWERMTVSDYDFGKWREMFFLKMMLPVCIGLFVALR WEMPLVLLVQCVTNPIVAWNAPVTQLYLRGFKEEGPLVRPWKDEVATVEWMREMFGLA REEQDKFLSGQNGTKGRKGQ XP_828389.1 MRKAAKRVERTEDTTSSERKHRRCVEEDEEGGQRLRAAGTRKEG PTEDEDWEDVSEDEESDGSNSSGVDEPFNDGVEEEIVDSYDEDDEDYAEFQREAEAMV KGLRKVTFDDDSTKQENDEDDTVPTVWRSDCVDDPEGQCQKLDYSNKAYDSFFQLRTE YPSLSFHVVREQESSTGGGCTTKYPLSLTLVCGSQAEESSKNQLYILRVTNICRTKHD AGSDSDSDDSYIGDEGESEDSNEDEAPEVNNGEPIVHHRTISHHGTANRIRCAHRNQN LVAVWSDAGNVQVFDIAKEIGMLCDYPNWIKEQVRSGAQRKQASLLFCTPSTSHKTEG YGLDWSSVSEGVFASGDCNGDLFVWKPTEDGRWTAVASNTVGSEKGAPSVEEVQWSPT QTDVLIATRVGGTVEVWDTRDMRGSKIHWQADPTDINVANWNKALQASHLLVTGADSG AVAVWDLRHVSSGVPIQQLPWHRGSITSVEFSLHNESVLAVAGDDGQCTLWDLSLERD PSEEQEVVGELFGRPDLSGIPDQLMFQHQGLEHPKEAHWHPQIPGMMITTDYAGLHLF RPMNWRSLMK XP_828390.1 MKLFCVGHRKVPIRVSPFDHPICVGCSSTLSFSSVLRKREYWND MSTTNPLDVVLNMRLAVKELTNSAAASDKASEREKIKAKKALNKDNIEAARVYAENAI RKKDEGTSYLRLASRVDAAAERIQSAMQMHAMTRSLEKAICGISKVLHSLDPVQITTA MDAFERHVDTVAVNANAMDGTFERSRASAVPAAEVESFLEQITPDNEIDISEQIAVAT NYLKKRPAATEVGDDVGITERMQRISIGAR XP_828391.1 MEEREEGEVRRESFNAPEATTMSKHGSLKDAMRQVLETKGVIDH VKAELRAAIFHSLQESNSQGDDIESARPPIPPENLLLNELIKDYMAFNGMEHSLSVFK AESGAGKEATSAIMPRAILASQLGLTGAPASVPLLYAMLHELRICSENS XP_828392.1 MTALSNGSFIFTAGRGRELHLLEKGSDQKSYIVYGGEVLAFACC EEDHAIAIGLRSQSGPDQCVIRIYQFNGSEVGDMLAEASCLKVTQLMWIESRQLMACG PAGCSLFVWKKNLSVSFKDSAVGCFMQIGRVALLYSEFQTIKYWSFDTKKMLAAVKLP QRDHRVLTVASAGYFCFALYEDGTVQAFYVTRSTVKKLEVFKKLFPMLSTNGAVGGTS AQLLLCALSSRKVLVGLRGGGRVHKLEYKNDKVLVDSLQEDLPTPFALMGISLDGRRC LVLDTKEGRHCTLVLSFGGTKELSPGTEARSVQKKLPSAEKTRRTGADRGPGKVKWPG SYRVSSVLVATGVVVVLSALVIRRFSSR XP_828393.1 MFVLPAMKDPDDDFFEAANKAKMKKLTRPPPTLAPALLTLWGIP FESEFPEEGSGRTAIVREYLMGCALWIREFNASLNKVFDRAIMYAIKDETIEREFIEE DADRFAWKHFAEHTRLAAIERFVRREEEGRRVIVSAFLVSSLAYVGRLESVHRQYVAL QFLESFFLKLCQWKPGWMWRRVPDVFEDGDSKPAVVLPSWLVSLEEEETMARKHIEDS FFSRILLSADVFASVEKRLTFEGHKLHFEETIATAFDRSATIIQAAYRGFRLRKLRCI Q XP_828394.1 MSTIYNFKTVTVVPSYKDFIDIVLSKTQRKTPTVVHKGYHISRI RQFYMRKVKFTQKTINEKLTHILTEFPRMDDIHPFYGDLMHVLYDRDHYKVALGQVGA VRHMVDNVGRDYVRLLKYGDSLYRCKQLKRAALGRMATACKKLTSALVYLEKVRQHMS RLPSIDPNARTLLITGFPNVGKSSFMNKVTRADVEVQPYAFTTKSLFVGHTDFKYASW QVIDTPGILDHSLEERNVIEMQAITALAHLRACILFFMDLSTQCGHSIAQQVSLFKSI GPLFTGKPVIVVFNKSDLCTFDDITAEEQSLVMTAIEECGAKWITTSTLTDAGVGGLK TLACETLLAHRSEQKEGSGRFQAIQNRLYCAVPQKRDNVERPAYVPPSVEEIRQRLAS GEPVRERRKTERDYEWENGGPGQYQPNERKTWDLENPEWVDDIIPDIMDGHNIYDNVD PDIHERLMELEAEEDARLQELELEASKKRPQYELHESTVEAVRFIRDKIKVIKMERAM KNPSLRRTRRQSVAIEKFNKRTGSQVDRSATPGCSSETTNSSAKRGRSLSAAQEAVMR DRSSSHMSTKTTRGISSHSATRDRSLSVNRGEGYRDVNEKLRAVKLSKVVARPRNLQA KKGEGDRAIPNLRPKHLFTGKVKSNGRRDRR XP_828395.1 MSSVLDGLKQLLVASPFSHAKYALLVVIALLFQGVHKCVLARRA NPSSPRRHAGKASGRHRRPTVRFDSTLFWRVVGLLRICFPSVLSPESGTMVALTLLLA LRTRLTLMLSRVAGNNVKALVQKNFRELLLGIGDIALYALPATVVNVGIGYTISSIEW RFRERLQHALHKEYFQGRRVYDLATTGTVDNPGHRVTNDVQCFSRELAVLIPSILKPS MDIVTFSSALAEHGGHNESLLIFSYYAFVAVLFRLILPNFATMMAASHAKEGNLRTMH TQLLHHAEEVAFYRGADVERATADRLLRSYLRLESNIKRLKWWSTLVSSMFVEYGSTC VGLAVCGFAVARRADSMDAAGMAQLYARNAKLCTSLAKSIARLFSIHLKVSAVCGGAH RVGELQDSLRSLERNERETTLSLVEESSDDKIVFKNAYILSPSDKMILANYNATFKAD RHVLIMGCNGAGKTALIRVITGVWSLREGSLKRPPPSQMVVLTQRVYLPPGTLRTQFT YPTSEADKRAGDIEDAKLVEFATRVGLRGLLTRVGGLDAWKEWSEVLSGGERQRVAFV RALYHRPTFVFLDECTSAVSQNIEPTLYKLLLDEGMTLITTSHRESLKKFHHDIMMLD GVGGYTETEVGQPRVTI XP_828396.1 MDVSRRLAGENHDFRRSTQVPALCTKPQGGKGMRLNVLHEGAVP ANDFHSAHLTPYEMDEIKGYSEVYYVGQNCDRKVQAPVEGGHNKGYDDERGDYLIRLR DHIAYRYEVLSTLGSGSFGQVVKAVDHCKNCTVALKIIRNRKRFTAQAKIEVQILSHL KKGDPSGIYGIVQMIDNFTFRSHVCITYELLGCNLYTYLKQRRFKPLPLDVVRKIGAG VLVSLSYMWRENIIHCDLKPENILLRSPNDTAVKVIDLGSSCFENARLFTYIQSRFYR APEVLLGCPYSRCIDLWSYGCVLCELASGYPIFPGESEQEQMACIMEFLGTPPRDFIL RSPRKHEFFEASANYSPKLVPNSKLKIRFPGTKNIAAFLGLPEGDPFVSFVKLFLEWV PDSRATPRRAMKHPWIADEVNELLSKQKRNTAATGEDDSESKFHKALPRLPKIGKRGT DRCGC XP_828397.1 MLHATLVLSNFIILLILSSRKGRGKFLLFSLGCRGVWLFPGMTE YKKLCALVDQLKQETAVLIDAFKDDDRGDVVALHSQAVSVQTLITNCRPRVVKILHKG REKDPDKQIYNERMCVAIEQLFEDFCTVVGSLFDTSASGLILSEENLNYEECPPLAWV EDLYDEHVLRLAQTEAWQKRLAGNVVDLVRMEVESRDVCAAEEKRARAALMMERKSEK NAVQQMLDEREAAKWFAEIQRREAEHEGLLLKSKLYDISAAPSVLKDVSPPFRGPLAL NVLQLVRALRTTPEDSNIRRIRCNNLRVMTEYGHVALCSECHTCWTIVTSTEVLWYML GYTVEYSSLPTVHIPTLIESNPSLRLPCGGLASEHVFFPVGFEDYSERLFVLHEPNPT EEPNEWMDWYTRMGAIVHSLEACKF XP_828398.1 MSRLFLEQCPRRHLVINMDINKTIIQVDSAGGRTMEDVMNSNVA ANVWGRVSGEGWTAVLGPGQAGDRTGLVTYDQYIDEKFKEPPGMQDLSRAEKNRLWQD VSAKRRSILSAFTRPGQPGEGFKRYVDEQRTVLTATPDQLIIPSFFEFINTLSELSWP FTLLFRTFGTELGSVLQEWREFVQGKHKHLPRGPMLQRLKEAYVPEVTGCIFRDEDDL FLCYGPNTAAVVVYPEDTGTLSPSDAMKQLRQMPSCTAVYQTNFSALEEQLVEYASKS NGVAGIVDYYPYWAQKAESRCGGKVFPVATIPEPTPDKARLYVFFDDNISIGEDKSIV DLRDAQTGKSILDKDVEVRYTVAVNPYEAIVNSEYFVDRLAQVIQLQLGSGCSPDF XP_828399.1 MDFNFLWEIPPVTRLLLCLSVISVVLVSFGLVHPLQMIFSPTLA FQEKHYWRLVSTFFYFGPLNLSSIIELHWLYMVSSSIELQYFHRRRLDYCLTLFTGAG LLLFLRSTRAIETPYLSNQFSKTLVYLFGRLLPHQEASIFGLLTVQVRYLPLVFLLMS VMFGEVGIGTEVMADLVGHILWYLLEIFPRITKIHPLRVQRYFIR XP_828400.1 MGDFTREDEYQLDTLRAARDCGNLSPGEFESLQYLERKYDAFIE DGIRKLERMAPQSARREHMLPFVFISWPALWSLLTLLLVTFYLLTYGQQGILVQTLLR WQVCLAALMLLASTPLTFTRCRDRRFVEVGVLVAFMMFSGVFMLTSLWVIHHLRSLSD SEWDINTCVIVGVANSSLMLLSGLLLMKVLEM XP_828401.1 MENLQGSRLADGTDHFTTLSVDDAANNMSFNVLNELAERSGEEN FEDDIIVSAELVGTLKHIMRRLTKAQKQIKMLKERLRAQARNTSPESQGETIDTESGD KQTLTLLQEYINLELFLWGQLAKILHDEYKRCTDVYASTMSLQDRAPFESLIKTVCNN APFCEYMGEMINFNVERDDLLSRSEGRSIQSEPILYKSVVRTQSIATYTAFLLVEGSR GNFFDSTPIQDLCKVIIGEKKRQKRLIALSLVFSRLEEDDQAVGEALSQQLPRVLLQR LRHLVHRIFGYPENNGISPSQRWSRSPFRHYSAVIRSELNSPTLSRKTSPEVAAGSSV GVPCVKEAQQVLPQSKQMSLSSHSQFAGSEQASSSPMFDRSTIPIKEVEHESALAEFN QGGGDDLISRPHKKRKFETGEESPRFRKLPDFWQPRRGDRVGRGPMWCFNDQGKKCDF GEVVEVTTAEEVVVRWIRSVDCPPHESEGDRFFRYKYKGPNDQVVPWDHFLNIQTLMP ENIDFKMEEFMLCCAVVGALCHQRETVLPALQFQTVESMFYVLSRVDLDAATAAVETE EKKTLGFVLSEDNVEMNADERELLEVRLNWSAELQTTKSHYRKMRELVGRVGWVLNSL FTHKKLALIFLELGGLKQIIKLTDGRLDPVTMYGCCIVLSQLARAAVFENLLRNHGEY FEPILNFILHQWKVASSHDVQGSAGGFLFLALSFPYVVTFFDAHQGPQATLGLIERLL QSSEEQFDVICPGVTLAALKCAYVYLISHLMLATRVIFRKHRFLSVLVTDSAPERSLP RDPATVESVLGYLSAPSATIPDISTETIQSLLTRDRLAAFRFFADNNFHQILLRCAQF YFTQGRWDLLAASLNVLCVLVVVPFFRPLVADAQYPESGVAHLIMIVSELTSAFQNGN ASRESHLIPCVATSLQILLNLTRLPADKNDDVCVATFNRVCGMIRANDGVRTLLEVLK VRKDPAMSVKLQLFPVVARALQLMVTLRRYADTRLLFDALGVGSVARELMTQYGDIQK EYLTMMGPRYVASEVHATGRFMENIKCFLFDETNRTTPSVSVDPVELEQRQAVIARSH ISYSRESLLELICRHLETEGLLNAASALRRDAPLSCDIAMAQHSANASPDMALSPIGA PTLDGIVRSYLRQQHEKCTNPITTLPQFDLRKGHVYYPLDAPVDQTRNAFNRRLVQKM GLDFSLRTRTNENHFTYRNPGYLFDITGSGDELQGDSVAFCDGGETLVVGTSEGGIAL FDTFPDDSTDDKLSEQHLAFDNGSVVGIYVSDDSALLGLVNAEHKVAVMGRNELPAVK YQVEDSRAAMFSCCNTYLLATCDEEHTCRLYDLRAQCEVRHFSDPSWVGENVDNVATF DQFSQLVLSDAVLWDVRCGDRPICRFDRFTNSFCNIFHPFNPLVMIDEKVWDLRTLSI LQTVPSFRNSSSFYTSPFRRVIYSFREASALSHTATPVLSVVDSYTFETVFSTEVRPA FRAFAIEPSDRYCAAILDGDAAAVVRVFSTSSGPLPGQQAFSLPQNDRSNDSGAGLAE SDDDDEVGNNGSWSGGYDEDDDDGEDDSDSYEWASASDSPEYYSNTETTSDSDSAEVD GGGDGSDTWTMGEEETTESQDEAASRNGENSDDEASLEST XP_828402.1 MTFFYAAAAGCSFLAFSYLLLRTRGLRFAAMSVGVGSAIGALLV YLNNKQTHSFIAVRKSSSLLFSPGNFKAIYQRIYEHLNDQYEERGQLEAGEEILTVKP NVFAQNGVIDFDGVRKMWTSHIVPQLRRCVTGDHLANTTDNSVVSIEESAVFCDIGSG VGNVCLQLLAETNCRKVVGVEVIPSRHRAATTAFAKALSYFPENFSGKQAIFIQDDFV NCARRMVEENVNVIFTHSWMFDDELMKRLTELVVSLPALLCVVTSRPLHENLLVGSQM KLVSYVHLTADWNDEAPFFIYRKIT XP_828403.1 MCQPRLSSELKNTIPPSYPPPKKKREREKANKDNAKDGKAPPHT HTFLDTCCAHAPYCPYFYFTVRRGNVLPNKVGNRDGTSFHKETQVVTVMRESFGSTEV VAYMSPESYGKDRTVKRNTNISPKQYMRWPPPCPPEHGVGRSAFKCEDRTPPPPQQPC RRMPTPSQAPGSKDRERSSEPS XP_828404.1 MSSEKVAGYVQCVVGIIFGVVTGGASIYVTIAMELLQVAVPYVL VTLGLQRRPPKGGVDKAMAEAESVEAEVMKKPSKQQRSFRALFIGIDYKGTPAELRGC QADAVMMAGTMEKIGIPITERCVLMDTDDPRFNAIKPTRANILQHMAWLVKDAKPGDA LFLHYSGYGAQVRAEEDKEEEFDQCIVPCDYEENGCILDNELHEIISTLPRGVRLTAV FDCSHAGTLLDLPFSLICSSNDCSAVGEMKRIRTGGDVNAHVLMFSACGDDEAAADLP NAGDFVEGASGSGGAATQCFISMLLNKTPGTIYSLLSTTRDKLREKGFKQSPQMSASR CLSLTEKFTLTELFSVAEPCPNILTGEPRWKTTPLGGK XP_828405.1 MVNYPKKKKMHCPDERCNAHKSFKVVQYKAGKARLYARGKRRYD RKQSGYGGQTKPIFHKKAKTTKKIVLKLQCSNCKSIIQNVLKRTKHFELNDKKKTGNK DPTW XP_828406.1 MMASNLAYINPGGKQARKASALEINMVASRGLQEVLKTNLGPRG TMKMLVSGAGLIKITKDGITLLGEMQIQHPTAVFIARAATAVDDITGDGTTSAVLVIG EMMRQCERYIQDGLHPRILTEGFRLARNEAVKYLEESVVEIPVATRREYLTNVAHTAL STKVNAHMSVQLAEAVVDSVLAVVPEDGREIDLHMVEVMHMKHRLSSDTRFVNGIVLD HGGRNDNMPKYLENAYILVCNVSLEYERSELNTGFYFKDAAEKARMVTAERKVTDDRV RDIIALKKQVCTKENQRSFVVINQKGIDPIALEMLSKEGILALRRAKRRNMERLILAC GGEAVNTTENLTVDVLGEAGRVQEYTLGDDKYTFVEDARKGRSCTLLVKGPNDHTIAQ LKDAIRDGLRAVKNAYERGGVLAGAGSFEVALHDHLTRYADTVSGKQKIGVRAYADAI LVIPKTLAENSGLDVQQCLISLQEASRRARQEGRWVGLRLDTGSTVDPLAAGILDNVL VKRSILETTGEIVAQLLLVDEIMKAGRRGAGAPPSQ XP_828407.1 MAKGDKEEAPVDRRVQWLEQRIITGLKAKPVETRKLIDNEESRG QITEFLDTTDAQHLYVYQQSGGLLVARIDAPEELKKKGMYFSKKKRERISDEQHMRGC IVFGDLCPDALNGLNSVTRNVYAELIKKEKRNVSQIPDVAVAELMEDTNKLLAHMLVT LGLSQGKTLLPLPPVQLPSRVGDGPFDSEFIYQLESSIIAWTTQIRAAIDSSPEDMID CGSEKGIHPGPLNEIAFWKGKVDNLANLEQQLYSVKALKILVILKKSQNGYYQPFSQL MSELKEASIEARDNYRFLKPIEDEFAAMCPTSPGHLEFTQLASSGTFQRLFHYLFLLW TQSGFYNTSTRLVVLLRQMCNDLISMAIEYVNVEEFAKGFEKKDAIARLAETLSICGQ FKATYFHYKSHVATVAKPWNFQNSAFFSRLDVFLERCHDLMDIMETAVLFDKMENIKV GGTKSVLHTREAEEIKAEFDTAYFKFYGVEYNLLVPDETRFEADYCEIRDTIRELERR LGTILVTTVDDAKAISEVFKAVDTFDGFTDRTVVQMEWSKKQKVVLESFYQDLIEAQE TFYQGDSSAAYPNIPPLAAAVVRCSALLDRINESYSRVSELSSAVLESEAGKETMDLY ETLKDTLKEAIRKKYESWCGDVGHISMEKLKLPLLEVDESNKLKVNFDDALVKTLREV YYLEVMNSFDARDRQKLEVPPEVQSVFERREKYRSQTIMLEHVSTTYNGFMSSLRHED ERPLIQAELTAFESVIQRGTTEVRWQDGDEVDAFIDLVLKKVDAISRVVTSFHSNVQQ MVESLEEYRRTDKLLPMNRADGAKTMSEHELRKTFEEHFKKRHRDIAERGECIHALLN ESFEAVNELKRSEGSPLVSRDSEDWQKYVSYVDGVVTKAINESVIHSLTCVRDQLDPE WISENEGTPLVDVRLIITKGGPNVTVCSRYEPHIDCEGSSEHSIRSIVNSFIANVGAG AGLIKPLVDGRSYSEEADNNEDVQRLKGEIDALLRQNFEACEEYRCSFDEFKHLWETN RKASFAAFLEKDQVTKFHSSLTDEESVKEVAPVSKEYFGVSLQDFDKAITEYERIGSR INATEERHIEGFVSIDVKPLRAALRDTCKKWKDMFSDYLMNKIKSNLEDLYAFMNEAD EGLDVEVLDGNVESLKCVMRWIRDCRRMNKEVMGDDEGGETGGMFAPIQAAIRMLKSH ANTSSSLDVELANIEKLEELRKPAPEQWVALNKKALNVRAQNSIVQDREAEKVKEQVV LFEESLRQEAAEFKQLNLFSYAIDREIVYIELDKTYNHFLDIEKEARKLQDLQELFDL NPSLFKEIRECRVELMMLKQVWDLNEHVMSQFTDWMKSTFKDADVSLFEDECKKLSKQ LQQQPLKVKSWECFKGVDEQVRNMRTSLPLCQSLSSPAMRPRHWNLLVTTTKQPGSID PDASDFTLSKLFALGLHKFSDEVANIVEKAEKELRIETNLNKLIGIWEKMIFTYTVDE QLNTHLLGAVDDVVEQLENDNNALSSMLSDRFVEHFYDKVLLWQKNLGMVDTCTGKWV EIQRQWMNLFPIFVLSADIKEQLPEDAKNFAEADRVFRLLMSKAHKYTNVIEVVCSDV LKNEVGRDEGLEHTLNYIQSILVQCEKSLADYLETKRKIFPRFFFVSATDLIDILSKG SDPRAVMVHMSKIIDSVDTFSFSDNPNPQAGPKDAYEMISVQGEKVALAEDFTCEGPV EVWLNGLIGAMCKAVKKQIKEANASYVEKPRNEWIYQYPCQAVIVASRIWFTTEVHQA FIQIEEGNDMGMKDLLKNQKVQLDSLIKEVLVDRTSNERKMLVHLITIDVHNRDIVQT MVDERADAVDAFCWQSQLRYYWDDAKGNEIRIADAEFINGYEYIGLCGCLVITKLTDR CYITLTQALRLKKGGAPAGPAGTGKTETTKDLARNMGIACYVFNCSDQMNYITLGQIF KGLAMSGSWGCFDEFNRISIEVLSVVATQVGSILNALKEQKKRFRFMDEEISITPSVG MWITMNPGYAGRTELPENIKSLFRPCAMVVPDLKNICEIMLAAEGFGDAKDLALKFVT LYRLNKELLSPQDHYDWGLRAVKSVLYIAGALKRGDPDIPERNVLMRALRDTNMAKLS KDDVYVFMGLIRSLFPNLDVPKKDKPELAAACKEVCREQGNLPGENDIFILKCVQYEE LLHVRHSVFVLGPAGSGKTECWRCLQGALTKLHKDEWKAKAVASCLNPKAITSNELYG YFTPNKEWRDGVLSTIFRDYALESKKRRNMKWIVLDGIIDAEWIESMNTVMDDNKMLT LVSNERIPLTDSMRMIFEVSHLRNASPATVSRAGVVFINESDLGWGPFKDKWIASRDR REGAMFDSLFDKYVPFVFEFWKRSMKPIVAVMDINVVQTICFLLEGIFGRMQQEELSK NPNVFDVCEKYFVFAVIWAFGGPLPGSDGRVDQRMNFSNQWKKEFPNMKISDTGSVFD FYIDKKKDENGVYQYEWRPWSELVHPYVPDPDRQLSTVSVQTADGVRMSHLMSLLVDN AKSVMLVGTAGTGKTNLIMSKLRSLNGEETLFRVVAFNARTSSSGLQAVMEQSLEKRS GRTYGPFNRKKLVFFLDDMNMPAPDKYGTQEAIALLQQHVNYGFWYDRVKIIQKEVVD LRYVGAMNPKSGTFTILDRLLRHFALFSTNMPERADLVSIYGQILQAHTKDFPRDIRD AFTKIITNATIELHHLVAKQFFPTAVKFHYQWNMREMFNIFQGLCKSHPKIHTTPLQM ARLWAHECNRTFRDRMSETEDMNRFDALFVEVISRAGFTDIQPKDVLQEPLLWGPFLT SSEGEENVYEEFTVDAAQSYLIKKLDEYNDNYARMDLVLFNQAVEHLCRIARITSNPR GNALLVGVGGSGKQSLARLASYINGHDIFQILVTSSYGIAEFRTDMQELYRKCGLKGY PFAFIITDTQIVSEDMLVYLNDMLASGNVPEMFNQDEREGIVGSIMNEVKVAGYADYS NPDTCWEYFINKVRSHLHIILCFSPVSKHFAVWCRQFPALANTTVIDWFLRWPEQALK SVANRFLSKIELGGPEMTKNIADFMAFCQEKVTEMCEEYYVQEKRHAYTTPKSFLELI AFYKELLGRKREDLNDKTQRLVSGIDKIKEAGVQVAALQEVLHRESQEVEDARQKTAA LMETVGREKAIVEEQSTIAAKEEEKTNKIVAEVETFERMCSEDLAKAKPLVEEALAAL DTLDKASISELKNLGKPPVDVQMVAICVRVLTSNPRAIPSVKNRTWAECKKMMNQVDR FLAELKGFDVNNIPQVCIDQIQMYITNPAFDPEIVKTKSFAAAGLCKWAVGINKYHLV RCEVRPKEERLAEAQERLHQSKTALKKVQDKVADLNSKLQALIAQYDEAVESANAIER KAKKTMLKMELAQRLVSGLADESVRWGDTIEQLRKAADLLVGDVLLGASFVSYIGPFS KVFRERIVTEEWLPMVKQLGIPMTEDLDITMNVLTSEAAVASWNNEGLPSDKVSTENG AIVTNCTRWPLIIDPQLQGVKWIRTREEKNGLKAIQTTQKTWQRTLQTCIEEGLPCLI ESLGEFIEPVLDGVLSRQTFKKGGRLFIKLGATEVEYNPNFRLFLQTKLGNPAYGPEV NAQTTLINFMVTEVGLEDQLLAVVVNQERPDLEKKRGALLRQMNTMTIELQKCEDGLL YELTNATGDILENISLIENLENTKKKAKDINISFAQAVSTQKDIAQNRLTYTSVAVRG SLLFFQIDQLWKIDHMYQYSLEAFMVVFNKALAKAVQPEDKKDVAKRVENVLRSIMET VFAYVSRGLFERHKLILSSLLTFAILQQQGEIDSKQLDFLLRGKKKTGILRPETVVEW CPEPNWAAVQALADVEGSVPPFNLLPGDVTENNRWRQWAETEKPEEEKMPSEWKNLTQ FQRLLVLRCLRPDRLTAALETFVCDSIGRFFVSDQAVDISVSINESTTTTPLFFILSP GVDPVRAVEEAGRKLGFTYDNERLFNVSLGQGQEVVADRALERCFLHGGWALLNNIHL VEKWLRGLERRLDSYAEIYTRMAQLRKERDEKRAAEQKVMEDSGSEKATSLAGDDDDE AQKSVDGSTVKEERADDAEADKSNESNSKHLGEEVAEGKEDDDDDIPFEGPKGHPQFR VFLSAEPSNVIPIGILQRSIKLTSEPPTGIRSNIVRALSNFSDEPWERSAKPTEFRCI MFSMCFFHSVVVERKKFGPLGWNRVYPYNAGDLTTCLEVAANYIEDRPKVPWEDLQYV FGEIMYGGHITDDWDRVLCMAYLRTFLVPECCDGLQLAPGIEVPAPMSYNEYIDWLVN GEDFPAESPLLFGLHPNAEINYRTVQADVLFRTINELQPKKHAGGDSLSPQEVVQQKI DELRERLPERHNLQDLSERLEDERSPQQHVFYQECERMNVLISALKVSLEELDLGLKG ALSMTAVMQTLFDEIYLDKLPAVWEKVSFLSLRALGSWMENFLLRNDQLVNWTGELQT PKVTNIALFFNPMSFLTAIMQTTSIVNSFDLDQMSLVVDVLKKSADQIETSARDGCHV SGLSMEGARWDTSTSCIEESRMKELYPKMPILTIRSLPLSKIDRRDQYECPVYKTQQR GPGFVVGFWLKTKQPAKKWTIAGVGLILDVVE XP_828408.1 MLRRLFKPQLMVPWSRQPPTCCSSQIINIISRGLSQRGEPLGAA APSSVSSVSVPPVASLHTPVMHSNAFAASEVKKLLAKAQYSLFTLGWNPGTDDYCIYC ATTNELVRAKKDTLWKAIFWMEGRIGDDYIAGLLFPSDGTPALTEVAAMVREKCRRQV VVAPDTWGICYLTLKNQRDFVRGMELPASLEHAAFLLMRAMFNSSLIEPSVFDVTSGA YISASCADSVSAARDCLVSWWVLFNVHKKFGTSGSRKLIDPVEQIVRRVVKTVNVFVV DINVRVGKGTISEGFTMIVTIYDAQRRSQSVHMIRSLAEESAKRNTLNGLLFGEGTSA ISLFVPTDRTKSSQLLAFARSCRPSCPFFVTEPSSLTPFFSGAPVTDGLSEQSDPRST WALIRTNCFAQCPGRRLEEVLDRKLLQGFTAVANRLYSDRLHRVSPALMSAASSIPLR EEPRVAWERVASLVEEERKNPVAHVNKSAFLNNVTITDAEVVFRLQQWHSTIYESPDR LEREREALEGLQRYLVVDLETTTVRRYKRVANPFTKENYVVLSGARDYRGQVFMPSRY YSRNVALRYDDLHVTSTHSLVKGSSKHSLFLPPLDDYDVLVGHNIKFDLLHMWRDVEL RRFLKRGGKIWDTMYAEYLLTGHEVKLGHGAGLEEVAKSYGGQTPKLDAVKQAWSSGK DTCEIPYRTLTQYLHGDLENTELIFKKHIERALAQRQVVIILARMDGLLCTTEMEYNG LKTNVQLALSQSNELMCKVKELRRHLDNSIPKEISIDCRKYFNWASNQHLIAFFFGGK LKLSTNARESRLLPGEVFERHTLYARPEDYDKTEFPKGVFLRPPVYVVGPADCLMATG VSLDKGTKFFKMYFEAYVKQAGFRKSSSVTDSLRRAMVSVSRIDQEKCSNPDALVNLL PKRHLFLFAAFTPTSDGTISKLFLKNAITGESLTIAGDCANKVERLRAFMEGQVEAHT EMLVDADGDGSAPANNNAFADAHITILARDAPFSCARLLHSDEGISAYLSLHDGLNPQ EDVGQRYVITLADTVSTLSYYRYMYGSDTEAAYADKTKVDESKLVVPMKALPRPCSSR GATSEAFKKKLVQEAAMKPEDWCNLYIDIFLHIGHAALCRESLETEAGSKKARKSKGK GGIRTPGDLPILLRERSAFIGYYNSLPDDERKKLALMTMLGRTCGTAFDAFAVMCSHD DIVEVNIGGRLNQYVPSQLDAEQVMRKFRSPTTRQLQVGEDSLTYFKKTHGDKTAETI LELRAMEKLIGTYYENTDDGTGMVSLVHDDDSCIHHELIHNKTNTGRLASANPNCQNI PKEDKSPLREMFVSRYGEKGMCIEADYSQLEVVALAVLANDQQMLEDLRSNVDFHCKR VAMMRPDLQYTEILKKAKKDKEPEFVKLRQQAKIFSFQRQYGAGVKMISESTGLTQDQ VRHLIEKERETYRGVDVFNSMVALSANKFDASLQNGSRNVRGHQIFKGMFPVITGSRY IFTESDVPEGLLRNKSLAVKSTNFSPTHLKNYPVQGFAGEIVQIMLGVLWRHFLRKDN YGGLAVLINTVHDCVWIDCHMDVLQDVVLETDGIMSSVRDVLNRLYPEMEVSVDFPCD VVAGENMGALRPVVIEETL XP_828409.1 MEAAIIGSVVFLAVTVTFLFVLFDHAVSGVRLKEARLNYDYDVI IVGGSIAGPVMAKALADQNRRVLLLERSLFTKPNRIVGELLQPGGMDVLRKLGMDECA KSVGMPCHGYVVLDERGDEIRLPYAAGLQGFSFHFGDLVNNLRKYVWQNCQPAVTMLE ATVTEVLVERVAPCVNRAYGVVYTTAAEYNVPESPFTGAPPSRTDSTSMSEEVQKTAT APLIIMCDGGSSKFKAMYQHYDPAANYHSHFVGLIIRNARLPQEGYGTVFFGKKGPIL SYRLDPNELRVLVDYNKPALPSLVEQSRWLSEEIAPCLPSNMREDFIKAAQSTQNIRS MPIAFYPATFPSIRGYVGIGDHANQRHPLTGGGMTCAFSDAFLLAEKLLEIKEMRSSD VVEMADIEDSIQNAIVSYARGRVVHSSSINILSWALYAVFGTRLLRNACLEYFARGGE CVSAPMALLAGVDHSPQRLLWHYTRVMFNGALNLATLSGPRNCRGKDTPSFGRRCINA ATFFVSPFRVLEALYLLIAAACVAVPVAYSEFVSIWRLINPTGFLSSIYKTVRVAVCR SLLNGRKRKPIGL XP_828410.1 MERQLRELLEQGGLSHTIQGFVDGGVLTLQQLKQLTMQDYQSVG VIVMTDRRKLFELIQYMKREQANPPSVLNTSDAPRVPEHTPQAVTGARNTTPNCRNAT PSSAQRKAENENARMNESRQLSGAGGRRDPLHVIDDMELSAMKMTPNSRPLSRVARAS PTAATRKPGESGTTKRKASRITVVVRKRPLSTSEINDGLYDILATDPDNLQAIALLEP KQKVDLTKYIEKHRFTYDLVLDDKYSNRDVYEKACKPLIETVFEGGCATCFAYGQTGS GKTYTMLGKGDQEGIYLMAARDLYARLESGMSIMVSFFEIYGGKLFDLLNEREKLACR EDSRGVINVCGLTEHRVEDTGHLMRVIDYGNSIRAAGSTGMNADSSRSHAILHITVLN SKNRFFGRFTFIDLAGSERGADTLDSDRTTRLEGAEINKSLLALKECIRALDQNHRHI PFRGSKLTAVLRDCFTGNSRTVMIGNVSPASGSCEHTLNTLRYADRVKELKKDKSSRI AAEEIMIGQMPSEEIETLGLSSNFAQRRAREKKAGTRSSSHLSQREPGTPNAKSHSSY GSGYRLKGQHSRVSMSCKEEDYPNFSDSIRSGKVPSSGGTKSFNRVTPKHSRVGSEIS IRDASPYEMTSFASGDSLDEEEDNTILGHRRHIDAMMELLKQEMTELNGVEMPGASIE VYCKNVESILNRQAKSISSVRNMIRQLLNRLESRQRR XP_828411.1 MRRVHQIPCKKLFRQLGRRGILSTPPSPVESAEPTTIRSRGYGP SKHLLRGVDATRGVVVEAALSPADVEMQRRVLSMANPNVAIHAKLEGEPSPVHSGTNS LHGDDQRLADRAGVASSEQLASVATVILDEVKEQLQLNLSAADASQDSRAATVVNAVA ECLRNEVDRLIHANHTQESSITRVLRENTDLICKRVADVICSEQLKTLSFKDVGQLPQ VIKEVGTSLVRLESALKSALDSSFGKDKNLEEVLGDLREHIVSAIEQASHFQQERLLA SVSDLLADCADAQDSKSRLAQAASLKVIEKNVRDAMSQMVEDTQHKVQSVLRDLRGSA STSDAAGSTTNVSSGNEVAFERILASYGERLEELRESTAAAVDLKELMMEIHSMHQTS AGDVSEVKNLVKKLKRELISALQPSNTENTEGSTVERKSSDTIDYNGIFADKLDALAD DVISRIDGRLKEQHADVRELSARLLQLEDVIKKTPRAALQVGSVPELNDDRLLALAQS ISESILSSLPPHSAPAVEEKEQQEPPRPSVSTAVATTAHTFTATELAEAVSAALTPRL DELAAAIQKKVAPTSTASGNEYDNRHMGETQLVTVAQTICEGVREAVRESMALYKPPV ASPPTPAPGAVVAPAVDTSALEQAMVTKVEDLKRCVMDAVQEMDRPQEIDLSPFRTYL DDVLRSMQTTLSKQQQATQDKVDGVVEALAKKLQDYQHQLESKLQRQHRDQVEQIQVV RRAQEQDMKADEETWKQRMSRNQEDAEQRTNEQKSAVTGAMQEVFLQVRQRLTEDVRT LLKGEVAAALIPLDDLYKELRESGQKSRTALEAKLRSVEDTLQSVLDSERHRSDRLQA TLDDVIACIKNNSGEVSGSETEALKSLKRLLEDLAERNTATGMEICSDVKASLERTEE LHVQTAEKMLRGFDTLKYEIHEGRQQLDQLLSSNQQNKDVALAVEAMRTKLDEVRYSL DCIMASVREMQEHPMRQLQEPQQQQQSLLSETEVKSPIDDKVLEQYEQRQREASDLIA SRLETLSATTREVLSQLQRQQPRRSEEEMGSQPAVTTNLSVESLQAMEGRLGERMQAL QAALDELTKVSASVPPTTEVFRKDEVDGVEGSKSFIKEVDELMTLVKSSRSVQAKQMW ERLSILRTSLVDVSTKKTGDTNIKQLLGALEENRLKLREEMGEAERELVIKIDAATQK AVEHFAARIERAVKASADAGLGQLRNDLKESSEILKAHQHQFSSQLQDRMTNIERTNR ECAAELKEKLRDTSKEYHNALGQFVKNDMIAALRSTVNEATTQQMRTFTQQFTWQKER EETNKEAVMRSVQQVSQGVGKLQSMSSEVRTAVTSSSAGVVQEVRNAQKDLAVLFEKR LAAVLEQQKKQQVVQVLSPVRVGEADSSNGIPSETTDTPSLVTMVNPQGASHLSWFLL SQSVVTIITIMLCAYFLFAAFLVAFVPIPLTDEFASHMEQAADNDVSEIALKRPLVSR VADRVIL XP_828412.1 MAEVKLPRPGRSPSSGKVTVTTSSKRQAKSAPFKFLRKDEGRLS YSCTPESPVSVCGNVSHGNSMTNRELAAMDRNSSRSLREAIANDMRKGKANDPFGGFE VAVPKRGPPPGASPREERGMSLEGSQLHDNVSVSSPPAAVQHTSSRLAVGDANHSESI GDKSVSTKRLESRTSDESERTVEPQPQQHQQLQLQQHQLQQQQQMQLQQQLQQQQQLQ LQQQQQQLQLQQQQQQQIQLQQQQHQLLPNHSHQMQLQTQLPQQHPPQGYQQQLLNHG QQWQHSQVQAQPQPTRQRVSPMNTNTFSRNIEESELGDWCPSPVQQRRWRNGESDNGH TRRSIATRYGDPGELDGEYVSGGRSLRNYFRPPLPEYHQPREEEELVGQLEEELRVTK EERSRYYQLRMQLERERQRFEEYRSGVEQDMEDERVRLDAARASEQRQAKKDVKVVEE RYKSTLELLRTERDSNTKLTQENEMLRQQLEVTTARLRESQKLQKAETNRLRREIESL TRRNEELLELAREQQLTALERGETLTPPLLLHSGPNIRHKASANSSSAPRSGEDVYEL HGSSLPTRSYSSEQNRYLDIVERERRLAEEEEHERKRLEAEDRRRAEMEERRRRIEAE EARRAKEEEERRRRIEEEDRRRAHEEEERRKRVEAEDRRRAEEAKRKSAEAEERRRAE EEEKRKRAEAENRKRVEEDKVRRADAEVAPRRKVDAKKVVREERSQAIDEGATSKKLE ARQQRRSSGHPVLTPRAQSSKTANSAVATARAASQACTYATRKGRRAPTASELTADNE PVPDEDFPNDTVVSQTSLGENPNKREVLYRSGKREIHYTNGTRKIILPSSHVMLYFAN GDIKRTFPSGKSTYWYAVAQTTHTQYADGAQVFQFHSTGQVERHLPDGKKEILYPDGI YKVVHPDGRDETIFPDES XP_828413.1 MVEVPRNFRLLQELEAGEKGTGVSQSVSIGLSGMDDIYMHNWNG TILGPPGTTFENRILSLEIHCDEHYPKRPPNIKFISRVNLPCVSSDGTVDKNKFAVFK TWDRNFTMAHCLMELRREMSLPANKKLPQPEEGSTY XP_828414.1 MLICYSLLFDRFVCLFVCFSLFPVSSFVFPFPILLFISEIIFEL LLANPVKLMHEQIQKLLLMGPARAGKTSMRSIIFDNYLPRDTLRLAITISHEESRVRL LNNMYVNLWDCGGQQQYVAEYLNRQRECIFRNVGVLLFVFDISSMSREESDVFGGKTS EQNLRDTFQYFREAVQHVRTYSPQAKVFVLLHKMDVIQQKLRSSIFESRKREILKEVE NVGGSGGDVQFFATSIYDDTLYLAYSNIVRSLIPHCDVLTRAMEKLLVSCNASEVALY ERGTFLCLTYVSKIDAAAADNGSLIAEDDGSNRGDRCSGTESRTTKVSETVKHFKLSC MNNATSLEGYQMTTTTFTALLHPFTSCTYVLIFSEDTSVNVELHRINVLSARWNFEQF LLSGDSIAEEMRKVL XP_828415.1 MCGVSGVGGHDVPLPSLVTSSDKWLVYGCATDALVVCALKDELP SSCSSNAGSTGGESDFVQNTSVVSLPAGEELSVVCIHPVKDLLCVGTTLNGVYLTTAA NPNFSAGAKLSLRFSGIVSHCACFVNGVEEGHDILVMSCLLEEALVECHKLLLWDVCS KALLWRGCTEAMVSITAVPGVVGFASCSRRHLLMWSFRNGASSASSLTSTANSAVGAD EDSGGTITVFSKTFAAVEELHDVEYVCVVTPASSAEKSLTVLTTKGFLVSFNLHSGEP LKWMDCKISSVTSAYRCGGDIVVCGGLVRFFSEDWVFRGKIRPPEHSPHPGTPHAPHS TGLTCTGAAPCGGNAIAFFFTGGGIVRCRIGRLGVEAKMCDSVSTCSKLTFHCVYEYV PISPHDAPIQLLALTPDVICLHSRHQLRLYGTPLLFSRGNMSFESTCCAYHRGLGVIA MYESSAHQIVAVTPGLERVLCRLGLEVPLTALITLEDNQFVGLSAANTIIYLEGQWGE DMQHFSLRLLRIQRFAELKTPFTHLAYSAGVLHVASSREVVNLHTGHITSFNDDIIAL IPASGGALLVVHTHSCIFLSPSGVTPVGFPFLEADLRGAASSLGRRYVALYNEGNVHV VELSSGKRVKHISAQRGASGASPTTIRCVGFTADDSSIVVCDSRGVLEVHGFEGTGLI SPGIYGDATSADDTNTNRFSRESSCSSSRRAKQLDGPTSTELQNRFKDLHGFYEASRR VATERKAYKLPERHSKFASSTGTNSSSGRSTPSPPTSANRSSGKNAFRVQDGDEGLLP TPKKSPYPARRWKAADVPASLLNVGAGGNEILVSASLVEVSALTSIDSKHNDLSSVLE GGAAALSWAVNDIPRDSGKCRVPDSPAYVLQSPTRKGEPLLSPMKVPEDDVVNVDSFD RVDPRRIERLHSQTAGHDDPTALQCHRTNSSDGIASLRARSLDIRDGLKELADAYERL GEEDGDDVDETTMEEMFSTVSRLYTRLQSRQSRRSNSSCSFASDQSSASINAMLANLQ LLQCQNSRIEAQNREILSKLSSANGR XP_828416.1 MSKLFISKLPKTVSAEEVKEFLENLMPEATRIQLLLGSQHHEHK GYAYAHFAGEEAATEALQRLCGDEPPSLHGVAITAKRASRVSDAVESDVTPLPAALAH FSHSQCVTVAPPSLVDELNGVVARCSVNDNLEAVAFATGAEAAACVASSGGRVVKCTP PRLPELLASREATKRRREEPPVVKSGEAATEGSAGDIVTAYERLGYTALSPSQLLQLV EDFLEGRGRVAVQDREGHVALLNLPAYISALE XP_828417.1 MAEDAAPDTSHQSSNTSDEGGAKSEVIVHMNNREANEEYGYPNN FIKTSHYTALSFLPLGLIAQFMRVSNFYFLVCMCLTLIPGLSPVNPVTAILPLLFVIG VSLAKEGVEEFRRHTADRLANSVEVDVLINGVMQRVPSRDIRVGDIVRVSNGEEVRAD LLCLSTSDEEDQVYIDMCNLDGETSLKNRKPHEHTASLRTPEQLQEAQVKIVTTQPDA ELHSWSGCIESNGEAFAVDIGNFLCRGSVLRKTDWVWGVVVYAGVDTKMFRNLKGHPM KMSDLDRRLNVMIVALLLFKCVVLATLAFLLVWWNRNNKEHIWYLHWYMNQYGSTVLL LRSFVTIFLLLSYFIPISLFVTIEVCKVIQAYWMVADGKMTDVVNGRLCRCRPNTSNL NEQLAMVRFIFTDKTGTLTENVMKFKQGDFQGFRLDSACGTKPTDLLDRCNPAREAAY EYFLSIALCNTVQPTEDPNAEGGISYDGTSPDEVALVSMAAEHGFRLKKRTTREMVLD IEGVEHEYRILATLEFTPERKMMSIIVRDNVSHHIVLFTKGADSSLLPRTCTNRQAQN YVQKLRGTLQDMSVCGLRTLVIGRRFLLPEEYKNWEDSYKTASRTLIDRSAALDDVCM RIEGDLWPVGATAIEDKLQQEVPETISFFLEAGVVIWMLTGDKRETAVTVAATAKLCD PQKDSIIHIDIGSFDPKSAEAIRKVDSDLSKVRRTLESGGENGSKCTIVIDGLALGVA MSEHFLTFLDLSMRVNSAVCCRLTPLQKAEVVRMFQGSTGLTAIAIGDGANDVSMIQE GRVGVGIIGLEGSQAALSADYAIPRFRHLRRLCAVHGRYSLVRNSGCIMISFYKNAVL GMMMILFCFHSAFSGGTLFDGWLLTFFNILLTSIPPFFLGVFDKDLPEDALLRRPHLF TQLSHGLYFDVMTTVRWFGEALIHGTLIFYLFYLTIRNLDWSTHNISMIELGTMQIFI VVLVVLVRCGLAVRCWRSLQLLGLLASLAITLALTLTYSSFKSVGGSSIYWQMFDLAL GPKFWLYMLLVLGSLIMINLSVLYFQKRRYPTLRDTADEENRVMCRGSLLDENVSQGD KAGYVTFGVESTQSSDREDKSIDIQDMRRREIEAGDTLHG XP_828418.1 MSSLTCEELYRRALDDLTAIWREDVNVSKMKVMPTGRQRYDQLL LCWASLYVQYLRTGRRLVIVHDAQLQPQKRYDVRTVLDACMARMLELRALLSTNCGEF VKLDECILDLKMTPDELEVPIPRYFVEDNASVMQERRRQIASLQQYYKETEPDAPVTK ALTASSVPPQKKSTEAAAGNVMSMDEALMLLKINERGRQARERAKFQLSMYAQKRHSV ELASVYNHPTGRERAATVLQSAVQAYLTRKHIFSDHQEELQLLGMAPSADSTNREEAV QAEARLDEQKARQRMNEANFRQKTLEIESRIKTEEGPRTMELMLNEALTRMAYARMEG KDDQGMLQAADVSGSRRLADSSNQAGSLAPIITDSFRSPAPNISGTFPSAGGTGNDAR NAMRRTGSVSARRRGEEDETVPAMPPSVLWETIRAEDERYSSLWKPRFEQTYVREVDL EQSADLVLLRQQLLEGPRGVMEELRHVVDELILMEVENLKKRLEQERRSGKRKGAKKK KGPRKPRAPKLKDPTKGSTVETLMNTAVHQNVLKLPDSEVVLSGYLGCVAVHESPLDA LLRAQKPDDDMRKKWQRILNNWDANVEKVMKMKKDAFQKVFDKYLQQSTWLAEPTAAH VRQSVTEYAILPLGSQVIHDLAPSSKTLLLYGFHGTGKTHLVHAVCNHSGANFFDLSP ANFETDTGLAGIIQTVFYLAKVMAPSVIYIDNVEKLFLRKKRKGPKDPLMKRGRKMKK EVLKGIASISPTDRVIVIGCTCAPYDAEFNAMVNNFAHMVYCGCPDYASRVVVLQELA GSHTGDVWSLKPEHYHELALLTEGFTCGDISAVFEEVLTKRRLRRIEQRPLTADDFLS AVARAKPPSVEDRALMKEFTARLPLHLRRVNPIADIPLPEKETAAKKRPKKKE XP_828419.1 MLNITRLRNLPEDLFEVDRRQKNGSNQSGKGWPQHKELIFDFTS LVRAYNQNKFEHLTDTFNNNRILISELVLHQLDEKNKVVRSTDAANAQEDDFHPVRLR DLINFLVTNMTESWLEFQRKGTQEDKQFTQLRCLNENVETPLRLISYALYKKRQGKDV LLVTSDSGLKCEAGLNSIDSQSIENIG XP_828420.1 MADGKAENELMEIEEIAVSDGGAARFAPVEVKSGEEKYNIVWEE TGKLLRFDEGENAWKERGQGMAKILQKKEDSGVYMFVFRREGVGKLAAQHYLLRGMTI KVHPQSEKALLWTAFKDYSDDEEGFPERFVLRFASKELADSGLKAFNDALSKTTT XP_828421.1 MDGLSTQYLQMANGPQSQMHDPVSVVNFVDQMQLAFERSTAPRK NFLLDSTTPRGDAIITEVSAMKPGNGWVTLQVTEGIRRGVHEWGVTIENQGETTDGSG LMLGIVPKSFSKYDSFISQGGGWCLSRAGKFYGHWRRHEANASVTFGTGDRVIFILDY EAARMTVRVGDKYVVGEISNIAPEVFPAVSLHYRHQFVRFEYRKVHDRQSKKLNWIER LAFPHATVFLPLTRQQLEDVPLGSYVFSPLFAEGQGCAPPRKRQGEHGPATGESLDTE ERGAKLHCDYEAHSAAKARLTVVLRAIQAVRRYCTNGVVAAHTFLDRNVTAEMLRSQR HALATRGSDRRGGGDAAVDCGALILIHMFSHSSMTITGVTMLPVLRSLQEYLRSVDLF SLSEASVGCTNAGTIVSPDVVRMAADNVAGLIDRARRNECDSSNDTAVSGMGSTDASI SLIPGLTELLVLVALQCGTVCEVLRAVRVLLRAPTSDVSPEMLTWLRLNKAALTPKCY LPKLHEAYDAIEEDMEHVTPFAPTIEVKVISVGYHRGAIYVHTADTLRRWGVFVGAYT PTSTTTEPRNCCLNCTSSSIAFMKDEGVIFHTDRMAGAGIAVVVYSSSLEIQQTVMLA SVPQWPTTSNYVRMCSGPGHHILLVYDVPHSDTSSALRGTAAPVPSTTAGTLTDDASK ASATGIEVQVLNGKKFPEVKWRTKLQFPPFDNTTRSCVTLRKGSVIDFGNPETYLNTT GGHITVEVWIKMLDKNDVSVFYQHGDRSTSGEVFLETARLEGAWRIRGGYRHDYRGMC VVSAPFNPASESRFVHVALVFDGNWRLHFDGEEVSRTKQCLQVALENPRQRWTAGNDC VCQLAGLRVWKGGRTFREIARDSSRVLGGDEPGLVCQYLFNEHNGNVVYNHVRGEAAA GRHAIVRGAFSRTVCNDHPLLASAHSEPALGTSTVRVTAPTYDLPKLEHAHVFYDGVH LGILEQKERAFHGFAEMKCLHQAVFFDIETGRGVYGSFMLRHRYKLGLLGCDENGRYW ELFQAGGQPNVSLFGQQQQQAQLPATVSAGTLSVSPSAVLEEDHIAWHDNLEFLSFTS SVKSETPGDAPKGSIYGDMGTSSPTDNDINTQHMSFGALAIWLLNLLSSFSESNDTAS TNSLFSPLLDDVSVRCVQEIRQLLHEHCRPVKSISSRRSLSLKDSTSTIAIGTAMRIL LRLVRRVRDFRIHPDTIGLSEAASVGDLRGVFRELNAIQRRRSTDWSKAGDSFLTTPS QAATMAKAALSVPPKDIFNSISPTYIPHVGLGLLGILLDIINESGLSLPTELGSIACI IVNEGVTLFFPTAVVRANLLREILGKEEESMTRSPALSVLLHAIVRSFTDVTSAASLL QITEPDNCIPRRNDGIEPNRSKNDDTAAAYGKLAAVKSTLSTLVVECTQQVMRQAPNN LRQSQLSLLSTMAEAIGVLQMLLFSHCDEFGTVDVHEKMNKGIENMHSLHGVVGLGTT ARDYYTELFDNAGLIFETFAQRLEVMSLGEEKETSGRVSFVLDVLGNSFVGSPLHTAI TALPLMCSQEESGWLLGRLNHLSLRYRALLCSLRASMTTGTTLGSHRPLHVLDTALSL ASSWVASFMSLGQISAPTIREESASPSHSTVSTPPHDNATDAPSCELSATLKEICDHP LLAAGIKKDDDKNDKKMEVLMKLQQRQAEWQSIARRDVVLASKTPVEIEEVISMAAIA VVHLSSARIEVLAMNECIELLAKTLVRLKGLRSGLLDKRSKSPGDFLAVQQNAKECCH FLLRVARVSDSTVIQMPSLYLARTYADRAQGDPKRPGNVNVDNWKRAVLIMRMKRLYH RALVYGWSLIQIPAAVALVERIILSPEIKASDMREAFEERERGALRRLSGLQHMYQLF QNNARLGCKVASLLFNGRVGGGRHFELGISASGDSTRCSYRQTMYDIIRRLCEITRPI TNHKGFPEATTDGSASPASSPRGVVEPCRSATLSEVLNRQWLPSDFCFFREVRVVEVM FLSFCSIFQPNASETLRHERALELSHRGAVKGNRTHPVAGIGGSNNGTTFPSSTTAMS GGRTSGSGRPLAVVAPGGVGDEGNEQTLQRTPSEWALLESLNALKSLGLQCAAALGDE NAAPNEVRGCVNFLDLVFEVLDEELRKCAYYASQPYYHSQDRVLEHIGLLCTLISTFA RALPAGFICTEVVCPKAAFLAFRLWLVADVMVLASAMNVGACPLAEVCVHTSILLLRH CSPAAVNPLFTKESIMSVVRKLLPAVAADCAAVGYFFSFAIRCVSGDSSTGGSGASGS ALGNFGDIGISCVASLHALLCSHPWDETFSAMRGPFLADLADSANGGEAMRPNGVRLL MWIYLIGGPPSASLGPGRKVFMCVDETLAATEEAYIVDCNAKAGTATVLPIQVGAFTE EHEVPLDNLINASQDEVLLPRSEVLFQFLLPALEQCFSPRLRPHSSPLPWAVIASLLR ITLAVLKKDPSASYVLLERGIIAQVDDFAFRTPAKTPLPLRYLYEMWPLLLPQTMNCQ QRALFDAVTSSSKDNDAKALSSGYVYPVRTPCHDIQGPPSDPSAHTANSLFSVAAAAR YSVLPRLDVECSNADVDDYNGSGNMGGSPANISGSSVGCTGSPPLFSRLQQAHSSLCL TPSVLCFSGARGDPAGTLIMKGVSKDSCIPPWADGITIEASVLLYDRLFPELGEAFVI PASWGRPPISFSLFSLYEKKGDGRRSFLRVVLDEARMDCIIETAAVSAVVISTKLLQE DWDHWIHLAVVIDSKSITLYKDGAGVAAALPKHLGVYLENLFREGSVDRLVIGNISSD GKRTGRGVVSVDETDSDEASSSGGGRSYGKSSNGVVVALDSVRLWGCARSMKMQRSAA DFVAREQTLPVYGVNDGSNVTFRFSEATGNETYAEKCEGVGVLSGSVRWAPFPVFSSN VNLDKVQRTDVAPPTALPLFIPRREFESFFATLDHSPALRLGGEYMQSICAHLSRQCV VAAIQQAMSPQYRVVHFEHCRTKGCGDVPASAIVDPRNIIASTELISHLINLLRYSDT GYLEEKVVLAVSQFVKVYFSSMTSEKRLLKGFREAAVAITKALHDETEPFCVELPTVS YVATDANLVPIATINGPGGVVSFDANSRGIEQMTLLRDRKQRVLLAKYPDAQSGWPEL EVPSDSLWFYAKPSISTRSVAPSFTVSARSLKPQVACAIFAALREVLSSDARFHCGLG SFLNAPFISLLTVRTGTTKCAAVPSCRIFTSVLELWREFPQFSQQDQCPLKITLANLN APLMSVLQRGHASVASSPIGRHNVRVQSVFELLVAAIRTEAAWDILTHNQRTQIRWRR LCSLWERSIGLCAPAITIGVNSSALPASATTALGNSNRNHKVSNVNAGGCGLSSSEHK HGYVHLVLLPFSGSTLQHQPKASIFQRGSGVWNAFCDRGFLSARSNVGFKHGRFYFEV RIPANGDPISVGAVTERAQQNSFLSPRGLGHDGDSWGFESAQMCRFYHGFRHEFTMRT KWKGLDVIGIMLDLETDTLACLHEERQVSVFDNFRASLGAGVPLSFFPAVSFGAGGVD VNFGAAPFAYRVPAGFVPVDPSNYMASPTSTPWMLISAIDVSECLARSGKKGTGTDAS DGAVVATSSSKETRQLPPFLARANASAATYYEGRSGPLCVNLLPCGPKNKMTSVQGSE VRAEEDPRFFRGSVCVRSGRWYYEVGLRGDALISVGWATSTAATDWSRSRGLGDDAES WVLEGNRATARHNKHTRSVGGQLWKHGDIVGCLLDCEAGTIAYTLNGVPLQEAQNTDG EGILFRSVNHANGLMPVIGVDPKNTAVVCFCEEELSHLPKRCRALGTTSPLRKALENH FCGVDTSGVAERGVSGVCSTQFSPSLARRLLLTLSSLTELNFHNGAAYCLEDTLTKTD KAVLCDKFLGHKNAMQLLVTLQNLTSLAEAVIPYAGVPSIPMECDVLLSGPIRRALDH LHDYMLPAVGLRFLHGFFSQTSSSGENLKLTLNRRKALSLVKDTTATLPERLSGSIFG QVYQLLHDKNVSLFCTSRKLWSVNFVGEGADDIGGPYRESITQLCSELMGPSLPLFIP SPNQASEIGESREVFVVRPEMEAPVRLNMYHFFGRFLGGCLRSSEPVPIYLSSRVWKT ILGAPVGVSDLGRVDVATVQSYRYIQQLSSLGGGEAGATDGEVEELCPGGFTVVDDIG VERELFPGGSAISVGRHNVDLFLELAMDYRLHVMGEAQVKAIADGFHQVVPVSAVSLL KWYELERMVCGLPDYDADELLDAARYEDLNPDSVIVQYLRQVLRQFSRHERALFMRFV SGRERLPSGVRLKVMLDTSAQQTRDERGNNASGADNAENSNMGGDTFDDSRLPHASTC FYWLSLPRYSSVEVMRERLLFAIQHCLDIDADFMVRDTGSMEDEGEPMLAVIVEDDDE FEDFSHLR XP_828422.1 MEGRGGEDPYMPPLRRRPGTRRDYQPLYASDASRGPRSRPNTAR CHSQRPAPPSVRWRSPDHLNTSYRETDTSSIMRATPRTGRTHVAEERTSRHQQRRCQS ATPPVTRSVLSWDLQVLDGVELGFSDPGSLRLLELQVPCSTSANLFGTHERSKTFIPG DPVGLKLRRDAMSIHPSRPRDLCFLSPAAAKRNNIPLSLGNIGDGTIATIPYYRVSRI SRCRFASTDRRIVVRLTGTTGLVLTLAFTDKALYTTAMKVITGYCSNCDRRPDGVRCS SPARNMSHPEGEGRYVCFAEPEVRTLRSSRANGYTY XP_828423.1 MNKAGVYSLRTDPSLQDYYDACAEGSGDEGNGSGDESDQDSGNY WRSTRRSPLRGKARLRLYQRDSVQKLLRDQGYFASRGPEAEAAASLLSRPLVFAGGSH EANMSCSRQLLPPCTSNDSYVPTTEERLIFDALCALRQSPSTSFAASAGPEGASNLDR PAVWALRRDVMHTASLRGISLSVRSLVDTILTGSNEVARAEWQLQSISTSLSKEDLNT MEDAFTDYSVTTSGDEALMLASHLVLRRLCGIVRSIMRDVSMLALGFLEDEERQQRQT NSSSFTYHRRMEIEKGVEEVLLPLRRCIHIIDCACKLEERAESETGEEDDIDSIDRAS GLMDYLIVRMSALQDSSTMDLYRFYMVLLMFFSWPYVQLITSAIFGFVTKIDSDMWRS RVPRIFRLSFSHIRVGEDPRRGQKAAILPTDILSHVFLCVGHARPEGRRWSGRDEGEG GHQRQRQSLSRAERRAAYGSMMSSRSFILHSFVAFARQRALKGWRRKRMADHHAISGC VEQDEWELCRFGRLGSSVEGGATDALPLALCTCHTSASTPVGVGATKWGLCVENYKTH HNIALTDGDRQEKSATGGRSDLWLHPFIPAARWVTVSLLVPIAQVVQRLQERGLTDLL SVAVVPPCVNSSDTADFDDRNETDDGAHMVEEGSSMGEFPSLKSINALQVYSSVSDPS ESRASFRDYMSLFIDIALCRDTERIVHKFLYRLFTESHWWYRCGEAEYACSGTASSFI SNTFAEAIKEHPLGQFVRLSVAPKLEVVDENDNGSTRVDCQSEMLRTFAAFELVFTLP PDVDLILVPRYLSVEWDESGDVRETYTSYFWKRRQCSEERTGQPAELTDATGQRARDS WSYCFGYLCSLYYAQISLREQRKRLQRQDVDEYNSAAAPGEALFGYRHRAVRVIRGLG SAYFELSFAVDCLLSFNKNVVTVVACEMEKLTRVGSASSCIALCQSLDALLLRLLIVC FPEGASSSLAGASAAKTSITNSVTALLVIALDPASLPVRRVMSCTRSAVEALVAVVRT LPASSAVRKHVKPLLVLLTFNRFYGE XP_828424.1 MVHNTSTAPDPGNKEDDNEDFLAVEEGEMMEEEGEEEMSEEEVG GLSCVGALVSSCGNETVLLRNDVSEFTPAELEELGRMEFADDDYYGNIQMNREVDAKG GDGSSDDDDSPQVGSHIHGTRSLVSSSGRVSAMHSALRPKTEAGGCAYKQCTLTQLLP ARGRVGGTDAIVDAVLQQQQLQVADRGLRDTLPIECFLNLTHLYMQHNEVESLEGLVL LVQLRVLVAHHNAVTSLRPIAVLPALSFIDARSNKIEDVNPMEDLPCDSLKYLALLDN PCCNGDRSVDREQIMKACPKLAMLDDVPRDPSAEKVTGSEEEENSDEEDGCKRWHAVS ETPTGCSKGVSSRIHLYRSLKMCEPLPVSVPSLEPIPVTSQDIDTNRVTTTTDRLCEQ LRHRSSAIRRMAGHHALGQTEVSDPVWDFGNHVENDGDSEHRSNGRRRLDSPESTLSL TLPPELEQERATKARLYDDIRFALQADDRRLRQLAGVVWDDVDKVLSTRQALVGHRRH RMHTAHQQPSDAYAKSLEILKHENRVANLDKYRKQENANAPQ XP_828425.1 MKPEGAKGAVVSDDVGGDSANLAYCSDRITLLSRADIEAFTCCS NGAGGGGASNSENHNGGCGGLASEAQSVDPCSTLDTCIAALPMFLQSEALVLRRHEQQ FRHHMKELVELTECITLLCDGSLRQHAAAVAGQPAEQSADAQRAESAALHRMLHRAEE LERYVRAAAVERLRSAQRMVLAASTTKRREKVGRKSAVRPPKATK XP_828426.1 MVYEFVLEHQPEAVGTAVLNVIPKVRQPPKKSDGDVQEYALART QVPNQCADGGAQWTGVEPGPSITFLPQHIPNIHFQERQQQKQPSLRVGGVAVCADVGQ EGLGSNANPVMHGNFGTKSPIGGPPKTTTLRKTRTNKPYTSLVPFSPEEEEQEGKYRR DFGHVRPSEQELMKGQQQCFLGLYQRQKEQRGSPNWNQQVQVLSSVKQAPQSDYKIQV GSNSCSNLTALSSVPSECSPVPKPQVLQQQMSPPPYFGPQEDLHGWLPGDGMRSNHSS HIPSGSMQMKSRCRGGVNVVGNALNPQEYGRSMSTNSGPQPLVGVVPNQRQEQQQLQW CEWQGAPGPHAMVPSESVMPPQATNSAMPQHVPAYYPPPGDGGFSAFPQGNRTPQHSD PSCMSMSPTPACGPFLQDRWAHPRVVNEPQVQWHPAPMPYPLPPSYNSEGSRPSSLSV SVEPSAYNMEYHDNQRHIMHHPNSQWGGGCDGPRLTAATPGYPSRRGGHDVMRCPQFV PPRGPVAQWAMERTIGQDMHPS XP_828427.1 MLQCKFYITLFLHIICSRRHVPSVILCFFFLLLSFGYKRCMWTR RNVFPLMSLRDAARAPVVISPQAKNGGPSPLSPTAKEECKKSDSIVSMNYTLIPGIMP EEAVMILSWALCIDDPMAVFGKEGIEAFRQVEYFFAGTSGGRLFVYPLVPPAMRPFLP SDNWEWLQGEGVKQKLSVPWNAGPRRLFHQHRYDEPVTAIAILGRLVVSCSTDMYVHM SLFHPTPHHILTIRHPSPLRCVLLWEGAVFASRLLDSQRRAQVASAECCVVYLITGDD GGMARIWRSNVEAGEYFLVAVLAVVTSTCYVGFATPLHYIAREDADAEHSNRRPTAET TRQTKAIYSLAVDDDRRLIAGVEGGVVVWSLADLPYKQKEKDHLLCWDEKRMVMEPQA TSTLRIRGQRLMNMTVWVKSAAFTEECLSSCRIDQRGSSSYERGESTNNHAGESVMRD ADGSRKPPFHTDARWSSWKPKYGTHVAGGLDVGVVSNVVCMPSLNGDNLDERGSAESL LRAACAPIIFPSLKNIVHFPLWVVEPVFAPMRILSLTGSICTALLVLVPSGRVVTGGS DGVVTLWLWDVAEATYVRAIVSERPQSHSGLCRCLTALREPDIFTSCGYEDGIIKEWH VYDEPELLIRLERSFALQSESSGKSSTWPAEQKQQSFGVGVSCAVSFPAFCALFVVGV DECHINTFGLLEVLGCKPPPDYIFDGYKTVRVVPSSHDTHGIDKWGK XP_828428.1 MLSGAEELISDLFRRTSTTSNAVEFILSSNSAAYHDVMQRICNS NQPQLVKGILRTAQSLLPTELFQVFGKSVVPVLLLFAPAVLRRVGELLAAPKETPLST CGEWDAFTSFITGIHCKLLASPECRATNVRSLPPPPVAARHTPSWSKVFHGEENVSEG VPPPQPSTDCEVNVTAIGTDNYGSLLCTIVCGLSHLLACVATPPCVIGGPGLTTLCNE VMRLLSSTPVFCYASKDANLQIACVSLLLSVLNLGKAVQGCVASSFMPTMRRWFDLTL YSANAVCIQLLFPYILHARAHR XP_828429.1 MGKQGNVGNIRPASNNVRTSLPLLLAVLLPICLVRAGEDTNTYK ADERVRVYAGQIGPLHNTFETYSFFRTPGCPPASWSRRSSTLGQALTGRQLQEMGVNV RFGKNVTGGVMCTFTPKQADIKRWRKMIQKKYVYELYVDELPIWVLLGEVTPAGPVIY LHRRFHIETNKNQIVHVTLEAEQGTVLTQGRDYTFTYSVIFTESQLSFEDRFKKYVDQ KLFEPRFRWISVINSVILALLLSIINIFIVSRAIHADLKGDEDELGIDSSYGLVEGSG WKQLSADVYRVPPYPMALCALLGTGAQLLLVFVAMIMSAAFYNSRHKPTYGAVTVVTE AYALTGFVAGYVSASKFVSYTVYKPALASRWMHCMYLTIAAFPAAILFCGVSTNAIAY LYGSARALHVGGVAYVALILVFLFCPTVVVGTLTGRYIFWRRFNAVSNRNSLPHVNQI PRLVPRPPYRLLSRPYLILLTGALPFSSVVLELFLVFSCIWMNKLYYLYYFLLIGFTI FLVIACFTSVAATYLLLNMEDHRWQWMAFGFGASTGIFIFIHATYFYFFQTSMSGMFM LVFYFAYSALFSLAMSLAGGCVTFLAGSQFVQKIYTSVKLD XP_828430.1 MQQTEKRVGGNCDDDEVIATYNVYASSFAQQQQQLHIFQFPLRC RARPYEANEVRLFATEGLIHSDEDSIANSGSRVGGTTASTPRQETFGAVAPVIHHSSR LTMHCHIDTFGSSSFTEPQQDHRLDEDTQRAEERSKHSYNYALQSHPFNPHCDYMVGL IVDGVVHITPVTSIQQFTPIVKPPDASSMHRVGDGFLSVPSVPIVPGLAVSDRITREM LRQRSVMLNNDADTAKELQYFPIQSVESMAMRRRLWDRTGASHCFAGPAGCVGSNPFG DSKDGHNNGPYVGPRTEDCFFPPELLVSGGITGGEHVVSGDKMLRRYANRRSLVDQVH IYLRRCQVLTLDKLREMVVPPDGAFSGGASSTNQSKVQESVTDGQLLAALRDGAIWMH GVWVSKVDPNMRGNAAALREVVLLHFCESPSGALSRARLNALVSSNTLKRTVKEILES IATLNSGEKDPALRVWRLRHVPADPMERAALLEVAQNAYRQEIEFQTMQCKRLHSSIM SHLEVINTGRLVTRLHFVSRGGDRGAEGPTGAVNTAVSTAAAAAATTVATSFTDNELA PIIAFIRRLFLEHGVVNKQRAKELVLKAKQQNYPHATNAMLSAALQRCVQPFTDATWV LKTLEEPDVDRHRPLILETALELVNFGIRPFNALLAQKQQQQQPDGETAGARKEDMQK VVSRVLAEIAVYQSHERLWHLKSGNVIGQ XP_828431.1 MDTLTYVCRECEASALRIEVPLISVKATAFNDDVPALISSHRRL LCMNMKQSNRLRVLTHRGSYAEVEVGATVLRNLVSSEGLLEHCYFFAAGGNVVARLAP IRQANGDVKIEKREVRLPEEVYGVTVGYGDIVYVLGRSCAFEVHMESSKTSPIGLAPR TFVKHSVIGYHQQAKLLLAPAKANCLDLVSATAGTSVLPKVWEPHANSMPTAAFFFQR RSFSNENSDNLFIVTASHGNRELRLWSYSQRTKTFTLKQDISITMEDDGVVDGDENAF LISCTPTEEYITLCSKQRPLAVVLELNRSSFKVDRVTSWRLNGPVLGSVAAVSKMTES ASSTSVEYQVILTVRTATGFYGEVLDVEKPAEASNTPSLRTNSASSWFPKNEAAHIGG TEIALPTALTSVSSSVLGDKTTNAVPQGVASNIVRQQASQFCETLRSIDERVVNLQKH ASEAMRLLQEAWEREKAQTIGREFAIRNKGRLEQQQQVAGQSTDNLTPRQQELLEEIR SIVEKSEQLAVDTATEVVKAQLSRRLKDAVAKGAKEADQVELSSGTPIVRSTDSMRLF SNGVDAAVRTLVRAVKGYHKTMKTVVDSSSAATASCVAKAREFTASLNREKQLLASEL MATMEVVRHGGAPTQPVDPDALVARAIALAEANDWVTSFTTVLGASDITVLLHFLESE VCVNNVTAMVNPQSITLPIFLSLCLQLSFELNNLQGLIPSRVKALHAFFVEWDDTLKD MKSRAADGNSKHKPMFELTKRELRNVLEQLEIVDDKAVDRCSRNNLRLLKKLIHFLVT XP_828432.1 MSVEEVSCLSEDFASRTTTSVLILYADTYFGRRMFQQFYGRKSK TGTCYRINACMWSESSVENFIDYCDTLPFQMGHSFRSRQSLPTVIDLGTQAEKANLGS TIDGGATQGPDNADGTSHQLNVFWRRNGPKLHQAVLASDLIVVELRSAQDVFDIVHLL TTKILRKTKRLILVSSLLTWYATPPLQRESVEEVNGETDSVENEEEGEHHEEEQEEVQ QPMKPDEVEALLGPLEVAEDDYENSPLEGEAEGELELLTEDQYNRRIPHAKYFNWREA ERVVASANSDDRHLQTCVVFAGLTYGEGEDVMESFFRQVWSREEHGLPIYGSGSQIVP CVHIRDLVTFAQRLLEAAAPPTSRYVFATDNSNVSWRRMVLALNRAFGGEKTFHVPPS EYLLHKNVELFTMNLRVENSTMNEMMDESDWAARGGFVDSIDKVAHEFIESHNLQPIR ILILGPPLSGKTALSGAVAKRHYHVPTFTIEQVREEYKEHIEILKSRLAKFRQCLHER EKTRREEAKKRAFLRPRNKPEVKEEEEDDQNAMGETQQQPTASPDSGRQGNMESTRLV DCSTTVAEDDVDGKAVDFSLNEEEMQNVEALVDDWYQGNERAIQIRDTIASMERVLSM RIRVQPPSSNDPLGSSNPKKRKEGTKQKRVAKVVNKKPVAEEEEHNPVQQESAPFQDK ALACMVRWRLSRPDCRNQGYVLDGFPETVEQARLVFGQTPLELPENNEDTVISLPSAP AGSGGYARTAVVRHSASLSANAPPESTELCDEDRLPDFVFVLTAPDNYLLDRLTAVSK ISGETGSTEEKCLRRFEAAMANYQQQYTNAEYTLPNFFETASTVAKVLTPGGRTPTVL AVDVSGQPLLPPPPPESDFAVPEPGQLEKLLCAYVGPSHTLGLSPKQLFDEEIRARNL EMEERKKEMRAITEQYERELRECMMESEQRSVVEDARRAIDLADREALEERKRPLRMY LNHNIIPLLSKGLVEVCKRRPVDPVDFLAEWLMLHNPHDDSCFEL XP_828433.1 MFGGLDSSGDEGEGLANQGPSGNRNTRQVASRGMRSMFAEDDMQ SRQGVTSLRYEDKGTDKAVARSVMGSGGATGQQSNASSPLQTAVQAVVVAYKGEEVIG SCVVAACVPRTSATAPPLIAIIDRDKRPQCRVRMDENLQLIQSEGEPQYASLFDPSFG GHWSLMFKGRRECTEFVASVLTALNSSRLQDSGVTPPIVEWGSGASPYEELGAAARTV TRGDTVTISFTSWLLQRVPGTSFFSLGKVIEEVPPEAPREVSVGSGTMMIGVENALTG MREGGFSRLVFVTPRLTKVNRGLGNPEVQPSDTVVTHITCHEILRAVGRNKASRPAHQ VGTVEADNVNSAFDNTTAALGAPAVISDRRECPPGSVETGAAQTGSSDVNSLMQVLLL QTLQQQQQQKQSVSAPVAEGGQLSSIERSVERLHLQIATLYEKVDRLGIDEKIEKNNA AIERIVKKVVGKVPMGEVDLEDAVKDRDGLLATIERLKGRLEEETSNYHRALEAMSRH KDEVLQLQKDLQLQQETHGARVQQLEEDRRLRLVEAHVQQQQAVERVGEEKFREGYEA GVRATEQKHKEQRALDPAATDGCTTQEWKDRLFASEQRAVQLETALQQAEGRHIAERR QLQEHVDALTRMTTMLQERAEAKASAVEILDGQQTAEQQSAFLRRTMNSVYVNLETQL RAMGSDTVSVLDVLHLLSSVTAAEVSAFSDDASKEALLQWNPAVSANSDPVELHSTHV ANYLQDASEMRAGGTEGTSDTLGSVGYAHISGIDQPPVVHDETGQLGVPPPPEGESLF AFNSDVDGFPEPPNLLIDAEDGNDAAGGGIDNVRNLGEIFLEGAPRVTDGDD XP_828434.1 MKGNKGANIAYPDKYHKVTEFFDREYGDLETCTKLNDCQKLLFY ALRQQVEHGPCTSGAPFIWQVRERAKHDAWKQLGAMTKFEAMVHFVTHLEECIGGNVD WGEKLRDLNGMRDQQRVGVENGTGESNANEQTERGVSEAHWDADVQAHLQPSAENIEY LAREVMRLRGELQQVRPNRVVPTPIVVPPRKPIDYVSTKNIVPPARTLATVATRTALL NAQTTNNLVERQPQGWGEWFGLI XP_828435.1 MQISPPFCGCLSEMYARSLVKQGAFSCFRSCVRVGSVAIIGGEA HMFKQLYKRDEKLRLYRSLIKGASRFPLRSRRDIVTEEVRSSFRHPLNDSLTNEEVDR KLVLGWERAEAIKTYAQNMYWFHSRDEVSKEMMHHSLERDRQRVREMERCNTVGAAPR KTPEVTEFKSSMYNVHPDYYEKIGQKPLTHTRDIWRARGQYGSDVGGPRQKFFVRRFK ALFPQGW XP_828436.1 MSVPRLRVPDQNDEQSSAKHFPADDCPAKSSSVRTTAANGQSTA QSINVSARFLPYDDICGIGPTLHSSPTEENEMNWSHEHGANRFLSDRETHGTRRLCFT GGSSGGGTLSESQETTASEFVMELRDALKQTMAEGNSPTDLEVDGSAGPAIWMRLFER WPLELAASGYAEWWLRHPKCGEDASQLFQMFIDGIAREECRRNPLDGEKDGEELRDGV LQGALVMLQALYADPPQSLRAAFGTPLYHQQLFFRTCRLGALGDGRELALCLSLAAAI AQRIAGNKLAMRHDLSRFIGRVRRNWQALREKWSDEEKLVLEVGKVEALLAFALD XP_828437.1 MSTKPKPLVILVVGMAGTGKTTLVHRLQHYAEEKGKKTYFINLD PAVADVPYGANIDIRDTVNYKEVIKQYRLGPNGAIMTSLNLFATKFHQVIGILEKKEG LEWIVVDTPGQIEVFTWSASGQIIAESLAATWPTTLLFVADTARCASTQTFMSTMLYA SSIMLKQQLPLVLLFNKTDVVSSDAAVTWMKDPNALAEAVNSGTDGSYAGSLVQSLSL FTHGFYESIPFASASAASGKGIEELEEALVVAKEQYLRDRAPRVGEREKLANEDAIAA EDMIRAYREDRKKD XP_828438.1 MSTIERDTLPSDPTPHHYKVSIVPDFETFKFTGHVDIKITAEKP QQKITLNYSDLTFVKVRVTPGGSASETEELPAESISLDKTGMKATFSLHKAFQGEATL SIDYTGIINDKLAGFYRSKYTVNGKESYMGTTQFEAVDARQAIPCWDEPAVKAVFEII ITAPSHLMVLSNTPSYKKEVVDDKTRWFFEPTPKMSTYLLAWTIGVFECIERRIQKVH KGAGGQTEETIIRVFTPEGKKSKASFALDVASKVLPLYEEFFGSNYVLPKVDLLAIPD FAAGAMENWGLITYRETALLCDAESSAAQRYYVALVVAHELAHQWFGNLVTMQWWKEL WLNESFATYMEYRAVDKLFPEWRVFTQFVHDEVARAFQLDSMRSSHPVEVDVKYAKEI DDIFDAISYSKGGSIIRMAVNFIGEEAFQKGMSEYLKHFAYGNATTKDLWNFLGNAAG KPLAPILEYWTGRQGYPYLIVTSSPDKKTLNITQKRFLATGDVTADEDETVWKVPLLI STPEDGVQRYILEKRENPIPVKYNSWIKVNSEQSAFCRVHYQGNGLLEGLLPAIASKN LSDIDRFSIISDYHAFARAGYCSTVDVLKILSSYVDEDDYTVWCSVVGFEKEIRMLVS SQGRSAVDSLNAFCRNLYSNAMKRLGYAQKPGDDNRLTQLRSVLFDRLVTSEDKEAVA YACKLYAERQKVPIPSDLRYTVYATHVKLNGEPAFQEVKQLAEVTVDAMERTHYLRAL ASSEVDGVVSQLFQYSLSEKVRSQDVLAILGALASNAARVKAYAEELKQMWPRLGKEL PGLILGRALKYLENGADAAVADEMEQFWSHLADEAKFGMTRSFQQGVEGLRNNAKWAA RDVKTVVEFLSVAAL XP_828439.1 MTHNRSGAVRRKVVQHDFYDSADQVGGVVLLNNPTNGSWEYDEY LRLLTTKRYSRIPSWEAHSTAQCYFVCADGVYPKLQSYVKERQVSHPHLRLFQFFPLC DAVIGDMDSYVTSYEKDGCERPSSTPEGGYGTVDDIPVEVLDTIHHRCRSAAALFREV DGSVPDTPLNGWKMLHEEHSHPLLRPLWLHIQCQITTDFKKALTLLKRLRKRYPDENA VVLPPVLRSPGAARILETGGGVVDQRNCGCDNDPIQERELCVAASQVEALLLPTVVAV GAFGGRFDHEVGAISTMLSESHDAHIVLINLFNTVFACQGGGWTQIVRQPEYEDKTCG LVNYGRMTECETSGLLWNVVKGRGRPSVTNDFVFDFGAFISVCNIVRREVITVDLRCL LTSVGESPADATTATGASDCEAQRGRGPPVVFSILRRQKKEGG XP_828440.1 MAKKHLKRLYAPKDWMLSKLTGVFAPRPRAGPHKLRECLSLLII IRNRLKYALNALEAQMILRQGLVCVDGKPRKDGKYPAGFMDVVEIPKTGDRFRILYDV KGRFALVRVSEAESSIKMMKVVNVYTGTGRIPVAVTHDGHRIRYPDPRTSRGDTLVYD VKEKKVLDLIKIGNGKVVMVTGGANRGRIGEIVSIERHPGAFDIARLKDASGHEFATR ATNIFVIGKDMSSVPVTLPKQQGLRINVIQEREEKLIAAETRRTTQAHSKRKTKV XP_828441.1 MAKKHLKRLYAPKDWMLSKLTGVFAPRPRAGPHKLRECLSLLII IRNRLKYALNALEAQMILRQGLVCVDGKPRKDGKYPAGFMDVVEIPKTGDRFRILYDV KGRFALVRVSEAESSIKMMKVVNVYTGTGRIPVAVTHDGHRIRYPDPRTSRGDTLVYD VKEKKVLDLIKIGNGKVVMVTGGANRGRIGEIVSIERHPGAFDIARLKDASGHEFATR ATNIFVIGKDMSSVPVTLPKQQGLRINVIQEREEKLIAAETRRTTQAHSKRKTKV XP_828442.1 MALGFSSAGEVYMYATCILLGVSLLMPLNALVSAPRFMVDYYKY VSGKEDAEPNLPFFWKNIFTFYNVVSLASQVIAGPTVLTRAARRLSLSVRFALSITLM MSEVFVVLMMPVIKVPQTVAIVLLCLVTIFAGIGKSYHEATCYVLVASMPSKFMSAVM FGVSLCGVITSTLQCIIKASMEDTYESVLTQSYIYFSLGLLIMAGTLAMALCLRYNSY AQEHVAEYRMLKLQEQGVDAESQNDENEPVAEGKGEGEGKSEGAMTTAEQLTATAVMP VARIIRMMLVTVFCGFFLTLFIFPSLIIPIDRDHNWFATIAILLYNCGDAIGRFSTSF KCVWPPRRALLYATFARFIFVLPFMLCIYQYIPGHVGPYIFSFLLGLTNCVGAMSMVY GPITPGLETAGQKLMAGQLMGISLLSGIAAASVLAMIVVVFLP XP_828443.1 MALGFSSAGEVYMYATCILLGVSLLMPLNALVSAPRFMVDYYKY VSGKEDAEPNLPFFWKNIFTFYNVVSLASQVIAGPTVLTRAARRLSLSVRFALSITLM MSEVFVVLMMPVIKVPQTVAIVLLCLVTIFAGIGKSYHEATCYVLVASMPSKFMSAVM FGVSLCGVITSTLQCIIKASMEDTYESVLTQSYIYFSLGLLIMAGTLAMALCLRYNSY AQEHVAEYRMLKLQEQGVDAESQNDEKEPVAEGKGEGEGKSEGAMTTAEQLTATAVMP VARIIRMMLVTVFCGFFLTLFIFPSLIIPIDRDHNWFATIAILLYNCGDAIGRFSTSF KCVWPPRRALLYATFARFIFVLPFMLCIYQYIPGHVGPYIFSFLLGLTNCVGAMSMVY GPITPGLETAGQKLMAGQLMGISLLSGIAAASVLAMIVVVFLP XP_828444.1 MALGFSSAGEVYMYATCILLGISLLMPLCVLVSAPSFMLNYYKY VSGKEDSEPNLPFFWKNIFTFYNVVSLASQVIAGPTVLTRAARRLSLSVRFALSITLM MSEVFVVLMMPVIKVPQTVAIVLLCLVTIFAGIGKSYHEATCYVLVASMPSKFMSAVM FGVSLCGVITSTLQCIIKASMDDTYESVLKQSYIYFSLGILIMSATLAMALCLRYNSY AQEHVAEYRMLKLQEQGVDAESQHDENEPTAEGEGESKGEGGEGDAEGGMTTAEQLTA TAVMPVVKIIRMMLLCVFCGFFLTLFIFPSLIIPIDRKHNWFATIAILLYNCGDAIGR FSTSFKCVWPPRRALLYFTFGRFIFILPFILCIYKHIPGHAAPYIFSFLLGLTNCVGA MSMVYGPITPGLETAGQKLMAGQLMGISLLSGIAAASVLAMIVVIFLP XP_828445.1 MLKCSLCYLASKVTAGNAKNQAGHPRRRAKLFHVVPGTPVTPFE KLKEQRRRFGQDRHSRLPEYRPGNNVRMDPNTYTLYATKKGVMTIRESRINPKYKWLD VEPDIQKVYRSRELRRALQEREMASMAVGENSNYRVELDLLLEPDWRERVMHVPKATE RFKDPNLFTRGVVNELSPLDRYSYT XP_828446.1 MAAAPSATAPKHNYTLGTNASQLELYKYLKTVPPIPELRQAVTI KKYEEASVDDTLYPLIDEHQIIMVVGAFFGDEGKGKTVDAVARHPACTCVARVNSGEN AGHTVFDDIGRKYVFNLAPSSLLTPNTRNYVSSECVMDPISFMEREIGQFIKSNMPYK DKLFVGNVFVVTPYHKLLDLLGSAPNSSTLKGMSPIHASKVTKRGIRLDHIFNDEGVL RARLAKDMDTYYGLLKVKGLTDKDVVRRCQEENADGVERVPGYVVDFARAENKIDYLV KLYTERVKNNKDFPRRCDVTHELRAALARGEKLLLEGPQSYWLSNAREKFWESTTSAD TTAGGLLASAQFNFQRYKVLVINVHKAPGSSRVGIGANPSSFVPQDYYSAQDIKTLEA LPKGGCVDFDKIQNFFYTKAFNTESKTFNGIYEPLEYEDATGKYNIGVAMSIASARHH GECGAVTKKPRVCGFFDCVLHFEVNAVQGPYLSISAVDRGDDYDRIGITIAYVYYDVG NKMVDANGRVYKNGDIIKAGDPVPCEMALYHCYPIVKVINGWKGAPIAASKRRPNEPL PKGVCEFIANVEFFTGAKVISIGNGPRGSDIIYLKQ XP_828447.1 MADNHEEPHEDVTVNSDVIQEEVATLLRMKFGQEVWNPRKVDSW VDDVVDSVLKNLTDLKKPFKFVVSCVIMQRTGAAISTGFISLWDNTFDGVVHVPYENE TLHCFVTVFFVKLD XP_828448.1 MLVCCRSSLSLLARATMPLCCSRRFLTHQNNIDDISGLVDTNSN SVSDGRLHCSTGEGGKASTCERVSLRTIAESLGAAAAAELRAEVERDTRDGVAAIPPL PPLGWRVRHPSGSNYFVMTRTLKNGVQSAELNNRRYRSVHDIFLQSLQKGGHKYAQKG KGSEGRQKHAKEEEEPPSQEDGKSSPKVTVGYDREGRGHRATLQRMDELHDSPKLSRA DVHLTVFAPFRVYDPSLHDPTVDICEWSSFDLVVQKTVPDNRVANKLLQPLSCTPQDG ALSMYVCLASVNSEMRIRSIQLLSMKEAQALVEHACFGNGEPLFLELLRRRGRRRPLV ERRFDDPRLRYEEVAQPQQVADEAAVACSSSCYGPYYPAFEMLMDSCGSAGEYSRALC YGGPYVSELSRELCDALLDYIKGDLGVSDQLCEYVCQMQFFLEQEEYMTWLGQVQHVA NAVSRTA XP_828449.1 MANAVSEKELEEFREMFDLVDTTRSGRISCTELRRLMETLRLRP TEEELEHMLRSADGNDPDNGIDFDGFVAMMSKRVQTDYTPEQLRTAFKLFETDDMPTG FVSTEVLTHALVSYGTEKLTHDDAIRLLSTLDPDRTGRINYLEFVSLVGGGGVM XP_828450.1 MKTLAKKTLQGGVSGKCGSQIIIAHGLLGNSTNWMSVGRRLAAH DGVRGRLEEIHMLDMRNHGESPHFNAHTNATMASDIEHFVLQQQRQWQSRAGAEGDGG IVLIGHSMGGFAVMGSMLRRANETSLLLQSGVEELEQRCKRGDYYGWCDEQGNAAEMR AVNRAMGLPETQPLYDILYDCKGDNVARPPRVKAVVIVDITPSTALGTHRQHGQNSSE TLDAMVAADLSRVHSFGDGNAELERVGVSNKAMRDFLLTNLRLDPRTKEATWRCNLPV LRADYNSIALGVSGWFLSASEKVSRDRGGELVAPLRCSLPTLFVFGQNSPYNTPEDRR LIPRFFSNAIEVEVEGAGHFVHYEKMQEFVNVVVPFLTEYL XP_828451.1 MITSAAHFAWCYSNCFSSLRHCSRSKLKIDMTDSESDAGFIQLR NRLLELLYSSNSSFSVDQIARILAVDESKIVNELKHVAHNDVAYDEAKGIVQVRADMW KPRSMKAGDVVIDTAATTTPAAVADVAPGSVAVEAYGGGTSGGGVERRNINAGGPLTP SPVLGSPLNPMFKAYPKYGSHNYYPNRGMRVLAPKGALRKTKYRRTGDAETLGKGGGM RDEGTSPTGRVGYVCVADEFRLSEMESYYCAQGYYAKFAFDVLHIRFSDREMKAKDPR GDGLGAGGSGCQDGSGNNLDNSSTNPAGGEKVTDRTTSSAFTKLSRSAGFDLFVFGYG AVVWWGFDQRFFKIVENDFMLSSSPISNLMVNRYATHLVNANYPVWCTYNLARKESLE PDEHFREQLRFDHFLIPCGRGDFSTGNVCMLCVSHALAQSAKIDYLELKVQELAERCS PLPRELRENGRVTIAERRLLQLRGEVLSYRLMLKSGSNLMDEPDFFWENAYLKPVFQA TKEYFEIAERVEALDNKLDAANEILSMIAEEFSQRHGARLEWIVIWLVFVEVILGVLE LIINIKPWVERGK XP_828452.1 MVGQTQSTKVLSSSTSQVGRARVDPKRRYGFANAVAKGSLARRV GVMSESELCNIRHILTADEDSYNAYRRHVDEQRAEASKARVADWPDTLQAKQEAFLRL REQEKKEEERRKAMLIELSGQHQEEERKQKQAHMAMKLLQEDPRSHHVRSLILLDEAI KDRDAQLAVKAQVKKAEEEQQKREQEILMSGAHDHILKEQQEKYDRIAREVDLKNNHL QQMMFQIAERKKLKALSKDDAIEAKRAAEEEEQENLEEFMDMRKKMAEVDKYNRSIAK PPLSKHGRLLERIKRDELEEKEHSRQEQALEEAKKDIKARIERKREYFERAKEISHKA FEAEHRATQQIAQTQDVFEKRWTDMVGRMAADDDARKQQMVEERRRKAEELRRRTMGE VEPEVLPENIRKAQTHRAGFMDDEEARAYQLEMRKHPERVRMEQRLEAERLRREAELL QHIHKLQAEERKENERREEAMELEAQRLLEEAVKEDEERYRAYVESQLPANMNPYLRQ KAMELHV XP_828453.1 MIRWDHGGLVTSPATTAASAGMGCEYPFHQDNNQTSIYPSPPPG VTGEPQNISTATRVNDVGGGAVEAPHNIPAGAPSSNRHPLAESGAGLPFRFSQRGLPP HSTHFVDPYSHNYSMYNSCGPPPSSVGYQRLCGGAPPPLQFRSGSSQMWHTTVMVPTQ HWGAVGYFPAIVTRPSQLNFSGSYDPVAWSGPPSYPGQFAAGNRRQLGQQEQYRQPYS NYHNRPQPHRQMHAQPPYMAHRMTVNAVTYGFGDPTLGVYRTSADGWKYRGAYGGGRR PSPPFQAMVTRPLVSSNGRRRRGTHARANMAGGWGDLDTYDLYAFEAGENLDVDNMSY EELLELTERLGMVERGVQPARLNELRVVITPTHVKQQLESDRMVAGRNGLVKGGANID QEEKEANEKMCEEDPIKCCICLDGVLVGQTATRMPCCRNFLHASCAAPWFESHFRCPI CKSDIRGGDH XP_828454.1 MSTVRRDRLVSIEAEAQARWSKEKIYELDAPLKGEETQPKFFTT FPYPYMNGRLHLGHTFSLTKCEFATRFWRMKGYRSLWPFGLHVTGTPIAACAQKIKLE MELYGNPPQFPAEVEDKPLEKKDEVATIGQHKGKRGKAGPAKPQWIIMRTMGIEESEI PKFADPLHWFDFFPPLAIQDLKRLGCHIDYRRSFITTDRNPYYDRFVSWQFRNLRSSN YLHYGKRYCIYSPLDKQPCADHDRASGEGALPQEYTVVKLKVKNPLEQPALAPFSEII GNRSVILPGATLRPETVIGQTNCWVSPNFSYMAYSILNGTGEEEIYIMTSRAARNLAY QNFTVNGKTGVDPSPLFEVDGAKLIGLPLSAPLCPYDTIYTLPMQSIIETKGTGVVMS VPADSPDDYINYVQLVNKPDYRAKLGLKDEWVANKIVSLIEVPGEMGRESAKYMCEKL KINGPNATDLLEEAKKVIYQAGFYQGVMIAGPFAGEKVSAAKVKTVKLLEEQNAAIRY YEPARIVVSRSGEECVVALCDQWYIEYGKEEWKEMVMRHLKNMNLAPVVRNGFEETLN WLADWPCSRNFGLGTKFPSDEGGTMIIDSLSDSTIYMAYYTIAHFLHKGADGIHRLDA HHENALGVEPEMFTDETFDYIFRGRGTPESVHAVNGLPTEAAEKMRREFLYWYPVDLR CSGKDLIQNHLTMFLYNHAAIWPDDESKWPRSVFANGHVLVDNEKMSKSKGNFMTLEE AINEYGSDATRLACADAGDTLDDANFVRETATGFIMKLTTVIDGSEELLKNKRSLRGG EFNIFDRIFSNTINTIIIRVEKYYTNMQFRNVLNAAYHELSNEFSQYKLNCDTMQMHA DLAERYLEVITLLLAPIAPHFSEYMWTTVLGRKTSVVLEPFPKVTAPVEYATLVASRV LTDVVKEIRAQVTKAQKKRGPITEVCVYTSGAYSEWQVKALGLLRELYEANNKSFPAE FSKAVMARRQDWMTKDILPDVMAFVSFTKMNVEQYGEEALASTPAINDMEVLKEVHAS VCKLSGVPTVHILSNEDETYTEHRVARKKCRPGEPSVAFPDKKA XP_828455.1 MGQAGGKEQKRQQEKWEPPVATDIGKKKKRHGPDAAAKLPKIYP SRACLLKQLRLERCKDYLLLEDELLTMITSQWDAQENLEEGAMSHYEAELSKVDALRG MPLEVGTLEEVIDDTHAIVSTAGSEYYVAMLSFVDKEKLELGCSVLLHDRYHNVVGLL ESNTDPLVSVMKVDKAPQETYADIGGLEDQIQEIKEAVEFPLSHPELFDEVGVKPPKG VILYGVPGTGKTLLAKAVANQTSATFLRVVGSELIQKYSGEGPKLVRELFRVAEENSP SIVFIDEIDAIGTKRYDTDSGGAKEVQRTMLELLTQLDGFDSCNDVKVIMATNRIETL DPALIRPGRIDRKIEFPFPDEKTKKMIFEIHTSRMSLAEDVDLSEFIHAKDEMSGADI KAICTEAGLLALRDRRMKVCQSDFVKGKENVQYRKDKGRFSKFYL XP_828456.1 MMLAVIVAFAVIFFVTLALAGRGLLPFYRYPPIALNPDVYQSFK LVKKTRVTHDSFIFRFALHASHQCLGLPTGHHIRFRVASKHNFTGTPQVVQHSYTPIS SNDDKGFVDFLVKIYYKGSNPAFPNGGRLSQHLDSLSIGEAVEMLGPVGKFQYMGNGD YTVEMGKGEVKRQHVAGFAMVAGGTGITPMMQIIHAILKSPEDPTRLWLVYSNHTEED ILLRDALAEACKDPRVKVWHTLTRSAPPDWAYGRGRVNEEMLRTHLPPPQLEEGSVTV LLCGPPLMLQDAVKPNLLNIGYSQDNIFTF XP_828457.1 METKESCREQDPDVVCGQEEAQTPEATCGEEATETQEAPEATEM KGEEQQDDNPSCENEEAQNAGAPPDEEEGDVTEPPDAAAGSHTSQEAGVNSVTAEGGS ACTSPKGVSVTAPSPTEDNFPDRQPQIRRRLSCAGLRIGEHSRQVDEEYGYPPSHYHT LTVNCGGANGDGDNINCGQPFAPMARGVGLVDLPSMYAKKDNANGDAVLPAASLFAHG SPGEEWESTFTVKMGLHANDDD XP_828458.1 MIPALNGVVTKTVLLVRPRYAQQLELRALTKYKLHEAGFIIVRE EYRRVNEELADKIAVQLDRAAFLSGTAAPEEVEAVNEGDSATNGSRMFTRSHVTVGAQ ELVGNAYLYVLAHRDCHAELLRFLDRLFADEDYTMLLMSINEEGKEAGSAAGSAATQQ QGDSRGPSIQCPLFCNVTSVAAKQVVQLLFPRMLVHDVPNRTVTREYVQAELKNALMP ALVELSRAKPENPIRWLAERLLNTNVRAPPLISAANGDTEVTEQ XP_828459.1 MTCIQENITGLLFLCCCLSLSLWVLSTCKAHADGETAEPNTSCS YMLMLDCLLHPEATEVATRRLQKTNRWKWWRSGLPSGSEVHVHPPPAPFGTWNDFLLM DVRSPLCGKLSGNVMESEISGTSFALPTVGRVIVLRVVEDRWVPKEFTRTHSIESRGD KLSRWRYPRQYLRLRTALRWSGLGVRVAVLDNGVDAALLPQRDVEGIPNSAGEKSDWS VALCRSFVPDVPCENRRDSHGTFSVSVTAGNISLTSNLRSSEGFGNQTTSFTDGSTAE EMPAVSKHYVGVAPGSTVGMFRVFDDARGSKTSWLVSALNDVLQWRADVVSLAFGGTD NMDTIFTEKIRVLAMSGVVVVAAAGNDGPTMGTIHNPADQAEVLAVGSLGTVNCHIVA NAMTAHPGHDDREGNCTDSRDQRWVSQFSGRGPSTVEFPFGAGRVRPDILALGEHVVG VGRVMEKFGMSGEERVLGLQVSHGTSVATALVAGVAALCIEALRTLGDGTRVNVALVK GLLIETAVELVPNTESLNSVERVLMQERKKYSSQGAGSWSTEGDAFLNGKRRRTLDGV DMVHTLFHYRNVLQFSRLSQGGGEVCPTCALSRIEQRAHEYDRLLEVFAFPKAVDATG DHHLPHGGKGPAAATLGPCLLNWPYCEQPLFPSAAPIAFNLTLHNARCESSRLNLISP NVTISGAEGFCSMNRVCEPGYLGAEIAQQLVLVRADASLVMSAHSGWLSLFALSPANA STTQIPPPTSAAESNSPDSFALDRYDLITVIGSVRLAYLCTASGEHQKANADVDESGG VRSVTVPFKLPVVRRPSRLERVGFDMSHQWFYPPGQVPDDDIRQERHVLHYRRGNGRL RGHAVRSRSGEKRCGAYECESDHLYTNMALFFLYLRRVLGLFVEQPLLTYLPFGVSKI NGVGGVNAKRDVKNVSVAALKRYYGNIGTLILFDLELPLLRMERTLISDAVRYEKLHL LVVSEWFSRSIARGTSSHSSTPGGSLFPSLKGNQNVNSSFCDADEPKVKSNGCLPKEP MVLDGSSHVPSLNALLRDVSHGKLQLDTEHVVSGELVLATCPHHSYKMPSSFWSISSW ISWLWFGGAQRADGCVDRHLGEIQSAGVVLWPPTGERNKQGDESHSELGGERSSADYK PTAVCSIAKNWARGLYFLSKLKDSGGNLNCDDATNGCGDGTKQREVDVMHPVGQSAFV PIFGFVGSGGDCLGEDGLFDNEGCMKGAPAAESLRHAGGRVVLFTDSNSFSDLPHSAA TLHRLNLLIYDTSRLLSRSSTETSFAIDISHKIEAIVREESFQPSLSLGLIGDFVSFL HNGGTKNFMQGVDCRRSDGTSLGHHWNDISTSEKAENGGRDGLHAANEEDKASLLALR LFQGAPQRVAIAERVRAVLVDWEAAIASEADYAETDAVITDGDCNGSFFVQTADSRKL WSNCTYGGEGVNMILWTHILGLTFTTLVLYATNCLRCLLENMKVVAVEPSE XP_828460.1 MKARRAARGSLKEPKQIPYTKSSPFVASAQARGAGNLLWKKPMA FLSRMVSVVMEKVRSSPSKHLKYGDILRDIPFHVHNRIISYFSTHPTLCVEVFGVSHG QCIRFTHGPWARQTAIVIGVRGGKLWVLDKDGPVMARPLFTIEQHDWEKLLPDEELLK RLKEGLEVARRGAELDYDPEQREFLQKAPDLFVEVVDDDMDYANAGSSAALSSRGSCA KTIRSERYTSNVNPVRGMDDGIFELPERSRKRVILVPQIAQVTGDAGWAGEGLVVVNG GGVRSFTSSLSASVLL XP_828461.1 MPCNEQTSHKPKPNQLVDGGQMKVLSAGEQVLVLRHLSRTYRLG VALVRVLAALQLLLAFLYICLIIVGYPLVVVDLNDNMSNTSASPTKPGALSRGGTAAI TISAVLLAVAGLSDIRSCRGVLYVHPSLPDIGSHTNNFPRTTQTRHVEGNTDSFSDIS PRYQFVIALLSLLPTTYWLFTMVSYRWHLAQKGALLFGFVENWRELLIVIWQPVGHTI FGMLLASMVSAKEDLIGLAHMKYE XP_828462.1 MGHSKETGRRVKKAKWKKQEGSRVVEKQTNHTVKNGQEKSKKSS QGNVDDRYVVVITNVENDFAKVHREAFPRFCCLDKKFERGCSSPSCEMERRTGTTSDA SQGRAQCHYTVSARTETRSVEIAIYGKADGNVSTVFTPVPGKHAATLNGGKKSDDSAP VAKAKGKERHKREVEDDTIVECVYGLTGSGTRFDTLEDMVTSLSRKPYFTHPPKFLLN IAGNEDGAILKSPKGMKRSRESGEGEHSQSTPSVGGELRQSTVRQSEMVTASAATSSR IGAKELRQQLKDIPGFVTCWALYQQHFRLVFVSKAALFKAKHLLDQFEVDGSVRVSIT LPDSAAKEFVNQLSAEENTI XP_828463.1 MARRQRVPRIKEHRKKRNKNPKLSPYQRELRRTKLANQPPIMKA NGRDCSISQRSLSQYLAERHEREQQRKQRRREKVAEAAAAAASASEGPGGVGTPIGSD STSLSSPPLVNNGNLSDGLGERNKKSKVLKLAAKRVLTAEKADSGVTVDTKAAPVPLN EQIAATLARPVLAFRTRDGSGAESITDDSKESIIARKKEKKHRKKVEARRERLQAKLQ EMEKQLADEVLSTKGGKKRRRADPVDAKDIAYERMLRKMQKEKAATEAAEKRAAGKKG KKTVDSNNLDGVKESRKRISFDDGISGTETGDGPHRPMRYPKDKGEDQPRDFCELVDV VRYGERVEAPPVFDTVPSRNASITRLASRLDQSSQRSAKGAAKGPQSERLRLLSSVGG MGEQRRLERLGFVPADGSTKVTRGSGQALSKEEEMERLRLSVVEAYRRNKRVALEARN GTDMRHQFPLFS XP_828464.1 MGQRWHDILIAGDEGDRSFSDAAPFVVEALEIIFHTRLLHSGVG VECDSAELSTIKDDHLAFRVARKDVEALRGMMATLLESAHDSLLTLQAADILPDDSAE NAVNPGRSLLSCDRGLVSPRILKLNPFLRSHPTFDYKVFRAKFERLCQIVADARLFSA CEPRVKILQSRYRLYRAFNMRREEHFHPTLGPGNLRRAPKTDVRRVGTCMSASSVVDF VQKTVSNEPNLVLSTSSVLSTATDKGRARNPIGRGFTSEVQLAVPQQTFSMPTKDSGT HDSTGERTVTLKALADAFFGPSLPPQDRVFTMEGLGLRLSSSKTTSHTVDILEARNEH HTAANAVPILRQFLDVYSGNGGALLGRLVTPMISRISRNKKDVLEVEFTVTGHRPGEV QHIASWCVRTGFFASGGNWPSLRITMRPSAGDGGECTAKSMEDVLKHIFEPIWLALLC PEKYPDVAQLFRRLVSVSVLVSGEAGVQEMPTEGDPAMYSVEKGDMPPSSFFIYHVWR NVQLLNCFIATHVVSSLSAKEPPTSTTEDVKVEFGNSTAHPSHVQRPLFQRYEPLKRQ PLRFRLYTSGTRKKTFVETVIGLLVTDQVVGPLEVLAWSSLTYFYYLTQRSIVVTPYH TYDSTPYSMLKRAIPFAVETGLRVSVSTIDPLYFHTNEEALNEELNGIMKIHQVSTPE VMEICLNSAGYINFDIEKRCKFIGGPWRRVSAQNNNFTVTQVNSLRLRFRELSLTHEM DLLFRKGLTKWGGHSESKRLSEDFLVHGGWSLLATGSSASTSAERRRENLWRFFDIPP LPEKVSGAMTMPGSGRRLFVDKLIKFPRIVVLGPRGRKRSEARCVVEALQRREYYKAF TVHYELAAPLASLAGTAGVEALLASQRSSRSSGRGFTSTEKWREHHDKGEQTQQPSTR ASGNISSSIRSFSSPVVREQGVTVPTTFCFRDGVRDVVVPANANATTKEYFRPLPSWA KFQADARQLRALSSDSSMLRYANRRLDMLECKFNLHVALTNDDQETQEGHLTDMLREK SDIYKCVKVDVHCHMASGMTAKELLKFIKEKVRMNRNDVVDIDRSTGFPITLGELFAK IHAEKLSGTTFDVEDLTIASLNVKAGKATFNRFDVFNGRYSPLGQSALRSLLLKTDNF IGGRYFAELIRTVFDRQAADGYSFSEYRLSIYGRCHDEWDRLSRWFLTHDMLHPTNRW IVQVPRLYGIYRQNKILSSFEDLLTNIFLPLWQASIDPEKHPFLNYFLAHVSGFDLVD NESERETDSLINTSPSQWTSVENPPFMYWLYYMWANITSLNRYRAARGLTTFSLRPHA GESGDPGHMAEAFLVADGVNHGINLKDTPVLQYLYYLGQIPLGITPLSNNALFCRYNE NPFALFFRRGLNVALSTDGALIFHHTEEPLIEEYSTAANYWNLSQVDLCEIAKNSVLM SGFPSYRKKKWLGELYALRSAVGNDMRLTRVPQSRCTFRYEVYLEELSYLEAVASKEV SPSQIMTWQLEGLYTMTTLGLTRDEVMKQRLKNQGVGEYASPVESRTKATTVLTGPII VAPSRL XP_828465.1 MRWPRGTVALHPAGLLGCNFVSSARRLLYCSSVPFSLYRSTHGS MKSVAAQLKSDWVFIRRRRMESPPPDRTEGEQAVSAEGDDSNDNNNAAMKCFDHWSSD MNGCTAEEFLQGAKQSYRSLGVLLETLREAASHNGPTGRPVAREVALAKLQGLLLKNY SVKEDIVGEAVISSEKGSAKGTDAFHKTVSKALKQVLPLSQGLSEHLSEMWDKQRTLD FNIFDIAAPDDYVIDADMKLFNPFLVKSSDDLLEYAAWKKNGEECPKPVSVDATTGGA CSSPPLKCSFSSGKFDPEKVGERETWSIGCLQRVLKPAPKLMPIAFVSLDVVMPPQRY CEAFDWFYQRTCGYSSEYERVEAARLYTSPSFFLGIFRQCLFLVDFVKRMFVGRPLQL DCTRLVQKLHGNSVDIRRSPPAVRKLLCFYMEGDGRWTLFDVFILERVLVPVSPTASS K XP_828466.1 MSKKGNIRLQPLSNDPEGAPGSSLQQIKVQQKAREDNEKIRLKR VKGAIVLISQFLLDQGYVGSLQMLQQESGLSLQKFSPADNIDLLSIIMEYEQYFEFRF NRRPKLFRANEGVEEGCVDVPSGGERMAVRRRGNEQLGGRPKPQPLRANGGPITYGNA ASVGEAPQSTAQELKRPGPGAQCLARGLVLAHKASPPLGPSPSSPPGSNARRPVVTEC PFGLAGMRVEAAPEFSGDAAACRSDDDGFFGRALKPLPRFPTVELQELAMTIQRDILD SNPNVRWGSIAALDEVKRLLKEAVVMPVKYPELFAGIVRPWKGILLFGPPGTGKTLLA KAVATECRTTFFNISASSVVSKWRGDSEKLVRLLFDIAVHYAPSTIFIDEIDSLMSAR GGEGTHEGSRRMKTELLIQMDGLSKRRGGEVVFVLAASNTPWDLDSAMLRRLEKRILV GLPTHEARATMFRQILTASAASADIDWNACAAATDGMSGADIDVICREAMMRPIRLMI EKLEGAGSPSDLKSGVVQRPVITMQDIMASVACTQSSVQQSDLSKFEAWARKYGSGAS S XP_828467.1 MVCSTERAPVVILDGGSHHLRAGYASDGAPRLDIPALVGHPRNR GVAVAAGMNEYEIGDVALAKRGMLTVSSPIESGRVVSWENMEKLWGHVMYSELRVRPE SHCFIVPQSVNTPASQKEKTLELMMETFHVHSLFLGASQVLSLYSYGLTTGLVIDSGK DRTMAVPVHEGYALGRHVAESDVAGEKLTEYFASLLRLEGYSFGTPMEMQVLNNAKED LCYVKPPIFNMTGPSAFFSPSEFPGECDYDLSLEGAPGEGFEDGREDHSSDERVFYLP DGNAIPISTHRSLTTEALFDFGILGSQYVPKSRYMTELGEIFQPSFPMGVSWLAFAAI NNCQPVIRAQLYASIVLSGGNVSFPGTRERIETEVTQLYRETHTSEAVTPIAVNDIPC RVYSAWVGGSMLAGTSMFPHLAVSRQEYEEQGHRVVHCKCQ XP_828468.1 MCRPLADCTKRKKSTLPPRTAFFRLLDCLLLLTEVEVLVPCISF SRFPPFFFHHFTVLQHELSLPGFSRGSVVAHHPAMRSGLFGTEGLRKETPRGYTVVVE GTTAVLFPPAAGSSTQIGRGFSDIDKEEVGKQRKDDSCAPNCSLNSKEDNTCGEEDEE CGQAVFYNPAQVVNRDLSVCVIACFSQLRKEEPKNKGGTRRGITILEALSATGLRAIR YYKEIPDVRFIIANDIDCDAVECIRRNCEFNEVPCVAPTFQENFPSSCIRVDETTGTV ESGGAIFANLDDANDLMFRLATNPTVNPGHRLCLAATQNGSCKDGVDTESGEARGIRP LIQQELVDVVDLDPYGSASPFLEGAVRCIREGGLLLVTSTDSAILCGNYPDTCHAKYN TVPTKNAACHEMAVRILLAAVERVANKHRKYIVPLLSLHIDFYVRCFVRVYTQPAEVK LSPCKLGYLIQCNHCPAFWVRQIAVSRMRVKKRSRKLGNAGEDVTAGSQGNVAKSEEH RLSDSGNARGTNEGGEAHKADEWGWERFPAAPSRRENPKIVSPSLQQIFPSSLRGHCC CVCGASVSLSGPIYAAPTQSAPFLGQLLVEIERRASANHITAVARISGLVRVAMEELA DTPLFYQLPDVASFVRVRCPPAPLFIGALGRKGYRCSQVHCAPSGIKTDCPPEIVVGV MMQWKKYEEENNERVVEGGKEEDAVLDIHSDRVTSPPAMIEGGGCGSGDGKSNERGTR KKGRVDQRVPLVKPLSDVDFSYDKQFDFRGAVTGVAKFIPNAPNWGPKRKHQGAMHSA VDAP XP_828469.1 MWGFCKIDKQQREAHYANNYRRPRELDFSVVCGCWCPEKLLTCT FSSIYFNVKDTRPLLVVYINKGKVGVPFLVAQHLSSQQMFCRSVKWFSVFDACYVLNL DRRQDRWAHVQQQLSRAKLETFLRPPAKVTRVSGVDGQALDVEALHRNGLVTDVGYQR FLLPLEEKLFGMDLTPGAIGCALGHRKIWETVVEKRHQCALILEDDVEFHHKFPRLLR EVWPRVPSDWGIVHLGGLDLLASGKPPRPFVDVGVRHAYSGHRELTAYVLHHVAAKRC LEHTLPMTWQVDTHICSVVTEDPAAQDSYISDPMTYVFQPSLAIQITSFGTDVQKRPS DNPPLEDAARRMREFVGGGTSVR XP_828470.1 MPSSKSDSGDPTPVQHLANESMQSSATLSASAWASAVKRFEGPK SSGNSAPTDGGKPRHKESMFAVKQATAPETMDPIMRRLELRYEPLPAERQYSVQSSSR KQRGEQRSDVVDVSMQAVQDETVALLTRHLPCIPSTLPDVTEWKEATKSQNEPVLDDR ITAQTIHRLLCKGHFRDRKRQLLKCRSKQAIEEFDELLPSNAVNALSKQVSRLEPPFV EKMMEAKMYCKQQKNDESTPREVHPASCEGTPFSRAPPGYYHSHLSAPPPSRCSFTAS PKGDLSPLQKQALPGILTASEDNFSAKIPASVTLTLSTAAGDDQSIVDQVVAYAASKR RPSVAVVGGDLIETNTQGDPYGHGCDLKLHPSHKPVFSALDAVLSVSKEYEKVQQQWP GRSASRISAARRGITERITSLHSSRIPPEFWVSIHQPTLPGTRLLQHPHLAKREPSSS VPEKTLMFPAANATGRAQVYLLADTLDRMLEEGSGDLEVLADKELALLLIPEELDDGK PAGLGAVGSSEKFTNVCPLKSSDDAHAQYIQSAERVMKTIDIGLAELSRQVGSFCIER GALLDSLRIVINDLSSSCFALVSYCKDRARQELMMRRELASASSKDVEMVFELREQLK KAQSEVQALREVNNEYVKKAQKYDTLIERLMLKDSMFYKHSADLHLSMLMELEESYTE STNKGMDALYHHTSTNAAEPKVAEAPIIRLATMKQKEHQEAEKKVYEESYRLISVLSK TMDTVEKVCQPLYDHVDLPKHRSTVNVASSKWAMIASAVGSYEVEKKHRRRVFDVFAE WNSLQEPVPASALPDDKLKDDEVENKSHAVESHAYSYSTTPRGLENTHNADAQEGTFT TDVVTASQCTEVGQPSSAATRRMRPITKEDLVAMDVKDCTAEEINALYAEDFDMAAYL HPEWNPPTDVELTPMVLRDMIHDVTESLMHIALRMQALSTSSFIEQCLKPPAMPPAHP EEPCSLCGRKDRSAIGKKNRGEALQKVANEVQRRYEMLGQKCQRAEAERERLRKELQM QQAHECRLAREFGMREEELKKANAELAEENNRRGRRRRSQINTHERPRSSFMRSSVLS EMLNLSGSIDDAPVPGEEEK XP_828471.1 MGEYPDNATDTLSRAERLVSNINAKFAAFLQQLKPNEHRINGYG ETLVDGRTSASGFVRNTEIIELYGREESTSSTLFEDASNPHYVHRRKRSSSLYSTCGR SNQSAERNLFFAANDLCMFLNGGVDYRSICLKYQETLEVLLGLIRDDIGMLHSVSIFT PDMVSDAVKTLRNLYTEAKILLEQHGLVSGAHSPERRASTSPGRSPDHTATQVDRIGS QVMGQNNNGLDSGNGSAQSRSGAEAHAHAMYANGGGHSPRPAALVEGILSTSSNKHRI PSRHTDTERYSPLHFCSSKLKPQTTKVTPTEGPAAPDEVDITQGIHGSSTKEPKISNE EAFGPHPRECRNNARRLAVQEVYEKIFHNDGTDMLRDVMCLIAEKEYGFTAPELKKYD VCSQLASRLLHCYATASQQRDQVPNSVTSGPTLPFDYIENCFHCNVEPNDQVLNTLSC IAVGKRTLKIVLANMHITDDDLRPLVPLLSKFDQMITLDISNNRFGDNGAQLLCKSML AHPALKELNISGNFVTDASADALLQLAASATQLMVVRKQGTNLSPGVCEELERALRRG QGCRSAQRSLGSASHVSRRAQSEADAYNSPSAFSGVRSTNPPPVVALNSVGYKWQRPA VTSNSNSPTPISLSPSPLNYVLHEWDRLGACMGSLDTNCARTQQGVRLPCIIAKGKKE GM XP_828472.1 MAGTSLPSATTSRRYAAKVEKGENAWRREWSGFMSGVSLKDLRK GGGVSLPKSGDLGAMASAGSGEGCTYSYLFSSAADKKAERLAKKQEEAEKRKMRAQQS QCANDPLAHLSKHERTLEEAKQRGLKVDGMTRKEIRQFLNLTISKEQQEAEKRLQEEE FKPHKLMDEKLQWYQQGPHPIDIIAEKLVMRKAIKKGKQLGLRYNYLLPHPSWVARRE RRRRETPLIGLGKRFIFDDEGNMLDNFGTCHEAPEAFPQTGEENETTQHGESGSHDQK SLLASTQQRQRASEPTTLCTSTLDAVAVLVDPREVSRSFVRAVVRNRDAAIAIKNANL TTSYINSSLIKGPSIGVEDAEKEEGEKRGAANSLLKRPRLNSTDGRKVVPHKRSKAAS FEP XP_828473.1 MPLPTDPREWVKKVRAKTPVLLEVGKPAPKAVLSFGGCGFLVTY SLGVALYLQQEKADLLAQSFLLGAGAGVIPAVALACGARAVNIEKVRDAILDNRFMVT DEERRIEVLTKFINLLLPRNAVELVSGRLALTIGFSNRDPGYMKQTKEQIHFGHHVAQ WTDVSDLAQCILASMAPNTAKPMIFRDADNVMRGTMMSLSSELDQYCRHIYIHGYCGY PYNKHQTRHNIYFGRHGFLANTYFPFWKQALLAFAPTFGGAARREELLEAYDAGYNDA RRYERWEEDPYHFSKADRSPSDDFSFRQLRANLFGGKKAAERFEL XP_828474.1 MLKHRDNISYPPFTLDPNGVGVTTLGPGGAGKGGQQIQSFDDVA PDGAPVAAKRKRNRDIRGIERFKQVVYSEKGLSRFHAMILRNPILMYPPEGIDDARKI VLAERRGRGSEKQRESGSVPRNGDDDVLAALGEITPSGDALAGSTIHLEDGLPLSTEG HREGNGTNTAERCPTLTNGIDVQSTEEIQRSNNEALANYHHQQLDTLVGLYYEFNHLT FSKLPMKDTLQMLRRCGRSCMPHVMEFEEKQRAYRSSKLEQLRTLEAEEKRLNRVTLE LQEQRLQMELQEVEEEQTIINAVESSDSF XP_828475.1 MNDRPHFYEAPDLMDEHGAPIVFDDVYSDLLSVAVEESANDGSR PVCGLEAVRPVDNEIHSVADEGTESEGRHALDVLLYEYEHIRNAMKRCVRASHEVLRN LQQKRHRIEQQERTVRRRTELASSSTSFPIFKLNVGGMRFHVQRETFLQFDVTLFHVL CNEQFAVQKDECGYVFFDRDPWLFRELLFLLRERKQCLVENEVASPLVPPQDQQGDMQ HHRHQRRPQELYSVGQRRLAELPPIERQRLLEEARYYGLNELVAELLTRQYEWRHCVF GPLPSLDLAHGCDQVQPPLLASPREFESQRLEEGGHTPLPPPRCCFASSVFLNGSIYL FGGFGSEGEVIGTLYRFQLKPGSMCEAGAEIEGNDSGSCREPHGANSDAKGRHTRANA CVPGRPFMWYDLVEPHREGRRGVPPPRTGHAAASWGNCFVLVFYGNNLYHHLRDVWMY HTIQNAWYEVKVCGADVPARSGHTVTVMQGRFYLFGGKDLFQRDARCFADVYEGLFDA EGMELTWRLASSSGEVQQADRLRQPLAACDENCGEPCDAPSAAYHSAVEHKGRYIIVY GGLRDSEGITNGENPGGLRGNMGVGTAPAAYDTNLRLYVFDTLGGTWQRVQTRCAESK DQMGSDIPLTGHVAVLCHDHMYVMGSYDCTHHQRLEVFRLSLVTLLWCRVPTSVAVGH IPPCSRALPSIVLLPPTVEAPRSVIMVFGGYNTNTCQYLNDSYLISL XP_828476.1 MAANRSIFLFVAEGCTLWVPTHVPNENENQPVHMLNYASPRELS RSFRLFDEPIQLVDDPVAAARISGAMTPADVIKAVNEFRRACAEDHDLLMKKCYNSNK QVSSEVQLAPQASTGPAPQPLLTNTMGTTGSYGASPNTGVSTPTPLTNQALLLMILQQ QQQQQQQHQAAMLSQPSPLHQPPPMSFNNPSLMGSVPPPMGTGVNMPMDNRGMVAPPV PMPYGTPYYMMNQPVCGAGLMPPPIQYGGSAYGKGGRGLGRGARGGRGGNAHWSLSPY ARSEPDPLPPLANIPPDVYENYVRGGRELVCATMPGPRCTAWAREHTVPTNRRDTRYV HCRGGVVLILKAKASDIENSKPVELIDQQVCAHFVIHGYCSRNNCMHRHYTEQQLREL IASKITELRALTKSQRQNLSLALLEKERRSSVAAHDARGLRSGGHGENSGKNDEVVRD AEPSVRSPTSPPTPHLQSFSGHAVAAAGGSTHSGGGNNSKARISGKFLSGGVECESGD RGRGVSNSSPSRLEPEKQGRKPMHTVEIGVTDSNSDNGGDDNDLFTGSNRSSTASAMS EGGLDISVDNVTAESKTAPDEISEASKAASPAESNIPPEIPSELPQVQVNGMEVPHNE EGESENKESCESGTKEEGEKSDPVPSTCAEVNKVQTKARRGRRVKDSEENTGEVAENA AEAEEAAPVGEGEEDTKKGKTKGSTAAAKRSRSTPSKPSATKKRERK XP_828477.1 MYRRAVAAAIPAVTAKNARSISQYNFGQKPLTESWSPTGRSTPA AAGSAAQSAGIGEAKTTLLPNGTRIITQQRGGPVVSIGAYILAGPAYDPVGCPGLHHL MHTALTTSNYNNSLFQLDRSIRSTGASFSHFEKDKYYIGLRLDARVDMWKSNTSGATS DAKRFDLNLLQDTIFTAISAPRFHEPDLERFRDTIDNNLKELRWQRPAQYAIQQLETV AFYKEPLGNPRHVPEWSNGRITSKALLDQYARYVTPDRVVVAGVNMEHDELVAEYESN PYPHSSNAPHHAAFAKGLGKPAFDITNEHSQYSGGELHEHEDRPKEMCTKPDMDTETA IAVGYLAFGRSKTSLQRYVATLVYQQLFNIVIHDSLRYEQDVVLDGVRSFYLPYHSAG LVGFTAITSPENAVPLVKATMKGIQNVKFDNAALLEAAKHRAAVELTSQCWDSSRDIC DYLGTSLSLDAKASNSTQYLNPSEVLAAVRNVTASELKEVKECMMGSKPSLFGHGEML AFPSLRQLGA XP_828478.1 MLDGRELRDNISIAFHKSEYATPYGDNTIPGNHPGYRHKRLGRQ YAPDPVNRDSLRVDFYAPGNGVRLVNALAPSDFNSMQNLLLARDARNNNYVLDSVRGG RRHFCETRGAEERHLRMRRALNSPTSGRAELVPSCRAPGMYNYERGGPLVQAMLQNRE RQKMLQEAGKAMVAGPPNHNPLYLGFMFSLPSIQATNSQVEPLAVETTDQVGPTDTCD SATAVAKAEETTKLPSPTPGLPLEHSPPAYLTGIAPQRQRQAYMSTRRGWSPMQLITN DSKPYRKKRKVMESEASRLEDIRLVELLPKIKTEAKK XP_828479.1 MLASWAVPHKPKTDQPGNGQVKETMIANRSLRKEGPAGAGNVKG AANSTHHLANAVGDADGGQGGAGSPKAAPSTATTESLRVPPTPTIRLSTIGGETFDKN DMGPRQEPSTTSSSSPKTRSARVAAHLVPAASMSGTLRREKEVMDPSLYHQLCYERLI RRQDPSKGVDKARQSYVYYNHRSKGCYIPHEKDPSELTLTYNHMYDFGDGSRFLAADG EPPRVNPNIGSVLDCNYNAASKSGASRDYARLRPSTTSERRAANEVCRIGLSTTNGKR TVAVDLARSLQLSDIRPQPFQIRKTVEDVISSDLRCVERAREGISSVVGKGKVLWAEV PEKLQATFSEVQGRFISPGAESRREDRNAAAGYRAGSTTLAQNANAVSYLPASSLPDR AEGATLPTHAPRSTNSKCGQSPMSKPVALTDLPDHVLLGFTANVCMPKINQRDGEATL PHTPLREESTESEDGNNLRGTYESTLQGTDPTGLRGTTNRGSNTVPEHARANGGEKLL PLVALAQLRDADYFLTTGPKPVSEIVKLLGPKWLPERPLPYETSRLSYCRKYDMGDAN PPPNMIHWTLSKKLY XP_828480.1 MVNQTAGEEARVFVNNQNTRVATVTLPTLTKRSGGRALDGATDN SDSDGSREDMRDLNGYQTLKNAGKTLSSKDVHPRLEGVYHRVMRQLQWRANYGSVGTP PLGIRLGVESDKDSKVPERTFEQEELMNSNMSLTSTLLPEATPSLVKKRPSSQSVGKN LILSSPMKGDEGDEGALLAQQPCPKTLRLIVEEVTNTIVEKLKIAQIGSIKSCLCQVF ESRALLDRLLKEIPSYSQFQGDGERLLTPMKLQELYQEFRKQPTVLPNACYVRDPVNG SVLACTGDMCAAANDPEVPPVDEQPVPQQQEQVAEQPKTLMSSQFTLCQQSVSLTGTW VGSEAKKPLQMNFAEAAIPATYGKSSRIPQNVESPSKNKAPDMKLKFAPRPAAIVTSG SIQQDLSSEVSTVGREGGDLTREHSAPTSSSRSHCTSKLDTQYAPLSGGGKPKELSEV AKCHQETRYVSVGVVTDSNSTTTVTCEKYDELVRYVESLHVDIEEKKREIKLLKEELS EEQQYTSRKKKVVQYLRETLYRECSALRSQLTIVQQKQIQYQTALKDQQRSLTGMQAS PIYFQSPAGVCGGDRKRNNSFSRGEFWRPPSSGVSRPGAYESSRGLNNSASDLTRRPT PGSAQSHRRHGTHSDENDENASVDDPSTDIGSSYTTRNMNAYDGRGGGLNRSMSMTRD VISIDCTAVQSLLDLVLLAVENDQVLPASASKARNANMEIIGKAMVTDPETSERRARK HFELVQRKMKENYARSRGELLAALNTKEREITKIKNATDKQYLEKFLREQVDELRSSY RRIRRATVENLSELWNSVYLMMDGILNRATVVDASLRDNEKLYTSFTALQDLVSAGTS LIGPMLTTEYGRGYHPWPLKLRNTSEPFRVMLRARYGETQLQPIHEEMSAFNDLYVNA HQYVTRNHVVPQLKRPAVGPTLRQLCALLVLNSATTNEVWQQVSEKYGREKNLQRHIA LLNFSLVSLMYRQRVLADRSAAALREAGMDMKLIGLPVQRRVNRIAEELHKVIAERST VRRQRVDNARDVYRIWKATQINIYEGYATPAMPPRLTLVRCDARGAADLGPFTPHLRG ESNAEPHLHMTTDSRRAMHELINREGADDVLGSDGISHASTSAILSPTAAAAETNE XP_828481.1 MRARPSWHLRLNKNRDDVTTFRGFPATARSCCSIGSISGLQALP HPMELSSVEYRSEGWTHAICRLYRTLYKLHAKQLHPIQREFGDRFVQTEFQRHIDASE KHARIFYQSWYSYAVQLLVGQTSRDMTAEEQQQLTPEQKETMRRLRSHVLQAKQSEPG FHL XP_828482.1 MRRASVAVTPSTSSVLGGGASTTGTVDPTTGTSGASCQSVPRLA HRSVMDTEDGLTSPKPRPQDIVVTKSNPTLRASAVRPTLAASSGAHIRRRPPSVPDRA VSPHFATPVLSTLWRDMVEHRTDPSPAPRCSRKRRSTTSATAPLARMPSAVLRGSGEQ SRGKAVGQIIEEVGRARSLRRVTNDRKGGSLLSMHATNTFNGQRHLLSPVKGSLGTAR PPIVLDAKQNTRSRSMRMKLQEVTPSNNDEMRKEVVSCYRKLCEEVGQSPQESWIAAV LGEKTDITSDSNVGLPIGDRSTANDSVCASASASGRNIDLSLKRMPSGPALSAKSQSS VEGRQKSKGTSKIQGCSTVKAPSIASTTKFTNLASGANVLRDESLRRMIAYCDHLRPY SSKMEQLKQRRQDVRESLNRFLEVCNKKQDGLHEDRALDSEQAADNDSSKSLTISALQ SRADSLTSGLKELQRCNVRLLLCLSNLSCEVRRPLGSISGDAGPASNDQQQEIVKACS VPAVPQIQSVPKASASLTSINLPTAIPAAYLHFLRSQERIIVQELSELCNRVRSALAE GTNISTADEGLLETPLFLPSTWSASTNSARAVKDRKLSEQKLQPKTGGNLSAAARRAV HASSAPASKPLTRFASSTKGSEHNYSTSRCADSHRTVDSSSTTHASDVHLPFVGVAFA DWANTSDDEKESSLNSTYPQRNDSTARSPRLVIGGGKRRLSFDTATEKHIRSTTSANG ALANKFCRARSSAAVLMGSKKVTPKLNARNASPTRTAKRSKTKHSKKSSTPAVEGAIT AAQIKQAEATWETIQGLALRNSTSGNLGSPTQSFSIQLAMVPSAVDEARDGNDMSIIG ERGSPAEAAGDFRATGPSNQEILSTRKEQLPLLGKEYNTPVCQGVEVTSVDENHRRVH AAQVIERFLLRCVRQRAQHTDKQKKKGKEETMVEETEAATAKPFSAPVGSVFLCDSEA CNQMAARIVHCWRRYKSRLEIKQLQKQRWEDEHQQQRLQHRNVMAARLQSFFIVNLAV RRRRRLLQQKQEEAPKPVVANSNEKSTKPSTPNTKTNKENGGSPVPTMRERFDRTREK RKSLLRDHHDRTELSTTSSSASTKSHTGAPMKSLKTRTQSATSLVKNKSGTRATVVPP LHLKSSGLSTHAADNYHPDTVTLKVGMLHRLLRAPKPLVYALRVMCSRYESRPYSAYD VLASCDWRTVKDDVEEAAEHNEENRGKDGNAEEMAETASIISGNSSVHAFENMMPTSK PRSSDDLYLQIKSYRAIYNSSSLKVKGNVKDGNGTPSSFLNMFSTHPPSVRVDSFVDT NELRFLEPIPKSLPRPFEHWSIALSLQQRVFTAAAIYAWKLIFSHTPHDDYKQRMLDT REEQEARLDRVEQRNRMILACYCVRSESEWLREASADLNFVGRIWNPKRSLDPNDPDF ERHCLETYEFVENFLYQCFPTLSTLEDVPTFLMGAGIYFMMQATLTKLGAGEGAESFV DVGRLDENTSSLNAQQRECLFVYEFLNLIDTLSFWHSQSKGKSNDASPTGKSSSKQPG TAAAGSTQTETSDDDFISWRAHLERSVNAILGASSTGVGTSATTDSLTNLDGNITDSS DCPPIRLVKCPSSNVVAGEKVSMERFSLAYPSGFLVGLSERLMRCLVGVKLDLDVCED LDVAM XP_828483.1 MLRASVLLLKSSIRTSGLLLPWKIRPVADTMERRDAWKELEQLL TSITRLSNEEAIEKMTEFCPRLAICDIEYPVETLQRKRRLEERRMLRQHHENRSRNGK KKGEREQVTETVTLADDEATRKLMKSTLRILVARAQKNSGTSDREVVRDKMKKSSSKI IVSSSSATPAPLPSKSDEGKRSGADKKANRGEVDGTQNSKRKGEVEKSSDLVSSPTTT TTGATAAAAAAAMNVSSSSTFPSGETPFRASSTASTAGVKGADTSSGGGSACKTGKGK XP_828484.1 MTVCFKCKSASAVVCSRDGPTKSYCSSCFTDFCTRLTRDSLFRN CGLPCDEPVVVAVSGGFSSMMLLHQLGILRTQNRIRQGEGRVTFELIPLHLREEELMA PTQQGPVNAFADEGDDARQENGRYCHTFSEVKEQFEELECLVRTQCEQWEFASTPLFT ADEVQIVQYSDYLSPDELRGLREVLHHPRIPLSHRELLYRRLRGRILTVAAVESINKW RDIRQVSGQWYHMLTGENALRCCVATLREVMSNSNSNRIVHFGGHRGFLGQCMVMRPL RSLIPREAVIYCRLHGIQAGFTPSLSTLTALRSFDRTLEVFINIMLGSYRTSIFNVLN TVTRLDVGADAEILNVDLRTEQSGGPCGENTKQAKRVIAGKAAQHHREQLKMRRPICC LWEGADIKGEEEAEENGEEGKLSNQSRRLCLVCGCFIICADEHPVTLRFGRRFVCESC LQLMNDFANTRRAEAGTESERILTDPAVHSAVTTGPGTMLSAFESLTTTMQMLVAVTG NSPSLAGNDGTGEDRCVRRRLSAHGAEEYILQTDDGEKDS XP_828485.1 MICRFPVDISKVWGNKRFQGQLYLNMTSAGRPQVTLDENYTGED VGNLSRSATLECLTAKKCGKLKSVKFEKAKNLVCLHAESCGKLKVIDVSSCCKLESLT VTKCKNLKEVHGLPDACELLALNLSGCGKLEQVEGLCATNIKVKKLNLSGCKLLEPLG VLGCCKNVTELDLSGCKNINQSSMEIACKELNGLETLKLSGVPTVSDNWVWSLGVSNR SNANLLYLDLSRCLDIENAGPLSMIRSLKELSLDGCTSISKGLEAVCGLPNLKKLLLS KTKVTDECLENIKGNRNLVVLGCSGCLGISSISCIGEVKSLEELSLEGCTNIKKGLDI LPRLPKLQKLWICGLNVPETIKQKLKTQGVKTNPLR XP_828486.1 MLSEMLLLLCILSVASVLSFEDMVTMTVSWPHNASCKGIQGDPR VCRALAVLVKDAFSSRCKTVTGDVRATTAEASCHLQFKINEETPLYYLCNGDTVREIM QKQEDLHLPAHTNGEPDCSKLPARIELSSDKDSKNAATVSAPANTEKESKGKPEESPA TETSDNVTEKTVPEKPTIKGPQTKNEKTVDVKSTEPQSKQNSGSMRSLFGDRCFFIPL LYSMLL XP_828487.1 MCGIKYCVVLFALVLRTSISGEGKIVNEDEFGALCGMINLAQAG LRKIKEVRETIKGTIRIGSRCLELTGGRVLDTICEGENSRNCENRRTFWKKAESSSNK KIAGDGLLDRQTEEMIRKIGGEAADLYEDVTRKQWVPFANALEEKINEALYGVPWRPE EIKENTTDRKQLCQQEISRNAVHYPPASLSRDLLCVCAMDLESVRKHRLCCEFCGYED NREVWKPNKDSWERWNFLRAHCAFLGEPKEDFEKLASTFRRLINQQHNTPIGVMYILG YQKRNSFWSLGLSSRGPMGPGVHYVLMGKEKTTDDIPWLKLLREVTMEMGQPAQDNVQ EGEFTEALEKLEQDLEKLIQTK XP_828488.1 MNALHKRCKGCNYIPLGWKCFIHLYPLSEAGYTIGGVCILGHMP PFCSVCCDHRQRSNTYHIFCFFAAVAKRISEGKCATEHANCLPYVVLRVAECWERGYD APKREKYAHCGALKGLREVAVTVY XP_828489.1 MLMNTPLKRVEDIPPLLRERLTKAEESGWKILDLSGCKETLDVT ALTEVGMLEQLSLEGCTNLRRGLREICNGERTRNLRTLHLSNLPMLDDTCIKILGGTH LRLELFTCAHCKNVTNVRPLAEIKALKSLSLGHCVNIKHGLKEICGGLTNMRALWINH IPAVDDACIAELGAKGDGYEGHLIWLICDGCLGITDVTAISEIKTLKRLSLTGCENIT KGLEEMCASEKLQDLQDLWVNNVKAFSDKCLLNLEESHRKGGWKLQVLSTKDCCSITD VSPLGKLVTLRVLCLDGCVNIKQGLDHVILSLVDLREAYLGGIGITDRHIELLGRHKK IERLHLPFSGNITDITPLTNVWTLEALCLCGCLKATRGFEKFSTLFRLKKLCVCGVYV DADTVMALKGKGVAVKLW XP_828490.1 MHINVSGARPEHTINGLEVDVEEGVEGLRRRIGERLGAPPEQLR LIHMGQLIDGSRPLSSFLQDGTTVHVVVSPGAANNNTSGDARRGVSGGMDITASIMSP TGLTEFLTNIIPDIRNASTGNARDGVPVMMSIARTMLPAAVQAFSRFEGTQTPQQTRT QSQNQTQQSQQPQEEPQAGQQHMQTHHQSTRVLIGRNSSTPEPTSLHIHLHVTTNDLD ELPDRLERLGRRIYLPSLSVSTHVEPTSEISGNHRSSEHNAGRTADAAAPHSNENNTT EADEDEIVSDGLILSLLGQLASTTRGNPPNDGEFGTHGLGNLLIRSMIRENPTAFLGI CVGNWESFAHFQSRISEVTTQWLAAGRHAGPVTPEERQRRIEQEADRLARLVAENLEL VRAFEQERRPNIDVAAELRRFVIYAHETVIGAIVNPHVSGSAWAQGVRTALVFAGGIA MDRASTWFTRGAESLTRLAGLVASAAVQQFTSLDQSTAEQLAAIIDGTLPTTLLELQQ EYQSLPRPEGEPGIFNETASVTTTSEGANANELLDICLEEFCEGGPDDSSQAVPDVPP DGYAGELEGALRALGTLPDDAAEDMMNRAQRFCHEAPTGRTGERSSMWKQLERR XP_828491.1 MLSTACRAPVRGRAYCGCNRGLVSFSFYSTFAGDRRGAGGDETS GANSGGSGDSGETPKASKATVEERRRMAKSWENAFFGRVHYEPGMHDRYQAALDAEVE EHATLDEKDRCDRLPNWPDDEETPLHEFLQLSDAAKKRYIVRRLTMGERRINYAPYYG SLLMMQHLNLGELMISDAEHLVRESGWMTECVASKIEAVRECASKIKFEYDLD XP_828492.1 MRVLAVDNGKLCALSPNRSLYAIVKKGLVVVFIDYVRRTCQLKG ETATTPNDVVPPVSNGDVVPPACRNDSVISKYTALDEIHELQWSPDSTLVALLLARRG IIEIVSVYGKICVARVDAGVVGLRAMHWHPSSRAVYWVGLLHAHVFSLLDSQLMQLPG GVKYSAQLAGLRLTVPSQGQRNASPLRSRSGGRKVGSDDRSGGSLWPGKPVSEAALIR FSLCHRFLFYVTPKLLHSPLSAVHAEKDSVHSASSEDALQSQAAMEQTNADSKCFQRR SEWLVVLSATTHEGLHAFSIAKFVPCVSDCIPLQGGIALVDYTQGSVALTTYDGTRLL HYEPTGVRNVTASKCGNVLLIVFGRACRAVIASKKRVVALRRIFFDSDVVLPFKMGEL TVMEEPSSPEKSFVEFLQLSGGDKRNSSDVACLGKYDGWAAAGDDKELGAAGLAAISA SGKLAAVILPLWPSTVIVIDVVQQRVLSVLCHRANAVGLYWPPSPHSKYWQFQRRHYM QPLRHGRDDGTGKVAQGGSASCGDGSRSTASTSVGQDEPLLITTDNCESKVFVWLSDR AMCFAAAGQGCPVSAGGALYMCPRKVDALSHNASGALRLRVNRGMFGEGAEGVVLVDD VQETAVMVTLDGETGEI XP_828493.1 MATLTVTVHEARDLPVMDRTTGLADTYVVVKLDDLEYTTDISRM SCHPVWNRVFRFDTPDLLVLQEDPLEVRVYDHDIFSRDDIVGHTFVDLNSMVLKSNAS MSGWFPLFDTSTEGIRGEIRLTLKIKFHTAENPLAPRLPKRYVCKLPSPQNTRSPHTR GETSQQPQAVPSAAFMQMSSDLEPLRAARAQHPSFTMGDEGVLIFSAWRLDPSVYRVE TMLTMVEELIVKADPEHSKLTNLRSTRSTNEARIIQLYKLSGKVRRQLARKVVEMQCN AVLGYVEEFDMEANGIIVRAYGTPCVLSAVKFVDPGGADTVPAAQIQVVKRLTPPMSD SPVAMHCSMPTPLQMPPAVGHGDLAGNNVVAMNIRCLEQEAVQAAQQPQQQRLGISTA AQQTSSFHGTSALIPFVHESNSPGATRGDNPSMESLPPAPTGMRTNVLLLTVKDLPCG TVEHVGGHISAMSVKIVAKMKSRHLISQERDAWWMELREELRANARAFHCNVVIGYEE AVLYHEDVVVLSLFGTAVMLNLTLHPLRCGPELLYQSVRKRFAARKACSLLHLYAPVV RYKDATEEDANQFMCNVCHSRLVPEVLLTSCAMPQELIYRERPRLVQAVVTKEKSAIR GVGLALAVSQALPYIEYSLHKQLLFNLKFQKLNAVFGIRITLSISHDTIIGTLTGTGC CLVGLPVPSPPRVEVLDPAIRNKESVIRLEAVAEQLGRSRHHRLGGLAMNIGARFANS NLRGSDSSCDPFEVCADDFMSDHWDDNESFGGSCSNHEPGGAGKADKTTDYIVKIDDE EEAEMMLGMIGDLSFEDSLMVTIPYVPESANMYGSQERVVLNTRFALPRTYHGATAVA TVSECVANAKRSYVQTVCRAALRRCGRSSLSRLCVTSYACDFFFEPNSGELHLRMEGC LMTCTTEQQIRLMELEKRSFSECMRYLDADTKPVDHGSNSHGETHMVDGLRSPPLGSS SCGAGPAPQDAEPRVFTMSNAPYTLPYVFKVDAVNPAQWWNERIVAPVSAPSDAFEFY SDNRRRHGVIGRKLRTFASKVTAFVGNILKPTGSQTNRRPRSNAQQCETTPISSEVAG GGYLLHEPMRRIPSDPGELEAGDPVVIFTSQDFVAGQAIKRYVGRLSQHFIREAYEVD NSTDLGIFFQQTEIEMQCMVQAMVILMGGNALLKHRVTYHEVWDSDGSGCASLFATVT GDVVETCATPLVPLPCGGTGDNSGEPLICGY XP_828494.1 MMGDDDRKLNEQQMQLVKGLLESQRRDSIVDVSDAVNSTFFKEE VDCPTASTGGVFLTSAAGAKSGNLTERLLFHEAASPVECSIGGEQTGTVASPRDRWIR GVLITSSTPLMPKESVFVDERSNSRMLFENTPLAQLKLRVVPVSLPTFVPNSDRNLTA AGGGRNLREILEEYERTFLRSLLVCISSLLRRRVALERAEKSVAGSEGDNNGSDCNTV TSPLREEEFFFDGELPVLSVPIYYFAISMEDNATSRIADVVDVVEDFMHLLIDAATSV VMETTVILQCLVHIANTVGANGSQLSENVSEPNDAAAKEALVALHRLTEVMVKKTREK QEPRGAVKTAAARNDDCTEAAEQISSNVAWPARGDGFQPLVLLLGNDLEPSDFLRATL CVLPGVLVHCLKGVSRSPSIIMAYYIRRCAGRIRTPLQQVVEGERGSLHSDDPTNNAA PSGDESGNGDMHVFSFSSLLQCLQRARPVVNPQVCFLAELRSMWMRITRERLNYGERN S XP_828495.1 MAANEGNQSCMDALSSITFAQLAGPLQPVYGPSRVPRHQQFVDL VTDSSGMSPFIPFGTQGSANSSTAFPVDNAYLSKRAPPESEGQNRAAYYNNSSASMQT PEKVDKPQMLRQVSVGAPTPSSGMGMTASPATVCRYITHATPGSALLDETCTRTYLRS APMNFVASTGITTDNAIGAVSNAVLSAAAAALPSVKVSIDDKPTSLAIELEQAYEEHV HESKRRVGDKVKRKEKKVLVTVGFPGVDNVPQMISDGTEEQLLNKEEVEQGKRRRERR QSRGKNKQKGDNNNNNCIFHDGDKFVSNFSSIHADNESHGECGDRKFLVTLRCHGHRD VTAICCLRLSKGKYVIFEADRGVDLGEVINVKELISEPSGNSAPAQVLRCALDEEVED WKGPLVERENEAVEECRHVCEQLGLTIRVVGAAYQFDRQKLTFMYESDDRVDFRALLQ VMFSKFRCRIWMERRGRGHQDNDAHTG XP_828496.1 MSAIVHQGVSYRMNQKESGGKHTASVYRGVFFPLSQLLLLAIFA TVVSPAAASASPKLTGSQMHRTIEKVIEHHSFTPPLLRNYYGGEGLEHWFVGGTAVVT DDHVRLTGNYRDQEGYMWNREALDMPSFEIIVGFHLHGTARYPADGFAIWLTSSPQNA TGPLMGHPMDFQGVGVVFDTFDNDGAGNNPAVYVLYNAEGSENREYTTSNDFKNEHVG SCEYAFRQTSAKFSTARLQYKNETLRVYLSNSAEEDETLCTSVSVQLKTDSKDYYIGI TAATGGYSDNHDIAFVHTMPIEGEKYDHDVYSRTTPVEESANHHEAVTEENVAKNDET NKNQTHEQENATSAESANEGEKPLHEEKVEQHDAEKQEKEHKEEEKQNADKHHEEGKR DEEEHQQAEEREEDKQEGRRQSPNPRRKHRSEDQEEENHKGKRTSESKKRSAESTNDE KEVSGTDEEEAAELRHESNRAKGRRASDRRSRRSRDVDRDREEDEATADEDESEDEYQ EGEEEEREDVVRRRKANRQRSASSKRNRKRRSRDRRD XP_828497.1 MSVHSAISSFIQQNPGDVSISILSCVVSCLSEPGVASNLVDQHR PICCKFMEHLINVINDGTKNPGVRLMAMECIYTLSAIPNDNFRRGDLFDAVQKLNESF EAFLESQAPNEAGDAGSLNEMLTIILFRCSGYNRLNAGDLLQQIFNGDDTRLVAALQS IIKNRSLEWDILHACIRCMYQLTTPASYFAAPEDNQPIETTKISAFQDKVSTLLIHLS QQNALHELFVEIGSRWEAAVQEFQLDSIIEGKTQLSKALLEGVTMNFVMVFRYLSVML LNVADFCERMDLVHAYQNSFTERHQNFLVKTAIPFIRLAVRGWEATRDPAAPADSQEN PYMNVAITALRLLRFVLYGASSPVPEEPLAAALASLTQQVHSMEELLRTEYVGMLVLV LTTEILCNVNAAAIPTLAPLFTKFVTTVVEDKSPLRPGAQFNTAQAFAYCIANETSTY CMNENESVIFLRDKLQDDDTVAVGQAAVAIRALEEQLTVLQSLMMELAIGQLLGDLCL LIPVGPGGEQARPRANWGAPPNLCDEADTEKRRKTGDKKKRKHPQEYVCMLTKKLMRE PVLLSNGHRFELDVLQKVVDRVGHVDPLTGEAFTDEISVDAGLQQEIARYRVEMAARG DASAVA XP_828498.1 MYPSLRMLFCFVFLCIYYVKKAMSVGLYWSARSVRYFTNRYVGF GITLLLLVSCSLVMLCHLHLAVLTNKPTRFDVCLTVLFLSLIFLAFWSFGFCSFVNPG LAPAWLAESRVEGFVRRMLSDLQKMEEVGTDWLNTTDPQEDSSSRIAMKKALAVLQER ARRDSCGSSGSVANYDTVGCSERDSLLGNQQQHEVPLRPFRSDPDQTAPRRRESPESH SPMRGYSRQFLRVVSEAIGALDSTPENFEQEMSFLLGGIRWCRYCLLYKLDETHHCST CRSCVYHMNNHCPWIGQCIGRGNHKCLLLFVGYVSLAALVVVIHAIIAVRSGKVRLLS LDASISFSFFFLVCVFTVLVMVPFLVTELRWLARGEGALRAMRRLIRQRHNPLHGGES SSGAGASADSLSHRSKLQNLRLVFGTDDVFPYWFLPTFPQWPPREEQEAAFWDKVGDT IVKQLVSAMVDELEDGSVGVI XP_828499.1 MVDEETLVQIFTATLSADKATRKEAETALANLSNDPNIIPQLIR FACNDLRQAGAAAQVAQHAASIRVRNVVGRSDWNRQSYFTENVKANVRDCIVPLQCSS HVPPAVRRQLLDTTQELITYDYPASWPTLMPQLTSILDECVNVLNSDVGAVATPPYET AAARLRAALGVLLACCRYYNNPINVDAEAVDDFVDRIGPSLVSLAELLGSAWGQELLQ HSHAVCQTGVPLTFEISPLHTVLTDCLRIVLKCFHELTASRWPRFLCERPEMEHFCRV CVVQLSEAAYSTLLPLYRHRISSCEDDENGEVDLATTDESAVWKLLKWVTRLSYQLVQ ELMFPKKCESRARGSAKYFCENILLPLVQQALEFIRWHASPRIVTSKAYILALEIITL AVEHSAVYRQILFPNAGELLTQLLFPRLAFSSVDAELWSTNPVEYVRRQTDPQEDMYS ARVVSGSLILALTTPSRPFHDALALTNFMHFVLEKLSTHSAAAACGAVEESRVVDACF FAVYQFGGMLDVAGFPNERVEWLITEYIIPAAAYPAGILRARCALVLSVLAPKIKWSS SQAYQRVVHVVLRLLQDAEPPVRIQACSSFAPLICHPFAHEVITPVIGEVIQNYFSAM RMMDNEGVVRTLRKTIRHYRRTLSQWALQLTDVLAQHFEQMLGRALSEGHTDSVLESL DACNSEKSSKTLGGAGESTVSDSIMAADEVLDTLVTLVRSLPQPNVSTPGSQPVDDLL LQIQERTAPMLFAVLAQEGGSCFGFMDATLMLLTTVLSKSTAVSTGTWKLLLCLYQLV SQGSVDYFSQMLPPLDNFVCVAPREFLCFPMKELCEVPTFAAGVADSTPAQLVSIMCD TVLNNESDLRLSELAAVPKMYDSMLQNLWSLKQKNPEEGESRVAAARGLVEYVIQTAL RVLNDPQCRQMHRRTFTILFVNSVFSAILADPDLAIRSLSSAGALVPLFANYIELVQG KELQAMLRSYDRRLFIMTVASVTQIMCAKDQQMSDCVAEVLCGVLQSSVLTDFSHAEL VMAEEEAKKPELGDDGEDEWSNDGLDDDLVDNAEDEDEDDDDEWDDDGEDSDDDLDAN GMADEGHLQALLMEAAAARKDGKGGAGCDEEEENLLDEADFVSPIDSCNAWSLLLSAV NLCSLSAASAPPAQFIQVAKGAAAQQQLSAVQQVSDMMGQLMVLREQRKGAARSS XP_828500.1 MHHNEFNSVEMELREIEQDFTSGNMSAFGTPATREGFVRELCKI ADIETQIYYKTCGLLNSEASGDHKLRTMMYSTAEPPHGPVTNSSSNNSGTGTPKGQGQ RRQSVDLNNSSHDAERSNHVANNSDIGNAMKHSSSSASVPRNSEVPGGMEFDNTVTNQ QPLPWRRDAGLTERPFQDISKHFKILEGEFNVIGEHMRQISTHLLRLNEIAEQGKAGS MGIAP XP_828501.1 MQQPIGLTVQGLHGRSRQERTVQQKPIRRRWSSPPSPSPSLAPS TPPTAAASVINKNNQTNTAVVCLPSSPSTVSQLDPSVPSSSALAALEGPLPAEVREII HNSCTGEDAELAERQARRLLSTSRRVHVYRAELQSAIEEVKDVEQLLKRHQVIQTALR TELNDLNGRIEQLLKERRLCEIQLQQQGDEEQRRAAKLQEANMRVDILRSTIDKITNE TLAGHVLLQKLVPNLHVNNYLT XP_828502.1 MNPLFAGAVGLRVRCTAKLFVIRRSLSTYLEPRAYCSTGVGGCT AGACGGTNKDCLSFVLEDNLTEKSLPLFHSYRAAGTGTASKIAVITDVTAFNNVLQGV FKSDGEGVNGVLCDLEGAWRHQLDEIAQKVIAKHRVDDYIDNLYLPLLRYLIVILLIV QFIVLFRWVFVVFDWNLVEPMTYFIAYTAVWVGLVFHCYSVRGLSLETLLAERRRQVL YKRARIDGAAIDRQMKLLGGMEKLLARYGKV XP_828503.1 MFGLDRLSILFYQIDPRDYPEYMECARSTEQPGTILMESRAMQG VRRVVPIGYLLSVLYFYGYRRPRLSFADRELSKLHHYFYPSAGYSTPIGILGGIAYAC YYDGFCGCSEERAARAVLWERSKAMVAWRQHQRMQREKENAEGQQHHWLWSRLTFSWS GCGHSSGDDKAQTLSYEDFLDPYGVVSVGKQAVEVHDPSFYQIYSKEQVDTLVSAAMR LRKSPEEERWLRTSGRFGGYGIIGMLLTWNSGGMFFRLFMGLGFGVVCAGAISGAKLD S XP_828504.1 MAAPFIPELSLGSVETGGGWGVGEQFARLPPAAVQAESRVQLYT VAAVPEGDRTRDLEDSLTENFSNSDGELTNNRSDTASVTRPSLNSVKSTECPAVSTVV VPTTHYATVSADDDDTFVDHGSSTHIGSQSPETATETSNGKSVIRKVAQQQVPDHLKL MRPVSRMSLTQAVRALVVAPDNASFFAAVDDDPVTLLDFKGVQLEVNRELDVTHVHAM AVVRVPAAKLVTFKTSSPKHRSNRSHSVVSAAVKPQPDHGVEGEESYVLWCGVSRGSI VIVDLSDYSVAGVLRNAHAQTITGVWFLSYGKVLTAGKDKALKVWDPQARRCSKSRNI ATIISSVVYVSTRKQIWAISNDNYIRVFDSNGNNVRVHTQSNDKAENMVNMKGEMRFI QFYEPSDLIYVAMHRTLVIVDPGTCAVTGAVGVSLSSMSFLDNKALVTGYGGLLQCNK ESIALIDLSDPLAPSVLFRGMGLDGSVTSVGAQLLTAVPFIVIAEKEGRSDRYLSVFN YEDTKTLCRGGQLTSVPQQRKVTVDAPNTRRTQMVAHQIPASTLPPPAATSPSAATGA GPQGASSVHHPVVFATEKRGALVREESTVSNVCPQRPSAVVPTRVESSGFYYGSFNNN NPHVSNAGRGYNEHNATNKYGDRNIPVQAGTSPEMMAMLTNFAKQLEDFKTLSATSRS SRLLLDDMSKLHSLVSRLAIGDQLGPAIDGAALNAIEQEYQSHEGRVIAAAVERLRLS SVGVAAERGESSSYPRRPGVSRSATNAVSGRASPSPRLDVDKLRDSVRGFSNSYSRVE DPVSMSGCELPPESLLRWVAQLTRSGQGERESHRRQLESLQRHNARIVERNTAFINGI ARIEQALRTQAQRLLEEADATLVQPPEANWDASNSIYGDRHVQLLNQALQQVEQISVS SSPKEINEAIGGLITLNSRLLSAQQHLYCDVARKASRHGSVNALTSERESQGPAQYAS GGNHRTRNSVDNMFAASHTHSPRPSQVSVVAMRPQRIMTMIGDEVAFVETFVKDVGKF WCCLGETQKVIYLPYEGGQKPDLFQDFMQYAVLWHLRRSQVMVDVCRRESIMVVAEAL FSDFNDCQSDGEKSISESGNAAAHVLRGDRTWIASESTNCGGSRNGSVGGQFSVAEGA PRCSTAWMVSVSLELESVASRMRESFRDAQWTLPPSVPKETVGGFFLIPSEKLHVHSG RLRGLLYWSRLTMHLLFECLECLSGLVFHGDEQPRSFHKDNFKAMEQQVDDWRSFLQD LHNELTQLRSVVLLSQRENGSAQLSASATDGSDEQGGLSRSEEQSQSTDGVVPTGVER CMQWLILLGLYIQRAGKGDVVPPLFISQDSGNTMKAADFGLMAVLDEVAEDVEAVRAK TAALLRYCQKVQSRFARIIEEAVVNEEVGQVFIPLWRGGPIAERYCKGFCHDME XP_828505.1 MAEETSLVADKVPEPAVIDAVADAMPDSLEDALRIVLMKARETN GLICGLSEVTRALDRRTAHLCVLADDCEDEEYKKLVTALAKQNNIDLVSMDEREKLAQ WAGLTRMAADGSVRKTLKCSCLAVRDFGERTKALDYLLSQLQ XP_828506.1 MGVDLTGVQKKKRVVRHHTYSTNPYIKLLIKLYKFLGKRTNSPF NKLIHKRLLKSRNNRAPISLSRIAVCMRRRTVWLKKGKKSPIAVIVGDVLDDVRMTRI PALRICALRFSKSARERITGAGGECLTFDQLAMMAPTGKNTMLLRGRKSGRESVKHFG AAGVPGSHAKPHVSSRGKERQRSSKRRHAFRHK XP_828507.1 MTGLLILWDTGYVTALTFSLFTHSHFVVHGERRGWTTATADDME MWDGVVVGFEGSASDREAVSEGRGDVTCPATSTCVCTFLCLIFFYFLVICITLLLIIQ HSLLPPRLKLLFY XP_828508.1 MEKIVRTVYKQLYKKASQVEKDVAMRTALTCSPRRVYDHRCGKW VPMDVAKLSGWHETRVFVDALVRRLNGGREFFIPLANNGGGDANNTGMEAKSPSMVDI LRQSFESTPFTSTQIGNAFAALRELANVTDTAVKYSNSVAPPPEEVRSVPTPKLTVVS AVEGMHHLSLTRGLSEVLEGVPYEDMGVSRTSEEDVHTEGKAETKDTSSEEGVISEEE SDDRSSNKKATFDTPKKAQLLLAHPQLYDFFRYTVMIVVRVTPNESAALVLNKPLEND KGALMPVSMTMRLSSAHPLFAKHLCNHTVMIGGPVSRGSFDSTMLLLHRIPDVDDAIP LSHSLWIDGSYDTLQQKIEDGTADPKDIVVICGFSGWGVQQLEGELQSGTWVAASGST DDPALDNFVFTIARLAGTHGPSPVASDPSPTGEGNEAPSATSMEERNRWRRASLWAWA YSALGQPFASMAGNQKPFMHAPPDA XP_828509.1 MEQPSQMSVSAPPAPSIKRPAAPKLTYEEVRRAARLSVLEDFTS QRDHRQRVARASALGTDVHVIPAAAGGPLSKEGSTRLLKEHTSASWSVYLYQWSIFGL TGVSSISTAMGFYLTIYHNRMFLPVGPMMAVVTWRLWSSLESAWEEQRFIDNAASIRE SRKGNPMNLVVKRVVVNDEEAEEPAEGMV XP_828510.1 MFTSRCLLFLKNLSSSLLFLHIAVCLEVRGLVGLTMNFDDLFAD DLKSLEAVMAQMPKPLAKQPNPSVTTPVPTLETSAAAASASFVGEENRVGRRAGRRQQ GSEVASQSTAGAKAVTKESLPWELDDDLPQTSATKREEDSLSFLAPTAGRWQASPMST TGAATRAEGGASLLSGVGNNDTLYIDDDDPLAFLEGPVPSRRKPTTSTSSEARKAPKW GEEEGVVEVNKEEARRRAEQRDLLASLDAVEIELREVQSKINLLQIKSDTELIELETA IIQKEMQLESGEVSLVAERQTLEEQNRKRIESLEENTIRLLRQQSDEITVATSEVYQG QLKSFATSIENTQERIKRMQQQRELLLQNHRFDEEGILVALTDEEDRMSASSYANDSE SEAGGGTNIEVKISAALRLFQQWNKERLASIAGSVVDYLHKETNEVAREVRHNHTLSY LQDATARKELLNTFFREMASTYLDFFNNRAQQRSKRTTEVRDGLRQATEKLRELAKQR MESRMNDISTKANEAARRFQKLTLEAIECADRKAAAVRASDDSVARSQYVEQQSRCGM ELGCLEKLHSAELDTLRDQLGRLRDSESKTSRKKEEIKNICTSRADFVVASVRKLESS IREQLEGQKLWNKTLRSQAEGVMSLLMDDMLDLRMGIQEKEAVVLSLLDDTQRRQNEL SGTRLRCGELREQISEKLQEAVQCARQHHVLQESRVASVELTRTAWEHEQRELLQWGF EELSVQELIGDFAATGIGSDHAGVGQTQLPVASTNVCVLRNIANKGHTLATRLAALRS QRDNVYNAVEKERVRVTTARRGVDGRWVKLFEELLSLMSEQEKTMSQQLDAVLCIAKL EGAKSILRHDFELLQRRRGEVQELMKHLKRELGTVVERRSEFALLQKDLEQKQAILLQ GSRLTAQAFDP XP_828511.1 MQSRVSPGSGRRNRLSTPEAPQRTNAVPSGDTVISTFANVDLRV AKAAMNDYARSCEVMARENEQLRLELQRQEEESIKVVEHLKEKLEEARNTVMEQQNEI NRLQHEGNEATEAVRRQYTQMLGERDEQVAQYAALTQRLQTEMRACARSIHLREESRL EVQRLRDQIEEMTARHESELSALRFQTVDRKMRLLALEETMRESHQARVEHESSLLLE KKSHELLKNYRELQEERTRLVKDIDELMQLTTMKAAEFVDERRKGDLHQHACEEALRR IANSNKRSCEMQMKTQRLEQHVKELLQEKKSLREELSRQYEGKIQALEKALAETQSSL RCHRTELQRMRYAASKIVEQRSDLEKFFYVALNDVRKMRTKSSKNRGNTPHSTSHTSP SMSLHSNSTLKQCASFSSKLPQLSPPQKAQQAEPNLSSRNSAVLSASTGETRLQPHSP CVPAPPPPVQEVCASATSAAGKQARQERPAPLRRAHSNGIKPTQDAPVRGTVENVDSR LHINLGEDGKGVYLNDLSWDDKEKIIKALLFFINQTCYQPLSKTGGENVDEPEPVTAN XP_828512.1 MVGVPLLIALIFHCVLSRVWPSILTSYCVSFVLCNYRALLHSLF HLFDDASFRRKYWIFIMPSGAKANKFMNRHAVEAREREQERSAQIKAKKRQEEEDALW AESDAKALKKQAKQREAEEKAQRQAEQRALKKELLLQEEQELSAKVPQKVSRRQMQKD LSKVLADYDRKKQVEPRENQSQLAAGGAGDPSAGNLKKPGDWSKGGAVAEGPLNEALS ALQLRNPGEQVVPEDRHIGKRARVLYRLFCEEQLPRLREERPGLRRSQYNDLLWEMWQ KDPSNPFVQRNERRSADRLEQERRWIEGDDDEEGEEEDSGQ XP_828513.1 MNVPVKLSGRCYWGKPSGFAGSLVYMPLTGSEDSTNHCGTPLTF LGNSYYERRFGRKLPASCVASLPPLYPGDVVVKSTSATPASFRSRRLVDVTGIQTSFF DASERNRSAHRRVDDVIQSMHLYDGHEVPELHRGSAAVGKVMVFCAYPTGTTARSPLT PSSLVILENAAASLLNRRSSLYHSDSDGVQLVRVIAESCRAAAAQGLYLRPVVHDGCV LAVRLHR XP_828514.1 MKSNIKDKKTPLTLSLGKHLSLRFFFGGTSLAAHARLCQADRRE SMSHRGSCMQCGSFDEGAEDPRDRQWYCNTCWAAYNDSRKKGRWENREGCDDRRNNIG ANHRRRNPNAGSRGATETAGAKGGSKAVDKQHRNKAEEPERKGSAASPSWYHSAQDAV RRLESVLGSFAPFRQDYRHHLMPDATSLLDDLQMLQVAEKSSFSNREGEVRRQHTCSF VGQFMQRHQSITVIAHTGEPLSPRGNRVGGVSRSAYLENNKVSLDLLLSSVDDIVSQV YVRFDEDMTRIILNALDRVTACETQKQRDAVRVRAIEELLQVLGVEHCELIQCVMHRP RDIFLRLLEEFCTLDGGNDGGTTGDSAAPDHRGLTVRFVKTAKQRSVLREAEFDRRGS ADEQWLAHMTQRYRQLVRESELDEFFKRDLSINGSCPSFASGATVLQKEDHIRVHVPP PERKVLPEEDRVCIATSLPEWTHAAFLNITHLNTIQTSLFRTAFHTSQNMLVCAPTGA GKTVCGLLVMLRCIEEQRVDGVLDRNFKIIFIAPMKALAQEMVENFSRRLAPFAMEVR ELTGDMQLTKRELAQTQVIVTTPEKWDVITRKQANEELTTQVRLIIIDEIHLLNEDRG PVLEAIVARTLRQGELASEQKHRTRLVGLSATLPNYKDVANFLRVDLAEGLKVFGAEY RPVPLEQSFIGLRMGLKDKERRMDQLAYEEVVRNVREGHQVMVFVHSRKQTVALARFF MEEANVRGHEALFKQDETVPKEAQKLGCSLQGRDLSNLFAAGFGAHHAGLIRYDRTST ENLFRKGFIRVLVCTSTLAWGVNLPAHSVMIRGTHIYDPKRGGLVSMSVLDVMQIFGR AGRPQYDTSGHGTIISDEKEVGRYLRLLACALPIESKLQEGLCDHLNAEIHAGTISSI VEGSGWLEYTYLWQRLRVNPLMYGLKVSDARQDPKLKKIRYEIVSKAAEELADAGMIR YNPQTGAVDTTDLGRIASHYYISYKSIATFNTKMRRPDESWIDSLDLGAAMNVVACAD EFSQLRVRQEELDELKKLHALLPRQVQHYGIVGESADETSVEWKVTTLMKSYISRINV DMHSLASDVNYVVQNAPRISRSLFEIEMQRGHPLTTAVFLSLCKCLEQRRWEFEHPLL QFGVDMTDAVYRNIEKKRPSMSLLQEMSASDIGSLVQNQRFGDVIARLVASFPTVSLA VDIQPITCTILRVKVTISATFSWNSRYHGSVEPFWLLVEDQDNHFIFHHELISLKRKE VEAGLPQVVNLSVPIVAEYDMYSVRLYSDRWLGSQEEYSFSIGHLHLPDDSQKTTPLL PLAPLRREVIPEKYHTIYESFREFNPVQTQVFHAMYHTDSSIFLGAPTGSGKTIAAEM SILRLFEKYPVGSKVVYIAPLKALVKERVKDWMARFSRRLGRRVLELSGDVTPDITAL VQADILCTTPEKWDGLSRSWQVRRYVTAVRLVIFDEIHMLGSDRGPILEVIVSRMRFI GWHRNAPIRLIGLSTAVANPADLTSWLGVSHKWAVFNFDPSVRPVPMRVHIAGYHGRN YCPRMAAMNKPVYNAICEKSPNKPVIVFVSSRRQTRLTAMALIGFLVMEQNTAKFVRM DVEEVNAYVAKVSDPYVKHCMQFGVGVHHAGLLEGDRTVVESAFLKGKLQVLVATSTL AWGVNFPAHMVVVKGTEYYDGKTKTYVDFPITDVLQMIGRAGRPQFDTEGVAQVLCHE PKKGFYRKFLYDPFPVESALHRQLHTHINAEIVSGTIKTRQDAVDYLTWTYMFRRIVK NPSYYGLSDRSPASVTIFMSTLVANVLDELEQCGCIEHCQSDGCDADADPNALTYTVL GKLCSYYYLSHKTVYYFDTNINENSTHVDVLKALCEADEFEELPVRHNEDKLNLTLSQ ALPLPIKANNADSPHVKAFLLFQAHFERCNLPISDYYTDQKSTLDNAMRVVQAMVDIT ANNGHLHTSLRCMSLLQCIVQGLWWHSNTLLQIPHVTDTMLPIIAEHCAGLHHVSQLV NSPLSALTKLHETLCDHCGLGDKEVREAMEAVRSFPLIDVHIRLSRTVVDDGHATEEV MVKRGDGMDDDEEGDGYELTAYLTRLSTPIRHVVAPHFTKSKDEQYWLVIGSEHTGEL IAMKRVGRLMGNATTATTLRFDWDDDWTSFAENGSVPLSLYVVCDSYVGLDQQYNFRV SVPSRE XP_828515.1 MTSVQYALRAKRRSLQWHQTASMFRDEVLLLRHGERLDHVNRNW KSNVGVPLSHLPNTDPPLSTDGRWQALETGLFFRQQRRHAKIRQRELGMLSMLLTSPF HRCLETAIIVNITGFDGKLVVFVDPLLGDWQSSRLYSHAPGLGGSYDLCGDSILFCPH WESLHASLSSFFRTAACKRKLDGMRNLVDETTIAGWVETLDGLYNGNASFLLWTSPSV RRSLHQGISCGDASISYPSDRRGGYAPCRSITNNGIAVKYPEGPGCLLLRAAEVVRTH FSNDTDTPSSVPRCVWEAAQGEAKGLPRTFRERSSLLTLSMSDFGPQPFNSIALLPPT RTMIVTHADVVSALLKQCCPKSYAADRKFSVPCCSISYISRSNDFYRGERGTIGTKEV KEDEQAPPVDRNQEWDIGAVGSFSHLQSATVLQYT XP_828516.1 MLGSWLNEIQKTLDNVSGLAGKLEEFEGIEEKEGHGSDDVVHLS GSELNEVEGGVISMQLATKQKETHQEDSSTHGVAPSAIGMGDCCEVEASDRLLPPAVL QTRSSDVSCELGQKFDTTEREQGKTAVTGTKQTPVTFLSKPGERGGGEGIFKGASSGV VSEEGDNRSLVTSEDHTHDSRNLQEGQGGGTVSSSDPNATDGQLLRFQALLAEEMETS QRLNDENKRLTQSILSLQKEVNSLRNLKRSTVDKEKMASLEREGVELSEQLGRERERS KQLLERKAEAEDRVVSLERQLRQGAADYNELQNIAAARQTQCEATQRQVNELTIQLEE KNRRVQELQGEVSRYVSELNHRCDTANDVVESLKREKEVFVSSLHRNQMEYELHIQQL EKKLLQAEHRADRAETRLVEHERGSLNPLRELRAALDDTERQKKQLLEEAALAAEECA ALKRDHVVVVESYKQQLAGVQNELRAAVDERTASIQELLQWKGRHTQVQKELGDALIR AAQAEALNKQIKQPADAVCTGIQPRSGSRCSSSIAAAEPSFVPSQPLTPVDVCANNTC LALLHFHTDGPTSHFSRGGRERMEKELVRQAVEIESLRRYKDEVEELRKKLAALAAQH DLLMQMYGQLQEETQQQTKSQS XP_828517.1 MRFLPLSLGHFLYILTSRCFPFPFSCWCCGVPPASVGFCFVYVG THFSRQLVNVCYAGGVSQGPLLRVALKGVKTFVPRAGPLDRKGVAKGN XP_828518.1 MDRPTKEATSVPSAQEVRLHVDILRGRNYPQTEDDPCACSTYAR LILYDSLLKETIGSVYESPLQEGSNTPFYNTSTEYELPPSTEMVVLVVQVVETTRRPE PYIMFYGSCRISTVKSGKNEETVVLIHTDPVTQPEEAEAEVKQVVGDGLPCPNLSFRL HVKRRRPGEVNAEDHSDAVLSSAPVLDGSYPIIPKNSQYVWFNLASDRKWSEAFTNAL VSEWRKQAMVSSAEGALPDIGESYAKVQGASRKKEPSKREIYVPTSINTVADIIVTQW NCCRSHEVLSWLRLSGEAFSRGERLCSFSREDVMNFRRKRKEQIYLLQDLAISSLLLV GESELRDTIDHLWIHFAIGVDHDPSRGTALPYEARKRMKEAGFEDADKVALHSSFLNF LVPSLAQDQCDEMVTADLNAAAREPDLANIVNKFASSHAGTLQTSVSEMKFTAALLEC VGAMLDTLTEVEVSRALKMFKPCVISAHQRLKSGAKEKLRNAIASYGGQRDKFTYRPG GGIKIDHLDRLGRRKKEIHFR XP_828519.1 MDLIPHITRTGYDVSTLVAARKLLFVGAISVCIAVLGLLLWFKA EMFNNVGPHPSNWAGYDALNFIGLFSFGVFSTLGVSLLYVGTSTLACIHGGILGLILM GMLGLVFVVLGGLGAVWGSETMQCLAVFCTGSTASTNRGGRPEAGVAFRSGLGLAAFL SFVPIGVVILFQAARRVFGVDPFWTGHKGRRFVSDRFAPLLRFLFAVAFVSFWAVVSL YMPNSLESFLPVRIARNARMLSSHNYTLCRTEPHTYTCPDLPWSWIRTRNLVINDEVV LKAYPSNLIFYGYLFVILLTTALIRSFRYGRRFLKRRCPVLRDYTYGEVGFLFLTFSM IVLFFLYWIQGHNFKQRYTDISNDTVSSERWYRSMGQLAVAFLSLSIFPVSRYSVLHS ILGTSWESSIWVHRLLGYGALLGIVGHAIGWYVRCFELGVFPQGIFSIPAVDPPGNRD DYTIPLITLTTLFSLVSTIIFALEPLRRRFYELFYYAHIVTFYMLVPVVLWHAASAWE YFLPGLTIWFLDWLLRIYRRGSTVDLVSATASGSFVEIRFRHGSLGALPGQFVFVNVP DISLLQWHPFSIMCEHEGYYLLYIKSMGEGTWTEKLGKLVCRRGLKFKLYVEGPCGRA LDINEHQNILFVAGGIGITPCASVYSHICDRMALGLRSPTPMLLWSVRDRELLLLMSQ LWQGGDLSAVSTSSTIEEAPSDRIQVFFTGGCNVEDGEYTCVVNERMDIFDRIPQVIA GKDPRTVLLFACGSPVLVELARSVAHSLGVDFHQETFLL XP_828520.1 MPQSLFGHSLFVLLTPRSATKKRQQRKQILCASQPRYRDVSFRT HAHTRRCDRTAHVQFPLVPPPPRPARVMNSLEHIPAPREPNGKNKELKRNGPTRTVGY LDPIKVITAPASPPGDHALLSPRSGGSEYAPNTFTGVWDTTPFTTATKHSHTEHRCDL RYPSCSPSRKMPHATATTTNGSERQDGGDAKARRQEPTHWTPAARPARHVSPSRTIST APCEGPSRPTKSHCPCGLHRRGK XP_828521.1 MSEKGGDDSRSSQVRVSVKRRNFSYVDSIKVRLSGGKPEVTISA LGKAISDAVAVAEILKNQGLIDVKKITTSRGAAESDGDAVNDKIEILITKSKDFDTIY AEQQKRKLENADKKE XP_828522.1 MTTGKSDRPRNSVRVGYRGTKFLFVDITKHLLHDGEKEVYVSAL GGAINEAVSVVEMLKDQQMVVVKKITTSRQVSEEPDDGPVDKIEIVVTKADGFDAKYE EQQKAREAKRLEKEKNEKEKATA XP_828523.1 MPSNSVVGHLSLWLCRCCFPKIRNMDNYTIVELIGEGSFGKVYK ARRKGTGHIVAMKFIVKKGKNDKELLNLRSEIEIMTKLNHDNIITLFEAFETQQEFVV VMEYAQGELFEILEDDKKLPEEVVRRIAKQLLQALHYLHSNRIMHRDMKPQNILIGQN GSVKLADFGFARTMSYNTMVLTSIKGTPLYMAPELVQEQPYNHTADLWSLGCILYELL YGKPPFYTNHLYKLINQIVNDPVRFEEPISPDFKSLLKGLLTKSFSARLNWPHLLNHP FVAITGDDEKWLMAVKQHDTKMKERMERLECLRHHAQPNRVVELDAGGPCQTPGNTHG FPLGSEEDEIFSPSSLKCLLSPSDGEGCIEAFRGLLVAVENATSLPLQKTALLERILH VGVLGPIVRHLCDKQSAEMSRLAVQIIKTLVFPEGNAVLPFPSQRPLQETIDASPQPG DLPPVGLLIRQQVSLELLEKPRESLDFLVKEVIENRHGFRVDCVKIIFQCVRWGVGFG PVLTQLKLFPSFWASLLDSVTEGSHFQTYAALAFHTVSAMIPHIKLSAPDQINADKVS AFVSIGLLAVRFYDLSKSSDIAALNSAAAAALLAAFVHREMKDTMVFEPDAAFMEGLC AIVKDVRGIADRNSVWRILGNGYGYPDYGFLDGVVHTLSVMFSNPQSIVYQNSGQLPS RSYLDSDQKGLLRIAVELLRDSDPKTELSPNGVVAALRCVQQACQHQRRDEHFTSLLL ERIEAYRGDSGGPVSVAGVVCHQLRASYLGQLRSWPEHSGGGTVGVNAHLTVVVQILL AALQTSKQGSESDDEANASVQQIFYKEGLMEMLIVALDYTQVAFWGPPFNIITKLVVG SPPFAKAFVDGGGLQCERIGKVLDSKKASAGLVSDGLNVLSQLARLSKDFYLPIHNAD LYSAVLELLRHSDPGIRSKSCNLVGNLCKHSPYLYEPLARHGIIDMLVERCSDRDPAT QKFAAFAVGNAAFHNGSLYEKLRPSIPVMVKLLTSSDEKTRQNAAGAVSNFVRNGSTL TNALMENSAIETLSHMLKKDKVPLRKIALITIGSFCAYENCKAKFLALGLEGTIRQLE ESGICNVDPSITKYVARIRQRISSS XP_828524.1 MPVNPEPQDLEAMFARTKAYLMCANKDGVSVYDQLTRMMEQLLD QNPHDIANNPSKFNDMFTLLQKHSFVDGESTEACNEPCPVPPSELSRLAENERLFERA PPEIQTTIEQPDPYTTITTTRVVPRTAPSYDSVEQNNLYWCWAGCGMAEEEAFLLDRS ITLLAMEKNLEEVRFVGKIFGTQGNYYVVSSRRYVQEGEKIYKEVNTMPRPARRSLEV PVQPEPGFVGVNRLSFWVTSNPAAQWTLLPDVTPQQICAGRRIKRLFSGNLNAPVVCS PPFEWNESVYLRVQLSRIVSGTYISPLGALEEPDEDNEEDEDEDEEETLSKPKEAKYR PLTQVVRGFATEEESDVTQWAKLDQWVHSEGYIYENGRQTKVPEKLEEEEEEEEFQKM EDEEEEEEVEQQEEEERELFTPIQSDYLYAVVNVPEAPVIDDEEEEEEDEYEPEEVAE PPEEETDDLPPKPLTDDEVPDDDPTRVKIAAWTVRTVNNNSKMHRVVVMKSLRWPGAI AFAAEGGKRWGCVYFGNGLKKTDFAFTPTLAPPVLLECADITEVDDPTPTMEKLARRG EDLPEPDSEDPAEEEEEEM XP_828525.1 MRRLLGLTTLPGRNSFALQVGYRLGTSVAGTFYSESDTAAGASM NNDMRLGIVIKPQSTLVDLWEQAVKAWPMRRFLGAKVWVRGQLGYVWATYESIEQEAS VMRTLLNQMGVGKGGRVVVISENRYEWVVVHLASLQLGAQFVVLPTNVTPKEAELVLK STQARVLFVESTSAYTAVKGWVGEVGQLQHIICFEDQIGEGSYAVAISIASDVPEKTP ARKDVTSEDTAMIMFSAGTTGPPKGVMLSHKNLVANVSSIYAHVGEAITHTDMFMSLC SWCVAGALTTELYQAICKGACVCIPPEQLEGFQDLPLVQPSVIVTVAQPFQRAYANIV DNIMNRSTLTKDLTRFTLGRITENRLMMQKPGSILRTASNIFLGKFKAQFGSELRIAF IIGSQLTRDQMELLADLDVFTVSTYGCLEAGGLIATDLDVPLRLKALPGVEIRVVNDK NEIVAPGYVGEVLIEAPHAMQGYFDVHIDPEEAKNSLVAYGGRTFVRTGDYGSMTGGW LTIKGNKDILIRLQNGTVVDPLEVEGTLIKSPFIKQIFVYGEGRPYLCALVVPNAKAI ASHLRKVERRDGVPIVSEREKADCIRAELRRVSQGLPTRSHVRRFAFIEELTLANGFI TCKYGFARQRVERHYVHYFDAMYDETPLFFGHAVDDYDDLF XP_828526.1 MSLSNQLPRCATPLFYQLAENSDQFESINDMVIILQQQFVRLFK TPDTPMGAQKSTASSIASKEEEKLLAVRAVHALHTVGAVRHGDFFRSELYHTNAAFFI QEMLGCLVGDRRNWYRQLQVESLRGCLAVVQAVGYGNTRLCLPGIVSACTKYLVRAHH GTDAADVRVAAVNLMRVALTVSLVQQQDNEGWLRETVDHLGRGMGRLLAPEELVGTEI GLPVMKAMLRLVVDLLALQSLNTLVSTQLVRELLVGYFVLENLVHLEGENTRDEMNCV PSSLLENEEVVSMLHGRLKALQKVELLHYSSSLLRCEGTRTIVFSEETQFQCVMGQCI RVAGTQMGMEEFCGVRGSASRICSIVDGFIECLAYNVHLVALGPLRLATFIDECEATL SDWDLYALHPPTIYVLTRLIVWQFKTPMWVVGASADGAVDGTAKRDLPVEDLILSGTF EHLWSVVAKPHLWNITEDEDLCTYKQLQHRRIVAATILRFLEITAQTLRGIPSGRSAK RRRALRRLNVLMLYLVLEKAVIAGIVHDVAMRVIDAFSEASSQSDTLLFFLESSDFIA DEAARAVTEEELRISAANVLHGGVNFIRSRLFAVSRRDVIASNTKESRRWVQVIKGSD VAITASESIVSKVADFVTSSIKVASDGCRRATLQEDAAGARAAVILLTSCFELSALLN RSVPQLGFDEDRDTVTTAAHPRVQILQLAVLEAVQMLLAYCARNDGVSPYVVRAVGCG LTVFLTTPKAAAWEHEDDTGDEGRLPCVFPWEVDVPPVLSRHHLRTVYRAYLVFLAIL AEPVATITAPNAAKQRSAAERRVLEGVRPKPGVLAALDGLETLFTLSTEFLSQRMVEE VLPAVLTWYERGALSRIPTGTEEKLKLAVKKFVESVCDIEPSITQNLHEACAQLLPHT LFSTLLE XP_828527.1 MASIPNGAAPIPPDVTCTINPLLDLLAAFPVPQLAAIPPTRYCE NDVAHCEPDAAREEAITNTIEESARTLIASSSYELLREATVCIDSSVATVPKGGSIRP TLSPLAQRIHERLLQLEKNVRVGGIRNVPGGGSPHKGRVPWERQPARQMTLRPRREPS QDAARTAEQEDGSESPRIETKQSPMAVQKNEQTYGEFKTEAYRSMEVADIFNEKLMDS KSLDALLGMLSSATQPFRDVEGETITAFLTALVQPSVSPPSTKKKCLDDVETDISVEL MERVIACCSCVSHPSFNPSHIPEDLINHLITKLAVLLRQVARRASGRSKKSKGTSTEL QCLRALLGCFSLLVSDERLDVCSPGELIRLEELCYQSLFVATSGFGHQEVVTYAAYVM SHALQLYRCLWNHLKVERDITTERFFLQLPLGGNLLLRLQQLPSGEKVMPLTAAVVNA AQSLDIGTETIGLRDAECLVQQCRSWAARFVQHFVLGKRELQDRDTVWTLVLQFVDDL ANMLGLPEYPAADAILQSLLASLAHYASVTAVTLGERNAANFSLLPLFVEVIYRVSRV ALGGSLQKALCVKVPPASRLLSSAQMRQWRYLTYYDTTATKKKGSNISADYENKNEDD SLQVGLDDYRAILYTALSQSSNLPPSDFGDVSLHLRAAHVLTWAVHDKCFHELTLDKL VRSRHIVEGGVSVDWGMLRACSLRLSAERERSLLNRAAKERLLSLLLSVFQVWEEEPQ GSLGSLEVARKKALTYIAELTELHPPLLDKIWPIVQRCARCDNARVREATVALLLTLI SGICRDTKRESGLTESAVADMLTDVVSMLLHFLRDHNAAVVARSIAALDTLLTEEPYT KLFEQMSKVEHLVTFTQSKLLTLITPSAAVLEVPDCQHQAALHRLFIRRWTAQMPGDF EQYHVHIRVAKELLRLTGSTIKYPYDASESLHLVTLLRGMCSELTAVKPKRRGPKKAE DARRQALCGVMVGAAKALWSEWQRLSPGSGAAAALATIHILSMACNDWVDPLLDALAQ VLLQQQQLLVKTDMANGDSEVTGITLLHVCRIMHTVLMGSRRPPLPLDPLAQVLTSLI SRYVGPHQQKILLSATGALVSTITCGHDRLSKQVNTKYLTLCYSLMNTYYVHVASFAP TLRTNQCNVGYTLRFLFLLSEFLRLYPGWKHRHPELAALTTTTSCAGSAGATTVPNQL ACGDGICANIYSAVELVLRECPERERGRTTVIALRVMGSLCMLQPTTYLRHCEPYIRR AIHPASDVQQQLQGLTFIRDFLRDEDSRVHEAAMAAGATLPIQWQDNGNCSTQPASPN NGCRLLKLQASAETQVEEQNSGMATWLLQQFHEHVTLLCGSYMLPVRELAMEILHLCA AGGLLPPPRYTKALIVLGADPQSGDVRRTAVAYVLEQCERHGDLMVASSTAGIVKAFD LHAVCGVDVVKSAIGVYDTQHHNGQGEGEYCVHAYLFKSLHKKYRDAIVSSLMCYFHE EARVGQWMREHKLTCSGGAASGSKGLMELTPSPLPFLIHLTVVLAHIPFQLESDVVHL LDSCRTVVDLSGPSCMEFVQQQLALLQASTGTGARGSRGVGDVGVTKVTDSALDVWRC YGVSCVLHLNTFLHSAYDLRGSKRYRQSGVGRPVGRAARSDSRRVASTAFLNKMNELL QSMNVLWGGKSDAEGKLNNCKIQSMKSLLTSLAGAAGLEDTDAVLECPSGPRMKTKAS TRHHKKAKKRGRPCCRLSNVVNDSSSSSSERATSAESFQRGIDHARHGECISEWADDF FHTEQ XP_828528.1 MFREALESVLEFIGYHSEHRGCKGSELLSFISTDVLPHYGLGQH STMQQTVMNLLLSETDSFTVKSGGKTLSSGELTSTVLQYDSCAFCPTRALQEKTVGYS LRTATIRAAVNYACENMLFGFLRNQPGRSVIAGREDDAASRGMNASASGLSVGVRTLL RDKWLKCVFVFHRVSKVLELCVLPYFAMPVPPRQQHLQPLQSYLKRCRAHMRDSRVVI DINVVRHIVLSSPERRLVYQDALHAVFEAYGETVPGGNGRAFGRCIGRLLRAADLRVV RASITTGYRTHRVSVVVDARARLNGDGGHTECTAREGGEDGEEGNTDVSSDSGGSEDS GSADDNPGNDFIEWKSEVESAQAPLARIPNGGTGGFHVDPAFPLPLQVVKQAERNPIA LELVLPRVVFYDSKNITKELYRYTTTYEERYGTIESTNALCAYGKSFTRVLQPKGWSG KHKSGYNEQLDGSLPKGVTVWAVSAVLDALNASPLRAMTLVDLVSVVDRRTLGRVLPV LQRENRVTTTGVTLPQGRRLGVLVVAGVKLTDADREELIKRHNSRWGAHSQGGKPLVR LNHIPSPGGGGESGQANSVIRLPEGSSRTARIVTKITMIRNGYSRVGLFRHSRLHLEL CRVWCLHNLNPTKSLTLGQLLQEMSLSSFCIVVGVGDVDLTSYISERRCSWGTSMRHL PPTLQEHCSRSGLQPLIHSLSVLQARGLVMCDRVLRATEDVDPDELFITLAKSTGRVC EIGIDTGFDDQPDESWEYVFFPTGGPDKPQETCRAVMQYWGDCWKKVSNTKSAHDRLG AFICEAVTVEPNITDVQIFALSWLLRVDCSIMAEHLLQRLGYIRTERCARQRRGRGRG HMLSKCATAGYGHASFTRLGARPRGDLTAKSVADVIVDGLQSDELEGNLARLEAKLLG KRRETLEGYYSPYCNRVHGSMLTIVQGMLRVVQREGGTHARREASAQPKNTVVSFASP HLAAAEGLSCDDKRTSVRNAEPDDLRSVSRRLSSSIPPSSRTANRPRKEPPEVLQDIV RMILLSDEAHYDAVAAKALLAQFKVVELDNCIDWLLTFPSFRNRSSACGRLPRVELAP RCKLLHLKHAERVTHPQCVSASYAHTATVSMLFLPQSNCRRWCIPPILQPDSLLLQTA PRLIEQPFPDIRFLLSNTQEGTELSALRVPRFAPPPRTDEKKMFVTTRTGVETTCVAS NIATSATTVGCISTSAAKVPTEPYPARFLLRPWKRLRYLDLLDIPVDPPPTSDQCKRA RSATVTSTEEGRDDTPTLPQYPSVFHHVDGSFHVFFWRSFLFAVYALIHNSPGITEER VMQELVPSGLVSHAACKMAINFLKASLVITVRSIVQACGEVDSPFRSEASTMAGGDAA KATMKHVDCYFCTISQDGPWNVVNL XP_828529.1 MQVRRATMEDMYQMQHCNLRCLPENYNLRYYLYHILSWPQLLYV QEDNNGNVVGYVLAKMEEEEHAEKVFGHITSIAVLRTHRRLGIASRVMNAALHEMEHE YDANFCSLHVRKTNDAALHLYQNTLNFRCANVESKYYVDEEDAYHMKRFFKGTNPGFY VTESRQLVRQPNTGAGAAAGSAAEASGQRPDKKNSVDKQKEQLELAAELLEEDLKSSG KGRRQPHQQHQKGGKHGKGKK XP_828530.1 MYQPLLEAPAGSPSAVVGDRLIGEAAGSLFGGSDRAAFEAQGGA YYPPWRPANANVISGGRYRAAGSGIMATWQRWPLYRLQTVLRPLTSLFPLLRVVFPFA VPGQDRCPQLCAAAFAERVTAISFHPVRMILAAAVNEGNDCSRVVVYDVAEGREECVL THAFQRQTCCLAWKPLSRDVLAVGCNGGVLLWSLTFNMSPAGQAVLGNGNLTHRSVVN GTESSAPYCLFYRCAKNVVVTCIRFSCRDGRYLACGSAKHAALHFHDIRLQPSKSLLL RNVSVEGATQDVLFADDDSFAIRLVCGTSVLVLLQFPSCAANVVPTAAPVLGVTKARG LGPNYFFLHCHHVEGVFVAHINPFVGVHVIGLISTGIHRGVGGAVRCVASSDRRLFVA LETGHLLVMHYGRRGVFTLIPVGTAEIGTECMAVFDGCTYGSLLAVVEADQSVSFVPA YHA XP_828531.1 MSNALESITAATQLRRAVMEAQRELDAKRELYLTRMARAHEIEE TIAQGRAKLQDKLVRYYKFIQDNEVKRSRAMRKAVTEERIRKEREAQVEELTKKLQNL HDRSEELRGLYDVYSRYQRYLEEVLQRNDSDEYQGPRDIIQRWNTLHENTKVLQRRKT QLEEELLRNKNALNVKRQRKNNESVQLQNQLNELQARFGQLQKNIKIKQDELERCISQ RSTTSRTISHVRMACKNLYDRCITWTAPYSGRGKFESREADVLFQLHVIGDCLRDFQD VIEAHHQRQQQLALARASRDDDA XP_828532.1 MMTYRPCCIVCCSDCDTDGIFTSCQHFICPRCAGRYPPGQCPRC RKPCKAVKAGPALPKEVADRVSHNPQHLLQLAAQALDFQRRQEQQSLQRLRELVTTLN QSNRSMASQISAAKAEREELLTTIKQLQRQLYTQQQQQSQQQLQLHTSQRTSDVPAIS NDGRSCGVTTYNGGVAAAVKRSTPVHASGPPGFTNGAPAARGWLSSPAPSNGAADAFT PLGWGQSKRSREEGPQTPTNTEQTRFRLATPAITLRNVLTGSRETTPRNRMDCVGTMA PPRPLQSLLCRGP XP_828533.1 MSVKTLAAPTKKYKIVLLGDSGVGKSSLVQRLAKNEWCDNQNST VGASFLRYVCTVGDTAVNFDIWDTAGQERYKSLASMYYRGAAAALVVYEIPSWETFER AKHWVRELATNSPETIVILVGNKSDLRGTSGCVSSEEAATYARELNLLFSEASAKDGS GVSEVFMQIAQRLVASNNNNTVHSGGVLGGQPNSTRRSSGCCG XP_828534.1 MCSISPRFISIRFGSLCFFFSLLCIGTAFKCFCVWVHGHPVRSA SCFCCIRRMTAHFRLLYSSLLVSLSPSQTRKYMYASPFIKIVLFVSLLLFLSEYGNFK LLFFFSQESSFYDATVRRVLKLSQVCAFNIGLFYIVMAFMW XP_828535.1 MPSVLVFLRDALAHYESGELCTARQAAEEALHAAQPHEHEAFAD SLLLLGNISAAEGDFITAERYAATCSCYVEEHLGPQHNGAAVVRLNRAIFLLESLRVQ SVTTVAVVEEAHALLLEAEQLLVNVCHVGRLVLAEVLHNIGVCCALLGRYVAALTAYM RSMQIRVRFKDAARVTDLKLALTMEQVALLYRLMDEPKRQEAALLMEVVASTRRQLLG PHHPLLAAAVLAQGVIAAELGQRCRAQSFLRNALEMFLSLYGKESFQLQCAERLLAEV S XP_828536.1 MYIYIYTYFIYLHLHFLFKYVLRGGCWEQEQRWNNSFALFVTYL CVSPSLCVGAACPIHLFVTSFSRDIYIYIYIYIYLFIYLCMLVFSSLPSPFFFLLYFV LFLFFLHLLCISFNEVVKTKTHNRERKERKGENNFKGNQVQHTLLPSLSLLLLNTAKK QEK XP_828537.1 MSEKGTSLNLFLAACQKEGVKQPNTFLVEFFTKKPELSEVEEID LSKNYIGNRGILALLDVISELPCFRFLNCSNQKLYNTDLNEDSVRGNATIDRIVDVFK SHPTANALDLSHNPISNYAGRRLLLLTQNNKRICRVELVDTRIDFELRSRITQQCEKN TIAIWESQAQEKEEERAFGESVTWVPTQTSADLTAIGGGRKRRTTVRGEGIDPEKAKS YVAPYFEKSEDETALILKLLTYNVLFSFLDSRDLMTVAGAMWRVEFKQDDCIMEAGQT TCDKLYIIQDGKADIIKEGQKVYLKVEGTAVGELELMYQTPTVATVKVCTPELIAWAL DRDTYRHLVMGSAIRRRETYIQFLTNIPFLSGLDNYEKLQLADALSSDEFEPGDYIIR YGEEGEWLYIILEGSVDVVGRDDDGNEKHVWEFGKGDHVGELEFLNNHANVADVVAKT HVVTAKLNRRHFEMCLGPVIDVLKRTSQQPNYEYYQSKLKTTLRAEGRK XP_828538.1 MPSDVVVVFFSFFLFFFCQHVKGKVSSRVFPFICFFFSLAFVLR FLRPIIPFVDSFRLLRFLFCFMFYVFIFICLMFQLPLCLYLCLCLCSGGSVFFFPFCC FFFFPPPSPPFDVVFSSLLILFLSSLSLSLSLCLSLHLSNFSYLTVGWTLPFFS XP_828539.1 MQRHQLYVDGLSGLLSPAGIRTYRQAGLVVARGLLPEKLVEALT STCSSIALTRGKCVFPGIDEAHSGKVEFDGRTVPQPTANLGAQEPPPEIQKQLRQQDE ARSIERRYEKVLLKCRNQRQVERVYARLAAQRERYMKFKQVRNVVSPEEELSGRTSNR RMREIGESYEYEDLKSSFETYRDSGKMEMEVRRDYHVDRAMEHLQNWSKCWCRVWPES KELQELLLRGELGSIVGQAAAALAGEIVIRLFDDAIHDYQRFLNSTPFHFTGNSTNFR NVNGMTVSVGLTAHDDVRTFVIPGSHHVMREFTNDGNDFSRFCATGVFDTGRAIRGIE PLSELPVFQLQPLEPGSVLFMNHYTISGAQPTMCGPAADYVPPAAAPVNGVYQYSLIL MPGRCLFDGLRNSWASRDSHGPLYNYKAGQPLIDDAVFPVLHRALDVE XP_828540.1 MRHIQRISEVLKGSKKHVADTLLPAPSSTHSYVSSRPQTSEHRS GEDDDYLSFLNTDVGSPVHTSLFAQPPFHQVYKAPAKPTGTTGLSASPPRVHRSGEEP GSPAAQSPTQGTSDIVLKAIDVATALLQRRELKRAVDVLQHAYDVGVRTRIRPGNFER VEEHLVLLRREYYKHFKPRFLQDNPVLPEEMDVLRKSGITTTIALPIWDDLKEGYGRE NVFMPCEGHWNDTFTPELSSVQKSAGARYVCIGEARRGVEFCIIRAADPLNIKQTVVG DCSMVCSLIICALYQQRFPKAKIISNVIFPQDSDGVPVVNPKGKYCVKMLVNGITRLI TVDDRFPANPQTGEMLCTYSRDKSELWVSIMEKAFVKVCGGSYDFPGSSSSSDLYKLS GWLPDSVDFTVKDFDRNLQWSRFFNRYADGSLLITVSTPPLSEAEERRLLLASEHAYA VLDMREMSGVRLVQLMNPWSRRAWSGKFSLNDKRPEVVKVLTQLQYGSPQADQGIFWI TWDDLCTNFSRCSLSWNPYMLFKTPDGMSQRPTRLSCHSRFPYTTSMGQSPQFHIGVI DAPKPSRMHLVFSRHMTDPTAFGQQFDRDHPNVPYVSLKVYDVTRFPSIAQHLGARCS FGMCYCRRLAHGTELGVKIAPLSNPTYRNMAAYTLSFNCPAGTSDLLVVVSRLESAIK SEFSFSLTLHTEWPQVLLQESAQRCWGVYIHAIPRSSLRHCTVTHGKWVKGVSCGGRS FLQTFVYNPQYLLTLARASMVSVRLCVSDQNNDDSDKSVQVHLLQKRQDPGVGQVKWA ARVGSTNECSLHLCAPLFAHGGVVVDTSLRSCFAPESVHYPVPQSHQLQSEQQLQQSQ WTKKALSTSDGPLPPLPAGDYTIVVAQWEKGIPAAFELTVETTEPHQLREIVPEGVGW VETAVRGKLQGGITGVTRSIMLRTDSYITSNSKVSIVTTSAGVLTARLLLLMAPAEEK EENEASCISTNLTVFRSVDATSLQRVATSGPYRRCGVSLEPLKVDMNATYIIVVSSYT PSREEYVLRIYSNTPLKAEVL XP_828541.1 MHIWRTAASNWVKRHARSNAARWRRPEPASSSAAAKTIARLLAV GGHGCWSEATALYAEALATKSVQRYGVVTPAHRHDCIALILGAMSSSVDASGSDINRG EESLTPHYGMLAERLSLDIIVPHSDVGQEEKEAASVACIAVFRALLTSGRTHAVQRFA QQLLRSRNSREHGLWALRTIMLAVTAREEGMYRQLFLHSGLLKDLEHLTGLDAFTVVM SSCDGHEKNIGPCGGRTEGNLGRDEVHRVAARWCVDVALHMHTCPWRFCLTNEKEPRR ETAFNSEVTSYCCAKELPMPAFAVTMLPFEVLRSNAVGVLRCCTAAGNGSHGNEVVTF DGTASNVSGGRSGDSTSCSTTAPSLGLPALKRLQDVVSAAIEVPLSTYEPVECLYLLL QISRDSTAPDPRRVMPSTMNILWWRRHLQSCVLESVKSAVRWKSVCDFVGLSRLVTER VPHCFPLLVAHAAESPDALRTLFNEVSRQEGAAAHPVDDIPRSCVMGLLQMCGDERSA AVIDWVVEHCKDHESIAQFVLDSLGQHQKLASVVVRRLFQRSEQSSDVINALSRLLEK GTCRTLLADVCSLVPQAQQWTVALRFVSGMSAPEVGHVHTAFMAFVGNCDEQFAINMA LLWSDETFTWLKADVNPPPRRVYMDDRWSDALRLVALGAERLTQRPELLGKYIRYFSK RVAVNSRLYMELEALLACSKVGGGSARNCGRSSNWNPIASTVTGGPPLETSLVGVRTG VLPKGQQWMEACAHASEVGVTRGVLEILAKRGRWEEATIVLARAEAKRRVEWAPLVIR AARLSSQWRAALSVAEKIAANGMKLHYSVVAELLACCFSADVPLEVVQLWLHRRSVGE STSSHVLFGLGPGSSLRTEQRDANDKHNVFGDASRWLGSAQAELFFVLLESSSSRSSD HQWRYALQLLKEHVLLSGGVPSARVFRSTYSILHRAERWRESLQLLGLQRSVCGSPTV KCVHLVLSTLPSTAWQYALGALQCIPPGDSGSIHRVLPLLLPVSWESALGLMIDHRVM TNTAMECVVGCEDVPLVLRLQAWRRLLPSLPVSMKHRAAPIYLRLAAGVADGDVGVEG TNGLDAMCVIERSIRGLRGDYINYASFVYHRALLHRHWCNDSLHPSGGVAAFRALSGI AEVDQQCEVSAAALEQLSNIVERLEQVCGTVSASTATHGSQHLERTVPVVNSGEILQG SAPTNARRDPETHCFSFVSSYWLSLFYLFRYCRCVISSSLFPFFLKKKDQGSGLPIFD VMRLHTVRAPIITRAAMRGYSEARSNYDGTSLPAWPAPGKKPTYPAALSELRLPQPRM RKTRTEWMYYHGHGGCPGKYGPSREIADFEYADGTPASISGRRFAFKHHQDHLLVQLI RAAATVERYDASGLLPRIPGTAEQRNWDPAIPLFLDDVDEQGRPAPLRTAGDAPGTMV SHVCSRVVDERMGTPTHTPNELANRHEGETLEANTMFATNDPSAFVSDTVKLRDDKRP YWSRRRWALTDKFLVPKSPKPKNTIKDE XP_828542.1 MTSLCPITSSITVAIRKRPIANNGNSGDKDIVTCEDCRTISVHE PKTRVDLKAVVETSAFAFDYVFDESVANDVVYKVCCQPLLSDVQNGGSVVVIAFGQTG SGKTHTMLGHGSKTIGLYGYAIRELIGEETTRKLAVSFYEVYGSKLFDLLNGRTQLKM MQDEADNLRIVGLSEKVVTCDKEVYKLISKGESLRSSGSTLANDTSSRSHAVLEIKVL NYQGEPHGGRVTLIDLAGSERAADTTSSDTRGRHEGAEINKSLLALKECIRAMSRNRR HIPFRASKLTQVLRESFIGNCKTCFIATVSPLQRHCEDTLNTLRYANRIRDLKAPSDD GFSRKISMTCPNCNGPVRPDASHTCVRLSTRCPHCRQVVEKHNLEGHIEECSEFPVRC PRCNELLVRGDIPRHNRRCSRSLVRCPLCTCHVMRCGLEKHTLMDCGAKLEKCRYCGQ GFPRHSLKRHEDVCTMMKIACPYCLQYFRKVCVDAHASVCVRNPNCRRVSPSRIRDSG EEVWKITNGKEWRQRPRMLRNQSLKQLEAISRTKSSVQLREGRKPLGPLEDNFSLPAL HAPSSAPDRKHPPVTSAFTESLQSHPNSEDDDADKEVCRTAPTISGENSSRVGGEGCV CPYAAYGCLHTVCDSSLEKHMKDSVEMHLQLVRDYAERVSEENNILRERVNEGTTKAS KLHELESV XP_828543.1 MPGIERLREEVEQLRSETNRLKENLKLQNPQSVRERSGSDRQHM EVESFRAQIRKHHADAPILKLHDELLRAEQRCTQYADALAESKGNFVNMKRLYQELSD ILDGCSA XP_828544.1 MRPDYMGFMFLTSGVMSFNAGIWQLHRRRQKRRLMENHKNIRKP PVYELPPGDATIDEFEFLPAAFEGTFDNEGSMLVGPRALPTYKGASSNEESNGGFLVV TPFEIAHTGQFIMVNRGWVPIDAGKHRTLLMQYVGEGFTPGSVRGIFRREEYMSASLI WGPNKDNEGPVAADLSWLVMRPWNMAVHYYKRRWGPDRAEESVEKHGARHYYLEMIED YSGDDQRMVRGHPWPWRRSVDEITYVHLPPSVHTMYIFFWFSVTLGSLYGMGKCYKRQ KELFALRRQMTAQTTLLERKRQEEAKMYMEAMKEVERLKKLGTVAAPRIGSQPTEQQK SDEKSPASGEKS XP_828545.1 MRLNSCWIRRVHRGIAQSNLRCVSTFAALVKVQEALKSSKPFAD DAKGFAEFFRVPCLPGVGAQESVRRHYDRVLRDLKQQKDSYVMRQQGQDERVNEELPI FLVSYDTGSKNFYLFSVEKDCNAVRSPADGESDVSGLADELMQYTRGMTQAIMIPIVA DEEERGALAPHLTRLSGELKRCGIIPVLSVDSLEIIKTLDPQQSPVRIVTKGRGKHAS EPLTEEWFRAHWVHLRTLVFKSSEEDPIITLNRLVAPRFTIYVIHLVRAGSRGLNVAL YEPLQKKRYGAKGMLSDVLGCLLERQAKQVIFLPTNRTDRPTLLRCRTALTKMGRTCY LVFPSEIGEKYRGVYQSDPARYWSSLCEVKGSLDADFSFEVYATVGNNIRTQQYKRTR ENLLEKQASLSSENNMPAVTNSEVEKWLRVLSLDKRVSCEKAQMRKYKKYLVIAFMAT EFAAYTRPANPHAPANYVVATSIMDYQQQVVEPWTKYSSRGEFKLPSLEGFDVIVCHD VKHFVLLIWDDPELRRFLKRGGRVWCTMFAEYLLDAQRCQSGSNSLHDVAMKYGILTP QSSVLGLSTPDLPIAFIQHYLVAAVDAISRVFQEQLKKACGNSQLICVAHRMDSLLAM ASIEKAGIHIDSKEATLQAQAIRNRLLAIDKSLSLYAPDEIPLDMQRFFDWTSLQHLQ AYFFGGSITLGYTDISRDSSTWTAHLIHLCHKYGNLGLMSADVHLQRFASERGLRGAG RLPQRVARFFDADGSSRRRKYRLVVFDIESTGLNTATDAIIEVAAFDPVEGTSFSSLV NPQRPIPPQSTAIHHITDSMVQGAPRLSEVTQAFARYLRLSEGQRDEDEVTILIGHNV FALDEPLLRRAFRSESVDTENLLFCDSLTILKGLKQELQGSKKDSKFDRGVLDILTNS LRLSSLVEGLRVEADGELHRADTDAKMLWFVLVNAFGIGGKDAVKQRDEVLSHAVRTL VLYPGVGCFLPQERRKDCVTVQLPGVCFKAIKEKRTIEQLRKRHLDEATFVVLQRHKL EVAGLLLQKLQLERGSANFLHSGTDGRLSILHSDNKVRQYIDLTATTTSRTTSSYPSC QNIPKDDKSSLRHLFVSRFGEKGRCVEIDYSQLEIVVMAVLCEDERLVSDLNQGVDFH VKRASFFSGISYDEIYNGYKRGEAKFLKLRKVAKTFSFQRLYGAGVPLLHKTTGIPVQ DLQECIRREEEEYPGISRFHRLARTVALRANNPGLPTHFIVELPTGLRVCYKTRDVVL NLPPVKNYPIQSFGAELAQMMIGRVFRQFVRKSFYGQKAFMINFVHDSLWLDCHMSVL EECVHETRTIMEEVDTYVAKTFPGVKLKVPLKVSVDCGVDMCAMESVKDDFSALASQQ RSKSSELDALIPELSKEVTDSVEITV XP_828546.1 MALTQSLKLSNGVMMPVLGFGMWKLQDGNEAETATMWAIKSGYR HIDTAAIYKNEESAGRAIASCGVPREELFVTTKLWNSDQGYESTLSAFEKSIKKLGLE YVDLYLIHWPGKDKFIDTWKAFEKLYADKKVRAIGVSNFHEHHIEELLKHCKVAPMVN QIELHPLLNQKALCEYCKSKNIAVTAWSPLGQGHLVEDARLKAIGGKYGKTAAQVMLR WEIQAGVITIPKSGNEARIKENGNIFDFELTAEDIQVIDGMNAGHRYGPDPEVFMNDF XP_828547.1 MPRQTTLSVVCLSTGRGASMVYEGNCSTAYVLCVGDAPVVLFGI GYGVTRQCLRYFGTIPTNIAVFSNRSHMSAELPVVIAVEGRKGRHPRIVASETVMMRL MQHRLAEMHSRAAFGVPGEGGVCDFVNLQTDESSPTVPYRLPDFPSVSLVAFDAVSSL ESSCGFVVLHHGSPVLALTGDCAYDAQRYQEVLRMAPVVIVDGRKKGSPDHASFADIT RAVDDCRSNGGGPRRVFIGQYGAPADAPPVVSGATVLPIVEGAVVELSEGVCIGDAEE LLVPPAPSAIDMCESTKTVCCDRSEGEEAPSSFVMRMAHTGGGGGQDALHEGSSCRRG RGAGIGDWQCGGKEAVIVPEANLGSIGVSAAFEEYMQRAYCALQRYPTQEGGVSGVVQ MRSNNTNAPRGPNEAPPSRAQSAEVPNRPRGEESTKHTTGYTCRFTALKT XP_828548.1 MPDSPTSQRCAGSNNRKPKRVATRKADGGDQPLAVNGPSSPECI RTRTQKLEKELRELVLRNRSRHERLQNMRNRATLSSPPPSGPQSFERAARPSDESKPR SETEGRNKLPNLYKCFDEARTKSLLEYEKNKGSKESCMYSSYIPRDADLTPRGKQRDA MKDPDSFSLRFCSKLHPRDDSR XP_828549.1 MAGLQSALAYRLALVDQERQQQQRGYDFLRRSLLHKVVAACAQG GSAAKLDRHGGRVSSSDELVIRDERGIASIGDSDVVSNAGDDMTGVSVSSQWPSPDEE TEEPPSRKLLGFQSTPMESVGAKGKKREEGTFGAGVLETTGGRLQGLDDSDVVPSFRP TISLVSARLARQRRLKDRAEGLPISEYLFQRGKATNRVGKQEQGETVRAHADPTVATR VRKVTFTASTVERLYSNPKGKQGNDTQHRKQQLQDISPTDIDLRFHPLISERAAKLQR GTSRKVHDDLYEHGVRRQLQKNCAQPRNEPSFHPTINQVSEMIVRGLSNPAVAGLT XP_828550.1 MAKKGKKNSAPQLVAPEPVADELEVDILWDAVKEVDDKPPFMDG THLDATRALFKLEKTGRGAPDVPHNFFQLRERNLSVVRARDRFVLAEQRLKERMEVDE GLQDDDQCFLSLKQSEGEEYLRERRAAMENIAGVKSALVEDQLGLITRMEEAAEDFFR GWNDLLEEVTALATGDSKVSAAFEEKRTLTKTFREAEEQYFHDHGEHVVGIREMRRET KRQQDEMLQSMVTRLGAGVVEMARLSVEELEDKAAQQEETTRLISELMKVQGKSTVLE KQTIAINEHRATLQRLIDLEVQKQEFCILRQKQIESDLKTLSETVKHNNEQLLLLSSN ATPCQEYKKLLPMIPTSPNTISNLTNVQDKSLLLLTVEAKRQLEGLDAELKTLKDELA TLRRRHIVHLSGKYKPTATDGPLSAMKKTAELGIETTSAIRSAVLNSLEQVCRCLGCT EDAEAPGDSLERLFSITDVEERKAVQLYVSRCISKLFSSECPTALPVPFPRSSKGIQW DMLPSH XP_828551.1 MNRILFALAIIFYLPIPLSVIKGSCKEIPTHQQPQAPYIPNIQK QTKEVLTPSQQEDKQNFSPTLHKIESARQFHYDDSTNIDGNKSIQHQTAVTDVNDNNS ANTSNEDEEEDEDEDDTAVTDVNDNNSANTSNEDEEEDEDEDDTAVTDVNDNNSANTS NEDEGEDEDEDDTAVTDVNDNNSANTSNEDEEEDEDEDDTAVTDVNDNNSANTSNEDE EEDEDEDDTAVTDVNDNNSANTSNEDEEEDEDEDDTAVTDVNDNNSANTSNEDEGEDE DEDDTAVTDVNDNNSANTSNEDEEEDEDEDDTAVTDVNDNNSANTSNEDEEEDEDEDD TAVTDVNDNNSANTSNEDEEEDEDEDDTAVTDVNDNNSANTSNEDEGKEELEFTIAPS PVFLPPPPVSDENSTLLDGNDLSVDRGNYCSLLFSFVFVFLTFVV XP_828552.1 MFISGLFVLAVALCCTNPTDGCDSNFTLAQVLTLCQITEQLRGL KEVVMKIGPRAVEEALFTLKAKKRSEVSLRRAEEAAERDRNAGFAAERARLAYDSVCE AVEFAEYAATSIEGRASSLLVIQEYYVPFLEDVMLRVGTDAEAAEAQEAAMSCLQTKR SVSPTSLSEAALELRVRLGVRNFRALRRDLHRAAFCLYSLKKTAAKIALARSSVEEIE YLINEAANEAECVSPPKGGIQGQLPSDESDSSNLVTVISAVPICWLLATITRVL XP_828553.1 MFRCYGRKLSPQLRDIIHRLDFIEKQIIDLKAFRDAVQATLPSV ATAADALARKRQETANQINVVAKDPLTSEEFVELNQYYAVQMVQTLALRNMVHIRTHE DLYSHARLIHREYLVRVAQRARALSHACVGLSQMPSIQELRRWYEWSFHDVRSTKAPV DAEGALKFDTLVRRLFLRHYNVSALLSEGMHELGERQRWDEHSHSDDLLTETFDELQR FFDEFCMGRVRLRFLVGNYVQLSTQILRVEPRYSEKLTAPMYFDHDPESFVGQICQRC SLIKLVECAIRSARASYSELGIELRVAVDPDATLSGIPYITYDILSALIDDAIQANVL RQEKYGIPFTPVVITIAQRNANEQFSVRVSDTAGGMPLHLARHVLKYWFAYKCTDDML KLAKTWTHSPIRLPYAYCAAKVLGGDISVVSIDGYGTDRFLHLPSDGIERVRF XP_828554.1 MRLKTAVSVSSGAKIMFVEVTGDAVLYPRRAVLSYIASCEPHGM QFDICPPLLSEKHTCALDVVGLLRGVICISSLTLGMRSVAGCMRVSKPHLTRQQSYVF FPFCFIPTSSVPFVAGRYSAVIKEKMIRMHGKHYFCIKVPVLRLTAFQGVQGGGGRVL FEVT XP_828555.1 MNSKDTNELKRGAGYLKEKAIIGLTRVTGNELDRAIYKVTSHKL KAPKEKHMQRVLAATRGYSSQKTHKGRNTCEYIVSEFEKRLHTHNWIVVLKTLVTFHR LMKDGSDEVNNCIQQNRNIFCFRNIKDLSESSEGAVQSVFIRQYMYYLEERSSSQRKL GASKRMENSEFGVFLRSLDVDTLGPVFESLLVQLSALVEVQYKEAIVDNFCTMEAFQR LVNDGKLLYQILSDRAIFILDGFSGFTLQQKKDWVRRYREYTVVGERLRLLFESIANS KRMFDEPPPPLKALPGSLLESLEREVRLSSIAHEDITETLESLGITADEKTPLKATTS DGTMAIYSPVATEKALDTTETGAPPVTEPKKESGFSIDDLFVPPPVTDSHQQTSYNPS TIPQGTQMEGPLIQTEANPNMTYTFPTGVPVGQNFFGQQPTGNSLTWEAPSPAPGSMQ PQSAAGIVPSWSDSSASWGRGNCGSNTVDPFKDLYASQKGGQ XP_828556.1 MRALRLTTFITATSAVRLQSGGNYGRQPPREYRQRPHQPQHRFG GPRDGRPQRGSPPPQGSSYGGSCNRLSEPQLVAKLLEHFPVGKTSIPVNKWAPFVPED IQEALVPFGGLAHFAASQTNFFIVRKENGLTVVTLSTMASTLCVEREKSIKKREEKAA AYAARRRDQPRRSH XP_828557.1 MVHYSRKPQVSSKTAKAKIADLRCHYKNTFETANVINGMKLRKA QQLYRQVLAKTRCIPFKRYNGKIGNTAQAKEWGQTKGRWPRKSVVAMLSLLKNAEANA IEKGLDPGKMVIKHVQVDQAPRVRRRTFRAHGRITPYMRSPCHVQLFMTQPQERVPVP KSKPKK XP_828558.1 MFTVSSSMRCGTAYRTAADRCQVSRYHQQLVDSRYWNCSTPSQA LSTLSVLVRSRTVVDALDVAALGALEAMAPSMTQGERTLLQKFSTFLKFKNTTCLPPA SPHESNASPLTGDPNVNVVALYTKLMGEKPSVAVEEIGSISFSSDSILQLSTVGALML FEMMVSTTFQPCASWRIEDNIVALLNHLRNTVIRGDTVDSRTLGWIMSKLNSAGSPIA CRPSECGRLFKACVKRLNDILPQMSVNECLQILPLIDTTAYERPFIVCVEIVKRLDAC SEIELSDVRTSTLLSALRCEDVTLKTFMKICRVISKEFRIVELSKGESLLFLTILVAR LNSSASAEDVGIIGNNGKVWEALFAQLYVDTGDMSVVECIEALMCLEVLYFSPLITAV PGGLVEKLKKRVFFVIRKAMKQRHVTAQEVELFLKSLQRLGELLKRCLLFPLLEKDTA IITELQAELALRLVQQSVNTA XP_828559.1 MIVRAKSLWLLAFFALNTALLADAENVTLKIVRELVLRDVGDVV LTPRFRENVDKYLRRHTDRNVKANAYKSQPDVIVVARAWFTGDSKEATASYNRVKDIF DSWEAQPNDTLISDLNNVSLRELGVQPRLIELSDQCKYGESYIDQSAENFGFNNLTLE IRMSTNPQSDLRSRLCRMLPFVDCSLIVLDVVKRVNNLYTTKVTITSPNRNYCLVVLM NNFHYAAALLPDIIESVVIADKEVFRRPSVNMVEDGRLQSPCARRFWYLIFLLLLIPL SLFVGHKMYYSGLESGRKAARALERDIRGGVRYQGQASSQPLEGWQYMESNYAGSSSN GSPRIGSSSRQTNQSQRKVHHMYYDPNENWQNNEVQMMRSSSTIPSASSRQQ XP_828560.1 MLRPRSVLLCSSLQRVAGAIELSKDYQSIEARSCESAEAEEKRK EYERFPLRYQLLECKPGVPLALMKLKYLLACRRTHPDAGGDAEDFLRVCLAYQDVMKD YGVETIENKIVNLGNFQVDRHEARNYLEGRAQIKGFIPVSTLEDYISKLEEVKARIGD DLAERLATNDDEALLLLEDIEEIMEANGLRTVRLEVLESGDVRVLEKPTLTDGTERPL LIGDLDNLNRGTLEVDRPFGPPSGVSEDGGVHFVRENESHDEQGLDAAEGQRALRTAE ITAEDIEVLTAKHTVQDRTDVAGLASRTATEVMNNTEEVYKIRLESSVLYVFVISVFV LLYVYVEGLMRAKVQEKKRPQVMSHVTTDTMLPWWGNDAEYESQVKRIFVEEWRRARA SSRRVQTFQDGVSRESLDENTKKELDLGIFTVTAERLREMRERAEKHTGLR XP_828561.1 MAVAYVFDGAVLKQMSLEAGHPKFTVLDTPLCSDSAVTCFGKDE FYFINGSVPNVLRHFGGRSGCTEHFLPGPAHCLLVHRQKVYCCGVDCLYVFDPLGEEV ETIELGQQIKELTAADHGFVFVNDRHELYAFHFTRGVKIVGTKGPVSKLLGHHNRYAV VLLDNGDVISVNEEAEVRENLFPLKIKERFVALDTGMTLALREDELALHMNGTWLCLD GFKGRELQFLGVPLTPAEDACTICFCDFEDGDGVRLDCGHPFHRDCLAEFSTHAKSFV EKGEHIVFTYAVCPSGCGTHIRHAAAPLSAYMNDLYRAVTKDAEGRLREMENKTLEDL YYYVCCRCEKPYYGGNRWCSRTISGEPCKKPSELICSDCNDDFLCPSHNHDFVLYKCR YCCNPATHLSFGNRYMCDACNKKWEGTEPEPMECPGAEKCPLGGAHPTGGSQPLGCML CTLFDKCDAKHFFPPQ XP_828562.1 MSGRIYKLFTGREQPQWMWDLALETRIPALFMAYAVYVNTRCYY DALSSYKANSKWGITRGKLLELRRLDYRYISVPRYALRYEYEVDGKKYVSTRATTGSP YRNWMERFYNDTITESEYLQAIPVLRVGENCTVFYSKKHPGVHSALAHDANSFEISIL CFLAVFPLLMGYNMKAQWWMIKRAYRPNKMLRIRFPPNCRTPPPPEAPSSPCHISAIP KS XP_828563.1 MSGESVFNLIEPDVESVQPQPLRKVKLGKGTAAPPTASTFGFHG TSAVVANVGGENTEPSVHPAKKPTGTFGREASSSVNPSKFLKKNEGVGTASRGVPIVD ATKFVKAEKDASKRKDDVPNRYDKPVMGVKTEKNYVVANAVENVLALPTKCIPTPMNR AVDRADFGKVPKYLKEVKADIEERHALVERLKAAKREAEERWSELSGEELEQLKQGLQ RRWDSLNKDYQSRGFSKLQTPSQKAQHEAVGKELNAVEFAMQKLSRAHVFVYDDRN XP_828564.1 MNFPDSRVLSDEFPRRKYKPSSATRLEDIVLKGLHYGQRKLLLS EIEFLSAYLEGRQAGAKPTLVVYAGAANGSHLPFLFQLFEAVKFVLIDPAPFCDAVRE ISLNKQGPILDLVQGFCTDELCKQLSSSYGSTYDILLVSDIRSGVPEKQSNRENTLMI MRDNDMQRSWCWTLKAEAALLKFHPPYPPCRDRNSRHYDEADDTPESIEYLDGVRLFG VWAPKSSSELRLCVQGPFLQGTSFPMRRYDCTTHEEQCYFYNTDNRYTRDCAAESGIL ERYLQLFPSAPYSDPTALSMTISNFLGFPLFLPLDSSFSESDARWVTLLYSTRIPTCL ELFTTLRGRVTHTVMKQLAEEWQSATTVPKGVSIDSVELTSEFWKAVCAGDLTEAYSF PNIRWRFANLLISRRRKRSASDRAP XP_828565.1 MRIKVDVELHKREINNITHVQEVFKSLSDTVETRLVTDKEALTR LVSNALAKGTLRTIVPELTERLCHPALYEDCLSVLCGVMFDSERVERGESLDGFVSFI TQLPDNEQKKAKGDIVQRAMTYLSKPRRLDCSRNLLLPYAEVIAVLTKVDMLNIRNVV VALMQMIRLDTTRTAGITCLGKLVEVAHGLLLERLDQHTLQTLRDTVIFARQDDILSY DVEYIMEAFGWDQSPKFVNFSVRHSGVHHKSAILTLAYSGGNGSREVVVTSSVDGTIG TWDHSGALTENLVLSRHYASSIDFADHGRALIVGTVGRTASIPPAIVIYTAQPTYNEE SNWQEKCGAEPRDAMFITTVKCLRSFSSMRYCCGATVATGSTLILYDGTQVIQEYNGH SDVISAMHVIPDRDNTVVTGSRDCSVMVYDLRMPQSVTTTSAHRHYSTVTSIGSCGDF LFTSGLDRRVVVNDLRMMGQGMATQDLDSAVLSISVNSSMVCAASTLTGVHLINFGNN PILTCRADSMKMSPRYNAVSWNAQGDVLYAGGDNNTLDMFTRRFPETEAYAA XP_828566.1 MDNGKRIAEDVEVFLRAARAKDVLKCSEMLKQKPSLIDSVEAGG FSALHFAAFNGDLDMLYMLLEYKPTLSLKNYDGNTPLIMAAKVRQHEAIKVLVDAGAD VNFRTPTGGTAAHFAASMGHVDTVRYLVGLGADVMHVDCETGSLLHWAAHSGDVDCLG AMIYEFRVPIDIKDSNGGSPLFTALFMKKVEAVEFLLEHGADPHTVVEGDLSTPLHIA VEHSNTECVKLLLSYGANPNSKNKSGDTPVAIAEREKKQSALKELLKLPVSEEKRKEE ANRFKMQGNKVFEDGENVKAAKYYTLAIRMDNTNHVFFSNRAAAYFNQRHYSGAYWDS LRCITLAPQWAKGYFRKAATELAMKKRDEALRTCEEGLRLDPQNKDLLTIREETRRSK XP_828567.1 MSTIYNAVDASTLNDRCRTDLLDTVAQLGRHCETLPKKAVTTAD APLPSCTFNTTVSRSQKEINCSNEAALDKYIEEKGATRWRTTQALASTYFKDHKPKFH GALRTQDCFRDTRDITQLSSKEKDFVHRYAEAKMKKSIPREESDDVAKKVATEAYTEA FRKFKGRDPTEKELLEVERLYFAYADDGAVGVVQKPHFHGPHMHVSICNRKYVTCPNQ VYRASLPAVRNARSRFPGPIPVWTSTKNLHAVASNDTYRPGVHNVSKFGETSSK XP_828568.1 MVERTADQRIRTTEWEEVQYKFGNRVGKYATNEVELLAQKIADN NLNAPLKAYDPHEEKVMDKVERGGYDTGRAEEEGGPAFDDALPDDDDEALAAFRRKRL AELQRQKEVERFGVLRHVSGADYMTEVTEASSTNWVVAVLIKPGHDNCEALLSVMRVA AQRHRDVKFLSMVSTEAIKNFPDRHLPCVLLYRDKKLENQLTEVASWKGKQNRLSLES VERVLHRYGVIRNTDYDHDDDDDDA XP_828569.1 MSVTAELHCRYSVSFQPVVIMSVDGAIISDMYRLLSEKQPISFF ILLCGQSGCGKSTTLRAVGDGAAARGMNVTYGAPILEEADTLEWNVEKANLHIVDFNT MRSMDVKGYPDSSSYRPGTVVILDDIESIYHLMHMQGVSHRLELFLRLLLSSSRSALI TSAIDASRVPQWLIDMKAPVVYHIPELTGSATRRYIRTLPEADVYASCADEGGGDILA SCLRTQRDLTLYLCCAKFRGETRHPINSGALHFSGHLRAPLQQLSQPDRKLFGLDAVA DRIDALVRHFIGRDGSSISGLLSTVASTTGILLHGPPGSGKTALAMRYHSLYPGKFFS VNCATLFSKYLGESEQRLREAFVLARSRAPSILFLDGVDVIGSSRGSMSSDNNGGGVD ISRRMLAALLCELDGLSDGGRVLVIAATAVPNKLDSALLRQGRFETLQYVPPLSYGAS CEMALDFFERFIDATEYRDKVKNLAALVATRSEGSTPASLRAFLRVLLEKQLELSNGH CVDGTELPLPSATLVRDALGETNQLIRADYAFAAL XP_828570.1 MEYKSVFELRQHIADNVTPPKGRHRPPVSGCSGSSVESSPSSGY SGDAGMDAILDDPTALVCEVPGLDKLRALSVSLKNEEAVQNYVEARNLSVEEATRYIM NNGSTGQKKSLFQRLGDTLNGLEMTAMSQVLMIVVDFMWVQDPELQCFAPGALLGVLP LLSSSVVRELMMVTSTMLNVKTAEIRIAWNKLFLAFVDHLTAKQLDNDMVPLALKKAE HIEPQDQRELSCDLIGAVCHHLPRDIVERKLLNKVLALCQDTNVGVRRHMCQQLGTVA RSLGVDKAKEKVAQELFELLNDEDQTVSRAAFSCLIDLVEFFGPAYRRERLYPIIRGF ISHPPEEVVSLIVGEFGRFLWEIKADIQTSDDVAMFATFYQDAALKGDDHTRYRCAYN LPSVVASLPVDVFPTHLAPCCEALSTDVCESVCQSLASGLHELVKLIGDRAAEYLRKP FLNLLHSSKKSVLKALSGHTCVLLDCFSQQLKPADRTAFFSTIEDILLDLASRADRDW RIMEYVLNILCSYYKELNETSLHEKFLPVLLRYEKYGAYCLKNQCAEMCIKISAALCS VNSKVQFFSKLNNEYAHSPLASVRQGYLRFVRAACGEFSRRFIRERMLECCFELQHDN MEGVRLELARILPLLRKVLEPLTTGTVLDEYQDMVRRLQMDDSSEVRAVAVDSVDIIE ARERELKRDAGKNKFEEESREDRRREMSEGQLLDVAKEYDKAERRSKLRDLLKSEREK EQAEATRKLGVGRRSMKPSSAQSQVKRPQQSPVRAPIAGPSRQKRH XP_828571.1 MGKDSNDGKIGVKRFREESKTALGAPTSPPVTPNCINNTSVTVR NIEGRQGDTRLTKWKTLLCKALMQGRKEEDEGRVADMALRIVKAIPGGRSESADTFRM LLVHLGDAKNRELRESIIEEKFSVEVLVRMKERDLLNPEERERQEAAFLARSRDTDLT EIRKATSTTSTLFPCPSCKAKNCTWTQKQTRSADEPMTIFCICNICEHKWRRY XP_828572.1 MRKALVEPHEGGEALPIKESSPSSSVAATGVGEGSYQETKRYRT WRKHTRDLYQRLTHIDLVWESPAVRLMPFSTSKPGLLTRTLLCCTRACNGDQSYLQLL SVTTPSCAESLDATYSTYCEATGEVGGYGMAPSAVGLRIERSILHDGEPLTARYMHAN PLIIASGSKDGNAYVFDWSRISLNKFPNDPPRPRAPLPPNEPSEGDTGEERALYNKRM SALQAVVREQDRWDKRHGEGQHLLTLTGGNGPCGALDWSTTTDGTVAAGSLGRICVWQ IANMSKDDPRVVSCVQKYTIENESRVNEVSFSWMEPTSFVASVESGAVLRGDIRDPQL SQLFRLEVPATSSSVSPLDGTSLLVGSEEGEAFYYDLRYTLHPVMTVELHEGAISSAQ WCPHSRHLFCTGGEGDAMCCVYNVTANRLLFKHAGHVENVTDVSWDWQEGCEGQLVSA DAWSVMLWRPRDIFYTS XP_828573.1 MSGSPSDVVRKAWSDIALDSRLVEAIKKLKWKAPTPVQSACIPL AMKGRDLAIQSQTGTGKTGAFLIPVIQRIITENERACGRRNAQNPVALILLPSEELCK QTVEVANALTRYVKPRIIVNDLTSRVSTANALRLTAAPILVSTAASLGKLCRNGSVTS DDMKPLRCVVIDEADLIMSIAEGSLRAVQSVLPTSAQTILASATLTDGVAQIKGQLLH NPITVSLKPDDGEKEPTGCEGPVGAEDVVLESRVTVRGGAAAAGTLRHYYLVSTDECH HHTLLYALYRLGHIKGKTLVFVNSEDTTYKLHNFLAQLGINALVYDSNLPMNVRVDAL RRFQTGKVGTLVCTDGTLESLDRMRGATDGDEETTTSTPRSNSRGKRKSLAAGGDEGG SALHRGIDFSDVSNVILFDGVTNATTSDFSRYTHRVGRAGRGNKDGVAITFLTLHQAR KVKQQLRDYLGGTFQSFAPFKQLDRHAASRLQYRVDNVLASITRSSTRRQRVAAVAAE LTRSAHLMSHMGDKDSGVLKRILSRSKGDTKCDQTVVDVPEYMRIKGADSAESYRRRV RAPKKHTTAVAKRSAQKRSRDPLGSLTSSLKRRKL XP_828574.1 MDLNTVDERYVFVTPGTTIRVGWDENCDVVLPALGPCLFNGRCI TTRRGCDVLVSCTTEGDLLLALTGNGIRVIINGVQIKPSFAPRKLPPGAVVSFNKLSR EFSFIFVARDLRAAKADVKHVPPPENSAADIARLEEFLLTLNPQPLLSHAQFDPESAS LRSQHFVTLVDSETITHQKVSTDETLPSTLTVTEVAPTEEELVADARTSAQSLTSQSV SLHNYVRRFSTSGGFTSLYYNEEAEFLQPHPTPYRQQGLLNNVGASPHIISSVVVELW GRRVALRNVADFCDSNVDQPQINLTGDAEDLNGAETNPYITFHGVKKMGGRQQRCMDK EIRSAAENVLEYLNDAYESDDDNFIELPQQTKDKIFEYFLLLATHMMKAVKAMPVALR LTSPVVCCGDVHGSFSDLKQIFDNVVPFKHWSLMTRPVLFLGDYVDRGPHDVEVMLFL FAWCTLCPENVFLLRGNHEDDRVNGDTELYGETSFLVKCLTFFGEEKGSIFWRRVNDV FAVLPLVAIIDDTTFVCHGGIPRLRGRQLVQAGTTMGDNEDGGNAAAPFPEWKLWQEH GAENGNSSYSCDGLSSTNDAIAQVEDDIPGEDLMQELLESVPGGPGDVRFYSVMPDDN DDCLTAKRRRIARELLWNDPCTTPSLRKRHSLPQGVSDMMGSFTDEESGNMAAVMPLP QRNGFNSQGFRCNHARGCSVDTFHEFNSFALDNFLQRWGFTLVIRAHEQKDAGLEVGL AQRVLTLFSCSNYTGGDNNGGACVIVGGEVRPVSWRRPCPVLGRSPSADDPDIWKMKR LREEVGRNNSCSSYLHFPGPQIVPSYVGKPVVNLD XP_828575.1 MDTNARRASGGSAASVDAAPVAAAPPEDDRDAVIERILKATDPV VMSTPVYFDYRKDARTYRFFPSVGQTARHFSVDGGSVLKDDPEAIQQREDRQRREHDT ELAARAELNPDLVDKGVSTSILKNQFNYSDRGSQTMNNAMVERCVLTDPPPSATFSAM ATAWEIYDAYEEDRIQTEKSAAAVQKKTTSHRPTKEDKLSSTADESGGAKTAEEVLSS AAYKHSLKIIERMVNQNDCHDIIEDFKYWEDESDLYKEDGNLLPLWQFFTNKVKHRAV TSIALNNRYKDLFAVGFGSYDFQRQGKGAIHCFTLKNTVPTVPNSPLPAHPEMSFTVS SGVMCLSFHPVETSLLACGLYDGSVCVFDLRMHDKPKEEAKQICQATVRSGKHTEPVW EVQWCRSTVDLRFYSISTDGRITSWSLQKKELIFKDVMKTTTGACVFDPESLVLSRLS GTCFDFSNAYENLFIVGTQEGALMLCSKGYNGQCLERYEGHTMPVYTARWNPFHPDVF LTCSADWTVKLWLRSSTKPLLTFDAGDSVGDVAWAPYSSTVFSAVTSNGKVMVFDLNK NKREPLCSQTVVKNAKLTHVVFHKQDPVVLVGDSRGSVLILKLSPNLRTLCKPKKGEP EDPQHMRQMEVDKLNRLIDITLKDRILLGQA XP_828576.1 MSDLRRVESLNADTFRRLNATSVVKSFWHTQLRAATAASRGAKD DSLATANEETPVATRKLRSAASSAEKSAGGLTDSTVYSSSSSSSNSRLSVSATLPGFP SPTETRRRLRAAALSAERHRAEESDEEKPDPVARTLFQNFLLQEYDALDSRPVLTVVL DLDETLVSNRDSGATGAILRPYCLHMLNALRHMQGLEVVLWTASTKETAAPVIRQLSQ SGPVFDDVICRNSSWFTEPLHTKDLRLLGRDMSRVIIFDNSTACCKLNPRNAVIVDDF RGVRNTSDAALVNVYYIIERSFNGCCAGVSVLETLVKLAVEKQLCMPEVLHLPESWRH IPLREIAPLKVPPHGIFFRAHSTPLNDSIMQHWTV XP_828577.1 MPPRPAKGRAALSFVASRGIPDSLESFLGDFSQPVKSNTEGSAM MEVNLKLLQKSNETTVLKALVELNRGASAMSEEELVHYLPQVVEAVVRHAEHSNASVR SGVFALLHEFLLRGSLLQQAVTKRLPKLASAWVSRMCDMEPSVRRDASDAFNAAYTTE VLKQHADSIVTDLISNYEEIIAQSRGKPLQDDTLDRRSNALYSCVCAMGHMLRVGAPA SACHKVIEFVEGNSVQPIMPPPEGVTKGSMVVKAPVVRSASLILLRDILSSKLATLRV HQQVSTALYNAMSDRCAVVLRRAWELQLLWFGSDSSSALSHMQGGFLRVVMNSITDCN DPEVAEIIYPSLVPLLVPVTRSSAFASAIEEFSEVLQRKILNVSDVVQREWDLVLSSL MRVWELRCVRSAKLGEGHEREGATMFSSIVATLATALEQPARRGRLLSTTASVVAQTL ERVARHTECFSECVQLLCGPTDSFSQRTGGGASAALVESFDVLQAALIGSSVSLPGVL VGAETLMAKYVEKKQWNILAELLQSMTDAYGSCVREEHAHSPVGIPSKEIQKLVTNGV LRAVRLSISAERKDSDGVEPMHPLQRVLSYVLQWSDDDALSELQQIAATVGPGPFWLK DLLRSHELRDPERLITLFSKACEEADFRTVELCVDSLLGEQEVNPRPLTSAEKKGLRQ AVQESLRKLHLLVSVDNDESEEEAEESSKEGDSGSGYDGSGAGDSSGDEGSEGAAEAD GSGNEGDSNSGTLVQQQLIAWARFLRSGEPFASLLEVSSEDIDLPMVFRIVASIAPRL HAEQYMSIKALRMALQMEEDPLLVAMSKKIHSIDSKAFNVVVESIEQLLNSYGVSVEE RDTVSLELFDSVMSDPQSSIAACGRLQHIVPFASCSLIQGIACSGDVWLEHQVHLRAN VAATGTGKVPFALLDQYSPLCGIVPSMLRCVRTSQLIHLLASSVELNSASAAVVGRPL LHAAHVQEVLAEPTRRLLVTKLLPRVLLSISSPKEVDTLLLRGEEVHKLLFTLAVVIR DTAVHSSGDAFNSSVRCIVSTITQWIAEAALLHVGDAPTHEEAIRHYRALFSTLDEAA DIVGDSFLAKVPSGEAASAIQESMCMIPTLHPNTGLLAMTLHRHLSHLPVVRGDTVRQ VIDYARKKKPLDGLEFLAELTSSRIIDTEAYNELVHVFTRLLASSFRLRYMPPNNCLV SQAPNDAPISLCDTQRAVVAVVSARRGINLHNRVDDTLRSSINLVLFDAVAGSVVSLK QANEEELSLMTKLIAFTSNCLSDLGSSDVTVLRASEVSVTKVAAVMNYAYQWLCATSI TRLDSIGMETVTSAIRAIALLSNLTLVRSGFVLLPIMRPITGRRSMKDIREEFSSKSP AKAIIFRRQAAVIAKTNKQKLTLFPHLLAWCVTLSGPVQERVSKEWREEVFQLLDVLC ALLLSPAVPGSKRVENTYLCNGNGSSGAGEQLGFEIIALTRPNAADPMRELAKGAAAV FALLLQSSTLSIVKSWLETVERKLQDYFYTFVEEHLSPCLIRESLLMVLSKNPTGGST FDVNERYTVSVCTLRNRITLKYTVEDTDSTVHIEFKPDFPLRPPVVTCEKTRGCGVSA DKWHVWMRKMTVLLFGGSSNVWDCVMLFGRNMDEHFSGKDPCPICFAIVSASSNRLPD MQCAVCRNSAIHSDCLYSWWATGGRTVCPLCRSPWVAT XP_828578.1 MGPRIYPLQRESPSSPSARAIFERTRRMHAGTLNIFAENNGECD AQPKPQTPQPEHEARNVQRNEESQETREFGWPHLRRVRLPTRHETPQGLHQVPSAAGK VQHIQVVGVTDSYKDPAMMTPRRTGLRCFSRSHSTSMSEIMCHEERGWSPSLPASVAG SAPVSRSSSRCSSRGCRRVTDYTPQERFFQAVRDHVQRRRGGATEFYVNLARGLVGSV PRSRAEMTPRPWRLDEGPVIHKLTLGSFREQLQSLTGIEVSLVELAQMVWGKEEFERK VQECPDEEQLASLRVTYREFSTAFGDSPSGDKFATFKI XP_828579.1 MKVDSLLSPRSAFSTENDPCGSVESVANDVASASDVVVAYPQPG KRWSRIIAGPELVGMSLKENQIKDGKALCIYGHCFDDGIIDYITNEVLPEARVLTKVE IAETKFSSAGMKILLRALWTNSPCEQMEELLLHDVHLHFEETLQLKEVIMKNRKCLRR LVLQRCHMDDSAAEPIVQAISHCEQLREVNFLDNNIVHALAIPTEREDIFPPTLQIFD ISGNRIEPKHFTGLGNALRRCMANLHEVYLARCCVTESGLKTLLCGGLYNSQVLSVLN VSAGRLLHTAGKVLSSVISECPNLQRVYMQDNLLDVEGAAQIALVIPYAKKLTVLGMG RCHLGGRGARYIAEAVKQSASLRELDLSGNGVTDEDVHRICACNDDASFRLSYLDLSD NPLTEDCRCSLETLLEHQKDNSCIVVVRGTGLAVVSSYMEYHNKPTPG XP_828580.1 MLRRSSLWFAPKSAPPFKFVPLLPHLHHKDTEYTLVTKDYVSVV NPGAGLPEILKVEAAGLTLLASRAIGDVQHFLRPSHLASLRSIFEDPEASDNDRFVAL QLIKNANIAAARILPGCQDTGTAIIAGYKGEQVFTNGDDEEALSRGVHHIYTTTNLRY SQNVPLTMYDEKNTGCNLPAQIDLYATKGCEYEFFFVAKGGGSANKAFLFQETKSVLN PKSLRNFLEEKISTIGTSACPPYHMAVVVGGTSAEMTMKAVKYASCKYLDELPTKPDE SKGYTYRDLEMEQMVMDICRNIGMGAQFGGKYFAHDARVIRMPRHGASCPIGIGVSCS ADRQALAKINKDGIWVEKLEMDPAKYLPEVTEDQLLKVPPVKIDLNMPMDKIRAELSR HPVKTRLSLTGTIIVARDIAHARMREMLENNKPLPDYIKNHPVYYAGPAKRPEALASG SFGPTTAGRMDPFVDLFQANGGSFVMLAKGNRSKRVTEACKKYGGFYLGSIGGPAALL AMDSIRKVEVLDMEELGMEAVWKIEVENFPAFIVVDDKGNDFFQQLR XP_828581.1 MFFRFHSTTLQTCLTSGVARSSVAVAVPLRAGCTRREMSSGGDG VLEGAMHKPGESGLQAGSSTTIAGKETWSQFSTKMRYGRRRIRVIDVAAKMSYEYQML RKMCKRRPAMRQWAVRDDFCDMNPGVVIMSPSMQAAFMKVFRMKEKGLIRQCLRDIVP VIEYRNREEPARLPTNPADMIPEGEPDTLNQKKRELFERREKGENFADLRLHDKRSQA KLRFRIRQRLLKFQRQLAVANAIASRSVLYSTNDAIGYFLFRGAAMYAGMHRVFFELS KQLPHFVPKTMLDFGAGTGTAILVAKEVYDPGSLAYPLYRSLRQTMQGNDSSRTHQLS ELRYDLKRLQRNNEEKKKVRFMAVAALLERGEVDPADLPEDLKREIAEVATAAATAKK DRLVREAHARYRDVVDGTEWESGDPLGEVRASTEDPEDVIDGEQGDGGDDGEAAKGRP KTWWEKLIDVENETARTRAARRLRPLQEVTAVEPSPGMMEIGTMVLHDDVPNVTWKRY LLPEDEAIQHDLVVAAYSLSEIATSENRRRIVQQLWKMTKGVLVFVEFANLNNFNILM EARDWILEEKDVGLWDWQPTIVAPCPHEHRCPLRHCKTGVKRKRMRICSTEAHYRSTF VEVWARHMPLKVGIEPISYLILARNELVPERAERRREQLKKAEEMKRRERDVKQQQLH EASLAVKDVVFERLSDEALHRVQSGVPQPLTDIDEVREASTSATSTSLLKDLKDGATS TGEIGHMPTDVPRLVKTGNTRHNRLIFPLQFPPATHKFNRAFVDAGYQRQRAITPAEM LVVRQEVEQLQQRVMRAAPKYLRVVRDPRCHGKVQADFCTPEGDLVSGRVYRRFYGDR NRVSAHSTMRWQHIGGWKLLKRIRRGSLFPHNVPLYAVTKHAQIDFPNTLLDTKHSTV EQTAMQYNDPMSLVEMPDDGLTREELKQKRRLQRDVELQKKVEEKLEDIFGVNAKDWK MDDLGGGRLDARREISEQQWADAVRRAKIRTVQHTKNALPFAAKKRAAQRALQVRRRN VRLEMSGNRRR XP_828582.1 MTSRSDAGVFHVRALLLGVLYVCGSLLFVTSMLSKTTTLTNVSS NTCPTVRGVDQIIVLLVDALRPDFVLPALSPHYLDGVECSTVSARGVDGNVNGDRRST LTYMEENLKRVAHPSHGFFFLSDTPTITAQRIKAITTGTTPAFLEVGTNLNTDEVQID NILLQLRRRSILLGDDTWLNLFPDHQGNASFWKHTHALPPYNVSDFDTNDATVIADLM PLLLSETAEQAPDDYARLIIGHLLAVDHVGHRHHASHPAMYKKLSDINEMLRNVTKRL REERQTSMRTLLVVFGDHGMTNSGDHGGDSEGERDSFMYAELFESSRDSVHAPVNSSD KFQRKNNLTEKRWEDNIDEDLSRLKACRDVAGVHPGKLSAVHQVDLTPTLALLLGVPI PFSNVGRVIPEMVALSNPDVNMSASEECNWKQLTSYFDEAGLYIKPEWKDSNLSLRRR IAQMSHFARSIRMEIRRDGAFIGSSLCMVTALSLLRNGDIQQVICGRSVLGIGTLFLL VLRLLAVFANSFIIKESVEVFCLLQLLLIAALFVAPTKGGGRHASFLIVILLVLLRVA VPLVSRERSHITHTAEATSHLEMWLAKQFPEFHYNSVGIILGAALFVFSAPTMPHRLM GIVLGTVAAVCYGQPLIHHIGPFVFFALTFFFRGMGPLRYTALVLWSSSLCNDNYVAS ASIAVSGVLLPVVLTATRHLPVVPQALMLHLYMWVSFFAQGNQCLLNTVDLNASFVGL PFNSVALGSVFVLSRLCNAFLFAPIAVMITYGTDRARGWRVCYLLLYITLAQSAVSSF NGYIQKSHLMFFPIFCPKFIFDFCIGMAACIGYALAALLA XP_828583.1 MLAVIVNGVQLIYSFPVLLSLDCKKWMSQWCAIGTANTIGNMLY PIVLMWRFRRKIEEGIPTAESNIHLFVKEPTNIVFLLFLIWEILWMSHSPSLPDSSLS SGQCSHYVLFLIIFSSVWMFLLLLLVMFTFMTDFGRPPRWREWANERWRQRQEIFSLV HYGVPNPPENTRRKTFSETFADIMGTHTDLGPAQPDVRRG XP_828584.1 MGKPDPILGLGQDFRMDPAKRKVNLSIGVYRDDADQPFVLECVK QATLGTNMDYAPVTGIASFVEEAQKLCFGPTCAALRDGRIASCQTLGGTGALRIGGDL LNRFVANCNRIYGPDVGYPNHESIFAKAGMELTPYSYYDPATKGFNLAGMLECLDKAP EGSVILVHACAHNPTGVDPTHDDWRQVCDVIKRRNHIPFVDMAYQGFATGQLDYDAFV PRHLVDMVPNLIVAQSFSKNFGLYGHRCGALHISTASAEEAKRLVSQLALLIRPMYSN PPLYGAWVVSSILKDPQLTALWKKELKQMSSRIAEVRKRLVSELKACGSVHDWSHIER QVGMMAYTGLTREQVELLRSEYHIYMTLNGRAAVSGLNSTNVEYVSQAIHNVTK XP_828585.1 MLVSVAVCFAYSHVLFYCFFHLFSLATPHNLFTLFVSLWQRISR EKGVESNLYCIITTPASIVEISGGNMISESQMFSPWRNSARDQAQLRSCALAAPSAAA SRYIHRLEEEVSALTQHYNTACHLLHRAILVQDLSSVIIDELEGRSHIVEEESTLRSN MMWLCMSMTSVLRRTVPQHSTAVRAGTASQVDSDAARDLNGLPERIINAVRHTVEQGS ERVSELVSAVPRRVCMALSTEDIGVNRSKHNASVMQNEEYRSGFSTMQRQLDRVLSRV EDILSEFNTAQHALVNQQRDDEYSKGYVVSLQTAAKNVEDLSTQVAELLRQLQQMRRD QLQHQQTSVSSSSQPQLSPAKVTFTETTQTTGPLPSCDAVTQTLPQPEPAASKVESPA TQRVKIVQASSVTAQTQTAGPPPTATTATQTKTPPSSPTDVTRENRTTQRSILTAPLP TVATGTQTDTPKPSAAELAPSTDKCLRDSRAAEEWKLLMEFAVNAINVLSSNVRDYVS TFEKIQRLSEQEIDFLQQVGDSRKTRRWESLLAEKSAEIVRLNEEVTKLDSAIKAAEK PRTRSSPSPARSEDNNTPSLAQLYAAYSAPFIPPKLRTTQKVKLLPTTELLKAALRAG ARSATEGDSTGARSGTVTPKSVSRSPGKSGEGSPVSRQGLTPDAKAAKKVAISEPNKM PFQSAPNAAVRGGDISPLTGSRRSTVAAPPAATKHVEKRTHRVFDDDTPVATPRVSTV TKAPDTSDKPSLPSSKIRKSDAAAAKSSPRAASPPPTSAVSIGGDVVTVRKFKRSLSS SSSSSGKADTIAPLRAKKSEVGNKESHEKGEDGKPKDEPKKLEMRQLKKKSFSSDSLS VSLSDVPVTRPKVEKVADKPKSAAANNSFDESSDDEPKRALHRVSVPAKNNERNEQTP SNKCQDKPREKRWSETSSSLDDKEGGNEKAAAKKGVSKNASKSTDTSLTLTL XP_828586.1 MALNVVSMVIKINRVLLIGCVAYLLSRVVDGLIRGDDLLGRENH QRFSVLPNGNAIHLNFVIRKNRNCTDHHSSHSDEGIKDSISGISTAADAIPKKRSSTA PHHVKQPSSTGVMAVFTFGRPHLPNGAITDTFKKRLVHAVRMALLTGAPWIIVPCSRG ERTNSEAFLRNMGSPGDLLFNDAVVEDLPPELQELAKQLRDSMRFAASLDEWIQSGNP IEHFARVANVEGTGVSIASPRLLRHGQSQWIIPEFVWVDRNESWVVNTKEDIKHGLLV LLRRVLQIDFYGENSESQSKQDADAAWLERGIFDIIVVGSMHNEGFLYSATSRELSFL SRAHRQSTVLQRQGRENLLVSWLSKNINVRITSAEDSVPEITVPEPWIVDAQPEPRAL RKAWWLFRMLAPLSHTIHLNYCRWSACIRCSLTSVRIINFVFEFFAAIEAILTEKVNM LGYF XP_828587.1 MQLAEELKQKGNACFASGDVDGAVAAYQHAINCLTNDAIPDDRS GEQLDTTSEVGRMLAVLYSNLSNAYLSQHDYTGSWETAEEATRYDPIFVKAWVRYIHA RRLDGYPFEAFVALLRHLRPLLRREAATSGGKTSEDVEASLSLPLYEVLGLSGVLPHI ELHDFENGIGIVALQPIKPNEVILVEKRFETSFAEVQLNFRKNLTTTRIVSSFAQKVF AHQQRCSEEWKRFKKEFKGCWPRSPEDVPDDVRREISGTLRPELPPMEDRDFEELFLA STMCRYNCFHTGFFRACALANHSCMANAAMKLNSRGDSVTLIAVRPIAAGEFINVKYL SDAQFLMGVGKRREYLRSWLFWCDCSRCLSDRNGSSVSEHVQCGHCYRYTCVPLRGDG AESKEVDPLLSQVVPCSHCGLDCSWSPESCDGVERIIDSLKEATSCPSYYELQKWFLV NVRKVKELRVHPEHWLYRMLLYYFCFVVTPYIDDAFVGYQRVGWGAPNVGMLLLNFGF CSEYSLPSEGDCGTVRCNDPNTGNVTNNGSHDTDSIMDGKVLGDTLYILCLLWRLIEP FYPPYEGWAVHRAICYMVLFAHTHPKGEMVLPGSLTLQLLSKHGKYIGRNELSTWVAA YSRHKLPDSQKGILSVRQIKKAVEKV XP_828588.1 MVELTVYEGHDVFLQNAVRLHIPCKVDTIEGLLSLVGRAVASSP GGPSAARRYRFVYSLDGSPLRSVDECLEVGTVIVSCTPGFQKRKHHVESPSNPVLDLL NRKGYETYSAAESRGLSATPPSHDGFVPISFQQHSVSRASPKYVFCDSNPGPIPNFSS IGGASVEWGGVSCRVGDERIVLPPTPSFDVPFPDMNLDISHAERVAAVKTLITLKLCG RSFLNDELLFEKELEEVFRPIVAEQASCPSWRDQTLDRPPPHVVVDGPPKSGVSTSLA YYSSMLVSSAASRYATFLILPLNFELLFDGSLGISSYSANRHLRGSKSANYNDARTQS LLLDVPFFYMTVVRALIDSVVAQRPSVRNCSTALVEMWEQLIKTTAGSGVRLNTCEVA HAVGHSALYRWETFAAPASQILRAAKLNPRDMKLRDAVLELVLVELVAQVASALHFSG VVYVVDGLRPLARSLCDRLRRPGGDAAVFLDRVAQRSWVHLAVGVDSLSTQVLESALL TRVRRVKLLRMLSVEYVTKMYGFPKAIHCGSNKYPLEMFLGTPGYLRMVHDLLRSCEG RKAHKGDSDGYAVRIEDLDVSNALLEFEAVRKLGQDTQKEMWSLS XP_828589.1 MPSWCLIESDPAVFTELIQRFGAQGVAVEEIVQLEQEYLRVHTN VYGLILLFKWKARKEGAATDGVVVPDAPVFFVQQTVNNACATLSIVNILLNHKESIEL GEVLGNFLSFTQDMNPYLRGTQVGECDALREAHNSFAPVELFSLDHSVPDAGDAYHFV SFVYKNSAIWELDGLQEGPILASDASDENYRDKLMEVVRKRIRDHSAEDTTGAGQGIS FSLMAVVDDPLVLLERRIYEATLQEAPTHYLEEQLNQLTKQRAREKLENQRRRHNYVP MIVELLKALAEKGQLKGILDDALAKKSGQGAKQ XP_828590.1 MDKALCTSLSCQSRPKRCRGSDNPQFGTSFSSGSNVPEMSLLSS AVQQAVEKVFSEHASDVGSELLSDEIFTSSVCRAILPSEDGAKPLSDQELQQRVRSCL EVVLAGKVDEERQLLVSEALTHQLAEVLLKAPPLIAATGVSQEENVQPDVKRVRSVFA GSSMSTVRVGVAPVGKVNSSGSLLPPPAPLSSVFSGSAVYPVRVVAFHNLPSKYCEKE ALKEQLAEICARIPHSYYLNVHCVQSEHKAIASFGTKEAAAAVAFIVNTSGIHAPQTD GKDAGAPTVSAQPATEEEQEAVWAPLVEQVKALEESWSSWDEKFRANPPYKLYQEWVE AKNRGVGLDEALQQLTATNKVSEGQVTDTASYGVVESGTATVGLTQEGLQKKLVLLQG RLECKKIIERTEECMRELLGDTFSGSLERACSGPTHSTSSATLSNPRKALFIYDLPRP FDDVELVRFLQYVGVEPVHIWRNSAVPTTVCVELPSIGRVFTVLRQLDGTNMKFAGAT FSRKYASTPQNASSCPLTVT XP_828591.1 MKELNAILHVLHTDALLALMEYILVCHPRVLFHPAVGGVGGTSG NESPFCNAILSAILCRCVELSSKHVAFLAGLCGSNGISSYLLLLILYHTRQSLRRTQA TQVLQSLCSLALHRKPIVAGEATASHISVQSTVLSSLLVRCMELEAVHEGHDMRLLLC RALLLHGSDMQKEIRAACGWWCRKGTTSRRRAEVRNDSYIKKGNASLLSLPFEIELSD ASSPAAAFAAMEAASRLLNLPDVNKEYLLLLARRRVLVSSRSPSCTGSVGPISVKLGP SVASTDTISCKVPPALHQEIPAAKQLKGEARLLGLEALTRRLLDVTQLHSAVSLVDAV LQNCPALSQLLVHRIFQLCLAEEHWDVSPAGVSAAEELTVEVLTALYRLLPHVDVADT LEEVIMYCGPACVRCEEACARSSTSLTLYYSLIKCVALHIPPDTLPKLFWRLHRKREL AAFRVSSIMLRILLQSVEYSALGSFTAEEVGIVHSFVQQERTKVDPCVVIEHQQEGKE LKNSDNSTTESSGALLDAIDEYTRMRLQCVGNFKSLPLYLLGSPNDAVEGDSTSAQHA FSVALMDMFGLKAASYVGPTAVLRYREMLAWLYVVYHMSISVGCDVEVLTKLATTQGM KLRISERCRVLVELSESLTDNVVMTAFSSQVLRQESVAETPGWNICFKSWLLRRQQSQ AELQHVLAGADFDESAAAFISYVALSQNDTAVIHQIKQRTSWTSCAAVLLRTDTELNS EKYRAPFSGGEGGVTFCANFNIDNTFGGTYGGGVVREQVALVGEVLAVARCAIKFAEG WWDNPYYENSEMPATAQLACIWAHTDLLFLEEDCVRKLEHYEALLRLCKGERYVKFLQ HLPEGTHSDIFGLTLGMWQRGLALLGLAEQLPQSFSLPAEVYRTSMRACLMNRVPIPS FIRQKAMGLGPS XP_828592.1 MLNAALYERTQIIIGDEGVKRLHQVNIFLAGVGGVGGHCAEALV RGGVGKITICDYDVVSATNKNRQLVAMDSTVGKSKVDVLARRLQDINAHCRVTALEAL LLPEDMEDFLTRQRYDYVVDCIDSVECKVALLSTAVRLGLRTYASCGAGGRVDPSLVR VSDIFDTVNDALARCCRSELRKRGVGPGAITAVHSSELGCPPLEPQRQEAGGRDRAIN GTVSYMPPLFGLLLASSVLRHAVDPVKAEKEAERRLKKAKKEEARAHKKASRLVGVKR C XP_828593.1 MQRHSSHLLLLLVAVVIPVCSEDKLDFTKLRDPFDMDEINRKLQ EKVADDDPVQKAMPDPMDPKFRAPLRCSACGAVIEHAVRLLRPIIERQSERAARSGGV LPADALPKEYEMVDIFENLCGEVGRLYGLEVEKDKKRPTLRFSKSFGVSRLQGAWMPS FLTSTCEGVLDVEGEEKLAAMILGVARSEAEAGRGKVISMNPDQGIVDKVREMVCTKW EESAKGCDAYGVAIDPRETDNDDGAEEAASDL XP_828594.1 MVYESESCRNITSAEDRGRASSVVVEHLRAAIVQAMKSSETAEL VALICDNNGLTVEEFLQAPHEFTEMELFLLATPQLPQLRLFPYLTVVKVMHVGLESME PFSPLHHIEELWLCDNNITVIEGVRQMRSLKYLYLQGNLIESMDGIPSLPNLERLWLC RNRLQNIRKLDLLPQLRSLWVASNRITSLEGAFDSSMTALEELNLSNNQIYFFGQIKN LSVLKSLRVLWLSDPMYGDAPIYHLSNYTTFSLQHLPHLEQLDGVSITMEQRSLTVSV YAKKSIYYSMRGAILNGNVALMYKFAQQEAEKKRNFARDAIRRLDIQLMKLGEYGRDG QYVSRVVTAEEEAHRQTLKRARETREVELEGIERQLLEAWSRTTFETESLHERLLLEL NSCGSIRLEEGSEADSWYVNAGELLTSRFDADLYEGMGVTGVKVNRVFRITCQGLRER FDNRIRELDVDLADTRNRRALIRLFSAIPRHAKDQRSFLYEVMTNGFPGLYAEDEGVP LTNSLFYADQERLLSLKRNRNIFGLTSQPDQLSAQLLVSRLFLGKCVAEMGGGRDTSL EEGGDKAVEQPFMTGRRKVTRRHYGDGVFSVYRASEHNPAVKVWHVFDKCLLLPEYVI DFTYTTKAHLTISPPLSMYDKRESLQALLDKVMPMGAQDAINDARTAGYPLLHFLHWL DSNAFHVYSSGETEKAISRAKELYQPKHGLMLINGPLTNELVDSYISNYGTLSDSMLT YCDISEKQIHCIPESLTTSAWGALKTLLLHHNKITTVAWEALANAAPTLETLDLGNNE IARIDLGAASFPGLKKLCLSFNSCSAMDDLRQLPAKMPELCCLHIDHNPWMMNKVVEP FCVSIMPELQQLNGIAISRHARLSYLRKRTLMLNQSTLQYIVSEEQKLRAESATEAFP NSGCGNVLDDSSSSNNNNSDVFRSRYFEQVIANMADRVNQDRPFAPTAGKELSGPPLR SVRAFSFHSSLSSDIKWVTLLPQLRHLSLTSHLIEDISPLAQLRHLRTLNLNDNLVNS TKPLEGMRLISLDLSRNCLYEVDGIASLCDLRFLSIRQNFITSVTELQNCLSLEELYL ADNNVPDVRELCLLQSLPKLVSMDAAGNLCAERENAEKLTEYRDCLLYNMPKLKVLDG LPVAEADQQRARDVFAGRVSADLLIERVGPVEMWGTAQEVDLSHCGLRELTLLDPFSC LRVLHLHHNNLERIDGLSSLTSIVALDLSHNRLGHCAVGRVLRNLPNIHSLSLEGNHI TDVSALSLALPRLQFLNLKGNEISSIETGLQDLPALRELLLDNNKLRALGPDCFANNH QLTDVSADENYIRTIDGLQSLPRLSILSLGSNRLGDIRAIAQVLRHSGCLAAATFIGN AVARKPPYRVHMIAALPTLTTLDHREITDDERERAELMRNAEIGTPHNVVLDTTFPAE AVGGARASAPVGTLRSIVLHSHRFPAPATPRLQLPVCKNPRGEQHGREGVGKGFAPRL HGAR XP_828595.1 MDKSKTMEILRSMKFMQRKEEAKRRELFEADQKRRMEERLRKPS AAGSGTSGAGDGSFVTPAINGPSADTGRKTATIFYDVNFPRELYSHSRLSFAAKALAT ASSSSVAGAGAEEAREFQQREKEEEDCGSRHGGGAEHSSDDGEYWEDINEKDATAVEF GNKKKRFCVKSNAPKLPKDLERKVSGVKRKRRGGRGE XP_828596.1 MAELREGLHPQTQECSGTKSETDADENFEAMRHAALSMFKQRTK DLTMVSALTAEADQVEQLNTQVQSTIQKLQEQKASLEAQIEAEERRVKQWEAQLREVH GMLAQMKEDQTMFAGTQRSSEKIVLTLQHFVSQYTEKGGDVSRLLDRAQEATGGRTPN EWRGAANDLRRRGVVLREHIEQIEKQQIVPQALMDLIIEWTKGGWKTSPDYSPALCVC EQLVFYDPEQDVRELVASRLRWDAAKSRTINASTEGAETLVDDAIRELDELLEAVAAV ERECEDAGLCCTSTLKDSLNGVKEQWLNVPQSRQLLIDGVRLTEVWKKQLEEHVLVSN LVSERVGTLSSHIDEAESNFQHEKKNSESSREMCNNLQLSWTKALNLVLMHERNIAIR EVAIWTVEAESQKLQTKLESILALTDARWGAIQAQVIQLCAAVAAGKQEAAAAQLLLE GTAKDCKSVSENLSELQDTCTKFEAELRHECEMIPGRLPICFTTEPPQSEEETSQTEE EEENLIPETTTRQDVLDELLKFPVGSDVGFTASALGTGLTSTGLEVELLRCVAQCVIA MKKQGLDVEEKYRAWKCRMDEELAAANAD XP_828597.1 MQLHLSSISMMCLLLVTLQLSFTPKVTNASIFGQPNDRDLYGLL GVSRGSTKAEIKRAFRTITREHHPDMQEGAEAKEKAKEYMAKVLVAYNILSDDIKRSD YDQFGRIAGERLNAADFTSDELFERFNQHPPILSKSLQLENLIVLRRILNFRGNRLFL LQVYDDTCKSCQLFSSVWENLVHSTLVESGAVVLLRIDAYSDEGPELLKELHASYDKE VKVYGIADGVVWNMPQMAVAVKSNSQRQLDYALMEFVGNFFYDRRAEVNSMGNVEDVQ VLLQWLRERRSEGDSVRVLLPPLATNDMGVALSALYEGSALVRSVPRAVLLSLVEEYC AQSVDVLGGGGEPVPLPEFVVASVEQLPNISSDESAGNKSQMRSCRGIVVGAAAALTY RKAVNFLKESFPQRHLGMSGLTHVTSVSFFDICKKHCLLWLRDNCEGEPTGTWLEALR GDYKPFKVGYICLSSEPSLRDAVPLPLGTTGNLLLALVDGDDSKLHVMPDIPDKVNIA QSLSSILAEVGSTTPLQLDAPLSRILSSVPFRMSRNQYLYMCFLWIFGLVYPFFSTCY PFFMMFVTHKLLQRYNLLGNNRNDNNSQTNEQPSGASNTCSRCSGTAPSGSCKSTASV TRGSACDNGTSSKEVISCPIEVYTSADLREAKDGRGFLILIFAENGKTIEPPQCFAKD SRFVFRAVPEDDTLWRQWLSQHVTSTHTGGAEENGLNKGGALHVVAIRRGKMLAAIKS LCASVEAWLFDMADGTIVADLPLPEL XP_828598.1 MSASGSGVAAIYGRAEEAQNAPVKLQPVPAFELYKAAREEELCS YRSLCRVLCMHSGGKLTKQQRRILEDMREELCLPTERAEAELAAAREDVLVTSVAASG VLKRRQDFFDGVTDVPLDTLSYAESAKDDNNSLYVAQTKVARTEQIVGGVHGRHAAPS KMSIREIHKNLERIGREVAATSSKLLYCTSVVDQQAYRNVLQQKREQLQAMLREVEDA PPDMSGIASVMPSEHVY XP_828599.1 MQGTACASAYARYNCRQKQYHGMRNAVQFVFPLLRKVLSFARTP PREQLLSMLVGAPYSLAHEGPLTCTHLHAFVNTPEGELLRMFEGCIAERLAAPPSQHV MKMWSPDLQEYYKRATAKACVDASGERKPQRFEAGLSACSVFSLGVQNHTVESDLWGE FGSGHTHQGTYLNPFGDQAAREGEEDIASDWCRLIDMYSLFLPDRSPLHELENLPQEI GIGELLLSLLKHVYMTDIDIKTIVDVGGGNGFLAAQLAERLGCESFVVDPFTPKHAID NANFPHWTVGARVRQRRERRYPLHRISKRLQDVDWGNTHIDFDSCAVVAKHLCGTSVD SCLRHLMASNKLPRVLVVVPCCFNKGRYAEYCNPGFLSHVADVEGELSWEQCTRLTDW NKSCYQQAGNPLSCSSCCSCCNGSYDRRAGEKKVSRKRKMEHFLPCMDDIATLVEVII NYGRVLWLREMGYQTSVVEYVPRCVTPKNRAIVAVRRERSSDASLEITMSDTHPSLPA FQ XP_828600.1 MALVEPLVGHLLSKHNDTVIEGLSKIKKNATDNEGFSTEFLLHP QGFAALQNALYKQELAAPVLQAMAELVKSCNNAGVRSFVLREMCRGPCFSVLRHALSV LSDATLPTTIAALQFASVAAVYTPKVLLSRFGNVVPFHFACFRPQLPYSQRRIRLARA KFLIDLVISSRADVAESVLCMHGFLTHLLEDAGELLQEGTETGVEVAQTALQVFGKRF IDSRIPPAKKRSVLLAQKHTLRLLVKALGHSLVSESVLHILYRTVTELMESPMDFQLM HVDEEDKGMPNRLLFFILKRLRPRNTPAAARLLIFILHQAPGLIRPYFTRASSHLEEE SGNGRVSASIGTISTMNVITRAMLAPIPYHLAAGKAKLEPVEARATTFFTMSPAHVAE EVCPPWVAEYVHRMINGSTDLLMLSFALQMTYAILTRAKTVLGLVVKLQEKQGRIEDE ESVESENEDNECDWDAYNAKVQSALLKTVPSWEEFWHRMTQQLHHMMLPPGRSPQEEN NGWKPDGKVMFLSQRMFLLMELYSEVFHLRIPWLSALPTRLPVFRPCDQPIVDALRRG EVVVSRWPAPGIAALCSLLVSSLSRGVSMTKMHHITMSSPTGGVQEWPLLLNVVAWAI KHRDEPSEEIQFALAWVARLLQWTVHSVTVRFVCELEEAYLWLSVLNEATLPCFLHMI NNLLQRSLSKTADRVTQELAHGEHGVLVNAARTFAAKFDAKTSGKDDGGGENDIKFLK SDDSGGVKREKNKRDGKKFVKDLWVLDMQENMALFKLVIDRVERKWCNRVDLMREHLH SVFTVCHEEHGKRRIALTVLQAEREQRALQPLHDQVIGFCRTLTPPTLVVDTEEAEVQ LLSFIRDCDVREGRQRAKLIRRLSKHSSPTLWCRYPTVCWELSALFLVRIKTRSGERD NDAMSETTEVSDLEDLSQALLQLVGGEIGDITKVLLENSSNEGNAVAFLFLLLVTVWT LLKEHSSVSHYRSSTRTTIDVNLFAPLSVLLLRTYSGTLSMVDRIRYCTLLSMNYFLR AQSQSNPLVPDGNDPMDLESTPEESDKSEEADDEGCCGDSRCYDNSAVFSGIDVLQFL TEHRFLIMDHQRAPQVTPEVDMLSLLVDAWTHEEVATAALQCPVRLHNNILLSRRGDV GWELLRTVFPEFNTPKDVELASFDTVVSANVMDPRYLVPLLHTVLAMPAEQLPRRNVG TKCIPVLLRSLSFTDSHLRRMGAAALGSVWTPSGPTRIVVGYTRLKLTQLAAKRERQS GSGSRLERQQQTETSAEWNCPLLPTPISAFLVMAMAVLGDARHPMYHDVMHFLLATKA AFSDPVPLHRFLLSFPLACITTPIMIKRHEDMRNKADKSSMVAASAEGLLDQLRSEGP IHLEFVTRLVAHGCQTCSDMKAILHSESLYSLTMLISMLAAADDIRLMMLRSVHAICT TSTTVGIMAVSDGHILQWLLGFIQQLTAEYGKDVHSYGGVLFMEALCFLQKLASLAFM LPLYGQHVRQQVCIIRRALTVNGVTTKAVLDAVDTVAGQLDGEAELTASGASMLQGRR RKVPFRGPTNGHNNSRTSRGGYGSRSGNRRRR XP_828601.1 MNCNCGTPVRCVVDKSGKICYVCQYHRCRFCANSPIQAEGWRRI VPQPPPRNGSGAVSSMETCAILRFEALLHPEKKVMYCTATPTAPMCKEVLAVLEDEQF KPMWYVKRMAYVYPIESYERLILALRKFSSHQLQVEKIPPFFFSCVQAAKDNVLEHEK QVKVNLSQGPDPDDVVYSQLHPFQKRGVGFVIARGGRGMIADDMGLGKTVQAIAFAHH YRNEWPLLIICPLSLVDNWEKEIIRFCSIPVGRIATAHTTKRFRIDGVHSIVIVPYSS LKCLEGVSVTFKVVIVDESHYIKSGTAQRTTATLKLCRAAKRVLLLSGTPAMSRPVEL YSQLQAFVNPSCMPSKTQFCARYCNSFQGRFGVDCTGHSNISELHALIQHFVVRRTKS ELANELPSKSRHLLYLYITPKEKAALEKDITKLRECLRNGLALPGLTDPLTPSAFASD PHGTPGGPPSQYSAGKQLNILELRTATARAKTTAVQDYIRGVAEQLVETNEKMIVFAH HRVMLDGIRDAIESVNPRKPLDYILICGNTAAAQREELLNHFRTSPTCHLAVLSMLVC GVGLNLTCATMVVFTELDWNPCTHLQCEDRVHRIGQSSSCFIKYLLAEGTSDTIIWPL LQNKLSVTKALLEDGAAANGVNGRSDGLVSKNTNVESVRRSDLSTTPPLPKGRQLTLG ECKSSQTSGPSTPRTPCTERAEETPSSAAEGDGNKKTNATQLPSQGGQTAKSEEKPVF IDIPTLQKQRQERQSSLVVLQSTCSGGQPAPSVVIPVAVSPQISPSGSKPPVTTVLLG KGVVSNEPQISTAEKQVCIASSAALSPMPDLAANITPAAAITSPRTTTTTQGVTKLVE SPATGPICSPSTQLRPTPPFCSPCGTVRRTPFVLSPAIAISAPSSVSQGVGGTCVSAV PSNRDVDDGVLLSNASAVSQSEALRSYNSRRTRFTVGSVGEKRVRNNNETGETQ XP_828602.1 MDIVNNNGSFVTRTTVSRPIPFERYRKPCIATYPRSAVFLNKRR ANELHCSGAPGNENGSDDNASSLAFGEDNGKNEAADDDDPLNIDPETMLCSDEQLKQV LALRWRDVGPNGCGLQNMGNTCFINCVLQAIAYTPALSQYFSTTFRSPHTDRVLNAPF DYAYALGETIRKIHTPSRNAYKPTVIISNIKVLSPHFRLGVQGDAHEFAVHLLHACHR SILFRQVGSRKLPQHIEQTSTLQRIVGGYLRSTVTWSRREEIHYLLKEGNLQEASNLK MNANSRKSDSDDGHKELISNTYDPFVTLSPEICGQTLEHCLSKLCAKERLEGRVYITP RGVTVNATKQFMLHKLPNVLIIHLKRFNEFGAKVGKFVRYPKILNVGPFCTTDGTLKK LRNHKRRGYKPSNGPASCDSSIYNSEASNRSVECLYELNAICVHQGSSLSHGHYFSVV RARNESWIECNDGHISHCSEDHALSQSAYMLFYSRVAESSATPDIKCSRDNHTTHRGM ELSSGRVKPFTGSTPRKIVGECPTSDVGRELTDQEALRLIGKKREVPQQQLVQTNGEK RVQHVGLPARLKGLNTKSSSSTAVRDNSSNNSLWSEQETCSSSYASSAAFDREENSVC SSRKNSAPDRYCGIVKALKVNGSRDVGKGGTSPTDPLGGHRSSVVGEEVLRAMEKHKL EAPLTVMRQPHAPKFRQQVRDPLWEQEMDRGRTKRSRLKRDESTDEENKFQKADIGFD SRGRRLLNQRNERANGRSFAHGKFS XP_828603.1 MMRRLALQSSLRRVTPAAVSVMTPAKVTSPIGHAIAIRQASTVA ISVQGLHYVGTGLAAIALAGVGLGIGTIFGNLLVACARQPNLTKMLFNYAILGFALTE AIGLFALMLAFLMLFS XP_828604.1 MEVVVSFLSGWAGGISNLLVGHPFDTVKTLMQGNKGEYKNSLHC AKRIVMEGGPFALYKGVIAPMTGTGVVMALYFVAYDATETLIRKLKGVDSLTPLSMGE IMLCGGSTGVLGSLVLGPAELLKVRQQTALSSGARGSLRDVILNIYRKEGPLGFTRGI GATMLRDVPGSMAWFGAYEYTKLLLCKNPKDPSVGEALFAGGMGGIAVWSFSLPLDCI KTRVQASPVPLTPVVAFRAILSEHGIKGFYRGIGPALLRAFPANAACFAARDKTKSTL NNLCGM XP_828605.1 MNNSRICVAVRKRPIVDVDKDIVVAQSPHLVVNEPKVKYDLTPY TERHQFTYDCVLDENSNNALVYQHCCSKLIDTIFNQGNATCFAYGQTGSGKTHTMLGN DHEAGLYAIAAKEIFARSAPLNSDVYVSFYEIYGRKIFDLLNNKEKLVAREDADKVIN ICGLTEHKVTDIQGLFDIISRGSTYRAAGQTSANNESSRSHAVLQIEVRDPNNRRGKS IGRISFIDLAGNERGADTFDCDRKTRMEGAEINKSLLALKECIRALGMGKSHVPFRGS ILTEVLRDSFVGNSRTTMIATISPTSTHCVNTLNTLRYTQRVKDLGGEAKAAPNEKAE RRPVRRSKLFEAPPPLKARPEWVDNFSANDEERGAEEEANSQADVSKAPPKPRANKMV GSGATGGGAPSAGGANRGRPPKREVVVRDPKIATIVQNHISALDDDSDETDEDEEEAP AAGAVLDALAKSEEKQVRKVHAHVVEEIAKAEEKLVALHRRHIDAKMTGIKDEIRAIQ AFEESDSVDEYVGRVRTLLVKQKQDVETILDLLNGITGMLREEEELSCTLNSSMKRRN XP_828606.1 MPLCCCKDENNSTVDKIPDTIETGEVVLKRCAPPVLTRDDFVEV QVHVNVYSLLKRNGCFKKIGMGVFHCGIVVYGIEWGYGECMDPNTASGLFCVCPGHAA GSLYRTICLGVTTRSPEQVDTILHRLENEWRSADYHILAHNCNHFAQRFCDMLSTVQK LQLPAWCNRAARVCNKVVPRRLASYIHRMMDEPPPKATPAAPSRVRELPTSVIPPLWY NYYCVSKNPRYVTLQNTESERLFFMRENGDTPQDKKRFGVQQPRVPKTRAAVPKISIV RRGGVGVAEWSTLREGSAPPPAPKRRGTNVTDSLAMKDKSNGVCNGESSSASDSEPSG VLDKHSTSVRVVDSLRYIRGGSVSGANTDRRAPSGSSKETPGDRIDPEESDDEDDALY SSEDGATIRIERKLETGSSRTDTSSTPTDEHRAFEGGVVLPNGVLASDFSSAEASLND ARECREGFNGAEQLMRSNDTVSHVIHVHHGKILRSVDQSQTRDSAGETSFVDSSRGVT AMKTIAPSLTVTSTSQAYGSGDRTRNEISRSSIGMHGSSCGLGDLSLPSTRRCGEGSD LPDGGVVGFSSAPASRAMCSDSVTVELQHEENGDLYSDPGGGRIAGVDSSLFHTSVSS TKGGSLSNNLPNPLLALNKRLGPHMRTYSSPF XP_828607.1 MRLCVFVCGSVWKCSAMRFSMPFMRMDRRPFPNILVVQPPVMSS HVLEVTLNFFLLSLFFSFSFSFFVMGKVQLQNKEKRNKRYCLLIAGGGGKKVELRVFF STVSYCGRRG XP_828608.1 MSWLQALGNLQRRVIVLDRGRTPLPTAAVYNRVMTPSNSRRLNL AEHPSASATDEFVEPSTAALRCTSDTWQGALDVVHFSQSNLQEDVNSTMQRFPPLLAA QCIAILLEAGKSDQALELLRTWEMNQGECTVRLAVFKPHVKLSVTRRLRKAIKTLGII GDVETLRALIAVLHQSLLEWRDNRRYFALSQSSSSSMSPSRFAGSGLTQREGRSSGFL SHLGRQRMRVLLVDATHELVRQDESLVSVSEKLTWINETTLVVQVPCTQVPCVIPYKL QDDFRKHIECSSSYNENALRLVGPTFAEGFVSPAQSNLISLLCTPRSEVRWVRDNCSV GKFGELWGAVKDLVLPHSELINNYVLVESLMNAMLSPVGAKKSWRRCHRRLRKLLPTE RKLRSFIWSQLNSTRSSQRRLWSHTLLRHPRLMCKLDMSPLVIFSVFALTFRSRSTHL PFLRCCLLSMQRMRRIGREEEAARLVWNHVSHCSSALLRQCIRRPNLLEEVTVALCRT MHRGISGRKDSWMGHRSLAVLRSGAALRQFTPALCIPLCAAALDCGVHFATVQQHVAE IYRWDERTERWVLNMVRLIADCHSALMRVPLNCSLHSSYMRGLVQRVAYELPTLSSTC SIEVQVPSRNKLLALWTIVNDDVANGRLRQLTCQLFLDPVARSAFKEMRLADEVDNCN SGTDGGGGSKCRVPRSTLKGLLNEEVFVSVAVNCTTEHAFAIFMNAFLSTRQARDKLR YMHLVLSEMPFESTDICPRCTTETGNCEVS XP_828609.1 MRVMRHLSKEVETEFNYEISKVKLGSGSSGVVFLARHRVTHELA AVKRLYMRGAPECMKISQQHNSQSDNTNDAHIGGNTLAPASPAKSSSHRMQTSDKNSN PVSGNRSNELCEEKIFSHYTSMKRERALSEDTSPPAAICPPWLPCEYASMKYLGPHPH IVRFLGNCADVNGVSYFAMELMDSDLGKELRAGNASFMEEEAIRPLLYCVSSAIVHLH ERGVAHRDIKPSNVLLKFVGDMCPTEEEHGMPNGGDKAFPSSDETKDVGSLRTKHVKA SLGDFSTAHFASQSESVGGFCGTLYYKSPEQLLGQAKDFLACDMWALGCTMFEMITGS VAFRGSNDLQVFHQICSQLGTDFQQYPKNTHEQTMFDGISGVSTEFIDLLKGLLALDP CERLRAEEVLRHPFFRSIHQGCGTQETAAELTFPMKIYCAVPPGVVRLARFKPIICAH TTRSGTTKVSGSTETKFGMSQSDSQASRTLHGSDVWPSLISGMPSPLQRSALQPSSDG NEGPLRRFTSTLSCGKKYSVTRRLSVSSSVTMMSNGAVQKPDDFTGISDISASLAALN FSPIPSVAKCCGATSAERSFSPLGTHVSAPGSCHPAPIPLKSSHSFATKSRHPEHQHD STFASNGYPPPRRVLFDSDTTDGNDVDESVNLMLHNSGDSPKVRKVLFE XP_828610.1 MKWRVKIFAALGGFLFGYDTSVINGALFQMKEHFDFPAHSWISG LIVSIAIAGAFVGAFASGFISVRWGRRSCIALADIFFTLGSIMMAFAPNVEVIFVGRA IVGLGIGICSATIPVYLAEITSAGNRGSSIVFNNVCLTGAQFIASVVTALLVQFTGTN FGWRVALGLGAVPSVIQFVGLIFFLPESPRWYLATGRVEKALKTSEMYDIDIVDCAEG GGLVIDYRALFSTVMRRRLLIGCMLHILQQTSGINTIMYYSSVILYDAGFKDPKTPVL LSIPLAAINTLFSLFGVFTVDRWGRRLLLQISACGCFVVTVGMTVVGFMLDKQIPYEI GGWIFLSLLGFYLVFFAPGLGAMPWVVMGEIFPNTLRTSAASVATMCNWGSNALVSQV FPIVLGSIGVGGTFSLLCACIIAAVLFIQFFVVETKGLTLEEIEEMFDPRARHRGSDG SQCSESCSKTERENEGEGTDHGLNQAETARAPI XP_828611.1 MDRIPYLVSSGGMQIPHMGIGTYELRGKECEEAVLSALKLGFRL IDTAAGYRNEEYVGAAIRASGVPRFELFIVVKIAPKVTRTEEAVETCIRESVRKLCID YADCVLIHWPGCGGRMPHETEEHKAARRRCWKVMTALQQEGIVRHLGVSNFAGRHFAA LSEDGSEATLFAEGKVNKPVVNQIELHPLCVQKDVCDYCKEHGIILQQYSPLGQCNAK LIEHPALCSVVKDTFSGFSVHDVLLLWGLSQGFCVIVRSKSKKHIERNWEVAKSFFSE GALTKVHLERLRDLRELMKVEGTEDHHFCWHSNTID XP_828612.1 MLRTKSTTAAGRQDKMLKHQRASARGGETGSGTRTPEGLSPSRE VLRRSEPLATYRRYSDSIGEDGYSDSGKIYCEQYQAAWTRVTTGCMSGIAFVLVVAVL YALYILCSSYIYSVGCAVVLSIALHPNRCGGESRNWKGRYIERMRSTREKWTGRSRVF GLLGSLLSLTHFFRYALGRGVAFSGVDKLLGRAGKRGRKFVKICDPSAGSNSGKDSKL QQKPANVLPAGDANSLKWQEESTLSCILLVVLICFVAHLMFGILLFLGIHSILIALFI ITVPFMTPDRFMSVMWRVWLLAITVFFFVGFSYNVALDVISISDVVKQTTSVVVEAGE KWTGGVKEGNRTNVAASFANGTAASSSSFAEFVNMTRSKLNKMFLQELAYAMNHTNAT ELALTVQHAVSPLMSSLSSDISVRSLLKNGGNIRGNFAQLKKLYEQVVWTDVAQKLME RWQELFVYANQLLMKLGSNVLNLFDSVYAVMLLFVVMHHLLQLEHTVLYYILAKLLKV LDPQCGEYHARKIETEITNSFHTLLQSFWHKACFRFCITFCLFKCWSFPTPLLFGVVS AVIALLPLAPKWISPVALTFMYKLFCAAYGDGFAAALADPCLWCCFLAFCATYMDERL LCVSQGFLDDRSSVASAPGQWKLPTAVISTALVLGFFQYGVCGIFLGPMTVVLAKVLY DNWDTAME XP_828613.1 MRCSRVTFCRLEIHRQLEVYLRHYKCSSHSSAAIPSAVAATPVP LVLKPTFLVSACLLGEPVTYRGESIKPRNPCCTPIGFIVDFLWRRCGLIDCIAVCPEM DVLGMPSPRPPLRLVRDAATGQRSALSSDVAVRLPLTPFTDNWTDDSGLKQRLTLRDP RLVEELLQKRLMGVDGCVLKSRSPSCGVGDARLYNNVAGGKYVKTDGFFVESFIRSFR SDGEAPLPVVTEKSLYFDDDKVRTRMNEAKKSCNCGVLAFLNSALKRHEARKGNSALV SHRYPY XP_828614.1 MNQSSSLWGEEFEVLKQMQEDRMNFANNMTCHERCVSQYWFNNF YGPEHRCMRNCLEKLNQVGVITNIVFTKHEQGKTGSKGK XP_828615.1 MVLAELGQKIGAAISKMSSKSFVGEDDVKEFLNEVARALLQADV NVKTVKELQQNVRAEVAITAEAAGLNKRKMLQTAVFNGIKKMLDPGVKPFIPAKGKTS IVMFVGLQGSGKTTSCTKYAAYFQRKGLKTGLVCADTFRAGAYDQLRQNATKAKVRFY GSLTEADPVIIAKEGVLELKKEKYDLIIVDTSGRHKQESALFEEMKQVQQAVKPNDIV FVMSATDGQGIEEQARQFKEKVPIGSVIVTKLDGQAKGGGALAAVAMTKSPIVFIGTG EHFDDFELFQPESFVSRMLGMGDMRALVDSMKDANIDTDSELYKRFQDGQFTLRDMYE HLQNVLKMGSVSKIMDMIPGMSGFTGNAGDAGDVTLKTFIHMMDSMTAAELDDSRVKK TMTPTRIHRIARGSGHTILEVHNLITSYTKFEDVVKKMGKVNFKAMTQDSSTAFSGKM GQQQVLQLAKALNPTMLRQIGGLTGLQDIMKQLQSIK XP_828616.1 MIENVTAVCCEQTVSASDKVEDMIPSSGAAADTDTCSVSNSALG GDNENRAKETVHFPRTPVRVVTPLQRRSPLGHLTPPATPLCRTPPLAVPCTPDGERLP PNHSKSLCPNDQDERFQTPTVKTPMLAADQESPVKHRHQRRKFLDNYFFLKNLNALPL TPERKKERDAGPFCRRALQFPSPNTSMHSVGMDAISSPLPPLSPSTPVTRPPQPSAEG SDVTEVQTAGRRFVRSFTTQSAEQSYTKSGDILSVPEGERCESVQASVKEICPAADAS SRASSSLGEQNDRGRSPSPIAVDEEGEVTRPPHEVARTVTPCPLRSSEVGGKAEDFPP DAPIDAQEQGVQPLDNSGFAPIGVNDVSLHITSTASCQSGEQSTLCEGHDCPELGVLN TKCVTVGARTSLRTDGSKSGGRVEELSLDQRKLLTEQPTRSRGGAVFPTEESLRGFSD KLFDQYQNKLAHLAFDVLYTRMVASPNTQMLESHGRRLICMRRDAWIRELIELERLLL PLVRGRSRSRGGNKNSARSKSSKRAESPRSDGSSKRSRSARCYILPTVHDDKGYTRDY LGDGPSCKGFNKNVTQSVVIMDATDVVEEEEKPKAHTTAPDPILSSHHKVVSSGGCFP FVHRLSSRGGRRKERQSRGATTIPAE XP_828617.1 MTQAVEMAQCDRVVIFLDVDGVLLPVPRFTFGGGDLSPQCVGYL LKIVDACGTAEKVTIILSSTWRNFPAQVQRLNNFFAKTVGDAVPPIAGGTPNGTPKVT VVSYYADDPSEQRLVRDRVDEIKRWINTNMREHPEAVGGRWFAIDDMQLDVDERMRGH FLKTTTEIGLVEDDIERAREIIAAFPPPEEAARNAAAALVDPALKDEEIDILETRCRN LSETAEQLKNDLAEAHEEIRRLQGERTVRERDMKELTRRFEDVSYRLAQYDFSKKNAV LRSAIEALASKTGKERRELEDRIKTLVNLLRHKKELEKMAAKNRKKEGQAQVKN XP_828618.1 MPVFRNSTGFVTPFRIPSAVSREDSSSTTTTPRSSTVLQRQKRE VVYPDSRGSSGNEVVAARLAKRANIDYHQPIKENKTFPNHGGPNTEVHDDNASEKTTE EGPVSSKPSVPPTSGVQQQQPQETAPVSTDAAGADEGSVEKSTEIVSLYPVSPHGCLY FQVETEAATRHDSVGIIVINTELLGVMMYDCAGRQYGNRPEPGYPISEEDMKSAKAGA KLKVGQKSVLLVTALLEESFRSGEFFLRSEHLSRKREEDKKAKEEAAAAAAPRRVVPK MTLGETLLGNMFASPIFRKRPREGQTGFVVPIAGRVKPGTSGIGAELKSLHDPDREGA VVLFHAHYKRDVNGRLQVSVVVDPIIGDKLRPHQRIGVKFLFDCITGQRMPGYHGAIL ADEMGLGKTIQTVATVYTCLKQGRYGVPTARKCLIVTPSSLVKNWCNEFDKWLGVGAV KYLSISESTPKGDRIISRFDGDGDVLVISYDQLRKYISRISTLKSVELVVCDEGHKLK NAEVKTTKAVDMLPTRNRIILSGTPIQNDLSEFHAMVGFVNPGILGTRDVFGRVFEEP VTLGRDPDCPEHLRMLGADRAHYLSTLTQRFILRRTQSINESYLPPKVDLTVFVRLGE KQREAYEKISAIVESSQCTPLVLISSLRKLCNHMDLFHEAVVSSNGDQKGKGGGIPKS VLPKGYKVGTLSQEVGSKMQFVSLMLDELCSNGDHDKLVIVSNFTQTLDVIAAMCKTK KISFFQLDGSMPIKRRQEVVDRFNVPNSQEIVFLLSSKAGGVGLNLIGANRLILFDPD WNPANDAQAMGRVWRDGQKKRVFIYRLLSTGSIEEKIYQRQVSKQGLSANVVDMQTDS KQHFTLEELRSLFRFRSDTDSETHDLLHCTSCEAVMNSGGGRASGRARLKEQEAPMKF RPVTVKKSGPRMDELKGWRHFSQCATFPLDKVIRAIATAAPGICSFIFADERDNTATT ASKDGKGGKSGGAKVEPVMKVERAFAEDEEAIICMSQTEEMVRESQLEIEIHEDDDDD DYPSGDD XP_828619.1 MTHQSTDVSGPCGAAVLTNRYLNRGTAFTIEERKQLHILGQLPT VVETLEQQVKRAYNQMKSCGKPIDQYQQLAALHATNTTLYYATIFAHLEETLPIIYTP TVGEACQRYSSLLFRERGLYLCRTYKGMFRTIMRDSGYENPKVVVITDGSRILGLGDL GANGVGISIGKCSLYVAGAGINPKNVVPVVLDAGTDNAAMLSDDNYIGVRQKRPSDED FYALLDEFMEAASEAWPEAVIQFEDFSNNHCFDMLERYQNKYRCFNDDIQGTGAVIAA GFLNAVKLSKVDPLDHRIVVFGAGSAAIGVVDNIAELTAQLYNLKSDDVKKTFYLVDT KGLVTTTRGDKLASHKVSLARTDVSAEDSAKLKTLEDVVNFVKPTTLLGLGGVGPVFT ESMVKGILNNTKRPIIFPLSNPTSKSEITADNAFKWTDGKAIVASGSPFPPTTLNGKT YKASQGNNLYVFPGIGLGCAIAKPAYIPNDLLIAASRCLNGLVSKVGLEDGSLYPPLS DIHNISANIATDVIMEAQRLKIDNNSSLPRTRAELMDYVKHAMWKPEYPTGILPDE XP_828620.1 MLGRSFKLCVSTNARGIDFLRNRFTNKSTAFTRKEREHLGVVGL LPPAEETLDDHVKRCWTQLTHLEYPINKYQLLQGILSTNTVLYYKIVETYMKETLPII YTPTVGEACQKYGNIFQRDHGLYISMKERGVIRQTLENLRKPEVEVIVITDGSRILGL GDLGANGMGISIGKCSLYVAAGGINPSRILPVMMDVGTDNEGLRADPQYLGLRQKRPS DEDFYALLDEFMEAASEAWPEAVIQFEDFSNNHCFDMLERYQNKYRCFNDDIQGTGAV IAAGFLNAIEASGIPAEDHRIVFFGAGSAATGVATCIVDLVAAKYKKTFAEVQNNVYL LDTKGVITTTRGDKLAPHKVPWARTDISAEQSKSLSTLVDAVKFVKPTALIGLGAQGG VFTEDILKFMRSYCEKPVIFALSNPSSKVEVTPDNAFKWTDGKAIVATGSPFPPTTLN GKKYEASQGNNLYVFPGIGLGCAIAQPKNIPQEVLQTAAVTLSKLVDRNVMASEGALY PCIDEVREVSKQVAAAVIEKLQEMGLAKEGLPTSKGERLKLVEERMWTPKYLEPEYYL SKKLE XP_828621.1 MTNSPDDDVDDNMFEYVSSSAKSRTGDTPTDGGRRTKKTVYELE REARINTILKEGGLVLPPPSGEATVPTVAISQGISSSSVCTPVAASCLSPASPPNISG GMGPVLGISGDSEATRKAATQRSSAEEAQRLKDQRYAIFRRNKALNQNALREVDPDTK RYVQIAYTPADGGPRQLRGMGRGSGRGTGRGNYGNTSNGMSRGARRPMGRGGRGFKRT RD XP_828622.1 MSFFEDSADEPPRKRSHAERQSSVQGQSSGRSSQNRVRGCETES DDEDAAPVFSRDASGRVGLRLLQQMQKSTPQVGSSPSVSTGVSNTGKLLVVEDYVPLS VGGFMETSTESAANSLDNIKPGDIMCLRCPSDFRAEPNGNVKRSKVSSSTSCGTTAGI NFVKETELTAEERQYGRRLTCEMMNEMFEVSRLDRATNSLEAVFLDGTRKKLKTYAVR PAGFVESDLYKRWKADESSRPVRVVYAAQKLQVTESSGANSGSSRDVDGKTAASAVQS AVWWVVPQLIVRLVEESAGDLFGKKFVVKSIARKDGKIRLEPLRVPDVTVYKDTRDHA LPNRGTEHSAVDVFGCAALETVIPRLGEQGLIVQGPMRGELVVVTSRVRSPNGGLEEV KVRSTLTEEEFSVEPHMLCMMVTQR XP_828623.1 MMNISPQFKRWGQNTRNLQQQEAYPLREPPFDTYMVLDFEATCE RHQRLEVPEVIEFPIVLVDARNGNTISEFQQYVRPVVNPQLSQFCTELTGITQSVVDR ASTFPDVFTAAMNYLHQNNCGEREINKRYLPVTCGDWDLKTMLPIQVKACIQQGFTVN VPPSLRRWFNIKQYMQRVLSPGLGQTVSQPIRDLPDMMSVLGLEMKGRHHSGIDDCRN IAAVLCELIKLGHVITPTTDYNSELTRGTSWVSVGATTSLKPSAGLGKCQQMPHCREE GSNNSAMGRKRAASDTVNNQQSMIKRIHINVLENEDPLQDLLNLKTDDRNRMGSEDMT KEKVVTYSKALSRILRHGADKMKITISDAGYVLADDLVRCAPFSNDKAALTHLAWVVY SNDKKRFKMAYDENRRVYIRANQGHSLSGINPELVPITSETQVPFAVHGTYYSAWERI RGCGYLSTMGRQHIHFAKGMPGSDGVISGMRNTSEVLLVLDVPLLLKESVELWESANG VLLTPGVSGTGRLPLKYISSVVDRRTNDLLPR XP_828624.1 MIDSDLQGHRDSGQRCSPWVNCDAFLQPEITKPLRNIVVCDLPA QCYIIGTSSKKNIEQKEVLLFNKSDGGPLVYSYSRSYTADEVDSLTACASKEGRLLHV KALLGCVRFTSGYYLLLATRRQTVARIGFNRIFEALDIELVSLCLPKMIALTTVVATK AATVLGVKGPASSSIQKARLQEEEYCRQFLSSAHKQNLFYSRTYDLTNTLQSNMTIPS QRRLVRTKFVWNEFLLEPLFTLQETESVRCERESAQNGGQSYEAAPLCPKDLRRWFVY VAQGSIVQRAVWCGSRPLLFTLIARTSKNYAGARYFRRGVNGNGHVANHVEIEQIISD ESTLHDYGRRGSFTSYVQVRGSVPLHWFQPPTQLPKPPIKLGMSNFYCVDTCRHFQEL LGDYGAPVIVVNLLRKREKHLREGALGSEFKKAVETLIGYTKKDFLGGCESSSNVLIY REYDIRASAQDAWNKTTSLAEEVFAKNGFFVCGTDASTGVDTGCYGRCCIHSNGYDAE RSVRLQQGVTRSNCLDCVDRTNLAQYFFGLHALGYQLHALGLLHSPIDLSLSPQVREQ FLHMYLLMGDIIALHYGGSAQVGAGVLNRGTGWDKMMGIKRLYNNILGDREKQLFMNL FLGRFQPCPNRCSPSQNPVMRIPDGEQQGTGSDGKGNESNESTNSSKQVACLADLSEG ASDYYLVVNGGPSLPDPTELVGWWKKPLQFFSSFFQPRQRSLLDENAPSSPVSFGFVP HEGGNGKGSADDEEEYIVREICIDERAAAIDPYTNRGLVGAGAGELKEEGSAVLLQRT TAATTSLNRKTRTLLTAAHTEPSPQILWFVQDSLCNEQHQEAEFPQSDVASNKRDIPT EKMPDDLLYHDHLTYPDYVLGSEFNNNIETARCMCDNCVPEDDLQRMQLEVMHKYGDP CDWGVETVIDALLDVEPGVKRETIDALRQMNVHGRTLLNMNTKSLEEKVLLQRFIRLV DKLFRSASSSPPQHVRDDEMSELWLLHQHGDALEKSNIFASCKFVSCPDATQRVLQPF FRDLLAPDIIAATLRTLISKMADPDSGVPRSDRIRYREGSGCQYVPPVVVAQQCFSSN ELHEWLLTESPRLGLTLHEHVESHERAQACWQFVLWLVHAKIVVPISIELISGVLVPP VVTRADVTSSTRLFTLSTIQELHVLNESERHPCGDVDVLRVKINPIKSTPLLLTGASA LACAETLVAVALDTLLYMQDMSSPTVPPDVSHNPTAWKLLETVSTSSAHLAAVNIRGL ERRELFCFWANVFNALYIHAWMSTLGKRAQDFTCFYNTNGYNVGGCFFSLSDIKDGIL RGNKPAYYAVLPPFSKSDPRLFMVIPPDPSDIEVELQMKSRNCFDDKHITTSSRRDLH TRILLALIDAYLVPENFEDMPSYNPRTLLFASSPTVASRSAEGSNSSFLEDDLEIDES YRSRTTVGLWHANSIPVVAATWLSSWFNQRHTNKSSTLANPCVPLTPEHLLQQIHAAE SFVLRSLSRAPQPTVPLHGVHVPRALLPLFVNMDNASIDEFMQLLSVSPRSETSLRCD MWISNPPA XP_828625.1 MLRCTVVGHHRSGKARAFVFRDPSLRMMRAGSGYQQLRRMGMPM QVGMGWRKVDSFHANTQYQHAWPLLSHDDLGNSDQSNNTKNIMYSMYMPKRNKGTAPW FRGADTYSVKYCEQGRYEYQRYLMINRFPSEYKKHFLSFLSNIRMSSGSATIPQEALH WLLRMIVDNFNPQHVHYIAAMKTLQSAGELDMARDVWKIMERQQTWPCTATICAYLDV CVEAGEKTWAMEAWNRYCTELKFLEPGEVDPKPISRVPFSLTREELLYLPKWKKHFDH DPNLDVMDLNRFNRTREVYLRMAQVMLAGGERNAFQHFFTKLEEAMLNKPTPVPEPPN PHLVRRPRWAPYEHCKSVHHSPWRLQNNGRALALGPSVTIEDEMQSRFFSNDQFLVHS VKEVLRIVLQEHKRAHPTECTRCKTEAFFYKTKDADETLKFCDDLIERLFASLGVRLS NLNTSSLLSTILEVFRVVGKESGAALLQRANEFLERKASLGDAEGSRENLTASNYLQV LSGFADESAFVYNTKKDGTCQYKTGFDPRTTMRHLADVVQEIAGNPHVTWAADMHLQV VETMVGCGTMKANDYFVRNVLRQFSWDSRFLEVLYVEYRRQDDVDMWAELTKRALVWT ARYNAPASERLRRLIEDDYDTIRVQTRTFRELAVFQFRDVEERRHSRDVVNELPNPWY DYVAHALPFPDRDAGYPDEYGDLGQWRAPGGPGSPVRGPGYYAPPMEGEHQRGYTAEW RDLRNPMRPPEFPTPWERKYRQYARGQHPSYDMVYAGPMPEIFPMRRDFRKPTRWDFH DIEKQGKYRTSGPY XP_828626.1 MPTRPLLVKYETPVLLSEVKHKKALKEKKRVAVGAQSGPQAEDV LYSIIPPREFEENGQQWVQYVSSIPATRMDVINLQERLDSLLLERNARETGICPVREE LYSQVFDELIRQVTVNCAERGLLLLRVRDELRMTLDAYRSLYESSAAYGMRKALQAEQ SKIEMESKIQALEREKEELKQQVEELEYRCESIIQQEKEKFAEAERKHNEEVAFFRRT YQTLTTNLQTLCNATKA XP_828627.1 MSKPQPIAAANWKCNGSQQSLSELIDLFNSTSINHDVQCVVAST FVHLAMTKERLSHPKFVIAAQNAIAKSGAFTGEVSLPILKDFGVNWIVLGHSERRAYY GETNEIVADKVAAAVAAGFMVIACIGETLQERESGRTAVVVLTQIAAIAKKLKKADWA KVVIAYEPVWAIGTGKVATPQQAQEAHALIRSWVSSKIGADVAGELRILYGGSVNGKN ARTLYQQRDVNGFLVGGASLKPEFVDIIKATQ XP_828628.1 MKRLFPSAGVSVVLTSSSIVMSCPCNHIFISRRAYYWPYNEDFV PEGAETSRFQSSGSPGTRRRVLQEYALSPLFGARVPCCVVGTLRTAKEIIVLKRDIQS LLCELMELPQGSVTLGPLQQMREMMLYRHGTSLSPRLGDERQLNMDAYARRPIAARTM MDVFLAEDMSLDEIVNFGRLSLGKLQIALNNLRKESAEKSSADCEDGSAVEPAQLLVD RMGISYCEIPSLDESDYVFEEVGGVAVTDEEAERVAQRWAERCE XP_828629.1 MLQCLTYARTFYPCHLLLLTILVAQGAKGFRFTVNPGRKCFTEE VPEAGRYYLQYKMTRSLTPFVAVAVTTSGGASLVVHNVAKTDAREIFELNQDRKIAIC FKVSPKAVHSATSMNITLELMDAEEAELTRQKRQSYSTTNPTALGIGKASGALRQMHY IRDTTTRIRFDFLSLLAVDEDVRYSLNEMNAVAWNYVYVFAFVSSIICCATYLRLRHF FTTKKYI XP_828630.1 MSRGWYENILACCCNKVFLASCVATSFFPFLFLWQECPSPYVVL LSVRTDMKNLSIFSARRDDSLSAFFWTDSLIKSCGNIGSSGIHASVTSKQKKSPAAST DRKRRRSTEFSTETLLIAETPVTTASKNTVLTPSASRALSPPVASGPSCAEISVRGFD DALSVSEDLFEGRLGPAVVDVELGENTSLMNDASAFSAALAEIDRGSASDVQKLNSSI KVMLLVGGEAYYVFTPRDTFSITFLAKLLASENVTKVLLNGRPLYQLLFRFLGTDRID VRNLVDLSVWVQVIERVRGPIHAGIKCANPKEAMMKLPESVRAQIQTRVNNSMVVLRH GGSLPADGPLRQGGGGQNMLEMPPLPSIGSISDSQMEDRLCSSMALHEYYESFVKSVD DDNPKVSSVCSLPAVCRLETSVAFLCEVMTYHGMFVRKTAFELMTKDLEDQIEKISDY GKTLLDASPCSKYSGGCFSVREATAEVMVDCLRDTYGYNLSVNGSFDKQLQKLYRGAG KGNESGRKLAHVWLAIRERTDFMRTLRSNVENMNMLDRIVDVATQVASNEEDEGANDC SGSGGSSVGSTKKAVCYSVHPHWAVHHTSTGRLYCSRPNLQTVPKTAQKCTYVSPLTS DYGLLFTNPEWTMRHLYGPAPGCVLLSFDFNQMELRVLAHLSGDELLIKHLSSEYDVL SAMTRHITGLSDDEQVPPHLRETVKVVVYGLFYGMGLATMKERVKILMDSAHSVPSSQ RKFTADTLLRAFHQRYPAAGKFLTRARIRAFHEARCVTLTGINDLSSEKDGNRRRQHS IARILQGSSSTLFQSAMVKVHERRHDIVPNLPAAPFALVLCIHDELIYSVVEGYVDVV ARKIKGIMVEQAQVFSLRVPLRVSVKVGKTFGSLEKLDVP XP_828631.1 MMPVFGPPPSPVVMGPAPPPATHPPRNPIYNSVFGAFLSEQQKQ AVSPLPSPNRMPFSNFTLGGPENSGTKAEVTGSEISKPVFAAPSATPTLWLQTDTDVG MPAGIDRALPAVRMGTTNTSFPPVFTTQRPQTAGASGGGFLLGADSGPTPAKTGAGSP EADMNASTRMVSPKPSIFTSPPFLKGKPLMPQITQKHTGGDASSTSILGANRPSVFSS KRNFSSMEVSGRSPTLKPVVAGAGSVFADAKRVRGETLECGKGVDAAWSAYGPQQNGG GTFSAAAVRSPAPTGTSVSLFNRSSQMQKQHGGGNTAKIMKVVRVFSSFMINVMNADE AQREVVEEFIEEAFFGTVESHDVDNFPAALRQLQSAWIALKGSQSQLKGERWVVLFAL GSHLNVVTRTIQKEEEVNGNEEKEGTKVLGGAVRHDIWNVLSDSCTHANLLCEICLQL FSNQRDNHVPYCILPIVLRRARSVFELACNNGVSTVQSNMRSVLLKLHRYVRVAPPKR QLSTVVEKQRAVAFAALLSEMMLYGSAPPESSAFVEAFHQHCMDAVKIRCALYRHCAD NLLQEPLTDSIIVQAMELLARAFVIVDDEAIENKRMLFVKLTACALALGRVPVADFQQ AFVATGLEDLIVAVRSCNIRLFNVAMRNNSELYVRCGIHNVLQFVSKRISLLMVVKYY STCFSDRIQVQDMIDYYQLPYNVSEGCNVWLLPLLVEKRINGVIESGVLILSNANPFD DYSKDAIRAFVE XP_828632.1 MESDAGVVQVTNFRGGFTGEDDLNNGERQQDEAIDLKLIFKEFV ERFRVHNDHLYMAMLRGNLAAGLFFMEVEMSHIQQFSSAVFNAILSTPTRALPLFEHA VWELAQEHKLLPPLSRRSSIQLQLYWGVPPTPLRLLAQASVARLVCVSGIVVKVSACH ARCVRAAIQCTSCSSKTYINGGRSVDLPPHCLENGGRGTATGGAGFGGGVGQRKCRPN PYTLLPMECEYEDQQIIKVQELPEDVPTGELPRHVTVVVDRYLVDRVSPGSRVQIAGI VSVQEKRGGVEGGGRKGSKGTRAAAGLRAQYLRCVGLMFITAKDGGASVQSVNQNFSS RVRSQSRMAWQVEEEAAFQRFAEQGDVYERLAQSIDPAIFGLQDQKKAIVCLLFGGTR KRQGSNYLRGDMNVLFIGDPSTAKSQLLKFTEKVAPIGIYTSGKGSSAAGLTASVISS GNGDFVLEAGSMVLADGGVVCIDEFDKMREQDQVAIHEAMEQQTISIAKANLTTMLNS RTSVLAAANPTLGSYDPLRSNEDQMDFQSSILSRFDLIFKVIDPRNPEVDNKLAQHVI NLHKGGSARHSHSTTTAVVERSFFTKYISYARATRHPRISEDAMSVLLDFYVHVRREA HQQTLDALSNSGGTKAQTPIIQVTARQLESLVRITESMARMRLDVLAHRADAEEAIRL FKSATVDAIKSGVSDQSMTAAQSELVLRIEDALRRRVALGATVEHSRLMSEMARVGFD VKLVERAIYAMMKREELEWRRQRTQIHRLR XP_828633.1 MVRKEEEKVSEEPLAFKRCQHPKEIRAGRKTKRDKTVLKNVYSK ENGQVQLTNSLITTRRRRNLLNIWLDARGNVEVNSFDRVGCGEATASRFNNGAVIAPG TVNIPNFKVGSLEIYRRFPLVYDYLMQHHDCSAIEMYLHEVLTVLQEKTLPTVTAGTL NVMDGFENGQKSKSVTIAVADFGCGTGRISCMLSRHSAVGALYCYDSEAAMLGPCIDN VVRSIAKSRLDVRDICIIPHGDCSLPTKRDECLYIGEESNGSEAATDAPRITTMKLCV RPYSFQNVQQGALKNHARCRLIVCAWSLSYVMRAHWGADRWHTVVDETINSLLQLLET TAVSLANEGNEVTEDSGSSALIIIETLGTNTTEPRRHNTLLERLETHHGFQRRWVRTD YNFREPTEAVQLTRFFFGETLAQRLSESGSTTLPECTGIWTRWRK XP_828634.1 MKILHTRAAAEPILLATTCHNMNLLAVVTKSLVVVYRSTTLTVV TQFALSIPADETSVCACWSPSGRLLVIGLQNGEAFLLDVESGDLVRRFVPRSDAECSS IMVEDISGGTAPAPAAGDADNDGDDEGVGKSYDPLRQPPVLFTSMKGAIMACTWTTVA SQVSSTNRHQERCLPLRTTVLSPILDELEREDETPVLILLDQEGGLSFLPGGMREVCF VPARLPVNLTPNLACVDTFVVCKPSTAAGKEGDSGGLALKHLDCATGDSSKRDADNAV AAHVAYLVVRRSLSKEHPHVIRFDLSPIIASATRRDVVSVCCIAEYCRMGRDCFLYSL RRWTNLICTVHNDMFLPKNAMLLRDSLVEEIAHPQEKEVMKYFNAVNLATLVNDAEEL SQQLKQLILQISNVAYRCYDIALHISEAHCADRQRQRLLLSVIGNLRLRCSNLMREMR HGAEREKELLQWVIQRAALLTNATPPATDVLLLNEPLRARWHSMLLRTLHRIGHGESA VTSLVDEKGMRNEVDLAQIVKSCMPRHYEVTGYDLPLVCQQSDPVHIRQCEILISDKT SFAVDGVMLRTVALTREEPFQPLTAFFVLERQPGAGSVFRQVQEWVSVETQQLQLTVD TASFLWSAVVDEESHCVVLWEQKVTSQTPSAALTGAAANTWAEQTLVLALTDENGALE LHNEGVDESVDETGGNATETSAQGAKYAVLEVKGISTKHLRVSVSQVRGFGVLYAKER FVVVDFYRG XP_828635.1 MTEALYNFIESERFQRVSGIVSSGASTIYQWLSERAWAVYTTGA FVSVLVALGSAHEKQILADHLYGSHAPLQQQQERSNTIEGGKKLLQEEASQGVRQVVW MMPREDFMQEYRERHGI XP_828636.1 MKGDDSVHGDATTDLQSPREDVVTMASDGDHMIRKSLKDSNSDA PIFGELLDAEVTVNPMKLREASRAGIPPLYRSAVYRYLLGVSFVDKSREMTVERMQEK DFEQLEATFAQIVACDEKGGSTRCHASHALFAANCDTMMTRRESKFVNGYRCSDRFST FGCTTWLAVLARLKYMPQFVGNHERRQRIESAWRALYVCHSEATPDEVNYIFELAIAF EAVYSTARDIYFSVESIYHLLTHHNNVLQNKQCLQHHCGVFLMLFRATNFELYRHFVT EGVSVLDWVPGILTTLLAGRLHVEDLLRLWDVYFADAQNCLGFPLHPYVCLAILAEMT EVLIECEKSGIIELLQNMPRMRMGCIIQRAIALKESVLSQGLL XP_828637.1 MNVSLGTAPCKASCGVKQPTGSCKGPLMSPFQDVKKQENNDVRK GAEALTGSSYSGASAPFYHFSPPTGSFSESLRNDVDRPHSFIVDFIDQCVANGVPESF IVDHVLFMTNAMRDQINLTETLGVQRRSAGQGVVRQRQKLDEADKAARAVSISRVEKG VQRNGSSSLSLVEQSTARPTPIKDKSPASHKNKSCTGKGVIRRKRIESTRPTKTIFTQ TPQPMCVKDSFRGSKEGGRMVFHGFMRPTDASNRKETLKYVDSFESSAQRLPSRQQSQ PRVETQQRKVTRSVSAKSKPSAVDVATEMSPREEYLTWSDGDSPAANSQQTDRVSPMT KTQENRAVSSTGVKSLPVNSDLKPQDKKQHKKEESPAHTAELILREGGGVATAALVKR GRNLFRIVSSPPISIEVPLFFPSQQLQMYIERCERKMREAMRFLDSTNNGSFNVMR XP_828638.1 MTEVFRVQLVSLYRSPEVVGCTAEPVLHTELVIQGQGTTHEGHQ ENERGIIMGSVGLLAKHHYVAIDVVSHFEEGQPLRIEDSLKSIRARPPPYIHVEGGGR LFLLHPIEHNRYLLSVTVPKTVWRWFGPTALHVLLSTAVRGYEIGTDVAAVASVPTYY PLHNRISENATAVQDALRTRMQQVVEFTAHLTECISQGTVSSPTISQVSAQVVASVNK MCSCSPSALRHSNQFRVMMQKLVWSATNFSEYTSMNGAIASGGLCDGYKRLVVTASAV WHRGEPVFSCGSCEDFQMYLLPAALTTYMCRCLGEGAQQTITIKCFAMHARTGADCLR VPGYLKPMSQPHHVGATVCLSFASAGGWCIALQMEVALNDFTGAPMSHIVSGVIKLIS TTLEVPQFTKLMANKSAETLHCAPSASLFTAAASGVMESVRQIGIYQHYCSNGAYAIN ILQNTCIYHYCKGDTSHPGETAECRNPWPESLDAAALELVQLTSFSLRYGSGRASRNG SALCAAPRSSSPFTILRTNGAVVAMLVVPLLFPDAAVALLVLELAPSGSTAPAIRAFA SWLAAKVM XP_828639.1 MEIERYHHIAPLLREMGPYNCDSKKQPGSTLSNSQQQQWNRDEP FHNNPDARTNEMKRTRDRGLRYTMNTASTPPPPPPETLSPIEDPLERPREEMKPTRRR YTSPAPTKSPLLSSERKRQPPTYDDQDEKPITHRIRRRQAYSAERLRPPKSNTSRGRP LSPANPRGYAGQQRARSYDRKPPPPSSRRISPQDECPNGLGKSPSSYSYFRPAATAKP AWRGVKNAQRYMDLFAPPAANPSENRSPLRRIKGGYIAPLPPPDGQSRRTLSARKRPL SPPVPGRRLTPPVDRYFPLSSGISRWGRMDNGYDSELTDASEDVHPSDDALQGYAGYD NYVVIPPRVVERERCVRNRVPTMSPARSSILVSPCRCFSSPCERSGSSDAQRMWRSPQ RTQRGTRSPQVRLSPAVDDSRESEKNKSTRVKNEDLSRKNTCDAGISFPSPRQRFKPP DMVTKKGNHRETQTSPPQPSHKEVKNAALSPRTLPDEKTPRPDGTTEQPSTKSPSLPA STRGSNISVSSSEGGGKDEVPIVDISKENKSCDNSHSPDSVTHTYKNVGNKILDRKTA VSPRVHDKDKRERKGKRQKRIKRRKNNAPDSSTDQSSTSDSSSSSNFSSGTPFDESRT TERKRRHRRTHQKSGGDSHRQQKGKSALSSDNSPLRDDTAHAQVPKVYPPLTSSPQRI RKPICLQQDPVVRPQVQSASRPPFAQTVQRPVCTPEPFISFSSPPKSKTDVNGIQRSD NAGQGVPSVSIRVVPPPSPGPRREPPSPTAPKMGGVKIPYMSYLHTSSPTSVRASQLG PAQPQQTQSAASAATPRNGTGEPVWRSSGHDSVGRSNTREPHLRRKWAICGHTQIRSP PRGGRCSSQPPHLQAHEWTANGQRMTTTRQITEPPLPITPRRSGATTPQRPNGSCVKI ISSPSRVAQH XP_828640.1 MMTSSPSSIEVPHSWAEKYKPKTIAQMCYPVTANKLKQWMEEFE ANSSKMRGALLSGPPGVGKTTSVYVVASELGRVVVEYNASDFRSRKSLRENVSTVVNN RTFSNTSSSYANIVLLMDEVDGCDIGGVGEVIQMIKNTNVPIICTCNDRWHPKLRSLL NHVEDIRAGRPPCNIVANYLCDKVLAREGISLSKQLLQDIIQRSGSDIRSMLNNLQMW CINQTSLQQKKLAECALQSAKDGDVGLFEAAEVFLLQGSSRGRPRSIEELQSTFYNSD LVDMFVQENYLHFKPEDRDWMDAVAEAASSISLSDLAQRIMFFENNWSVSRSHVLLSS IIPCALTRGHYETFVTGQQAIFDRQRPVKFPSWLGQNSAAGKNKRLLRCLTFQAMGHS KGISGTQEDVLLDYIPRAWESRLTDPLAQRGKDAIPEVIAFMDQYHIMRDDWEFIQSV AHFKKMQSASPNPAAGDIPGGIKAAFTREFNKTHRLESFAKGALQRVATDGIGFGEGE EDNEEEGSVAGASRPTAKKASAKTAAKPKKAAKKEGNENAKPKKAAAKTAKPAGRRGR KATLVDSDSEPEFESYSSDDY XP_828641.1 MMTQNVKQVQVGKHSFPLYSHPAIRETAGTEAYVERVVQKHQNS PRVRNSVNYVARKLRQIGAMKTLNPEEHQVGEETSCAKSARTDRKHSYSPSPFEGRIP AALKSAKRNSEVCKTPMGKLQAPCSPTVCGYPLPYPRSLSHNLFNVIQDTRRKGKTNT EQSELKNEGDPLTLSPIVPKVDTSPATESKGRRREEKPLAHEGQLLKHKLDVWRDLFL ASAAQQLAASGHPRSRRDDAGNVFYSNISCYLKEGCIVKSEDTDLRTGPRDHLRVQGA YLSHLAPEAFANLHVTLDGNTFVLRNVQRTSPTIKQISEELCEERGIRLLPTAMQKKV AYLVSQVSTSTKERAGTISGKKGLATSKWKHTNSLQTCKHSLNMELPEVTYRSRVLST IREGAYGSPFQKGCKM XP_828642.1 MNKSAKKKGLSMEEKVSRIETWFVNNPSPYTLKDLIQILPKATG VIPQSVEECMEILVSENRVRQKKVGVHVLFWRFPQTATQRLAGSMGEKTTTTELAKYL SMSEDELRTELQTLKKTEKDIRQRIKETQASIGDDNAVQKDAEQIRSLQGEVKILEEQ LNQLALLDPAFVEKLKAATVVAWESANRWTDNMYLLEQHISRKMGMSARELRAQLQLP LEVEYIEYDELLPSATSGGKSACTSSGNSCASPLICTVDATHGGGNSQEVPAAFHIEE GHTQNINEEAGEALTEGGCDVKPHEDVENETSNTLRKERDGKKRGRGRPPLENAKKDD NMVNAPSVKKKKNEKDRPPAASNTDALTPARQRRCRR XP_828643.1 MSGTTSIREAIARFEDAEYKRRTMEMTEEAKAEAPRVVASEEAR VLLIGMLPPIVKMDKDITTLVNCEHLALSTNAIEKIGPGLKELKKLKVLSLGRNAIRK IEQLDIPHLEQLWLSYNKIDKLTGLDKLKSLKVLYMSNNLISSWTEIDRLANQCPELI EVLFKNNPIHNNAPSEKEYRCMILQRLPRLTKLDGVPVDPEEKEEAERGR XP_828644.1 MDGDELFVLTPSDDGDLSQAGDEGKGGPEGNENREGSSTAASAS ITELEFLFLRKPLNAPLVKGSITYGKLNEGNAQNQQSPQVQVDVKKEESSSSNGGVSL GVGSDVQRVTIESKTASTLLSTNVQAEAAVNIRMLRAMTSADVRSVLKPFFHKNQTSM EYFEKVTTQLKEKVIAGKILDEKEKDMLERWRLLVNISNWGDGSRKADDVLFPPELVQ PAEALLEPGWSSNKTAADETTACPPPTNGCKIYLRAHQLKGIRFMWSILAEGPVGQVP AVGCILAHTMGLGKTCQVIIFLHLFLDMLRKMGGSWYKSKKKQRRILIVVPKSTRAVW IEEFNMWSKFFPRDKRIVPLSVEDCTRVGQRVRAFNEWKTNGGVLLAGYEMLLNVAKC IGTTSQEVWNPSSYVDLLVCDEAHRLKSENLQIANVLRSFNPLRRLLITGTPLQNHLK EYWAMVDMAVWKYFNKQRFSQFFVSPIEAAADQKASLDEVTVARMKTFALSRELRNFV QCADGTALRKELPPLHEYVVVLPLSQSQAKLYNEFLQLARHSGARHRAFLEIAIAINK ICAHPQLLYATGFATGEEGQSEALGLLSDGEGDDNFMTECPEAGQENVALRSKRRGLC QPPPGYVPMPEEGTKLYVSILIIKAAVLRGERCLFFSMSTKLLDIFEGIIAEMNDRWL KDGSLSRPIVFCRLDGRKTEWERSEALRSFASSTGADLFLLSTKAGGIGLTITSATRV IIADGSFNPADDTQAIGRAYRYGQTQPVYAYRLVCYQTFEHRMFQQKLAKEWLFRTVV EEASLKRDSLSGLRIQPIYELLNSKQNVRSGPQQLTDEQRQSTTSITSEDAVLADVSK HILYAERHSMFLQRDETTNLTAEERFFREEYEKNRLFDTDNMPDPVEGAQLREVWKQN FHKSQLEPQAKTLGALLDNIIKSRAEADPQLADLLSKMGIVRKGIDNLVVDASDEPNN SEVTERPQANQRNSRRDDENESKGYLPEPKAPRLESVPRVRRGLGGNTSAQSVLSHSN ADSSCVGPFEPLVDPKFYGELRHGGSPYRPIYVDDDTV XP_828645.1 MMGEQENVRFLSPTGIVPFAADSPRGSDVIRRSFSLPAATVTFS LRQLNRDKCEIATPVAVENCFQVLQRHFEPYISASAVCNTCLPGSRLYLRFNKLTNAT FHSEPSLLNVYVYAVDQAESRTRALHGAVREWQSMMKTSTEGSCLVMLYHQDLVDATS TSAVQAAVFGGEIKMPDQRKAEAAASAMGPYFDELGAMKFGPQQMCSYLPNEAPTRII ERLTAAYRNLTIQLTNIFNASQQRRPAYTPRGDTGLNSPPNEAWSLQRCWRAGYDLAA HYLQFGAVNKAMAIFSRMFDVYYYHSDDYNFLTKKESIEQLIRLSDMFHPASFPVQQG RYPSVLQDAAEPGAGLLFIVVCEMKCALLLERRDLAFARFNSFLQLAREKFAEDGEST KVTNSLQIVFLLRFAITAMQTNWAHNGALDDHSEARCDGSEASLSERQQSHESSQRNQ PHPQQAQSVTDMSSPLRSGDQPHDSISVSVFSGNSSMSMPWPDAEVELGKEVIHETVD VDQSPDTTKRLKELCRMLKLEWVEKSVESGGDKLFVQLADEVLELVSDAGALLGYSET GEVVKESAVATFGVPEVDSVDAFVSFYRALTEAVALYYRLLNYRHREHALLYKLACSF SVKEPEKTVRLCQICLLPFVQQCGWLQLQVIIHRLLVEAMERVICQRKDQQRFVLEED VTSMKESLLRLIAACGENEPLKREIEMLGGKGLNAGSLWCRLRRFMRDEGSFRFSLTE ENGPKQVYDDEGGSDENAVGLSCFKLTGLLKYAQATCLRNKPILGDASEFGLLVASNG GGDDGSRGSSIGLQVNIGDTLYLCFEARCLFNILECDDEEEQSQGPHMTESLVPSATL VSKKDWDDDDETIHIVDVVDIISTYYSEQNQKVQWVWEFRACHAGNYRLHDITFQVGV TKLVYEHNVIPPLPSVEMFTPTETTGSPLNSFRCDFDKAETVALGCLFTVPEPDLGIK MQLAPVGEPHCFGDSLTFFDVQIDLEEPLLCMRSTPASTVDTAGIGGGKDSHTTVNSI GVVGNTCRFESLSLQVPASLGVRTLTDGGTCTMLSLDCRSTLNDTATSTTMSVQHDSS TLACLFLTRPKNCPPLRLSNQCTSVLQGLTRSGRGNSAPLVNDVIAWLVEGDGQRDSS LLIVRVKHITGAFTTVPINESFVMSQLPPVVTRDGENSTDGETTKKIDRIAQDVLPVH LDGSIWPHRKQQSCFRICLDDHDEEKIDMQKQSKAVGDSNKSGEGLPIQTNQLRLSLP LLPLYTTLSEERAHLSICREGHMDPSGGDNRVSVPIPYKMTAAFAVQYSFKCHQGRVY CLVRAKNVMKGTSLWLRGALLELVDGDRYYELSRVSSALDHLMLREWKPEESVHLFFE LAPSSNAPPQVDERRHSVRVQLIYSNWSVTERLKPMEEYILRPIRSSGTKLPSPMAET AHFSGVMKSSSNWMEHTNDTPLSPASTETLEAEIGDEPEVNAEVVRVQYDISHLEKLY SSCNTFNGPLASFTSKHSCLFNVDITAQPLTWSSAGPNKTPKSISLEANRSSLGCTIE FTDGPGARYLPGDCVFPVGEPVQFTVTLDPLAHNWPEDSASEEEFIVCLKVDPAFWFA VGKQRLRCRLSMMEETVLRFTAIPLPPGEEGTHRAVSVDYPLHQYQVIGNIRKKGSDE RVEGEFNVPTPTVELHSIPRQSVSETDGAKQGENVAIEVVQFSALMRIRQQQ XP_828646.1 MFFVTSIVRMTVHAPRGIPFQITTGDAVKSVQKKYHKPFFGVSM EYLQLGPPSAEFLPFYFCEGSIKGTFRGVVSYRDAEGGAKNNAGISGNSGMRQVVTAP QPLQSSFGPHQTQIYAGYKYNLHYVQGVLCSETNPLQLRNMSSVNVEGATINLFEQST RTLRVFVEQEVRRQATETACAMISSYHPSASNIVVEFIELNIHIDDVIPVFMPCYVVK ACYDQQEYTLYVNGASGQVTGPFLINSLYAGRTAAVATALVTLCLAPNKGAGFIMGSL FAVPMYYIAFYAARYFPLLRRDYSRKRRQKLREKHESDDRSGFRPDMSSKRIDEEYSR SSYWDTHAYEQKWSRKQGTVRDPRGYYGALGLNGGESVNEIRSAYRKIVLTEHPDTGG STERMTKVNEAYRVLRDPKKREEYDRSGCYT XP_828647.1 MMNRNENSGCKALWSNTRLEWCYWCHLIRSSKCSFALFFAVLCV LAIIVGNTILLLGVNFWLDLMVKESTEGFTPIAVQVIVCLFLVLCFGVLIIVYIAVYG IKPLVRALCDTNRAGSPLYRMLLIFSSGATNGLSSALAIYAMTYTPEFMQAVLLSVIP FFAQIWTYALVREERDRCYSSMTLIGSLVLCIAGVLLASLSSFFTTDMSTKKAPWGWA FVYFLSCIVFGLWCVVQRLYFDAIMIKGTKPQEGEREPHQPLSHQHPEITNSGRYEGR GGQRLPAEAAAAGVDGVTQSNEVEEVASSENAENPMLNREWAKQDENDLAAKALVLFL GIIFQAMVSFAFVPIDAIPGFGNSKDMKESWKNFSATFDFVFASWFNLRFGLLHTLGF LMSFIGCAYLNERSPPLASVVLQLAGPITSLVLIIIPEWDVFGEHGILSHKASGVIFL IIAGWAYHVWEVAYPNAYKSATTTAAVMRGTGNV XP_828648.1 MLDRRRPRDENITPSDNPPFKGLLSMKALTTSIETGGSAGDDTE GRMTGNENAEFAYGSGFYLQEEYLERLRRRGHRLNNTETDNFGDDADNNDRSELSGSR RDRTQRGEASDVELIMDHNLQPRFFRLGNDFPAVSASSVLDGEGTNESMLPLNVITAH DTAGDIIIPVVSSSCRMAQQAQKGSTSLAALKRQQESERATREAMDTSKSQLTRLLQK GEIGQEIEVVDQSHKPKGLDSWLLDSTRDTEEDLEGEEEMLRRKVRRERIRLENSLNP SSANGAMTAETAAKEEERDVRERNYLDVQRRRQEKLDRVRDAKEKWERSTNDAEVSGA RRIAILTIQRQLPIYRCKEELLRCIGENPVSIVVGETGSGKTTQLVQYLYQRGYTRGG GIIGCTQPRRLAAIGVARRVAEEMGCALGTRVGYAIHLDDNTSEDTEVKFMTDGVLLR EIVRDPNVDKYSVIVLDEAHERSVNTDVLLGVLQAAVRRRSDLKLVVTSATMDILKFS KFFGNAPCYEIPGQSYEVDVQYATAPIEDYVLEAVFRVCQLHIQMPLEGKHDILVFMT GRDDVLGVCSLILRRLQEMDPKWLDSLLLLPCLSEAVGATATGVLDPTPSGMRKCVVA TNVAETSLTIDGIRYVVDCGFMKTNVFRPKLGMNTLQRYPISQAQANQRKGRAGRTAE GICYRLYTESQFKHEMLLSSVPEIQRSSIDSVVLLLKSIGVSRLIDFDFMDPPPAANI RRSMWQLWVLGLLDDNGNITADGKCALEFPLAPTLAKVLIESTVRECSVEAVRVVSVI SADPKGLFELPKGSEEAARQHHSRFHVNDSDHLALLNVLTHFIENGKSRQWARDHFLH LPTLLRACEVQQQLLERLRQLKRPIVSCGAKGLDRVRQCVASGFCLLSARRSSTNWSA YRPMLNAGVTCYVHPSSAVYARAEMPLYVVYHDLLLTTREYLVIVTAVEPEWLVEASR GVFIVKGSPKGLVTSAATPSGTAVVENRSVTGRVVSETLQNPSPIPQGLSVPRPPVHQ PKSKGGMVLTRRRNNI XP_828649.1 MRFFSGWFSPRNVSLKQIADYPVYIWQIPCGKTSLDKNGKLVVS GTSTKDIKNTGGYLDSTHKECCMVFNFTPLLKELEAAFKHGEILDYSKQTIEDFTLLI ELCSTIAKWALADRGRAGYCAVLVFFEESDAVHIPSYAAMIATCFYIFAGGQSYWGKY TLDYMEKQLGIPRSRYHFQSQEHYANYFQLLLDVPVVPSNKRRRLVRASLYNAEAIKD AKLSLLVQCEGEEFLIDDANAWEVVDDSVIHFDVPYPVCIFGDFAVVLLRNGKLLMEQ MKKENVIARYAFSTIFVHEDNHQIHVRSMDYAEKNNLTGDFYITLHFVDGETRSTDSA YADQLRKRIDQSPRQKAFLLNPESFGAQNGYATSSSHRHDDDLMGGVYYRADGTQRGG HKHSGRMRSSSAAVREPAVLILEQEEERLYQDDEELASFAGSLYDEDDKCEQKTASHS SPRDPESSPLPPSATLPPPPPPAAERLPAPPPPPVKLPPPPPPPGGKLPPPPPPPPGG KLPPPPPPPGKAPPPPPGGKLPPPPPPGGKGAPPPPPPPPGKLGPGGGPPPPPPPPPR GLGSLGVSALGGPKAPVPKPAYVGPKLKTFFWKKLPRAMGLWSHADTAAVEKVIDENF LLGMFEVRKKSSTTMAKNSEAKAPKGNSLRMSTALTDQKRQNIAISLKKLKLSVGDLC RALIECNDEVLPCDVLESVYAAFPTTEEVASLRAEHTAGNVEWTDVERYMHELFSTVV DVRDRIPLWVATQTSVELVSFTEERLELIGRAVLAVTKRNSMLAALLHVILSIGNFMN RGSAHANAPGFRLESLNQMNFVKAVDGKTTMLEVVIVSVLDRDPGLLKFMDETECIED ICGTTIQDVGQSVSQLNFTLQKMRRAVEAANQLHLPGKIDAKLPPGVVDALPKVLQGY LEKYLAPVSQLAIRHQRLKEDIAEMLESFGEDPTMDETVFWNYFLQLRMEVGNILQRV EKEEVTKQSLLRSVGADGEGIGSKPEDSSKKE XP_828650.1 MVRSQSRPFSSGKRGVGQQQQQQQQKQQQQQKQQVQPQKQQQQQ HQEQQQQQRQQQPTLTEQKDKVVALSSSSSDVSVSAVTEYKTGEETASSPQPSPGIVN HAEGPQNWREQLFLRNFPTGETAQQHAKGHSPKRRKSANSFSTIGRRGSFVLSHTVTP RKKPLESQEEQLETYRSLQDRQFVSVHEELQHLRVMYTNLQKVVSRKNEEIEKLHQEV LTKTKEIERLTGLVDKLSKRRGNSTGSALDEGRAASARGSRPSFSPSLALYAKGASED KLSNKVNGAGGAPAKMLLELRQNVASRDTIIDNLRMELHSAQHEKKESESKANKLGTE LEDAKAQVTKLRSDLTTRDAVIEDMQRRLVSLPETTVGSSSQGLYRTPNSSNNSGPPT KQPMLHELGGNCLASNGALEHMRHDSQQLGGAGTLPYKSALCPGTQHQDLESAFRLEL EHLRRCLEREKDTNSELEKHWRRRQEVEKRMAEVELNTARAEAEAFRRELTRLQQQQA MSNFAENQLQTARSENDVLQQRVQELREENAAQASREMELRNNVRLLRETCERHEEEV ETLHRRMKVMKDNEIRLQEDIDILEEKLSRAERMRAAEQNGNNSLSCDIGSYVSLMSL NEKLQRRTEELEEQIRKMEECHAATPHDDFKKECGQEHVFTTPDVEAGAIGGISPASL DSQGGVIEFLTPPVSTGGGDAAACSTHEAGDSMICNGQAVGGSRKSVNNLPLEVKETT DVSTVVQQLQDELQGVRDRLRVTESELAMLREKQALSEERVRETVKRKVTSLRAAREE AARCWEMLRQQEVLVKRLQKQCEYQREIIESRDPPLLGPMRLSTQASAPTVCAPTTCI ETKDGNTANETSREDKLIEEALRWESMRLDEEMKLLRQKLRRTVEERDHWKNLATGNS GEII XP_828651.1 MFPPVFNMWRRVRFSLEGPVSLFTRRRRHMMLSSNNVTSKRLLW NPFTPSQTEGGAASASTSGTSGNSDFANQHIAAKVHRDAMLHNERLFDACLELLSLCG ASASACPAGGSPRAEMDAESTDAIMAVVHDTLQGTCKTPADQFNIVYKALCLPTVANN RQLQRSLLVVLEAVLPEALFRVFESADLFVVGPDNAKSREALVLFVRALLGVVEVPEG VEREEVVFVYLDDVRTAFPSLRTNAAFVELEKNTTAIALRAKLFDLISQLCAEFDPEG SGRINLRELQQVAERVLGPEQAQLLLEGTCADKNGKIRYMQLASLLLRAPPRRKR XP_828652.1 MFESLYELFSTSPGRTPSNVVCNNEMPPALIVVMLKADEQRSHT SQSEGEGRRLLNGLMAAYPSSNYMFVIDAAESTSEVFAAVTGEYNLLRELSPKFCYVV FAALRDPEVVVPCTSVFNPAWVGELRRMLDGLRNPRHMVAELLLRKELCMQLEGLLRF TAECRWIGDESLSAKLSRLVDSRVTDLSSSKNYSMPSCGGLQVSCISMLKEDEEAGSP PLVLQTGIRIRGVVPDLTRSLRLSDCNEQEYSPPLLLVVLHAGESIRENIVDGSVGRD SSVQELDCLLQQLSSSDEVFVSLGGYVVVPLCSAAAARKQWLDTKEVLTRRVTYDGAD SHALIREMLTQALLPLRKWHRKHHTPAEDEKSSSPRSRVAILFYTPQEPCSVHQCVHS IVREQLAFLQVPLFCITTPVGVDTAYKLLDISSTSGERCICTLEASCTANVNHDSECG GKGQACYGVLGSSTGERVAQAALTAIRSVTHLGVSVTVHSIDNSKEDTRFEVGDLRQG LDTTVLISRPVQNPDRGASAKHQLTILAYSVDKSRYDIVRFMPSETVKEVPSSEHSWW SQLQIAGRLLKSMPSKAPPSVSQLGNTLMQHSEEVPSLGGLAEQQHKLVEWLLSNYGT QELEGTPYTLVIGWCPSPYMGNGSTEEEDGWPTSSGYLSASPCIADPLVELRWTNFPF CDGAPVTTISAIISRTFPFEALVESTASNSLALETLRNDSAEIRLASMKHIPELREAR ARIVAFLSVDTSTGAMSLQQLVPAKTEDDVKGGMLHTFRSLRLHQMYCVVHLALRCSL DSSFFMQNDVIIADGITFITPRASVHDVRVTTVTHCRADVEWKGTATSVRIECKPMKW ISTQKNSERSPGGISDVTDSEVVGADGATTIVEYSCDGFQAAVAGLQPFTLYHLRVTP IGDSTHGAMIREKLEEGEAYFATCVDAGVIDTLSVESIVPDSETLKLTVAHPVLCKTA AISRTRSLVLTITPTMSMSNSLDKEKPLQMRRRKFSEGESVVTTFTLQKVERVQVCIE FNMKLCFGHVYLEETSSTCSHTCGSDDNDAQYIDDSGQVRGVCVWFARGVSPWTKEVA LCGNSSPRSLSAPPMCSSPTQLLDGYRISRSDTPFAEMRSDFKASNDLKEHSTEENVV VTEGKEGCGEMEWSESSIVSVSHEKRLSKYVVDDSDPSTTGEATRSLYCVFSKDPTFR GVRFLTDMSSQVLLASFAVGGNSSGYISAVIMPLNVSVDNLDGDNTSLKVSYAHVDRE RPVTVKLVQHLEQRYQRVGRKTMHLYAVTDVCCRSREEVLGVNGVKTKKMLLSWTCGA QQEGSSLFVLIGSKRYKPKPNNTLLIDCNDTLVAAPVCFCGSNIIKETCSIYLVPPPP PLSSQYCTVRAVKDEALHIFITEKYCVLLYRCLACVSDLIMVLLHVGGDNATELPCSQ QKLSGGETGVHLQVPLDAVDPEKNTVELCVEVRVRNSACCIGDNPLVSDWRDRTGFGG SGSSSSLISTNILKGRRQQPILLIPWSTSESFDVPVASSTLIKNLQVTELHSTRAKLS WTTVPYKETADEKLGVFLTVQCAAAEGHVKKYKEYVVCSARSFLLRGLLPATPYHVEL SLDGGCPPGRVVFVTPQDMEDNVLRKLIPSITAVWASRRGRNWQHVMRAACGGTDDAE QQRFVRLQLDIPNVESKHWTVSPSSAQIIKEEISGCGTLRLAVTAKVQVLLVAKSGKL LQMWSTVSGTQSQTVSFAFPICGGNPAASWPHIELRMDSFAQFSPAATEDETGDEVSP SVDQDSWSFSEKDKISDLRAGHLVSRKHLGDRTNCPFANSHVTVHLVGCPQLIKVDEN CCVVEWSGSCSGYAIHWRVGPSGVVNTEHIEAERKQKPSITVDVSALDTVVLLFSLHG ADCASNCVLFAVLVPPKVSKQIGCCCETTRGLSVPTSPRCSNKGLIKVDYTRGGQPGS TLTDGESLGQNGVSGVSSGLCCTASVVDTSSLEWREGFKGLLKDEVCCMLLPFPMEVV WLNPVVTAFYSRRT XP_828653.1 MRRLSLAPIKWSAIAQRWGTRGTDVPSRAKGAIKSPKSRKSRLP YVEVGERTDRMKEFVSSGTDDNSGDSDNNHNSVKSINNTDCRNKGTDGGGEIDMDTVA GTDAFTPTVDMEEGYGAPVMATTGSTSSESVLSVIDVLCDDLFSCKTDVLKSFARTRS VSGSTKSELVMNLIDLANREAHGESQDLSITKRVLGDVFNEEVRVSGVRSQWTNKSLE ASHIEMACDNHLKFEAMSYTQKTLMVRRNASESSRVLRKLIRPFQQDYNRVAEAAVGG VFRNFKAEADQLVNSMMASEIVTPFSSFLVSYVRFATSGNLLTRTNVIERLEQLELVQ IAMDEDSDAVLQRLMALLDDVVAEVERRALCFGGKRTSWGTETPEVLRCSIQAFSDST AQQHEDLGERVPAWVHDLVRMFNNWEAIVRTDIPVLEDVPRYVFDCILSGVVCYHLLQ KIYGDIISPTDVPPTVRGAVKRAMEAHFKDEEKAGHALAKLFAYVQAPGDSGVVKAEF FPAVLSQMQGAFHDVGQSTLHNVRTAIVLREICATVSRCTRTCGLFHMLVKLTEDLRF QFRFSTIFKKLNGRDRTTIRTKYSINMVKTLEYFEQEYAVTPTSTKAVGFIVILLLHM SMCSGAASGHGTKAVLERYISVTNKEEFSIISISDLEATHVRDLRVAFPPQLSYNSWL HQSDTKDKSVYNVLPSVAVKGSTNQAMIISQAPMMKALDAISRVPWRISKYMLHVQEA IVREGYGFGKIRPAFYPLHYCAKSRGDISYESTGMDDDDDKTEVYNLQQRREYELQQD EDWKNLSELRSNRIHYLQALRQARSLVQFSHIYFPNSMDFRGRMYPLPGRLNHTGSDP FRALLEYAEPKPLGKEGLYWLKVHLANKMGMSKLSFDERVHYVNEHIDDVVCSAEQPL YGDKWWQEAAEPMQCLMACKELADALKCSQGPENFLSRIPVAVDGSYNGLQHYSAIGR DAFGATLVNLVPSERPADAYTGILKEMMSSIKADAERDHPVAQRCLGTGKGQDRDHIK RKTIKRPIMTQVYGVTGYGMSEQILDELVKQNKNHGLWTSTDMREMADYLREKVLESL GITFRETQNCRRWITDVTNIIWEVQPAELRTALCWTTPLGLVVRQPYKMRKEMMIFTV HGCARVPANAFSAASRKQLTAIAPNLIHSLDASHLAMTAIEMQNLGLSMMAVHDSYWT YACDLPTLSRVLREQFVTLYGKYDPLWELKEQWEEAYFMDLRRHGKVLPDPPKRGDLD LNVVLNSPYFFS XP_828654.1 MDYRGKHVASVNYDNFLQEAPRFNPREKLKRSGSPLFYSRSFRE HIPTFPTVAGAFRPSSAITWVKGKNEFDRQLQSHRRYHSLLENRRNVDKLRHVLSTKA ATLSSKEAQEAHESTVALLSTRSNSVVTTLGPLSSCNTTFNSDTSTFSTPQRLKSVDS IVADLTIIREAAECQSSSRQLRSNTNGSLCSEGCTGLTHLPTFCVRHGCLFVNSRANR RTEQTFVDRFTSSELPAEIPMGHDNSFTQMMGNKCGSRHIDERLLTLLRARDVSA XP_828655.1 MPLFSYECHCGYLVLVECDGCPEGAAQNLSAELRRLPSDAVGLC SGLPPLLVTNKYFTAMVQTVIICAHDFSFGTELNVSSVGFVFLVGDATSADSVPWHSA PMSCLIRVVMCYGSCGATRDLMHDACVLNNFELITHGEAYEMLSPGVETRGLLGGDLV GAARLIQILHNTVWPENTRVPHDDAKTISGTVSKNLVVFVGADSEEVMNCIAATVGNE VVNYTEHFRFTGFWPPKTVVSGSERDQRGLKRTETLEAPHKSFREGLCGTTLTVANEH YVSSLGVEFVHPVMFFPAMADLFMVKYGGRHAQLAVVCWPPLQHFQKVGAANGGNAPV RRLSLPVFIEQIKRWGVERCVVAATMDTDEEDTISPFEAHFCAEGGIEIVHGVFKAAD KKHTHDINITAVGMARLLEMLHEAKWPERQRLIKLSESLSSDSQVNRVLFWSKATTTV EEETILFQVLRDMEVITPRTAGEQWKVVLPPTLASAVRKGPLAVTTARNRYFEAAISV HCYGGARALTKRDSPSSAEELSPFGVVIILTTTQSCKRESRNGLLTILSNCRASARGG HCRGDLVLNGPHGCESEMTQSLCAATEVEQAFVLYIVDGDENNDALVDDIEDIIKELM SDSEVSKCTHPSGGGAEEDWAKGSNGDGSSEDLPLRTDDDGCEGSFDDLMSVVPQIEV VYGAGPEDGIARLREIMNQHMWSNRVMVDKCIRSHNGSTSSSRNASFSTIKAEKVNDP SVPHNVNISEAEEVDVVRVGCELPPNYLIDPLTRKSVWVEALNGTALEGEQQQQQLMY WIDTMKQHGHRLSRTTRQRQAEILSKKLGEELGVS XP_828656.1 MSARGSQQQSTATASIPLNLSFGNFDFDETKRLGQRGGGVRELA KSLRRAQRQAAAHSQMLKNRGGLEQRNAELLGTAMRRAAGERVKDDPKRLAKALAKRR SKKRTSARKWAGRLEQIQQSVDNVVEDRATTRAKKRRVGKTGGDSGKKKAAKTFGDKG NRKGNNKKGRRGGGVGPSTGKKGRVGGFAKKGAAPTGKKGRPKGSK XP_828657.1 MLRRLNLRVTSCIALKHEAAIVPSLMTGTQLFHKGQLQLCTEVK ETTSFCQQQRCFHTQPVCHMRVAGSIKPQTSPMNFDGSSSGSGNTADSLTPYVREHLF KVYGLLAAGCVAAGFGSVLMFATPLCKTVPFWLPMAAGFVPLLWLSFAPPQNPNLKMG LFFAFTVLEGMALAPLIASSMAKGVLGTAIVLTGAVFCGFSAGAYLAPRASLLALQGP LFGMLLGMVAISVLNLFYPTAFVHSIILYGGLALFSVMVSVDTQAMIERARCGAGDVV QDALQMFMNVVNIFVRIAQILGSGDR XP_828658.1 MDGVDDSRWNVADDRPRRANRGNMLQNLLEKGLNSDEEKFLNNL SDATSDSTFTTSEEAVDEIDSDFSDEEIEGVLEGTTIVTDAALEREERLERKKERQKS MRHGKLLGRGAAVDREQVVKLRLRPPSTIPLEDRLRAAHERAKEVRATAARRSTGGIG AMGSVTHEMEAAAKKRRGYHSRGRGLTKNGDENSVANATDEGEVIQRVRYTSSASVLE LYGVPVVISFSRCLPTMFTSGAGSN XP_828659.1 MNANDEMSALMDQKRTVQNALEAQKVHIRVQQRRGRKFVTSVQG LNQQLNFRRINREFMRRWGCNGTVITTPEAGTVIQLQGNWSEEIRTFLLEEHMATEQN LEIHSLN XP_828660.1 MPVLPEFIPLGKQKEMFAVSSWRDSPQASTPALSNVANQPMSPA LLPAAQCEKDVGQQGKGQRTRKSKGRGKNQSSQRQPDVEDFFVNFVLPPGISFANIKE ELQLFATTPLHIYDVGPPHTLLVKKSTGAVGNADADVSAASQQADGTAPLIDKGVSKC AAHEQSPIRTPNIMCGVTADCSGEVAGAAMPGISLPPSIPAETLSKGYLISLLFAESS EAEKVSELLKKKWPQSTVTIVPRNRSMLNASLVLKGLPNLPKTEVIIEELDKIIPHKP SYIRLHRGERGVFKNVVFIKYPNREIAEECKLRLERLYIGSRPLKVEFKKKEKPAAEK DEGMTLQQLVRDLRVSSEHEGFRYQRSDLSKEDLKVLKQLCNSYGLSFDLDDRTVTVR RILPLSGRPSPAMRPAAANGCASHTLTATMPTPGTLQPMDFRGIRHWRELNSQQVSLG IARPKEPGSVQPFAPGRGRPL XP_828661.1 MCGKDSSHDYSTLVTALSRTMVFKQDSHPRYEGPVALKVAAKSG SDDRGMHPSLHEAGVYKLICPHPSIPDYVDCVEDWFDTERFLAEEERRGEQRLPVLVT QFIKAVALDRFLCSVRVIRWSVVIHIANQLVEVLKHINSKGVVYRDLKLPNVIIGAGG NVWLVDYASSMIVGGEEPSSGVTSHMRPPESFEFPDHQSGEQISQAQLSFMADFWVFG AFLLEILSGRPYLGSFDVRSSHLTQSQLEEKVLEGVSVIKDRYKGAYYNRRFNKSFPC NAKTLWDALTGLLIKLLRRNPKERLGHDGGWYAVKQQPFFKYTLCDLTVPFPLDYEDE IEELMAGF XP_828662.1 MSTSLTSSSTNAVGRGRRVTRSLVGANTTGGGVLRCVCTAYLVS VQETLLECCRCRNWCHPACVGVDHAELRRYYTDNNYVCPFCRGMQKRERGESRAPAPR QTPLRLREVPDRAPFGFVTQLRNLLKVTTRAAQQAGYTIIDLPSTPLTEVMERQCLEC CAEAIKEATFSEQYPLYCLKEVWTRAHPYMQGTLLRCSESGRIVSMVLSNGMDVYGNL RPTITQLKSGINRGIIDRKHQTAFMAACTKLDDVSDFVHITLSATHKDYQRRGLARML MALDLLKWALRGRTRAYLNMALEKKVVDGGARLECVASPSSRRLYESFGFRDVYPRFD RETDEQRWTPKEADMGRVMAHLNFVEDLVIIASRYDPSGKKAAAPNQSSIANDGSASV ATAADCAERVAANSNNGQAATQAQTPAGRRLSLFGKKS XP_828663.1 MMHLSLQLCKPKTLAHMFRKLKLAGAHPDVLWAVDAQKAQHNSE EMIRLETMMHQRSTPGASNNRQSTAESFTFWLTDNQQQPRAFAAGRSSLRSVSCTINP IAPTRTIKQQLTQLFRDAGVYEDFEIGGEGVEMNLESVLRQADERQEEHLQQSGTLYI RGDKSIEMLRRFGVLLIIEEEGVTYLVTLPDVLDKPVDDTPSTYTPARSLIGPDGEID PRVQDYIRDRGLRAFDLRMAGSHSSVERFVSAFERLERLYEVHRGRAMRSCVCLVLSI KAPKCYVAEDGCIVLSVQQMPMWEEFLLSLPQEVWQSCVQMHRDWRVGNAPKFHERQR QLMKVADMFHFFRVRLDGCIGSQTDWQQQFILSMQKEEMIVRNAVKKYNLKSELLKRR GEICVSNTLRSAVDPTKEIGYRIGGDGRVYFNHSAMNTGQMLRALKDNLRRLETFQKQ HDDAVATLEHMSRSIPVDFSVDTNWKLREEGNLVSCLQRFVRTIKANQTQLSAFLTML LKKRDGAGAPKKRMVWIISERFDTLPSGVVYIPWDVDFDSIKKHLLPSG XP_828664.1 MATARSYFYYLDAHGNLFPVTDIKGLIDGTNIPAGPIQLRDRAF LDFFYLRLKRSDVEDAAACSNVSDRREEGELLLHSGAKLTPQEVVQHFPYVSICGPER NFLKAEEAPLVFVNYTSGHPKCKQQNGTLAFGESLWEDFQPQALVVTREGKLFHPITK LKNLIATQNGAGDAVPRGLVEAQVVKKLGLELVCDSAKSGYYVLEWKGQKQRIPYFDE L XP_828665.1 METSGPKTVPGTDLCHRVLYAAVVGDNAKGCLPGSVKKMTPDGV VIVDHVMRCARYYVPGLCLSGSLPTSKCESLLDFSDQVPPLSAEPQKQELIDRILYYS SELESRTQQLELRKQEVQSLQNKLRIVNKELKRRGIVIADTPERGYTKGSDFKKVYSP DDSYIGNNFPAPPNSRDIGSDDPTRPPLPPLRIDGDLRSYTSRPPPPYSAEPLVPPFI SCALPPKSLIADQPGVTALPSGRRVQQAFAKMVQNSRRHDNPAAAGCQPVSNRDGLVG TIPQAEGVESINGVERFGNDGADNNSRREEEGNSDVAAKKSHSYK XP_828666.1 MLTTFDFGVNIDEEEWGVLHNKLRSFVSGHEKMLMDIQQTFNRR WDPTVWDAHSDTVFLQLGAEALRHLLEKDPPEEEDDEFSTSIADVLGRTQIQNRLFYR ITLCFADLALKMESLTKEANDLLLPPLAMFDDNNCRDGCAEETEDEETDGITDEEALR GAGRMLGVLQKVWRWLRRVQKVVCHVVQQLASLYSPQHKCENYRSFSRVRYLLIWRKL ADLLGAVVCVEEVLYSHDTLRYGLSIYRKLLAQVSRNLEKFECDEEKLDLFCHLLTKI DKELLDDGILKRITGQAFETGFVATADEPPLVVTTNKRLYEEFTDVLIQFITSAEGTI GTMRGYDERERYVGVLGLYYMYLRLFSSVAEESHRRDICNRIFALYRKIPIVYIRGMH CFRTALWFIRRLNAELKRFHLDAVKERTLLVKQECQNSKLAFLPQMNRFTTLVSVWLA EMESTAAAANHSQHGEFLQTVALVLQRGVFLAHNVRRLIVYHVALHDCADDALSVHDV DGISQGVQMLMMIRAAYHDKTGVIAASYNVLVGHIKYVMEQHLYDMCLGMSKIPQRNS TAETKDQYSAICMALDLLRKPQTPENVMCIDLVLSVVFHRQKTNGTSSTAALTAEHGG GTLIAFSQLGRIASYQKSIQDATNCEFLYWQREVFFPTFIKRLYQKPLNSEYMPYTIL AMHDCVPSILSSRHTKSARSLLDAYTSYTWGCIREHLIEPLCVDIENDLRLCTHSVVL GQPFRQIGASTRARDMARFTRLPPICLFSEWLHIATEVEKHLDEKFYNLNALMANDWK TYEEIRNLALQRYGLRICDGYLPGSIVDQGLDVLVITENIQVFVANYTYNMNEQLFVQ RPSTTESKHLHTLHIRHIANSIRTHGTGIMNTTVNYVYKCLLKKLAILSQFLCDDHVK SRLLKNAKLLHHKKEERSVEYTLAWAEKFIREMHKLGVADDGQTFLSKFRHLVSEIGN ALAYMRMMRSGGLRAVADSAAFVPFVDADVSLERFVIPESDEENEGNGDNKSNDADGN CEKGSDSGECRKSREKVPQCTTQAVQVVDGVIGSMREKLSDGSAYFRMLLEAVTKRLK GAVKYTHLKNFYLIVPPICVLHVETMIREKEQLVKKNKDGIFTDDGFALGCSFLLKLF GVWNLFDSLHWFENIRKHYGSHLQEVREGIARHEQKMKASKNAAVCWEEEVNNMHLTS MMLECSLGEYTALEEAFVSSKVFFYFATPEDASGELQDDDKDESEEGTDADEG XP_828667.1 MRSAAFVAGKNKSVPAERVNPFVDNSLEHVSFVCRSEAVAMQRV RRFCNELPEVLCGRSCALFEAVAFFNSRYVEATSQCVLRFSKNLPIIVSRVVKNRKKY VRYTQNKAISGFGTGSRPQRQRIEDMSMKPLVLVGALIFDQGGRCCPNAECSCSSEHS GSNYNLHNCNQSTCGAVCKEELKICDELKCVINPSDSIGDITENIGRWEAASFNRLQL ELAMVDITSLISGILELNDHPLTMMNHLHLPKSTSERVSLTLQKLACGLCHHCGEHER GVTTDVEASGSHRCPEITTIADRINFCRHLAHTAASYIKGNIILVLDDHSSLVESANE VVVDEVGDITTGTKLNSVDCEKDYQVARHMSCCTAEMIQFSVSVKLSKDVEHFLDCVI AAMTRVSEEAESSVFTLPTLLELRERVVQEEFIPVGEGAGQLNADAVNEGVNCAEKYP AEAVAAAEGNLEGGSVETDSECRAANQLDFDSSRQLCEVEERCDAEYRYRGPIRCFPR IFSMDAQQELLFWKNVRKHRLYLARRAPAMNSVNIYYDCMPYDPHRHSLHHDLRRNKD ELFAGWVGEAEEGVDDAVITKKELQSSAMFLEWLEDVAEQSSLNKECQRIVRESGIGY ISRDPLLPLQHYLSFVKVFSAAATVRSIIESGASNDKGATSENPGLFIVVGTECDVRD GGRLLVPWWMDPLALLALLQCDDAQETRCLNGGAAASPSAAQTP XP_828668.1 MARGKTVFWPWEAAAVLPDQGAKIFRNLTWDEEVYGTIDDERKM PRRSLRHCDLRIENANKSSSEGIHFAAFFVKTGHARTAKILVFLRSGPTGVPIRLLSF FTDAHTLRKSLVVLSPTWTDEERP XP_828669.1 MNDYRLCGGCGAVLNCRPASRVVRAPPLVASNLPRPFTMAVALL ALLSVGVRVAAGAEVTVNILYLMYNPKFPKVSVDALSTGFEASLAARRGDIPNGVKVS VIRPSSHDQPIEELFESAVEASKGKLLIAVGPLGNDNVLWSLEHLKNNDVVAFSPLTY SDEARGWNRHLYFTTAEPDAELLTLIRYFAVTLRLSRLGFMYLSDSYFGKESHAFTLK ILSGMGYELSGTFSLEGTGGLEVVSTAFDAEWEQFVNTRPLAVLLLGSPNPVTREFVR RMATDDRTTGVYVLAPSSAQVFLINTWRDALEESGRELIPGQLIISGVNPLSNHARFA VVKRFREEVDEYLSSSDEGHRFAMRQELLEDDTSGELMLTGWITGEVVSRALRSTLSL TNSTAFIDSLYEQRRYLIDDLVVGDFGGDCDAFAAWQGAVCQCNQGGSVVYMKEVVDG FRLQPVVTGFLTWGASECSSAGVVLRAPLNGLIVQLMDNVVVYRASLRYLNGASALLG NGRIGERDRFFLHPLEATGDEAVEQLEQMRDVKVIPALFGVVNKEILATENLAFIDPI TSNPRMNRFNRNVIYVSPTLAQELYVLVQYISEHPGGNVRVVIRTHDADMILEVLIAT LRTYGIFMQSKVIVDNEGSLKPHLPSSGDVFVIGFTALEVYDLAEHLDAKRGLRIFAI YPDVTLMYEELRAAFSRTPPTTTNRFVFATNQPHWAEENSSSLTVQAYHKAVPEPAMR TPMSLRGFSTARLMVSVLDHMEKVDAKLLADYFYSESTINVDDMRYGPFSDVDCIVNG VALASNCLSNYGGTNISVWSLTRLLDPATPPLQNGVTPTLVYVDENKLTQGQVIAIAI GSTLVALLLAALALVLYFTLRNARDNELAPRESTEPVTLVFTDIESSTAQWAGFPELM PDAVASHHKIIRSLMVEYNCYEVKTIGDSFMIACRSPFAAVQLVSELQRQFLSHKWET EVFDRFYHAFEEQRACDDKEYTPPTARLAPDVYGSLWNGLRVRVGVHTGLCDIRYDEV TKGYDYYGGTTNMAARTESVANGGQVLLTRATYLALSEDERKEIDVVPLGLVALRGAP QPVELYQLNAVPGRTFAALRLDREYYFDEGGDGTTITTSDHSSSLADLSESAQMIASS LEALLSTFKVPQREKLLRPYCERWRVPLPNQANPVWDDVYCGDVIRRIAAKVGRVVDH SANGNNELTTSTHSTSVIYISNRCSQLDECFSADQPFVSV XP_828670.1 MFSKSVALVFAIAFVMEVVRAAAPDPAPGGATEKEFPLVWVCLG ITVVGIGIALYVAHRRPDELHIPGASDEETEEMTRADGPENNENPGANETAADDGAKK EQATV XP_828671.1 MLRCFYFKTLSFSLFFYCDRILLAQFFSQAILKFVMFSKSVALV FAIAFVMEVVRAAAPDPAPGGATEKEFPLVWVCLGITVVGIGIALYVAHRRPDELHIP GASDEETEEMTRADGPENNENPGANETAADDGAKKEQATV XP_828672.1 MGGCTSRGLSEEKLACYSHRTGNLVDEHLSTGAVEAHELQPFFS SLLGAITDLLKCSREDAVEFLACASSANPRAAELFTSFCAANPLNLIKWDVNHAKFMM IWIKYDDDNSGDICVRELRKILKGLSFPERLSQKMIDELEATGGRANYKLMQGTFMSL TRLNELTYAMRNIVGPDRDVMTKAEFVTFLKETQGEGADGEELHVFLDAVGCTEEHPV HLDAFLSFLSDRRFNSIVNNRKVSSVYHDMTRPICEYFINSSHNTYLTGDQLLSKSST DMYKRVLLDGCRCVELDCWDGRKGQPVVYHGYTRTSKLWFRDCISTIKKYAFVNSIYP VILSLEVHTSLRQQDRMAEILCETLGDMLFCSPWGAGEQTSFTFSPEALKGKILLKSK RATTPTDGVQVDDDDDEDEEADGVVENFVPPETARRCRGGGKTNSRGAEKKKKVSKVS EKLSRLISIESIGYKGVEDLSYLEMRQPYHCSSFTEGKAGKIASSNQEEFVAINNRCL SRIYPTGTRIGSSNFHPQTFWNCGCQLVALNWQNYKSYQLRLNRGFFSDNGNCGYLLK PTAVDIARARGPKRQSRLLTIEIISAFCLPRRKNASGSSIVDSRVAALIEGPGMEKSQ RNTSPIHNNGFHPVWRGERLNNEFCWKVYEWELSTLVMQVYDEDTKSNNLLGEYVVPL RALKKGIRQVPLRDLKGSIIHGSFLMVQVSYQ XP_828673.1 MEKHPSGWWTGKTLEGVRGLLPSSLMEEVDVGCPSEELLRDFFV LQSARGVKFERPPYVPPPLSGRDALPQDENGSDELNIVQLLEGIQFQLIQRDASCRRL LECVNDMERDSIRYHGATHFVPKEQTSDYQGGEQEVHCLNSADKTTMVNLVNELSSLL VEIKSRECPAPTKFPSCVAELFSGKEAYVNENSLYRELLYERLRQCHREVRDTGVELS ALSKQIQEARYNICQERQRLVRRIVIRDAKVRALLSYWAEQAEEIKMKYREEKRRYSS LSASNSAEEEERLRQAIAEGRERYIAAEDEHNHWEREAKKLKVHLQQRAELEEMSRAL RQATESEMLLRRAPTPNGTTRI XP_828674.1 MKVSSRGPIVRWALSRPTSGLVSSPSEWRCGRDLSDEEKHSGLL LRIRDICQPLAKNDQLPVAVVKAQPSDLQVNEVDAVGDVAALSKVVKGKWRKISRQKT LLIEDDARTPFSDPSKSFSPRVQSYGEYVRLTGKLPRDLPVLRFVLYRDSYSLNSVEN RLGYVLSLQPDCVFLRDQPGGSFGCITQHGVCLGVTKEILSHASRHYNLHPLIFEPRE YFSTDKLHSLLQGARGHHHRVLLRCVEGSQDTIKALLKKTAERGFINYFWLDRFSVGT NRFFDMAVLAARGDYLKSIGALLHCVAESNGVHYDHFLKYLNADPSTVPGIAQTWATT AKHMRSPNWIVQLLRGLHKYHADAECGKSSYLAELWSALPMREALRRSAAEFVWNAMA SQRLLSKGLNVVEGDVVRMGPADSVSGCDSSSLPNNCGNYHLVTKDDEEKGTFKITDV VLPVPYGSVAANNCLFPHLSPLDKKLYVEFATKHGMSFLFDEQMPSPLSNPLQFYRHL ITKPVNMQVSVIRDPNSLTSIKSDLAVMQERKLVQIGDIDYSTRVREPCVYNVSERFT EKMEEILKTHRGPNSVVLSCYLPEDSSPFVMLREVFDLRHASFHDLYGLL XP_828675.1 MKERIIAPVFNLKNEVIYTRELDPFIFGMYPEVEELSKNLTYWM VRCQNFASKWDYETREIWRKAKKNWPNTGMGMPRVGNRKNHLYTWGGRTKPSKPWNML MPTMDVKTWSKSNRMMLTLKMLQGRLQVVDRLTLEEPTQECYLELCRNMSWDVRHTGG GVLFMDGGSRITPSSEFDRAFFFGSFFNGRNKIVRPTVLCDEQYDYNKTAAKQRMKGP KGAKNPIPINRFNAYDAMKHDRLVITEGALMQLEDELYEHKLQILPPHIRNQLPEYGY LDSEALGDCVPSLKTIQMEAAARTEEAESDMYKSFIDNPYNPWKDNMDASYAVDGADG TVQKFVDGKKVSWSMLS XP_828676.1 MRGADQVLVINRSLSAPCATLRHLSTLSERCAVEDTGVVLALRN PRLPLPRAVSLFPNFITSSSSFSCRHAAKVGKAIVATEPVDACEGGSPADATALRDRL GAAELQISLLDNASKISLERYTVLDEANTLLRQQLQEARSEIKRLRVENQQLRELLEK RELV XP_828677.1 MFDVSPRNPFKYQGRVNLPPFSECLQSLGSPESFRGFGESLSAA SPVSRLRADTSKEINSSKGECVDYSYLTMEISEMTSPGTPPPQVERYYQCCATTISES SRRGEGEEEDDTSGEITVTPTHLPPLFPNVSPIERSRSANAGVSVPRTEPQEVHEVRV VGGKACSVDLKVADTPGAAASVEWCDHPFSCSSSPCLDYIPSYEDLLLEDCGAFGTWY QIQQRADNIIPPLSESIDVSWFAELSNRYGDFAYHRPHLTRATTYRRYGKY XP_828678.1 MRNINLVVLGDGGVGKSSLIIQYVRNRFVVKYEATIEDVYQKAV EVDAQPTVLTIVDTSGQDVFGGMRYKYIRKCHGVILVYSVIDAESFSHIKAIHTQLCR ARGSPSIPCVLVGNKVDEVKHRAVSSEEASKFAAQFMYPLLEVTAKDHSMAAAVFETL VRSIRGEESWLECRSPNVIFPPAATISEVRDEVHQLELPSVDLVDEHEEESPGIANRK KKSGCTML XP_828679.1 MHLEQAGERTYALGCTLERRWFLQFFVSILICLNNGACFCFGIF TPFMKGGAFMFNQSQVNVLSTIGVIFSYFSLPTGFLYDAKGPKVTLMVGTVLNVVGWL GMMLIFLKPEDPLMGTSLWVMSLFYAISQFSASFYETGSLLTNLDAFICYQGRVILVQ KTFMGLGSSLIVQIYIAFFEIHFDGIWPFFLFLVLYSFTVGVLGTLFVRLPTEKTQCL GLSIPDKGVVASGGGESSLFKVPFNVGTGILFVAIMYTFIVTMVENYREISVSDRHII GITTIILCVSFLFMILATPSYSNNVGGYHSRSANSSWSSHLVDEMTTTVPGQNNCNSQ RAPTEAVDNETARRCDVNAPDAEGIGRGRGVSKDDTNGLNEREPTEPQNGDNLLNPNE EGRRAAERSNHERTVNNSEVVAELQGIKLNGDSLLTNILRREMWVMWYSCLAAWSSAT LVSTNSTQIYKALNFDNYSSTVNVAYVSIYGVASAVGRVIVGSIHPMLVSRKIPISIF LCGAPVLNIIGLPLFIFIPKSALFLPFFIIGLATGVSWGSTILVIKSLFAPTSCGKHY AVLFTAGIVSPIIFNVGLFGPIYDHYSKKQGLWDVRECVGTVCVWIPLVVCAIVNVLA LPLAVYFFLRIKKRGGFIY XP_828680.1 MPSVPTANTSAPVASAPVDPELQAEALKRFNPLVFAISVETPKN VCVPRLNEAIMRDPVFAKCLYVLDTATASAATIASSSKQRNTPGDRRKQNAENVKSVD ALLYDDYVRFAVEREVKRRAELKAREAERDAIAALYIEDGLSKEEAIAAAEQEMEEAE AADGASSDEGNHHESLFVFLRTCPHSIEEVLTLKAAGVCLHAVISISSRVPLSGSVGD DAPLQPSHSAKGRARRDTRQLSKHGKDNAANQAKLPLATELRNYIMQTKQPDPCLKNI CIHSFQVPTEVVGGAVPAAVVPTAAPADGGVEPPVTYKLKDSESAVFGELIDAIMRLE DQFNSYSEWKRSRVEANVPTYIALKYPRPTATAAQPVEKDRRRPSTSRKKLSAPQTSH SSTAEMIPLFDDIPKSMEDVTAHREVYKAMFGKQRVGTIDWKAFRAACLKQVEFTSTM MSPELLSPFIPLTKREELAMLRNKEEAASFVRYMFSAVTHGAHAAFQNRLRSYKIPVN TRTTSKTTFETQTTSVSSMETLPTNVGFNIFEEVESTEGLESDVREVIVNTLQKSFSV DAERAKQLVSEVIAVGVVTSAKQSDIRQFLRANRSASWRSSRLKIGEYNLEIFYRGDR TCRTESCVYAFRGGTTFSEYVDLRAHCSTEELYYHPPPPDSDAEESSDEEEQEEEEEE EEEEEDEDDGAEDHGHSSATERSKLDKPRKGKRSKDRKKSVEEEEVPSVDVVDIAETA LRRRCVYEDIMHRISGDKNESKVHQELLVSSQGACCVSQEVQWMFTEDGCAIEVRRTV ANTRHVDCCVSDPGGLLFGFLTEDAQPLEEVPAVIPCGLRCYFIIVDVVQFFFEVVAD NSDAVSQMKYDLAVKAAKDDAKAHRDMLESIKPPKNSKEKVFVPPLSTLEEEFISRVP VPVIRGRRPPAAMTTLLLKNGTAGTFSVGGKYLRFSVVQGVLHTTIANLVVDIWDTDK VRTVKVNYIEAIEVLADGCAVVYCPELVGYRLSLDVFGNYVTMDPGGTLVRVEVSGKR TVVMPSGEIVDLGMYKVASTVDVPTGKQVLVRQDGLQVTLWPNGRLDRLLHSAGFSCT RDGEKFVWRISGFPDICVTPSESKVGFTYDHVEAVMDIIGHTLRVLHHRSGSEALLDW KSHRLHVKPMPGGDNYTIDCAFGGLVGHSKDTEYCVSTLGRCGESREEVFKLPEIISE TFLQFFNETLPESDMETPRWVAEQNIPCPLGEMLVRRKSPLARTTTSSYRSYAENEID KLVPSGVGRTKDVLRAVVWRNSEHCINDNVLFFGNLWLSKLLTHRLKAYIDLAYLRPL ACAALGDKTIKERVLFLQPPKEGSTARGAGTPFPRSISDFLLIPCLHCSFFQKEERER DVLHVAASQPDVPFRMSTVAELLCHERLALCEEKVWEETIRTLPPSEASTLAHCDDTG PLEQLRDHSVCEPESQRSSLCRGDIKTHKGRFNYWASSGIIVAAENGVPDARGINGCS AASSARSGEVAAYSEAAKQLEVHADDNHPTEGADSIRPNGVPFMHTRLIKEMPPQERI SVPTLSVTPTVLDFGLVEPGYRYVLPLILTNTSTYPCRHRITFCPSFKKMIHVNYRHQ FLAPGLTIRADVELLGTQPPGPMRASLCVAFETGSVDVEVRAETAEPREEQEEEEEDK LPQKPPEVPKLPVVLLGPSRINTFIPASTHRLPLHHTVE XP_828681.1 MEGGLRKRTTSLKRVRKPTIRRKNSSEDNQVVPWETRSIALLLC AAGCADIVAMAALSPLIVMFTQTLSITVFETIIAQLLYLVPQIFSTFVAERFAIRFGG IVLYSLALFATSVSAFLSTAALHYKSVPMYLVSRTVAGLFRHSATVSAVVGHQYPEFS RCCDVKHFAPYFLGVAVILGGVLGDWWPNIAAISGVMALVEFITAVAVGVVAFIFART PKTVPTAQRQSTLREWLLLQPGSKIAHLLPVALLGFCASIVQSLYPSVDRRVFNFSYL AVGAHMMVDTFMQIFVAPLVLRRYKGTPRVLVCLCSLLFFLSMWASSRFAKHGMGFYF VVSTLLTDLPAAVLQSAFTAYTTNSFKEAERELALKMQVCARKIMKQWQPVLFMVIQM LLPDEKNVNQLVGVPLALGVMMFVLSNRVDASMAAVAVGCAAFIIFSPIDDEEMMENL SSMLRWEFPTFLMG XP_828682.1 MYLTHFSVSCLSFVYCDKNNCGNNNTVTVYIENQLYLCRKPSLS AVGLAALMWSVIRKLQGVPLDDDSDTSAESRIERNQQSIMMHEMKPHPRPLDPDIFTR AYGLLEYEKTRTWMLSEEFNKRVQAIDHLIELYTQQRENAVRSLRYGFLELLLSTLHN DEEETMRCKAAEALAMLLMEPLAVDMLLAMDDEKFTLRELLATLSDPSHEVVILSLRL ILPCRMAFNSYEAIARLVKYNFIERGIALLRHPEDRVVATACTALVTIFSVKEAFIPF IRLGGMSELTVALHRDDPFVISEAADVVTHAASYRMGKKAAVDCRTLVALMPHMLHQN LRVRTAVTGAVAQLTIYEPGKYQAVDEGLPPLLLALLMEEEERDVLVNVVKGIINVAE HPVGRKRLLGAKERLQSLSSVADDYQPLTSSICEALSQLERKC XP_828683.1 MDKDVDVTKLTAQALQVHIERCERLMKQPALLSRLPDGGNGIRE RYSKYLAEVERRKTNGAASALTAQKDGDGEVRDEDEAQSRPAAVEGCQDEKTVGDTTT AEVTYEEEARAIGERHRHFRVPVEEIVRRTFGGSLCDSELQRIINDVPPGFFLTYEET MSMERKLMEEERAETLERLRRQRSAGGVQ XP_828684.1 MSSVTAKYLPMIDIGINLVDGMFSGVYHGHVKHPGDVESVLARA VAVGVKCLLITAGTVEESKSAIELCRKYNSDGLQCFCTVGCHPTRCNEFANEPENYFN VLRSLIFENTVRKEGGCVAAVGELGLDYDRVSFCEKDVQMTYFVKQLELAEEFQLPLF IHDRNTGDDLFTVLQRHRQRFPGGVVHSFTGTQGELNKLLSLDLYIGINGCSLKTEEN LAVAGAVPLDRLMIETDGPWCEIRNTHASHRLLQRAAERGESVADSLLAQFPICRKEK FVDGSVVKSRCEPCHLIRVLEILYELHRESVENIESLAHRIYNNTRQLFPFRPCHPDG XP_828685.1 MNSLMLQKALRVCSVRRLLFMIAIAHSSRRFCSSGSHGGHNSLK GAEGTPIASNAPLQDLLDMDESMTINVCRLSKEQDSALHVATRTYLHTLITTTDEQEW KDMLVAAIRLDTWREHHLRSVLRSVHQSQYDVDTVEGRHGKIKSNCTPSTRLQRALGV VQTAVEEGYRVTPESVHALLVILLRAAGADITASSGAAGEPTPQSQLTNSAAVWQFLS WMERNDYHVMSDAVLQSLEKIIEENVGDRSAGEHHMSVSQNRLDYLRREHRLLRQDCP SSLSERRGARGVPVRRETDTGCE XP_828686.1 MQEPFDANANGGVHEPSTTTLQMDSRSSATTGSVEVSTHDISLH AVRKDSNRIGDGVSGVHNCRASFMDMFRATVQRLFRQTVRRKSEVVLEVVVPLLFMTV TVILWSIWGTNHIEESPRIDYGALPRTMNPTIYQHFTCSAKLGGVPGLRVCRNKKEVE CIKDEITAPFRGVCVHKRVGATTLVAFFANGFVGRIAPIPPLDTLIMHQWLARHANTR VLIPRGLIPNNRLSAIQSSGLLYFVGNASVVRGIVQHLGRVSHYFTNVYGGTFETLPE AQEEVRRQTLNWGIVHVRCFEPGSLDVQIYLNGTALPTLRETVANAYPGGFQHNRAEM YALSGYLTLQKEISEHHMGLFNPGMGLNITPYMMPQGFVEFTETPLLQTARGILPVLF GLAFLFTVTSRVHVNVMEKESKIRETILIMGMRKSVLNVVWFLKPLLIDLVVCSLITV LLKLTYMPRSGSVSLFVVLFVFALTTIPLSGVISCFFSKTRLALLVSPIIYFLMTLPY AVERPTNGLLCMISALLSPTAFISIVHGALAMEVSGGFHLTQLRIGGDPVCAEMLLIM LVADLVLYTLLMLYLDTVLQNDWGTTKHPLFFITNPIRALFWERRKVGTSACPFTDGR ADNGVFEDIGDTKEEATVVMAGLRKEYQRGGDTFVAVNNFCWSMGRGEISVLLGLNGA GKSTVINMITGMVKPDAGDCYVNGRSVRRELSAARQQMGFCPQHNILWPQLTCREHLE FFGKIKGLKGKALDLAVGHVLHETGLSEKSDDLAGHLSGGQKRMLSVGIAFVGGSPLV LLDEPTAGMDASSRRHAWGLLQRMAAHHTILLTTHFMDEADILGHRIAILNDGRLQCS GSSMFLKSKLGLGYSLTVVMRSKDNFCFVDDAVKKHVPGAELLSYCGCEVIYRLPLGG VAAFPSLIEKLEIASDVNLNSYSLAATTLEEVFLRVCGGQQCSAEKPRDCSSLWGRAR RRAAGITQLKAIMLKRIFTALRDRRMHMLQVVCLTSVLLATVLIGSNPAQVGPLPLTF DLYDEKVIVDSANCGLFWGKSPGVPNVHISEISAKDTRELSIYGMKTWFAHEYPRYAA IFCGDRMLYNPKLRGMPVVMLYNSSALHQVAITMSMFYQLVLQRVSGVQANVSWSVGV LEDEATYVGALQLMLIGAIMMIPLTLISSNPLAWVVKERECGSLHMQRIAGLRFPIYW ASNFLFDITMYFISVSAIVSVLMLFDQEDYVGSETIGAFITALMLYGLTSIVFAYLLS FLFREHSKAQLVVMGFNFVVGFLSVIVVYVFSLLEITRETSESLRWPFRLIPSFCVGE AIINISHFRFGKAVGNATSAFDMDVTGYPFIYLAVEFPIFSLLGFLFDHPRRRAWWNR RSYDRTKVFDEAHSGDSDVEEERCRVCLPMVDGPGYPPARVVNLSKKYPNGKEAVRDL FFLVSSGEIFALLGTNGAGKTTTMSILCQELMPTGGVVETCGCDIVKQGGKALRCIGY CPQFDTCISLLSVEEHIRLQAGLYGMVGEEVENVVTDLLYMCDLTKYRKSLAGELSGG NRRKLSLAVALVGGPGVIFLDEPTAGMDPIARRKIWSVIERAACQCAVVLTTHHLEEV EALAHRVAIMKDGTMRCVGRNAHLKDKYGAGYEMHICVAEGELPALVREFVDRQFAGA TLRECKGRQLVYALPRSTSLADAFRTLESSKDLLGIVDYSVSQATIERVFLQITEQDE WVSKQTTEMDIV XP_828687.1 MAEEVSVQDVDFTEYCILESNDPTPVKFKVRREAAMMSGLLKDM LEDQNGGDPIIPIPNVSARTLKLVIKYMEHHHKERADPIEKPLKSNIEKIISPWDHDF LYTELVKDHDEKQHEVLIDVIMAANFLNVRDLLDLTCACVANMIRGKSAEQIRELFNI ESDFTPEEEEKIREENRWCEEA XP_828688.1 MTMMSVLANALRCIAGAERRGKRQVLIRPSSKVVVKFLQVMQKH GYIGEFEIVDDHRAGKIVVNLNGRLNKCGAICPRFDCTARDFEKWVKNILPSRQFGFV VLTTSLGIMDHEEARARNTGGKVLGFFY XP_828689.1 MSAHRFVSRGVLTRRLVSGCRLGPGAVAAPRATGLASPMTGAIR CFSWNPASWMNTDTIGRKSHQEIEEELPEVFAQQPMEVDDFIRPEKSVFERLEDFWDW IVGFLQPVEKQVEIMRHLRNEGVFGFDFGGWGNVFFFYGIFMRLCTLVPSLLSHRNAL RLSHINPQLSEIATCQNRAKSDRSLSTAEKRVIKEGYNRMKYALMKKHHCAQWKNFLS MITAPVTLSAFISVRRLAVYETDLERAPFLWIVDLTMPDPTYGLPMICAGMFLMNFEL NQMMQRGGRSSTGLYVRWGMRVGSVIGVYFFSSQPAAMFAYWIGLSTAGLLQPLLLRW QPFRDFFKLPDPPAVARAHIIVDVPGASLMERLFASKEERKRREAKRQALREERQKRK FDKIENYDDVVFEEETNHPRGRKVKD XP_828690.1 MSGWAQPGGFNPNANAFTPSHPQGQYPQQHQGHAGGAPQQGYYY QSPYQQQQQAVYNPAFVSQQGGYNPSYQQQGGYVPGYNHQMGGYNQGYGGQQGGYTPV YNQQRGGYNAVHNQQRFQSQQYDRAGQGTGQGGAPTQGYVNPPQQVEPEEQPKTSSAQ TSKLSLGGGASEFVPPAKKAKAGTLSLSKKKVEETKPKEGNAKSDSQPKDNAGNTTTT TADDKKGSAKLTPEELREQVRCEIAKQREQNKKEFVRDPRPHFNIVFCGHVDAGKSTI SGHLLMEKGLVDQREMEKLRREAEVHHREGWEYAYVMDVSEDERTKGITRETGAAYVE TEKRRITVLDAPGHKAFVPSMIGGATQADVCVLVISSRTGEFETGFEKGGQTREHAML VRTCGVKQMICVINKMDEVNWSKERYDAILGKLKPFLRQNGYDEEKAKNLIFIPIAGL TGDNLIRETAEGVCPWYKGPTMMSFIDELVLPETKSENDVLCIPLVGSYKDEGRVFVY GKVESGSVAVGEKIQILPSKQEAVVEGISIEATEFEKCYPGDNVHLRVRGVDEGDVHA GYVGTSVPTSLRAVEYFQARVVILDVKNIICAGSRVMLHAHAAQEEVSFHKLLAKVDK KTGEVVQKDPTHVKAGDVVIARMELERALVLEPHKDFDKMGRFMLREDGKTIAIGLVM KLYESTRESLANAGKA XP_828691.1 MRLKATPLTCVFAWAPEVLGTPTFLAAASVAGAIDDNFSGEAFL EIRLVDVTQTDETEMPVLGRTVIPAHALRVDWSPHGGERGIIAVSCEDGAVYVYDASI MMKNYGINPDRNTEEPRLSVIAEHRGAVRGCQFNPSQPTFLAIGGDDGAWDVWMLENP REPQRVPIMPDSAQPAGITHLQWNPKWPHILATSVANGVVNVWNLKTQTLAVSLHVSK SGKSGSSGNIIAWHPSMATQIAVGLDEKHPAIQIWDLKKAMMPLREMLGHENAVTGLA WNMTDHAILASCDADGKTLWWDPSTGERNGALQQQNGYMVDMKWSRALPVVLATSSFE PLFCVSTAEDVSSAPAPGASVQKSLQRPCGASIGISGLVASVSSHSANAVRLTRIVTN NASDPSGMSGGDPVAEISRLPPASVERVEWLKAHQYPLLAAAAACKNDRTPILSYLAE DAPTEDTEDPFETMQGTQKSLEDIAASHVAAGRINEAVEACLDECEFGDAFAIAYLQG GKLMQRVQQEYTRHILMNSQGKRHIVYASAVAAGDFRSLMVGGNALWKEALSVIISFV GERFSEACDKLALALKEAGNRESAMTCFICSGNVDAVAELWHEENMPVEKLVQEVLLL EEVTGRKVTSTFFGDYLYEYGLGLLGKGLLTKAMPIFQRSANVGNRNAAIMVDRMRFE VPCGQITFPFVATPLSDSLSQSCLEFLANKGNGQQQQQQQQPPSAVQPQYQQQRMGAT MPPPTQQQQQQQPPSAVQPNMYGGQQQQPPSVVQPQYQQQRMGATMPPPTQQQQQQQP PSAVQPNMYGGQQQQPPSAVQPNMYGGQQQQAPAPGIIAQQPGPAVTANSAAPVPRLM PHPISSWSSLGSVGGGVVTNHPPATATMSGYCGAPPQNLPSQELQGPVPTSAPPRPPN HMDPQRSMPMIPPPSCGSSVTSVQGLHAPAQPTVVPSLPSQQVIGTPLAPPQQFPTPA QPPSGPRGMPLPPRAPSGLDTNPTSTFGAPPTGSLHRTGKSSPSPEPSAPRVGNMMVA PPGSAPPSMTSGGLPPPRMPSDGSNANWNMNPQSVTNQPPQAASMTAPSSVAPPPPGI PSTAVGTAPPVVTAPRVGGVAYAPVANPGAPPRFAAAGGRPADDFGLSSFEISSLNPE HRDLAQKLRTTIQQVANAQRRSAIAKAAMELFQALQTGSLPPDVVGLLSEYINCLGSQ RSREMWKELATKHFSAIQHINNLKFLQ XP_828692.1 MTHSTDLQWLLVRQNSKFLQKRNGIRLSSDPFNNNANWTKRQSG FLNTKAAVIKTKGDRILLTTKSGDTNNKPKLMYKKTVMEPGVKSSVVKRAVADIRPDL AKMAYRRARKMACTITRMKKVCAARKERSSKMHFHRKTVRPKRN XP_828693.1 MTPVLHDALFKLLGVHEGSRLQEKIINSSRLLIAGVEGTECPPK PAAVIDLWSSEVERRTVAERQLASIWIAAKFWGSSLQRVSLSTLVTDLLYRFCVDAQQ GSEGVCAGSWNDTMEMVNRLHEAEMVLLRRQRFVVPGVSVGIA XP_828694.1 MTHSTDLQWLLVRQNSKFLQKRNGIRLSSDPFNNNANWTKRQSG FLNTKAAVIKTKGDRILLTTKSGDTNNKPKLMYKKTVMEPGVKSSVVKRAVADIRPDL AKMAYRRARKMACTITRMKKVCAARKERSSKMHFHRKTVRPKRN XP_828695.1 MLLEVAIFLLTALALYSFYFVKSFNVTRPTDPPVYPVTVPILGH IIQFGKSPLGFMQECKRQLKSGIFTINIVGKRVTIVGDPHEHSRFFLPRNEVLSPREV YSFMVPVFGEGVAYAAPYPRMREQLNFLAEELTIAKFQNFVPAIQHEVRKFMAANWDK DEGEINLLEDCSTMIINTACQCLFGEDLRKRLDARRFAQLLAKMESSLIPAAVFLPIL LKLPLPQSARCHEARTELQKILSEIIIARKEEEVNKDSSTSDLLSGLLSAVYRDGTPM SLHEVCGMIVAAMFAGQHTSSITTTWSMLHLMHPANVKHLEALRKEIEEFPAQLNYNN VMDEMPFAERCARESIRRDPPLLMLMRKVMADVKVGSYVVPKGDIIACSPLLSHHDEE AFPEPRRWDPERDEKVEGAFIGFGAGVHKCIGQKFGLLQVKTILATAFRSYDFQLLRD EVPDPDYHTMVVGPTASQCRVKYIRRKAAAA XP_828696.1 MPTREGHRRNRRHRRSQSSTSDVSGAADTVGPPLTSSGHRGDVS RNNRNSAGAAMGQCIEESSYDEGCSPTCGARNPETTQEGACASRTAEDEANAWAKITA RMRDAGENEGPTKLSALDSPLARGTEKANSAAEEAALSPLNHRISSHKARGGRKGGLS PSSHHSRATELGSDRDTGRTFPSSMASVVPAPLPPIEGPLQLAWPPNDRMKGSTVSSF CEQHSVVRPPGGSDRRNHGEVTFMNNQLNAQHGAFSSQKINTSDRGYSETTTNSSDGS SESHAGCSVDGIAVPQWSNPNQTPSDGALRASRDVNSLCSDSPADRVRNHPPCASCCV DRSNPDSWRYNKPRRHAFQWPLHSLQIMAICTIVTFTALFVSSVVPGYVLLYRDEGCS ECLWEVIVSSTLVLTSIVCTSGLMLVIAFRENGDINDEGEPCSFCERRTLLDSRHCKA CNKCIEGFDHHCKWLNMCIGSKNYRLFIAFVTSALCSMVLGLIAAVVFLAKWWNRLLP YSVYFRAGPLLFCALVLLTCVPLIHLLGFHIMLNRANMTTYEYIMSKRQVSQPRQGNV LPAAAAKELERL XP_828697.1 MTDILMKMSPILAFLPEVATPLRVVPIRERIMWTFVALFIFLVC CQVPVFGARPGQASDPFYWMRVVLASNKGTLMELGISPIVTASLVMELLVGVRIISYD INNKRERAVYEGVQKIVALFITIVEATAYVSSGMYGDVREIGVFMCGLIVLQLTFATM VCILLDELLQNGWGLGAGTSLFIATNICDTIIWKCFSPSTINTGRGSEFEGAIIAFFH LLVTRTDKVRALKEAFYRPQLPNLTNVFATVLLFAVVVFLQGFRVPLMTKSRNAAADR QPYIIKLFYTSNMPIILQTSVVSNINFFSQILSRRFGQFNFLINLLGRWESRAYSQSG QMYPVGGLAYYLTAPSTFYDMINDPVHAVLYIVFILFSCATFSKLWVAISHTGPRDVA KRLVSEGRWLAQARESEEDMARLLEKYIPVAASFGGLCVGALTLFADFLGAIGSGTGV LLSVTMINQYYDILREEGEDLGYNFIKRKVA XP_828698.1 MRPRLYHYYGAGQLPSHQQVGMAAPEGPPLPHGPPCPGHCQEYS RHMPPTPSREHRPPLRRTIFITNLPLTIDNGLRLLPYVPGEGLIELRVKRSGGRDVGF AEYETGDFALRALRWFQKVAVTAQIAYDCSKVSSSTWAPTQSRFRYPVPYEEYNCCEA DPVRSTELLLNSVILIAEWSLSSPACRPKYLSPPPSVHPPNYLVAPHSTSGNTDPAAA LHLVPTGTVGWQPQLGPPPPGSRGAGPPTGKSNHSTVPRAPVYTHHHLSQCYGVPSTH CGGAGGNLECLFSAAEAARGGVSQIPSHRVHEVPLPSRGDWERGTPPYDVRATLQDEK LMPGSSSGLVATPTLIKDELLPSSTLFVRVTGARGGSSSGNDSQPCGTSSGPPHCLSP TTSLGPPSPSLTGRGGRLSAYARQCDERREPHPLELLYEWWSQFHHRHRASPQPAYPP EPSSPESPNNQVGSTATSPDEEVATASTTATTVSTSASRVPDGDNAETLMSMILSQDF YSERFAGFRSYVGFSRWSAFVRFDRPVDALQCLRWLRQQPVLRKFVSVQFAREDTRQA RPPQGKKEVRCC XP_828699.1 MSKQLTFISAGATAAVLQSASAIVSKVAGGRVQTKTAKEAGRHA VVVGPETPIGVHTAVTEAPKSAQDPLFSGVSTVVVRAVLPRAAPDSVQLRDALDVYAS AGIDTKEEVRSATEAFKKSAEVAVGKAKAKGVKRIVLVVKQASKHNCINELFKKISTE TIESAGLTTEVVGTASVANQLIVNPESLGVVLLNDVAATEQIELAFAGVVGGVSRVYH TVEGGKISAGHSFKSVALAVAQELRELGLSSEADKVEAAASKNPRAVVSAL XP_828700.1 MADGAEGCPLRRYSAVDSSENIVNRNKTYPGDSQSPHLHEVVPA QTEGIPPFLSRRSWEAGVPYHGEVVSRDVSQSRSVGPKSQPSTEATRSTTTMRSCALN ACESFDVENVLPPVRKRAVSLRNPRRAGDQDFGKPGSDISASPAAATWSVAAPASHCS NRGTRMGRSSSYSELLPVGNAACGCGRVRVSSLRDLLQHYGSPDVGKDAGDATLPEVW GGASKYIPKLPRQPACSVFGLFSMMERDRFESFAALFKRHRQLLEPFVEHVVDQCPPL FPDVVNIVEFLRNPSDAPGEYFFDYSLVAWPFSVLYAASCFYVTAKQHGYRNLFDILR LRGGLLTCGKDVLAALAIAMSPTEEDLLRNVSNMYHAAFFVGSVYIDDERCLETHVRK CSNCSFALLLVNITIPCLQLLVERINQVQIFSRARYDRTEGPTACVIPLSKVEITRVI STRCAIVCGNPVDLERLDILVLRYACAAGIKVHKEFLPIFTPENSLFYNQSKHLQLLQ LWSDNGVELDASSMHLTVYSPVDGKPWSSESIGSLLDEVARAVTCSSHDLTHSLQQLQ NGDVLLDFSATEPGIGKLVEWEQRDVVVLSEPADVVALNILSTPRRGRAKHVMESTLN KCAVINDILKVISWECEPRLAYTETLSCTFVDFGLVMKRPETGREINECYQRLCEVSH PVTGTCTSCGVPSVNCLWEDVMATQEQSCGLPEYIVVANGQPPGAVGVHQVSPTVKYY EVHSGCVLLQTGVEFTRRLSHYTRLPFPPHTLLMCPTVLDLIHLWETYEFLGGRAPTN LA XP_828701.1 MRSLLEGITSTLRSAQQHQTGWDPRNATSTGVPTTAQEAFSGGV PAVAQRDLSHVEGPVSSKGGAPMDCITGYSRFSTHSPPDFPFRMLMITQNVGAIGDTA AGAKEGGEEHRRECEKQAGNDELSSDAQKDVREFLSELRLLIFEYSRREYTAHIRVSN TGDDDPSHPSAADIGGQGPPPLIDVIVVHFQEIGGKSFHTEFNNYFANALQELLPEAG WTSGLLMRANDDEDQFTAVGSVVYLSHRMCPISSILSFHHRTFVCVGDDPVTYGSSPT ILFHGGKFSGAGESRKGYLLISLRLGTVVVNFLNVHLYNDKKSKEAAAASPSPYALQR QEALLETLAECAAFISPDDPLFIFGDFNTRLDVHNMLQYLKEVENLDVKVSDGDVRAP DSFWELFENPQHMGVIRPYDVEVQRLLDVVAQQSGMELAEFAVRFPPTYLCQSPRDSE EATFQIDTPVHLVNDGEDKRESGTSDRISVVRVLERLSSIPHHPYGRRRVPAWCDRVL WNPPALELMTGRRTSQSVAGSSATAHGGTGVGALRRYVYRSVALRHTDHAAVTLFF XP_828702.1 MVAKKWVYYFGGGKADGNKNMKELLGGKGANLAEMVNLGIPVPP GFTITTEACKTYQETETIPQEVADQVRENVSRVEKEMGAKFGDPTNPLLFSVRSGAAA SMPGMMDTVLNLGLNKVTVDAWVRRAPRLERFVYDSYRRFITMYADIVMQVGREDFEE ALSRMKERRGTKFDTDLTASDLKELCDGYLELFELKTGCSFPQDPVMQLFAAIKAVFR SWGNPRATIYRRMNNITGLLGTAVNVQAMVFGNINDRSATGVAFSRSPSTGENFFFGE YLVNAQGEDVVAGIRTPQQINHSLSLRWAKAHGVGEEERRKRYPSMEEAMPENYRLLC DVRKRLENHYRDMQDLEFTVQDGRLWLLQCRNGKRTIHAAVRIAIDMVNEGLISREEA VLRIDPYQVDHLMHPNLEPGAEKANKPIGRGLAASPGAAVGQVVFDAESAKEWSGRGK KVIMVRLETSPEDLAGMDAACGILTARGGMTSHAAVVARGMGKCCVSGCGDMVIRGKS FKLNGSVFREGDYITIDGSKGLIYAGKLKLRSPDLKGSFQTILQWCQEMKRLGVRTNA DTPADAAKARSFGAEGVGLCRTEHMFFEGSRINFIREMILADSASGRKAALDKLLPIQ RADFVGILRAMRGLPVTIRLLDPPLHEFVPHDAAAQFELAQKLGMPAEKVRNRVNALH ELNPMLGHRGCRLGITYPEIYNMQVRAIIEAAIAVSEEGSSVIPEIMVPLVGKKEELS LIREEVVKTAEAVITKSGKRVHYTVGTMIEVPRAAVTADSIAQKADFFSFGTNDLTQM GCGFSRDDAGPFLRHYGNLGIYAQDPFQSIDQEGIGELVRIAVTKGRRVKPMLKMGIC GEHGGDPATIGFCHKVGLDYVSCSPFRVPVAIVAAAHASIKDRRAAMKARKGFAAKL XP_828703.1 MVGPRIRNTYAQQLQEERLEKLCDDNAFVNVTDVLRRQRRDAPP ANGVASETEFLEESVASATAPSRIHLSRFILEQHRTYLKAMGLNEDEKQHRETKAEGD SSSVSTWSSAASSEKDELVAPPVRGKRMRRDAKPHAEKDKRSGRRTSCGSASGNNDDI ECDKDSTTASSDDLARECQYIRSWLLAQGLNSSELRVPPVSYASMTAPPPAHAGGHHL CSVCLLPAGYRCVRCRTALFCSIECHVVHEATRCMKFIV XP_828704.1 MSAKAPKLFNKWSYENLQTTEIALNDYITRTPTYVPHSAGRWQK KRFRKARIPIVERLTNGLMFKGRGNGKKLQAVRLVRHTLEIIHLLTDQNPIQVVIDAV SKGAPREDSTRVGAGGVVRRQAVDVSPMRRVNEAIYLMCKGAREAAFRNLKTLPECLA DEIVNASKGSSNSYAIKKKDEVERVAKANR XP_828705.1 MRTGFRRGRLDKVQQPGAINSYTTALYPPRVYHYYTVPSSGVLA NTSVIVGPSIDDGVGDLRGRDVTSDAGVSVMCKKSPPLLRDFVGCFVDVNQCSAEARA FDIIASGNEMLNLLHYPALLCVGTEERSETYVGNDASPRSGATAQKLRLWEEVLNLPN ELRCYGVPKCELSSTAMDHLRIRPVKRKRLVKPMRRGAKVFVYNIGEEPQDARKIKKQ LTEEDEQKPRGPVQPKRAEGDIREEEGDAGYDKDDDEASLSYRIDDDDDGGDLDGPEG SGDDNGFF XP_828706.1 MQGTGSAKSFLEKLKSGRTTSAAIDNNAPPDGDGVILRRVERQY ASEPLSALGLKLTEDAEVLSTEVGSPAAKSNIPLHYMIIAVNNQFVGSRADFEDIAAQ LLTLVIKLQSTAAMSELLANILNEEEKNFNENGDSCIDVNELLRTTPRYGFLSAEHPM FLRWNQRLQTQREARQLIRQATREAEEQQAKEALEALRRVADAEDEIQQQQAQQERQH QQMLRQGPETVNPSAPEAGARPGGEVLLKQVQDESTFGESNVNNEGFEESPEEASPEE LLKLIGAEPVETEVVQHVDADEPTEVRFFINPTEEYALSNGTRVTISVKKRSGPIPKP PPGKPPKGIEKLMTNKLSDAIPATGNGGHEPTCTFCYVSGHTEKDCSEKREQERKLSV VPKERQICRDFMRGRCTRSECVMRHVSLPPRGRRARSRSRERSVRRRSREKHRRTSRE RRRGSRRRSRRRDPSVRSHRRK XP_828707.1 MSFKPTISVHATPQELSAAGCRKIVEIIETSGSQQWPLSIALAG GSTPKMTYARLHDEHLNLLREKRALRFFMGDERMVPADSTDSNYNMAREVLLHDIPDD LVFPFDTSAVTPSAEATSADAMRVAEAYGKQLASLLPLKSVGEAGAKVPVFDVVLLGL GSDGHTASIFPGSQAEKETDGKVVVSVGFPSETMKPKVWRVTLSPATIMQARNVIVLA TGAEKKWVVDGILADTAHKAPVARFLRGCEGNVSFLLDKEIAENLAKF XP_828708.1 MFSSLHVVRPLFVILFIWASSTFLRLPTLLWNNPTGGVKRVCGQ EKEAQRSKKEKRKKVCLPIMSSLSGELLQLIDLFKSGALTEEEFKAAKDITIKRHGQP IETEQCRGPQPPQTAPPRQVADISGFGEDVIGRLQRQCAYDILETVVRNLRQFPNEAR YRRLRCNNSKLQSQLFCVPGATTFLASLGFVASDDETDCPGDECLVLPHAPQQQLLDQ ALQAISYLRRREEDARANEPNYVQLRQNLRLEVRRERCEKAKAVGELRSYIAQEFSAD DAGDGLYTSASNIETLRTALTNMILHPMEEKYRRLRLRNRVVYKSVVQQRGALELLVE YAGGKLVEESGESALVFRDESGATERELQYALRVLDEVEASVRAAREELRQRDYEEAR RAMRAELLNARRMGEQQKRQCENEEARQVSDATKREGEGAPQLQGHRVPLKEAVKILM GKGGDSRFGYREE XP_828709.1 MVVTRSQTKLSAQLHTGDAGPHTTNANKFSNFITNAPQTPPREE PLGRGCRIPRPAAPTYDSLYVSEALTRRSKQPAVQELQINTRYPERDAAKRAVTKLSD TLELLDGSGAGPSESSDDSDGNDRCAMHTDGTPTRKVPHGRFRCNGTPGKVVTRKRDR DESVDSSITDESLRRGSPEEEAGGLLFEHSSLDLSSEEPLSDCEVQRAKKNAKVCVSK NKPINHYLSAEIKETSLEDKKGLFGASRGSRQRRTEDVLLGLSGADDAAHRREPGLSK EGNNNALGDITPLNLDTSVTFEKVGGLSGHIVLLREMVLLPLMYPGMLQAMSLSPPRG VLFVGPPGTGKTLMARALANEGMLHANQKITFFMRKGADILSKWVGEAERQLILLFEE AKRQQPSIIFFDELDGLVPVRHAKAEQSQAALVATLLALIDGLDDRGRVVVIGATNRP DTIDPALRRPGRFDRELYFPLPDGAARRHILDIVTKPMLPADRLDREEILQELTDRCA GWTGAEIQAVCTEAGLNRLRTALPQIYTTSKKLQIPEGALIVQREDFFIAAHRVKNSA RRVTTAVGDGLDEHLEHLLNSTRCKLLSFVAARWPSASTALAKEKRDCSNSADAVREL SSFPISNVAHPFLLFLTTEAVGCATDREDSEFAVQKAAIALTKGLPSIRAFTLYLPHL VVDRDASGLLGVRTTGDLGPLSGNLTCGEGGDDLRDSNRFDTGHIYSCIAAVRRCSGP SLVILKGLEEWLADHAPEVDASFGCGNKCGIGRSNDVDNLCYYLNCLRDTDALIIAPT VRRDVSSTFFAGNRLSIQLRTAVCEVPCTPSDDDLRRFLSYLLRVVDLALKTSAPINL QELPEDLSPPPPLSPKQVRAAWLDEVDLWRRVDFRRRQLRHVLTKWVGQYINNKKFSV FVSRNLDLTPTHDLYNHWQQHTNGRQISLSDVMMKLENEEYTSLSQYNNDIDMLVNNV RSFFRTVSAFDLRYRVRASELKELTVLNLYKINRAVVSFCEKHKDLVMPGAPPPCSTA DKALQEPPKTPQRGTTGATPTQRKKPRYYGMRRRRRPANRGGRSCQKKAPVENVERDQ PREAEIDVLGSSGSRDDNHDREEKARRKTENMEVEVKKMVEEEMVEVDGAPVDESIPR RLEVELPQQCDIAGLAPEDGEGTEHAAEHHSPDGTYGSADEWMGVMLLKLRGFSFLRL HLVMQAAMRLLESEVQMRRVRGEHQQTTEFEPASSSREVSPFKSSMTFCSWMLLKAVQ EVEVVSSNG XP_828710.1 MRIEQCSFCGAPIYPGHGQMFVRNDCKIFRFCASKCRKNFGMKR NPMKLKWTKTFRKANGKELAVDSTMDFEQRRHVPVKYNRELLHNTLKVMKRVERIKQS RQEALWERRMERAHLQERRDAASALKHNIDWIEDTEVKHKARDDLVAVQQEAEVKKQQ RREAARKRAQKRREMERAAGAPTNTSVKSRH XP_828711.1 MLFVTPTGESRFAGDEGLYAAIRAAQLARALHGATIRAHVSSNQ PINPNSIPLALPPAKWVIPSTAERAMREVLSSISGADAAAVAGYGLQVPVALPDEGQL EALRVVLPYIHHLKPHPVMTFDDVQRLERLMTLYNSNVTCLNLGDGAVMPHNHAEAPP STVVAKINELMQRFPLPAPKPAKGSDDGTEDTEEEEMEDETDYSINEELMAWCQSQEV QYTTYDDAIRQRAAYELDAFRNICKILMNDTKIRVLLLDHNQLCAPNEDERVSLVPLR MLAKVIDANETIKVLDLSSNMLGPFGFGVIAKALTKNISIVALDLSDNQLGTPSPDTD EDPEHQPDDPVFGEEYSGLEAISEVLKKNKFLRCLRLAHNDIHSGGEGEEAPPVEVNE LDPENDATTVDVESWQDLPLWHLMGPLRHYHRLRVLDLSGNLLGPVGAHMVATALAEN HSVEVLDLTDNGIGFHGLHYISKVLLSSQKTVLNTLILRRNQLAGKKTSKAQQKMALA AMQATAAALRENGRLRRLSVAGNYLGTTLASALLSTIATVSSLEELDLESNDICGDVA APHDTTALGFVAAALYSTAMCNRRPTLRVLNLANNNIRSSGLNVLFPSAASMPISLVD VNLSRNNIDNAVDALTHLMISSPVLQRLTLAHNAITDASVVVPGVSSNTYLAELDLSH NLLGSRKPQYCEDPQAQMKNVERLVDVFNNHPSLEDVNLSFNDFEDVHGPILARLCED HGSKGKLRRINLCGNHEIKQCDINNMVRALPQKSGIEVFYISSTYPATTTSGGVIFPV GRDAALDAPTDRQQQQIPLLKLMHETVHQCPSLLDINCDLQRSAMKSEESADGDAGAD VGGRTVEEIKQCLLLNALMAPQV XP_828712.1 MHRSGTSAGTSTRFSCSSHSCQRDRCGASWSDYCWPHGPGYSSR PSIEKSGNKRRMRSREMSSHTPPSIDKDSYAATVRRSTAFTSEAGSQCGATTDVNLPV PPFFCQVGHTAVEGELMAGDCHNGGKKLKGCPSRRTAPSPQAVAGYSTLCNPVNTVIA ENLGDNSETPTKVLDPSAPTASRATANGGSWPVTPPILKGGLVTLRFASPASRKSDFT QTVDSHAEVLKRLEREHRRRCGRALYVLQQIQPDPLRPHRPSDHHDVYLRNLLAHDDN FVFSRVKGEVRDDGVQWLSV XP_828713.1 MPSDTTKEEEETVVYKTPFPLTGEEVSNAGRRYRLPAKNDFLAF RNYADSLEGYSLRCNRPNDTIVWSKKVKGESLNVVKVFSVYPGVDPQTMYDMLQDGLY RVVWDTYRIEAFCIVQLSPNTDIGYYAAKSPATGVTNRDFVNQRAWHSAGNGEFIIFN TSVPHRDVPTNYLKANKISSDSVVRGFSKITGYLIRPWEDKGTKGCCLTYVTQCDVGG WIPTMVMNYVTTKASPNTVKTVRGAVAGFTEWVPKQSDYQRTWTSDPDPFDVPSLENI TIQFAKEKWAGESGSS XP_828714.1 MGGEDNVARRGSCSGAVVEAKQPSDDGTIRVVVRVRPLSTVEHA NPSVRNIVQCLKDGIVVNGAPSKMSSRYFGAPHAKPRPRQFNVDQVFHHYSSQFEVYE ACRHVIAGAFEGVNGSVLAYGSTGSGKTHTMFGGQMSAAGVIYQAVQDILETKSELEE NEKTVTTRCSFMEVYNEKVYDLLAQTSGQGKRKELNVQELTHSNDTSCYGSFINGRCG VNPESLAVRGLTYCTPETADDFARCVERGHINRFVASTDANAHSSRSHAIFTVEIEVK DTVNASLGTVGRIRFCDLAGSERAAGSSNRGDRLREGGNINRSLLALSAVVQELVQRR EHPERTHYIPYRGSKLTRLLQDSIGGNCRTLMLFCISPSSMNYEETINTMLFAMQAKK IRVTAKRHEFAVDSKVVAANQEALIEELRAELALARDELLQLRGANANNANGAARTSP RAVSPPQAKDLEVPTLSLSAQRTERDWMQLPLEATGRASMRSSTRTPKVVEAMELSAD LQKKTKSLSATKELLYREMREAEEAHSELNMRLRQQKWKLVRFLSAKQKGSGKGVDGE LSTSVGVAGQQRAIKEMEVELTAHGGEIKRLREKMDATDKELDKVRQELLREKQHPFL ELLLDNVKLRQNCTEAECLAAHYHQEYRAVMSHEEEFSQALSKCVQAIKSMLPLTTPN SSVWEEAQLALMYANLPSIPTADIIHVVQKSMRSGRASPMASAESRFRRSSTQGIEAR LQYQVSNGPDSRSLVVHSSPKKATGAYSNRSVAGTNTPLSGNSRSTIAAAKCQRTPKA MTVPPRPVTRPSTRQPAGATFKKPPCPKGAIKVGHRDPANLSTVNGKKNTIIGSPCKE MTLASSRPHAARTDAGKAASPTFSRCATRTHVKFSEDVVPFTRSLTFNGISRTKARQS NGTPSLRERHNNFTPPRRVRRTNKDYGTGEEDIHPRRVLNDGLEERFKKLLDEVKLWH SDKASTDSGLNVST XP_828715.1 MSSSVLQFLTERMTLRERNRNPQPGRMAFAEKSPSLTSNLKKAT ALAKRFKDYSAEASEKVILDQLDVVSFAMFTSEAAQGVVQSLCQGTKMKASDAHSFVV VCSEVHQRYESFWPLVQKGVKENAEKVVSMEELKAAIQRMEVGAAPVGPSGGDGNAGA GSLGSTASSNGVASAAPGSSNNTLSTMKAPMEELNHLRLVVKVTCEWWLAGLLDDAKP VLAFLRLLHGVVEKRLSAPLSPSTTAAVLSLSAFIIREVGIEILGGTNAVVSRLFGAE GTVEDTSVQLSDLANYHGLMELTTGISKPKREDIFFVGSAGVRRVFNPTTKTSDVVDE ASLQQAVELSAARLRCVRIMPGMYDSTDAEKEQFFALAVATSKVALKSYLWHKTKLEE WWQLLGEQRDTRGNVVKTSTEDMQFRALAEQVERLHVTCDNLLGMLGYATPPPVKLTV LEPRVAKDIVVTSATKKFYAVSAAETLNRFESDEQRIFYEYVPDFLTISDELLKSLLS EKPSWDSLGRQGVLAQSPCAGVTDAREKKAHKRKEEGEGKDTASNSDTRCVGESRSPS YMKVSTTVERRLWLAWLAVPATLFDEYAVVLQLLEELEQCRSADAIDKWCVQYMQEAL RPLEHSSGSVALGSVFFTNCRMLLAMEAWYSPWVQQPDMVPYLSRCIAEFSQYFPDMS EFIAGRLDAHWKSLCKADSGISEEKLRDQVEGTVRYICDLTKFGAIAHLAVFSVLRVG MEDLHGRISPYLISSVMKYCGFFLSRNQTTRQPFNKALGKLESALSKTTLYDEGSDLI RASLDFLHVPSAPRRPVAQVERSPLELYVRHLLNDVLSRQKYKFVCEKLVKVPWEDPA KRNGLVATLRDVQHLKWDNIPLLADLLADLGRAGHDSLVLRIVETVAEDLRRDLEVVP RDTEVEAVEMTEEIRYYAEASQLHAEYRSMSLRLLDCVFITHLCRARVLSFRFVSYIV AQLLCYTPHPNGCSPDCSRLRCCVSLLSGSLTFLPWQQRDSRSRSAERLASLHQLMRK MMALLFVHRYTIRALPLDLSQRLDELVSQLDECMRQGSGVGQPKGRDKHRGGEEKERS QDSVSESTAPPPGMRLPKSLQEAEAYAKVVEEEHVLSANVWYDRMCEKMRKSCREDFP HCRISSVQVRAASCSQVESTVAENVEENLMGLSTQDVDHVYDAVSSHGSDAGESYARG EYDVSTQSDGSSDESPDFDSDYSHSSTLSGFSRSDSDMISEENEDDDMDDEDDEEEEY SDDEEEEEEDEDEDEDEDEDEDEDDDNKKIAAAEALRLRIEEANLDAKLRALMSETQR DGIFRAASAATKGAAAERLIKQELTAGIRLHRQQQRSQQPHEESANRQEMKFVVLRRP VRGGGSTNTKPGGTSAGADPHGASANEGVDRNTLTLPRDTEFARSALHLQEQHRRQRD ELREVTLRINRMQESERR XP_828716.1 MSLGKVLRSKRSSGHAAAQLAAAKEKKPRLEEEDASILSGSLLQ EKADAQLLQWLFKCHRFLRNVQPPFSLDKTFLEELLSPRYLNSSIAGVQQITGCLLSD LVRLHNSPADKMAETQLPFRRTRADDVLTCLVAPFVEVVRDGKPLKTCENIIERASVS RVFVHVIPSCKLPLDERLTYLFASVLHASGTPAPGASTSSTSSAITTTTASEMAKILN DVLLTTTAITREQLSPLLKVIVTASPALLRCAAANRARGGSGVETICPRANKEPSSRQ SLGAIIAARVLLGQVDVIPPAISDFVTDMVKEGVSMLLSAKGTGNDKDASSQGLRDIS RAMEFLVALTELHVDLVCQLVPSLEPYLQHLHDEVRLFLLRGFFTALGAHEAAIRVYR STFNVLLERFEDTAHPLRIEMLELSVEAVRSELARLGTTENLLISGEIVQHVEQRLVD VNAQVRRAAVIAYGRIVAAAPSLVKGERLQETLAPLIADKNFRVRQVAVEHLCIIYRR ESYPWIPEAVLECPSWEGGVMLLETLFETMLPPPKVARETAPQGGAALAQSQLQGLQR KRRNIPIFDFEKETSHEPTYANCLAVLCGDMSPECFDRLLVFAGKKARVRLSVLRLFQ LREEGRNIDLRSAEGQEVANKAHRILKFLVGISHSQHGEWNALFLAKDDRVSRAFINC CSEGVTRCATERERLIRGLEGRVDKHVLKFVQDSLSKQMMLPTEVEDVEELLARLGDI CRLGRESKPPKPCATLQGKVNGLLRALLFCCRSTPAFLPACVGPLVELLEKACAGVTA CATPSNVLLTLFCITDWARYVSQYGEKESKQAIFPGGGDTATVVRNCGLVKTLCTLCE RPGGPLLNDVAPVMQGKVCKQAARCLIAIARIRGLEQFCKVGELANTLAHHIRHSAAE INNGAVCLLKALSVFIKDPCAQRSVKGGSLVPAVTNYLLVAARDKSSDSETPHMKAKC LELPSMSLASAVVDAAAKCLSALSTYVPSEGDGSISTNEVLDTLLSAYKLVGEFDSQS IRACLRRRSINQQLLKLIVHPSSTIERALAVAVVLSAESETAVRRAIQHKVFRHVTNG RCDMRYVACLILTAISEETKSDYQQLRDVLCKVGNHLRSRQTQSGATLSSREALSCFP EYSIPFLVLFMAHHTFYESESDSHFIAYQRVWHLLFDELFRQNAQCASFVMELFSRIK QTDDKLDNHGSKKARLICDLGIRVMQECMGKKQINVEALRRYPGSIQLPGFFVKSEAG SEALNTVYLGSHVLIPSHVPFRVPTASPAATSPEEHRDATVSPALTVGEGDNEANEK XP_828717.1 MESVPRNGKTTCGGDVSHLGARMGNYSQPKPAVTDKKGRPLNKD TSEDCGSSGPTTSCDANTAYALNLLRGGKGSSLRGVSTHSAGCQSESGASSVVSSTEH VQNNKVSSLPSKKRRRGIVGPVPATAASAHPGDFSPRTSSGSEEKSSNRGSVATSASN QEATCAANPSGIDSSRCNSKRAAVEYASLKGTFIAGKFEAGSCTNVSLIHSVDREVDE ETRASLENTGGVEATENGGSHTLEMIVNSASSCTNGLVVPKGDHCPILTSDLPFHARR GGEGDVSLPPYLLLTERDLKVCCQSFTARDQSADDGDEDGDVLYAGSSESGGDSQLSY LDDTDEHSAIVNKLAPLSASTLRPAAKYWGVGGEEYGEDACRVGIASRICSLTVSICL ATSDRMDQRGFASSSSEPPNSNQRRGKCNVSVNTASLESGREGSIAGGSGNNANGVTT SSGIREEERMEKLLYLRRQIKSLGGKKLEYFSHAFGYKDCDCQKDVVCMAASALAVFM YFSPLPLPLLEDICRELSISTDCAGKEPLDFNVRAECLAEKISSHFYPMCRGFPPVTK CPLMPQMQIHEHAPGRYTCTVDNAELLEELPLHRLISNQFSCKKIRWQAILMLCDNEL TFHVWHRHSGPLRARMVIRSQDPKRKRRNNKNNNNNNNGSEGNGEDLSSQNALKERPV LFLEKEVEAAPGELVGYDNFVQLTVALRSQANAQRDYRLYNSAEDRLTFQFALNLLNL DGTPFADSGSKKTTSNTNSGKGVSGSHQVNSAELTGTSAAEGKRRKEVEKAVQKLEKL ETQEREALNKMCVNAHRQLMDDYNRGCQKAVQKKKERERKALLAKVGPNPELQRELNS LTQTVAANRTQVAKLSKEKAKEEENVQRLQNQIEEDNRKLEELRRQFKSLCETLSRIE KDKKALVERKKEWEGFGQHRGADMPVALNIPGLTATRHDKLAIDDVQSFLVFAATKDS ALDASAGTPPSTNLTPQLHPAEQGTTGTRAVSPVGVTGSSINGAGVSVPLPRSAGTVG GGSSFAPPATGCLAMDLSWELYSKRPPFTTMLANNNGGNALGSLAVTCDASSPPPSQR HQHPIDISQLTCEVSDDAPITDRSSPTSNGGFTVTAVFGAPDSTSRFSLDANAAPYTP TPPISAQPASGAVPHAGFTPNGVPSDPLLRLSPQTSSPSVPYSGSSSMTAGCAFPEKP RYTYVSTPIANLSTSPGIGLFGIKSFGPSSIGGEPSETQSRSEEGLRFPTAQWSF XP_828718.1 MPPKNNPKQHANVNGNHKLDSRPSNETGRPKREENKADDVVVPA GPTIKAQITNASKTSSGKTFLEALRTNVTKQTVRVEKPRTPEPPEVAVPEPEVPVAEE PTVEAVVAPVEIPPTPVEESPVVEKQPTPTPKPQQPPKPQPTVTLPESNGNFSWANDD DYTFSSDITPQHPVVPPQAKPVTYIVTYPAEVVEAHSKGPRVVFEAPASHQRIDSAMA ELEKGRANLYNERHLFEECRKQREIEMNEKHARLLAQERQLNDQSDGLQRERSRLVEQ QQQLQQQQQQQQQQQMIQQQPAPLRQAPSSLPVTSQTQLPPPPQPPQHVGNNYTYQTG TQDGMPWGGRGRNDHWTPNAGVMVPPYDHNHYYSGGHYLMQRNQNFGDRGVDVPPHMG RGNAMRGGPHMGGFSAGRPMGGADGGVNQMFGQRNSGGYMGGPALPNRW XP_828719.1 MGSSDGTSLSPTVVLRVQYSLYLFVGIVATMLLRGFLTLLSHLP LIKKGCEYAGKGDANFCVGEVLAYRVSFSLSLFFFLHLLSVSDLTCCIDTESRVEFQR RFFFAKTILLGLLFLATMWVPNTFFAYYAYTCVFASGLFLLINVVFLIDFSYQWTEEW GERMEQNSKWLWYLLIVAVLSYIAGIAIAAMSFVVFVPNVNCNYNAFAILSVLISAVV YTVLSIYLPHGSIVSSGIVFAYTAGVMFVTLRMGDDANCNTIAIPPNEAGSLKQIIIG SIVSGFTLVYSVVSTGGSSKGFGHVGDDDVEEDPEESGHLSSYMFFYTVMMLGSMYLA MLSTGWHVSGMGEDKMKSSINIAYWVRSGTVWSAVLLYLWSLLAPYYCCRDRDFGIAV DDW XP_828720.1 MSLVGDVLQRVRVHAAQRRLRLNDFFTDFDKLNSGRITAGQLRR ALAVNNIPVSDEEFDAITDAFAAPYTHGGSGEVTPRGAGSLVSYTNFLQALQAEEPPP ELLTTLKRKPNSLSDAEEAQLRAAMQSIRDISRVRGLQLRKCFEDFDHFRSGKVSASV FRRCIPFEGLREEVIKLFIKKYKNEDGDVLYSAWCNDIEDKPQEMSQHSPTAAPLKNF LRSRSGFHTVDGLLRMLREQFSMYHLRCDDYLRDYDHFKTGFVTAPQFESALGQLRLV DAKLTAENIAMLTRAYADESTLVEKPGMDENPFVRVNYVQFLADTNPRHTEADGGSAN YLAQTRAPGQFIDATNQQEQQQTEAVLRKVRQIIRSNRIHLVPTMHDFDRARKGIYEH RTCTASRFIRSLATHKIFLKPEEIELLVRRYSIRAPDGGPADEVNYFQFVMDVDEVKW DTGASRILNSSAMAELQKSVELSRPLPADTVVNVLVKIAMQAEERHLRVSEFFFDFDP LRGGTVQTDKFIVALGIAGVKLHPSEADLLKKEYASTKVRDHVDTNRFIADIGQVAPS AVPSVSTRYDFTASRSAKGEAAITLKETIQNPDDKLTAAELEELGRLRARLSHDVSSH QALLLPFFADFDRFHRAKITRTNFQQGLARHRFALTAAEIDLLSRYYAAADDKESIEY RRFVGDIGLGELAVGEFQKTINGNGATFTASLPASTCAGSTQRNVGDEEKFLDEVLLK ICYFLQERKPRLAEFFPDGDELRHRHVTNSRFRHCLSILGIELTEEELRVLEISFAHP EMENHVDYPTFLAVVTHMLQNITRRMGPQQRNDSGSATTFAAYAAAGNSENLPHIAAA PPAEKATEEGDKSFHASVMDRVRRTLASRRSVSLPAFRQYDRARKGFVKEGQFFATLM SLGVQLSPAQADVLRKTYSIGGGEMGYVHFSAAVDDPRFE XP_828721.1 MSLKDCGVMSQWEGEWPWLRHSVSSVRKYVWAAAAYLGPALNVS GHVATAKLYAEQADRFVAVPVSAVGETVRTKQWVIPSLGIGAASAFITAKSASWGTYR AMRNGGVTAVALTVLLFPREIISWADASLPFRVQHPKADIEDD XP_828722.1 MEIAIVAAHNLKALADLGGLSATVSQPTKCLEETPSGLLPMRVR SSSVSGYKRCRLVARFLSRSTENGCSLPSQNFNSTGGGNRCGMANLPLVCLLPLGRDP RENSGVIQFEGELLGSFLSRRHCVVQLAGREPLRGSPDAGLWCALSVRDCGSKNGTFV NGKRLATGDTSPSVLFDPSSGTSWKQPLLTIEFGPGSKLGAEESLSSDQLLLRFHVFA RCLCEGEHIQRIQQFLCCLPTAMDYLPTPPFVSSPCSLAESANTEAKGEGEASSMGSP AVAPLKDNGDVNTRAVGSAERASNTDKPVVNIHCSPSGTSGKVTRSQSPIVIKRRRVG GGSLASSQRARFDGNSAACSGEGGRSRGMKGGGERALPSRTPSHGEAPDALKRDSVKR EPTRTSLEGWDDIFLSADVNEQDVVLPRSGTARSGQLVPDNGSCGIADFFFPFELPGL TAVKKGIKRKRAAGR XP_828723.1 MSANAWRPLNAASKHSQFSMDLFDQWRAEQREAIADRGSDPDPA VEEAHNNFMLRQNLNAYICYKQLDEYTACLEKHNLIEHGDDRREINTRNSINEKKCRA THNAYVGCMGSRLNHETLLQNAALHSSCASHHVSLMECYNTNREVETSTEVPQCVPFY RRLIRCGLNHLWNDYWRALTNFGEAEDYHLYELSRDENKKQEFLRAITSSVEEQRNYL RTRREQEKGYFLSNPSDQVKGDTKG XP_828724.1 MATIGTYNEEGVNVDLYIPRKCHATNSLITSFDHSAVQIAIANV DPNGVIDGTTTTLCIAGYLRCQGESDHAINHLAIAKGIVRIKTGKKPRAKKTKNLKVA SGARTQQKGGRNAAGQTKGAAQRGAAQKPTGRPQQAQRQGGRPARTEEGNRQRQGQQR QKPQQQQQQRQQQQQQRGGDRRAPQGRSGKAAPQRK XP_828725.1 MATIGTYNEEGVNVDLYIPRKCHATNSLITSFDHSAVQIAIANV DPNGVIDGTTTTLCIAGYLRCQGESDHAINHLAIAKGIVRIKTGKKPRAKKTKNLKVA SGARTQQKGGRNAAGQTKGAAQRGAAQKPTGRPQQAQRQGGRPARTEEGNRQRQGQQR QKPQQQQQQRQQQQQQRGGDRRAPQQSGRRGPRS XP_828726.1 MTTLEKRIEEASGLIYRARAEETPDGNPLVAARNYIAAMEIITN ICKDVSPESDNDKDRFFLFQVRQRMEVYQKRVQLLLSVAAEMGLDDIPEHVGNTVLDS PPHAGDRTGAADFENPAVPSTAPTSVPPPACADGFGENVPPLEYFFSQQPQAPTRPHP EETESVEALLGQFSFDEVKKE XP_828727.1 MFGGSKSDLIMSPPPHPKANMLDLYMTRYDYDYNRDIDPSGQGE KKSNFTVSGEVRNRPIFRAGAQTGGEGTTYRSHYVKHDVEGKDFRDILQSCCRAGEPE TAFVHGRSTHVMGCSTGDGVPPNTTYKTDYVYNGRSIGGEPQLYAGAKATAFSPNLLA IPPTEEELRKMAEVAPKITSIESLAPKPKPSLEGLPANKEDLLASRRPQLTTGGHPTD YYCTSWVYGDKSLVYPSQLPCGLTNSQNGHLIGTIQNKAELLALLAGRKKAAAKATNP MPDTTNPIAIDKAAQPYCGVTRRLENEGHVKMSMYKSNYIDQAVLPELPDAAVQAGNH DPNDESDTTNRRAATTNAGTLTKRMHRLGTLRNSHGYVHKQRALDSDIDLQTWRRMRI IEKRIDVDKADPHRHKLNHRAHQ XP_828728.1 MQLRHELKFAGYGADKSTVLLGDNTSVTHCLQPIWKGEKVKICV DGVNGNDGSSAESDFVESECSESYVSSASSCSYRGGSPYESNFSGCTSSPRIGRSNLQ GCREDDAVAGVGTHDGQDMTSVSFPVHGTLLSIRDPALTGGLLFQLHLADKEHTPYAE ACRTAVVLGYSEQGEVFLLPVPSPCMTKKPSYYQSTPLLQLSLSELREYYSWGDNQLL EPTCDDVQSWELPHLGGFMVRPAFEHMWLATVFAVASDRLYYLSAWSAFVQFVGVAWG LPWVRKFPVNIPEGDPIVSFVAPLVGCNCGRSLREVYGLRELRRREQQGSTDVGTVPV PPGPVANADSSGWREFLRELQEVVGDAVADWSQLPAAAEHCDSKETTPPTSAAVTAAE RLREDDGQLTSSYVVGKRSGDGGLLSEASVGVVPAVESMLRSFGTEVQQDIGRNISKD TGLPSPFLFTLFLKAVAYWKSNLLTEEQQSSQPLAFIDYYGTNPHERFVEAIDLLRVH QSKFLSETRPNARSSGNYCDQCVGPWTVQRIVRMLAEPAVTN XP_828729.1 MLSRAPRPNNRLIVVCSCIKNVSGWPFWKFQQMRKVKGVTDLCM LAFNSSGGSFEASITGSDYTLKNYENVVGYRQDMLEDFLQRCHDPGRSYFVYGGHGMG DYLELEENKLALQCHELASILGKRKFEAMVFDSCFMASLECAYQLRHNTRYIGACEGY VWAPDPNLDQHVFNQYSASAMSRFKHPKNILLAIQRDYCNKSPLADFAVLDTTHVESL KKYVEEHVMQRVYDRATFYNSEQQQRLSSIAQKELQNAYEDIKCGAKMLAAAPLTAQA PLCTALRRDSGDLIPKKKKREPARLALLRAAHFEHALYPSEVDDKHILDLKSYLIDMA REEEEGALVLPKGSELISTSGACGALKGPPPRTGVVEVHGSLPPRETHNSARYGRDSR HKGLDLFHRVVISHRQPRRKSIYASHLGGLSFPVLEYSPLSKPLRDWEGMDKKELLRK AREFLRKGVVEGVQMSESGASECGVRGGSSSITENSDSVASSMVSPQNVKLGIAPSAL MRASLTTPSSGAPGQTVSSENG XP_828730.1 MPTAVRQDSGSSGGPVTAATSQTLTEAVAITEDYVRMLRHTIKQ HDAEKLALIERIDSCLKPEQAEAQRLRCEAAQRVEELGKVQRELSETRSMLQEERERA NRLMYENVALHAQAGDDRATIAALMKQTAAARSGAQKLPNPTASTSPTRRTTSKRRSG QQTISLRQACATQQHDTTGDEDPYTSNSANELSSPSSALVAALREEVEMLKSLLDAQR AMHETERASRLQEERELERRRTEMTGTHLASIERLHGLHQASLADLIQTRHDMRIENR ELRGTVERLQVALSDALATLKEERQKHTVDLQRQRQIADENAKVVTERLRRQLQERRA LLITERERYGALLVQRGAELTRLREERTKDKKRLRELEKWRRLEVEGVNSEVNLMRQE LRAMQRRMWFSS XP_828731.1 MSVTEGDERATEVGPEPKLVISEPKRFAILVVGVFGCVCVSLTF GFNIFSGDLQERYHFTQAEMTTISTVGLVLSYFGLPYAFVYDYFGVFPVLVMGFVMMA TGLLFMALTFGGTITASVVLLCVFNGIFNFASGLYDLACVVTTLTQFPTAKGWIVAVM KTFIGLGSALLGAIQLAFFEDDPTNYFYFLLAFGAVVGIVVMLVMRSAPYIITDYMLK HLTEEEITRREATKAVYLRQEPPTLRFAIGLLIITVLIIVLPLQSALIAYTDVSPFNR KASTIVFVVIWLLYPIVCLPAKCLDKSWRFWRKESQVSAGSIEDQRRDDGSSEGEIDE LDYIPPQYQTRFIDSVKTLRLWALFWSLFCTLGAEFVVLINTRFLFAALAGKEIDDSL NTLLTVLNGTGSAAGRLIMSYLEIWSQKRKAEDRIPITVTLFIPTAAITIMLVLFLTV SNEYVLPFAFVVGAIGNGIIASVTILVVNTIYAKDLGLHYNYCFVATACSTILYNRLL YGEWYTYEANKLGVEVCLERVCVQMPLLVMLGLNLTAFGTNTYVHCEYLKLVRSALEG RRVAAESLPKKPEGANDINSIRLELPAETSAAPYGSRDRRGTE XP_828732.1 MEEIIELCALCTSEEGHMDFVLGSVLTFMLQRTAQVALPRMSAL ERGSLTLRLISAGGLQNETCRHFVFEKLCPPYNAALLLRLSHELYLRPTERMTAFSRP NSSESPTRLKHSLPLFSLVRGAKDASRSQPPVDFRSTDVSELLSALLDSWNPAHPTSL SLGELPPGLATFLAFQYPLRILVMLQKDVVEPFVPASWRNTWHQLCFEGSGRGSVDSL HNLLVGGMCEGFWPALRRVLVNPLLGGEKGLKEKEGDGDQFDVLEGTTVLALHAVFRL WLGELRQMWSALGGGVVVSESGGHSAQNPSFCDTLAGGLLLLEQDGGLEGCETVALCA PHALLLVLYDGYCLASQVVRHALDHPNVALLGPSFADLESDGGPLLGELGVKSGSAFF AALALRLPVSQLYIAGRVWQLLETIVSNKARGKENDEHVAVLRSRLQAMLSSFVYSNL KLGTTRSSELSVGGATPVTQSPSSAAGLRADETPRATLRLKRLRVTEPSSQPGTTTGG DGGGGLNASHAVPGGQDIASAVPLLCETLIEFALSELSQPVIPAQHHSSAAAVRASGN EESLGGTRLVLLTPPHIKGVLNLLSPTSSQLPSFCLTPVCDVSCVLMSVLLYRRCATL HQWLLNEKRAEVRATVATRLTRRTRQWGRFLAPLGLFRYVPVADVLLPQCLQAMEGKS TSMATDLMPATDAAVVEFCGAVRVCIVQHTR XP_828733.1 MPTLPKAEAKELSAFVQSCVEYKTNVCFTDVTAYESNQKGVLSS GLAVLVGTHKQLRDPAVQRLPFYNPAVAEAIERVKEGGTYGVLVEGLANAAGSKFVRV VVGEVPTKASRNNCPARPDVVTALVTAALDEVKEPNATVDVFVLSNAVLPIAAAVARC GKHNFSAKDGAAAAAYNSGKVSRLQVVFPEPPAIPPKDLEAVATSTQLCQRLVDAPPN LLTTATFTEIAQGYAKALGFDVDVICGDDLCERGYGGIYSVGKAAFEAPRLVTLLYTP KGTPVKKVSLVGKGIVYDCGGLALKPADYMKLMKHDMGGAAAVFCGFLTAVRLQQPVQ LSCTLCLAENAIGPKSYRNDDIIVMKSGKTVEVINTDAEGRIVLGDGVFHATNELSFT PDVVIDMATLTGAQGIATGRHHAGLYVNEEGAEAAMLRAGRESGETCFPVLYCPEYHE PEFKSNHADMTNLMERRDNAGVSCAGYFITTHLSPKFTGAHIHVDLAYPVFNSNGATG FGPALLTEYFRKL XP_828734.1 MDPRNPALTEHSLDNAAGQPCDGWISHGVWLSGPPSESITDRML TGPSDMRNDNAAPGMRRAPPHLFISTARQPGCDEVCHNMLQDGSDPLSMKTRGYSPLM ESNVHSVPPSFGGSSASSPTKSSMTYSPYAGAMTASPFLSISTPVISPGVSEGNGVSF QRSTPPSLPVGLSTFVASQGNSNFVMVTQPNTPHTLYVQGSCPAPGGVPHYVVAQPQL SMDVCGGMTYVPMTPAQQTFGTVMGDQLTAVTPGPNSAAPFSPTVTCFPVSVSSSSPL GNGLLQPSTPMNSSPLAAACAAAGVGSPPSYDSHQVQQSGRKAAAGHQRSSVNVHGTL QGFCLYHSYNISNTISRYGQTDTVSKEDAGRTLLVFFQMFPCELRQRAVVVLNRVLEV VCGDGMAVAESVEWRSETSFIARVRTKDIWTLIYQVRCRVLMDRHGFWYAADREQYSH LRKYCERVRNLPQQTRHSETDGLPCMPLIVELSRGELNPPPRPPTVPDSFDCSEPMMT VDRRSRTAVPTAAEFGRCGISA XP_828735.1 MSSLFFSVLPCLPLFFTTAPFIGPNQEVIRMSEQNRDAAAELLE KYFDDNYLFEQTNSFFREKVSPRNTGDLKEPPTITCAAFLRYANCPVDEKNVAAVFAA AATSSVVEAVEGEGGVRSLRRRKFLDPKADPALRSVVVWPLHRASTVEEVTNFFKDYG TVQSAAALPRSPGDTQMNSSFVVRFATAEEAVRCTKAVITLGKAPTALAQHFLPTRLR VVMLEDHHNKMVEKSRAESDAQLLHNVVQAQKALAELQDPAVRRSLGKGATLKVEGVP HGTSWATIKMKLGNLSLTNHALKKGITLVKVEDSDPASPAAPHRAFVVCRNAATANEL LASYNLADGEFGRELRSVCPTLLPLTSEEEEYARRNFPEWCKRRVEAKQVHNNKRHRS AD XP_828736.1 MQEGKSIKPNGLVRCSLHGLLRFSTHCNALPVFDADQVVTGYIY QCKDGGRCMVEDTSADASARRQTPRERAESRSEAAPKKLVIDGEPSDTKPAMVSDADG AGSRQQACKSETQRGPSRYYDLATQRAVGPIKKVCWVCGMEGHEKPDCHNSLCKTCHS VRRHHHICQEVQTSPFVTICSGDTRSKEMLAVQCTSCSGFGHFDCSPRLEPSFPSCCF CGEEGHNVFNCESRARTVADPWVNAALALERSGVPSRRRDRGADSTGSYASPSRSWGR HDYRGRGDGRSYPRDGVYNEIVNPYRHHEREYHAGHSGSYHNPPRYASRDGSWRRREN QNDGGSANASYDYRGDRMHESDDRNHSHSHRHHNTNYATEHMPQREYMDSRGSRQRVP VLDCRYQPRSGNSNRTKNYTDDDEYYDKFF XP_828737.1 MKSFCRLGKVCGCSVSVVFSHRVFALGPRRDYSTSEVPLGSSQV PKGDPRKEQKGGNMSEVYLFHPAQYESAPATTRPNVLHYPAESTNPEFKANTERMKAL TAELRRRVQVIVDGDSEADKRARDRHISRGKLLVHQRIEKLVDPMSPFLELSQLAGGD LYPGEACHRGGILTGIGVVHGMRVMIVANDATVKGGTYYPITVKKHLRAQRIAEENRL PCIYLVDSGGANLGMQGDVFPDEQHFGRIFFNQANMSAKGIAQIATVMGSCTAGGAYV PAMSDESIIVKGNGTIFLGGPPLVFAATGEEVTPEELGGADVHCRASGVTDYFATDDL HALYLTRRIVANLNRNDCERPCRGREFTPPLYDPSEIGGFIPDMGADVVKGFDVRAVI ARLVDGSEFDEFKKLYGDTLVCGFARFEGMLVGIVANNGILYSESALKGAHFVELCSH RNIPLLFLQNITGFMVGKTYEEGGIAKNGAKLVTAVSTTHVPKITIIIGGSYGAGNYG MCGRAFGPRFLFMWPNARISVMGGNQAATVLALTNSKLRENEVQDFKAKVRSKYEYEG SCYYSTARLWDDGVIAPEDTRAVVVQALLSTLSAPCGETKFGVFRM XP_828738.1 MTTSQLPKYTWEEIRKHNHDKDCWVVLYRRVLDVTKFLNEHPGG LDTINDLGGYDITNSFESIGHSSSALALSKEFIIGELDPSSAPPPVRVRKLGDDVPLT KVKGGGGVLSVYHIVGLFLLILLLLCYIFAT XP_828739.1 MVKPAVFPPVGGGNPEPVDGVGAGFRGPETVMPLLTAGAGRVRE RRFQRQFGFWFVALAVAAPWWVLRSRAGNRQSTGDDVRDLFASTCATTGGVGYILYAG GSAVLIICGPRVFSSAFMEGDTLMLFADAIFLTNWPQFSMRRKNIHVTCVYVCVCVCV CGSSFSPVLMVAYVTVRKLRKVLLLPASDAIQGKNRGHYRKFFVFGRERACVATLKDF KRVLSLWKKSVVTKPPGCL XP_828740.1 MLRYVKRIVPAHPRSVLAVTFSLRAMSTKAQKAGNSETHLYRII SQRLNIPQGSACYVVEQTKKGSTVPFLARYRRDETGYLDETTIRQLLDMAEELREVQR RREFMLKSLEKRNLLTRELQETFEKLVHLNQLEDAWEPFKEKKTGLAHRGREAGLEPL AKKLLYTTDPIDDVQQRLRCVDDGEKLLRAIVVEEVQRCDEVRQIMLTECRRSGTISC ALAGEPGGKSANSDSFEKNKKHFEWYGGKVWPVQRISAHHFLALQRGESEGVLKVKMA ESNRDKDVFFAWARRKFYGARRPTSSVAGELLQKCLEEACKQVVRRTHATVRRDLKKS AIKESLAVFAHNLRHILLQRPMRDARILAMDPGFKMGVKCVALDENGGVIACFCCTLS KKDEMKEYIGGSVGKMNLNKIVIGNGTASGDVADVVAATIKEKNLSVEYAIVSEAGAS VYSVSDVAKEEFPTLDPMYRGAVSIGRRVIDPLSELVKVPVRSMGIGMYQHDISEVEL TRSLNRVVESCVASVGVNAMVANRYVMEKIPGVTKRMVDQIVLARHAKKLMSREDLRR VPGMTETVYKQIAGFFRFPNSTNPLDGSNIHPESYPIVQRLIELFKGQQRIDIGRKLL DMKENELKELTERLGCGKATLELLAHELATPALDPRSELPFAGHFRRAPRAADIKCGD KLAGVVRCVTTFGAFVDVGLHDDVLVHDVDIDAVCAGSFLDDIVVQGVDRLGRIQVKC GGQTNSRGVAGARGHAQGLAIESEDIVSLGAAKPEDGQMLSSCLAEVRKERRQLFASD PSSADKRPAPNNEPPVHAGETAEGRKRKREGGSEEDGAVPTKRAKVSKERPAASTARR SKSKEKGKTPRAAKKAAVGSRQPPGAEEEVRRVAAASVGGATPVTSFQTPTERRKRKV EMKPASQPSDQTGDAQSVFVF XP_828741.1 MTQKNVLCHSCALRMSIMHQVPPPQPPVQTRSDHCGNGRLLDET YHPRFSIVYETAESALRYFRKAKDNGESTTAADERHSLCCACLGLYQFLDAVHAPVVA ATIRTSRFIDSSRLSVNVSVHRSVSFLWLAVAAIFHGVSGRENEKPNPTALVPEEHAN FKDFYMSDLRARVLQYLVREHSELSKVKTLVGYKAYMQEITRRAGSDGGVTEPQVQQA FVYSPDNEGLVADIAAEHHQLKNLTNGTAVPLQYCSNRSEGVLTYSVIYDYVFPYLNA TGCWTGDAADVGRSGNFLICEPATLACSLQHSNIMLIGNYRKTRRDLSQSPWFVNGKR VGSYSLQEAIADPVLPFFFPEGVTSLPWEGPMKDAACQSVKRPRREVLEADQQHPGVE KLNPHRTASERVFGYGRYKFHSAGREDVDVRMLGSGRPFVLEVISPSRERASPEDLAS LEAVVNTSEEGSVEISELRITDAGILLRMAHHSQSKVKRYRCVVWCSRSIPEPEKDRH FQATNAVCDLVVEQRTPVRVLHRRSLQSRNRVIHRIQLVPLNAHWFLMDLETQAGTYV KEFVHGDMGRTVPHLGQLLNGRTDIIQLDVLGMTADGL XP_828742.1 MAGDGFVDEHQYFEHPRYHIFRQRQETASPIESSADVEGQTNDG EQQFILDKGAPKRSLSVPMLMGLMYAYTTSGAYAIEETVLGGGPLLGIISIVLVPLLM AAPTTIVVAELATAIPSNAAFLMWYNVSFHRVVYFAMVLLTFLLIFIDNALYTVLISE YVCTAVPCSDTISKLLRLGMVLVTYTLNMVGVQAVGKLSIALSIVTVAPFLTLFSMHM IKSNFYLNWPAISYIPPSIDWATFITTTSWNLCGLEQAATVIEQTKAPRRTFIRALAP LLGLAYLTYIPPILTGASIREGLPDLSQWVTGFWSDVAFSVGGVPLRVFMVVASALSA HALLLSSFCTTTQIIAGVAYTEAFPGPINRVLYKRNKRFGTYHWTLTLNAVLSALFGV FLEFGPLVKVDQVLYGLRVLMIFIAFLVIRHRHPHLKRPFRAPFEGKLLYLLIIPMIL FAGLIVLGMVESTQSVIVNLSVLGVVMVISLVYCQLVRKEDFYGRIVTGTLSEDEKQ XP_828743.1 MERDDGATGFVELERRLQEVKARLLELPQACAEPPEGVCELPQE RVDAHPTGAEEGAQDEEESLFPLFKVEEVKVTTPSETPETSVAAFEFRDESQLVVVKH QFKLLGKGANSVVYLGTISRPTDGWKEHHVAVKATRLQLTRAEEIDRLIAIVRLWRSI AHPGFVRCYYTGLFPANGTKDGRIDMYAALELASGGTLDALLKRRERLNDSVVRTVLL DVLRTLQYMHDVVGAVHNDVKPQNILLFGGAGAGEVRYKLSDVDCMCPCLRCLEGSGL EEWKTVKKEGVGRREGIYGTAPYMSPESCRGIPFLYTNDIWSVGVLTYQLSTGRLPWT PLELQIPSMILHGYRQSSSNSFGPVLDEFDQGSGQSYSDKLKDFVTLCLLKNSTERPT AAELLQHPFLSGANA XP_828744.1 MVSRSGLRFSGFDVKALYPHGVPKRTFPYREAPKQISTAPTAGG FYLTKHALGWPFQIPFEWLFYRAPIFTFVACVVYDLFFGIPLPLMKEVPPGTPKHFFY NNSGGTPHHFWQHQEGWKVPNMSGARRWVD XP_828745.1 MSPFYFGCLSHLTRNYTMAKRSKSSKERRARRKERKLLEARIKS SHEKCDEGLSLLEPTGRNAQPNYVKAMVALEAAIEIYEENTVAYFLLGECLRGQEEYE KAIERYSQCLEKDPLNIRAMEARAASYVASSNWSSAFVDYSSIINVEPDNDHAYNHRG LCILSTRVPGLRLLSSEFNQCVNDFKTAIRLNEANYYAWANLGRAYEEQGLLKEALQA YSSALRVKEEYHYAQLRRACLALRIVESSWKYDDEESSQPAATKRCDGASVVLPALKS LDDVKSEVLGELAAVDQRAYEEELLKAAIVDFQSLMAEGTDKLKYDPWLPLNLGSCFL LQKDINRAEEEFRLTSEIINARPQLVACGEAEPIQCAESLQAVLNLRVEVLKAIRGRR FLADRH XP_828746.1 MLNANARSFVPQAAGDHGAPHRSNFYRYLLMLPTIRKYDATKES EETSGLFQLLTKRLLCSYSHGGPGDDALQVFTTTFFSNVKNAGYCSGTEAPTGILLSR SILSLTGTVSDICAISAAPGFLVRVEVPKECGAEVAPQLKAAWDDYFWTLREDPRVLA VGYVGSFCGTSSSRRYAGTHRHYFFVSIIIPQPEDVHFFQTALLGINFHPLRITEVNA VASFACSMQVPLTVVVSIGDDASKKLLSDGKPDRSFFVSLYPCDVSRTLSSLKEYETH FLSLLKEQNDWPKPTVVHVNINIDYSHHVGTLVCPVFDMLAFVGDLCDDTAASVSGVC VSDTTLRKEFGVTLCTEADGWFLNATLNYVLAAGRSFDMSSTCFGYVPQHFRRSITFA QYEYAHKIAEKWLPVNCVPVPLNRMTFAAAAEEFSKYPYYVRSKTVGANVLLITNSEG DVFCVDVKTGSISALPDYFRGLGGRAKNSVFSAVVASSYRSYLDYVIIVEDILSFEEA DVRELPFPERWFYVEKCLLDNYASRPHTSPNRVAIVRTIYTVSTQAERLLRNPPAGHP TLGLVFVPHVTNCKEKDTSVYSWIPTSSTTAVFIVGDVENVHPESGDVKRAWLLVADS GKNTMSYNNEYVDYIWDSAPELRSGSVIECVLKRSDDGSHWWELLRGRGPDMGYQPDT FDIVERLVHVPGLTHKEMLWLLDAAKYRCGRCHNVSDVGRMNVKHMAYWCKKCWSETG HGDCLYCGRFCVMGKNDTLNQHFYCDNCWGTFSATNLKAEIGYLAPPPENASFATHVL TRCASLLIDIITPKVATNDVLEICCGGYLLRKWIRNKTARYIGFDLKSSVVDAASELI SSLRHEMTEMSFYDVICADVFSANFWSHHLTKIHPRQFHVITAFAGFHHAFGTEYTAM RLIESVANALIPGGVFIGCFFDVEPLFAKGSFGNGVFAIEWAADFLPRVGNHFLLSVQ KGHFKKVNVVPVDFLVAVGRECGLVVVPKACSTFQGILDNDRGFNKTISSGEKDYLLA MRAIAFRKEGNAQAGIVPTQNVDLNRD XP_828747.1 MGKKGTLQRSQQRQVRHTRENRERKSIVAKAVRRGDFAATTERL VKRTTPQRAAVSEEKKALLIAQFAQACRLPAACDDLCSLLLCETSAGEEQAGVSRKRP RDDGKLDEETLSHILDVFISLDRQAATTDEDEGEEPLTLLEDLVQDECGGRVVCALLN ALGAPSCDEKRKLMVLDVVMRLFEENEALHEHYVACKVMSSLVLNGDHEIQRRALHVL CQGAETLDLIQVKLRNRHTAVTIRRLIERFPTETVSWLKDALGLVETSGGGGKKKQGK TNEHKDGKQDVLLYLANDPVASPVMRTFILHCPSRAAVLRGIDVAALLGSKRGCKFLQ EILSHDLTELASNEAAEIFNVVLSACEANIVEMCSSGDANFVVQAAISLIPHTGQKEA VTNFKHLLQLLGPQLSSLVDHPISVHVVVCVVVTANSLPNKAIVEEVAAMLVKRSNVG DLLSDARGSLVVRKLLPLCKVKESKVGELLVSAIERDITALMYDSIGNVMVQEYIKVF GAEKIARSLIKADELLRMCQHVYASHVVVCLFDHVGASTHTALCNALRAHVVTLTRHN NGRFVVEKAIPASRDICDVLLRNFVSLACEKGSQHVLCTLMASLDQQGKGRVVELVLS GLAQMATQQSSSIVLQKLLQMDDLLLQKVREKLKQDPRLRNNLAQNFYGKFVVQISES T XP_828748.1 MFRLVRPRRGLPFEPMALSTFDVFERAATAASPSGSSPQGPPYF VSPKHDGVRIVSYVPPQAESTKSTCFSRFGRPIYGVTWIEEELRLLRWLSGDPRLVLD GELYIHRSHNPRTASSGATQTGFLAVSALVHRLRSAKSACSSEDEVLRYVASLPIMCV FDIVSLQPNERVKLGGDKSGRGIPRIEKERLAILRGVMEANHISDLEMVRVIPNHSVF SQRLKALHFLASLLERARASSTLFPERMSSAVEECSGAEPVREPVPAYQGIGGKYVKL VPYTLITSLEEVRTVYLDKYLSTGYEGAVVRSASNVYEIREKEKKVLQGLLDPLITSL KPSLFALHSRNESRRNGARVTGGTRLLRVHSEGECVVTCDAPGATETDTSDGNKNGAG SDEAADHREIVEKVLRRAKTNGRRSPTAAKLLPFADKEYAILRPLLKPPTADARSRSF LRIPVSSLHESLGVSAPRTPQGRAAMKGNNSDTVIFYGVQCLSDTGRVFNVSLPKMNA ENQQALLRHLLDVTGGRKGGGTPASGRTNKRSLTGLYATVKYQSLTEYGVPRFGQVKG IRGGKGWFV XP_828749.1 MKIDLSHRNINKFDSSAFCSEDEKEILCSIEQLDLSHNNIPSLG SLHSLTALTVLDVSHNNLMSLRPVPTTLRQLDASFNALRDLDGVAQLPRLEVLVVTNN HVTSLLGLPPTLLTLDVSANMLSSFTGVEKCTNLREVQARHNVVRSAEGLVSLRGLRS LKTLALAGNPVASSRRHFLAVQAVLPPSIATIDFPSTAAVLVSTSHSDTPPLRDATHL PGHSTDAHDATAFKSSSSSTAETEVSEEGNREKRVSSHKEEGWECSKEVLAYTMHTQS ALEEVNNLGCRTAYTSVDVSQDTSDGRTSPQPIHSDPTQSVAECYEEDPMEYVLRHLQ SELRCCQMTCESYEKANGELHRRVQALEHTNGKLADENAALLERLQKMQRTLDCVLSP PPGRNNFAGTFDGTRGVGEHGTVPSLSSHEHTSGIFNERAGATRDAVAHAKLNGSPSR SGKTAVGVASTKKAETRQGARSLAKLLMAVVPQPKAGTGPSQHCSVVDRSTNNNKSIN NSSHCYSSTQSPFASDASCLTKSMNVSASGDADFEN XP_828750.1 MLCHFFAQSIRCLRAGLAVPPFRTPVERRWCSNTDNSSTIDTVH PALVCELQEILDRIPDGTWMSVGSLYNDLSLESRRQHVRPHKTLAAALSKAKGLGICL DRSGLRFYKGTPPQETETPERKEEEVKSNSSKVPPPDIEGGYFVQPAGRLVVEGVNEI QPVDFYYDVGLRKYPPPPPDFNVTTSTLPVPKATGSGAVLALSSFVTHIPPFFVPLDD VLGQMPGYTEEHIEMYFKHRASEVVSVHGRKYIRLYGGYAKFPLDGCEVAEERFAAYK PDPKFLQPFITAFGGVTGRWMPLRILLERTGYEVVSLLPFKGPAAIIYFAQMQHVFAF AVDRDGGSVLLRPPGYDSLECETTPTPKSCNYILRLVPQEGQVDISHIEKSIPASVRE EVELYYGSLMKFFSFHAAVFSLSANGDVVMRRRFKDRLDKEHLSLEEQLEIAIQERNK KKIRSLRRRIAFRNDPANPFHDPDNLARELHRYLPKKGHVPLKTFLKKSVPEELLNYL PRRFWSFFNNYPQYFQHFEYQIAGQWCVSRPGQPLPRGVIRQDFSEEDLVRLIAEYLQ QRGPKACSNIILHLPRGAQEAVRKRYGGLFYLVQSFPRYFNVVLPATTGNVRSMAMVH LVELPGSDFDATKKQYNSCDDTVLGDEEEDGDEDD XP_828751.1 MEERVSCVTENTPEPTEAATSTVIAVCGCGNDGRLGIGISDTQY KVVLIPFFLGSADSDGRPLGNIRTVRVGGYHNFVITTEGVYGWGLNENGQLGMGRGSP ACVSVPTRIPFFDGKNTKDIVCGAYHTFAWTEDGLYACGKNEDGQLGLPCDDGLFSFT LVLSALQVNGDASNTADQCGGREDAAGAYDLLKRGQLTHVSCGTHHTLLALRDATLAT GEADDSVAQHRSHPLLVLAAGKGDFGELGYDGDMWSILQAKAKTMQSALQNAARKTQG VDPMETEASACIDDKERQWKPKKQRRAAFSSERFQPVGLPVLLECLSTQAGTGSLEVV DLQAMHLHSAVTVRCKSAPGDGSCDTDHVRTFHWGCYYCNEVEDDASSVPREEAEAVT LHAGNEIMFRYHKAPQLLTEIEVMGSGLIGLGEEDSFSKTWVPLPLPSDAEPVNCVHN IVGREHFLIQLDNTTVVGFGDNMHGQLGAGKTKDSLILPTVILRTGSVLMCPAGVSGV DLTVRWKVERVNDTLCGVRHSLFVLEVSSFIVNTFANGPWRKWKNVDPATLC XP_828752.1 MVKKREPTSRVTSRVHKTPSPSPRAVDDSGKQKPDLSTTPPPSP GEGGNGDEVLTSSQTNATDLRDELIWSDRESDDEVFLFPDAQELVEPQGGPDCDVIRI GATQKLDESDSSEDEATLNRVGDIPLEWYKDEAHYGYDVEGRKLMKSERSALERLLEA TDDPNAMRTIYDALHDEKKVLSNADLQMIFNLQRNRTPNPNYNMYEDVAVDTVEFDPL NHPLARSAGPSKRKFLPSDRDMKTIAKMVRRMMKEDKEKENKPKDETESETTILWDDG KVVMDTHTHFKYHNRVPKPKAAPPGTYESYRPPPEYLPSERAKERFARLRAIDKKEHF LPRAFDALRHVPFYNHTIQDRYQRCLDLAFFPRAQRTRLVVDPSKLLPELPDPRDLRP YPERLSFQYKGHTATVRSVAVNPNGQYLATACDDHLVRVYEVMTGRLMKRYDMGAPVQ QVEFCPVKTLNILAVAVEYSLVFIVPRFAAHELVNENTLRHLRAPGTAVNNTEVEQVV GGEVSLGGGGITQAALDKDETAHSILQDLHDVEERDKRAEFFDASAKERRAGIVLKIA LHAKVKRFTFHARGDYLCALCPKDHVKYRQTVMLQLSKRKVFCPFRKFSEVVTDCKFH PREPLFFLSTTNSVRMYNLLAHKLQRRYKAPGGITTCLSIHTEGDNFLVGDTTSHTQW YDCDFSDKPYKRMRSHKGVVNAVAFHTNTNAYPLFASGAADGQVHVFHGMVYDDYNKN ALLVPVKILKHRRAVYSVAWHPTLPWIFTSTEDGVVSAWTE XP_828753.1 MAVATSPNGAAMNRQLPEDPSVSTLLASSLSTTGSRPCSGQRQQ SYRTVKPQKLDTTSPPVREKTRTPTSGESRLHNAHVLHVLGSSSTSPPEGVDFWHGSS SPDARENPGAGATPSRSTSVDAIRFTPKENRNGKRLTVSAFSNGSGITSSESTAKRDW ARRLQRCLLDREKEVREFRCRAEMREREVAIAEEEIKRLHGVISLQKHRIDELTHSLV QAEMTSSVAGVITASSHSKRSGGQRWLVSNGRSEAVGEVLERASGRGVAEALDGLSVS EVKSAVRSAVSGLARMEEQLRTQKALNRSLREENDALRRQLALSSKGGHDSNGRCETR SCTEEVHEMAMAFLRGPFRRFLSESEQLKIRMQECPQEGMRTRGQSSSTSRGS XP_828754.1 MRNMMEPVIARPRKQVATAVLISETLSSTLHVREESMGGAAGTG HISEDGGSKVGVLRADAPLSVNPSRRRCSGSMARPRLDAGTLGSPFAKSTKKTIRELI DMSGTSVKEEVVEKQDKTVIIQHSTIRKPVGIDMRGGSISTPKKINVSPKPISPIRRG WHSAGSAGKVGERTPCETTRERDSSASRSPVHRRSAGSAMSRLESNVSTPNSSKNSTV SRGAIGAFGPFSPLSSLRLTDLERPGTRSTPLNSLTKTPGSRRARPQYKIVNLALCKY PLLRIIAQENGFKIQELEDDLERNNFNIVWSDTVLPLTRLVRLANWQRTNHFPSMYLL CRKGHLGITLGRMRKVMPSHYIFYPRTWSLRSERHQFARFLMALRSKKLSKFFIMKPN SGCQGRGIMITRDPLNAVEDLDNYIVQEYITRPLLLEGRKFDLRVYVLLTSIRAPSIF LFNDGLVRQCAELYERPTDANVKNTCKHLTNYAVNKHNPEYVFNDDPANCNVGNKRNF KFFNEWLESCGKSVEQFWARVAHVICKTILVAQPQIANVYNSCFPRHNSGYSCFEVLG FDILVDNKMKPWLMEVNHTPSLVTDTPLDYEVKHALISEVWDILDVKVTDRKRDERKE RDEFIQRMMRPPVNTANTHTTTAQKRQNSGDTANGVATNGSSSNANQASNATGAGSSS TQDGMTEWEKTVEGRRAAEDSRLRNFRRIYPSDNSDWQALYDMILAQARALCSGPLVP GADDRLRRVDTTSVAGSLQPSQSGGSTAERSGRGGAPEAIGEGSVQSAAGVLRLGQRQ RPQEASPSYRKTRRVTGTTTPTPTPGAQVQKTPLELNQGTTVPQAPLLRPPQLPPSME LANVVVHQKERRPTLMNRVCLPDSTLAEKVVTVTTGRESDGHGRGATADLGVGLRKTH VVSARILSPVGKASPGLITTSPPESPTADRIEALRSLQKRLDQEAECEISTTQENAER EDSFHLDE XP_828755.1 MTFVVMCDGGPASLKAVSWASVPGYMMRQHEGEQLILLHIWERC GLPSPLQFDSPESVPQPPEEAGTAKRLPPSIAIHKTLETIMGSKATRDTLNYKLETMA LQETPLNTPRSIRASMEISHGADPPRPGSRQRLSTRGGMSGKRDAEHHQQAAPEQTTE ELEAAARELAEEVERRRAGIIAQYAEDRLKHHNAGALLLGAGNTTENKYISVGHVSRA VLSKIRQTYPLWFIKGNGTTLRLNTTALRHVVVLLPRPGEEGALIRDCSVVQYALGRC REGSGDVVCAVVIAEGCTPPEEIELYTQTLERLLQGQEGSINAESLISPKQEQQAPKG NEEQPQNQEAQPMAESMVPSTTEGAADANPTTATEGPAVTQEQDAHQEDEWPKVSVCQ LHATKQVTEPTATRVPIQVVKFLQQRKTDVIVIASTVVEELQLALLGMTKQHVLVVPT PEPATLVSRTEPTPDPSKETSIL XP_828756.1 MFRKKAHSTLVSSVVDAVKKVNDADEPLRHVLRSYREASEPMSD LDECLEGRDGSDNKEKSSEGTTQRATSSAARSPAILTYKGFAASLTCPKKFHLLQNHT DLTSDISIADAVHLDDGAAFNELARRWDRLQFGSRAVVVQEQNFEDAVRRSEEILLKY FQTVYAQLGDQAPALTIHRPAFATPFGGQSRGSQEQQKVQQGGKKGAADIILRARPAV LRFRPKDNQWVILETASALDPAGNPVRLAQYLQRLHFTTVAFRYWITQPHIPIGIRKR FLDIDLDTVTEKNLKGHRLETAAEVAVPLDLKRSGLLHIRQFFPGPVTLMDCDPTRLV KYVQRLSLEDMIDADINNSQRSGSAFGTGEFPSSDEQDITKLQAEVEAMQERGHGRQQ WAGSTSNRTAKKREVELRKLFAKQQKLVEALISTRTLRLVEMGREPANAQKWRAFASD TDAVLKATFVDEGESGMLVDDQEDKGKKGKAAKAKQGPTATGKGGGRHCATQIPCVPT YSEFIGAHCSRGDVCPFFAEGLCLPNKFDEPIRQRDNHLFTVPSTAVSRKTTWWLQGL RTVQDVLYQYKKGAVNLTAPQLRYVKAITAGKVFVNPKEIEDFFSRIRYPAFLIDFEA TQFALPPFEKVVAFQPLPFQFSLDVFQEDVLNETPTHYDFLHFGKGYSPNEDPRRACI AELMHIVRKERAKKRDAMTASGELARLERLKKQLEEEEFAAVTNARRGRRATKARGPN ITKNNPLHEPVNFYDGCFIAHFASFEKSCLEKLGQLVDEYKEEIKQFYFLDTLDLFKR GFVHPNAHGSNSLKKVLPGLCPDFKYGVFGGDAAGDVVDAGEGEGSGGGGRQDEQKGE NAMGVYRLWYHHEGGGSLRDLQQTVMQDERRRGIYEGKNKLDTMVQAARPDVRDKAWA MLRIQLLEYCSLDTKALYEIMRQVWLEKESAKGLKPDKGGWVMTDPLPREYRL XP_828757.1 MDTSEEATDKANGSRRPSAEEQLRDLQEKTNLWKQSVAQQLSEA TKKNKQLRDELKQVNANHGSEMGALRVQLEKEFRERTSLKDEELQNVQTQLMELRKEK RSMVEQHERDLEQAIARVRETCAVEHTMRTDAETQQHEAELHKLRDAMELKEKELALS EHEVCVMKTRLERLAEQYRELSALMTQNTTQLESGGDAANKEKVLENALKASDAAHQQ QLETVRSEMSNLEERHAAKLREMQRAHELEQQRCMNEVYQREEQLATLQTLLHQAQHE AEAAQRRISNVRLEREQMEQQLTERVAGLSRELASRMEYAQQLAAEVNSLQRELGRQE GLVRSLEEEANMREEAFQTLLLSEENRALVMGLQEALQKSRDDAEAWARKYEEAVQQT KSTCAVPIGYPCDGNDGDERKFRELAQREKALEEENLRLQTKAKLLRAMELRLEELKR SMASQASTILEHHNSGNRGSRMGDSRYGEERKGSRSASPLRRMQLMWNQHSSVSGRLS RCAFELSRCRLPQCMLLFAFCVALLLIIFVVRSFSL XP_828758.1 MPAPVYRFALLAIRQMSKPMVSRTVKKAQHGASITRTVCLRLGH LSAAISSVMARWHVEEKHKPSPVNSSKQDSATGEGSTSTFGKSKEDRAVTVAPRVRSL LGSTPSSRGGRSRSGRIFFSSRPMRTVWQTFREGYSASLSEEHLVAAGAELLIELLVY FILAFVLYAEITSSAKASEAKERRLLQRIDALEQKVNELVEANKHMDLAALEVAVHVE EPRGLRWVCHKFNDVAKKALGT XP_828759.1 MWIGIADFFFFYHTLLMLLPNTKARAHTHTHIYIYIYKYIHKHI QAHARVIDPLIQCSIAGTVIPPVCYICSPLFRVSLVFLQFFLLFFFFFFFPPLPLRQT DVHACKPAHPPNLSTCFFSFLFSFLFTAVVVSFFFFFWLFRFFPFFIIFVIIYSCPSV LFPFRFLPSPCTRNLLCPRIWW XP_828760.1 MAGFQIPEKREQLTVMARIAEQCERYDEILVCMKRVVKLNPVLS SEERNLLSVAYKNVIGARRACWRSISALEQKEDLKKEKNVTLIKAFKRQIEKELSDIC IDILELIEKHLLPNAETDETKVYYLKMKGDYHRYYAEIETNTEEQKDKALEAYTQAMQ YNASLKPTSPIRLGLALNFSVFYYEILKSPDRGCQLAREAFEEALSDPDVLDEEQHKE AALIMQLLRDNLALWTEDAHPEGRDDGTAMEELE XP_828761.1 MIRHPFIIIFFCFPLHLFVFSPLSSYISCLHTFFSWLFFFPFTI SFVFCCFCCLLLFFLSLLCFLFFEVFFSFFPLFFLKFLHIMGIFGFYLCFLFFFIIFI ITLNFVYYCFSFVCFVTFCCSHYYHCTQPSRVVVVVSFFFFSCAVVKEIQSRKKRIIT IIKRIEQREKERERQNR XP_828762.1 MLRRLLQPAYNVALSGTSASTLPRKSASVGLVRTALMPVDYNAG ALFCAMRFTSGTEKERKREPKRGSKRRSKATTTLSTPTDAQTSVTGAREGVALPQENM PDAIQPPATLEVRAAEVKEEPLAATPPQIQPQEETMGPIDGEPQLPLHSTLVYNALTG RMTSETSPSFLCLKSIGFGVNNKRQLYVEKPDVLRDLAQRMRKGTATLPSNWPVTIIR ALGVILRNRRVEDPAEAIQQMMQVKINNLTHSRYAAVVNAVGDTDLQNILDGGLADEF VAVDLNEEQEKVINLALKGHLMYIGGSAGTGKTVLLRALCRRMQAEGLRVAMTATTGV AGCHIGGSTFHHAMGVSAQGDFVRKNHLLSYDAIIIDEVSMLPKKMFEEFDRVLREEA GAPDVPFGGVQIILCGDFLQLGVINEPPIIHSTTFREKFVKIRLETQVRQAKSSLFAD ALQQMRVGLVPESLTASVEQLPPGTMVPAAVNLLPTNKEVNTANEEELKRLPGDAVTL TPETGITALRCDTTATLLMRTTKDFKVEEFTKHLRGLLQATVDIPRASMVSAYRIYED GHAVRVYLPQSESVAWRDAIRERFLEVAGLINDLDIGATVTEIIPSGDGLHTPEHEEC LQRLMAKHPIAQPLTLKKGCRVLLRTNLTSRLVNGSIGTVVDFVECSMENIPVALRCE RVNRCVDRYRIYCTMECGMPVPLLPVVKFHSGETIVVPPWEFLVGGNPITQYYSLSSV SLPLSLAYAFTVHKVQGLTLVGRVHLELSRMWPCEHLLYVAMSRVRNPEQLSMSSFDP KMVLANEACVKFDRELNTVDNLPSLAEYPVSSWKRCNDMVYHLRRQGTSLDRYLQNGA AKEGGSVPVQQLGLSGPVKGSLEHSMVVSRRLRKLIKQTERTIRMHERRQKKMAVEGA KQTDTTKASSGESLE XP_828763.1 MSSKTVVWSSLGRFMRTSHHRLLDEKLFPASHNRHVQSRLLSTP LSLIAVPSWMSKCRTSAVRLSRHPPQVSGQEPCAVTASSSVGTWHGSSPAGLRRCQHV RSLNGWTTPGDVPIRHGSRSIATIATGCGGVGAGGINSSVDVAEVSGVSTSGVAAAPT VALPPGPPVEKPPLICVVRRATRGGAAADSVGTGTPGKCDKPPDSSNTLVGTIARVLS SSGECGRHSNANQEGYSLGSRPARKILVKLEADTVAAPLADTKEKQIYVQQQPVVVAQ SPLARSSSTVTWEEGDTLVYNIFTQRVVKSSSASIRALSVLGVGVRSSEKELSVVDPH ALVEFRDKLREKEVSWPSAWRSSLFNQLQHVLLKDQPMEEMISRVHELLQLHYQRVKR SCVANVTKGEPDTKTEEANPAGDEVAINGEMTYHERLLGYPDLNEEQRRVVDFVLRGY NTYIGGGAGTGKSLLLRVIRQELVSRGLTVATTATTGIAARRLNGATLHHCFGVNVYG EFTRRAELKEFDVIIIDEVSMLSKELFESLEFQLRRANGVDLPFGGVQVILSGDFLQL GAICSVSLVHSSVFRRNFAMLKLQRVVRQEGSSIFAQQLQELRRGTVPHDLQDTVQFL SPPETAKWLEGEGKGAVKLLPTNKEVDEVNQAELDKLPSDLVVYPAQMQAPSLVGRWT ATYILEAVVKDTKMIDTHKLTRALEQYVLDFLQKTPYASDYTLPVVGQRYIVLYKLFV DAFAFRVRIPQDMSEKDMRDLALHLRGLETWLPACGLGVFLREILDSPDGLHTDADDY TLTRYAELHPMASPLRLKKGAKVMLRTNLAPGLVNGSLGVVVGFKELSAKHLPRFVNT PGRIAAVENYAEYLRYEHGFTTAFAPEVDFGGGRVIVVPPVLFSVGGLSNTNHYHVGI VSLPLSLAYAFTVHKVQGLTLAGRVHLELSRMWPCDHLLYVAMSRVRNPEQLTVSSFH NSLVRCASECLLFDDSLPPVEQVRVLPHFFQASWQRTPSRRKAALQRKREQAKQSKQK KAAKLKEAMIKQAKEATP XP_828764.1 MTILHHPVPSRSFRSWEVIAGGPQMQLCVQERTCEGMRKNKRIV HRLFYGEADLKKYRIIRSSNRVDYQSMTDGSGRNIAEESTGPRMRLVLATSDSRDEIM RDWDELKAKGMPPCGGNWGLGVSQQSSASSIGHQGSELSPFQRSPVCPNWTGIGGSHL AWENATEYSPLSDLSASPQFTCLPVQGGSSADAYDACLIRIMEMWDWTHPFETAAYVT VVAAGWWCQVLQWSFIFIISLVMGLLRCRQFMTEKEEREGKARILYVTNFLVMNVSKN VIHFVSGVVCLHWLVVWLAPQWTLINIFEHVIPPLIIASLLHTGFRRLIGTPLVRRTK PLSSKTESAASCGFNYSLLSSGSNVCTGAFSGHNSGSPVRREANAAAGGTAFFGERCD RNSLANRQPSTPSLRSREWLRGDGLVGDSGAWERSGTTNTTTIFVEVDVPQGSVNSLQ AVLANAVRSERQGNYFFRCNKTLSRQTLVSTKDGSEFTLSTVYMLAGARESLRTDTNA SCGLDFPVGPQQGEQSAVQSLAGAESSAESPPSADPAIKSMRTPITLPSLLGFAKDEG LLTWSYDIAGSEREDGSLRLRACYTITSSTNLPESTRHELTKQLSCKADAIVELLGTS GAEIRTAVPKEDRQRTTSLHVSPVRSAVCTTPPAHVQDGVAENIPKCEENNGALQIAE YVIKEIFLKCKWDFVSQKKGATLWTADTQWSDKKAVKVVVHIPRATLADVDSVVNDPH LVSVMDNMVEAKVLVRKVSSDVHIYHTKFSSPFWGISARDVVTRTAVSFYPSEDQRVA MGLEGGKPMFLHTSVDAPSEVPTLAGFVRARVFAFGVLAEVAVGDDGLEGVRVTRCIA ADPEGLLPGYVVNALSVMQLDSILTFAKFVKKRARASVSGAASQCFGRESEERAEATP AV XP_828765.1 MNQSPLFEHDKTSADTTGRHSGFSLLSPSPAHPQQQPTELKEST GSQLVLPSLEDPKHRLSSRQCRLLASANATDLASAEAERKRRTQLTQKVAANLMKSAL NQQPDLFPAFVGDREDQGPAMLRGSDVVSKPTKKLLMVPCLGLAAGVNAMGGCSVKYA TSSTESKRIIERERAANETLFSMWESRNAIREEFAEIATMEENLRTPLWNNFRRALTE EEAIMMREVERMQSDVAEERKKYETSHATQAPLSVGGVRCPKYAPWGYTVSGRGVAFP LRLYERLFNRVITGMHRHLLILLKGKVSEDVYGISMSLSSSPSTSRSLGAMLRSQIKL SAACASPRRVQRDEEVEDASESHPPAASIPNDLCFGPVLTAETSLSVALLWRILDQAK SLSPGISWALQLYTKYILPHVYENFALREEHLPWSGALGEQVDQLSALPLNLLRYRNM ETSATQAKGDVRYMEEAMKRWAWRTWRQSMLEKRRRELGAAVLDKIFKRLHNAKQLQK CFSQWRIVTKEGCFTTGLEDVNQKYNTFVVEAKHAARDMQLFPCLEEKAVGPSPAVVD QSKFVALKLAETATHTRPKAKADSGGKSEGVESEAEKGEGDKAVDGEQAGWKQSLENM QTPTRVWEQTATPSGFLSISINNSATPMKWLEGSCSAGTAARNQVSAIFDTMLRKLYD MEKISGHLREGIAVQNRIIQKLERENESLKEKVYSLEESLRESEEMRLHYCNIVQERE LDIRELERRNTQLKSRLRCQEQRPWQRTVLRVIGDICGASTALSELVDDSRGSRQKQA SRKVCTSPVPALPSSGNSVVTNASSKTTSCGDRVGSAGQRQRGQSPTGEVEEDDYRKG MSRLSSGPPGAEAERLYGKLAPIVLSSTRFMPDSQTILRDWANNCLDDLESLDDLKGG ALSTRFYSFSQEARSGVLLSRLLFYLALPRYQNKTATDANNLGQHGGNEGRNFPEQRR RLLMHERVQLETPFPTYSDCFGDLLSMKSVNRMSALLHFARELLASGGQPGDAMVQKR MDHIYDLTLKAVGMTPPPPVDRVDLHEIIDPHALARGDTSATITLVALLYVRFSHPFN HKAKQSAMIERDAMMYLLSKGTYTQSTDSTKSDSPVPLSDSQADDQLPLEDEGKHLAR KFLAQLEEDERSPWQLFLKHCQPLINTMAHPYILRGNFWPSTAFDSPDLAYMLGTLGL ALQRSLQEHRWHIILSCLVPVRTYSGMSRGIYTGGRSSVAALQAGLYRVGDWVFPDEL PCIRAMFRDREAAIRAAVGTGVLKCVGEWTEDEWNTEGFFTTKNKGSLLKSFETCGSD LMQLFLQRAKLSHTCAMPAIDLGSWRLLLMDVGLVSPDDPETSLLDLEQVTNIFCMVM SSLVDVDTRLEEPNPADAGYSTMPLSYFSDEMFFPEFVAALVLLIHEMYPSVYRGGGG EGENESEPTITWLGDALRDFHFRQVVPHQVGETMIRPSSIMKAIRANARAHEVLVRHN KVLQVLHTTYSRDICGLVGIEKDQVVQMLRDAALTSSEISQSVITDLFKACCVSKKVD EALLEERRRENDSRPRDVPVVRRRGNVSILDPTLEGASATKVKEFFLLLYDGFLEFLC VLCHFNQPNPLIPFEQRLEKFLMKGVFRPLAHRSEGLAMVMSQHLPQSKHDGSDT XP_828766.1 MERDLNDSRAVMQRDAGEKDTFSLELLIALERWAGSKLSELNRA VKTHQHTRTQHTRRAAMSVIGTSQHVVEELAKVIAMVHQQKKQMTEGALPTSFVTLVA QLESHRAAICRALPAAAAAVEASGEESAAEKVNALRSLMHTRSILNAELHKVQGAVKE LAGSSESLEVLHGALQNVNATVEIAQKMVGKLLSIKTVDDIVLRVSLFCFVLVVAYII TQRVFGFFPSVVRR XP_828767.1 MSGAPTLPPTDTPRGMFGRTERIVALLTSQVLAQQQDIKELKEV LLERGGVHVGRFSRGANFVTEPGGMRGDMPTSGEFFSAATGDTAPQDCKSGECAASTL PEEWRHSIQNYVADILELYLHQVDEQLANILVSRLRAVTREHVARTFEREARKVTKER LQNVAPHCRTKRRSSSRTTKSSGRQNDSNNRAHFASSLDDTAASAPSGPPRDKRTTWG NCTACASSSGYSAKTAKPLNGQQCASCLGSALLEPYASTVSYDRSFMDSGPSLLPCFD QLFPESSGRSHNVTRADHKRLASHSHIDRRSSPSGIGGRDFSSCCHILSGEHHDSGSL GNSVNATNASDDVEVLVDVFKGRKPPKEVKRLIPWE XP_828768.1 MSWIGIINRQVASRNAAEIQSVEHVFSSHRLAQNRLLKLQQSLQ TLRSDNTALDEKNKELVKQVRALELASAGVISRAGREEQLEANVAQLQEKLQESLKNE KDYYKNECEVKRLTEENANLKEEVKKLKNRETELEEVLLRLANNYKALEEENKIVRPK LNAALAERDRCVRELITAKETMAHMQEKILGYDDELNTMRKKGCVGSSGVGRGEVEVD VSRSSHKKDPVNTCRQEFSVQEAAKLPSSVMFTTEHPHGDRLIHAVCATNGGERIVTG GADRMIRYWDSGSGAALQCHPSDGVPLCIDSVGDRLIVGCTDGVVRIWDTKTQRKAEL TGHREKVTAAYLSADQRNAYTASSDRSIRLWDLQSATIQKTLLNPSCCNDLCVADSHL LSAHYNGSICVWDSRGRSGNPDEIKNVHPGGATCVRVVRNNLCVSLGRGGAISVRDTR RMDEALFRVEREDISTSTHLARIALSPSGRFCAVGTSRGSLLIVDLDERRVMDAELEA AHQEHVKSVAWAQRGETSVLATISNDKRLVLWN XP_828769.1 MDPSSGNGGGTSSSGFSTFSDSKYHEARRRKRQQLQQPQRWSYT LQDIPGIIRKAFNDVNTGYAARVAAFFGVTTGVSFSLYKFFFVRLQNDRPLAKRSRFA PHVPVTLLDKERIKGTDMFLFRFALPNSYDYCGYEPISSVQVTTGTVRSMTPVTRWFT PTSHPQQRGIIEFAVKERDPGRMAARLRSLQRGEQVYLGRWMREFPYQNNTYGELGLI CTTSGASIALQLMNVMDKDKQDNTQLKFLYCHHTAKDIPFRSTFEAYAKRNPGRISVK YDVMSLGRPAADDGLHLGENVFLGHIDPTIVRKTLPPPVVTNGETGEPVRPKILICGP QRMLLPLCGRVNMLGNYTYWQGPFYKYCGFLKDMGYLRNQVYKFGVSTHLTAYQ XP_828770.1 MLRRVLSGAGVRLTACDSCVALLHHSQRRGVRYANMQEALKPQA GHSAGQMSILNEICCNPDAEEERRKPIFRHEVAPRLSPGSPAKNYLRTMYQWGYNSLT LFLKTNEDPGPWWNANSSTLVSNWSQVGDYANAGMWSGVWRYTYGVGEYNLRPYEVRG RAWGRRHNKAGAMSVDYYYNCNNQAEQKRPRQYFMFPHTPEHQMHRRLKNPELPGFKV IDGLHGKRVVREIQYHLGQGLRFYLIDGVFGSHPSTNTPYRIITDNPTHAYFASLAAI RKFNYVAQQEIMLSKRITQSPIDEWGWRRPGVLVYHAPSWDFESPRIVEEFGGPRPRD LGLEHPKFIALEPYSIPMKAVVAAEPSCDILLDATAFLCARWGFYADDKGLLTLVAES ILSPDAQHLTLVVCENEREADILRSSKYIFGARHHRIGDGWISRAWDVVSAPKSKVEA CAHDLVEESLDRVHKPLPCRVGMPHARSHRHYGRRHVSGFGYKRKHSYTEDVTALAAA GGHLLSPKAKDVFASHPVRPSAFNLSSVDIVVVGSGSSASKIIIDGLQKRAMLYADPE KLQPALEAALNQAKSVKVVGSAEAKKLMQKLSETGSAHASV XP_828771.1 MNTFKGDGKDSSLLFSWTDVGTAPARLPVYSAKRDERSQYVNSK GSESTGGTPAEPQKLAVGERLRATRTKPHNRSGEELTSPSRSKESQVLSTYMGGGKIG IWPLSVYGVDVFSDLSALLPQTRALEMSEQAECADTPTPASLHPEDYSAMRPLGSLSM RSDDSSKEEWKLPLLIPRGDMVTKHASVSHWIEGTTILNEYALLKSVGKGTSGKVRLA YSLSRNESVAIKVIPRPREKRRAIETACNSATARMEALQREIRVMKQLRHKSIVSLFE VIDDPDTEKLYIVMQYIDNGPIARLDREGNCDPIPPEDLTNYARQILAGMEYLQRHGI VHRDIKPENILVNSKKCAFISDFGVAAILGDDEMCLHRFEGTPLFMPPEVFCSVDPSP CVGDVGADGTNNANSVHNGRSGKSDVRKQLLFAMDVWSLGVTFYTLLVGSVPFKTIGD IQNTLQSPVVIPDVVPESWRLILQWMLSPLPEHRPTVSELRLRVKKMIRQDVAKQRSE VMNSSESQKCPGGCSAPTSPLPFDLHRPAPAAGPPSAWQCKSWGHPVTREHIVERAPM ANNTPYDSLISYSEANASPAGEGCLQVQESTYHARRWNPTIA XP_828772.1 MLLLSCFLFFSFLFLLHSSLTFTCSFFLLSPTLEERREGEKKKK KTRKGIERPSERVLLWYGFLFLVSFFFLFFWGGGCVCVPPTLLSEFLLFLFFPPFPFP FFSFFFLFPLQCGGFPPSSFHFVIYCISTCSFFFFFSLSHSPPSFPLI XP_828773.1 MTQEECTEQLPHFLSMATPKQRSNASLDRGRGFSSHMVKGADNG CSDSYNKEITRNESCLSEIWQAKNKGQDLRCPSRLPDGECEGATDPEIISLVSFASRK TAGSRSCRGGALCGVCPIQVLPNATNASCTSSLNSSCSLARQRSARITGELRVDKRDD GGKFINNYQVLKEIGRGSFGKVKLGYNTQTDTLVAIKQVCRPVAKTRFGLQTAAQERF SALQREIALMKKLKHKHIVPLYEVIDDPSARKIYLVMKYIDGGPIGRIRCSPTGDPEE EVCTPIPPGQLAKYARQIFSGLDYLHKNKIAHRDIKPENILVSKEGRAYLADFGVAEV FDVSARERVEQIMQESLAASRANASCGPGMPIQGTKGTILFIAPEIWKGDRSYAKPVD VWAMGVTLYILLTGRLPFSNIDDIMDSNLPVIPTEYGEDWENLLRRMLDRDPKNRITA CHAVEAFKAMIHKNKSSGDACDDTLVCVTEKDIEEALTLTEPEKDAEDVSWLLRERSG DNSVAISLPMSPRWIDNPFDASLKLNATTKSGDVEPLSGSNWGGTVMKPVRRLSRRST RVVSPGGSPLECHDMPFCEKVELPPIEPRGNQTNVSPSVATTPRDVHNREFDGGSGTL PNQEVLTSNCAIKRNSAPQLGVHSLSKQRCAKGKKEEAGCLPGLCGSLFPRTPEKRDG ETPCKGDEE XP_828774.1 MSYDRAITVFSPDGHLFQVEYAQEAVRKGLCAVGVKGKDSIIFA VEKKSVQKLQDSRTTRKIYKLDEHIYLASAGLSADARVVVNHAQLECQRFRLSYEDAI DVDLLVRYVARVQQRSTQSSGSRPYGVSTIIGGFNENGQPQLWKTEPSGTSSAWNAAA IGRNDKVVLEFMEKNYQDGMTRDRCVHFAIKALLEAVESGSKNIELLVLERGKAAYMS DTELHRFVVEVEKEREEEAARRRRLAEED XP_828775.1 MDALWPVAHDYANSNSDDDDDEGDDFVNLAQKPVVDLSDSDELT TQEADPLQMERVQVMLKKLEGLPGYGNGTRLENVLLQPPCDVSQMLDASLDDVELLYR HISHTIVNSPDSTLLPISVEDQLSSSCFGVISTGHKCLDDVLAGGVKCGLVTEITGAS GTGKTALALNLAGRAATLAREGRGNGKYHTLWISSNQHPFSTRATAGYLRSTLGTYRG DNNGSNAAEPDRFGGDAETALQQLAIVTIPTLGQLLEHMPVLRENIMLNDGVRLVVVD DFSAMVRRTFTGMDGEVIERHNAVAALMNAMKSVAQDLRVAVVIITQSEVDLGHAFLY AVNTRLRLSRCLLQPNDAAADVAGNVRLAHLLELVKSCMASDCKFECKFEGFYLGSVH LLTEGAVALRDVDFYGVDPFGYAVVPTFVHC XP_828776.1 MFSSISPVVETMRRCVYYCMRPQPLSFPAISRALLKLPEWRVDG NNSGIIHREFTFKDFSDAIKFMNAVAVECEAAGHHPTWENKYNKVSVRLTTHDAGNRV TQKDIDLALKMNEAFERTLTNN XP_828777.1 MALWLRVVFTIVVALLGAAVPVTSLVVHRPLYLAKRNMLSTVPD YGTTPLTGRNNHGKVILVEMGALCNGDKVDPHWVDAVLLTHGGQCSHGTQAVRAQSAG AVGLLVANDGVGSADEAEVDIPVERITTKDYDAITNAVKNDVLVEVTLGTPLDVLRYI V XP_828778.1 MNNTHGVDSRSDRTSPQDLASAEVAGLPFSASLGTNISTGRGSE ADVAEPIQEAVDRKVSELDLAAYDKDDFTQPMIKKIMSRLFSAFDVTHLGYLTPDKVE EVCRYLGRNMSDGDVKAMKAEINAIDGHVTFEKFWAWWCSHPVHSRTKCFSMVSADFS MPYHQQQLVVHEKGEMYTPSYRVLYFFRDLETGRERQVSPWHDIPLYVRDLVRTKPEA TPMNRYNFICEIPKWTRAKFEIATGESFNPIKQDIKNGVPRFYKHGDMMWNYGAFPQT WESTEVLFEAGVTGDNDPVDAVEIGMTQFKVGQVSAVKVLGVLGMIDEGKMDWKVVCI SHNDPICRFMKDIHDVPKFLPGCLDAIREWFRVYKICQGGEASHFAFDGEFKDKEYAM KVIDESHNMWHNLLKVNKRGEL XP_828779.1 MKTFGVRYLKYLKGSRAAYGGSAGSLLDDYMRQGGEAHAANLPP SQKFFYARDATRDSGASQRHRTVQLRLGSAQMLSPTTANIANNNEAHPLFFSQTAPRG AGLCISLVVALKKLGIGRLTELQGALIPLLLKGKHVIAHAETGTGKSFGIALACANRI IRENINYRLHTVIIVPTQELALQYDKWLRHFCGSTQQVVQVAIESITLEVQLAKLHNI QPHVLVGTPQRVADVLRFSPTLLGEKLRRKVDCVILDEADIVLFGNIRFGRQHISGIN LVDRLFRSRREEVPAQIVAASATIDGRTAQALNMWMRNDKAVRLTTSFVEHTIPQTIS FYFYSESRSYPLPRSLELILRLICKQEANPRVLLFTTQEEVGSVTNQLNTLKSTSPEV QKWLRWNGKREIAAPLETLLDPEKGKPKRICRSKGDVYVKDNSSIEKLNTGLLLVGVS SHSLSRGIHINEVTHVILFGECPPASVFVHCAGRTGRMGKEGHVVLLYPPQSGRTVQQ VCEAVEVPFLPGRMEQVEELLLGGDFSSVDALREVSGAKCAY XP_828780.1 MNNTHGVDSRSDRTSPQDLASAEVAGLPVSALHGTNISTGRGSE ADVAEPIQEAVDRKVSELDLAAYDKDDFTQPMIKKIMSRLFSAFDVTHLGYLTPDKVE EVCRYLGRNMSDGDVKAMKAEINAIDGHVTFEKFWAWWCSHPVHSRTKCFSMVSADFS MPYHQQQLVVHEKGEMYTPSYRVLYFFRDLETGRERQVSPWHDIPLYVRDLVRTKPEA TPMNRYNFICEIPKWTRAKFEIATGESFNPIKQDIKNGVPRFYKHGDMMWNYGAFPQT WESTEVLFEAGVTGDNDPVDAVEIGMTQFKVGQVSAVKVLGVLGMIDEGEMDWKVVCI SHSDPICHFLRDIHDVPKFLPGCLDAIREWFRVYKICQGGEASHFAFDGEFKDKEYAM KVIDESHNMWHNLLKVNKRGEL XP_828781.1 MSEELRWQHIPEVLRNVALMSRNHNYSGKDKNGCEDANHVEQGA LATVSQLLERTRELRELFVQVVEVTTTPVDEDSAVTASFATQQKVIALGRHVALLQSE IRAKNLQCARVALRAYLASEVDSRRRTLARMQAALSIAKQSI XP_828782.1 MQQENRHLSSADLFKKFTLQNVAQIANISNKEQSQIRGELTEQY PLLADFWEDIMPKKADIMLVRCHDQVHCVTLVSSQPEVLFFRHHNSPYIPHLKLLHKY PFILPRQQVDIGGCKYVVSGANVMCPGLTSEGGYITPGLAAGAIVAIHVEQKEHAIAV GRMLMSSEEIERVNNGPGIENIHHLGDGLWMNRVLSSSHIGAR XP_828783.1 MSVKEQLRAFRYIAGTRDIPWAHLRSVNVAAHHTVAPDLQLMKN LISLVAECDVSTAVVANTSETDMHQLLSLLQLSLQFVLWSQSVMKGELLEKRTCDAAK RISSNSMGRLEEKLEASRREQSRLREERDALKVTCRTLESRALQAESKVRCLEKELRD ERTLFQENVSLLAAAQHKQGGMKAGKRADNGISSPPAHQRQREGADRNDLQRGHNFGK MLKQTRTEVLLDAPNDQLRRHSQSCSGLSVSNTTSCCAERESLLCLQGEERRVRLSKT PSLHHTPRKSEEPAQPEVVLAVGAIEKLRAEVEAAQRQITMSCEAVHSSLSNAMKSNV DETKAIVQGVGQWMNNLCDSVSKEKEQELQEVKTFLAKWKEEAQQMLDSQVVTVTERL NKEFMIKQQQLRQQQCSSSSSVAPLGSSAATNGKECSCRHCNQRFPPSSLSDHEAECD HRFVNCEKCGRPVMVRLLPKHKCASRDNSESDIHEISSQIQPSSMMLLETQKELRLLL EEDQEEGSTISSAVL XP_828784.1 MGRIEDLERNERLQVILRSMDDSPHLVYHTEGPLRIHRHGRKKK VLLAATPFYLYLLKVKGMRFYARWPNIRLTASLRDNVLLIESDTNFEETENGAGGAVV NGKNASGDDFRSVMLFGTAFVDLREVEQRLMELTCDARLRAEQLAKDEIQSVSMRELY ADEKAVTSKDPDSGESEEEEEEEEEDGGPVWGQGQQQRAALSRQRDGEQSSLFQKAPS RYLAVTSIVDGEFTDYSTLKNAYIRNEEDVLLEDIATFINENKGQVEKLCERHYPVFL QAARQCTSISEDDAKLVGQELSGAIELVRSSAMDMKVAAAELTLSRRVKDNVARVRLL LHGALEVAEHLETTEARLRQQQLLGAVVSLKQLLQMATPLIEYTLGDYVINQRVPQIA HEIFTCAIQHLNAWLKLLREMSLPIGTAAMTWRGTVDPGSVEKELHMTDEGEWWVELS CTSAFIRRAPFAEAEGISKVLRGAAMQEVFEELRCGAYYRNYYIDCRAQQAKLDLYDT PLHTEGVSGKALVEDLNTYCATALGFILIEDIVYHVTDPHMQSTAEVLCMWDQISHAI AERARCVTSALANDPDYTEHVVDVFRLLRRFVNIAVDSVVSVRLSPVIVSRMLEVMSD SIISTWLQEACVEASQIVSNDTLQPISVGTDEEYNAYVKRFSFDRYKDIELFIPSGDL SAGEVVLPYALLVPKIGETALRFLARCHSIIEVNNGAVARQSEFNNVDEMLLKYLSVL FRTVAGVMQGHLASVEGNAMMKLAVYVTSCAVMPIILSCVEQQFVLAWPGDYGGLHRR KKLGEPQLLDSSSSLFAKEVQNGIGRLLPSFMREVEERLKPTADVNHWKRLVAVRQGT VSHSVESGVGTVFSGDEVNVENGGLIAAMDFIIGTIPKLLAVLQVSVTRSVLSTVITQ AAITAQMNIEQAIHAGIGDGGSSDLTELRACVKEFEQICATHIPQWKQRIAASISEMT VAHRFPLNPTQIADDLLAWIANKEAALKRTL XP_828785.1 MQRYRGKQFEGVGTHDRMSSIMSMQGPKSQDIRAPYGNDEDLVR RGSSVSCCTSSLMQSGVGRRRQLSTGIRSPPVEFPMFEREIRTTQNHMNATPRSRMLR SPFPPNERGNENESTPLSAAGSSNSGNSEKNNLDEFVTCEPVEVVNGMNRVCVYVPSS KGAETPRSGALKITFPAPERSMGTASYPSPPVMRFQPLYSPSLPYTVPSPVQEALRSL LEEAAQRQQLGIGTSRRQSFVCNTGVQTEPGTEVESIAPGQTVESDDTHSNRAQENEQ APLSCGVSSPVCLSGTLSSMSSPRSPREPRPLMKVGETERDEGDSKVDTKPAPGEQQE QKDQARNEEREREGTKEQRNVGSAAPSVMSVSSAAESSPTEPLNAATTAEQPRGASAC VFIDLHGICSAKNGKAAAGPPIRVHVTNPIPGTNTSGTGHDTNMDNVDYPMKSGLMLN QQSERCRKLASQMLGHETANPQPTALSTAPWYLRTSLVVEPNEFKSLLRNSWRWSSGE HGGPDNVGAHVSSSTEPYGHTADIAQNVYNSEVKGPRPTAPDSLVEGPSRSPSHASGA PRPKAQRSKASDGKHRGSRRRGSHSSTRTTRSKDSAALRTRRVSAPDGLTEDGPLAMS VSDSCTQRSKGPDAVIDIRGDLNPSITRAPPPSSPSVGVEPSVSPANQRNAETEQHPA AEVRRKQKTRCCCTG XP_828786.1 MAATILKDIEETRRLVEEWYVQCLMRLQTARGVVDRRFNCLEDL LNETAVSPHHEDSNAKLLDSLMVTPSRPTVAIEDKSRATPSMASPPVSRATVNYDRII DEVAGAARGTNGNTNQGTPSLWQGHVGDIVLGDEDCKRPTPELIPEDRRNRSPPKNHS APCQVIVMFKRMRVLQFESPTYVSPGEYVVVGGDRGEDIGLVTRTWAHDERDCGGEKK WAEGVGRVLRVASALEVSQLQGVQTELEDRAVEVAQKKVEEHGLSMLIVDAEYQFDRK KLTFYYRAHQRLDFRVLVRDLYKTFRARIWMEPETPS XP_828787.1 MTSLISRVARVMEEIAPLHLADRSWDNVGVLVESPMSNNSGVVV LTIDLTPEVMEECLRHNAEVIIAYHPPIFTPFKRLTLTDPKQKIILQTIRHGASIYSP HTSLDAVSGGINDWLASIVDARGRCYPIRPCEIYMQDKNCGKVDATVGIGRIVSLSGP KEIHTLVQDIKQGLGLPTVRVSLPSCWTGNTPVERVAICAGSGGSVFSGLKEHVDVLL AGEMGHHEVLAANAKGQAVILCEHSNTERGYLSSELLPKLKKAMGEEVSVITSTVDKD PLVVW XP_828788.1 MEQQGDSEAKEHGELTRNGITDNAYDNSVGAFNRTILDRYAHWM TQLDQGACGLRIWKAEELWKRYERVIRVGRGSFGSVFIVYDTERKAYLTVKCMELLGK PGPALRSLSQPTLREVILLSQIDHPNVVRLIDYYLTSDGMLHMCMPIVSHDLVSLIRI WKMRGPRGESSLGRMPLPTVKCVFRQLLRGLEYLHRRNIIHRDLKPSNVMLDDNGVVK IVDFGWARFVPRRWQGRLTGPPCVVTYRPPEILLGGQCSFKYDSSIDIWSAGCILYEM LTGGKAFSNARNEQQALAAITDMLGSPSSRSEVYYGAAGGSRLRPSKRQPRNFEERCR MVNMSNESIDFLGEMLQLEPNSRKSASQLLGHSWFSTSPLPCEPEEVSLPGSNTYRLL ERKRTR XP_828789.1 MVLDIQLFRDETGANIIRESQRRRFADPDIVDAIIEADKKWRRT QFLTEASKKLINICSKAVGAKKKAKEADGDTSEIPPQVKEAYENGTLKGEQVEQLCVL QLKQLSKDLSDQVAGLAKEAQQLEEERDKLMLNVGNILHESVPIAQDEETGNTVVRTF GNTTKRAKLNHVSIMERLGMMDTSKAVTSMAGGRSYVLKGGLVQLQVALVSYSLDFLV KRGYTPFYPPFFLNRDVMGEVAQLSQFDEELYQVSGDGDKKYLIATSEMPIAAYHRGR WFTELKEPLKYAGMSTCFRKEAGAHGRDTLGIFRVHQFDKIEQFVVCSPRQEESWRHL EDMITTSEEFNKSLGLPYRVVNICSGALNNAAAKKYDLEAWFPASGAFRELVSCSNCT DYQSQSVNCRYGPNLRGTAAQNVKEYCHMLNGTLCAITRTMCCICENYQTEEGVVIPD VLRPYMMGIEMIRFENNAQAEGTTPDKGE XP_828790.1 MTTKEAVVQIYRYLKAVCTDRVSSNTVPTTAVRKYVGEVDDDNL FHGHGALVSAMGFIYEGTFVHGCMEGHGRISWANGVSYEGSFHNNAPHGIGVLTKANG DRYAGEVYKGVYHGYGESTTATGVYNGQWRYGKRHGKGRQTYANGGSYYEGEWAENMR HGSGKLLYPNGDLYDGMWVNGKRHGHGSMGWKSGTAYYVEVYEGEWYEGVPQGFGRST YVHYIDPSRATPDTEGPATFAHPSCAVINVYEGEFANGKRNGFGTFYYADGSTYEGTW RDGNKFGRGKCITNAGSSYYGTFDCNEMDLPPGDMSSDPLPSVTLTDLVNVSDGSTEH AASSIRLLVLRFNNPLKDIFTDYCGKQRGIKFVTTHTEWWRHRLPGHLCIPQFLRLLN DARIINGYITIGVAVDCVVTTLEEEEKKDHSEPVDQRSGRLRKLRSEVLRLDGCINYR QFVESLVRLSAKTCIGPNFAELSRQFTTIVERLLDKKHIAEEPLCPVTREYEAILTKD IVHRLEHLYFQLAEDAVPDLRGTAAVLTAHNFILFFHDKLDSCNIGLVEAVNVVLPFD RFKIPGTVPSGMCQPRNAMGHSLYEKSSGPGGEFLATLVASERRLTFVEFVEAVLVTM RLAGALKPDEMCGKLLELVGTEESSHDGV XP_828791.1 MAAEDQGASPSSHRGEVDALRDRVTAMRETIESLGNDLAGEKLK YATLHDKVVAWKEKIKQKTLEDRLRISQLEEELSAVRKKHQLATSAAQLDVQKSGGGN HHNLESVEPQEAVHEQLMQIEKDEEASELLKEGRAPSQECLREGEEEEALIERKIEPK HQGAQVPEGHPFQQAALVGSMVKELRKANETLRADLGEASRFVEAARSEAEQLKKGLC STKEEDEPRIADLREELDALRCQLENTTSKLEAEVDANRKLFRRCNDAEERCGHLLHE KEIDKRMYEEKLVEWREIFQVAKAKDESTIDELRTSLCTSRCYLKQLCHTVLAFLGCE CDNPLEVIGDEFAERLVNVSLFSQRLDSFRKLLVERAKSCCVVCDADSILQVFELICS SIEGVRSERDVAAAELDKSRQMISDARSQLVLLEAKCSSLVSPDVVAGLEARNIQLEE KCELLRREIKRQREAFQRERDQQESMVATASLQGVAAARVPSDGVFERGMLSLAAQQS QRDNEIRQLQANVQALERENAVLRRECDHNNSVVAQFTKEMEVLKTKERVQLSVEYVR NVVLQYLCCSCEEMRMKMVPAIATVLEFTAKEKRDVQNANPQCPPLK XP_828792.1 MHVDEGKFEGCLSFDLISEGQTEVTNVVPDEIEFLRANYDDIHI VEREGFHPVVLLTIDSSTQPTRRVVLEVSIVGGYPFVAPRVRILFPHQAAVGLDGVLS EAEIGQLQTDIRDAISPCLLAGAPCIMQIISVVQRVISTEDSHPQTQATVEIENAKAP AGAEESVLKRDVVKLSVLALHLLNKCCHLKDPESKEEANSNFQLLVNYLLNDARLIPK GLQKCVTWKYEYVKRIFSDAIQVCIDGSDPLMKWMWSHEEGTCTFRRVSAGRYRSEFI EQCLLGSGGFAPVYVCRKKVDGRLYAVKKIAIRKNEAEKALREVQSLAALSHKHIVRY YDAWIEPGCDDELLDYVLDGDEEVEDDCVDGDGSTTHSYDSNRRSTIGGSIRVGGASD YGEGGGETTNTTCYSCSSSAEDDDGEESNLLYDLQSPMHSHKEEEFSTLYIQMELCSK HSLRHLIDQCDKEEGSLLTAGNGDKVATKIFRQLLTVVSHFHRQGIVHRDLKPDNILF EMQSSVSSDDVGTIRVADFGLARTLHRSMKHSPSNVELNDVRPLDELEVGPSPTGNLG SVVYCAPEQERGESYDFSVDEYSLGMIALEMWLAVAGQGFRERFNIMTDISRGKPIPQ WFYAWNPRMAEVIASLLERDPGKRRTSEEILNKADLPGDPADVVEALETIKRHGERFS GRVLHCVKQASVKQHCKPPPQVKDAVKALTHTVTLDLIQAVNIIGMLHGAMPLASVDP LVPLNTLLSEQDVSCLIDMNSNVWAFPNQPQLATAYHLTLLTNHHIGSFYHFHYRSRP YAVFTTPSSAPGIVDEMFLDPLLSFFHLLSVAELKSKLEIIVSHADWLAATHSRTVGS VESLDHLGDLCESIEPGKSIGPILDKVDSTLSDGNHIVSSHDRLEALKTFTLRITEAL QLFGKQVASNVRVCIDPRLKPAETSVDRSFIDYGVFFECRTHERGHAIAFCCGVENFT SKCGARNADVQAVCLSVDLFALGEVCEHVRFPQTDGLLLSGVAVRPQDVYSPGQKYSA LTVSANLWLDNIRACFRIDQDVRGFRKAMKARGIQTLFQVGSQSASISLFHETKMNIQ SVDITSREVCRVVRRHCSFERADDPVLYLKDSEKRVRAEEVKKLFAVIKRSLFHILVV DAEAKKVAECVRLYSGEVPFPAADGERSTTPELVEWLKNSMSTYGVLPIFSVPDKTVT FAVDQKLLRTASNVEQKQYKAANRGDNVRRKK XP_828793.1 MEQLLRRGQEYCFDCCSQRLFRISRFGCVFECRCPDGILNVQSV LRDYVAELNEGERFIEFVPVISGRISTHNCKIAQVEIDFTNRCVRLGFMNMTTSASLQ IMKELPLSLSESTMIQEGCFRYSIDSLDLLLHELRMLSGESGNRLHVNEPSRVCREAI STVKENKAVHLPDLLPPKLMAALHRHQVDGICKALSFGGRAMFADEMGVGKTLQAIGT LAALNAFPALIVCPAALRHMWADELEKWLMDVLNMDDIRVITSSSDFLSRSDEPKVVV TSFHMVSLLANHMKSRQWSSLVVDESHILHTSVDASCDAHYTTLLCELGRRTKYCLLL TGTPSLSTPFDLFNQVDTVCPGLLGSSRFEFALRYCRIEFSPYFRTFECTRSTELHSL LNATCMIRRLKSETLVDLPTKQRVILRIPTDAIRGQKNKSLFQKVYSDNWIESREKIL DIVDLLLCKHGKIVLFAHHLNLLDCLTTYVNDKKVTWIRIDGGTPMNSRVELLSRFND GDVSVALVGITACAVGVRLTGASCALFAELPPDIGWMQQAEDRLHRPGQKNHVILYYI ISTGSFFDGAQFSRLSRSFQAVRRITDGVKLSLDASYLSGTAPVHNALLEEEATPSAG HPAPSFLDISGLSAPLLFRISHNTGRIHVVHEGKHLTSLSTQEAQQYWRHDGEFPRQL RDYLSHVDMLSPVERRRLRTAAAWLPSNFTWRPVRAPRKTVVRYAKSDILLGRVFFWK VVKRNFPERTYGALLVPLETHFLPVCLECDSTLVASEFISPGSVVRIDDDTRMFCSGA CRGVFFIKRSSSAARRGVQEVDKGICSVCQVDCELLYSLAVAATSRSEREDILDRLHP QLRQHPVLFNRIVEHPTPGSIWNADHILAVSQGGGSSDLDNLQTLCVACHADKTVSEA RVGYKAVAEKVPRTTVNLASLHFLSRGVRRVTSACPTV XP_828794.1 MKRCRLALAEAQMPAVLPDWAVKKPTKLALALDRLATKLSVVSR KIISLSDALMGVQPREALNCVPRLLAVNGVRPTVMDNVFIAPSAFLSGDVRVGRKNYI GYNAIVRAERGETIYFGESCNVQEKAIVTGGTTIGKWTTIEPMAIVDAADIASCSFVG ANAIVMRDAKIESGSMLCAASVLQSGAVIPSGEMWAGNPAEKVRDLTEKEQDDMIKAA KHMVLLAIEHRDSWELTWEELEDQREAREQFARYAENNREVRTKPMYIKEPPRPSRKA MSRKTPQEMVDGGEHKPPLAESIQQGY XP_828795.1 MIGLRKRSSESDDEPVPSSFLLRKVAAVDLFTKPKEDYCRSQTR AGAIISIITVFAVGLLASWEVMSYTLGWNAYKTELSVDTSPEKNITFNIDITFMQEPC HDLFLDVSDVSGTFSINVTENLLKTPVDVGGNLAYLGTRRFFTDPRSPLYTRRNDPNS PDFCGRCFTGNKAIAGGKNCCNTCEEVMAEHDRKGLPRPNKNVVEQCIGELSLENPGC NYRGALNVRKVSGVIFFTPKVIKNTIKMEDLLKFDASHVINKFSIGDESVRRHSRRGV LNPLEKQRFNGSGRFMKVRYYLNIVPTTYGSGASSGLHPPTYEYSANWNSREVAIGYG GFPSVEFSFDFFPMQVNNNFKREPIYHFLVQLCGIIGGLFVVLGLVDSVVARLTRLV XP_828796.1 MKRYRSSVVTRLVRAITLRPDATVDPERYPLGYVPLDGSESVDS VWSLVKSGAFVAPLSKIETIHRAHVGIRYLTQSEYPALSSIDVVGLQTRLKELCSRLL IRRDFWVLDDYNDPELNSSFGIQNMYFDNFKWSQVLWRRFQQYVEEYFPVAEHTHLTY DEYLQLLRSFSHFEQGAKLLPLLPKRYRIHPPFGVPALSRIDMEPLLLYSQWLKNFRG PLKLDAALVIRSGCGAAVFATKLNGVPIVRGVDPNPRAVMSCRKDAQRMGRRFDSISF RVGEMFPDKDDGNGVPNSRKYDIIVFYPDQGCYNLFFTNAIGEYAPVLTGFAGTLEHF FEEAGDYLSDSGVIVLCCTNVYSILKPTEPHPIEYEIKVNRRWVLLDYYDMPVRGKGT LSHTPTDHHYRIPMEMRKCMRSELWVLHKMTSIAHFAHIHNIPGAQPPSCVVSHWRNK AIGKLRRAVLKGQVESSGGDWDDYKKRLVHLLQEQSENDEDDKAQAIRMAMDPNYPKE LADRARAAIEKNMDTDKAFHNNVAKAFGDISPRERFDACLSCYRF XP_828797.1 MDVVEKFLSLRREGRGEEAYKMLAPGAAMGCPWGGMHHGETVQE LLRDETRFVKRGYLDHVPIEKIDENTFQRKFKWDRGMSEYGNSGFKGVGILPVWREIY FVDNGSIRLVTANKQLKNRSLWHVLGVS XP_828798.1 MKRRRDSNGKSIAALRAGVAALSVSSNIASRELTCRDSHVKAIL DFLNDKVHPVMQVFGMPGTGKTASVNHALALLASSSPAGSKPTAVFLNGYIIQKTSDI YWTLNSHLSKTRLKHAENCLPEQCPALIEKRFKQGWGSSTTPLCVIVVDEVDKVLKKH NKAFFRIVDWLSLPYAFCKLITISNSMELAADAKTRSRLDITKRLVFEPYSLPELKEI ILRRVSHIKPTLFAEKAINYLCNQTASHYGDVRRLLQSASSAICGLMMRIEEGYKLPE KHDGLLTVKDVHSVVRQIFHDRFVEFIQTIRLPVVFISVAVIAVETARLFRANCEDSR LPIDSLFTATKRAQERFGSVFADLHAVTLNYGAYLEIVEMLREVALIDVSVGEERIPV KTVQSLLEATERAHASMLQPFQTVVDACKLHDDFGTGICPLFSI XP_828799.1 MTSSVTGPPSSFAAAAKVLFDSAKKVGAVKPLGTTSKLYAHQLK KIQSQSRKKGGSLGNVSYNVAEFLEAHRASQVKSSQGPIFHTRCVPLIDIPLKKTKQT GSGMQKTSSNEAPLLNLHKRQPHLAATPDMSKEAVPVGGVAGVGPQVPKLAPPKLDSV LLPKDGEAKRQPWVHHTDTHAADRTSATGGVFLAPERILHGVAPRATVVEEKPLFESP CVTEAKPLSFLDLKARQPMKEVVEVVDRPIKPSVTVPISSGGSSAASTRGGENARTET ISVDVWELQRERELEQLRKDQEKAEKERLEARREEEHKTLGDEWVLSRTLATSRPLCV GAVNGALEKLYFSSDWETCFQLFKELVCAPTPPVPSVSKQAVCLMERHLRRVPGARRN ELKVALSKELKERKLLDEATRFDLKHTYSEAFLQVYRSASSSVKDSLDLCTTAKAIST LVRSGSWLEAVEVFHRSQQRFSDKGGRLGLGLLAESRSLDGEARTALSEYTSKTLTAQ GRFGKLHSIELVKLSKGGQKRHLLSQLIESGHVDEVIYAELLRTTKENVEDVLEEIGK RGLNVEDPAILAALCWRSFDVESPQVLFREIERQEAKIGIRPAHVLAAVAMARASKSE HTLRSTIQILKKKPNFRCKFVLRKLLPLLYERRMNSEIVELAEIYASNLPIAVALPQA VGFINSALIAQGKNPLSTHLASDLNLSGKAVVGDTGDATQLTIPRAPESVASTEAMFQ CVKERDWLRALELLGAFSLHSAGDPDVGMRSVMYNCALNASMEKREIVMALYKQMIER GVQVNATTSNALLSCFIGSSEWEESIEFYKRTDSSLRDGGTYSIMLSLFGKNGMWREA CEVFQDARVGLAKAPPAIFRLGINAAHSHNWEATLSIFGNFLKAHGIQNISDSVVDKV VRCLSQNNRTTELKKVEMEVSKMKGKNKGKKK XP_828800.1 MALVGGEHRVTEDIVAVKYLESVRRLYFNPHAKDLERRTVQLLA QLLACPPHSLLVWDSLRRTRLLTTVARVLGSEKKLQGLLSVGGRPEDYLRVVELASNS SPCECMNHLTKGEDSHTELEPFFAVLGRLGKLDCDAATYRACYDSFARAVSNLLSDAR NCARLVRADISPIKILFNTLKGSPSSRIDVSLCVLRVILQILLHTAAFTCIWIKEFSD LDGPSTCLSVVLTWCGTCEDPSLPLSTESTVVFDLLCLLLSFPGNGRGPSSALYMYLR GCHWEAENLEVGPLDDTATIGMFGLNVLVEACSIAMNFRPAIFTHLARLLVRALKLGG DDVVSAGLVSAALVAVLRQYAKFCDDCDKTILAIVRLCVTVCSCHGAYDAQTEGATQL CTLVVELVCDMRGHISKGTVRAVMCLTSRVNAESTFTRLFSVHLLERLEGRLAISQDP AEVYLFLQMMNEICGNVPVLRREFMLTLNTLFQLLGTEAKNGRETLMLCVDLLSTMCR DDEETTFNIYAGLREFITRSDFCSVDSIRLGCWGLQALIDLLAHASSSLTHFADSVDF LVTCVEKLCVAMCRAFDSQMTVTECFGVPSQILGPQPLEVGGFDVNPGSVVPNVYYFY CQFLVLGLHALCYVQATSTGTFFRDLPAKLERVLARGPFLRCRVYTSAVVRALIAMAS STSSVVFDEVFFANRGDCAQWFAGWGAVHRRSWRFNTSFGDVSFFHERQRIVHIGYFW CLQRLLLQLSDTSQKSMLGASVLELWFNVFSNSSENIYILMDSKMTLTLASLCAVSAA DDCEYSFERYEILLKTMHEMGVYGMDCASVLYLLRLCLCDRDSSSVLYNDEATVKVLS TLKTLLRQSLPSNGPVLFYGVSSGCTPRSHFCNNTAALQVRGPRIGGGVDIPVLLGRV KSDRCFSFVLWFLLEPKSPHTVEEVDIILMSIAFNRNSAFIQLVYTVQGTLHLRVILR SPEDRNQQQQRLHSEYVLFSNDEIPTKRWCMVSMLVSQKRTKSFGRNPKYLLNVQLSL YTGSQADAQPSVVMKLSDIRCHGTCKGGQLLREVNMVSLVMTDGPPTNYPRRFLLGSL GAFGGVLSRVEVEMLFAMGSDSLFSLHFMDKKFVNNLFPALAYMSERNRGKHGAQLMR LAVPWLAQGYANAENAILASTFPFAVYQKRERQVVVAGVRNGDLCVESNAEYLQPTND RPKVQGSGAEETLPPASARSVKSGTRIPVPIFLGVRSVSDLQNSGVAGEDMLALLNAP QFICSTPLYSILDALGGPPFFLCFSSLQLPHSGAFEEAWECACAAVKWHTTNNLLCPK AQRGDAHLLALVKALLYHRIHVSETTASSLCDVVGDRLITRMDILPLLLEFTVWARDT GAFRFVVGKLRQYILDDVYGRFNRQILGAGRHIASTEGECNALEEFLLHLHIHFSEGI VGSWDTSLIPFATEFLTALCQTATHARRVVKAATALLALDKPLTSAAIEWVIGLLEAV FYLMNENVILCGDESASLAEDITAIFKSSHEAVRRKALSLFSRTPMSRTQLDAVISQY MVRGVLSSVDDLLSEEEFLTIVGIIERSAASGDTTRARGLLYFLVTLLSHVPNEIRLG ILSLLEEITCERGAFEGGLLSPLDTGGFPVSLLYGRLLHVLHMVEGNCSSLVESFVRL VIRMCVGMVSVSCERNDMNCGHLLGEVGLASLTCVASILKRSGREGSLDACISSALIR AYRYSSEVLRAYSMHLEKGTATGAFLMSYVSFLKSTAIVVILLSWCERDYRLSSEVKV EDESCVCHAAAEAYLAQFSKPIHFGDHHLSARLCMSFFEGGQRLFFQLQQAGTTGLHA KEVKQISQELLTLQWRVAVTLLNDADTSGEDLMKELALLYVASSGSASYGFKFSTWKE RERLVEPPLGSDWKHLQFAPGALITELLKVDYERAVRESAPWLIVSRGASSLLSESRL ENSSRYNMAVSICRLVRYNSGIWSNVKGGFTPLSVAELDSNIKTNAMSIGGAALKPLP EGGDGISVHWEVEFSRSGVASLERYINDIHSTALSSLNQCSERFALLLLSVSAEQALQ HREKSGLAVEQYCRSVLRQVASHRKCIEGESKAVLAAYAAYRFSLTPWWGQSVNLYVA SPVPMNQWELDRFTGPEWQRIRFRRFAHAMRVKHVKSKGDMLPYVYRGDISYPDDTQL LEIYSVTAVPQLIGVHCDGPQPFVAKCVLVLPMDRVPITLYVSPHTISYVHDESEPSP DATERGVTATQATKGNGGSCFSGLPCISASADQESDTVACNGDVRRYQRVFAVSSLRA VWPRRNLLQPSALELLFSTGESIFLVFHSQDTMKLVSDIVTTVACPYLDRALVLTEAN LKMWCNWWREGRITNFHYLMYLNFAAGRSYGDMRQYPVFPHVVADFSSLTLDLTSPST YRCLKRPIGAQTPEGIQRAAKTYAETSTDVGMAVEASCCGSPYHYGSHYSPLGGALHY LVRVQPFSDFFMKMNSKLDDAGRVFDSVGAAYAIATCGKDVKELLPEFYCLPELFVNA NRIPFGTKQDGEVVNDVQLPPWASTPRKLSQTLRRALEGCYVSENLHSWIDLIFGFRQ RGKEAVAALNTFHPLTYEGSVNLPNISDDVLRSSHETQIDCFGQTPLQLFSQPHKSRR KIADITFRQSPCPTLRCDASNLALCVLLNPGRLIPAGVCKRSCGTTVVFPRTKLNGIG SSIDEGTERKAQDGQVRPLVLPKGVFPFTVVHEQVRDCLRYKGSCIVLVDGKDASHQT RSFHVGYGEITALTVDSPNVYVGMESGAIHMLTMTYDTFLEVELTFRDGKGVRLGGEE VFQRFLQGKLNFTESRQTACRTLCVLYGHTARVTALCLSSEWGILVSSSEDCNVALWD TERRVLIRTIPNHLMSPAYSKFPMKSVMNHRLQGSSGSWYFDLITVNAKHGDIILAGG SLAGLHEVRRYSINGEFLGFYSLGETPATAILSVGDIVFVGRGSVVHMLKGNSLEWSC DLIHPGIEDCIESLALSPNGQSLVACDRRENLVTWKVAPQ XP_828801.1 MPVLPPWRQRTSIFHRSEGRVQSVDATRISLVAAAGLVASQSAT FTVLYLFLPEGLAGLTHLMVAAVLFNAFMLWNWGSDPGFVTSSEEPSTARERAIRRWC SVCRLLQPLRTKHCDKCGRCVRKYDHHCYCIGGCVGEFNHVRFVLTLASAVPYFVLLP PALLKCFSLGDIIDLDRVISRNIVPFIFVAYTTIQLVLVLSLLGLHCTLLLNNKTTWE LSSRGRITYLDSRAANPFNKGIVQNVYFLFRRKPINWYSVLEEDECALV XP_828802.1 MQADAKGKKKKGSKKRRTLDPVVAERMRVEEEERRRRQEVALVE RLREMKNEEETMTKNATAVVEARWISFLRECKRKELVAEIEIVRRAFGSSVDRKNAVI DMLFDELVDAEEQHRLVFQSHMRTVDSLIQMQSTRMEDLEGEFEKDLQEMKADYDREL LELARKHEYEVADLTFILENMAEEAEQLEKKLQENTSEAHDTALEKMEEDRKQMEAEL IRASEAIRSELDTRYKEFMATAQVSMKDYMDKSKKDAETTQRIASQTQRIEKLQESVN SWRTNIARNAKGWEQKNSVIQQERDATIGHLKALKSKMHGWRSKEASRLAEVIKSAKD VEDKLRGVVKDAEKILRLVELAKPLETDREQILSCNSNITTSEIEKEVKHLIANTDAG RPSEESSVPDGAAFSEDWRLLERFWTKYNKVVLDNVALSQERRHLEEENLKLQVLLKQ YLDEISLNDAVIRGPNPLIQASKLLTVVEAAGRGATGGRREYTTAIEGNKFVSDMTR XP_828803.1 MRRFYCIPWNCLVLSKPPLSRRLSSSTETPEAIRDAIAADERDQ RRREEAVDGRSVVFACGSSADHRPRIAAAEAFDVAAAALSSTPNFCFMNVSMDYAGMI DAPEVVWYNLCKVNDVTPSTVRPQQLHMIGGATRLQRPGGGFVQVMLGCIPDLQSDTF TFDTIPEEDDLAEAHRPSPAICFALMDNKLTLQYERQLVAHLQVLSERLGGCPLTGGI YPAVQKSAGTTGDSGDETKEEKGSDNDLGDSLFFLNDRVYTGSAAGTVLRSEMVKAHN LSVVPSIAIRKVAVTSISSEVEGVFVVKTLEGRRATDVIHDVYCSPEMKGKPSRVFLG LQHNDFCIPVSFIGNPGTGELRFSAPKGISVAENGTVQLLVDDVELDSEVGAGALIGL HKRVAMTYATKDVNVAREARRNVVASSAAAFHYSHPGMNVLARPEVNITLGNSSVMYA PSLLQRCLGGYCSTTGVFCPGQVITLGNATGVFARSSSYTVLEGLK XP_828804.1 MRQPFPTTWKVYLPGLFVMAIYTFVIYFNNTRGNLVKAGHDFTP IYSEEGKLEGFTHPVLVRAGDNYRSRENAKADSS XP_828805.1 MSILTYSGGSCLAMAGKECFVVISDNRLGEQLKTISMEVPKLYV INDGIVMGLTGLRTDQQTFAQKVNFRTEMYKLREERDINGKAFAALVSSMLYEARFGP WFVEPVIGTIDRKTGEVYLCATDLIGAPCEPEDYVCAGTCAESLHGMCEALWRPGLEP EELFEVAAQAMLSACDRDSLSGYGAVAAIVTKDKLVTRLIKGRKD XP_828806.1 MESTLTQLDDAPMIFCERCNNLLYPESGKEDRVMRWRCNYCKTS EIHDENKLVYILNLKVKTSTVEELELLAEFANDPTAQRDTTKQCPRCAMNEVTCFVNP LGQPHEDMTLYFACANQGCRHVWKSSDHQDN XP_828807.1 MISSSQGGPKPRGGAKRGVAELRGIAVATATNAQLRVLSYNFNI LPRGCGGFQRERISSFLETVDQYDVIMLQEVYAASVLPYFLQNRMCFQKMLVDELVLR GFQHYAISKQPSYTTMLRNNVFSDNGLIIASRFPIGQRGSYTFRSHERAVQSVRRGCL FAEVKVPLTSGGEESIIFFNVHLRQEDSDDVTSEHVKETRQFAASVIRNMCSNPEDVA QIPFVLAGDFDVNGINLHNVGQPTKKYEDLLGELQALGSGVREAVFDAQHRHPPTRPT ELFFPTQSKLVRNSFSPQRQDYFFVSHTVAVKNPDIHKFVSDSQQPYTYLSDHFGVSA VLAIPQTSKQRHKRWMPLTESNAPESEETVNEHSNPIFSIIVEIIVLCTVSWAAFCFS WVALLFSLLVGVLVWYCLSPIHELRSERKFTRVGNSNAHGGEGCVSDLPREYESLKHA NSVGEMWRRAVSLHSAQRCLGQKNDAGVPEWLTFVSVDARAQELASGLAALGVGPGDV IGVDCDASVDSTVLELACATYGIATLALVGKGSTIRNLIDEYDIKVVFAARNAVGAIL TCRSRSLETLVCMHSSHDSTDCMVARDVCITLISYNEVFSKGRSQPVLLRPVCDDTTL YTMVVDPSTSNGNLKVVRVTHADALRAIRTLVGTAVLPNTQHKHLLVHYTPFAMLFNR LFVLGLFAHGSAVATTPVAACARAFATIQPTIVVATPSLFSTSAVQLRRRNERYWPIF SWIFEQIYHLRLFLINTHNRDSLILRTIFFRGTQHLFGGNVEKIVVCSSEESLSDTLA EHIVVCYTPCLREVFFLPSEGVFCVDGVPAPNIHVHLEPFDEPSKEAKIGSLVLSCEG RKERTLPIAAMWTGTRTLRLIGPPDGVLLPVRSEYVLAASLERVFSQSRYVNDVFLYA EPSRPIIAIISPNRDTVDFEWRQSREGEVAGEDNLLSNWAKFASFASDLLTADFQVIA KRNALHESNVPSYVHIHPHAFKKHDSFLTPYGGIRRNSLKSYFKVVIEGFYNDATPTA LPTPGQIIESDEEGQAYERDQKPFSLNTPISIDVGGTFAKLVYVQPPGDFKVPHYVVK EAAVLAEGFNVRMLDLLDNAEGMKRLLNDDPFSTVGTLQFAKMSSKCIPDFMSYIVES QMLSYYTKEYRNTLRVTGGGAFKYAALAKKMDLNFSVMREMSAVVHGLGVVIGRAPET IFTVDPATGERHPHRLKSPPGEPFSPYPCLLVNIGSGISIIKCLGPDGSHVRIGGSPM GGATFWGLVRTMTAVTSWEEITETMRLDGPGDNRNVDLLVGDIYGYNAKDLPPMLSVD TVASTFGKLGTERFYESQGNVDRLYASSSEDLSGAVSSSPDSNPTLHDAVAPTLASHG KTSEIDIVRSLLNMISSNVTQLAYLHSRVQDVHNIFFAGGFVRNNPIVWSHISSFMAY WSKGECHAHFLGHDSHLGALGAATNTEEHVS XP_828808.1 MERQSDEDMLVGTNDEPGTCRMVMNEILELRWRALVAICLLTFG SYYVVDFPGSMGTGSGNTIEQYFRDHNMEYTQEMNQLLYSVYSWPNTVLAFFGGLLID KYLGIRTAALLFTSLVVCGSLLFWVGLRFTYFPLMVGSRVILGIGSESLGVAQSSYVA RWFKNTRGVALAFGVTISFSRVGSSFNFIFTPTIAESLGVEVATLAGVAMCGVSLLAC IILVVVDLYAVRTKYIRAEPCDDEESVMKLSDVFRLPFTFWALTFMCTFSYTAIMPFI SIARNYFQVKYDIDGTQAALYISAYQLSAAIGSPVIGSIVGALGRNTLWLILSSTFIG VFHLVLLLTNIRGDLLMASLGVVYSFLVSGLWPSIPLAVEENVVGVSYGAMTSLQNIG LAVFPLVVGKILDAYTPDHNSSSIIDLAFDAELLNGNSNASADGPHPTLEGYEVAELV FIGSAGVALLASMAVLIADKCGRGILSASAKKRGQMKDEKRESLLNHLPEEERTLVYL HREP XP_828809.1 MCTYVNIHVCYILTFLYFDFLLGQQCVPMPSRAVLRKKARRESK RRKDETEGKTTAFKLQAMEQLGKSHKADATKHVASDRKVQCNKRPRGETVKEKDTDVC EVLEGASRKERKRFEAKRRFERQLGALNRSLAAVTSNTDGTSRDAAPSAEEAHQRHDP KYKNGTFWRDRKERRRRTVFLGNVPAKLTEQDVTSLISDTLRKGWTPPEEDGIADVDV VIEEEVVESVDFIKSMPRAKRRHMYVTMCSIKAAESATKLLDGKMMEGIALRCNFAAD KVQRGEAIQRRSASGSEH XP_828810.1 MSRLLSPSVALSVENGFADIGEFCASSPDTSSSVSESLASAELP QLHQQHKLGGVDLNEVADELEEELVLCLSTGYCNLSQMGFVTTPANIPYESLVTLLLS GNQIKEISESLFTNGNFQFLCKLDLSSNCLESVPKSLFKLMKLEVLLLDHNNITRLPV SVDEQIGSQLLPALQRIGLEFNDLSRFPIELFKHCPSLEAVYLSQNLRMLNEPVSVKQ LLQAAAVESSKENHRVLLKVDNKPVFVQQMYDEKWDEVLPWLDVELHKIYPDKVLSFL YLGSLRTAQTPLVYRDLDIGFILSAGRNMTVHVESGMRHLVLPIDDHPGEKLRPIFDM AFNFIDDAREEGKGVLLHCFAGLSRSVTIAVAYLMSRYNYKRDEAIEMIRRVRPSSQP NSGFMDILAQYEQELNNQKHHM XP_828811.1 MSTQLVREVIFSSVVWTAGDFLAQFLDVHIDAARRRAAGEPKSD HPSGKQMIIMVDQQRLGFAAVFGAIVAPGMIHFRGILARVVGSAHGNTLAAFSILTAQ QLFATPLMLLFYHNSATMVRGGFTDPSFLSAHETSVIARLRGRYDAMAVERRIAIDIL PQTLLASWCVFLPQVLHSYMRGRSLRSRYAACLHIPWLAYVSYVQSTMLL XP_828812.1 MQSSSETMVSSASPLSVDEPDLWDASEMPVELSRQLAVVEAISI KYANTQYEGFGSFHSENVEDVIGMDDKEYRPPSLPSFARRILSSTELQEIRTMGDNEA REEEIMQRPVARCDFGRVFRREHFVVTPKFVFLNHGAFGGALRGALEVKHRFEMMMEH QVVQYMDRILLPLALYSVRRLAEFVNADPKQIVIATNATFMLNSAMELIEKDDVVAYF DTEYLSVYKMMYFRCKKVGASLHEVPLLKYWNNPDIMGDDEALTREMCSNLPGGCTTV VVDHITSTTALLFPVFTHLIPSLKRCGVRKVIVDGAHAPLQVDLDFKALPEECQPSVF VGNLHKWCSLPKSAGFMWVHSTLVDSVHPVVLSHGSGDGLLSEFIWDGTRDHSSYLCI PAVIDFWYAQGHKRVREYCIDLLQQAAVMLSESFDTKLVSRHSPFMSLVELPKVLQTP NVTPRYLQDVLHDVYRVEVPVKKVEGRLYVRISAFVYNERSDYVYLREAVLSMSRKLG SILMRKGVSGKTEETPPDNQKVLSDKHVREKTGCGLCGLNSVSKRRRGSRF XP_828813.1 MPPTKGGKRPLPLGGKGKGKRPPGQTTKSSSSRKKSGARRGKKQ QRWDLYIHRTLRQVYKRGTLSKAAVRVLSSFIEDMYGKIQAEAVHVACINNVKTLTAR EIQTSARLLLPPELAKHAMSEGTKAVAKYNASREEAYSKVL XP_828814.1 MSSLPSDSAEGFIISHDSRCNSSPVALGNLSRSRCCRRTFIWSP DAFNQLIPVSITSALVFGVVFTLWNDLGVAELVFLFFLLSIAYTSAFILSSSDPGVYP RLRLSEVDPLRDRMELVYCRVCNLRRPPRTSHCYECNVCVREHDHHCGVLGGCVGQRT MRWFVLYLISISGACILGLLWLIRGLLRLGPMVVMPSTNSSTVRNVSDRGAAPSSAAY KGEDVKAAAIIVMFIILVLITMLVGGLAGYYLYLVATSTTRREAQKRTPRTHTSFTLK GMVSNVVNVIYPPPSLLIEPAGASDVHMV XP_828815.1 MPQCGTEKHVSAPPSYTGPFVAVVVDLDGTILDDNHRVSDVTKA TLYEVASCGVHIIIATGRPREGVAAIEQELNSYFRCNSRVPGRATSLAEVKGFHLVAS NGARIYNTTGELISAESIDNSVVRAIYERVTESNINANSEDSMLVSVHQTGAWWVNNV LPEEWLRRKYGTLPEVRQNLSDFPTDGVGKICLRSFNEGTLRTFQKELDTKYEHYITT VMTSDHCLDIMPKGVSKASALRMVGEILNFEPHRDAIAFGDSLNDRDMLESVAKGCIM KNGKNELKELLPHVEVVGCNEEDGVAHKLREVFLLS XP_828816.1 MSRIPEKILCASAGAFVGCIGFSYTNPKWTQRRFNPKNVPPLLY QQTPSREDCLKRMEAFNSPENPMDVIIVGGGSVGAGVALDATTRGMTVGLFEMNDYAS GTSSRSTKLIHGGIRYLEKAVFKLDMQQLMLVAEALRERIIMSHQAPHLCRSIPTMIP CYNPIDIAKFWCGVKLYDIIAMWERGTLEYAGYLTPYAALKKFPYLKNGTNNGKILLG AVEYYDGQMNDARLCLSAALTAASYGAATVNYAKVEKMEVVQNKMGEQVVKATVQDRI NSNTLTVYGKSVVNAGGPFTARIQKLITDKTSVRMAPSSGTHIIIDRKYCPRDSAMVI PSNDGRVVFSAEWLGGCLVGTTDKGCEVTEDIRPTKSDIKFLLNNVEPYVGKVSEKDV LSAWCGIRPLALPENAEGSDTQNIVREHMVVVDKDNLMVSVVGGKWTTYRKIAQDTVD ALYSSLLKGRVECKPCITEELQVIGAHELETVPEEPKISISNKVHKFWRRQYGDRYHV LADMVREDPSLLKPLHPEEPVLAADVVYSAQREHCERVVDFISRRTRLSFLNVEHAKA IIPEVAQIMATTKNWSRARKSEEVAHALAALESFHGQ XP_828817.1 MATAKELGPGGLREDGRPIFIATDHTKFTPSTKQELTDQQKLAW KSFQLWRTTLGIERRDNVYKTLTNSDYTNFRLGTHILGTPYPVIETVPGFFDVFKAVR WYEHAISLAVALGYGYWIRSKASTRYSRMMPMSRTSITMLTFISTDLCFCYRSMYRLT GYLPNEYECFKYGVLEDKDKLQRKKELWEKYANHKREWCRRYDYHVYGIRPGETFSFF SACMIPSWEPRYNTRTDYPPRKNPYFLTSTPLRDTFLESPFTYEIPKDETVPLVRERP ELKYLYRGPGRTTPPSDEK XP_828818.1 MEDSNEVALRGLRTAPGTRRASPQGDTKLNLVPEPPLPRTGASM LTIPVVKLLHCAVRDVVEQQAPSLKCSLYDDEEVTNEVVNHLLHDTAVDGVTTLDVLT AKWRQVLSESLNANGTVSDAERATLPVTPGPSEAECNEILEPVTDAGVILSQSPASVN VLRLDCDSELSLDGLHTEDSQNTHADAHEFIDACLNGWLKDGHLVPGEKRNAFVSALR VVSDADSDVVVSDSHWDFWRGSLLACLEQPTIAWEAADLIVAFLCSRCSSTQKLLLVE SVTRMLRQYSQQNESGRSPEVLLHLLHKLVLRLAGDIDLLLDDELGGLFHHVIESVIE HITYFGAVDPQGQWLKELLVRPALTRHVIRLPETQHALVSKLLDAFPTTHAVGLTLVM LPLWVQKHNTKGIFASLLSCVVNGVMTGTVRRDMVGDALVCIRRCARGLHYSRRYEYV NQICNILASSGSDTRVEDKNCGNDRRLWRLGLPLLLVFSVPSGHDCDKGYRLLPGQLR QLEKCLLRRTELWSEESPEDREIISSFWCEMLHWYAVQLRKVVKRVVKTVGSGVSRSA VPWDERHLVSISTVTPAWRELENILTNRGRHQPPDYTTVLQCMCSHSVLATSPQYFLQ QNVQVPQRDRYALLNTKHEIWWLLMRWCSSAPLRHELFLATGRVLKLLTNSESTINET LLIVPVEELEGEVQVLHTIPTEEMEKRRRIALFQSIRLWIAALTPPVDTTPLTRWDVA GAFLQQIKRHWIDREQGDTSARLSFGDDSLLLLLCVYTIGTLTGAVLNGSGTHPPDLD NALRRILLDRRGFDPVQQLLLYLTTCADCSGLTDILAHRFDAVSEPLWRVEEYRNAKP TVSCSPLPVGQKELHLFKKHVGESLDQSYCASDGYYSSGMEQVLMLILQLHEGNIASA AASLGSFPLSTWEAYGGVKELSARIVESIRETHPHMEGLLRLHGVDLYYLSLLCTSRW LRDPWRAADVARTSVDIFVRRGWKAWENTVAESLCAYITMIHEQFQRHQSLLLCPWEE TAVFPVSYFWASCITNKFTLVRSS XP_828819.1 MLHHKLDTVSVIALLLTLRGTVADDNITGEEEGTGHRCMHNDVA VPYDELPSMDAVHDLQTAHVAEVSNNTEGEGNKSKSVERKNVRFHIKYALGETCKGIG MTVPTYIKGTTKECTEDDVLTKWKLRSVKVMMEAATKFLSSALLVDPLEAVNVPGGKC SGVQVPKMTVPNADYVVFVTINPRPEEETTTVAWAAACLKDTRSGRPVVGHINFIPAA IQRNPSSLAEHVAMHELAHAIGFSDIAETMLRAPNGLGAKGSQRVYRKGLGKAVTLIT SPKVLKVAREYYGCPGLDGVEVEDAGSEGTRGSHWKKRILFNEALVGSVTSGQLFFSP LTLAYFEDLGFYTANYSTAETGMTWGKGRGCDFLYQKCDNHPREWGEFCFRKEMFVST CTLDRSSLGACDITTHPEDLPQLYRYFDDPRVGGSSAEMDYCPTVMGFVNAYCTAELG FAFMNVFGNEMGVHSLCYDSDVITSVFPNFPFAARCFPTTCTPSGQLLLRVQGRTVAC PRDGKAGLGDTSKLKGVHGKVQCPPSENFCKNSGNGISKLQLASVADEVDGSSNTERI GHSLISPTPHTWNSEDMGSCSSRLACLKDIPPPFPACSLAARKVKECLGNDCPGSAQQ WRYANEVGNSCLNPEGMVAMCMDGWRGVNELCGAVDPESKLGRSYRSMLPF XP_828820.1 MGEEVVRLDGHGVTDGTVAGLCDHRNIRRVELTNCTRITDISPL ANIFTLEEVVIRNCQSVRYVGTLGQSQPSLRRIEFTGTPLTGEQLQLLRSAQAQLILR DGDFPVQLKQPGQLLVKESIDVVKGIVSQFKPEEIGIAFNGGKDSVVMMDILYCVMGA EFISQCCVFHLNTINDKEFHEVVEFRKAFAAARKLSIVQSDQMLSMKDGLEQVKKTMG IRVAFMGTRKADGCHQMTGVERTTAGWPDLLRACPLFCWEYEDVWGYIRTYDLPFCEL YEKGYTSLGGANSTIPNSHLSREDGTFRPAWELANGRSERCGRLST XP_828821.1 MSRANVPFNPMRRTPPPPPCIDTFTLVPSDYMSMLSADGHKFVL HRDCACASPLIRKALTNLVDPGVPEMRFDWANGDNEPPVIYFTKAPTALLEVVIKYLY YKHRYEGDTDYRPPFDVPRQIALDIMKLAQVLQC XP_828822.1 MIAIRLFSSHGFFFWFQGVIMKRAKALVVAEQATPQVIPCEPSY MVCLESSDGHRFLVDRNCAMVSGVMRQAMRNKLSDFDDNNSSHVAANATSTADGTVCP ASLSPTTFETATFSSSIASSVCSEDCASTMGNLMSPTQRGEEVCTMTKIGSLEYEVIK LDEIPGDLLDLAVQEMYYKYRYDGEPEKRPQEPTHTVDMRYKLAALSVLLDM XP_828823.1 MSRDRGGIELVSHGRGVRDCFEENESASDAPDGGMEWVSSGDAS EECVNDVDDIYSNRDDSGADEEGNEFGREYEDDRGEFRSGFATAFSNILARDLEIQQR HCQQVEGAGVDVNPRVATADGQVATAGAKEKICCICKDKSVYTCPGCGARTCSMTCVR VHKAQFNCSGERNVAEKVPLSEFTDKQLERDFHFLEDTRRVISNCERTFPKMWRYTFR ALPPPLYALRKAAKQRGVVCQITSEGMSKRDANTSRFDRRTETIIWRCQFNFHTPNFT VSTDWGNERHKLGDILTYCWAKNPPVHCFHINRRYNRASKWIGIEGEREGKEVEREEE GEKDKENVENGREENESVEFRCSGASDTLADISTRKEDSNDEDGAVGPLFVEVGDKQQ QQQVWKPSVLDISPQSPEETKSKETVSAFLSLGPVIILAQAERLGLEKKYFRLSPSQT LNETLRTLFFINEFPVFEVIHASDLESYALVTDADKERIRESFRAAPRPTKPERPPRP TKSTLTPEERDRYAQVPCRLFLAGCCKLGEEECPYRHCEYQDVPVCRSFMKFASCEKG NRCVFRHDATAVATARKRMREERQAVQLGRRRF XP_828824.1 MGSDDSELEVLQDPSSYTKSIQKDNGARWVRGLTPIETNDSVKL TTAPSSTRSWVLRCMRHWLLVPVILGVCCLLALAMDMADMWMTHGTTYLERRRHPLDF PLNEDELRGFTALQGESLMHHLSRVRRIWCVSVLSTLFSLAISWRIGIAARDFLALCG VGVSILSLLATGGQWILLWTACDTKPKRGNIECKAPFVLYWCLTVARMCGPLLAVWFT ASVFDDVRGYRFFWKIFLALPVFAYVSGAALLANCCKRGGGSPSCDGEHAVYRWCSVA TLLSWTQLVVSCWAQHRFNVVLMVKPHNE XP_828825.1 MRRLFVFFTHLFVLCPLFLFTFCFFAFCHIVYLGPEQAGTGRSM ATHLIPTAAGAGLALRLLDCSACALMNSLSGWKVTLEGRRDIANFSLDIITGGMMIGG AAETLVPFRLLETLHQTFDAPYSGGTSHKAAWDAVPRYDQFVVLIAPCDVPQLIQKFP LYKKVCLCVPSGPLCSRMLFEKYLEEFYAEEFNPALSALADMGGYKCTAWLRSSLTCR YEGLYVPGVRVAEAAARLLSCDILGEGGGCSCERVVFEEGIFGLTPRWLETVMKTAAS CGVPMRCVMLALCRGESGNVGENYVLSKAMEVGIDTIAVTAVPTPLFPGTEGLLGIDD VRTFVSGWNDAGNAAASLEELELVDDCVEYCALVRKQWQSLMPTI XP_828826.1 MFTKKRLAVHQVRSSATRRTASGITRNDIGCGTVGCKLCASTAC QNSGNSSSLVPTAPIMIPDAVTILHNMNAMEDARIQNIVLLSTVMSEVQERNKAIYAR LQRLVGGERKQCYVFSNDRHEQTHCVMQSEETRSDFNDRCVRVAGRWYAQHLALAFPA VTGVAEIPSVVLVSHDKLLQSAPNSAQAEENISNLSCLTLRQFLEGCVTAGTDLLEMI QPDRPVEGKEQGSARALFSPHLAESALDLGVQNGTYLRGKLRVSETNCFFGEIRGQWK GHNFERVLLPGRTNLNRAIHGDIVTVELLPVASWRPLRGAKPTEEMNDTGAGGDDHEN SGREGIGEESEGAALARGYTPVGRVVGITTMNRRPFCGSIDVEELNKLADTLDTLTGT VSVLFQPKDNRIPRIRITTAHLGALKDKRLSVIIDDWGEHSSFPVGHYVEVLGTIGDK DTEAKVILLENDIPHYDFSEAVYDCLPKGEWNVTEEELGNRLDLRDLCVVSVDPLGCR DIDDALHCRRVNGNHLEVGVHIADVTHFLKEGTAMDEEAAKRSTSVYLVDRRINMLPQ LLTENLCSIVADEDRYAFSIMWEFDENYSVVREFFGKTVIRSRAALYYGDAQRMIDDP EDESEAAVSLRYLMQLSRHFRKRREKDGALFLCSQEFKFKVDNDHVNPTDMQAYQTFD SNSMIEEWMLFANAAAARRVYASFPRWTLLRRHQAPAENAFDTLNEAIRRKIGLKLDD TTSLALNESLEKCVDPSDPYFNRLIRTLVTRCLRQAQYFSSSEVSKDEFHHFGLAMPI YTHFTSPIRRYADVIVHRQLAAALGIMDVSEAHMVSVKMEALASNLNYRHEQAQKAGR DSQNLFTGFYLRNFANQEIPSEDGYVVKLSETHVFVLVPKYGQEGKIAKETLVRVPNL LDKVKVGIEVRQRGDVLRASLVFSIIGLTKGCEDVSEPVAIAGEDLPLKRQRLEEGQQ XP_828827.1 MNGRLYCLIRRITSPPVATRLIKEELCLSMAAIARLPLRRDQLA HVTNTEAITTRAQRISHLCTPTELGMIAEGAEALSCNRFDLADALIDGAYESVRRAAS STRLSHVSAIARYSASIKTYGNETITTLLKAGASLLQKNDSVPVLKSFLGVAQSHLTD GEMRVLIDEMCAKATEEQRLCINSIGTQSLAKDAAKCGEETLTKGNEDGDETAVDDEE TQAWDMLRARQWMLQLVRCGKPPTAAEAVQAMELYAHFAVRDFVLHEKIEDLVLLVLP TGNKFHLNEMHKIVLRSPNLFPRVRNTLGQDHSGVSDVHRADRGVEWSDDPASSLTTT YTTSRAYSMLLLGQRLSEDIMFDVVQEQSETIPVDVAAQAACLFAEKGDIPEGVILRL SAELEHISPQGVTAFVRAARRDSSGALLPHYAAVLNRFTERDLCDTPLETLLQMCEVF ALPAPRGTSEGDNDSINESQSKFQKALIVRLFSVIQGSRDVPFLCKVAKAVRAFDAND ELIQFVCSSICAQGALSECEALIAFDMIRCCDFVYEPLLDAMEPVFRRLVESVSAMLE GKSTINDVEVRRCACFATLQSEFDCPDFETLASLLVHTVEKNVTGCPVELIPSVGLLC VRTRRTSALYIVGNKLEGNMQQLSDDAIGELARLLVGTENLATKELAVEFQSVVVSRL LRQQSLPPDVVALSAVVWLRQGDKVGTIDERSVDYIIKWMYAIGSSVYTDLCLAVHLS ASVESLSNALIDDLPRRLELLTTNEMANAIFGLGEVSDMGARLSHQLVAERCSDYVVD HSQEFWSGKVIARLLYGFSRMHCTKRSLYNVFATRLAHRPVFSLLDQEAISFAIAAFG RVKYLDKKLFDRFTRWILDHSKDLNAAELLLTIRGVSRVMLLNDQLYDDLGSKAAEKV KEFPIESQCVLLSSFGSLGVEHERLASRMVSSIAENREELTDATKAVDVITSLWSMNY DVEDDKHVAQLADWVVQRAEELTDESIGKLCLVLSDTNWRHVPLVRAIAEQSVRLQGQ QSISPKCCREVLDVLGTFMIHHQGARENLSALGRSISKERIQLSEEEEQHLQLLLRR XP_828828.1 MKDQRTTILDSVLDTIGSTPCIRLNRLPNMHGIQCEVVAKCEFF NPGGSVKDRIALKMVLDAEASGRLPPNSTLVEATSGNTGIGLSLVGSVRGHRVVITMP KKMSHEKEVVVRALGAEVIRTETSLAWDHPESLIGVARRLEREEGYVFLDQYRNPSNP GAHYESTGQEIYDQCGGKVDMVILGAGTGGTITGVAKKLKSLLPDVIVVGVDPVGSLL ADPANPPKDAKPYLVEGIGYDFVPDVCEREYVDKWVKSTDKESFELASQLHREEGLLV GGSSGSAMWGVLQAAKDLGPNQRCVVVFPDGIRNYMSKFPDVNWRIEKKLESGEVTRP TYENLQAELEGTRKKLAEYEAKLGLIGK XP_828829.1 MNADEKTRYDRQMRLWGKSTQERLRRTEVNIKGITSANAEVAKN LVLAGVGSVVLDDTAPVEAADLKHSFILQGCKLGERRGEASAGKLQSLNPYVAVSSSR EIRNRDGAPQSNSSLRVVLARAKCEADMLECAGDPLSGSADVMLLTVDLGHLTAGFFL YRKQKIPFVAQLRALLDEEVGTRPVVFQRVLLLLKMAECPQELNYFERLLFAKDFVRQ RSLLQLTREDIEFAAAATGELMHSTAIETTVAGGVFAQLIIHTIGMGSEEMGEGEYAW AISDTSDGVEVQVGHLRNP XP_828830.1 MIFLVISTAIIAVLAWFVAGVFIRGGGGRGKTAAPQVVGVAQYP SQPSSRVDVRVLFGSQTGTAEMFAKTVTREGLRLGVPMKLADVENYRPSDLAGEKYVI IICATYGEGEPTDTMVGFHEWLVDDSRAVGEELSGVKYTVFALGDRQYKFFCREGITV DRRMSELGAQRFYPLGYGDCGNSIEEEFDNWCHNLWPVLGRALSLVLKSNSTEPVAPE CRMKLWGPPEEAPLPFPKLASVLEPTQRLPSWAPVKVNKELLSNATGRSTRLIEFDTS ETVISYQAGDHLGVLPSNPSEMVNTYLRVLGVSEQESSQVISLQNRATGKNVFPCRVS IRTALTWYIDLAGPPKKSTLRAFAHHCTDPVEKDTLLKLLSTEPESVEAYGKLVLELR TVLGFLQRFKSMSPPLSFFLEMMPRIAPRYFSISSDSLTHPTSVAITVAVVEGGLCTN LLQQAAVGQNIPVFVRKSNFHLPLRAKDRPIIMIGPGTGVAPFIGFLHRRSAWLEKGN KVGDALLFFGCRRREEDHIYADFMEKCLSNGALSVRDVAYSREQADKVYVQHRLAARG KEVWEIISRGGNVYVCGDAKNMARDVERQLLDIAQKYGAMKEDEATALLEKLATDERY LKDVWTA XP_828831.1 MTPPTTRRYPIRLLVRHLPHDTTAESITRIIVEGCPTDSVEEVT TVYVIPGRPQQGVLPPIPSTAVVTIQPTARQQADSNLAMCDRALEIVADTFDGKVVHS GDAGTCNVMESTVELSPVCLRIPSGMRSKRGVSEDWVNRLRSISAGSIEDDDNYRAFC VSALGSNREVLTAGNNMSKGWMHEGGNTGDGATTHQAGAAATSLREQHQQGSQEGEGK QISNLVKYLVSGVDQRKKKGSSCRRKNEKKAGAPANAKPMKILVREWTEDDAGKLTSV GQTGAGLQRREVSSGTTKTPPREGGGQGESGGGKRRKRSRQSKKKAAKLDDLDGHRNT DGVSGTLEGRKGKGLKLPKRTAELDNHSDESAVNDKGCRDAEKRANRRKKRRADRKRR NRRSKEKEGETLTAAGAE XP_828832.1 MLLCGPCYYVVHICITHLPFNMIGLGLSLPEGLVMECDKPSGRF RVVFRPLSVAAGQAPPTGVNSPPPQHPTPISIGAPTAPAVSGISAEANGGATATAPEQ RRYGGAIVRLSELGVNVMAPFAVPPKAQRPPVRSVSEKPSDTVLWDAVPIDTEASGKS NNVTSFSPTVAESHVAEGEWRSRRSTQPSNNRGRGSKKAGKKPVSRTTRHRGNKGSSK GVKLPLQRNGMPFPHSWSTVRGRRQLHYNGRTYRGVQAHRLWDEIKAMSSVDVPAPVA RPSTGVRRETAVRGGSRSSVTKDANEQALLSFEAPKPPCETPPKRHRRSVPKSPRPLT TTVVQGRRLLLDDDEDWTAPKPSTGDVVLLSDSGDGIGCDSESSTLTTWPSLLIDDEG SSGYEYVSSNSTTSSDSCVVAEERFGRPGRGNTHQCCNPAASQVTSPNLAEHDGFLYP AEVLAEVRRKGQNGIRSLKSHHETSKAVAPAVSLANDGVEVMSRVAERPNGVRGFTFI GTLNGHTVAPATGASASAMPSASHVGEYRERYEEMDVFPCVPDAGEEDLFVVGEEIGG MRFAA XP_828833.1 MSRMWLTTAAVFLTVTVAAVSAAPESGGKVEAPCVGIDLGTTYS VVGVWQKGDVHIIPNEMGNRITPSVVAFTDTERLIGDGAKNQLPQNPHNTIYTIKRLI GRKYTDAAVQADKKLLSYEVIADRDGKPKVQVMVGGKKKQFTPEEISAMVLQKMKEIA ETYLGEKVKNAVVTVPAYFNDAQRQSTKDAGTIAGLNVVRIINEPTAAAIAYGLNKAG EKNILVFDLGGGTFDVSLLTIDEGFFEVVATNGDTHLGGEDFDNNMMRHFVDMLKKKK NVDISKDQKALARLRKACEAAKRQLSSHPEARVEVDSLTEGFDFSEKITRAKFEELNM DLFKGTLVPVQRVLEDAKLKKSDIHEIVLVGGSTRVPKVQQLISDFFGGKELNRGINP DEAVAYGAAVQAAVLTGESEVGGRVVLVDVIPLSLGIETVGGVMTKLIERNTQIPTKK SQVFSTHADNQPGVLIQVYEGERQLTKDNRLLGKFELSGIPPAARGVPQIEVTFDVDE NSILQVSAMDKSSGKKEEITITNDKGRLSEEEIERMVREAAEFEDEDRKVRERVDARN SLESVAYSLRNQVNDKDKLGGKLDPNDKAAVETAVAEAIRFLDENPNAEKEEYKTALE TLQSVTNPIIQKTYQSAGGGDKPQPMDDL XP_828834.1 MSFVPASQTASVDRDVFINHGGAYWINPVPGGTFAPRSTYTSSK KGDLIDPFGNPKVRDVPDLFGRSRYSRVPIGSSGDGAIRETSGAEGDGNGGVDAVEGV KAGTHGNDGGLQLGSGLAGTVGDHGQQGETIGTCINSAEGKQPFSTGDIMDKQLPLDT ANPERRIAHPGNHTDRIPPTGGSEYNGTVTEPWCAPAAVDNTYLRLPLIYESTTHYQQ RTGRYYTEDQAPPHGMSLPPKCSLPTREW XP_828835.1 MLQEVLRLHPLFSASGSSRNLHLYHISSPLLSPLLSLYARTLLT LGCASFAAPMKYSFIGLLSVICVTTVFATVGDGNTTRKEACLARMYPQSAGFFGSLGV AVALIFANLGSAYGAAKSGVGLAQLGLLAPEKIMRGIVPVVMAGILGIYGLIVAVIIN NNIKTELYSYSTFSANLHLGAGMAAGLASLAAGLSIGVVGDTTTRAYGKQDQVFVAMV LMLIFSEALGLYGLIIALLMNNQANRYTGLCDSS XP_828836.1 MGKVRDTPMILIGVLGLIGVVAAAAGSHWLDPNLSDKLKLAWDH AVQFNLIHTAAMMAIFAALKIAEPESSAARWLNRSFVLLFAGTTIFCGTVYAICLGVP GKQVGPMAPVGAITMMLGWVSVAIAGF XP_828837.1 MGKVRDTPMILIGVLGLIGVVAAAAGSHWLDPNLSDKLKLAWDH AVQFNLIHTAAMMAIFAALKIAEPESSAARWLNRSFVLLFAGTTIFCGTVYAICLGVP GKQVGHFAPVGGITMMLGWVSVAIAGF XP_828838.1 MSRMWLTTAAVFLTVTVAAVSAAPESGGKVEAPCVGIDLGTTYS VVGVWQKGDVHIIPNEMGNRITPSVVAFTDTERLIGDGAKNQLPQNPHNTIYTIKRLI GRKYTDAAVQADKKLLSYEVIADRDGKPKVQVMVGGKKKQFTPEEISAMVLQKMKEIA ETYLGEKVKNAVVTVPAYFNDAQRQSTKDAGTIAGLNVVRIINEPTAAAIAYGLNKAG EKNILVFDLGGGTFDVSLLTIDEGFFEVVATNGDTHLGGEDFDNNMMRHFVDMLKKKK NVDISKDQKALARLRKACEAAKRQLSSHPEARVEVDSLTEGFDFSEKITRAKFEELNM DLFKGTLVPVQRVLEDAKLKKSDIHEIVLVGGSTRVPKVQQLISDFFGGKELNRGINP DEAVAYGAAVQAAVLTGESEVGGRVVLVDVIPLSLGIETVGGVMTKLIERNTQIPTKK SQVFSTHADNQPGVLIQVYEGERQLTKDNRLLGKFELSGIPPAARGVPQIEVTFDVDE NSILQVSAMDKSSGKKEEITITNDKGRLSEEEIERMVREAAEFEDEDRKVRERVDARN SLESVAYSLRNQVNDKDKLGGKLDPNDKAAVETAVAEAIRFLDENPNAEKEEYKTALE TLQSVTNPIIQKTYQSAGGGDKPQPMDDL XP_828839.1 MNGEEGKGNGRYPAPVKLAREILDTVTLLQSRQNMWNEEMHRFF ATEKQQQDESDSLTQRVQSAEAASREDGAFNDWMSRRAEQGVGKDILQDWYHTEALVS KTPFMAITPCPRGLSSGGRAEMVKAVDALELPRFLVQTVPLHLPLSKLSPTFVSSAFH VPEGERNSKSSLGALSYIGVPCTYGWDVLHLMNDHPMLAAAVDRTPCLFFNDYVPRAK LCIHCAIVGGFCVAAEVACDVQVAATLRLHESDTSERNAKSLISALSFALNTFVKDHL VSTLTGRSFRALIIGTEHTIQHRDLTAREEHLFPNNVSFFLLNLDSVDGSEFECFTQE EILSSARNAAKCRVENIPFDPIMRFLRPPN XP_828840.1 MFTLVGRRLDKIPFSTLFSYNTVLESVFSAPARKDALVVEVAGA EPMCYSFGRLRKDVLAVANVIVQRRELLAKIQRMPSLCWLQPPRPDNVRSVYSNEEGG VLSCDFLKDDGTYNLAITGNAGYAFVVSLLAAWSLNQMAVPMSASQKYAGELSYILGH SKSRAVLGDTWAFEDKFPADYKNLLVRELECGRWPTCRSATSSTHHVETMFDLDSLLD SVEAQREKEEETGTLLQPEHIPAKAESRKINDAHDVIDRLELEKAADAKRCEARRQSM MSEQFKENNAVTHAADGDAGEMYYDTENLHSLNPVHRRWVEDPRSRPGWNDDCLMLYT SGTTAKPKGVVHTHGTVRNMVNVLQDVWQWSSDDTVLHMLPMHHIHGLVNILLCSLAS GARCVITKFDDPIRIARRLERGDITLLMGVPTLYTKLIAAINEKMSPIEKRGFKTAVS QSVRLIVSGSAALPTPTLHAFHELTGHILLERYGMTEIGMALGQPLRPVTRRVPGTVG QALPTVQTYVFNNPEETVTDEGGEKADGKGKPAEYDTVGRLGVSSKSVFDRYWDNPAA TKKELVVNSSGQRFFDTGDTVGVKMFNSDCDSVVYTILGRTSVDIIKSSGFKLSALEI EAALLTFRDIFYEVAVVGCKDEVKGQSVVAIASLQAAALRKWNVPDNFNHYESPELTQ ELSAVVHEVLAYYKCPARYIIVPEVPRNTTGKVNKGALRSMFNLV XP_828841.1 MFRYTAAFFGKVLVLAETHQNSIFPGTLAAVTAGLQLGEVTTLI AGETPATAAAQLSKVKGVSQVFIASGIHYEHGLPEEYAPLVASLVQLGGYTHVLAATS AFGKGIIPRAAALCDVMPISEVSEVKDESTFVRYMYAGGVVSTVRSTDPVKFATIRST SFERAPLEGGNALQQEVEVTPVVGTSKWLEDASVNNDAPDIQTAATVIAGGRGLKSGE NFKLLHDLAKPLHAAVGATRAAVDAGYCSNDLQIGQTGKTVAPNLYVGCGVSGAIQHV AGLKDAKVIAVINNDAEAPFFQVADYGLVEDLFVAVPKLTELVTKSRK XP_828842.1 MLQNCEVASKRVERGQTTTTDPNDDLAFERHTSAPYGPVKVKRY RIQNIVLEILLVAALCLVLAGSNSSTGKLEKDNYEVFIDWQNVWVCTDEECLTHDIET TLCKKFVTNVTVFHISALGFTIPCFLAIVFNTTMIFGNAFVPKTFMLFNLALCTVASA VAVKASVDTVSSPLCYKMTLRSLGFEYGPAVMIFGFSLAFSVLSLVFCTIFLNTNSDR LSE XP_828843.1 MAAKEYETTPRLTLDGVIGYSGRIPNSILAHPNGEHLIYALGAC IVIQKISDRSSSDFLYGHNDKISYLAVSASGRYIASGQMAHPGFQADVCIFDFEQRRM IHRMLLHKVKVQALAFSSDERYLASIGGIDDKAVVVWDVATGRPLCGAPAHHTESKTV VFYNNSSDKLITAGIGSLRVWTIDGKDRKMTAEDVNVGNTRRCITSVVVEATDRYAYC GTTTGYVMCVLLERDALAYKMSGPQQMLSGGITSMVLDPSGDVLVGSGSGEVALLSKI NLTILKTVTVQGSVTGICTVPHGFLVGTMSSNVYLVEGGNFRAELRLTCHSDTINDVV FPEGLSALFATCCGPDIRVWNAASSAELLRIEIAGLTCNCIQFSKDGSMIVSGWDDGK LRAFGPQSGKLIFAVNDAHKKEGLKSANGVTGVTAVCTDNSSERIISGGADGLVRVWQ VRETHCTLEASLSEHKGIVNAIAITRDNTQCVSASDDGSCIVWDLVRHVRRDVIYSQT RFRAVAYYVDESQLLTTGTNKNITWWDSVDCGAIREVPGSKTAEVNSLSLSTDGRFFV SGGADRIVKVWGYDEGSCAAVGLAHSCNITKVRVSPDGKKIVSVGDEGAIMIWSVCDL EFKTL XP_828844.1 MPKEEQCSHCDKLLQTSPTVDNEDYARLINYLVDNFGCSWESAQ CTVRRHWPNVDACVADLRRKERPCLVAVEVYLLQREHMQDLAKSLLPIDSSQLPCFSK ANLNGRSKGYPLLTWAEVAEKINSGPGIEVHFVDTDAADVLDGPAAYEDFYQRKVVTE GFSDIVAFVTAVDGVVPLVDYLHRRHFGDPDTRRLAYPYGGSGRCCRVPRSISETYAR AHAQVPCCADAALSTARRTRILLGNDPATSVSKRQDKSSMQNTLKEHGLLYIKGFSGR DVGEMKRQMRRERIMFPVIVKPVSGAGSEFVTLCYDENDVDIAFAVSSEVQTTQQTDA SHMLLQEYIEGPEYVVNVVSYMGIHVVSDVWKSWKYPYPVKSTRLRPSVEKKLMQSYL TSGRGREPVPHTTTVLMYDRIEFVHELSKLPASSEERRVVAYTLKCLDALGLQQGCSH CEVRVDNRPGSSTKGMPVLIELNARMLGDVPRATSFVGYDQYKLMMYLLLCASSIPED EFSRLDVSGEHCGGDSRDALPWPPAPQLYNSLKVDVTLHVVFVRAAESSYLCIPGFRA IQALPTYKNLTRNSTLEDLKPGMLLAVCKTFDLLTSPGAIVMEGTDDDIRRDTAYIRR VENKDMREWKDMIDAAVGILNSVYVPLSSTQVHTPLLASAPAASNARMELCVAASSLI QSYERARLRVFDYFETMEPPLFIPMEYGEKLRRLDATQLICGV XP_828845.1 MRRPLSFIGKASGLLHCPNNLQAIVPALCISTRSVASYPSPGTD RGKVKINRKKYNFLNSERLMKTNKEPRSRREVRIRDDVFFHRRIQYRQAIGTNVEKHI RYLPDNVKQYVFFKNKVNSLVSTGFHGARKAPGIVARRIVLMVENEILKPENRDHLVE LIESVGVEPPTKEEWDRYTNKKTGRISINLYYHANVEKHLRQFFTAKVGGSFRLFQAF MVLKRNDFSVCPPMYEWALRQGPIRNEELVERSEVKEQRDVIGELAKFQRPHIDRAFK KLTNQAKQKCLKEAEDNEDQLRREYEQERVFAAKVLSGVAALKEVRAMTSPALTYYRH EHYKYVIKKNGSVDATLRRSRDESPSWHMIPKEEQVKFYCFERTMEMHPVGGAHLFIR YACRDYGLTREEAMLRWSFLSDLQKAALSFCFYAPISASNHSGVAFRRFYWKQCYVHG LMMTGKACGNRAFFERMRKVWMGMSADERAQYEETDSFSSVFPLHRQSASSVERDGTV SASESKVSDSIFSMQSSSRACSTVNGTNRATPTLERNGSTPTDEEDDKELLYFEDEVI EGDDEDDKSGGDSHRVNGGPTATRRCEEVRAVEPYIEEAVAFSI XP_828846.1 MWHSFLRLNPLSPLLMRCHHRHHRTALAGFSGCGIASSALQNPL RACMCTLSQHSGETTDSCGDVHSSAVGATVSSKSVRMTCDLHGTSSTQTQVEEMSGES MDLKRMLFSLKDHNTGVVTCLDVEERLRNVELLDEWCVRKLFRDSGWVSKVQEEGETA PQYRNIIGEHRILRNMLERFVSNRAMWHDELIELLQLVLKRGAEVQESKDLLDVGFRN GEKHPVTHHNFTPNRVHSRCSRAVVECCHNGVVAFDAAMIWNSLRRISDSSCFYSYGL QGVLWEFLHYGSNSSGDPRELWHMFVAFYRNMRVAPSTKRPLFSSHDMIITLTETEFQ EDTRESVMAGVMGENELTENVVDGVSLNWPEGWKAKDPTHLSEEGFPADCKRRTNCNA RHQRYLTDTFYINMIEPSEVLTGCHNSCGSEISTEELEQEKGRAATGGWWRGEERNVI NPMNGELEDIHLTQLWHLFQNMRIDEIVDVYRTVCGGFGALQAEVYVDIMTRRALLML FFARDEVRAITPPPVTYQRLSSLHNELLCLSSWCLASPQRNSFLVAPPRPFEELPLQD QLSYSCFGQLHAPRMPRRFCLPKSAATPEELYRWYCEMYPLFSSSCDSRVAAEVLDSN QKDSCNSSAVGTSCTWPRFEWLNELQQSSLRFPFGDSVYFQSTSYSTPDTTEPLAMTG TRPSTSNEATGDASGARTESSREAHKRRTRHRKNRGSSTPHKEVRRITSDGESSHKSA EFAAGDTPSEEPAVLKNVSRQREHFKGAKKTSKTKEKHHHRRSSDKLRTEDVQRSADE GKPEEPRLTGGEPMRRARPRGSKKKQSAKGKHHRGSVDGISKRQSVTTNEVSKRKKGP KGTKSTPKTYEEVWYPTPDSKASGEGTQLIPDAETNEEVESTKKVAIRRTHPKREKKD SHNVLKGRRYATAESESSREKIRSDTNDEANGDVAPVDTEPKRRGRPKKSGGIVGAQV EV XP_828847.1 MLANYDYESDLRGRTDELGRAQHVDAYDKHPTFLESPYAGQEDL LRDAPQSYMDGPPPGYGGHDAYEHVDMNGGGYDLPLQPQAQTQAVDTRRLSKDRLARG GLNIFSWNTDAPTLNHPREVRERDCAELQRLRPVDSAAQRDKEVREKFEGNTQSRFLC FSESDSPGKRRGVRVFNPNNKNGNLNSGTGHENPIQNRFNEEPQPFKIRQQQPRPLPQ QNLPPAGRATHSNRQESGGIPGFAGMGEGRQHNARRGRGSYRG XP_828848.1 MTVIMFPRYVIPCLLGLILCGDVTEGNIPPHRCDFGKLMKNMSM RDLPVVDEPPVPKGDLVHAIVTSSMAGWQPIRFKVFKSDIEDPKKYCGNVGETRSNFR GIYYKCKTESLLTSQKKSLLDAVIPDALKMHSDRLMVQPVKGRITVHREQSFCKNFNI PREHRTTGVSDADMVLYGAAGPMGSPAAWAVPCAKLRDGRPVVGVFNIGPEVLTSHDS SMRVTAHEIAHALGFGFDIMNERKLVASKSGIRGKGPVWVVKSQTVVKKAQEFYGCND ITGVELEDEGGRGTVRSHWERRIAMEEMMAGIKGSDGGRYSVLTMALFEDMGFYKARW GTEEDMHFGKGRGCDFLQHTRIESGKSNFPDVFCTSATKKGENVCTADRGGLGSCAIY LYRTPIPQQYRYFSRVNKGGPNELLDFCPYIRLFSNTGCTDGHPHAMWGSRIGPNSRC VKATGLKLKNVIVAIADICVEVNCEPDTLQVRFVDDDQWYDCPEGRNVTSNVTFSSGY VRCPKKSELCASKVLKRVTVPSAVASEGSSEGSSEGSSEGSSEGSSEGSSAESSEESS EESSEAPSPVDSEETEHGTGAASWAVHSSYFMWNMLLFVSFSL XP_828849.1 MVNEYDEAPRPAIKLPRPGTKFRASAVEPILKKVCQTLVGDRAY VHEDVQPLIKEICSEIQQRVVRLGYERYKFVTHATVTEAVNQGIRIASRGLWDPVTDG YASYTFSTETMFI XP_828850.1 MTVIMFPRYIIPFLLGLILCGDVTEGNIPPHRCDFGKLMKNMSM RDLPVVGEPPVPKGDLVHAIVTSSTAGWQPIRFKVFKSDIEDRSKYCGSVGETRSNFR GTNYQCNTDSLLTKEKKSLLSVVIPDALKMHTDRLMVQPVQGPIKVPKLQSFCNNFNI PQDHYTTGVSGADMVLYGAAGPMGSPAAWAGPCSRLKGKRPVVGVFNIGPEVLTSHDS SMRVTAHEIAHALGFGFDIMEELKLVEKRNEIRGKNGVWVVKSQTVVKKARLFYGCDT LTGMELEDEGGEGTVKSHWERRIAMEEMMAGLKSSDGGRYSVLTMALFEDMGFYKAKW GTEEDMHFGKGRGCDFLEKRCVEDGKSNFPDVFCTSETKQGENICTSDRTGLGSCAIS TYKSSLPTHYQYFSQSNRGGPGELLDYCPYIRVFSNTGCTNGDPKTMWGSRIGPNSRC VKATGLKLKNVIVAMADICVEVNCKPDILQVRFVGDDQWHNCPEGRNVTSNVTFSSGS IQCPKKSELCASKVVKQTTSAQKGQGKGASSWSAYSCYLMWNMLLFVSCFSLL XP_828851.1 MAVIMFPRYIIPFLLGLILCGDVSEGNIPPHRCDFGKLMKNMSV REPPVVDEPPVPKGDLVHAIATSSTAGWHPIRVQVFDFDIKNRNKYCEKEGQVRSNFR DAYYECTTASVLTKEKKALLAVVIPDALKMHTDRLMVQPVHDPIKVYEKQTFCNNFSI PRDHYTTGVSGADMVLYGAAGPMGSPAAWAGPCSRVSGQRPTVGVFNIGPEVLTSHDS SMRVTAHEIAHALGFGFDIMEELKLVEKKSGIRGKNDVWVVTSPTVVKKAQEFYGCNE IKGVELEDEGGDGTKNSHWERRIAMEEMMTGLKSSDGGRYSVLTMALFEDMGFYRAKW GNEEDMHFGKGRGCDFLEKRCVENGRSNFPDVFCTSKARDTEIFCTSDRGGLGSCAIQ THESPIPEQYRYFADEKKGGPAELLDYCPYIRLFSNTGCTDGNPNVMLGSRVGPNSRC VKGTRLRLQKKKGVPLADICVEVNCESDILQVRFVGDNRWYDCPEGRNVTSNVTFSSG SIQCPEKSELCASKILRRITVPSAVAFPVTSPGPSTGPFAAPFAETYEGASPTSSTGA SEDFSEEYSEESSHEDSEGSSPMTRQLTGTSSWSAYSSYLMWNMLLFVSCFSLL XP_828852.1 MSDGDEGKSLPQEVAGEEMTLAAREARWGFTLDELHAATKVVRT LFHDPSLFVGDPYLHESRLYTMITRDRKTKRENRDVYKAIMNEEKSYRKRYKRMQDIE AIRRTEMKREREEALCLLQHQENEGSDRVVSLIRDRVSGPADTVDGQGARRSEDCHDG LSTHDRQIISQIGAFENIIRLEAMYTAGSAAMDWATASHLVAQVYRYLPHSFGTQMPP VLIGGSAPPGTDLLQQEMYTATIKLRIARLCGELFTLGGDDDNDDENMNGISGGSNNR DCGGKKAGELVSGIPSDEDIVVADSGAMRDLTCLRNCGTLDRASVDVVKALKHNLPVT DALHVFERAVSRGEPIDLFVTNDSVGTLTSPDAKAGGNGSGVQWSVDASLNLFIARRL YSKRRYRELGESPSDFPTRPPVSDDEEPDSFSVFQDIQQYAAGRPIPCDEALQLSRTI ACHTCRVRYDKLHPYYYSMCHLCGEYNFNKRLMTRDLRGKVVLLTGCRIKIGFAMALS LLRCGAELIGTTRFAHEAVARFQREADYCVWAHRLHLFSLDLRDMWLVTQFCAFVMQK FGKLFAIINNAAQTIARTREYTKHLRHVESHPPLDLHKTLYDDAASREWHQYFLSHSS VTIGEPLHIEHHPQRQPFLDERITTGDATAYYNTNATGHSGVLVAIARSPLVVGSSKS SVFDRYDTAAEESDHRVTNSWVMKLAEVQGSEAAEVMAINALSPFIINSKLKPALLNR TGDPVTDEARFIINVSAMEGQFYRFKQVTHPHTNMAKAALNMMTRTSGEDYAQDGIYM NSVDTGWITDESPKAKKERRADQHQLCPLDEVDAAARCLDLIYSDRKVYGKFFKDFRE IPW XP_828853.1 MESPINPEIWKFLFRGGVAALSFASLFSLYHERYDLLSSLDADF KAISTAQTGCESETAIAKIVSKIKEESAQKKGMTRLSAERHGSTLVRLAALQKDGSNA TVVRAAIKALVVIFGADAAGHRKLYMLGGYRTLLTTLSEAHRQGLQPLLEETAEVLHT LTRVDDSEVILDTDVPVGSEGAYALARIPATVKMLRVLNPQSSIVLLSSLTGIFANVC ALRAGAVVIGRGLDGHSGISYFLRLLEHNNQGVAEHCIMTIRYLARSGIGHAELAEEE NLCRLAENFSATSDPRIINSVLSILLVMFDSKEGPKFFHNVAEKTDIISTMFEIWCRA SDKVLRDRAEVLVQLLSHVPQCTSKVQRYLERYRSQIAERRMADEEARRKQLQQMRQN QMMQQMMLENMGMGGGMDLAAMMGGGM XP_828854.1 MQVTETVLARAVIKRRSPQLWGAPGAPIIRMRGHHVVWKFQSYD LVVEHTHKRRNSDIRLLHYLGKHCPHPQKSLWSPDTPVAQDRHLFMLTTVDIDAFKYW FGVKRCRLSMKPWALLAKAGLLPPSLTQNSKIMPKPLFDKESLMRYYLANRKDEDVMA REKYLNYENSMVKTEEERAAERPVAPYL XP_828855.1 MATEQHQQQRQKMLNSKDLENALDYIATFGRPHKQDETLPLFNY IFDLKDKLIGRMSSHEDVRMFVESDAGRRMSEGLVKALDADGDGHVTPRDLQTIYESK LKGAIRNNSDTLDTVIPWAGQWLVGIGSGYLTGRVIRRVYARKYFITLAGATVYTGLQ FLAQRNFIEQQLLEAAFKRKVKELADANGDGVVNADDLSYLVENRMRHVSTKLGFGGV APGVLGYLALAVGMRRGLRRV XP_828856.1 MDLLEFRLSSLFSFFFSFFLKFTLMFFFVPHSFSVFFFSSYFFF SFLTFLRRFFLGERHMCLCMYRRRGGELLTTCYYLFLLLKLALANNSFHSFVSFSTFV ECLMRFLLFLLYRFTALYATPLFSFFSFFFFFCVAYSHRLRVQKLNYTLHARIFELIH HKLLLEVLIQPGNAGGIHPPPSSSPLFFFRFYGRFLKKNLRLGYCLKCFVLFCLRKYV IHHTYNMHDKTECYYYCYCY XP_828857.1 MYTTMPNSPLAIPHHFLDIGKDESSPPMTRNDTNSSGLASFQPT DDQVAEGFPSLGVSTASPTHRTTLQERREKLLGGSFLSLCVETLQNDCPEAIEGDCNS NRTVFRGWSKTDLGVSSQALSNNGVVRLVCYNILAQRFLSMQRYPRCPPFALAEDYRC GFAEQELLQADPDIILLQEISVDVFGKPGLLGENLREKHGFIGNHVVVTDLSGRPRHT SFDSSTDGAQAVVFQEVTTSSSKPSPSGTVESRRSNDVAAEKTVEPPRSDLEGVATFF LKDRFELLEVIPIRLNEIANADKTLTQSERRSLRRMSHNVALITVLRDLNKPNVIYVV CNLHLLWSGSRCQLWQLHRVMSYIEQVKGEHESHIENSNGECPIVAVVLGGDFNSESW EPPIAYALNGSLPESSNVGAWCGTPGRETGAFSPISRDTDVDVENSKSGTPMVESPCG AGGGGTCSGFTAPAAGHSLALTDVYEIYRERHPRRVSFVDPGDGGKGKVYDHILIDKR HLGCTDVLRLSSSTNLPAPNCPSDHCPVGAVIVPLCLLS XP_828858.1 MRALRGGLVKRDVERLERELKSYTEQLERERVRLDVLRARQAGR ADGKSGESESDAEKRRLTRENAALRRKLAKLRSNGGSMVRHPDVADLHNQTVAVLQEV RQINMEVESLGIAHSNLTTAIVEAQADERVRDDLRSKQYNEQHALRDRLKELNEEWRA VEKRDVQLHERCAALQKQVLFNVPEKEAAALRTEYEQQEESIKCLKKRHEYLKALNYG LLGEDKLSSTKEGRAKLNAEKKLRELRQLLESREKEYDNLKGTIHASYGRSR XP_828859.1 MLETVKLVTKRDGSVEPYDEKVVRSRIVNLMSGIDSYYVDVDDL VRVVGEGVREGMSTSMLDELLAETAAYCVTKHPDYGLLAGRLAVTALHKTTTESVLDS FRVLHEHVSQATRRHAPLISEELWDIANKHSAALQQIINYERDFDFEYFGYKTLERSY LLRVDKGRGVMEVVERPQQMFLRVALGIHGEDLERVKETYDYMSQGFFTHATPTLFNA GTPFPQMSSCFLVAMREDSIDGIYDTLKQCAIISKSAGGIGIHMHNIRAAGSYIAGTN GTSNGLVPMLRVWNNTARYVDQGGGKRKGAFAIYLEPWHADIFGFLLLKKNTGKEDQR ARDLFYGLWIPDLFMERVESHGTWTLMDPNTAPFLSDCYGQEFTDLYERYEREGRGVR TIQAQELWFLILESQVETGVPFMLYKDACNFKSNQKNLGTIKCSNLCTEIVEYTSRDE VAVCNLASIALPRFVKDGAFDYVALKEVTKVVTRNLNRVIDRNHYPVREARYSNLRHR PVGIGVQGLADTFALLSLPFAHPEAKKLNRQIFETIYFAAVEASTELAEKDGPYETFK GSPASEGKLQFDLWDEERRIRGMNEDSVHSHCGLWDWDSLKERVVKVGMRNSLLVAPM PTASTSQILGNNECIEPFTSNIYVRRVLSGEFPVVNKHLVKELIRLQLWNDDMRRKII ALNGSVSGIKEIPERIRELYKVVWEIRQKDLIDMAADRGRYIDQSQSLNLFLGTPTSS QLTSMHFYSWKKGLKTGMYYLRSQPAADAIKFTLDPKAMKELPKPDKQSKEEVHGSVG RGKRKRAGEKPTANHSNAGAPNLNGPPDTDGDGGCLNCGS XP_828860.1 MVVSMQRSSAKKSSQGNTGVSSPPRKRISFMQNRNTVRLVKPPP RCLNSEYWFTETMSIVKKGDAFEIRQGNIFTLEVEKRMQLTSVASRSPTTSSSSSHDG SDGRVSLQIMAADLFGLRSPSNKWLSIASVREGEVEKVRVILPEGIYMLRCVGRRPVQ VFVLNWCLIRRLG XP_828861.1 MPPHRKRRREGDTSRHQGADDVGGREEDDDSERDEEELGEDLFG DNYERDYLHPDEESEVLEDDVEDDDWIDDNSDISVISDSGRLAVDALLDRRNEMEQRL REERRQLEEGVFSDVDKDSIPSTGSDGSGFTAEGSVGGRGAGGDEEDEDVTTTAGNDD GVYVRGELGPMDFDWRQPQCDLVEWLSQELPRHVVKNRIYNFYLNYVENGVCVYEQKV HLMARENEQSFQLSYSHLSRVYDSVLALWLVDVPDVMIELLEDAANYFAFKLFPHYRK VHKHILVRICDLPLCDPIRDFRQIHMNVLVRVEGVVIRRSPVYPQMQAVRYDCVRCSY IIGPIYQRGDKEQRVSLCPSCHSKGPFRVNMTLTEYRNHQTIVLQESPGKVPPGRLPR SLEVILTNDLIDRANPGEEVDVTGIYRNNFDPLLNSRQGFPVFTTLLHANNVVRRTAE VDSFRLPDDERVRIMDLAKHPRVKRKLLRSIAPSIHGREDIKLGLLLGMLGGVPKDVG GDQSHRIRGDINVLLVGDPGCAKSQFLKFVEKTANRAVFTTGRGSTAVGLTASVHRDG VTGDFVLEGGALVIADRGSCLIDEFDKMSDQDRTSIHEAMEQQTISVARGGIVTTLSA RCSIVAAANPIGGRYDPSISFDSNVNLTTPILSRFDLLFVVRDEVNVELDEKLATFIC HSHIRNHPRTQQESRRSERELQERLSSLRYALENASTEEERRVVEAQLQQLRNSLANE PLNEDEDPSSDKPLPQQLLRKYILYAKAHCHPRVSNIDANTIARLYTELRQESKHGGV AITVRHMESVIRLSEAHARLHLRDFVRDEDVNAAISLFLRCFIQTQKYSLRSAMENRF RKYFDSDTEPLPLIQHHIKVAVHAIRAFERQMSGGVEPTRVRIDVMQLEHCTMNVSKE ALNAFFDSEEFKRDYTLIRDPGTGVPLQIEHSLV XP_828862.1 MTKWRWKTPHLFTGAFFPEEICRGLIVFASRYKLESNVWVPKRL VKGLKEGITLLPNAILCDVLLIPGCAVTDLAALGHDRILVNAAHTTDVEFFEDYKGNI AAGLHGSFPLSSVGAPFGESRVKALKLIAGKACFRSPYWVGEGCSSRRLINAEQTPFQ GRYNPSDCVLYEPHSLTNQPFPRPISILMRRKALRCGYVSRTWVTMAEGASFGVNVSR EGMRDYPPILTSYFSGKGGAHAVEYFCADQFEDADVFPTKKEIDLAVGGVTIGQMKVS GFPFLSSLAREPVSTVRHRCLAEEVAKLSLPVLFSLSRMATVHCTNREFQKALQRFAL LRGYANPYFVLHTDEVGGVVRLKPREQGITFVTPGIHDTHCGMQSSSCFFNVEQFEDP LHLEEMIMKTPTMFLNQAPVFGISCAWECVRAQCAKKTLSRMWIPATFLDYLSDRWTL SPDAFRVEHMYSASGRPFVIYNMSDVKVPAEALKWWPSYIPHDILNKPYSGTLKSLLA LRAWERRYTSALWVPQELVAQHGATVRSRRRCKGYLRGCPFEDEKGVVFGGGLFVNIE EVENADWFFTTLRSDRVTACDIGV XP_828863.1 MELNQLELFVASTEHTRSDAWRYLRRLRVLDCKIEEGFDRLHEI AVQLSGTDSDGNLVDNQGNGTLQPDRKKRGRPPLCKNAFTATPYNVGQSPDSSVLLVD PAVANLHEEFRKHSRSVKRYALEREQIAEELVASGKELTEYLEARMREFRATFSISD XP_828864.1 MSMHSVEGALCSCSMCKLTCHTASVYANQRRASKHVMLARPSPF YAETGGLPPEVPQLAPPPERLVVCPVFEEYGFCPFGRICFLPHSGEKTPLVERKGTSR EELERLREKARLQEEEELWSCDVCGFDGIDENTQGRVGEMYYYRNCPRCSLMCYFPHI AYLVDAIFDASGDDYQIFKDEIDRCRRELPDILKVPLTYEAHRIASTAFAWSLVSPKH VKDALDAAYRALPEMSCIVSVGSGVGYVEHIFNRVANGVPAAPRDPDPALGISSFEGV HACFYPKKKLPIYAFDEVALNCPYSVCVSLGGPVALLSLQCPTSVLLLSWPPFGSPKE EQSSMAFEALEYFTQAGGKVVIYIGDVASTGDWRFHELLYTHYKLVKDYTVRREVRRW SPQDMGLVYAGNDTIGVYKLRSERLPSPQWQWDGRSS XP_828865.1 MIRASIVKRMAPCTLFLNKGKVISWMSGRGFGFIEDDADKKQHF VHFSALQTETGGFRALTVGQEVEFEVASQDGRTRAENVTSPGGAKLPSGPRPPEGAGR GRGFGGGRGGRDFGGDRNSGRGRNDNQQGGQHQSFSDDF XP_828866.1 MIRQCVKTIPRWQPAFVHTQRHWKVDAKRPYKRRSSNPQTVREK GNFHRDMRFSKLRPLPTVPWLQDRRRTYMDDAERLYGRKENWQNIKDRAMREKLSSLL QDYLKDTTGAAMLETRNARKYMRLCEQMDAEYRSFVAAAAFSSPKHLRTHIMTALKES DKGSKKSRWCQETVCSERAATLHEEDRHTGECGERCGVNVASQEDAAQKRRLQGEWAN LENTPAMMDLTHKEERTSSYSAFPFLRQRALENKETLDPSLVDWTAKYFPDDDDRTFT TPPKLRGDTGEGDSEEVPSPEILNVRKSVGGSDSLFPKPNVYRRLRRSLRVHEDVNRS TFDAEGAFYCVRRQGSEEDRAPKPCPVRGSGAHGDRNNEVIKVAWDTVARAKEMGYSA DVLRAKERLIRLTRGEHPDTIP XP_828867.1 MASSKVILEDTFTVAAVNEEGTVYSRVSRVRCTGEGGGLIITSD VNTGEFPLHSGDRLTIILTDSIELSEQAGSKHYDQSVYHRSTRLDDCDYAMHGRVYSM EVNESSLDVTVHISCGGLLTQIVGKPQSLKDVHYNSDVYILMKRPGS XP_828868.1 MSSAFDDLTREYLKRRFDAFDHDKIGRIPLSDLISLVRICGGTP LEADIESLKAEADYEGRGSVSFDGFCCAMKMAFENMRTMRDLKEAFKGIDPERKGYMS QHDLRYILTTQGERLSTDEMNAFVEEMRSEMDMEGNFILSDVVYKMTPEIFR XP_828869.1 MALEKIDWTVPRKLLPKDSLEVTDSANPYAELSEILPGLYLTSE ERVCNRARSIEESISLILTLNGGEHVAPYRIYEYLAEDQRYVYKKICSFNVFASLLEE YASTSVPEDPLQRKVFIRSVPAEDCPTYDISRHFPEMCALIELVMMHRRDTEVELARQ HTVVVHCLMGVSRSAAVVAAYMMKRGRYSKDESVSIMRKSRPIVSPNPGFQKQLMRWE EGAYYRISDMLSATLAASEVRGGTELNHFIERQLRLLLRERRFIEDRKNFGYVISSVL SITPGVGSAVLMIAAHINQCIVEEVYVDVPSFFSNVSDIVKSIFQHMPNFINDITEEF GDPFDDCFYFEMVKAIGCSGAGKCLSDVAKAFSSLLETVHTTHMINNPRGVPYWADSE DEIARGCPEGMRLSFTFLPFLAPYAVGFVQLRYSGELMNEEGLYLLQVTSSRISPPSE YKISELTCNATADGCAIAVDIFSCMVSQVGHLKDDVELEMLNAVLGGSTLLAVIDKFV YTEEPNEGIALLWMRKVVGGAVGIRLFFDAIDRYLMESYLNVAEIGTIKSIADEKAPW VEIATSIQLVNRVYMEQYGKVVDFLPWILQELSSMIDNGAVVVSSDISCSGE XP_828870.1 MRKFSAFRSGNTGVKRCITLPWKSSLPPKSDSQWEGLGKSVVRM ALASDTGRSAFDECSTARQQLETLVQSTGYDASVFAFGGIVVMGLLEVGGDADFVGVA DVEPGVAEAGEIVSRLSREMRRLGLKSSALPKARVPVIKVDRVSKSLPGTPLHHLSTC GIFQFTRQMNNNECTSFKSRMEENFGAVNTEWSNNQQFSTVEFSSSSALVAALTEVKR HEGVDIPLRLPVDPRNGPELYRLSFDFCFSSVGLRNSYLLSDALSKYVFSRHLLLLIK RWGRSSGVINSIDGLLASYALTVMCAHFLIKVGVIPKVSTLRSADEPQLLPPFPDYRP LHDGEDCDLAELGFLTAAFFEYYGAVFDYGKNVVCTTNINLLKKTMRWEKSPGTETGR PPFFEFAIKDPYGLDNIGRNLDSEATEYVRSAHSAALATLLQERGDPDFTVNIITKSP PRPVRRSRTLASRGIASTTYSSDQLEARHLLKKVAFHERRKSMERFGARAARHTEEQR VVSNVAKDVLGWIKCDNHQ XP_828871.1 MLAGLFYKQIGFHACDAKSSADGVTEVRERDMDRVIRSESLTLC RDADFSEDVDFSNEVSVTATGCNGAQHRSSLPKCDGCDLHSGFGSSGNDVEEILTEED VGIFFEKFKAVVGGYLIRMRWRSGFCRRLRRFILENCKVENLSIGERGATSSKRRFYV SQLISFLEDGAAPFVDYIKAGGHFGVLPASHQTSDLKEQMEHPISVEPAKRVMAAHSS IEAKVNTDFIQFSLMETIGLHDVTSENVTTVVNSSFLAARRRDFLEKIFSSETREGTA SNFYTTVASGIFCPLTFSQPLTAMYGGGYGQLVRHIEQISEN XP_828872.1 MLPQQWVPEQRVRESKANQRRSLAKRLAFIRLGKNVLNPSDAGH INVVSGSSTPYHRGKPRASSVPLMGNTAVLRLRHHTAQGSAASCWDTVFHLSVPGHVA SVPSAVSSALRCCCPVMMFRMMYF XP_828873.1 MRCVRVVCQRFVEFVTFCSGVVPPLALLGYPLPGSGCQKTHPTA LSLKGARVGDADAGNADTWLSRAIPRNWAAFCVNLQARGVGLVTVGLSYGSPSVVVRQ VLGWGCGGNELRLYGFCRSSVLCRVWGVSVPLSAFTPCSVDDSIFSLLRYRIFRACAS RST XP_828874.1 MFADSHLGGCTSTKRNRGDYETLWGSQSRAQVRFVEELVESYFL RPSRIESEQAKRKGLGSCNLDEVSPSLAKQVGAVVHRYQLLNSKYVPSSFAHSNVPLQ APKRPFIAAVLAIVARACVFENSGVHISVTAFDRWFEANSCGRFLISKVWLDAKMYVA RCVARRDRIALFGQEVIGYQFIHSGRLRSLDERIFWMIHVSIGCGDLSLHDHAVVEHF KWQNIPETDSWFRISKESHIWSIWSTTVFSLDIFSDTSAEDKTKTSEALLGEGVKRGI QLVALVIGFLRSERIDKCDHSYRTMQVLFSGTAGELAAVSVFLGMKRAVKIYFSGKPF NKKYILKSTEIMSRLFQQLVLYYTFLVPTNRHYCLLDACEALWQNSRGRGLQEVSKES AVSVRRENSFNVFQEDVFVGCLEGACIYRPFRDHTLTPPMSRCNLNIIGSSSGLELFL RSIELQRGINSESTSQCCENVYKRNLLVEVFSTRTVDTSIEDINLCTSWCLLNLPPDT EDETHFVNQFVRDTPDSVSKKLVIDTVVALTEEDVA XP_828875.1 MLFCRHLLFRGSCLFLTAKQGIVSTNEPATVQGFYSCMEYDDLG AADVPDLQVSLLPFQREGVYWMMLRERHHVGGIMADQLGMGKTIQMIGLCLSSHQCNK VVRERHIRNIQTKAQNYRLLTVIRQMQRITVVANCSRINRPATDLRSLLSKVECSDAN HSDQNMSDVRTEVEKWLRFTGKFHPTYEKRALAFLDDEQKRSFDLIESKELRTLVVVP AALMLQWKSEIESKVKSSRGLRVYLYHGQSKVISNTELELYDFVITTYDTLANSASGA FAPAFGDSNIVFNRREAGPLFHIRWKRIILDEAHMVRHSRTQRWRAVKELQGVHRWAV TATPLHNNIEDIQNLLHFVGLPRLPVLPGSNPEEILNDPILQRGIARSLQPAFLRRGP VMIRNGKREVLVELPPKTEKVVMKRFSSEESKRYNSILARSRSALESSERKEAAFHIF AMMTRLRQACCHPWISRDRALTVSVCGICKSEAVSSVLTKCGHYFCYECLLLRFRDAV DGDSVAVRLECPTCGEIITKSSVFRNQTLTSAERIAKLKNEEVEVSTKLQMILDSIQA MKKNCPDDKMIIFSHFTSFMDVISVALDNLDIAHLRLDGTMSLSSRNLVIRCFQSSDD VRVILASKTATGVGLNLTAANHVLVVDPWWNPAIEEQAVHRCYRIGQRKHVHVKRIII EDTIEQYCYEICRRKKEFGDAILRAATKGESGASLATSKLRELLSRLQFVPDSNKTSD NVGDNFTKTAREDCASGSKSSR XP_828876.1 MALEGSTPEAFSLYALPTFRITNSWAQQTRTGLFQPPELSTSPA RFDSGDCSSSNGANSAPFAASRNECYLVSRCNFGPDGELLLRKGDRSLESIMNMIINE IGKEQDAFATQREGNLVLERELRQVQQGRRDAELELHKTEALLFCVKSIVKEQQNLLN ELRHV XP_828877.1 MEEGTYAGQLGTQNLDSVVETDETNFLHERLSSKISNGFASSAY WGATGELPPREPDDVAGKKPHCFEMELNRKLVPFPEDKTSLPRILDYSHVGLHRLRDG AEDPPKLNEAQLRALEAGGSASGDNTLKRRGLPLLERTTTQGRTIGKGILGPEALNAL REGNANISAAEANREQLKSKPFTSADPNAYRPTSWDYCDMTGIDPSSYWVTALDQESV GMPAVYKSRYNLVEKEGPVRRERTTLMLERGKTVDKKQLRDTLDGINAEAVPQGYKTW SAGHWMSTTHDAHAPYDIGGATEINKRNATVPLPRTYHTLTPVHEETVLSQTQRHLNR HNGKWATEYSVSYKDSFDEAEVNKAYSKRSIFDIRDGAYTMHPYAHHPRDDTATGENY TPAQIVPGQYTSIARQPLHARNAIK XP_828878.1 MLNPTFSLYRKTLQSYPVPPKIRHYDRRWSGSRTNPYNRQYWRV IMNENYSRPSFWVSDFRHRYLMRTGTDYQGQVPSSPQPGLYQGFSDVHKLLANHPKPQ RESRHLPVLPMTPRVVFEHANEKRIDTAKKMRRDRRRIEELKTLEFWGWYMKLQRVRG RWCREQGVSSRGVYGPAVDAAELWG XP_828879.1 MMKGKRLSKRLRRKEEQEEIIEDLDLDVEDYEIEEDDVVHNRRS KVKDKGDGVNGVLPVDAHKLEGFDDDDEEKGAHLEEERLALEVNKTMRKNTVDSDFDV GGISAGTKRKRTPEFNVTQSVAPVIEAVERDYAALTSSERLSIVQKESPEMIKMLEEM KRYLAEVRELGDPLHELLFRRRLSSADRSLVQFLETKVQLMLSYCMHVTFYLLMKTEG KKIAGHPVIDNLVEIRVYLEKLFHMEEKLQYSLNRLLSGKTTAVAHLDTLRPLQCNER VSMTTNKDAKKSRKQLEAMKEAEEIEKEEMATMNRIRTKKSGSLDEVTPVDSKAAASV LGYHEDEDQFFAKLAATESDEDGEEGLSLVERLKKRQRALAKGSDDADDEGNRNGNVI VDDDDDGSYDQEECEDELLSEGVNEEGEYETLLEEERDRQKRRVATLQPKRELIEPAV DRRKTTKKIETHRGLTKSRPKDRKTPRTAQRRKYEKGLRIHKTQTRTVQPEPEGGFVG VPLLKSRVTQSVRFQ XP_828880.1 MQPIERAEELLAHEREKIGLFRMPFRTLQLSCAYCVKTVTSYVV KCIKSSAFMFVLPVILLVVGVSTFLIDSPAGRAFRFLDADGDGYVSVAEVEGYFRDKL NRKLGAGRGANSIFPSGTARLDKSKFTSWWVEGYGDAVRQNAFFNQGPWREAEYMLAD ALWWLGLGILSSIGLGTGMHSGLLFLFPYIYQLCAAVDSCGNTNFWTYPVNPIYGPRD RVFACLNPQKKDVPTSVLTRVLMLLPACFIWGVGTAIGEIPPYLLSYTAARQGKRNSE LDEASRYDILNKMKAWMLEKIQRYGFVAVLLLAAWPNMAFDLCGMACGQFLMPFWTFF GATLIGKAFCKITLQAVFFVHLFSGDNVERLIHRVGDVIAAVVVIPSSVYSGGTQGLV KKAVEAVVRARQSIALRARGEATVGEGMQSASLLATIFGWVVVAAIAFFAKSVVETFA QNEQQQYDKIVLEYIGKALARGRSKKSVTDEELLQLIEESQRLCVEPEHLMSFNHETL VTYVCVVVAGFVMVHYSAVAGLSLLFHMFVCMVHNNESLHPVTLWLLRLLFASAALYT LCGLETL XP_828881.1 MDFQGLLQQEASTRGLTMVPLSSVVAMPSSRKVACCGGFVEKVV AESERDCTVLLRDATGGVHCAIHGAVSDRYPDVLATGAVLLLQDVTALIVPSLLPPII VVCLEHLVALLLPEGPPLSNAEYTLDSTAPGEESPTLGHFTSTNDVVQTPGRLSGAAE GASPQVAPPNISGLPTKVEEAADVVSVDHASDDDSLQLADDL XP_828882.1 MRERKPIRKMKRSRVSDQIIRVEEPDAEEGEASPAKATPVPHFS DRRICINVGGEYITTLASTLCSEPSKFSEWVENNFQGLPRDSMGNPFIDRDPENFRQI ISYLRGYELPTATEKIVFLAEDAEYYHIDRLLALINPPAEWRFVSGPGVSSSRKEFST ENILATCGNEPLPQVGKSVFLLRIDKCELVSIGLVGTESPDHDEPLERQTNAIAYRST GELIRSLNSSSTFFSGNGYKGRDTVMVQVLFKPEGAAQIEFFCNDVKTHETEWLSPVP PLRFAVSLHGVSAVVIERCVAGVKDEDM XP_828883.1 MSVDVDAIIDKLLEVRLSKPGKQVSLSENDVKNLVMRSREILLS QPALLELEAPIKICGDIHGQYYDLIRLFDNGGFPPSANYLFLGDYVDRGKQGLETICL VLAFKVKFPENFFILRGNHECASINRIYGFFDECKRRYNIRLWKVFTDTFNCLPVACI IDDKIFCCHGGLSPDLQSMEQIKKIERPCDVADTGLICDLLWSDPEEGLSGWGENDRG VSYTFGQDIVAKFLSRHDFDLIVRAHQVVEDGYQFFATRQLITIFSAPNYCNEFDNSG AVMSVDADLLCSFQILKPSVKKPKYFQ XP_828884.1 MADDEPEYREAANPIEAFIDCARYDEPGDAEALRTLLSNSPSFL NSQDEQGRTALHVAAANGRLKVLETLLGYNPTPDVPNNEGNTALHFAALNNQTAAARL LLRHGWRASARNAFNKTPIQLIYGKQFEDMELLLLGHDDELEQFSGQASQAARGPAVV NEATVQQQPAVEAERATNAEQPRVNPVQKEQESDPTTLLGSVGVDEIE XP_828885.1 MEPRRWRPRFFNPFTERRRRSSHLPVYRGFFRVMPLHRWSLNNI QAVLGYAAANPSLFGFPEQPTCIVNTAYFLPSHRSRQRGIWVFGTERGCKSIVVPSSC NGGQFDAMDAKLMEPLFRWNPGVEYIYLSQNCQAMASQLVPYLQRCTQLRTLTLEGWN DAVAIHRVVIACKHVDTLDAFSFDDPVRQWKNELSVQALNTLIEMHPKLICVKATRVY LTDWYEATQFSRCKHNIALVPFTCDFVLLHYGFFLLLVSVVAYAVYRETWWLLRDTCS RAYLPFWSALAAVGTFTVIVALDVAGWRSYGRAWVHMHKYVIIARRRWDMIRGRHHKS LMLV XP_828886.1 MSNVAFDSGHSANITDAAVDTSGKLVASSGEDGTIRIFAVQSAP HDEGSENVDSDWKLLTVLAGHTGSVVCVAWAPPQHYISALLSCGEDGQVILWSDVGND SREWTKVYTAALPSPIWCAAWAPPAYGKMFAVGCKNGAVVIFTGELQRWERSEFSAHR SGCFCLSWGPSMPPGALFTLPLEEDPQALRSQDQQPGLPIAPPRITTCGGERVVTVWT RTADGWQPLELPVGVEASWREVAWAPGLGTPYTYIAAGSEEGFVAVWSHDGTPVGEWV RVLLCQQEDSITKLSWSPVGTFLLVSCANGTASMWQESAGGQEWERSCKLP XP_828887.1 MDFLFGTMFFAATGALGVFFTPFLTRDLVGLVRILCVTAAFCCW LSWALIYLSQVHPLLIPTRNIKKE XP_828888.1 MMYIRTFGDMLHLLAIFILLGKMLRGRSAAGLSLKTQFLFALVF TTRYLDLFLSFISVYNTMMKIFFLATSWHICYLMRCKSPWKTTYDHENDTFRIRYLII PSFVLALLFNGHQHGMWVMDVLWAFSQYLESVAILPQIFLLEYTERYEALTSHYLAAM GAYRLFYLIHWIARYFVHGSVNAVSVCAGVLQTVLYVDFFYHYISQVVWRAKQRYDLA R XP_828889.1 MNNYHIYDEIGKGRHSRVYKGRQRKSIEYYAISSIEKSQRQHVL TNVKFLRSSNHPRIIKFHNWYETNNHLWVITELCTGGDMRQVLHPESRLSEAAVRLYG GDIAEGLMYIHSRGVVYRDLKPSNVLMDSTMAMRFYDFGLSCNFPVNCGGGTIGTAMY MAPELFMKEGVPSIASDLWSFGCVLLEMRTGKPPFDADNLEELITQILTEPYHPHEEL SDELNELLGKLLVKNPLERATWEDVVLSPFWQGRLHMPAAPHPPQPAFESLKQKRLAS DGGKGDFVMTLEEAKKAVEWTVEVAKRNFILTQPDSDGSALICLGVYDRIDTRDLTGE GEGVRDPTSGSLIGDGNSCASPSSCVARVGCDSNAGRQGDGAAQREGDHNREMVSDIG TAVGGNAAKNSNVKQLVGGGKFSTALIDSLLSHVSDAHVRPLVMNNHIERFVEQKYDA KALGFEPLTKSQLKAYDEEQKARFVTKVYQRLSSSSLSCEDKLNVLCYFESICSESST ANFVVSSSIMTLCLNMAGHRKASSSFRATAASIMGILVRHATFIHPDLAKVNILASIV KMYAEEESSRVRRKLVACYGEFLIYIAVQQERERAVWGVDVPATFNLYRSLLNDPDDV QKHYAIKTIENLASVGNRQIALDAFVNAETISLLLSIHALPPTPTCGEYMRAAAACTA LKLAMLREELIPAVLESPYLKLEAYGGVLAAPSPKLAQALLTFVNMTLVKGMVGLRHA NITKLGKPDATSPFASSRLTGDKAKGVLTALSGVAEAVVRGLRNGSEHATTAMKGKTL VLFILLGCMDEQLLVRFFTSSRCVAYADGIAKDKDSYVQRCTQGFAVYLSYFFGSQLE GLTHGAPSFMMNNVPSALCNLLGTRHLSSMLQLNDKVFSSIGKCLVMVSSSSRYAAAG TNLHELVELLAQNRELVLRHRLVISSDIFPPYLSMLAESESERRFLSLRILRALIVPF GSESSRQNEEAQKEGAMLDRVMQVVAGMLGDLLKEVEPIPVHGIGLLATCGERRPKTL ANLATVELIGELVRYMMRSRQSGVSSPLQLVMLALQTERGGVLMDYLAEQNFPVEVLL NILVMAVEKEVDNLLEPCCELFEHFLKQAVANPNAAALRQSVMSVAPRALETLWLPLC TSPVGTTAKSAAACVLYFTQLSPEVGQELLSDGNVQYIREVLDKCRSPGAVVCVIRTL RLILERGTKHDAQKLMPWLLGSLEAAEQDQKCGGIVALEIRGIKELLRP XP_828890.1 MLQHFLRPEVLGTTGKDEENGTKDHQPGQQRPTTSRLDSQSLTA TDTVSERPKTAEPLDLVSISATYKETAVLFPDAHQAAFEPKVQVPFEFQRGRIPREIE IERRRRLYESKDVSRLVQVAGLTLKLLAHKSSQELPLQVFDDTSYDSRIPAEWMEIAA QNENPAGRYLPAEGIYEFMNSDFRMRPCRVIGWDVARNEVKLLWGAKPVPDETPVVVP RFHVRLLAEDPVVYVERLVNAQKQRVKAMAWIRYRLCCDAMPTDGLPGLDSNLSDRLL RLGTGIPNLNKAVFPDVDERAQRLIAELTLEWQRSHNRILLQDLMQRDESTLRMVANT TQMSLQELVRGPNVEIRRTIKSDPSSVIPIGDFDFAERERSFTFSTYYTQPEVVTALT GVRSECMKVLEGSLFNLPKARQMQLSEFQKLQRDHMAAVEKYLKGEWTENICNVIRNS FVSAGKGWLNVHESKQEIYEISKLKKFFTTVKFMMEDTLFDLVYTSLQDFTVFFEEVS EFTVNVIDMNNVENKWPGSDADDCVEKQPLFTIRLAEQDGSFTYSISFKDFEEAIIDL FFSAIQCTDAIPQVEKFVMSQYFWRREGEGPFLDSVKQQEERVCLLRDRVRHALQNSM KPLRDYLQTYDDLLPLVRLDKKVFITEYAAQEHTMEEMKEEIRAHLKAKKVVAQKLPA FITVGNYVVDCQSFGQIMASKEHELAKLVMNLICKIAKTKTSYIREEFTKIVRVVEKQ PQTPEKLYELKAIIVNTPERISELSAEIEEMRQYYNVLDGFQYELSDEESRQKWEAIS WPRQLTLRIQETNKQLEKVEEELHARLQKEAEEFSKKVDALQRVVATFSKYTDATEAE KVAAEVKVNSIEIRKCIEQARSINSDQRLFGDKLTDYRSVFELEKEFKPYSDLWLTTY QWQDCYRRWHADPFDSLDHEEIDTVVTNAFKTMTQLSKTFKDKNATLKIVSEIRGKVE AFKKWVPIVTSLRQPGMKERHWKGLSEKLNLPLVPGETILLMEDLEPLLGFKDVIVPH CEVAAKEAQIEKALKDMRAKWESRVFIIEPYKESNTYIIKDSSEIVELLDEHLNLTQQ LQFSPFKAYYAEAITDWERSLNLISDIIEQWLECQRAWRYLEPIFNAKDIALQLPRLT KLFDRVDKTWRRVMGTVHHQPNVLDFCIGTSKLLESLRESNRILEEVQRGLNDYLAEK RQSFPRFYFLSDEELLEILSQSKEVRRIDAHISKLFEFIQRLSWTENNEINGFFSGEG EHVPSVNVVYPEGNVEMWLGSVETMMKEAVAEQLRQSFYAYSNTPRAKWVLEWAAQCV IAVSQIFWTNGCEEGLVAEKSVENYFRVLEHQLFELVDVVQSPLNARERINMGALITV EVHAKDTVEAMTRHKVDSIQSFEWIKQLRFYFDTDDRMCHIKQVDAHFVYGGEYLGNT GRLVVTPLTDRIYLTLTGALALCLGGAPAGPAGTGKTETTKDLAKALAKQCVVFNCQE GMTCLSMAKFFKGLAWAGAWACFDEFNRIDVEVLSVVAQQVTDLQQACVTKQYRIVFE GSEVVVDPTHAVFITMNPGYAGRTELPDNLKVLFRPVACMVPDYAMIGEIRLFSYGYK KARSLAQKMVMTFKLSSEQLSSQDHYDFGMRAVNTVISAAGLNKRENPNEDEDLLLLR ALRDSNAPKFLRDDIILFEGIISDLFPGTKLSPTEYGVVVDSLRQVVTSSQLQPVPGF IEKCLQLYDVTTLRHGLMLVGPAGSGKTMAYTSLQKALSGCSVMQSKGQDVGARDYMK VFTHICNPKAVTMDQLYGAYDENGEWKDGVLCVLFRRAAKYGDEGNQIGKHWVMFDGP VDALWIESMNTVLDENKKLCLVSGEIIQMSRDMTMMFEVEDLAVASPATVSRCGMIYM EPTACVPTQALTKSWKERLPKYVAPQADYLEQLVELYVDELIEYVRANLREYVPSTNV ILVHSFFRMMDGYIESFGGLPGQRGPPTLSPERLEIMAKCITPLFFMAITWSIGATCD EVGREKFADMLREMATRNNHADSLPESGSVYDYCFVYYPSPDDDEEARWTHWDELRAT CDIARTTKFEDVLVPTIDNTRQKYVLTHLLERKVNVVAVGPTGTGKSVAAGGLVMNGI SDRLLGLAFSFTPQTKAGVLQDSLMSKFDKRRSHVYGAPVGKHFLVFIDDANLPQKER YGAQPPLELLRQLLGHGGLYSFVGGIKWNLVIDTSFVMAMGPPGGSRTQVSNRLMRYF NYVSFPEMSEASKRTILNTILKGGLHQRGVKEEVVDFITNLVDGTLNVFKRCRKAFVP TPSHVHYSFNMRDVMRVFPMIYINDTNSLPNRDVLLKQWVHEMQRVFCDRLICNEDRE EFLSFIDDEIIQIGYEGGYKSLLPDGRLIFGDFMSTGERSYQQITDMDALAAFFNEQL LAYNNANENPMGLVLFLDAIEHVCRITRVLSMPNGHCLLLGIGGSGRKSLTRLACFLI PEMDVFTIEFTKNFGVKEWREALARLLLDCGKDGKKRTFLFSDTQIINQTLMEDVAAL LTAGDVPNLFEDQDIEIINERFKGVCMSENLPTTKVSMYARFIKEVRSNLHIVLAFSP IGEVFRTRLRMFPALITCCTIDWFAEWPGEALLSVARAQLQSAKGDLGDDEGDRLSRC FKSLHLSAAETTERFFVETHRRSYITPTSYLSLLNTYISLVESKRKFGREQASRLENG LEKLYDTEVRVVELEGQLKAQQPVLEMKKLEIRGIMEKLRVDRKDAAEKEASARTEEV AATTKAEECARMRRECASRLAEAEPALQEAVKVLSKIKAAEISELNKYQNPPKGVQYV MEAVALLLTFGNCPKEFYSGPPGGKKTPDWWLCAKSYMKNANQLLDTLVQPPGKGGFD REAMDMPLIEKVRTYYENDEFQPEKVKSVSVPCMAMCQWVRAMYKWFFVNREIQPLRE RLADAERELKRVNRALAETRRKLDAVVEAVAKLEKEFEDAMATQTALENEVEQTSEKL QRAARLIAGLGGEKVRWKELVEQYKVKDTCVSGDMVIAAASIAYFGPLTGPYRKHLLQ TWSASLAELGIKTSENSDLLSTTGDAVQIHDWQLCGLPKDPLSTENAIILSNARTWPL LIDPQGQANSWIRNLHKDDNLQVCKASDDKFMKTVEGAIRLGLPCLLENVGESLDPAL EPVLHRNVFLIGCTPHIRVGDSAIPYNEKFRLYMTTKLPNPSYTPETIVIVSLLNFFI TRSGLEDQILARTVEKERNDLEQEKQRLTRDCAEKNRELKELQENILRMLEEAEGDIL DQEELIDALEKSKLKSTEISEDLVRARATEVTIDETRNKYRPHAYRGALLFFCVSELS TVDPMYQFSLQWYINLVLLAIENTEAAVDIEERVEKLIEFFTYSFYTNVCRSLFERHK LTFSFFLCTSILQQQDELDGNEYHYLLTGPTGSGGEEPNPAPDWLTENSWNEIQFVSS NLPNFAGFAEHVTQCINYYKELFDSLNAHTYPLAAEWQGRETPLQRLVVVRCFRRDKV ASAIQEFVKHYMGERFIIVPQFDLMDAYKDSTCLTPLIFIISPGSDPMNDLLRFAEHM RMSKKLDKVSLGQGQGRKAEELLSNGRERGQWVLLQNCHLATSWMPTLEAIVESFTLE TVRKEFRLWLTSMPSDSFPVAVLQISVKMTNEPPMGLRANVTRSYYGLTDDDLEHPTK PNQFKKMVFAFCLFHAVIQERRKFGSLGFNIAYEFNDSDRNVCLLQLRKFISLYEDVP FDVLTFLTGEINYGGRVTDDWDRRCMMALIKDFITPGVLEEGYSFSPSGTYHTVEACS RAFYLDYLGTWPLNPEPEVFGLSDNADITCAQSESASILATILSLVSRESSGSSHQSR EEMLIKTAQHIMEKLPPTFNVQEFHAKYPTKYEESMNTVLVQEAVRYNRLLRFVQKSL SEFSKAVRGEVDMSAELEAVGSSFFINAVPASWAALAYPSLKPLSSWVEDLLRRVQFV QSWYDKGMPNALWMGGFFFPQAFLTGTLQNYARRKDVAIDSVSFNFSFLQDETPTTVA APEQGAIVYGLYLEGARWDGAGRTLAESRPKELYVDVPLLHLDPVVDRVADPNDYICP VYKTLTRAGTLSTTGHSTNFVLSITIPTVAPPEHWIKRGVACVISLNF XP_828891.1 MEDYETVAAVGEGTYGIVYKCRCKKSGRVVAIKCFKRKRDCVFS RRVILRELRALKLLVGQPGVVQLLRDFHTGGQLCLVMEYYEHNLLDIIRRNPHGVPRP QLKQILFTLLVGVRSCHHHGVVHRDLKPENILVYQGRVSFVCDLGSSRILRQPGTLVK TTSGGLLRTPTDQPGPLTGNVATRWYCSPEMLIGLPHYNFTSDMWAVGAVMAELARGM PLLPGKSRFDQLSLINQRVGDLAELGEYSKFSPFIATAVKGRESNSIAGDFLTRVYRS VLGVDGMNLLRELLSVDYRKRLTVDKALAHPFFKMYVVPGVSLPLSFYGTSTLRRSKT VEELSGLAVDKGPGAGDCDKWFGLGLGKPVPVAEIEPLNMLSPVKPRTVEVLDHHSPV RKHCVNPTKRSSCAANDPTDECEPHSRQGSVSNNKRRTFSKRPLLSQQRSLPNISSSS VGKAIVARFPEPQRSSIAEPHLKLPRVNEGHYNGSKR XP_828892.1 MSHLGGHIDALRARFGNVEIVCQRPGETLLQVEREELTHGCTLT LYVALSETFPNSPPTVAYAGGRKVSIAPEDPAGVAAMSQAVWVPGKSQLVDAVGNAFN NIANLWGDVAPPSLKEVEGALASKSDSVLEDIASNPNCLESYSHQLSFLKKVRDARLR AADDVEKALEENRRLQKEVMRVRGEVEELQQRLEAQLATVQDARRRIPLLDAIGSPEA LAKTFAADVKTLDTQCEKIAKDLLAVDYSSDKRDFDTLIEEYKQKAKERHIMDLKRRA YHASLA XP_828893.1 MNTRTKNKKRTKEVIEDEVHDIDDTAFDDAAVDAVNDNTQEMQQ QVGDAAGGPSFRVLQIMENYGVASADIKKLMECGFLTVESVAYAPKKSILAVKGISEA KAEKIMAECCRLTPMGFTRATVFQEQRKETIMVTTGSREVDKLLGGGIEVGSITELFG EFRTGKTQLCHTLCVTCQLPLSQGGGEGMALYIDTEGTFRPERLVAVAERYSLDPEAV LENVACARAYNTDHQQQLLLQASATMAEHRVAIIVVDSATALYRTDYNGRGELAARQM HLGKFLRSLRNLANEYNVAVVVTNQVVANVDGAAPTFQADSKKPIGGHIMAHASTTRL SLRKGRGEQRIIKVYDSPCLAESEAIFGIYENGVGDVRD XP_828894.1 MTTKRRNHGRAKPPCSRGRVRPVHCFNCSRLVPKDKSVGRFVVR RMLDQASARDVAEASLIYGSGFPMPKLYMKQRYCIACAIHSRTVRARPVEDRKRRYTS KVPFRPTGK XP_828895.1 MTSIEQIEISGVRSFDPNPNNRQRIVFKKPLTVILGKNGAGKTT IIEALLNACTGQMPPGGGTEKSSFVYDPKVVGENDVKAQIRLLFTGRGGKVMQVIRSF QATRTRNKTTFATLDNIVAFQDSATGKIISSTYRANDVDRAIPDMLGVSPAVLEHVIF CHQEDGNWPLSPPKEVKKIFDDIFAATRYVLALDRLRENNKELRRQQKEHEASLMSLS EHREQARQISADITVKEETVAGIKAKTDALAPQLQELQAIATALDNVEHRAEGLSREA AVIEGRIGEKRESLSRLNVSPPGYSLGELLQRRQNFGEKLRELESTVSTKKELMERAV AELRRCEESVVSLRSGIEFREKQEQQHKRECEELKVIMSDLSMKFVIDGEINEHCLTK ITEYVNEELHNEEQKRSGELNSIDAGIRAVEDRRSTTLRAMDTEGKEKEMKEEQLRHL MKRCGDAKEALGKLAPYVTPTRLKNVQDTITELEKRVEAMELLQKGDARYRQRQDILQ SVEAQNKVVAQLRQELSRHKQRSGREAEMNLLRTQIAEKEEIINNRLQEELIAGLNDL GCNTGGSQTLTTVTMQIDKLRRKMADTLYSVEAEANDLDRQLIALKQNRSQLEGKIVS ENIELQRKRVQCISKLGNDDALTNFEALLVEARDRYHKLNEKLSGSKALAACHAHFVE QAKVEDKCPLCGRAFGSENELNDFLASFKVGQQTSGKDSIKEGDVEKALQRVRGLEQL ESDVMDVRRLADNAPQLEESLKSTIKQIRDKEILLEDVHNKRDKVKDEMQRAQKLVQA AMEVNAMTSEKQALLQQLNRREAACAEASTSSANIGGAGEPCCAGELASLSYEELSDK YESANAELHRLNVLLSEMQRGEEGGSAQALVKELTMKRSELCELKMKLTRQAEVEATI AQYAEQEEGYVSRIAEIDDQREKLVAQLESYNKELEALHAKRKELELASQQGYIGQLK RTLGLLSAVLPRLRDYITSRVGEELSRDRESLCVNEKRRDTQAEEVKLLRLSIDDTLR IINEEQRLRVEVDKYIEYLEKKGSIEEDEKRLSDVRCTLSELKVNAVPAAEAVLGKDV VERESVGRIRELIRGKISALECLRAQQDGVAEAMRQDIESLKGQLTRDKYKDIEKRYR TTFLKVQTTEIAVSDVEKYYRALEKAVQTYHQEKIAQINQILADLWRHTYKGSDIDTI ELRSEDDVTSTTARRSYSYRVVMKRGNSEMDMRGRCSAGQKVLASVLIRLALSEAFCC DCGILALDEPTTNLDEDNARSLAESLRMLIDSHRAVKHFQLIVITHDEHFVRALGGQA LDTFYYIHKDREGAFSVIEERTFDQLFAS XP_828896.1 MRSTEVGRVVEDFIVLPPTPKSKWKLSDFELLHKLGGGNYGDVH LASVKDCNFVCALKRLSIKKLADFDIATQLRREIEIAFNTRHKYLLRTYAYFFDETDI YLIMEPCSNGMLYTELNRVKCFAPPTAARYVAQLAEALLYLHQHHILHRDIKPENILL DHNNNIKLADFGWSVHDPDNRRKTSCGTPEYFPPEIVGRQAYDTSADLWCLGIFCYEL LVGKTPFVGKDTDQICKNIHSMHFKIPENIPSEAKDLIANLLLRDGSRRLALHRVVNH QFLLKYYYLPNNLQPPTGKRPRLDAEPTAGKEN XP_828897.1 MEFSGSTLSVVEVEHTVRQLRPFPLQDIGTAEWKNQREAVERLN MCTHSNAVLKKDDTVKAFLIEHEKLPVLLHELLVMEVWRQRVLPLIKDQIVQHPAGIY MYVQYEMVLLNLFECIAFHEEVVVALDEDVLELIDYCWRQASRLFAEQNVNEVQSKPT ARDVSNAANTVEEVLKSADRQMLHAIYQRAMASLSILWFIIDRLNQLPLAASNSVLVK NDLILGLTEVMLLQPWLRRSSEATQKFFNGEFKDIPRADVLLVCTPEAHTWFSLHKLL CDPECRRRYSYTQSKKELILRIRHFLNDTLVDQIPALASLQRALEELSFLQPPSGTEE KFKSTLTIEQVPRIMTLVEVERKGSWDDLASTLRAMLQDPSVRNEDAMRLSRIFDEMF SDQLK XP_828898.1 MSDVPELPSFEAADVDDRPPPPSISDYPIGCEVGLVDINIAKVA QTEELWEPFMDHFFTQGRRTVRVVRHQGDYTFVVSEEDSMIVGCTLYRACLCEPKVRI GKYGGTNAIVLGCDSTHSIERRTSGLSVVTDREGDAVWGPDSPFPDGAAADGAPVPEF TPPRESEIPSDCLERGGPCVQEQPTKGQATLEERRYNSALMKAYDARMRKDYSEAVRY CTKALRHCPSGCVRALANRSAMYLSMRDPKAALADALKVIELMPSNYVGYVRAGNAMR GLKRNGEARNFYQEALKLDPNNETIKYLEKDNAVLMVYASRRRRGQHAYVSVDRSTLN IILVSGKDQCAGDVAWEEITSIVAPLGETADLCDASACICPPHVCGNCYRPLTKVEDF FNSLVGVELSLLNELYSGCNAVSCTYSCGTVFCSENCRTKGWASHHWIECPVIGKWRE AFKNIPAVYRSFYADCASHINMVTPAKEQANGSTDGRDGSSNYDGPFASLALSVEGNL TQALIACCRLACRMFVDAVSRGQALKDVVLPYNWLLPEDCSYVRVTDEGAANNVFTLI ANKMLRPVYVSLERCFDNEEGEYLSFELFLRFFERARFNSIKLRTSLWPTVQKEARCY KERPAGFGTTEIVGGRNVGVSLDRQLEHIQSAQATSVAGYYEYLALFTAFPAAFSPQP TRSKRNLESSRHNLNLRLDIESSAMIRADAIEYIAEGEQMAVDRLTTTYFV XP_828899.1 MSHPDGSGKAGSSITHAMALHMISKASLLAGHSTQQQNNGSGSV GNFSDTLSNISGFAASAADNSVRNGATVAASVGNHERHGPVWIALLIWLSFILVVIFI IARFVLWCLSSRRAARAGYNEIWDGVGYSGNRSAMAATDGGAPSSTRTSVVGNASRQQ FRHSRQKAARRSYGTENPSAEVEV XP_828900.1 MNTIFRLFLLFVQLYGISDPATGSSSYTTAGMGRAEVPEPEWSY SNLAAWPPLCHTGMHQSPISFKNLTPSELRCTKPPQPLEFSEGCTFKAESTSLKIDNG VNTIRVTFLPLGKTAGDRTNVCTLRDPSGATGAQKYQLTAMHFHAPPEHLFPHAAPDA ELHIVFKAEDEHSVEPAVVVAVQLAASDAANTSATRALNHIMIDGPLPPRQASTTCTL ERNLTVAEMLPQRQSYVTYSGSLTTPPCTEGVRFVIMTTPQLISKAAFNKLSQVLRQT WPENRLGNRRPTQPLNGREVCRYVDGRHPKTSNGQGVWGDYLDTIPATGDSVSEGGNP SGSEEGVKGNLSGADVGANQTEEEKDGKQLWPYVLKPHEAILFTAAAVILVVAALILY WWSNNANEKVDANEAEWLGTAAEVYGTSSL XP_828901.1 MFRRAYHTNLGNAHLYHPLHQPKFHRKDTNLRVQRKQGHTAHMT SPHLLTFSQEAVCVAEEFGVAFYAPKNLKKFQVERFNGVRIAGIYATKASDGGSHLHV VDVEANWFLVSLSRVEVVSSSSIELSEENSVKQSESSRHSEECARRLPNTEGILEAHF HEAGGVLHCVVLTRWGAYETVLTNTSRIVARNIISFPFEMRNCFMSVGRTSGLVVVCQ RSEKWLRYSLFEQRDNERIRMFDSPVNVQSFAVNPISNSVVLGGTRGEMVLYPSITEK NYFSDHWHHTPLTALSFSIDGKAFYSGAREGMILVWNTSSYTYKKVSCGLGCINSIAV PTGNGSRVLLSCAESTIAVLDLLQMQVEKFVEGVQWSTDESCSGLVVSQWMGQPAVIL TGLPNVVRVCDPFTQQAIYSLHISSQMETIPSPPRHGIQYVGLLNNNRTIVTYEEFSG VSLPSLLRFWAYSSDSKRHEESMTICSPHRSQVLALTTDDTQQRVFTLSGEAMKCWVE CKEDLNDAHAIGKKTWGNQSSCVTPSRLVQDLIISHDGSLCFISDDNVHVYNVKALHP GQPWQRVLTLTQHFSLSPLKNLTLLHECRALVATDAERVYFWSLAKPRRQATIWKDKG VGGKAAGITAMCGFSVNSVLVATERCNLWELSAADVNEMGKVVGQANSATEHRITFMK PLSLLKQPDRIAVVDSVSGFRVMHVSLEGKGGVNVQQFERTTARDESNETLSSLKQYF QEAPVRSNNFDGADENASTILDRASQVAEAQKWLVGVLADSAYTAPPMSALLSSYLQR RAGVATF XP_828902.1 MDDVGDEEVVGMHADKDDLNSLLMSTKTASEYGVEASGRWVSRP KKRASRKPMDAGVSTYDVQKKSRAEHSTNGVSQAGVDGNTGGTIDGTSEPFLSSDRVL APCPREVMVNRVMEYVMMRELGGRHNTTQCGEEGFAPFRAALLAETASMRPVAEYDVI HSVRGMSSVPCHEKAPDAVVQYRRRLYCCSYDRDLVGGHSSGKPPHTREHLRALVGSG LRGKGSGETVPVRTTSQAICEAGKPDVKAGTNTVLFDDTRLKPASSFLQDSSSGDDES V XP_828903.1 MDETPNAVKQLYTDVEYTIGSNPEMEKQVKHGCVVIGRLRVYSS YNSGLAFVRSGAFPADVVVKGYGSINRFLHNDVVAVQLLPMEQWEDVVSGELEPDGDD KDEFRTMRPDSERLPDGRRITRWIRDTTMNNRKNREMWLAEMMSAPTQHNWHGKKPSG SVIAVLERKHPLLFVARLADDALSSQEVIQDRRFYRFKVFDQLLPHIAVFGRDIPFSL RESIRERFYLLRLETTTGGDIVWVESRFPTARIISTFGSVHSLRANTFAICSAHHIVT DDFSEEACNCIPDRLIIPNSEEMKRTGRRDLRREEFVCSIDPATARDLDDALSITLLP GGYRVGVHIADVSHFVSPGSALDEEGRARATSVYLVDRVYHMLPRKLSEEYCSLHPGS DKLAFSAIFQLDLNGKLKGEWFGKSVIRNRCRLSYDDAQRIIDGNLTTLDALDYGGVT DRRELSQLKERVATSVKHLFDLASKLRAASFERGRLAFSTPEIGFHFEDISNPTHPIG FNVHRQIEANWLVEEFMLLANLRVAQKIVQYLPDQAILRVHPPPKRVLFEQLKLSLAR VNIELKGRSNKSLEQLLNSVRDHPLRDEISIMVKNTLSLAKYCTNGENFTNKVPLGHY ALGLEWYTHFTSPIRRYADIIVHRQLLCALEIESIVKGKHRTGKTCAGAVGMEVECLD SAEFFTSTYEVMNIADECNENKRAADSVSEASLKLFFCHYLKSLRSLWVSSNKEDPFI PRVDAVVISVAPEKKVLMLYARVVGISVEISLKSKTQKFVAESGNNENRDESNKEETA SLHSEIRRKRGSATGGNHRGGERQKSPPRAQEAKITINWGLHPQTNEKVEEEIKELMM VSAVLTIKRNVKGYEEPDMIMDPPWLRDEKRMAIPTSLV XP_828904.1 MSSKKKGSKLNAAANSTTDIKGLNFCSVKEYGQFNSNEYKIFVA PNRPLQSRLVNLNSLRPHGAVGSAELRSRFHSHPVPENVVKDILTLVNDAQSRVHRCP DFTLVTSVREAMESLHSTAYEQWWMDHIAKGCLSTNAYPFSSLRFAAPHENSQGCSMN SNSSVPDSLSGIAEAIQRILFVCTQSSNNGDQATEKLLFHSIRNEKASLKELVLTSYN SNHILVCCGLYFYRLNVLDENGVAINVGDITSLLRAVKEHAEATEKALREQLLLPDVM NDLVQFHHMLGYMSEIANPECAALMDRLRRANSVNAAALDIMDSSIFTVVLRNPCEAG SPRARWYRTGMLLEEDDDGRLLTLRAHTIITDHTSLVDFLTQVINWRDEHERLGRSSC LLGKTKDEYFVSTPNEPAMIEHLNLWLPWKHRKPMWPYPQKNAMPSVFPLEFTTLGEG VVTFSCLCLAAVLAVQEVLTPSHGFPTVLVAFPHKRGGVSSALLYSKVVEAFIQSLRS ESALVEAVTVRHMARAALQALNTIINACFSEQYPLYTMAQLLLSEGKAEAKDATHDGG MLGAVDLVLSIDPLSCGGDILHSETQLVMPTPFAIRSVGRCTPGAARQQTNVKLPSAL EVTDAALLQMPGAGESSTGRRLSACILERSQVLLTLLK XP_828905.1 MSTLASALPLLATKNVLCGVTGSTIQFFCDLTRDYGPTSTKKSV IIASSCGNRPIGTTGAHIVLNVFFAKETKPQLDEDTLAPLRTREVFGLYCYRSVVGEK ILCIEVDFNDVGTKKVGKGRGTVLATSRGCRPLGNTGIYCSFNCLRSLGAPSNLSELS SVFQPSTHPVGEKVDLGNGFIMNVESSTQITIVYECGRDEMCDTVRLRPYLLNGVINL NMCIRCGVKRNAAYENESSKKRTLLLSNSSVFAKPSLTARNAKARYTVTPGVNTERIR LEVRFDPTYIHYNGGWNEPIIVSNTGGWVTLEDGVMFTFCAHRSPVSLASDTVVDAVR EVLGGFSPEELAYLRFKEVYRKVFEKVGTANAEEDDMKEEVRLAIISHFHRRAF XP_828906.1 MMMRVVGKGKKTKEVKKSREASSEKKIPSPLEVEGAQDVPNANN VRYILHNQWSLTLLVDIYETERLTQIAVANGAAIGDLPVQLNLSVWALKKMGVGKSSQ SLSGLSTELVDAGKNVKWMLGGRLLKMVIDLSEGPECSASAEPGRYTSLASMKQSSKL GESNYRVTVDLLCEGGNKWPVFGTPESTCNTSGDSSPTSIVPRALKFSLSPVTTREAS ASLDQSVLMQLNEFTTLDTSRFPVVKLCLSMEGEKGRIVHFAERIFSGIGVSVDVRCL SDEELSPEARREPGTGVNITAFEEHDSEGREYLFIELDSSKDYGAVGDDERIISNANH VRVGSRYLLNFTATRPAPRLPDFAEVYRSVRDHLHQLPNALNNLNMPSVRAAVVKDLV QHVASRELKNMIWEAVRLYIIRDYLEADQRSISTSPIVIRTL XP_828907.1 MRVGGYMGTSEEDGNTELSVNGSLDGEKSMGFRCLKCVGEISTW TKLTKHLDTSWHYSAACVECGKKHSYFGSSQPHRHEMSTKHRGFLGIFHERNDYKIDH PMVLTRDQYRCHCGTSFLCVLHLAIHLRDEHHNQYIPKTVKCLTCQEEGMLDEMAEHW TTKKSENRKGRGLRSKRGTGPCDEGGVPKDCEFEVEGFGVDQFLVPFPKRPPRSPNGS QYVILYQCPTCRLLFDEWADIRQHIEESNHVHGLPPALLRRRIERRVGLIEQGSTGYG QVDYRTAGNRSAVRYLKELLEVFADINNPAVRELLYRYAPTNKNVDPDDEEVFGYQCP LEECSQAFLTYGEFRSHMKSTKHQTECNIDPVTGKPWLPSWESNPYAFEVTFTMRQLV EVFGYRKCGECNRPYRPGEEHYHYQMHHFTEKIDFRILESTL XP_828908.1 MSPLVLFIDKDLKRKKNNMILYIYIYNVVVMIAMIVCRLWFPFH VSGSRSYCHVARFAFLFCFVFFPHFLIIFFFHPFLCQIVDVLMTSFCRCVVLPFFFVF FFNVSSLFLSRFVSPSPPPYFTSSLLALPFRVLPSGKRSYELSVISKNCEQKKKKEFY GPSIK XP_828909.1 MSTSNDVLVNSKNSVSVARRYALYSNYELALAIYNSLDKDFDAY LDSCKDKQEHKKWKQVKERIAEEGALVRAIQDELRAFVNPSEAARIDRQQWRKQQEEF KPICGGEENGQSDRGAGKAARLTTLHITKSVPPNLSRLASQSLFESNPSTRNQLLYGD KDRFGPPEGPVIRKSKAVNPQPAQRFASPVVRRRPVITSSNTASLTNGSQTVPPKAKI APRRVERPKENATRGQMPRSSVPRFVPRSGEEELVALIEADMHVGSLSVGWEDVAGLQ DAKGLLEEAVVYPVLMPEYYQGIRRPWKGVLLYGPPGTGKTMLAKAVAAECNTTFFNI SPATLTSKWRGDSEKLIRVLFEMARHYAPSTIFVDEIDAVCSQRGESSEHEASRRAKG TLLAQMDGLSVDPGKTVMVLGATNHPWSIDEAMRRRLEKRIYIPLPDYKDRLELFRIN TKTLKLSPDVDFDKLSKMLEGRYYSCADLTNLVRDAAMMTMRRFMEEMDKTEVKRRAA EIGKLVAEQPITMDDFLNAVRNVPSSINVEQIKKFEKWKKEFEVNV XP_828910.1 MEANGFPQWFAAWKRIRKAKEASVCVFKKKGGEGHEEGNKDGNR ALHHRDTVNCGFADVDLHITRCGECRFFFVFFLVFYWYCYLFSFVRRYVKMPRWRARN VPCLSSCNSFPRFGSDLYLVEEQINKNNILLLPYV XP_828911.1 MSDSHHSGYDENSGRGNAQKRPRSESTHGSSNSWQIVSSSRCIA CGRLRSLCECRSLRVDPELRRKNLDTLLLNTPYVRCKQLKALDEAGREEERHRRCIAE EWYSKMRIIKRAAHTDKYLLRVTLDEVRGRENLSALERKEWVEGMALSDKYMVLLALV QREREERLKFYASAERATRMLGTWHNTVFHLLGLQSREVAFRRTLVNNEAAARASLLS IELEALKVMENNEKSRLEFFELFWASRESVADAWMQGVEALECAMAETVQNLEKCFQE RTRCFEIVLKRRDELLLEEENARQRLHSLFEEENDFLEENMRFFQQRRAAVMQQEASA RGVICQEATDAYSALFLECRRDREKACEAESFKRRRREEEFHAAVASLQDIMKEEEAA LALLKTQEHHERELRYQWMLEREKAIISAENTLLQHVQLLFSEEEKARGAIVHLMQQQ EAETASWLRHKERKLREIIETALEEKSQMLLLEHEERFSLVSQKAEHEDAVRRWVDHK ESARQSLATAETTHRNRWVDMERNAREELSWRFGEGLETCYSQVQQRMEALTKLQQRA FHAKEGIVKQEEEALRLLLGTLRDDEERSLREEIHRRVVDILNTETEHRSHLTQQEVG NRESITTMFLMQERFFKKEAQELLKAQLYEIVAAEESHRRVLLQDADDSVERLYHSYK KLLEEARRREEERVREERRQREVALLEDPRLYREEEDCDLCVDGASPPTGLDDGCYND MKMGPLGETWMLQRQHVVEDLGGESADVVLLTHETTAFLFSIVNAITEREAILAAERT KAESTMKNIQKKIERQKSVFANVKEQLEESQEKLKRDAENHSKRLATQREAQQKLEAQ LARERTRLKEKTEELQRTKNSVSEMRDSIHSQYKKR XP_828912.1 METKAIMLLVVSAIGVVMLTWLFVSICTRKSKDDDMHNEPLLSK HANSKLMEVDGRVLVLYGSQTGTAEMFARNLTREGSRRGFPLKVLDIEKYQASNLVKE KRVIIVCATYGDGEPTDPMVEFHDWLMSDSRVMGEELSGVRYTVFGLGDRQYVNFCRE GITVDRRMSELGAQRFYPLGRGDYSDDIEEDFDKWRSGLWPALSTELALDVKSGEEGP VAPECCMKALESSDEAPLPFPKADPGLEPTQRLPSWAPVKVNKELLSNATGRSTRLIE FDTSETVISYQAGDHLGVLPSNPSEMVNTYLRVLGVSEQESSQVISLENKNTGECVFP CRASIRTALTWYIDLAGPPKKSTLRAFAHYCTDPVEKDTLLKLLSTEPESVEAYGKLV LELRTVLGFLQRFKSMSPPLSFFLEMMPRIAPRYFSISSDSLTHPTSVAITVAVVEGG LCTNLLQQAAVGQNIPVFVRKSNFHLPLQAEDRPIIMIGPGTGVAPFIGFLHRRSAWL EKGNKVGDALLFFGCRRREEDHIYADFMEKCLSNGALSVRDVAYSREQADKVYVQHRL AARGKEVWEIISRGGNVYVCGDAKNMARDVERQLLDIAQKYGAMKEDEATALLEKLAT DERYLKDVWTA XP_828913.1 MVFILLACSDICGKKVNVTLPFERPPESLDELYRVVEQLFRGEE TDIKRTVDGGEYRTRPSEPFTCRRIQRFDDETRTWVEVTSEKQLAPYDQLYVFRKNAT RADISTERELPEPRSSIHFPQTDGRLNGLYTSNANRRASTATVSHLTSSPHTPTTQQQ TASSSRRGGESRRYSLSREREKSRVTSSSCVPECIGGNGSPQGFDINARADKVVRKHV EAVYSIGDACKKGYLTAREFQGIFRSCHIDFPFDAIDDIYRVFADDRDGDRAMTIRNF YEFAHSFNQTVNIAYARFSNERRQQVVEQEQRGAESALEELQKQKRILEERLEEMQKQ IVKEQERRARLQNEADELRMSRDPSLREEEQRLLEKEVSVFQYRKKLLQEEVDYEKLV AERRRRSAAVMGHTKMLPQTFKYGYDIHD XP_828914.1 MIRLSEVVRRVLLHASGGRSCRSFRNGCGVENDHENGNADLLSL RSTLPNQNDFFFSRSSASNCCRLCGEVSQTILSHTGSQAHVTVEALMWLLFQRARQYK DGAVGVSPTAARNFFVEEARRWEGILQCSLVNQRSSSLHAITEDGSPCLEESAVYHGD PATLWKEVAEKSALRLQSQIRVLGKLGVLNVVDSLFTTASSGGLQRDAAFQRMECIGD HNWGHSVCRRIVLLFPEVNWRANSNVLVMDALRTVLECNQHLVHVFTLLQLSDKLGGR DVKEKSVKFKADIVEAIIGELHVALWSLQSSTNDGFTSIPSIHGAPYTIPLVSMVEEC LDGIVGLVILALMARYAASLVPAVVDLVRREKYLLDVSCPFYTLRKRRERFRQDEHAM RWLLPPHPPLQQQRQELLKGPRSSVDTCVNEWNLSNTNPVGDVLANASGGENMALTNA MEKRTPTGVVCWTKKIFFSEMADFYGLLRLPGGQPP XP_828915.1 MSQLPLIHTQQKSTTRYCPSGDENSVAVFRPKLPFLSEVNSCAL VVASSRSQGRSVSRSTSEGGQLTSASRLSWLLERRATARPATGRSLQWVELMERQGRQ LIKAIAYSTSPVRCYFMAGDDEGCFLPSLCEPWPPTLTTEDELNQMIKRASVKAKEHI FADRWFGEGKQCAKEPLANTDAFKSVEESQMDIMREFVDLRSSVCSEFLKQVEIRRSL IDLVKKEKEARVAMLGEFISCCPPHPCRCLLLDMEVLEESAIPLEPAVGITCLYTSIV IGGHETLEETLLQQREVELQVLYDQHRFFIDAQKVLTDVRNREHERFESMMAYFAFAL RLLREREALSVETTTPPGCDEGPRETKTRGKRFHMNYYKYLHVLGTIEVEEGARGRIC AGEFTEIRHILYHFERKCRSSIVSTEELERQRLLLNTEMQQRLSIQNAEELALNETVC PRSFQELIETLMKKEREEWQRALEEARITRSIELVCEYEVYRRREIGLFEQQLRACAR FLFLNTTDGAGRITVERWMREHEVLVVQREEAALRKRIVESEDQALTTWITAHRWMVD HDRHLQSIAKEKEDRRAVDRVIAEECAARGVVESLWAGEHYVLFGEVIRVPEGIYKFP EGRQSGEGRESSCGDGESTSRGLDIRQVVIANLGEFFNDRALLGFFDRRPVSPI XP_828916.1 MAGINTVVSATPSLYAVLGVAPTVSQAELTRQFKRLSLQLHPDR AAYRTGENETEVQRQYQSIVEAYEVLSNPDHRRSYDTKCGVNFSARVAALRQTLAQNE VMPVVNCPTEPTSAETVQVTEDEDDEEYTP XP_828917.1 MFCGSRMFLSRAATVMSFTLSEYVGYPKSALWATLTLNRESARH PWEIEMHKSKAKIYLNALPKTLLEESVLARELLCDNISRPGLHTAQILSVNGVAADTI HLVRRAMNSSNVLELQLLIRSRDLSKSQKFMKGKVRKGSRILAEGHKAGVETDGATEE KVAGGARRGRGRPRSDSRVRMAEPPLVSPPVSSANITGAPLLARWPADVTDQKSRRGK KPSDLTKVSPLFSPAVSPGVSIVPDKEVAPPPAESDEVEQYHL XP_828918.1 MSLVSVTNRMTYRAAVVDLDGTLLNENHRISAYTLDTIQKLLKR NIPVVIATGRPHPDVFHTIKSCGLQGTYVITSNGARVSDPQLNVIASFNLSEDVVSEL IGLGSSGEDIQDPKEVPYTVNLFQHDEWVTDAARDELLLMFASSGFHYRVVDDLQAHQ KDGVHELVFLAQPNTLHLLEGVVKKRFEGRISVMRSTSITLDVVHHNANKATAMAKVA ELLGLELKDIVSFGDGMNDVQMLAAAGKGYIMGNAQQRLKDALPHLEVIGTNAEDSVA KKLRELFNIED XP_828919.1 MHGGANSAVASVHVDPTAQLQYNRQRGTEAYAECEYSDGSADEN NRYPQRGQPPQTHETAAVSITDNRQQSNMTPRAHAGGTGGGGGGSTMRRNVINRFDYG IISPSDARSLFLGASLLNIFFAILGTSLSQLDEVGGACFTFWGYKTDCDSVSYTIRTQ LLPCAPIRGRLQTGAAFSIISICLLASIIYLYIRSALAKYMSQYNAEGQTPARRMESR SGILPAEDSIVASNKWTIVAVGGVVVLCEVISWAMTISVYVSRFCEDASLDRNKVYGP GFALLIVGTLLFLMALVVFALRA XP_828920.1 MESKHPEVRGKTRAEAVFEETVLDEREEKEHPHPRDENSANVVG GQTYKSTNLSDVSLTLDVTALGAGPSARDEATPPEPHSEGSEAHSSAAAPASEPYVHS ISEQKPVKEKVYLNGNDSLLSVDVGRNSIPRSSSQHSECVSAEKEKVNVAEETEQHEP VNGSRDNCAEERREEVRAIYAKLPLYRFPCDSNQSQELSQTFVPSPAEATTSAGCWEM GACATTARPAVAAGKQQCAYVKGQQLRATSDSGLPHSQSSDGTTSVCTRAPSDRATLP QCLHNALVICWNTESRWLVVTFCTFLAFLLTLVAHPLSLMDVVGGGCYTFWGYKNDCD KSTYTYRTGLLKCVGLRRVLSAGVVFNILAIILLAAALGCCILFLKRDVFHKWNLVVF VLLCLTALVQLVSWMLVVTMFLLRFCEDTKQPRRTAYGVAFGMNVTSWILVFVSLVVM KVFPKG XP_828921.1 MSVGKPITRDSSKQLWRHIKSSGEEELTYTHNPYDDSPNRRKER EKSLNVCRHYAEGRCNRGSTCRFHHETRHNIIVTPHVTPKFSSIAPLKGLPTSPLGAY LSSPPNRSCNGCLLSPTHHNNSMSYNSSTNSSMKILSESSSTIPHLQLPESLSTHCRS STYVASGMNGEQPPHDVLILSSSLGSLNVGDHVSRNNGGFLLTAQQR XP_828922.1 MNEQRLAEGREKQLQELKRKSSLFTQLLGGERNAAQRKQWELKV SKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYAAIPLAIGTITRLITTNYVARG RGIRQGMPGFLALKICPNLLILPPDFDAYNEESNTVRRIVAEYDPNYISFGLDDFTLE VSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTAALAKIASNINKPNGQHD LNLHTRGDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLSDIYNRRVELCYILHNNL FRFLLGASIGIMQWPDAATAANTENCEGATGEQRKAISSERSITTPRTKEGMQEMLDT VFNGAYEEMRKSELMCRRISLRIRWASYRYQQYTKSLIQYSDDSATLRRAVDGLLLPH AAKYSEISLLGVRFLDLISAKDFHMKRKGGNQLSISQFIRPKKPGEVTATTGIKRERT TEPKQVVGVNLSSDDEDENDSVGLASSSTILVSTDKGTVEREVTIIE XP_828923.1 MVADVVFVLVVEVFVCCWIESDNGSLLMVSFIYSCVSSRLMFAS VKRAVGQYSCFHVICSPRFHSNYVVGVNKAPSWIASPTERRRKFGEECLAEGKERDGD MDVELVPVDVKKLALPLHLTDSIPQDIVDNIVARDRTLVFAPNMKILDLHRRLSRMKY RISVQPLGKVLHFRFFRLCKLSSGMPGDVTVETFRSIQQKLSEYTRFLVMDERWPLEL SLEITPIIEHQRKAML XP_828924.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSASRQPTAFQL TLDCNKAGMGNVDKERVAAVIHEMSAGSGYLCNQQRLSKSREKQLQELKRKSSLFTQL LGGERNAARRTQWEVKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYANVPL AVGTKTMLTTANYVARGCGVRPGMPGYIGLKICPNLLILPPDFDTYNEESNTVRRIVA EYDPNYISFGLDELTLEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTRGDVMTYVRDLGLRSVPGIGKAMEALLKGLGITTLS DIYNRRVELCYIFTEKTYRFLLGASIGIMQWPDACNIPGGSVDDGTGVGRKSVGSERT FKILQSKEELQEIVDFIFNSSYDELKKHELMCRQVSLRIRWATYRSRQYTMNLAQHSD DSATLRRAVDGLLLPHAAKYSDMSLLGMRLLDLIFAKDFHMKRKGGNQLSISQFIRPK KPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTGKGTVERE VTII XP_828925.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSASRQPTAFQL TLDCNKAGMGNVDKERVEAIIRGAGEGTPFLLNEQRLVEGREKQLRELKRKSSLFTRL LGGERNAAQRTQWEVKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYATIPL AIGTMTRLQTANYIARGRGIRQGMPGFLALKICPNLLILPPDDDSYNEESNTVRRIVA EYDPNYIVVGLDELALEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTREDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLS DIYNRRVELCYILHNNLFRFLLGASIGIMQWPDAATAANTENCEGATGEQRKAISSER SITTPRTKEGMQEMVDTVFNGAYEEMRKSEIMCRRISLTIRWASYRYQQYTKSLIQHS DDSATLRRAVDELMLPHAAKYSEMCLLGVRLSDLISAKDFHMKRKGGNQLSISQFIRP KKPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTDKGTVER EVTIIE XP_828926.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSGSRQPTAFQL TLDCNKAGMGNVDKERVEAIIRNVSEGSSFLMNEQRKAEGREKQLQELKRKSSLFTQL LGGERNAARRKQWELKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYANVPL AVGSVSMLSTANYVARECGIRSGMPGYIGLKVCPNLLILPPDFDAYNEESNTVRRIVD EYDPNFTSLGLDDLTLEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTRGDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLS DIYNRRVELCYILHNNLFRFLLGASIGIMQWPDAATAANTENCEGATGGQRKAISSER SFYVLHSKEQLHEMIYSIFEEAYEEMRQNEMLCRQISLLVRWSSYRYQQYTKSLIQYS DDSATLRRAVDGLLLPHAAKYSEMCLLGVRFLDLISAKDFHMKRKGGNQLSISQFIRP KKPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTDKGTVER EVTII XP_828927.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVGPSGSRQPTAFQL TLDCNKAGMGNVDKERVEAIIRNVSEGSSFLMNEQRKAEGREKQLQELKRKSSLFTQL LGGERNAAQRKQWELKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYAAIPL AVGSVSMLSTANYVARECGIRSGMPGYIGLKVCPNLLILPPDFDAYNEESNTVRRIVA EYDPNFTSLGLDELTLEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTREDVMTYVRDLGLRSVPGIGKVTEALLKGLGITTLS DIYNRRVELCYIFTEKTYCFLLGASIGIMQWPDMCSILGASIDDGTGVGRKSVGCERT FKTFQNKEELQEMVDFLVDYSYDELKKHELMCRQVSLKIRWNSYRHQQYTKNLTQYSD DSATLRRAVDELMLPHAAKYSEMRLLGVRFLDLIFAKDFHMKKKGGNQLSISQFIRPK KPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTVLVSTDKGTVERE VTIIE XP_828928.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSGSRQPTAFQL TLDCNKAGMGNVDKERVEAIIRNVSEGSSFLMNEQRKAEGREKQLQELKRKSSLFTRL LGGERNAAQRKQWELKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYAAIPL AIGTITRLITTNYVARGRGIRQGMPGFLALKICPNLLILPPDFDAYNEESNTVRRIVA EYDPNYISFGLDDFTLEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTREDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLS DIYNRRVELCYILHNNLFRFLLGASIGIMQWPDAATAANTENCEGATGEQRKAISSER SITTPRTKEGMQEMLDTVFNGAYEEMRKSEIMCRRISLTIRWASYRYQQYTKSLIQHS DDSATLRRAVDGLLLPHAAKYSEMCLLGVRLSDLISAKDFHMKRKGGNQLSISQFIRP KKPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTGKGTVER EVTII XP_828929.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSASRQPTAFQL TLDCNKAGMGNVDKERVEAIIRNVSEGSSFLMNEQRKAEGREKQLQELKRKSSLFTQL LDGERNAAQRKQWELKVSKIEQELEATRRLXTYIHLDMDMFYAAVXIKKHPEYAAIPL AI XP_828930.1 ELCYIFTEKTYRFLLGASIGIMQWPDACNIPGGSVDDGTGVGRK SVGSERTFKILQSKEELQEIVDFIFNSSYDELKKHELMCRQVSLRIRWATYRSRQYTM NLAQHSDDSATLRRAVDGLLLPHAAKYSDMSLLGMRLLDLIFAKDFHMKKKGGNQLSI SQFIRPKKPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTG KGTVRGKLP XP_828931.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVGPSASITMGFPFS IDCNKAGMGNVDKERVEAIIRDAREGSPFLLNEQRLAEGREKQLQELKRKSSLFTQLL GGERNAAQRKQWELKVSKMEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYATIPLA IGTMTRLQTANYIARGRGVRPGMPGFLALKICPNLLLLPPDDDSYYLESNIVRRIVAE YDPNYIVVGLDDFTLEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTAA LAKIASNINKPNGQHDLNLHTRGDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLGD IHDRRVELCYILHNNLFRFLLGASIGIMQWPDAATAANTENCEGATGGQRKAISSERS FYVLHSKEQLHEMIYSIFEEAYEEMRQNEMLCRQISLLVRWSSYRYQQYTKSLIQYSD DSATLRRAVDGLLLPHAAKYSEMCLLGVRFLDLISAKDFHMKRKGGNQLSISQFIRPK KPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTDKGTVERE VTII XP_828932.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSGSRQPTAFQL TLDCNKAGMGNVDKERVEAIIRDAREGTPFLLNEQRLAEGREKQLQELKRKSSLFTRL LGGERNAAQRKQWELKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYATIPL AIGTMTMIITTNYVARGRGIRQGMPGFLALKICPNLLLLPPDDDSYYLESNIVRRIVA EYDPNYIVVGLDDFTLEVSAYIERFEGTKTAEDVASELRVRVFGETKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTRGDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLS DIYNRRVELCYILHNNLFRFLLGASIGIMQWPDAATAANTENCEGATGEQRKAISSER SITTPRTKEGMQEMVDTVFNGAYEEMRKSEIMCRRISLTIRWASYRYQQYTKSLIQYS DDSATLRRAVDELMLPHAAKYSEMCLLGVRLSDLISAKDFHMKRKGGNQLSISQFIRP KKPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTGKGTVER EVTII XP_828933.1 MCGGEENGGLDQAHVSYSGSASEQNIAEMIVDPSGSRQPTAFQL TLDCNKAGMGNVDKERVEAIIRNVSEGSSFLMNEQRLAEGREKQLQELKRKSSLFTQL LGGERNAAQRKQWELKVSKIEQELEATRRLGTYIHLDMDMFYAAVEIKKHPEYAAIPL AIGTMTRLQTANYIARGRGVRCSMPGFLALKICPNLLILPPDFDAYNEESNTVRRIVA EYDPNYISFGLDELTLEVSAYIERFEGTKTAEDVASELRVRVFGDTKLTASAGIGPTA ALAKIASNINKPNGQHDLNLHTREDVMTYVRDLGLRSVPGVGKVTEALLKGLGITTLS DIYNRRVELCYILHNNLFRFLLGASIGIVQWPDAATAANTENCEGATGGQRKAISSER SITTPRTKEGMQEMVDTVFNGAYEEMRKSELMCRRISLRIRWASYRYQQYTKSLIQYS DDSATLRRAVDGLLLPHAAKYSEISLLGVRFLDLIFAKDFHMKRKGGNQLSISQFIRP KKPGEVTATTGIKRERTTEPKQVVEIIISSDDEDENDSVGLASSSTILVSTDKGTVER EVTII XP_828934.1 MVADVVFVLVVEVFVCCWIESDNGSLLMVSFIYSCVSSRLMFAS VKRAVGQYSCFHVICSPRFHSNYVVGVNKAPSWIASPTERRRKFGEECLAEGKERDGD MDVELVPVDVKKLALPLHLTDSIPQDIVDNIVARDRTLVFAPNMKILDLHRRLSRMKY RISVQPLGKVLHFRFFRLCKLSSGMPGDVTVETFRSIQQKLSEYTRFLVMDERWPLEL SLEITPIIEHQRKQMLECQGECRVSTAEDVMELLSSVFSAELRVGAWCGLSCTPVLAK MACDECHRKHKLCRQDYSRGGVVGTVRYDIRSHKEAKEFVQNLSLGDIPVVGKPQARL LEDVYGITKCGGIADQEVRLGFSLSQSTMEFFLSVAYGTVRLPSEATTTLLRKPPRCK AAHIRRESQFGRVVSDEQFINTVMSVFDNVYTDLVEHDFVTGRVMIETRRTLPRTHWV VEESEDIAPRTNDRDLLREVTIRLARRFAPRRTEELRDIYSVGVHFGDVRSWSENERL QKKAVAVSEGGSPTTGGRKDGVIGAANGVPAPTVPKGSSSAGARQQKRKRTGTGPKPL RKGKMGAASGIRIVT XP_828935.1 MQRSLYLPFFSHPCSLFICVLSRTSFSPIFCILILRLQVALRYR GMWRHSCILHGIPPAEGLMTTPGTLPPTSSSPRCTHTCVVRDQHRGRDNRDFALLSTS ASPGGRLLSLHIDSERAELRQARIAAVSDSLLKTRGAAMHLRLTSSRSGGVARGAGFD AGEQTRGKVPTPLQMTPWSRVDTTVLVTDDYCEPVRRLRLYSEHEDTAVVMAPDALYQ LRLDPLIRECFFDVGCAAVNATAVDCWGPHCAVVGFSSGDLSVVDWRDPGGGPLLRTR PPQPPFVALPKCGRRLATAPLFTGVMSCCSLDDGFRIVCGFGDFAGTVVVADLRKAVT NSSDKRKSGRRSLGKEGQDAVLTGSFSSPTSYPVCDMRHCRDSFGTIGMVDTGGTALL TSIGALESGALKARRARCGAVANGTFVSELKRGDDVPIKHTRALRCDVSPNGSFVAST AAGPWSASLTTLCGRSVPLGFGYSAVEGLGEEVFTSISCMGSVVGAQAIDGSVVHATI EP XP_828936.1 MTTFPPVVYCTADATVSPSPAVCLLTAGCRRPCCSWRIALKVSF SQTPIAQCFSYNLLSFALCLRLCVAMRLRTQVVGIGQLRTSFTSRICMGRRSNSVFFH LWLSFASLCLGNSDSSEGSPPVSNGTSPVVLSDQSVANMEANDSQWKPIRIVVSAKDL DDSLKYCVVAGVPRPDFMGGTLRCKTGDVLTNEKRLILTEHALPSAIHLHAERLLVGM EIDNIVVPEFDSPACKSFTVPIHHRSVGVPQADIILYVASGPAPHDGPAYATTCASLL SGRPIAGAINFSPSAITESYLYIRTVAHEIAHVLGFNFEAMKQLNMVGTKNIRGKSSV KVVKTPNVVKVARQYYGCGKITGMELEDNGDDSVRNSHWKRRIARDDLMTAIMGVSHY SELTLAFFLDTGFYRVNWEKGERMRWGHGAGCSFIEGKCMENNETNFPDMFCNDSAET LSCTHDRQALGRCTVHSYAVPIEESVRYFTMSWVGGSDNNLMDYCPVVEPYTDSYCRD GRRELLPGSRIGESSRCVKGEGLVAFTTHVGDICVEIHCGKRRGVSIRYSGDDSWHVC PEGGHVTPDKTFSEGRIVCPKWEEVCDDNAMTLSCLAAVFAIFVSALSLVV XP_828937.1 MRLCNKWQILDLCTYLLFKWHPLHIFFSSCPWETKKHSLASTIG KRLCMRKGGNDAFNNSSQEGLRVVGEVGRTTPPSAFEHARDLICGILANWPYPSQAVS LLLCGPSGNGKSYLVRAAAEKVRTQDFHRHVIAVMPSLAHSLSRRHADGSTAFRKDIR RAIQTAVMNEELSLPNSSGGLGVSGGTEFAVLVVLDHMELFLSAASDAATPAQGSGPK SGLVVDVAPLNPSVLCDLYDVLRGRELFDRGELTAMNLKVVLFVTLFSGSYDDVDPFA RAKLFCSHVSLNTPTERERLTFFTGHADLPYTLCTALAARTGGIIYRGLVEIIEHAKS IFCNVVSEEGRLLLSNEDAESARNDGSSDYSNASNAVGLAVNVSLHVIRAFAASDSAA ARDFRRSVGYVDVQQTRWDDIAGLDSAKSTLKRLVLQPLQSNLVYQRFGLQPSTGVLL YGPPGTGKTMLARAIATELNASFIYLDLPQLIQAEMGESERRLREFFDAARDRSPSVM FIDELQAAFGARSRTASVHDSRLVSQFLNLLDAAREDSSYFTLFVGATNVVHTLDGEL LRAGRLDTMVEVPPLDEEARLGLVRRVVYGEWVAWAAYAATEAAGNLRCGMDGMEALT RRLVDGTVGFSGAQLRQTLNVFALQFLRLRCGTTTGSGNAASTITLQDTAAGMDASES HNRIEEALRFALSSAVRY XP_828938.1 MGRIGDTPMIIIGLLGFMGVAAAAIGAHWLHPNLPEKDRRAWDY AVQFNLIHTAAMMAIFAVCKSVHPEGSAAKWLNRSFVLLFAGTTIFCGTVYAICLGVP GKQVGPLAPVGAITMMLGWVSVAIAGF XP_828939.1 MSAQGDPLLQGTYIQDDVEDVRSTSKAIEQFEACLASGQPIHST KFPKRNHKPAPGTPLYRAAAKVGQKLHGGQGLQHSQQQQQQLVRRRTLTSATHRPSEK QGLSEEALERLEDIEAVHRLGADSSDDNDD XP_828940.1 MNSELDHPANDVVDSLIAHYMMCYELQTQLRALLQVSINNCTAA RFMLMENEERERRIIAETSELSYTTIVSSAQHTRAAIIQRDLLRLEYEDVEREHRQKE ELVRKQHLVEHHRQERLLEMWQEKANTAVDSLMLHQTLTVHERKEKELLMEGASARLD LMAEQSADLLRILAFIFRSFRRFDEQLQEAHGRAAEAQKKTEKMQISLSQARACVRVL QHPERTEVNTRALDKSDFRDRTDEGNPHPLSLLSIDRQGECADLHALREEHAALKTEW QKYVERERMMRQQLVLATNRHRVERDDLEAKAAEEQRRHAVLTVEVKRTKKDMRQRTK EVRQLQQQLQDVRMELERSVDRCRDLEGLNDTLKEKNTVLQDRVETQQKEHALREEQL QDQVRTKETWWDEKNRTYQEHIASLQQQLRDDKDGFLKELKEWTVVLDDAQRKIAAAE GERDKERALRCMMEEQHRDEIRLIKNVTNNEKMKLTRALQKKIGKLEMACRRSADVIA ELREALHRSKGAAVDDIML XP_828941.1 MTATARSDEEERAGLHEETDMQANVPTIPTFEAMGLKKDLLHGM YGYGYKKPTAIQKRFVAPFLQSRDLVAQASSGSGKTSAFCICLLQASEPTLRETQGLV LSPTRELALQTQDLCNNIGHYMGISAYAAIGGKSIEDDLRRLESGVQIVSGTPGRVFD MIKRRHLRVNHLKTLVLDEADEMLGKGFKAQIHDIYRMIPPLQVVLVSATLPVDVLDM TEKFMTEPVRILVKRDEITVDSIMQYFVSVDEEKNKFDTLCDLYDTLTIAHAVIFCNT RKKVEQLAKKMTKEKFTVSFMHGDMPQAERDEIMRNFREGKSRVLISTDLWSRGIDVE QISLVLNYDLPFSREQYIHRIGRTGRMGRKGLAISFVKHDELRLLRDIEQFYATQIEE LPANVGEQF XP_828942.1 MALLSLDVIVRLRSRHEEGGDYGSPVTQEKLRAIEFVVRNMKST APWPPPAASDGKPLAMPSEEAYGALAPSVFYYAPLGGRFSKEFREALRRGITRLLTEL VLRQLVNMRQEKITGARSSGNTSGIESFVSFRGGCHNREVAYVHWSPGLLSLLLSAVD TSELRFDASLPLGSTLVPFTREMKAPTVQQSTGTELLGSHGLHGSINYKSGGNDHVHK YENLVCQLHAEMQRMEVIQQSLHDERTADRLADFLYHFHLKPAEQMREAGGDALKLQR YRDALDCYCKALSTVQKGLLVPYRHALRPPPSLEDRVEGTMEEDILDEATAFALVASS PSAKRERTFLAPANRYFNTLLQLDILEATDGEGKEICGMRSDSLLFGCRAVFPRARPL FHVWYMLHRHAVVAVANAAHTLLLLQAAQTPSFNSVMPESETCVTELSSKELRHRQAR AGRWCGRALGMLQCINVWLGDCFKNPAAEGAAPTREWIWVQSMRFKILLRRARLMQLV GTFEEWNRAVEATDEQLRVLQAQSDLSCCASEVQGILDDVRERLGQERSLLQRNHDRG SGLVLRL XP_828943.1 MDRLLQFHSQSGVGFMRGSPPPGSSGGLSRLTAVVPVAAPGSVE AETVTTLETFFEVVATVTESIDRVNVLMCEMSKKHEQAMDTVNVAKCDAIRKEVGDLD DEINNTIQKACKGVEDMENLTKKLKETPEMEGRFAGVIRLEENQRRFVLQKLSETMEG LQKRQLVAEKNYLSQTERRIKIAYSNPDGGEMDDETAHQLAMQVMEKGATTAIFQQSK EVLAQMLETRSDIYRIEMSMRSLNRVFSDLAILVEEQGDLMNVIIRNIDSTNLYMEKA HRELQQARAYQRASRSKLMCLLMIGVIIIALFVAAGLLGSLL XP_828944.1 MINQTGFASALASRTALRHSALRMLPVRRDCFTLFSCRRCFSFS RARAPRVNLEEVRFDKQSARYAGTVFGVPTDAVVFYAKVGAAVVGVLIVFCIFVKGYG ILARLNLGTVARLGFTSGFLSCMVLNAVFIGIARRFRISPNAVYNQSIAIVMQNEKVV QHLGPHPRTGDFKAYCQTGGFRLPLIRRIRSGSYELSDLLGLKPQKLQMMFVLRNQAN GGEGFVTCEVRRETTGFLSSANTFRSLAVTLTDPSRSSARTIVLIGKPEDVVYRGLMN L XP_828945.1 MDVLFPSCVGIAVQHAPHAGHFFSFTFALPLIVIDPFPSAGSHC MIKRRQHTDVCYYSHDRTGMNRLHPLQLLLAYEETRCPYDLPGEKERRRLVGYPSWTE AALTAWSRQSVKYSVAGEVEKRCEGSKSPVLLMDGGTGQPEPVAKGAVNTPTSGEAEK AIRITEAPLLVRLVAREVVAASRLIDDSATSEDAMGSQVDGVFTSHCKPVRSTESHAL ENRSANPARELLRVKQPATRDIGVGSDICDPGKGPAEERESSGQTRQSAVEDIMVAQG NQVGDLLSNTVERLYAKLQGTALKVVAIDDLNRQLTEKFESLKPRDHVEDKTELSIYL YSLRRQVENLKREWDVHKTALEASEQRLRGNKTDPSERTVRVQIVKDYETPPVPYKKG PFRPLVIPAVGKEGEKKSSASYSDNSEWTSESSSSVPASSRSVVSSSSYTSTSSQPTP TSSSTDHSSSFSTLNSSPSRRTSSVSFSSEEIDSGSTLS XP_828946.1 MAKGSDYDAVAYEPMPREGFDAGDEGPLVGNHDQGFLFDDQADG AHSTQLTYIAPSVKKPVYALGITLLFLLVAVVLTVILQPTFLYGETCVTPFGTIIGVH NGVFAYSNCRRDHISTKKNSIKGEGSMETGMEWQCFEYVKRYWIMRGVPQSVILPTAR KSSELWSFTQATFKNGSKVQLERHDNGGPQPLVGDLLVYREQPALLPVGHVAVIVRVG KTHVWVAEQNWFNKQWHPPFHNFSRTIKMHHNAESQTYELEDMAGTTIKGWMRYKT XP_828947.1 MTLLSHVVVLCSLLFVLSGCGRGVPFCYTYELDRKVKPVETWTG QMLTMAQQAHERVKGMRHSISSVAAAVHKYAWIVKNTTEGDAIYVDTATRQLSRASDA HDRVANLQKTIQDHHKEVTYLSRKAMESCDEWVVTLHRLRKTREEKDRTELMKKLQTH EAVAKENIGIAKKVALQTKPFAEQVARNVSTAESALRFALNVWKKFTSVLGETIEKKK AEAERRAREAAEQTNRSDNATDEPQEQTADAANRTATLPEKEGSAEQNDEEVEDVQQI PKIVDSLDFRDNFSEERPTTQVSTPSWSEQGDETATDTTLKTRAQYSELATRGCRGLF HLSAILFTYARITAP XP_828948.1 MPPFGCRNSRIFVTPQSIYTNCPLWPVIPIPQIPRVAHGFPTLH APLFRKSMRTRRRHHLVKRLQPAAGLGYPRPGCAGFGCFRFQTFLEQGQMGSLVQPLF RSVDCQPRPFQRRFSYCVFSTASTVWPVHAGSPHHGSSVPTSNSILTNTKPLLSSTTI ANGVLGSRAPKLIGEGG XP_828949.1 MAFSALAAVFFTGFCVLFATILAFSPRQTHDRKKVLARLRAKFP YSVSKIAHRGGSILGPENTLYAFHRAVKEGAADMLELDVRETMDYRIVVCHDEWLERL CGSAYKHVTVKDITVGDDPNTNLPQLQRNIPLHFVSSEKTMYCATDSVPVDGTTRLCL LEEVFEAFPTIPIHIDIKYASSDFTDRIFDLIKKYGREPVTFVGSSNWRNEIYITRHM KRLSSQKDKCKFHTFAGPIDYVLVHVAHYIGVLPLIPLNFDIFSVPLFTKRKKQEIPF FLRPIAQLLNSPSLWMHLQQRGILVVGWVLNDVDEFEEASRWPINGVMTDDPISFNGF LISHDVSNTMNLLN XP_828950.1 MRALYQFVAGALFSASLFVFADGLIVATQNALPYNFLMWLPSLL MFCGMFVLCYVDAGAISNRYDLMGDGDSSRDRVFFFASVLFMVSGFAVSLWKAIDPYT NAGVPWPGASLVIQSALLIASFALLFWQKTQDSNSFL XP_828951.1 MSGPVSQLSNERLRELRAAVHSFLQDSSVYSSIRDIIDTYVTEN PEGSVTASSSADVMKIIRERGLVQELLNKLQDEKRADAKNTITPCHLWKLLPGEYYLH VRLAGGKAFVDNLDIAPSVAQDYHMYVALQFGPHRYRSVSQDVSVDPPFRDEFLIHLD YKAFGTSALDLIEISTPFNLAVIREDRFLSTATMVGENVVDWRKVLRTGYLGLTVELC GENPGIPAGIIELQIEILPNKAPRFTDEEIVRRIELQRSGITSADREFLLYARRWWKE FQDIRPGHKQRRVKVFASTPSGRMVPTAHFVSVIRSERGIETPFDAARFVSLFAVTTE EHLTQEVLSGSGGNSSGATWVSLLTFLSQRRGDVCNHSTLLCSLLLGFGLDAYCAVGS DRKGKTTMFVVTRRRVVAGTFEVTLWEPTSGSRFLLTEPHPFETIGCMFTHRSFYANI QQSDFVVTTSFDVDNEELWKPMNPLKLRMVPKSPNPSLLWVSRDVKKLENELQGSLVR EITNYRDARGIVSNIDERLSYVLSQALCAYENHRRRGTPEDLSLFESCVKGTIGDGKT FRGVPVNVTHLNSHKILAAFGESTSGREILELSADGLTLGIQVKVFPFPEEVLSVWVM LAAAYRSP XP_828952.1 MRALRCVRRGVYRQSVRLCYFMSLECSLRPITGASARLLCRFTA PTWPRKNEVEEGDVGTTESTSQDVRSIFRSNIETHSEVSLESSASPALPPKTAALDVS VLDSKGNAVPVNPVKLFSDLDNLPDWLSKGLQSSGFSCTTPIQSYTIPVLDEGHDMIG LAPTGSGKTVAFAVPALKKFQWSPNGSPRIVVLAPTRELVQQTAKVFHQLSSGKVRVC EAYGGAPREAQARRLHNGCDVLVACPGRLKDFLQNGDVIFDEVSFLVFDEADRLLDMG FKVQLDDILGYFSSHRPAQTMMWSATWPPVVEQLAQEYLSQNRYVIRSGTAGTGLQVN ENIKQHIFFADAPEERVKTLVSLIKEGKIDENTAKMMIFVERQTDTENAAYALARMLG IHSRCIGVVHGGMQQRQRDHIMGIFKEGRIRILVATDVASRGLDFPDVTCVVNLIAPK NIDSYCHRIGRTGRAGRTGESFTFIGRSDGSLAKDLINYLEKCGMDVPQRLVEFAEEH AQGEEIKRMKRPWRGRQQREGRSQSRGGREPAPRDSGW XP_828953.1 MARIIDVSELDYAAHSLTRGGLVALPTETVYGLGGNALDERAVQ QIFEVKGRPSTDPLICHVPDIQRAVGLWDSNADPAALEVAKWIGDAIWPGPLTIVFRA NSVLPPSVTGNSGYVGVRIPNHPIALQLLQLVNFPVAAPSANTFGHVSPTTAEHVLRD LGERCPSLLVIDGGHSNIGIESTVLKVASATKVEILRRGKVSLADLQQVIWKKFACHI TVRDTRSCPGTVDMAMDGPGQLLTHYSPSVLSGMLTPKSTNCEVHQNELDKAVVELPS TPPLTGGVPLNQTVIIDFGGLLQRFQRVCLAYRDLSAEGEVQQACFAVFEALRWSETV SGAGVVLFPLISEWPCKTGDEELLAAVEDRLFRAASGKIANIRFG XP_828954.1 MSFHPRDAFILHQEYIQRLNEGDEVDTSAEQKVELNLQRHADSE SAATVTFSHEDHTLGNPLRHVIMEDPSVTSAGYAIPHPLEAKMQLHVQSSEYAVETVA KGLERLAAICDETLKSFNACVEAISAEDPEGH XP_828955.1 MSVTLSEPKSSVIVNGRRRITSKFVDGGEMIEEYDVITDDLLLR KYRSRTTLGGFSAWEVEVGNEASTRNLDKELVVETSGSPEVVKQDALEFYVFRIRNLP YAKEVFSVAVEHSKPTDMGEIVVRTSNKKYFKRLSIPDMNRRNLKLDPAQLSFDVQHN TLIIRYKKPLVVLAAESAAKKERASLPAKRIDDADSRTSCNQQ XP_828956.1 MIRKTPPRLVRDPVPTDTKAFYSWFSGQAYRQERVIPGGYPAVR VYPVYGKRWFTGRTVMAMIAGFSLFGAWHRPEKERYNMEMMIEWSERQAAHLPYQKAE VNLRCLITSYKRHRYEQENLIDKGFVGLTSEFRKFFYHDDVWRPPLHDVLMHPYAKYG GPLFSYNWTIGYF XP_828957.1 MDEDMCVAAAAFRGTEEYAAVFDLEVWKAQQQARLRSEINEEKA RLQKAVAEEVRKKEAQRISELDALQQELQQLARRLQVREEALHRRISQFEVREAAFED RRVKVAEQHEQHLLSLETRTRRQREEAATQVDVLKAQLAERDRSVALLEERLSAAESE YDKLQRYVSRVSTSDEDTTRTDALEEQLCAANSAMAKLQLRLKERDSELALASRERDQ LKRTCEVYKDQLYQLTKRYNHLQEQCCRRERMRLDEERRRIDDSKRRYGIVEPRMGME TRSPRCYDLLGTLVAGGTSTRRPGDALAHDDCTLLLHELKSDVEKGMQKFGRRGKGVT QTKRRQDLVFAEVVVRQDEEEPLHVEGGSDRVCPVAHGGPAVPDTELDTGYADDFANT TISSLSHREGENHGVAVSTFPGMPIEEGLGQTDVGDISSTYCYADIESWGSGDEETRC RPIERKLRGDAAADLPPLAPAPAISDSFTDRSAAQHVSPPREPMEGPGATSMVSTRDS TRGEMIAFVEKLQENRRKLLDSGVYREDDSIVKEMSDKIALYENFLSQNF XP_828958.1 MDFRLPLCSVLNSEVGRRHKIPHRTLSLPKTSLFVRYLDSDDTR LPVHSLLNIVPQPAEDYETYTGEDDWEKKVDDAAGVVGDCGGIDGNPPRFDEMVQWVI DALKDIEGCGGAASDGVVLCGRWVVADDCSWVVPSRTPILHSPRDVFLAMRNSPKFLR DVHHQILSGDTSSAGMGSTVELTLAKAVGKNPANDFRVFVPYRLVRAEDTFRVTIWEG RVFAGVCQRSTDVCFPSLMSWDLRTHSENYDHVLRHIEGARLLERSIEADPYLRTFLL RKVEANEVEGAASPCSVLLLSVDVVFEGVSLPLYIVSAKVRCFKHDRLRDPLCVSPFI KEEDNNGSEEGVNAVGGGVDGDRSDGIDTKEMLDDSVIFFRLFRDVNNWNFHVTLCLH PDGGEVSGDVDKGVNIVPSPQRDRRFFVIASERSDLVLTKEPILKRGMPLEFLRPELL GDIGEGLPQVWKDRLLRCLSLVQRSQEL XP_828959.1 MSTGKIIGDHRYLIEGLIAKGTFSNVHRCVDLTTDKVYAVKVIS KSVIESQNMSVTVVREVSAMEAAPPSPYLVRLVDKLVSSRNYYLVMNVVEGCTLLDVI EKKYRPRPPLRWVRSIFRQLLNGLYTLHGANVVHRDIKPENLLLNRSYTRLVISDFGF ACCAPPGRSLHHSCGTMNYCAPELLVPKPAYDGRKVDVWAAGVTLYVMIFGVHPFQAR KEGCADSLAEVITSGAYTLPHSLQPELEHLLSVMLEPDPALRWSVRKLLRHVWVLGGM VGGVTGRSSLRLTTPTPGSTGVANRDANTPEDYVVRSRFARALRADDTDPSARQFRSC PDLRREMNNFLDACDSDGEDAEWDGDFSNGNSTHDGYTKFSSLNVSLTDIERGRQPSD LDGFSESTAFATNGSYSSLFSADQLLLDPDETPDTPKGDFLLTVRVIVNFALFCTTLV IVAALRFLFDVPVRDLPLPPFVISIMEYLLVPPHERLAQSFEVRRMRHRFPCAALRRL VASAERAIQRSSWCRRIMPVRGVDEGTDVPLGTGRRGIVLRWLEKN XP_828960.1 MHSKASSVYIEACKRDHAECALEFVYALDNNESEVCLSNRTVHL NDIDVKCIAETLQTTRHTVRALIMEGNAFGLNGLQALLEAIEVNPGIVRELRLGRNKL KDQAAVVIGHVLSRSGCGLRVLDLSENEITKLGVIPIAAALSNGLCDIVELSFHNNKI EADAATYLGQAIRQAGKLKHLHLGYNTIRDEGAVQLAKCIPVTVSLSTLDLTANRIGA SGGRELARALMTTTCNIQRLNLRHNLFDSETIEMYGEVIARNTSLIQLFLGFMNPAPE SAAVVLSSLRKNRTMLLLDIYGWKLPPDEAWTIINSIQKSNTILMALVTDACQSIAKQ IDQGNEERDYQGQYPIYVGPDDRDAYMATKSLRRASRAQSRRQSRSNSRIASSRNATP SALTTPVNRRQHERTSSRKNSENQSRQSASNSQIGREPNSQRDQSYVVPPHTTSTDRS QEKHRDIQRRSSRGLDPSASYDARVNQGTNDASPMSVFELAQSNKALESLVDELRREI ARQKENYRALEARVTALEMQGRCPTVPQHRTSGVPSREETVDLRRLGRPPSTTNDDAS HPAKSIMSTPCNSERAYDLPSPGDLRRTNTQSDFAPPNRSASAVELYSLRRRRSNALI TTGHDLNQSRTSEVESRGAPAEYPQLNSLRGDPSFPPAEPVQLPMENKPLEVVLQTSA KPREETTVYLGPGPCKDKDPPRRKGSVQSIY XP_828961.1 MSAFEFLRGKLGGKVPVRLDCVPPWTQCSSGGKVEEADWQSALD ALSAGQLFLLRGIMSEWRSGNLVQVNRYLQGCTAYFDPSKPHLLAYFVGLGGIDLFVT LLCDVNVPNGATDSSPQAPFRADSQDTTPFHLVGAIDLMLRVLTELMVCHNELGWYYY DRYPGLFFRLLELANVPELRLVSLMMLEHLLLCVGPVLEISKVPALQKLIRVGDDVVL AVICRVVSLLIVPGVVLDQRESVPHRLLFPETLLPLQRIQRVIDSNVLWLIGEKGLVQ RLVALCEVTEPNSFRMALGSENLSNSLAGYSFPVLLPNEARAATPITTRGLDVNGLTE YGLGDPNVLQPFVADGNGVDRGEGGRVRDYASSVGANPVSPTATLPVEVVDTLRAFLQ PSLGVSAALYQDDDGASEISVETTGSGIDFSWFVGCVDAKQRWRLRDLTLCEELDDNR VLLCGFGPPSDKKAKESFEEKNRAFWNFLSPVMRVPLTLHNRYAIVGAQSEVIFVLNV MLSTFFVGDVWRTMKECKWIEVASKFYDRAFQPRGDSQVLSPYLEQWQHMQELRLLPR FLIPENGAGEARRAPKQRTIKRGYAAEKYHRNEDGVDDNSNSSDSEADSDDEREEGHL LSAMRPGEDKEAYRRPNSGHMNADSEEGIDEKTHHHEAETIRKLELLRGVLEFLNTQD RFECSMLQQGDILSQAAPLALKVAKMLANRSEDSCVETTACHALEGYLRCFSFGCVSR SSPNEPQTAIGDILMRSILEHRVYNATFVPGLSDSLTPSKRIESVFSLLGELVRYHYD NLMLLQEYVIGNVELSHLNDPAVTVSSHSRQLHVGSGQVEQLIRLPPLDREEHEPFVR VLLRRLRGYGCDTNLFMRSLLLSLTPGLRSKINYMWKPVTEATVDAGESLSSSSRIGD IVTGYAHRFSYITACSRRFVVVISEMQRMEKALGKAADAPTSTPDPTRALENARSSSF GHIAHLTLWDMLQLLLRSPHRFSLEERPFPVFFDDTDRAIVMGEIDLPLVGPPPHLVQ PLFEKRDHYKSLSELEKTLLREPHELVYGMLGPLNAERIHGTGRLCVVTTCILVFARV ACLGGAGAVRGVLEKLKPLARVGYEKWKKEREALGRGVKKRRRGDRWGPGRCFNDDRA ASDGQCHCKAEDGRLRCSAFLLHGHCKPLGAVELYYRCYGGCFFRNMFRLLCFWVGHY GACQRYVETLFYCTEVPFAELKSVMLYLFRLLPDYFLPDMA XP_828962.1 MTRKVAIGADHIGFPIHESIVRYVREAGEEFEPVYIGPHSLERV DYPDYALNVARMVARGEADVGILVCGSGIGMSIAANKVPGIRAALCFDHYTAVMARQH NDANVVCLGERTTGPAVLREIIMTFLQTPYSGEDRHTQRLEKIKAAESNTNGC XP_828963.1 MRIPQGAMKGFRCAPNVRLVVAPSCSAIVSALRCLTQSPHLWCS RCSTGLKGDKSKFPDASTALIRNIGIVAHIDAGKTTTTERMLFYAGAVKRVGDVDSGT TTMDFMKEEMDRGITIQSAAVSFQWRGHSIHLIDTPGHVDFTVEVERAMRVVDGVVAL FDASAGVQAQSYTVLRQSKKFGVPVIAFLNKMDKYNADFTKCVNSIRKKLEMEPLLLQ LPLSREDGSFDGVVDVVELKSYRFSGDHGSNVIVGDLRAHRSEPPHVVEAARDARHAL LSTLTSVDDSLADAVIAALDETGGDEQRAEDAIPCDVLRAAIRRQTLRQNNSPRPVIP VLCGASRRDQGVQPVLDAITYYLPSPQDRVLYGYTKDGELVQLPPATTAPYAPFFALA FKVIHTMGPKGQRQPLVFFRVYSGRITARTTLVNNSSNSHENIEKLYVMHADHQVEVP HLAAGHIGAAFMRNTKTGATLYREPQHAQSVVAGCGKEVFTLEGIDAPSPVISFSIEA ASKHQISLLEETLQELSFEDPSLRVSRNNFGQIVISGMGELHLEIVMSRLEHSYGLKC RLLRAIIEYREVVREPVELKNVIVTNNEVPYIECSLRLQPLLDDEGVCGPSEVCSFIV DEAFAGSFLSSSANEGGGDRRRRMDDCRRNAVEELRLITEVFNKALSECFLLGPLAGL PLHGVRVVLTGFRRVAATQLTDRPLAQAARSLLLQLLQAAPKTTLAALEPMMEVEVHL SEPTYIGEVVSSLNERKAVTVDIQEDGKSVSAILPMRNIVRYTMELRKAVKGHANLYV RLHHYRTIEDKAVLSRIMKNLGIYDS XP_828964.1 MLGANLGINFHTDDDHGDHGDHEGHEGHDMGGCAPAAGSYSMGL HIAAIFILLIASFLGTVLPIAGNYVPRFKLPPFLIVVSKCISTGVVMSVAVLTLLNHS LHSFMEKCIPHGLSMEVYSAFGLLFMLISALLMHSFDSAMDLLLEGWAVRKEEEKLAD GAPQVADSVPTAAALPPTQCGMKRCTAQPGVSCETNGCCQSSPGPAYGATGCCGSRGE AAALLTGARRVMALALMEFGLVVHSIFLGLSVGIASDSRTKVLLVALSFHQFFEGLAL GARLAEASLKAKLELFLAILFSISVPVGTAIGAVTMRDGGKSITGSSYVTMSAIVNAI GAGILLYIGFVLLLVDFPTDLRIYAGVGTPNRFVRRIAMFVALWVGFGVMALLSKWH XP_828965.1 MANVNNETTECGALLSNITSLQTTLTSMGCQVPALHHHTHDHGH HHHHHHHDDHTHGADDHEGHGHSHGGCESGHGTYSIGLHVVAIFVVLIASFLGTLIPI IGKYVPALRLPPFVFVLGKCIAAGVLLSVSTIHMINEAVAQLQEDCVPESFRESYEAY AFLFAVAGALLLHMVDVIVDARVTNKSDSSTNKPEGQPDAEEAQAAPAALDAYDGHHC HYAVGMPQSRTRRLVSAMFMEFAVTVHSVFIGLAVGIARDAETKTLLVALAFHQMLEG LALGARLVDAELSLKLEMLFALLFSVSAPLGTAIAVGTIAIWNVSMVGTAFVITQAVA SAVCGGMLLYLAFCLMLSDFPSDMQKHAGKDKVRRFFRCFGMFAALWLGAALMAVLGK WV XP_828966.1 MANVNNETTECGALLSNITSLQTTLTSMGCQVPALHHHTHDHGH HHHHHHHDDHTHGADDHEGHGHSHGGCESGHGTYSIGLHVVAIFVVLIASFLGTLIPI IGKYVPALRLPPFVFVLGKCIAAGVLLSVSTIHMINEAVAQLQEDCVPESFRESYEAY AFLFAVAGALLLHMVDVIVDARVTNKSDSSTNKPEGQPDAEEAQAAPAALDAYDGHHC HYAVGMPQSRTRRLVSAMFMEFAVTVHSVFIGLAVGIARDAETKTLLVALVFHQMLEG LALGARLVDAELSLKLEMLFALLFSVSAPLGTAIAVGTIAIWNVSMVGTAFVITQAVT SAVCGGMLLYLAFCLMLSDFPSDMQKHAGKDKVRRFFRCFGMFAALWFGAALMAFIGK WI XP_828967.1 MANVNNETTECGALLSNITSLQTTLTSMGCQVPVLHHHHRDNHT HGVVDDGIDGDTHGGCESGHGTYSIGLHVVAIFVVLIASFLGTLIPIIGKYVPALRLP PFALVLGKCIAAGVLLSVSTIHMINESILQLQEDCVPESFRESYEAYAFLFAVAGALL MQMVDVIVDKYVTNKSDSSTNKPEGQPDAEEAQAAPAALDAYDGHHCHYAVGMPQSRT KRLVAAMFMEFAVTVHSVFVGLAVGIARDAETKTLLVALVFHQMLEGLALGARLVDAE LSLKLEMLFALLFSVSAPLGTAIAVGTIAIWNVSMVGTAFVITQAVTSAVCGGMLLYL AFCLMLSDFPSDMQKHAGKDKVRRFFRCFGMFAALWFGAALMAFIGKWI XP_828968.1 MANVNNETTECGALLSNITSLQTTLTSMGCQVPALHHHHRDNHT HGVVDDGIDGDTHGGCESGHGTYSIGLHVVAIFVVLIASFLGTLIPIIGKYVPALRLP PFALVLGKCIAAGVLLSVSTIHMINESILQLQEDCVPESFRESYEAYAFLFAVAGALL LHMVDVLSGFCVRRDEMVYSDTSAVVPHEVIDAEEAQAAPAALDAYDGHHCHYAVGMP QSRTKRLFSAMFMEFAVTVHSVFVGLAVGIARDAETKTLLVALVFHQMLEGLALGARL VDAELSLKLEMLFALLFSVSAPLGTAIAVGTIAIWNVSMVGTAFVITQAVTSAVCGGM LLYLAFCLMLSDFPSDMQKHAGKDKVRRFFRCFGMFAALWLGAALMAFIGKWI XP_828969.1 MLGFLLSTMSRVPISEEQAQQLLMKQQAQEEKQEAMREQKESIL RAVVSAEGRERLTRLSQVKADRATAVESYIIQAVRQGKLQPPVSDEMVREILEQMSSQ SAESKSNIVFARKRMDDDW XP_828970.1 MPKRHRQPDASFLTPPSHAYLEQVIEDYGDCHEDSDSCASNEFF SRRMGDDGNHSSSVASLTDCDATSSDASSVDDDEADSGDVTVVDVDFGVFDIREQDVN AIMHLMDQFCPDRMNEVDREDLGTAFLKSPFTCIVKISNDYVCNDDIASSGGEEEGGV EEDVYGVASVLDLAHDSRLGSLLNLLKTDVWRTVSPGVLPTELLTTLEEKTGRAKCVF LVGEYIRNVPLELTSHILTDLAKRFEETFGRRVEENLKSKQGKDVIHATFPCIFAVLS KIQRATDSPSADTGAEASAADRKEGRDKSLPQKRKKRTVTQQHEELDMKRYVFWREED SVLYEFREKHVAVVAYRCRPQYDMQPENDIPISILYAITYKGLQEAIAEIKKRETARA SVLHY XP_828971.1 MASAVVGLTGDDLVQRILMSKGEAAILFGNNALLEEGALKKAYK LMALRTHPDKNPHPSAHEAFQLVQQAFETALRPLQSKPDPRESKPAPTSARPATAART AKPPSSAGGPPPPPSWWKPPVPSPTTPTSSSHSANDSRAQHRRPTESGEHRSFRDDFA EVPLPPDIFNKFDGDTSHPLSPPPSVAKRAKPLPTFDVSGSDDDGVEAEKQGTSRVRS HFAGQRQTPGSHKPGANGGKQRSVPCSLKELFGMFDISDDDNDDLISIPISSPTAGAS RHPSTTQPAQMRGKQSTFGRRQNAFTADTPSRGQKNVSCPFCSAGEFPAHVVSTVVCS SCSARFVPAGVREMSTSSKGVRSGAKAYRGDLCACGKASKGSCFLCE XP_828972.1 MTGFRLPVVGHQYCVLCPVCSNTVSFSCQSANIYGVKCYLCHTH INLQNPSEPLTPDDPGVLPKHSFDDSVSSRGVRYSMEVRESSGGSASAQVAMLISKMT KEQRHIMSKGPYSEVQEVFSARRIQAISDKIWFLHPLVYCVEYLFFGDKPVAEVGNVR LFRREFPVVGHFYIPVVKTSTSSAVPLTIIIVFTGVLTYITHVVGEPHLWHNMKLIFM LFLPLCGSLWATVYSDPGYARPTFPSVPSLAADGPSPWEVEGGVQQERESSWEDVNGQ SVERRWCSACGIHRPLRAAHCYFCGMCVNEQDHHCGVIGVCVGRRNIGMFLLFVLICI AAMVLTVTTAALVLRSCVSQNMGGMDAAAADSKGRGCKAMTPLLYALLVTTLVVAVFL LLGTLPLFVSVIAGVLTATTTRERLKHIYPSGRSPFDRGILRNAWDFITRGKPASIID DRFVSQCLMQAEKADDFIL XP_828973.1 MGADDEQQRNKSHKARVVGSKAVKKEEYRKKKAGIELQPNRGSN TKAFQGPTASSRKGQQLLRSLEKRETALHVPVVDKTLRHVVAEPPLLVAVVGPPGVGK STLIRSMVKFYSNRNVQVVRGLITVVAGRSRRVTFLECPNTLTAMCDVAKVADLILLM VDGSFGFEMETFEFLNIAQVHGFPRMFGIVSHLDQLKTGKALRKKKRFLRHRFWHEVA AGAKVLCLAPMVRGMYRSTDVLKLHRLLICVEPKIQNWRNTHSCVVVDRYEDITAPQN VVDNEKCNRTIAFYGYARGRPMKVEQLVHIPGLGDFPIMHLSHQDDPCAFDEKNARGE SKSQGHKMRHLGMKQKRIYAPYCDVSGVVYDDDAIYIQEDAEKSTIERSGEGLRLIRD LQRVKPIDAAATALEVVRRPVVFRGEDTMELDMGDVKGSNTSVSDDGEEKATESSDDS DWDSGEMASSAAAVKKDLLLYGPGGGDDGLRVNNPSADDAAGLAPRGRGAPDSVRENT IRNDWSNTDLLRRLKNLFVTGNWDSPNDDVGDAASSGAETEGSKGYESEDEEFDAIIR GEAPLDSTNVKDEHEENVDDEGNAETDEEEWDGDGETTDWGAFRPRDALANVGHTSKG TVPHMRNFRAAEAYGSITGGRKGADNDDMTDGSCYDILAEEGDDAVVDSAALSDPELD GLVATFLAPHDASAKRAETSSAALRDDDDSAIQFYDPNHFSKKNDTADDHNDEMEETT AIVGGKPLTEEQERLLQKRMAKKKAFNELYDMGGKNNTYSHYHEMTREVEAKKERLDT ALQEVGHDITNKIKLVGHFSGLYVRFVLENVPVEFVQLFDPSVPIIAGGVNAGEDQFQ VVHAKLKRHRWFPKILKAQDPLLLSMGWRRFQTQPILATEDPNGRMRYLKYTPMHMHC AAAFYAPVAPANTGFVAIPVREQRSPNFRISCTGYTVGNDLASNIVKKLKLIGTPQKI MKTTAFIKGMFNSDLEAAKYVGAKLKTVAGIRGIVKTVLKGKNGLIRATFEDKIFPSD IVFCRAWKMVEPPKYCSIQRNLVDAEWVGMRPMRELRWAHGVPLESKSDSEYREIRRR NRATVDDDDGKGKTPDVLLSRNQRLKLPFSLKEEYIPLKRSTALQEQIAGATTVAPEP RDMRRTALLDILNEKTEAMWRKKVEAKKRVRERHLRESKAEEEEYQRRLKKAKKETAR LREFRSQHKSRK XP_828974.1 MLLPCTPHPPVSLLLFLQSAPPRKTFDAFIEDCKLCGMSWCSPA AQQLCVALIIRNPLVKKYPPRPSNIYAFLKHFIFELEQIHSGTAGAEDPDGEDPIHQD LMEAYIEYMELSGRSDQKSFCYRTYFDPNASEKYVSVRLAVGQFTNVGLALWPSAFVL VQLLARELSEPSPSVVPFPLEGELRLLELGAGVGLLPLLLKRQRAYEDRVCCCILTEY QQELVDNITFNLALQDIVVAEAVTSDTPNSGGPLHAVALLDWREHEQNQVKLRNWGCN LILAADCVYDVSLIQPFVRTLHDALSATNGCGGAVVVQTHRQRETIQRLFAVLEEAGL SVCSYRLACGVPSAGRSHDITLTFPRSVSVHTGDGSVCCFALERDEVSPSGIFKGLEG IENSVVSGWIGPFFTSMEAVVGVHVIRLRSIC XP_828975.1 MVFSIPSYLCLGDRPKKVVDERLHGVNFLTSRPKTGHYPDALFD KEFRYVYNGDRYPDPETMARREQMENRKRYITATGFISVFRPKKGEGLGSNYGLLQQE PYIHMPDHPQTRGPQPFPKVKPRQIYTSPSKAGSYGTPGLAITDIGNEYIATIYDQER INAKKERDVWRQRMPPVPFKPVGRRGYTFDEGPATGVSMCYIMTCPFREKRVQPVMKH FIIDKMWLPAGYIPDRPPPVEYWEDPYNGFDPRVDPKSRLKKSEDSIFRTGFRGDNFF YTRSIVFRRL XP_828976.1 MQRLAGKRQRQHEASDLVPKSAEPARSKNSRNTASEPGSGSLRH NSIGGGDMMWKRKTKELREQIVQLAALYATKERETEEVQDAIEEMVVSHEAELAGVVA GDESHRRRHVDALVHLRAREDGCHSERQRALDETVVLQQQRTELERAHDRLREDIVIQ SDALEQLQREIEEQSEELLEEQRKLDAIVEHHNLMRDATYGFSGEVQEIMRELERIKV DKERVERAGKQTEILRRQLYSQCEEMKGTIRVYCRVKGGLNSESVAAGPVPDVRSGSS NPCGDPDLCLSETPREDSVSSRSTMQTTVSANPACIYASKEIGQIRRDAPVGRFIFPD GDATEKRSICVLLSRNNATSTGRQESKETFTFDRVFDGTASQEAVYADVEPLVNCAVD GYRVCVFAYGQTGSGKTYSMQGDQHDGQRCGITPRALRTVFKRREELEADGWKYQLSC YFVEIYNEVIRDLLQEASLYEPGGAAASQPNYHIIKQSNETGSTSISGVTEKRINSFE DFRRLYDIAMKNRSTAKTMINDRSSRSHCIFVLRIMGEHAGIRQRSEGSLCMVDLAGS ERVHESGVQGKQFKEAVNINRSLLDLGKCISALNKSGSVAPWRNCKLTYLLQNYLGAK GGKMLMLVTVSDQEEHLTESINSLRFAKRVNQTAIGPSTKRVGNF XP_828977.1 MLPCPSEGTTRDLQGAWFGAATDCCSDHNPSSVYSDDGQAQNPE TNFLDEKSGCAGGSAWAKLTSVIPEFNEGNEELPELPACVEKLGLSPLEQKVFSWMRE QEEKPWSCEISTLLPEGASPVGEQVEQDRAKGGILFGIGSDKLMAPLRALREVDHQRS HSTLETTVCIDGGPMLVLCKKRHVRRLMEILHHDGAHSLSRVLAYTGRGNVHLTPTQI GSDYDYVLSTYSTLRREPDPRSELPGKLYLVHWRRVVLFEPYFLCDFTRTESYLCTEL QADARWIFCGERFVMQTHKLYGFVRFLNEPSLKSWVFWSERVADKKGVDRSVFDSDKN TELKRVLSTMCRQTRRACLIREGGRGANSMNVIKVNLTEEEKEFYNATFDRAVSSVSS STDQQTSCLPVLEIINLCRLITLHLYVGRETFQRMSPPPLTPEEREEQLTSFFDLLAN DGLRERAFTTELRNKLRSGVLDEECGICFLRINTPGILNCAHYFCVGCIDKIKKSGRP YCPNCRTVLNHRTVVPPGLLKCPEDEPVPSDFSQWGPSSKAEVLVENLKKLSGDHRAI VFGCSSQFFQYLQWYLRQHGMDTYTFGSCKGLQEVKRVQQWLDSGAQGASAERNDESK HLPRVLLVLLTDCDEDVRFKGITHCFFMHATWCPIIEHHALQCIEHCDEEKELEVVKI LANVGLEQTIDELCQRKRSDGSDDYITSAEVFEVFNETANVNDFMVRTTTQVPQNEEG ENNEEGENNEEGYESDEEYEGDEEDGDNQ XP_828978.1 MQQPSQQQIQPIDSLSPFLGGKWWIRARVTDKSEIRTWNKPTSQ GKLFSFTLIDESASIRATVFNEAVDMFNPLIVNGQVYYFSGGQVKNANRKFSNVNNDY ELSFDNTCQISAARDVVSSSIPLQRYNFVPIAILKQREVGSLVDVLAVVLNVEELGTI VQRSTGRELVRRTVKVADSTAGIDVTLWNENAKEWPHQPGTVLAMRQLKVGSFDGVTL STTMQSSFDVNPNIPDVKKLREWFESTGGRDVSSLSMQGNNALGLASSGETYRGYKYI DDITTEGLGKGPKPDYIDLRCVPVYLKQDTQWYDACPQCNKKVMLEGAMGDRFRCEKC DQSIVPTQRYLVSIQVTDNVSQVWLTLFNESGAEFFGMTAPELKRRQEEDPMFVTKVA QMRMNRPVLMRLRVKEEGLGGNEDSERVRLNVVRITEFMPLDTVTEDKRQAMAAQLRQ ECDEMIKCINAYL XP_828979.1 MRSSRGILFLSGAFAIRGMSAYHSYQRLDTVSHTSKVYSLQMQR QTVHFTPITRLGVEATANPTTATNATGQTGDGDGATALDVAMRVNKLKRLHQTGGGPS GKKQVELDAWRDLNNLTEAQINSAEGKAVSLLLNSWAYFAKYWEKGAEGPSASLSEVT PSNDSSSAGEHGTQ XP_828980.1 MEGAHSLNFPFSVCVCVCAHFHFTGLLTLSLLFPFFLTSPSLTS VALAVDTSRSACLPSSTGGGETGRCEKSATKREGQKETAFLQGGAEEMRRLILAQTLR VAGRHCPPVAMFLQRFYRGHGISTALPLHYSKRHRKREGRMYGKPLRPVSDGENGASG DGGVLTRWEAVVSSRHQCESPVQTLAKSEKPKKAENTVAGKKTGSSRFSHRRDHSAYP SGVQPAPLATSGLPTQSSERQQQKQIGQQQVPLDALHRLFKVHAVMRTNYEALGKCTK VLKSFSTACAEALLQLGVLQKEQPSAMERKGFIYVQLCKSGATEASKNGTSSPCFVLP PESPLYRTTIKFPTITVEHVLTTTVSSGCGAKDSGGDIMSVDMEGAAPFPMGHCESRP STSAGNGVTAEYDCEGDVLSHGAAWNSIIEGFGQLALNLKQNASVSDFAQLAETLAYF KWVKDEEQVGWGTEDCVVKKFREAMANAKDTSASGSDPNTSDVSAALAAIAESFLRKI PRVDCIGSAVAHRGGERTAPSVHAIDVMLNLALRMCLSQWHAMSHFERMNIVLFCTYP WFAEDLSIAAGLCLTQSYLQSLLRADADVTYQHTFHYVRRVSRLCWPCIHDTDSAPVK KLLFPRDPQGTAVSSHALEAVSVEEKDNQLNQKEGLQGEEQATTTSESKLMWLPSFKD ASCVSYGSSMKQRAAYELLLLWMTCVNPSGLHRSSPHGRYVSATGIAIIDVDLFVTQR FRTGKSKDEWLLLHPVEGDVVASVTIRTMVQHCETPYTLTRLFFCNFHSVLPHIWGGI GARRREILWTAWCRAVAQPLVNTLSGSDEGQREDDGGMQTLQITVDNADRTLDYLKPL ISALTSDELFLRLIMRCADKSLEEMAREIGSGNNTLPLNKWCAQLAGFVYSVALALHC RAKREGCNRDGGPSRPNTATDSANKKVVSGKQTDNLPKGTQEDISDLQLQFKAAVSAL LRKLSAGDTQVAEQLLHHFYEPHFSGDQEREVVEDIQRHLQQVCDSQSRDALHAWIEC SARASCEATVPLKEELLCKWQRTVLEADAGLPVVLPTIVECCRGLVGLVKKRGRNISG RRQGQQRGTESTTVGEESSCKEVFSKEELPVVITVLSRAMLSRTSTVSTVGLTKISSE ISTLLSSCGYETADGNTSGGGRNGRELCDTNTATITSATAGERTVELELILSGEIDQK AGELPWITILEAVQLQLVPYTVVKNLLTSFKGGCDNGKERLRWQELQRDFQRKRHHRL VGNTLVFRWYGCAVPNDEGWEDGSGLMRPMAEDRDNVHSASHQGRTSTELGAFTRRLL KTLARAEVTRLNDRKEITTQTFVVGPSDDSATRHPTPGQEEDASLHRLDLLFRVHTLA AHILMTASTKKPHMIHELYDTLLHLVEKVMPTTPNALGNASDLLLLWLVGSAVAVGLR FRPNFLIKWPSAPEVSSGNLKSCPSAEEKTVHILRDIEPYLQSELLRPTVRLRFVINV LVALRSLELLGARIDVEGLHMDQLLIRASADRRLLSRHVNLFLIGCSALQSTQSSILH TALHLREAKYNLSFAEIERAFVAIALSADTFARQHEALMEQNYQQQQNRTLSVAVAAN NTTPALRVSPVQLRHAWSALGRRVLENAGESPTDLFVRGLQCAAAVGCIDSALYQQLL SYVVEFRWKDLHILDWVMILRTVRQSFENRRNLEAYLKEPLQAFMLTMTVSGDNSTEQ SVQKVNLKNHEGEQLLEGLCLFAEALPGLFIGDRELWGLLWQALGSQWSACFNAASNI EEQQRITAWLQEINASYAWAARAAGFRGLDEPTAL XP_828981.1 MEDFVVVPVEVRCRQMCTDAAELSKVITSFIRHRNGVTHPGDII PLWGASELITSTVELLRVCDVYCPLNGVAAGRADYALVMYYLHGDDVSMAMQGEETSE SQALLTYRDSDDEVDASTVPCSIVQIPHASLEGLWESMHFGDSTCDTVELKRDLLQYM HTAMVFSMADVNPQVIAWNQLVLLHGPPGTGKTSFCKALSHKLSIRLAGIFPKAKLVE VNTQSLFSRWFSESGKHVMGLFRRIHTMAEDSKCLLFVLVDEVESLAGARNSAMRGNE PSDAIRVVNTLLTQLDILQKKRNVVILATSNITGAIDVAFVDRADKKVFIGPPGLPAR LQLIRASTQELVQRRLIMLDPPNDQGFIGAGGLMPIGEGRVELNARELQRLELVAAQC DTFSGRTLKKLPFLAYSKHIGGSPVFTTGESSTASAISFDTYIKSLTSAVEGEILSRR MMVSS XP_828982.1 MVQTVLMVAEKPSIAESIAHHLSNGRTAKHSRALPVFEYDGYFQ GAPAHFRVTSTTGHIFSCDFTPQYQSWDRTDEESLFGAPVVWREESGRVSRHLEHEAK GCATLVLWLDCDREGENICFEVMQVVSRSIHDIRCIWRAKFSAITREAITEAFVNLGK PNKNLSDAVSCRQELDLKVGVVFTRYQTKYFQGKYGNLDASVVSYGPCQTPTLAFCVQ RHDEILNFKPENYWKLVPTCNRYGSPITFEWARGRVFDELIARLLHQRVSRSKVAKVV DVSVGADTRARPTALNTVELMKIASKFLGIGPHHAMQIAENLYISGYISYPRTESTAY PVSFDLKAALATQQGHPVWGEYVQELLKGRYTRPKAGKDAGDHPPITPMRAATAGELS SDSWRLYEYITRHFIATVSPDCKLSRTKLVLELSGELFTFTGKVVVDPGFTTILAHLA VKDDKVPTNIEVGSDFPINDVRLQAGQTQAPGYLTEADLIGLMEKNGIGTDASISQHV NNIVERGYCAVKPGRVMEPTKLGVVLIHGIKSIDPELVLPLVRSKVEEYVTCIAEGQA RLDEVLSSVLDLFFGKFRYFKENIERFDALMGASFSPLTSSGKPITRCGNCMRYLKHL EARPQRLYCAYCEVTYALPQGGTIKQYSNYKCPLDNFELVICHVEGGKSFPICPNCYN NPPFPDARVQGGRQLMACDECKHPTCYHSLATNYVADCVDPRCDGCMAFVPRTSGKWK ICCNHCTMMILLPPTAQRVYVSSEECPECGAMMVDLQFPEGKSPLPNRKDRIVSCVFC DPALSNNVSEVRGKLGNFSRRGGGGKGRGRGGRGRGRGRGYRN XP_828983.1 MSNANAAQKRRIDALLRLPENKVCFECLENQPRWASTNLGVFLC LRCAGLHRSAGTHVSKVRSATMDTWEEEMIRCCENIGNARGRVLYEYNMPDSVRPNAS TNGALAERLIREKYEQRRYFNVEYDSLLKKFMSEGASQGSSTPKDEKRDVVASVPAPP SLWVGNSQQTAQPTLTAGQTKQSASANGISIDDLFSTPNAKTNPNVGTPVSPQPVGVV PQGQFQQTSGMFHPAGMTPFGMEVRGPSQGNFQAPAVDAKQEIMSLFTPSNQGPPHVY SAWAPSGSSKCFSPQ XP_828984.1 MGNQLAAATVVNVSDVTSSLELIAPLSCMHRNYFRSFRCSKEAS AAVPSDTLRSLYSFSRGDNRDQSALHHRPSNRGGSTEVVLKVFVRTLYNEEEQKVVEF CYNHLLSIERRMAGAKPANMQNTGSCETAASNGSSHDSSNCGVATTPPPCNVLFYSCM EIQNDRFCMLQRPYVAFSLAERLATRPYWSTANRLFATYQLLQGTVQLHEVWGTVHGD LKVDNILVNTSGWLYITDICPFNPALLPANNPALFNYFYDTNESHICSLAPEKFVDHP TNVNTSNLNATVHTPGMDIFSLACVVVYIFTEEPLFRLSEVLELRSLQTQREREEMIN SILQERGLAPNLCRMLSDMLCTNPNSRPTSRQLLERYTPTVFPPYFEYLYRDILPALL PRPPEHQVQLLWSRLDDIFAEVECRGSFNSGGGSGHNGEADDGEFPPLVPSSDAKKVT VLVLIPIILNAGLHLMASETCCRVVSIVQRILPHCTLEVQADVILPYMLRFLRTETLP VVARVLALRTLSTICHNIPFSTGEATVFDDLILPCVEDLVAKADTQNVTLLLEVAAQL PSLFLMARSFMEQQQALVTSNERQSTYGEQLNRLLERGWDALRTLYKHNHSAVVIAAL QRSVDVVKFLGEERTQHDFIPFLTTAIASTIDVQRELYPQAILCHTNLQRPPLKTLRF FVEEALRRTDAIILRRAIESVSVVASSKLVDVSDVMSLAECVLPHILDKDKWVSLAAR QLVEVVAQTYRMSDVCTRLPSTLIPLLRYKVPFSTLTSLPEELVNEISQRPSFSLRHR DERPTVRVVGGDSKLSRRFMNGSRSSDNSITERNVINGGAGGFVQCQSNYILQHGTTK RFPPNFPLRAAFFDVTFDPSVRAGTPSALDAAAVHDSSDATAAYAWGLTFKPTHREKK RLLLRQQTYTNRVDSNGDIVGGALFTSTTESTTGRRPWLLGPPTGSLSVQPSPALYPG VTWADKELKPIAAPYFTRRAHSGAIYSSATVGSGTLVTAGGKGEALLWSVTATGVNLS SRLNVGDASSKNTFLFVHFARGGVSPLLCIGGTDGTWQLCDIASNKVVQERKLDGSPL TAACALSEDVMLVSSALGGLFAMDYRAGREVWKSGSILPVEFGTISGVYPLCSECRAY GAAVTTMGGGVALFDLRFELLLQKHWLHGAPIQGSRSIDNPYAILCVSPDAACKPVHQ LNEHPGLLLGTRSGMVYHMDLISGRSCVSLRPATPNNPTRAMLLQPRHRLLFTAGDDM QIRRWCLSNSALSSTVVFPPYNSYAYARRTSGSTMEVRPSSKSSNGQLAPTAAGATTA VSAPLVRPVVPQHHSDAILTLCGVSVSGGDETYLVSGSRDGQLTLWFNAE XP_828985.1 MVKLKDVSRKGDTQSPTTTVIGKSLGGRHEDALVRAVEKYVPDE IARHLSSLTVYAQLRAGLCPGECNGGHNNNANVGAISCSGNCKNGNAAVSCDMRRRNC GIMSGCKRDVHLESCVSRVLSCRVCHVLRGYCAFWVESMLTIRTVTSASTNFSRHKRL RRSDDVAVVADCVVSSGLDGAGGNRRYNVKLLTLHIVDNEAYKNPGNYVFVLQSVCQP GRVLQLECYPNHMIRFGLLMHFLWQCPCEAFAASQAKGGTGKEKDLRRLQVNSAFSST DVDILPVTDFNECNSCGMSLHLSTSPAAKEVVVETQSGAVGPSVLVLGLGANVIGNWL DEALPPNVRIDVVEVEPTVLQICRDHKQVPPCDELVNEANEGTGVWCVTRGHKRYPNY RFIVGDAREVLKESNDDGRSEGRNKKCISLSYDVVFLDCYDPAKECMMHDANLVDLCR VRLRPGGALIVNAHIIPEKEMLQEQFLDRGFSSVQVLRVSSCNQSIVVCLASHSGSAG KTAEEVDGERLSGRFTVLSAGRATRYIHNIIEAGNRVYLHKPNTCYFGAGWLKSSKVL ENDTCFVKLWTHVD XP_828986.1 MDVYRQASEIVRAVREGTGTAKALCLRKEMQKKRQTYAVVCETL RHYDLLQDVLEQAEFFQYYPRANRDFAICMAYDAVLGKGVNTNRDTTAQAIQKSVSYL QEAYERVKKHHVIPPRAGELLTDEEAGPCARTGDHNNDGGRVKHNGVDGGSLGKHFVS DGEGAGDNTQGLGCLIPRYARVNTLKIDVDSLVERLRRASAKRERNNTENCTGNDHSR RDHRHRAAGIRVLPPFTLDPVVPSLLVFPPGTDLHAHPAVRSGQLVLQDRASCLPVCV LLNAVEVITSFSASGEGEGDSKPAEPLEYVVDACAAPGNKTTQLAALGAPHVKIMAIE RDDKRAELLRHRVQSLGAADYTNVVNMDFLKMAQDDREVTEGILLDPSCSASGVLTRV DVALLRKQCVAVDGSGIYTNDVVRQETAEEGVSETAVGGEVGTITAEAQGVGFASNME RVMGLARLQRKLLAHSLLSFDNCRTVVYSTCSVHEAENEEVVRQVLQDERVQARGWAL SNIMPTTWRTRGWRNDSDTFPLEYTIRCDPEVDATNGFFVARFDRVVATPQKRSKKKR XP_828987.1 MAVGLSSSKGVLALLRESDSDVLQFALKRLRPLVDTYWCEISDD LPLIESLSESSDLAEETRALAALIASHVYFHLGAYNDSVHCALAAGPAFSYVERSLFT DTILSCCIDKFVEYQQKPEGAREELDPRLESLFTALTSKWIGQEGMPVKELVGFTIRA RRLDFLEKVLRQHIKSTKSAAILNFTFEVASVLLRDIAFRREILKLLSVLYAQGGLTT VDYFSQAQCLLFLGDSTGTADLIEDLIRSGDKATAYQLAFDLHEYGNQEFLSAVAGLI EKRATLSIPAPSQVQRVETATEDKSGSGADHSTSSQAAAGQTTQEGDKKDISEVHQKL LSALTGELTASLYLQFLSGQCKADSNVLNRIKQTTDQRKAVPHNALTIAHALMYCGTT IHTFLRNDMEWFGGATYWGKFIATASIGALHYGHVNEALKIMDSYLPKDNIGVLPHSE AGSLYALGLIHAPVGVGRNQEIILYLKEMLRKFSASEHIIHGASLGIGLAAMGLKDEK LHDSLFTCVGGCDAVASEGAALGIGLLMMGSGNLGAIGNLRALASEDNQKEKTIRGIS MAMALMMLGREDECWSTANELLEDSDPWVRLGGCFMLGLGYAGAENTKVLERLLSVTV KDTSDDVRRNAATMIGFLTIKDPALCVELTRVLVDSYNPHIRYGVGMALAVAAAGTGM PEVIDILWGLKDDIVDFVRQGAYIALALVMVQVTEVENPKVKELRDIFTKIIAGRKED MCSKFGCIIATGLLDAGGRNCTFALHRQRHRLDKAVVGMFVFLQHWYWFPYLLMITLA MRPTCFIGLNSDLEVPEYVFKSNAPASRFALPKSVLAEKKEAKAAAVKAVILSTTKKE EEFVRLKRSAVAGKNQGTGGTGGAANAAASQSGATKEQETEKKREPEANFVILSNPAR ITARQFAVITHDVDKRFVPLKENPSSICLLRDTNPAEGKPKVITDLGWNSSDDAPPPE PFTWP XP_828988.1 MLLQFNWDRLDVTAAEAICALLNAKLEEELLLRRAANQSVNASG GQETASADAACGVESLRVTNIEWGNVPPFIEVVELDDAVEFASSTPLSFEAPLGQQDF GGTISSGTCGGGATTPFFLRPSPASSVTMMDGISETDSGIVGNHGGMPTPSVPPASGI RPGNGQSPINSSSAPVKDAFAAYFGPKGLYVCLHVTYGGPMRVSVASVLRHDVPLGPI AIPVRMPLQLHFSKMDMDFHLCINLHRNTCRVWMEPGKLFTSPLNRMNVMAVFGERRP SSQHMAEFPTSGQNAGDDLDTSDAWTHVGSSRIGSDDAEDMVFIDESIISQFVLKEVR AILQEKIIYPHSVVVPLSL XP_828989.1 MLPRTACFMLLLALGSEKFRLVSGSADDHEEPNGLPEYHDEELL DDVGEGLDPGDDPSLSPDGLPPDGLDDDDDLPPPSDTNTSTVFPPTSLGRELVPDVSY TQAEKCGFEQVGTCAENFCKCLSGNLSSKGVEPLNGMTGGACGSGHVSNCYLLHHCAR ERTMCIWAASRSYEDAAKDAMWDMSRMPEKWRTNSGTNSSFCRGLLSISRNLTKASAD VNFYDTPFYTDCAEYMSFLFKRSGGYMCLAGSVPMYVCGPLLFPTPAPRQIGYAPVTN GSRRLLVTVAAYFVGNFAVLFNDRTRGENLQIGSGFNKEFGNELHKCFREYVGIDGEF TMVHGGPNLIVKYVIGLGEADTWVGDLIKANALNLMVRPPGWMATAQDILRTIDSNAE LVAPIVKYTVNFDPGKGPVFTELCDVGCAAALSVSGALSAAVYLLAGIFCCQRNKRTL ASVDSSSDVDNETMETANGALSKP XP_828990.1 MGCQQSGVRMSPQDLSDRTPSGQHGFTGDASNTALPTVPTDSWY GKNFSETSRMAFTEFHLKQRGNIVFAGTPVPVGASELGEFPGNSPPLLTRAVIGKDNV YGMAYLYSTPLSIEHQWRLRVCHYQIACSPSGQTLGEVPGALSTLPETPTTDEQKIRL WNFAKQVYDRPLCDTFVGIKVYVDGELVPDRYPRAKSLPNCTATLESSEVFNNWTCVP FVLVGYVNSPMISTVTMYRHLSLSFVEYLLGKTITGGETDRTKDEEVAECKDENTVGD GRSVDAPDKPKEEVTQSFNVSVEVVYGCEAEMNFCTAHIAKGNIELVMGEHSDAALRK YKASLEEFLRKSGNERHQVVAVDPLTLKGRNSALWTCLFCGSPLQFTCTICGAELCGM SSCVWKPFTGYPLGCSSHKAQA XP_828991.1 MLSKLFRYDTKLQGKQELSTADHRSLLELSMTDNITSVEIPQYR VRGTFVEYVIECTKRNMTWQVFRRYQQFKALDQSLKQLCSRGSSRHCDYGVIPVLCGS HWTEVTNQSIDLVEKRRRHLEIYLRQLLVPGNVFYVAKTVIYDFLHDGAVPAQHQRRA IRPLIGFATPDSLADLHLENRNGEGSASRLGAASTLNDKSISMLGPSAVGESDRVDDG VGDEEEEPCKSPPGETVESGEECEDLPSADNSEAEDVRVPPPGSLCRQCNAEFSSVLY PHRCFFCRQQFCRDCLHPVELEEGEVARSCVQCYENFARKTCKPQYPPTPMASTPILQ CAPAGGALDPLNLLGSTSHVRTDVTLSDFKLVTTVGRGTFGKVMKVIFREDGKVYAMK VLNKCVIHKRRMIEYIREEKNIMSSLPSHPYIVTCHFAFQTDYHLFFVLDYLPGGDMH SRVYPKLKLTESDVRLYIAELVLALQHLHRHDIAHRDVKLENIVLGEDGHLKLTDFGL ARMNFSRQRRRSFVGSPEYLPPETIQGKYQTKAVDWWSAGVMLYEMLSGKTPFYSAYN CEIYNNVLKAELDLTAPCFTPEAASLIEQLLQSHPKARLQDAGAIKAHPYFASIDWAA LEGKKISAPIQLDLMGNDMKYIKWKFTAEWAVIYKPPGVTRATIDLLINRFSNFAHVS EGTPPTPHLPIGQQQEEVSDGVTNPPDITGVWNVVKVEMQTEDGKITHPWGSAVCGVL AYFPEGQFSMQLTSYMRPHLRQQFVDRAVREDLVEMCNSYAGSFGKYQIKPGSNIITH RLHGCLCPNLTGSTQKYFFEVRERKENGAKVLKLFTACNALPGEDISAQTVLTWERTG SC XP_828992.1 MLSSALRFVKPVKRMTATAGRIVFSSITNTQKRVSTSSQATTKS TLVLSDEDRKLMPQGIAQPTIAEPGGVNAQQEPRNASDTMDASTAVGIGNLRRGNSGA STHAGGYAPWEIRALLVPLLPVGNTFVHISSVIHLLPSAARKEMEREGTPLQYIEKYL SDSVIVRGSLLSRITTGASSCDKGNGCNKASVAPPPPPISVGRASGPASQQQQQQQGL PPRGGAGAKTTLEVLDMLIEFIPTFFVQHQMVADQLPSEITKQFADSSFLYYLKRFRH YIDIRASHGNTEIRLRPDFSHPKRGAADSLYTTGLSSNDILSQLARGRRPPRHSEANL IQFIVPRMPIEYTPIATVLQDVSDIVSRHPSFDPRLGVTGLFEKYPEYFQITDSKLRV RPFRSAPNSLDDLNATTSPLPAIYEKVKQLVDAQAEGKDYSKESEKAAAIVPTGKLYA LLTNVEKTEVKAKCRSFPRFLRLHGEEIVVSVDSMKVYKFRPTYEPCAETLMDQRLMM SSLSPSDPVLKIPATMEESSSADWAVRELYDALPLMQCAELSEVMSLVPPSIRDALPK ELEKLVEHLALYPDYFATWPYPDDPTVMVVQRAKLEPLHLKNEDIVRMVLSFIPQGGI EAHKLMRRVPLPLQRHFYRHGLKRVLGELKDYFLIVGDKVMRVG XP_828993.1 MLQKEVLGSNANSSTVLVKDTEAGGELRVVKRINVTSWAESDVD KTLETYNAIASANIPNMVKLYVAMRQSSSISVVSSYCLGEELQEYLDEKISCPLDEVT ALRWMRNIARVVQQVHNLPLNNRVACFHGPLIDRIFVVDDGKEVCVGLPLPRVLYFKW LEERETYGVKLHHEYPPEVLRGRYYYTQASDIWQLGLVGMKLLAANASFDRRSAAIRT LIASMMNPIMRKRPNIEEVNRKLGEITRERSISCLSSADVNTPTSYERFLTPRSAVME EPIGMTSLDEYDTFTTDLSKTNFDEVTQSTHKHAWRNRLQPSWYNQAMKQFEELQRMN STPLTSRSRPASPTPATGGAVSPRARRDAKATNARDRSGGARPSPRRRASSSTLTGPQ KNRTLERVPSSARRDLAMPRMLNNIPSQRSRNAHRLELEEKKRRQQALEREAHAMHRV HENRMREIRKNQDESMKHDIRKYIKQWREQSTAAKDDSTSYSEHNGVAIFVPKNPPPP GRSPNVDTKAKQQPLNSEGDTHRRVFSDELTHAAAGPVVHGSTPRPYSATRHPRTPPS ATPKRSASIYRIRGISPKVPHSRANPSDRYKVTESAPTTTVSCANPSSSKNSGTTAYQ TSETSSGTYPSGTNRAGQSNSRTISVLGEDATKPSCTAGVPGTNRLAAESLRCSAGRL REALEKLLPTRELFVETMEVVDAFVVRSEIERCNPRFNTVFMHTLRKLMNDDELLLSA VPMCAQLVSLLSLLQGGEQPEGT XP_828994.1 MGNRFEGSSTMDDGCESPRGTCERLVEVSVYDKQSNEMRVFRCD LHLLESSMTYFTPIIKCYLDEEERQKSREEKSLDRASSTGARSVKNSKTKAHEATKPL KRKGKEEKPPIPLHVNCDMSTFSWLMAYVEGKQRSFTPKNAVSLALSSNFLHMTQLVE MTLLYIKDHLVEVILSGVNMDCFTGELLARLASMMSEGDVARAFLKLFDWHAEDSPNR RFLTALIRHMLCDRFGEGRPSSLRWCGLCGALFDQQELQHIERRYKNVRLPVCPRVTK NSVGHRGELLKTHVASKQEANIKFPPLSWHEKEVEAWAWRVVGSLYLFVCRRCNCCVS LTNTTCHSCPGGTANFSRAEGVSSEDADALLAWFDLAKNLYPGGLRPMYCPKEEAVRE PFLLLTPKGGWLYDDEGDSSVPGVWSTHPAAVEESVDAKGYVNIGVMNGFERWLMEEL QQMMEDFDQSGLHAKPSANQHGSSPAQAGPYSPNTTMSSLSPGPRNIVGGIHGEGAPG RPGLRGAKGVGDTRMRPLSNGTDLSRKVKEGAPPSGRLQKRDKLDSGARLLS XP_828995.1 MLVAFFSYSLPPLSYFTRVFVAKMRVGWTTRSPVKSKWIWELEP SRLRAIDFFTYRLGLFSDPSTKCTRKVAVDGSNGKISNKKRNANRLNKLSEPLSPIPS PYGGELTKAHNTAGDGCSRIFSFSPLKLMQRMMREWEEEQRELLSRDEEILAREEAQM ASIMKHPSALFGDEAAVLYKFGMGAFVSPNAPGFSGIFRQHWKDFLVTEMVYDSEGED GKPLSRELDWTIPPLPDCFRSVDESDTKYVHGGSTASGENRINSFFTVDIGGQLKQIM KEDSGEGDSKRTGVEPLTGNLSGAASRNKSRLEGNGQDEDSSEFRTGKFYLQCYLRKQ HIAHSVALSNIAQTLRMHPSCISVAGIKDYIGDTLQRVRLQNVTPASALEANRVFRKK KWAMTLSDFSYHTEPLFPGRLFGNHFKIVLRDVTVPKTCIQQAVYELQTHGFPNYYGC QRFSWFGGTNDAAFAILNQNWLAFAFRFLGYTSRELTLRELLQREKKYPNPVQDEYRR NIVRRLRSIAIEPSELDTAPFLACPSLGAPLTATDGGPVSKKQELILLQLQGAYLDLS VMSRRLTAQRLCSYLWNQVLTLRLHHFGGKEVLEGDMVLPEVLRRVQTSPEERTDWYR QGIHLVETEEERERTSIMNVIHPGFSFNSMRLPQNAVGEYFLQVCQKYHLEWHSRHSR LGIHDFFEPPRPIIRKPMNLRYDYDTESCKLTLEFSLERGSYANVVLTELMKQARCVG SSDILTLPAPDAMWEFGNRDPGYVTSLQDIYEGFQDGVGFVNEEHVLPFVGDVKPWDY NTGPLFLPESADPVRKAHRWGSRHLIRNMARREQDEEEMRLRLFEKPLAKTLKDGEVS CYAGHTVPLPPNAKAKKIFFKVLRRQRRYPGAPKTTPRIKRGAEVQRRETARVPFKTI NKNTWNVTW XP_828996.1 MYRSVGEAVGRTPKQDAPPYDPSLWEGKDCAFITVLKEAHERQQ RQERHRDAQHGGVDEAGLAAPHTSHIQLTYTPTSWWERLMLKLFPRYYALRVMANSDI SRLSNEELVMRMHLALQAGDGDFSALIAQELARRRVYLYREEVTTVNTTPGGAAVRRN NYRGMGSSDEGVGIMRARYSDSAVKQGAVSHVADSSFTVPEFLLRRPSYGG XP_828997.1 MVLFCVCLFSLRTRLQRKTHQFTTRRHALSSARSSRVGVHAGLT MTEDEEPYVMAFEPYTVQREMMRTVHLALNSWPRHTPIAAIEVPTGCGKTLALLSSVL RYQAGLEKHTPQELEQHFRLRRCRGPPVLPSLSRTEMSSRKWCHCKEDSKHSEESNGL KANTADGEEAWRVSSLFLRQFRPPNGKRLRVELVTEGSLELRKQHQSPPCTIFYVTRT HAQLRQCVGELRKLKGLERLKMNILGSRKQYCINQHVLKACANKTLPIEGNNLGEVCD KLVSLGQCEAVHGYGVLGSKAITHPLSQGRSDKVWDIEDLVTEGVGMECCPYYAARDL VFFAHINFATYQYLLDPLIRHECKMEAALKNHSIIIFDEAHNVPQVCQDALSVETSVD TLRLILNEIEPLIQPTVAVATLSYPREFKLTKWTLVELLSLLYDVFKAVTEFVSPAQP SVKTQRGVHSRPVGGQDVEESESNHDVENNAIFPGETLAEVLRRCITDYIPIASSCSR RGYGTAESSASKDGVAMFRQVYGIIMSLGVTFNPFQFSIFCLSLMKRWILIMRFIIQK PSAFVLSISDPLPEKNRNGQDSVPSSEAGGNTEGVESAVPSRRIVGIRCLDGSLAFQH ILSTAHRVVLASGTLAPFHQLGRDLGIPPSSMATYEGLHVAQKHQYRLGVLTHTVDAT PLHCTYGSLKDPSFISQLVETLAMLVEKVELGGVLVFMPNYTVMKTIGLQLISRYRDA ARQMEGTPKSSRFPQVFLESQSAADFPSVLDSFRKATVRGPAVFASVFRAKVSEGIDF ADCMARLVVCVGVPLQPLKSWTVQAQRRYSGEEWYVNDAVRAVNQALGRCIRHSRDFG AMVLLDDRFEQMNLQQSLSRWCREELRVHHSHASLADDLHEFFRTCRGGNAIAKDSHL RENVSGGNTSQGEELYCRSLEKENVPPPIKEERVTSILDAPFLMGPVLKPKVPTYTRQ LSCTALKLMYEAHDDVSQVTAEELREALRLLEDGFPQ XP_828998.1 MEWVPCTEQTGSVGCREGHCATSLQEKSTGEEWVLVVGGYCEGE RDGAVMAARADGLPMLRWVRLDKPNMTWFECDGASLTHVTGDTAYFFGGLNEHMNHSN QLLCLSLHQGQQGDLSLDVVKVETTGDVPLPRARHSAGSAGRYFVVFGGETEDAEQNN DGYILDTDTMVWTRIPTGHQVPNPRLLAGPLVFYSPHGCILYGGAHFVNGDIRSMSDV WNLHIPLGGTWFNVSYGDGAPACRFPRSNGHAGGVLGRVGGETVVLFVGGKDAAEGCD RVKQVQPPKSAEDKFHLRLVDPVLAGGEGPHWRYTPAAVDTRRGVLLLGGQCRHPQEV AAFLLKQTGVTLQR XP_828999.1 MSLIFFCIIPHPFTRTKCSKVSIIMQMLAPGAFFNALKVRGVTH FFGVPDPILKYFCAFVASHTTPKEHIITANEGNAVAMAAGYHLATGGLPLVYMKNSGL GDALNPLISLTHAEACSIPMFIVVGWRGAPDAGNELPCAAEGRLTEGILRAAEVPYII LSSACGNGEQSMETALDMALKHVHNSRTPYAFLVPPNFFEECAYEGVGEGIAELPMTR REAVEQALRQIDRGDIVVGAASFLAREIFEVQECAGMRHGPQFFPVGSMGHCSSVAAG IALAKPERQVFCFDGDGAFLMHMGSSATIGGVAAIHLIGTGETSLMRNYKHVVFNNGS HDSAGGQPTVSFDVSLTQVASACGFRTVREEPVMDLGETVRALQELKKAEGPAFLEVF VRRGGHSNPQCELPSLDESKQALTNCLRG XP_829000.1 MLRRTIFLGRVTRSWTKGSIVKASAHFPDSVRADPAERRNEDSS PKESRTWSLHDGPCSEPLHVPKHIQWATQKVRVWGQLMRVDKAAAINLLLQPCYWGAS LAVTRAIVWEGADPVVLFAPFIPVHLIVLFGAGAFLARGAGCIINDMCDRKFDRMVER TKTRPLASGVVSMKEASGLLITVAGFALVIALNLSPMALASAVALAPIAAVYPLMKRI TYMPQLVLGLCFNGGIFVGYAAVLNRIDLAVTLPIYCSAVVWTVLYDTIYAYQDRADD LKCGVKSSAILIGDRKHILTFMILPIGMGILISGLMVSQSLPFYIGVLCCVWYLQGVV DNVNIYDAWSCGMGFRRNVRFAILVTFSLCLGNVLWALASEHQPEKDAASNAISEKSA LMKFLLLNKEAEPMSYSVQDIRWVDRFAHPAFVAAQKAQHEGEEKTVVVPAWMRREYL GENVGTIMRFFGVDEEAIQTWQKWWYAQLDHYNMFSSIAV XP_829001.1 MENVVMEAGEGGSKSNAPQNDDASVMNALYEPPPPLASDGVDDA FIGMALEECSLRDVYSYSCHRFGVRPNENILRQLPPVAGDEWVRKIKVLDFSAVYLGG KGCLSLVPIIERCTEATHLMMPNVGLTHEAAAPLFEVMRYHPSVSWMDVSKNRLNDDV GRWILNAVIENPRIRFVLLRDAGISPPLLQKIENRLHERMYGTVEAITPSIRVTYAEG FSQLFESSLGTGANDTARVPVHYVPPSVAAGLVELRHQLYRNAESMRCVYNCFTHNLT PESGGCASDAGTDAEELPETNSEAGFGAPHPDRCSWRSFFCGLRLLGVRAVCKSLEDS VAFANLCGICNVETVLFGKLIQLLRPHVAIKDEMTPESPPVRGNTIPNQFADVSPPLD VQQGASMDAEEIPSRDLSGNMRAATPEMGKPQADTPSVPTQEAARMASEARCSPLTLR PLHCTLHEKRSSLGPSLLDTFVTTPSIAGVHASGPLEARLRLDRTFDSRNKQTVVDQL YDERVTLSEVFKKTGGEDPDASAPSYLVDIDEVIKHAVSRVGESNREIVKSLVEPWAV GVEDRVLVNTEGWLSSMYVPQLEASTLMPFSFSEEKLIWAGVTVEELRESVDLW XP_829002.1 MLLVGFKPCEIVFLVDTLLGSGVSRLPQLFSFFFGGGVHSCARQ RKSRKGFAPPNWHPLPRPRRLTAFPYHSQVVAHKRVVRRLLTINNLVFNCCGNLFLPI CTLHPKFPFTNDLSQYVVTCEFPPLQLHFSTQAPKNCFRKQLDLVLCSINVAVDVFHP ALLRTFRWIC XP_829003.1 MNGMRAYNLGSRRYHDVLRLQEAIFRKKIDRQMRYIRGDKSARL IPNVVLLVEHSSPVYTIGRRDTSNGIKAGCAAEVVKTRRGGGVTFHGPGQVTMYPIVN VQVLWKQCTASDKPRSPIEWFSSVLEQAMINVAGEYNIPAHRGRVGVWSDSWGDVAPR KMGFVGLQLGNWVSMHGAGLNVSNNLLYFNDIVMCEMPNEAATSLVEELRLRGLSGAE PTPHVIAPRLLHHFLLSMQQQESVVNTELVDLSIDGSWERSILCELE XP_829004.1 MQQQNRTEIVQDITNAVKLEQELRLIHEQHGVAVLDVYSSEWGL SKALSETFRRLQMDASDQAYLRFLSVECNSILESIDQTEEHHQHMKTDDKVKFRESLA PFWKDILESRRGKSKSYFVFFKEGRKRTSIEGINTPKIINYVIELCRSQKPAKECTES KPLLDFWGRYFGEGESEVFWESFMRAILEHVGTARPLSAKEESTLAEVIGVDGDKVSV SALDKWTAGQAIETAFINVFPFLSKSEETPADSGKRKASGDQPADDTALTGSFVNVLS SHCDARTEWKPEIWHRVLAIQPDGSGNSRFVLMSCEEAEKDAEDALALVADLPTVEAY LSGCNVAAENIHIMCHAAAEKYPSGSIAYAKLAQLLISCNGDKLEELTGVRQELADEL AGGTLLKNYPTLAYTVICHCTSEVTDAKPFYYCCDEAGQVNQIENAKEGGQVVLPPLS RLSSSGETEAAFKVEICGIPNVIQLEETGENGVFTVLTPWFTKFNVKEASDNHLVLEF VENVGDEQFRQVLEPYAKRICEDEDKLSASADIIALYERSLGLGHELSLPSVATQNNF GGSGAPPSASSVGRMRSTSGVEAPKGEHAEGAPGGSASRLHEGSTSQHSRSAVENGAG GEGDVEVEEGQVTEPPIAQDTVAAEDAVADGGANADEEGAAQEVANEEYADEQFGDEQ SCEAGKPSDTEDANEKTSEAAKEEDAEEIGSKQASEAAKDPDTGDIEGNEASGAAKEA ETEEIGSKQISETAKEADTEEIDSEWTSEARKPSDTEDANEKTSEAAKDPDNDDTESK QTSEAAKVDAEEIGSDQASEAMKRSGTEGASERTSEAAKDPDTEDTESKQTSEAAKVD AEEIGSDQASEAMKRSGTEDISGRGSEGSRD XP_829005.1 MHLQINKYIYMYIYIYVCISVKVKMNKQKVWTRKSEMNDALHPA LGVPVHHLVTRQKGKKQISFISHITSPTPSVYVFLLQAFPFLPIRMCAHPRTCAVTHL ANLSYAPCGKIRKKELRPLFASSPPPSRQCYTLWRQRRRHGTTAATALQNNSNKTYKQ KEE XP_829006.1 MSEARQIQSMIDFIEREAQEKADELNSAAQEEYDVEKMRLVEAE KVKVRANNEQKLKQVDVGRRVARANFSKAQRLRIMEAQSNIVEQLKENIKTKLMAFVK NTDSYKKLLVSILHEALSAVRTDAIVYTCKNDEPIVTGMLSELEQWYLKTVGTRVSIR MGKEYLNAEEALGGVVVKSHDGHIVCNWTLSSRMRNCVNDQLPTIRYYLFNPESSI XP_829007.1 MEVLCNGRQCVIEFHGSVVTCTPKDDENREAEPDVFTITEITQL LRSRRDSCAAKVIVGSRTFVMRFVNAREREQFVKNVRLVDGTEGSNVQWVTSAICDAA VDTGVLSEEDLDLIMKEECPRGVVTAFDAIGSLVDPVTFKLRPITEQLTNDIFRQIPV LAKIFGRHVTDEETMVRFWEAVVRKYFCFSRTFLEEEIRALDDEGCSGATEPGSNSEE DALQNINVASERALPKFSSHLRHNTVPVGEAPCEQRQPPHAHFFRGRPSSASSSRRWQ CTVQPCQAYAGVQCPPSVSRELPKSTSRDVLEVLKKFWGGDTKHRKALRSKLEGLKKV ASNNVLQRSCLDRTTKFLDEIDAEHGGATPAK XP_829008.1 MNELKNPMRYSPGVLVGNWYEDMRVAEDKIKSYRSKMGHDADIL GSQRLEESCVDADTHSMTMGDVIMLGKPLRLLNVATEAVLAVDTAWTHPQRLPHQFLL TATGNTAPRQRVEWVLMRAEDENNVGYTKQLKEENVLHYGQHIRIANEAAHSEGFLYL HSSIRDVGQSGAQLAVASLGTSKDNIFVVAKPGEKRDDIRYGAPVRVGDRFVLYHAAT NQPLRCIKKLQRTSFGFEYGMDCSFAGDNHSRSVAAVTTEPTNLFVVVAANYGVTNTM SVSSLRNLTGRGNVGVLSESYEVDLSAIISLIREGVLYFGGRLGFRLLSKVLGVACNE QCVTPVRRQDIFHGISLMGVTIHPGELDVIFKKLDRVGNGFVVAQEFLRELRCELPQS RLQGVISAFQQLVIEGGGSVDYKDMLNLFVFNACFHPDVEEGIASREEIIFDFINCWP NMNSTSSVTTDMFVAYYTDVSPAIESDERFFKMLKRCWKIPETDAYKSMKPCRSVTVF RSDNTSSIIYLPDSSVLNIKDLSSVRRFLTQCGVKDIKDIRLNM XP_829009.1 MRISERAFMDIAIGTKAPRRIVFKLFPRKCPSAVKNFIELCSGN VSTDTYESGNRDKLISESALPQLTYKNSTFHRVEKGYLIQGGDIVTGRGTEQLSIYGG TFSAPEEVRASVFDKPGLVGTASSSPNAHGSQFFILTAKEANHLNGTCICFGQVADGL DVVQEIEQVPIDPSGFPSLKVSIVDCGVLE XP_829010.1 MTKVWMPWAYQALLAYAHSGAAHGQANLWLKGQTSTPLQRICDP VPNLLISQSSQGGQRALWLLHRPESVLGLVVSPQWQGESGDHELCDASVVSKRKIVRV MKHLHSLPFRVAGSSPLRPPMVVHKNHLVGWRIDGKAAPLYAHTLDQLAKLKPTQFKT IEPKNAFICRTYYEWDNWKEVSMEPGWTWHHVDEEIANNILDGTLTFDGLRATATDTP XP_829011.1 MSRNRDEVRKLSQKDGNRFCMNCRMRGPVYVVLDFGTFVCSACA SLHRNKQHKVKGITMTEFTDEEVARLKVCGNDRAESVWLHGFKGERPPVGNDFALQQF FSRVYVAKEFASSAEYDKLQVDLLNLRGGGGATASSAPSTVSVTAKGSATAPQPSPVG PPVDIFDVITAPPPRQQNTNASATEDDMFSDFTTAPPPAQTGATSGAIQSVPLTAADL FASVPQPTPQANVPFPGMSGTAGGQFPPGMALGGVGGAGIPQVPQVHPQYMSFPPNFA TPNCGGMPDAPFASIPGAGPFQSYGPRGAMPAHMESNEGFHPAASSNQFPNLAPAHTT LTSSQNDAFASLNPFGKKH XP_829012.1 MEERFLRTRAEREEVRQQRAAEPSSSSAERLHFEEQAKLFEQEI TQYVSSGNTGVAQERLNVFKAVVQEVSSSSILTAYDMAKSNTTLSRLQALIDSSAKST QGPRSFKFSSASKPRPTPAAAGAATTEQPTIQCNEEPELPAGVLGNARDRTLCITPSK AVFLRGCENCLILIPPVAGSVFISDCSQCKVYVACHQLRLKNCTGSDMYVSCASTPII ECCTGMRFGPYGCWTGILHSSIGEHRYNSHEEWLKCLGEIEDLQRAGEMYKTVDDFQW LKKTPSPNWCVLAAEQWEVVAQPFVQEDAAAV XP_829013.1 MSDDECPELVSAKVPVTILTGFLGSGKTTLLHYVLSAEHNLRIA VIVNEFEFGKTIEKGLTLKSSQKPDDEWLELDNGCMCCTAQTQTVQALEKLMQRKGTF DLVLVETSGLADPAPVASMFWQDEALCGSLYLSGIVTLVDAKNICKYLRDPDVAGEAT RQILMADRIVLNKCDLATEEEKNEAVVSVRQINPIVQVLPATFSVLNNLREVIMLNTT RQAMELDHLHSSGPSSITAMSLEFFEQPNFLAVSDRSDVDMICRDMLYMEHDPPFEVV RCKAALWMRKGDHYSLLQLQTIGDLFDVTPMEGQSVPLGCSRVLVLGKQLNENVLRGI FLKYLRGCA XP_829014.1 MVSANKSTMPLSQGCAAAAGIPLEYFETRDHINHLISLGMPRVT PHTVPPKERVATLPVPPQQDHTELMLTISSGGSPQKSYFSTTESHLLTCGTLTPKTAR KRPEIDSFDMEGAFAALVKRLESRVDEEDNKDMAS XP_829015.1 MSEAIEGGPTSACGGRTGREQIHQANSLVRCSICGNVGHDKVAC LSARKRPRTEEEEEALPSVCRSCGSSRHAEASCPLRMKSMECFQCHQKGHLLPMCPQT RCYNCGNYGHSSQRCLSRPLCYHCSSTGHRSTDCPLREKGRVCYRCKKPGHDMAGCSL SALCFTCNGEGHMSAQCPQISCNRCNAKGHVAAQCPQASGNRSNVKGNVVVACSKFPS VASGGSIPTVDWKRNFPTSAASGDENVVNDWSPDREEDGVDATPHAATVVSGRREIIP PFVASGAKRDGKVAVIVDGGYFERLLKGHEFRDEEQYKRCVEALRYVLDFIGEIFQKT SVAFWFDTDPSAFADYVENSMSLIHREKAFRGNSLRKRVLLDEMNGGRKLSNVVARLV GRMKRQKGYTGDGPGYVWVQTGVDVAIATCVIETFLRRQFDQVVLLCGDSDVYPAVSF CQEQRRTLQTADGPNPVRVCGTSSSISQLYGQDQDLCDFLPQILLDAPSHTEGNRTVD FPTHSVFQ XP_829016.1 MTIWLNVAEKPSVAREIATILSGGNQRTIRSHSIYNPVFEFNFE GKTMICTSVSGHLMESDFPPQAAGWASFPIIDLFTLGTVKRVRSDLSEIQKNLRELGR RATTLALWMDCDREGENICFEVIDVVQKEHSSISICRAHFSALTKRDILGAMRNLKAP NRALSEAVEARQELDLRLGAVFTRFQTLKFCSQFKNFPKVLSFGPCQFPTLGFLVQRG WEQKGFVPEDYFTLTLQHGDTSFNSVRGPIYDKIAAAVVLQQMFDEARTRPEAEVVEV MKRPNRRRPPFPLSTVMLQKLCTAHLRISSDQCMTWAESLYQEGYISYPRTETDSFSF TDNELLDIVGSQRRNPEVSGFVEAMLADPNRKFRRPLNGGHDDKAHPPIYPTKPMPAA NDGRAKLYNLIVRHFLACTSPDAVASTTSVAVVYGGEKFTTSGTTIDEKGWMEVYIYE RWKSTTLPTYKQGERFRPTHADLEQHRTSPPPNLTEADLITLMDKHGIGTDATISHHI KTVVEREYVKREGSSLVPTHVGNALASAYEVNGLVSLLQPQMRAQMELAMADIAAGKA TRRDVVDAAVRLYREIFQKMLSLTDAMNRVLHLHLDPVYQTAVEEVGTSRAHISASGL VECGTCGNPMNLMEHVQKERNPWFVRCDTCQKEYRVPNGRHNRIERSGHRCVICKFGV LEITNIDKGTSHTVCPYCFTSPPPGAEMESLAEFRCFHCGADCPLAKGHETVTITNCM SCKKNGLRIRSNNSGFFLACRGFPVCNFTIKLPPAERVSLAYDARCPSCSAIMLKFDF GGSPAVPGVQEGEKVCVFCDARMKEHIRTKGNNVLRGSRSAPQERQLAQQQQPRPAYT LPSIDVNSGLFQIPPGLADGAATPLCHCGLPAVHLVSGQAASRGRRFVKCDGSKCQFF QWLD XP_829017.1 MTDCIKWYNAVLLDEVVPKKNLSEFKLPDATKDLVFMAKLTEEA ERYDEMVQCMRKLVKMNSELDTEERNLLSMAYKNVIGSRRNAWRIITSIENRESTKEK SDNMELIVSLRREFEAELAAVCDDLLSLLDTYLIPASQGGEAKVFYLKMKGDYHRYYA EIAPEGDQRQLALDAYAKATEVANSSLAPTHPIRLGLALNFSVFYYEIMKEHEKGFHL ARQAYDEAVTELETLDDEAYRESNLIVRLLRDNLNLWTDEQPVN XP_829018.1 MKTYSELLAVCTEFRENFAALSEKYAGVTHELQSERERSERLVK QLEAAKNNEDRLNSGMRELKKELEEALAYKDRYLAATVSVEHLKGRLENARHAVADNL LEQEQQMDQLRAQIKDLQQRLNVSADVTVVQQLKRQVSELEERCAVQNGQLLEERERF SQQLLTAHNALREQQGRQLEVEQRCRSMEAELLQMRSLVRRSSDLQNDAAVSREKCVS DARKAALQVETLTAELRDAQVRLCEAESRHAEELEALRVEDAEEKARLLERVSQLSAA ADEAAAQRVQEQEKYSALQRSVHQQLETVRADAREEVAAVQKLLADSRSEQQQQGWRV EQTRSELEESKRLLRQREAKLESYAAECKQLRTQMETLTQREEWLTAERDHLSQHLAA ARKQVQDLVASHKGQEESGLEVERLRIQLRFREEELQEARRLVEVSETHVKDLEDAAD RRVRLVRQELKRIKKQAKVESSQAETVRRRLVHALLERDMELRPCYSLETMERKPPQR EQRVPSTYAHAFPTAPSGLDVMTLLRGQTEQAEALHQRLVELSK XP_829019.1 MTQQTVPWVEKYRPMSMEDIVGNADAVARLQVIAREGNLPNLLL CGPPGTGKTTSMLCLARSLLSDPDGGGSNNNALKDAVLELNASDDRGLDVVREKIKLF AQTKKTLPQRVGDSNNRKINLHKIVILDEADSMTPAAQQALRRTIELHSSTTRFAFAC NNSHKIIEPIQSRCAVVRFRKLSHTDILRRLMYIIQQENVAYTDDGLEALLYLADGDL RSAVNALQATCSGYSLVNADNVFKVCDLPHPQLVEAILTSCVKQDLAAAHKEMQRLLG RGYATSDVISTFFRAAQNVKLFRDEKQQLQVLRIIGEVTMRVAEGVGTPLQLASMVCR IIVATKS XP_829020.1 MTCKRTFDVIVLGAGAAGCAAGRAIALQNPGANVCIVEQGSSRA PPIVMRVPLFYPFISSVRSTREFLRSYRGVSESCIGGRKLLYVKGCCLGGSSWCNDMR YLRGSNDDYASWKDNDWTMDSVLPIFKALERNLRDGSDVYHGDSGPLLVTDPPPASVS SELNVRWFESCESLGIPQTDEFNNGSPDGFSVFQSHVGKGVRVDVFDCLLEAERHLLP NVTVMSRTRAHRIVFDGKRATGVEVVEGDQSTVLSAPRLVVCLGSIESPALLLRSGVG PQGTVADLQGVGQNLIQSCCATVVFRVTDGSNLRSKSLSWGNSGYLLSQWREYNEDRS GIFASLCEAGAFVRSTPELAHPDLSLTFYPTPNVRWCGWRPFTGFAVRVAHHYPEARG ELTLSNDGANCVSTTNGENIRITSRMLSTRHDVQCMDEGLRWVGSLCGPGGDRYNDRS PFASLDVRLHHPADGLATQRSCAAFLARYAESTGDLFGTCALGSVVDSSLRVRGVEGI YVADASVVPAPTCGSSSVIGAAIGFRVASFLQDPVG XP_829021.1 MDGLYFTAKAQFHQLATHISLYHEDASPTYRTLGEACLQLAGLR PDRFTFWNVPNMSGYFNKALPLDIHGGYVLVDEAAVKAAAGTYGVLRYAYLAAAVRAR AGGRWRYDFTTMNAALCVGVASGFAVLSVGRRRWPLMRRRPVGAIAVGVATCFVAVVA TRLLLRAMGAGITHARNSNRRALEKLRCVDCYDDVARYTEQRKEEVEAQRVPQPQPGM PPLPEVSLRQFERLSALQVQLLESNLCEIRLAKRRANSQLCDVHRGLRDDEQYAVSAG LPIQSADVALARERARQLPSGG XP_829022.1 MQVTTIFIKCSVMGEEVLSVLIVVVSVVVVVTGTVFVASAGFLR ISFGALIVSLSFSLVFSTLSSILLEASVKLPQESFPVALLRAILSAAGKQTVSGIALL ALLFVVAAAAARACGLLEDIQILLDAHKAFTDEGDAEIVQR XP_829023.1 MTDYKVRDISLAEWGRRELELAENEMPGLMELRREYGPSKPLKG AKIAGCLHMTMQTAVLIETLVELGAEVRWASCNIFSTQDHAAAAIAKRGIPVFAWKGE TEEEYMWCMKQTLKGFSGDGYPNMLLDDGGDLTNYVLDECKELDGKIYGVSEETTTGV KNLYKRLQRGKLTIPAMNVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGKTACVC GYGDVGKGCAAALRGFGARVVVTEVDPINALQAAMEGYQVLLVEDVVEEAHIFVTTTG NDDIITSEHFPRMRDDAIVCNIGHFDTEIQVAWLKANAKERVEVKPQVDRYTMANGRH IILLAEGRLVNLGCASGHPSFVMSNSFCNQVLAQIELWTNRDTGKYPRGAKAQVYFLP KKLDEKVAALHLGKLGAKLTKLTPKQAEYINCPVDGPFKPDHYRY XP_829024.1 MWRRGVAAVPLPLWQGKLLQLVHHRALSTDTTGDEATAWSRAME AKYGTGTYKLFRPLYKDLSDEMRLEAYTKPPAPMQGWSVHHDEAVNLAIFSHKGDSRS RTARVVAYSPITVENPESLNPLLYFMDWYPINVLMERNGVVVHFSIASTEGGMHMRNV RVYKAQDELSPNDPEKQDLPNRDSECERLMSTTDDAAWVRHNLYYDGPCLWHLELDML NELYDVMQDHGVTLDWVRWVAEWVHYLEHVSYVRWALGVLQELIPVDERGPEDDFLTF EEKEALATPPEEWLEAHYI XP_829025.1 MNIQGMALHGHMKPVTMIKFNREGDLLFSTAKEPNVSVWYTKTG ERLGTYDGHSAISACDVNNYSTLLVTGGMDFKAKLWCVETGEELANIMLRTPARAVGF SHDDNLLMVSTSRKMGQKSAVQLYNLPFLPPKDGYSIHPVNTVFNPCTEFVSENDDVT FAIWGPTNDTIYYSTSDGSVAILDVETMSTVCTHKPHEETINRISFDSNYYTLITASK DKTARLLDSRDLSVVQTYTSDVPVNDASISPRGDHVIIGGGMDAQDVTTQGGQTTFEV KFYHKVHEKQLGQVRCHFGTINSVCFFPDGRGFASGAFDGLVKLHRFDDKYSSTPGAI PLWTPEGI XP_829026.1 MSFASFVYLGHCKVMLSVVREYLEQCSQRRVEPYYAFIEHAGNS EIVADIDFAPVMAIRLLAVTLLRSRPRKGGLRLHALVLRYGVNKGEHHPPQQSKRLLP YETLAARRRTEDDIMSPVNRHLLRGLLDGVRMACIAAIRHLTRLELCGLPLSGGSAIV EVTSRLFDLLPHCCSLRVLKLSHSTLTDRLFLQLTTAAMASAFPALKEAYFSECGLTD ESARGLHSLITLNRSREQQAMWLSSFRNGNSGSRTVNAPVPRALEALDLSGNRLGDPT LKRLAIAITHDISLRVVDMSRNAVTTAGLVEFLQQGTLGGSGIESLDLSKNLITSNTS YGAEEGFACLCSCPEQLLLTRITGIRQCRTGSPASHGGTRLVPKGPPSPAFDRPTIST SPIPKSQASSRGQSLSAGTVVTGAEQIAAAAPLSRTTRQMSISSAVVIEGSAPETGRS SLSSILPDKERSLAVHNVYTRSSTAHVSAAMSTKPSSCKRCPGGTPSTVHPPASEKTQ NQVIPDLIPQGPAPRFQPLLFSSPGGQEQQWYGVPLYITLPFNPLGGLQTAPLAPVKH DVAVGTASLQSSPQRDDADELESGPHTSNGGSESGVGDVFEEKMVEPLNSDRWEMGEW KAKEQRFLEALVVRLENHETSTAELVERNHQLTRESLKGLKEELSQRIREVLEEQRSE QRRLDMRLAAERELQDPEETLTNQLVQLIHTGMKSIHDQMAKPVGTSAAKSDIGMGAS GKASCQTTQDYLREVKGFLKGLGW XP_829027.1 MFGRLFGFKSSQEAPRPGVSPGRPNSSLEELQSKLELLEKREGV LTKKVEDELAKAREFYSKKNKSMALQCMKRKKMAEDELTKIACQKQNLDTLIFTLQNQ TMNMEVLAAQKRAKDELKLVNKKMDADGVDNTMDELLEEMDKVNAVSEALRQPLDAQV VDEDELLAELTEEMNDVGISQTTTATMAPAALLPSMPGVPQNALPQHPNKAVDEEEEA LRALERELLS XP_829028.1 MSTGLPSKLESLVRSEFEDTCRRRFFFGLAFDPYGGSAGLYDMG PPLCAMKANLLAHWRQHFVLAESMCEVDTTCLTPQEVFVTSGHVTRFNDVMVRDTVTG ECIRADKFLEEYCETQLRDSQLSSEQRDQLERLRIGAGAMSTEEIRASIKELQLKSPK GNALSDPFPFNLMFSTSIGPEGDRVGYMRPELAQGIILNFKRLLDTGNAQRMPFACAS IGTAFRNEIAPRANLIRVREFTLAEIEHFVNPNDKTHEKFALVKDVEIWMWARKQQEL NQEPVQTTVGDAVAQKIIDNETLAYFIARTAQFLEAVGARYVRFRQHLRNEMAHYAQD CWDAELLTSYGWVECVGVADRSAYDLTQHSGATKKDLCAREEFAEPRTERQLQRKLQK GAIGKAFGKNAGDVMEYLNTAPDDVCVELSKKLSGGGSVDITTDRGFTASITSNMVQF EYKDVKVTGRSFIPSVIEPSFGVGRILYALLEQSYWVRRDESAKNEKRAVFGLRPLIA PQKVAVFPLLMKPELIRTVEEIKERMLLHGISTRTDDSGASIGKKYARVDELGVPFCV TCDMENDGCVTLRERDSAQQVRIPKEKVADIVAEMCRPLRPREWASVLAEFPAQAAAT XP_829029.1 MPSGTDTPEDCFLVYAWGFAARYVDTAQYGPPYFLRGNSRCCFT TFDSGPSSVLSWPVRHRFAAAKAAQLVLECYGRSGSGVNELIGVCLAQMHDLVAACAK DSVMTLHMRHGSNSKGEVSFRVGMQRLFTLTLRATRIAIEPSSLQPSLAYVKLSVNGR DEWDGGSCVCCGRGTSSSIKSELLRNNTGDRVVLPLLQWRSTLTGNAGSVDGEIYATS GGSLGHFSIVFPDAVVAALLNGESSSHFAFSAPVKAAAAGLRALFSCVGEVTVLTDCP MLTLYCGTSASPAGNVTNSLSGVERSILQGVSGVWPSGLSLLDSVLHQQQGRLVQIRE NIEWVRKSKGDIEQKLEELKCREASRNSGASIGSSMRRDIEKELHACLERRRILEDAL TRVRQRRDAAKGEYLSHSKERAQELQAFLEEDAHVQRMLEDVGRTQSKLRSFVSFEDQ MEERMQRFATEQQELDNFDANVLECVRQLRKNFS XP_829030.1 MGNAPSFCCCCFPFFSQRRADQDHQQAARGEARRSQFSLPLRRR CGVCGMYIDPVLFDGHREACRENNFRNLRQQQQGELQDLGDDCSRDYDTEEWCVVCFA ARRSFAFLPCGHVSCCEKCVMALQFCPLCREPRAALCRVATGLLLQFKCRHCGEIIAP ELHDGHREVCGFRMMLLQKGKDVSKEEDGSDGADGPSDRCAISNAEDTSATTCLNADA VLTTSGECVTKSTMQYCIQCHGVERPLVIMLPCGHRLLCEVCCSGRKTCPVCLQCIES SVKSFC XP_829031.1 MSTSLRHTALHAFHVGRRARMAPFSGYDMPINYAAGAVREHLHT REAASIFDVSHFGVVEVFGADREKFLEWLTPSAPSRMPSGKAALTMFLNDRAGVKDDC IVTRYDDRLVVVVNAGCKDKMIAYMRQSVADFTGDVALEMEDRAIVTVQGPKAASALA PHVEGLDKLLFMQGRQDVDIRGMRIKTLTRCSYSGEDGFDIVMREEDALPIVELLLQN PDVQAAGLAARDTLRTEAGLNLYSHELSEDIDPVAARCMWCVPKHRMTEGGFVGHERL AQLVKKAKELVPRVRVGILAAPERGPIPRNGTPVLVEGKCVGVVTSGVPSPTLGRNIA LGYVDRSYSNIGQQVGLDVRGKLVKAEIVNSRFVAPRYYRG XP_829032.1 MVTLGKKDLLRWAAETTGIRPCSKYRDLGDGAVLLALALHVFPT SFPSGFASAISYQGKDSAERNWDVLQNIMEQRQLPMHLFDRRAFSAGQARHCFNTLVL FYFLDRLKHNSHFCVDFANPVDPRVADFLQSPQSLTSIGKFSIPPSSSSPSPLVGASL CGGSAATVGIEQAGEDALVAVGSSPVGLVASGRPPLRMTSPQLADGGVSQSQLSRMHM LNTQLREELDNVRATSHLLLAQQRVIVATEIAAMTEQLEAQLLLLRQQRDHEIRRCLR EVREEYDTLLNEIPSTTRSDASSFRVLSCSSGSNTSNTDGLGGLQRLFEGKFRNMQRE LDMADSTIQQLRTAVNKQQERLEALEDRIRCICSSTPPVNNEECTGFINNILEPLFGV APRAVVETVGLRLKYVFSRMDALRCEVQRLRGRDGSESEAIPVSSIGQGVGGANNASL RQSVGAEKPPLVDAGNFCYQTAGGGGRKADEVPPSISGVGISAGTRDENFLACEGLRS KPRTLSMERTPLDTTTSPTIAFVAASGSTAVASDFGADEGDIFVVGGDAQPHKAKPTV PSSNSSVSLLSLEELERRKHEIMSKYGFSQ XP_829033.1 MLDKVVGDQEGLQKRSYTYKSGAVYEGTFQGTKRHGRGHWKHPS GEVYEGEYVDNRQEGLGVYYFSESGKRYVGNWMSGKMNGEGVYFFSSSYTPCFVGFYT DDKKCGKGFYCHENGVVMVQEYVDGVLHSESEATPVQRVECMMSIDNLLEAARAVAPK TLGEAPAALCERTFKLPSGATYCGQYSGSKKQGVGYWEHPEGDWYEGQFEQNKHHGWG VYVVGRSGKKYVGHWRDGKMDGVGVYFFNPQETEYFIGSYRQDVKHGRGLYHFAESGS NKIQLWANGEIVEELVEDDGAVQKYHEAMKRVIEITRAVAPKYFPLTVR XP_829034.1 MPSITQETLRRRAEFVRTGGRGSVRRTVKVAHRNTGDDKKVQQV LKRLNVSPFNDVDDAVLYRHDGTAYYFEKPKVQASMQSQCFVVSGAYDVKEASEVPS XP_829035.1 MSKIPPALLSEAIQNVLKDRKERKFKESIDLQVNLKNYDPQKDK RFSGSVRLPHVCRPRMTVCLLCDLVHEDIAKKNDVPTMNQEELKKLNKNKKLVKKMCN QYDAFLCSESIIKTVPRLVGPHMHRVGKFPTVCAQNESLPDKVLELQSTVKFQLKKVL CLGTCVGHVDMTEDQVRQNVVMAINFLVSLLKKNWQNLKSAYIKSTMGKSQRIY XP_829036.1 MGFFDSDLSYPTVRTERMKHKRRRLVQGPNSYFMDVKCPGCKNI TVVYSHATSEVKCNGCATLLCRPTGGKAMLVTGCGFRKKPDH XP_829037.1 MSCPRVQYRRRMHYATRGNRMRLVRTPGNRLVMQKRGKRSQGPH TPWVLGHKRLAGTKALRHTKARLAPRHQKTTSRPYGGVLSHEQVRDRIVRAFLIEEQR IVKRALKAHAKVQKEKKRRAAKRKSKEEKVAAVAKKVAAKVGAKSVIAKKEAPKRKAG KAPVGAKLKK XP_829038.1 MASGSGRGEGVGEHRPNPIVRVVGVNGPLFVCEDSSVLSKFPQM YKKDYSGPHLGVEELEYLSEFVSIEFITAEDRQYWASLRMRHEFSCRVYRHLTDKMGL FLRHGSQFGAAFIGYRDLKDHGNCLVYFGPLSQLSATAAARVAASVGKEAWVVEEFPI KATGCLSVSKIESYWGPSGQGGNSVCGDKGGKCVKKRRLSYTPIVQL XP_829039.1 MRCSLVQRGGGYSNIDWKTRARRTVQRFVRRYVPDPRDDPLTEV PMNEETYKKTYLQQEGGVRVGIRPGQLVEDYMAERDKKWLEGQRLTTGRLVEEQKLPT TSDGQLFYGTGTRETYMDVGTANKHVKPPKPSDYESDEELILMRRQLQVENEAEYRKF VAETKRAEIKMEETRKRRRPHPTDPTYDPFKITPGYRPQDSAALAQWLKRQRESGKTA SGLSLQTREGQERFYNEEKMATQFHANPFSARIEEPRGLSRMRITAYTPDSIFVNDKE VIGSCIVTDKSYYHWNVSSFEEVNERTLALLLHLYPVPDVIFLGTGRNLHFIDEELRI AFQKRGSIIHCLTTPQACGHFGVQLSVSRRAALAVINPIPTNGYGTECFGDFIENDMF SLSDTQLGIPPIKQFSSALFKPNKVAEKYRHMQGTGFGPKYHQLSDGRLVRPGTSGTK LRPLIEPGEDVQWETLPSYYHWYPKEHLHDYIENTTMREIQGKPTGDPTERRLQQALR GEKSDKRESPTPDIAPWDSASIPITKFPHERNDDEVVVEDPKTGRIIGMDRNTYERWR VMMQERREGKPESDPVEYDQERFVTNKDGVVFDLSKVKYRPIFEGRWNPRRPQSTGRT NPIMV XP_829040.1 MSSPYQRKITISAGEECTLSGSCVVGLQLLSGVVEVAGVLLKAK EPPTFRLLTDRYSLVMYTLEGGCVLATSTKQFEVSKAATGMASVGQLCRGALATVTRS KVLVIGRRASGKTLTAHTICNILREDASAVELGAASTSVFLMDLNAESNSIYAPGCIS TVLVEHILWPDTSASPTLLPFSLFVGETAPPGNESVASFLSFVEQLNECTDALIGAAN TERAHVVIDAPSPQEGVMEGVYFRRLVELLRPTHVVMVSTQGESDLCSNVLQEDIQRV LPECDVSYVAPVSQRCNSSVREQRLCGYFSGNPQCPLACAKIVLPLAQVQLVRYVRSE SGVTCSKVTPSATIIGCICAVSHAEVIEEVPLAPIAGLLLLFAIDEEHEELVAVVPAS EPLPRRFLILPNEPTRSCPPSALFSGEVAAHVEEAVAI XP_829041.1 MAIARTTVTTVLDGLYFADRAVKMTHAAHRGCSFVCTPALLACT CPGTSVAVRLTPLSTGRPPLARMELLGQCAVSLFRAQRLAFHCAGEEGRAQMHELTLK RGDVIAVLGGCELDRDWGSVERTLKSVAHADLEYMAEEMLLELGRGTRRTGGGNGEVV SNSTCVMTVVQDRPSGLLPQPPSCLG XP_829042.1 MERLMEGSENVKEALLQCIAKSDTCAADGVLPLLRTFSRPVSKT PFFDVQVETEHAWPSSNLLNGGGRCKVHYRNGAHLVSSGNRLLVVRQDNHFYFPPWGS LIQDAYIQRCGADKRSLIIVGLANGIHAALLQEESPPVVLDDIFIETGRSVEKIVSFE NGKLTLCYGNAQVESCRLVFRGDKVSEMVLSLNQRPRRIYDAVISLWDTKRYQDSAYD PYRGNLFVLSNTDLAVWKRTLTGEFVVAGSVAVLRNTVTVLASFETSHHAVLIAADGG RQPVLLDEAPAAGGGTACVNVRLASSRPLPSGLHVEDIRFACRDTNGTTLLYDALTHL LILITTNCAVYEGVYDEMELVSTFQLDGPITGIGYVSESVRFGTSFVVYGGSGIQCRI GALPIGLVTANLLKLGNPHDEIFSSLLKLGGRRGMEALVGANLAGVPAETLAPLLGQF LHPFPQSNNMRVAPGAEGLLYLVHRQIVVAERLWTSPFSWDLALRLEGAVKLMEEWRG SIESLLRLNGWLDYPVQHMGLVWQGFVVSSPQAFTTRTAINTQALFLYTLLKGLGDAC IICKLYCMLLTACGEEALSMASSIGNQMGLEHIVWRCDTDAIISEFCTTALACNGANT LAQLEAMKHALPVKAQHAISVHSLIMKGNADEALTYACDNIVSLRDEQMVSYVEERLN VAFPDHMVPIRLLLCWLRHDKSSIGELLSLLEQNTADGSPEQVKRCLRLVMQAAARSP ALSRDVVHWIVGHALEDDRLMCFAEIIEEYIDDLGEPQTLPALFYSCWKDRLRCPQVA ARGFGDIAKSKQRVLLSTRLRCINLALEYGPTDSDRLTYVLLLLQDELVKVIERTGQS FNYNSSSKLPRQETQSHVDELRHFYVVESRLFELAGVYRRNGGAKVQMDILKMHPETP ERVTVQVLHDLLASLVHEGGQGASEAVRCIVREYYGGYAAGLPLLPFVTFLVHNREDP GTIVDTLRSSGVPAAVVFDTFLHCLDDRCDDTVLTKSGVITALTAAVTNMVGEGRSVY ATYLLERIHKLLENEHQIALLRRSDVEQLQNAEAAMMRFVSSSQSVS XP_829043.1 MADLLTVEQITELKEAFAVFDTDCDGNITVDDLSNVFAAIGQKV SREKLQMMMNEADLDSNGVIDFPEFLTLVATKLNDPEEKELKLRRAFELYDLGNTGFI TTTDLRIVMERLGCYLTPEKAFEMINEADLDGDGRLSYEEFRRVMVEDSGSIPKKEAF STD XP_829044.1 MLNILSYSKLFSSCGRQAVTCPKGCNSYRHVSTGSDECLFTDGR ASMGREFTLQPVEMEPVFKVHRPYSSSNCDNPISEDDLPYECGASSDCNTRSSTLRER AVCDEPLAKGLQNTTASTPYRVSWYERGAVSPSKRFVYWAFRRLWDLPPDAREYYRRM LYQEVRAARYVNQTWDCFMMVVDGYRKGKWILKKYGIKIDEGLIPKPYNNFWEETTHE ERVWAHRRSHQMKDLQALQREDDNLVFGAHIMDRREVTYGSVKNLQTGMQGGTPVHAH DLPAPFEADVKMSLHEEDISSALMSSIEDDKLWNPVLRSRVHNKLARDEAELYGFEDE DDGDDRS XP_829045.1 MRDRYIRVGLNVLQERKYLRLCEITYTAEKNGTPEGAREVGDIS ASKWELVQRTTRQVPLDRYQRTPAPLAVDSTEICAFLSRGNESFLILVAQYRPPLDAV VIEFPAGLIDPGEDAKVAALRELKEETGYSACVEDVFDVSDAVCLEPGLSDSCCQFVK IRVDGDSKINCKPQPQLDDGEDIDVILLPLKLGKNVESDVTPTKMLKRLVAQKEGMNN RTIVDARLYMFLEGLSFFKHTSLKIATL XP_829046.1 MIRVYVAAVSTILCAVAERPVTYPIQWDDVPLKQPPARVLSSPY LGRCACDDTWGSCDPNCCCDDDCSASERNKFHFCLREGWTNHTLERCQQSFTPTKTTV GERGYINKSIIGHRALCIIKSNNVGDMSPFFTLPEKVSNPFGAHRRNRLGSSNPKSYE VNGIVNAFKKTESSDSVHGLRNAGFLSIPSSSWDGYCVPNSKQIRFMKPIDSTTCIYS GERICAHFPTTSFADLLLGSEGNTNPRGLIPIILQVIDDVTGEILADIGPESSLPREL NSAVKNGICERAVVRARHSILYSSEGANLFIVNATSRLYTRHLNTNAIVSLLFQMEFF KAGTRPPLNYFSGNIGYLCGSRIRAGALVEEGGKVGIAERVSGFSVPGTGASCFNRRY RKVGFLHSVIGGGCTVQMSERELRDICRQNGTGKLLRSILGIDTLTLRDFDDTNPIDH IAKTADAHTNDTSSWLPLRGLDFDDIVPEPYDLIERRCRNVYVGLNYSFVISQVGAVG NPQDVIVGAFVDPIIGSWMIRNVTDFTINATSTQRFRFSVSFTRLDRLTNPIVGRKVV SPSIFPRLDDSVFHPFK XP_829047.1 MLRCSCARRRGVYHNAPSVYPFVKPFHDTPYDQDRGRHDSVGQR YRKNSWPEWMDNGADGTGYGIGLHRTHPLSRLRGNLKRSPSHVPRVLGMMIQGVWHKS GVKLYFRGGKPPNPSVHPYLTGEPCPLYGWKVTDESVIRQFNMPSIDGTNFRYKPYVA LQERKIMGVQVPSDSVSLASGRTTESKPLAKRLFFWR XP_829048.1 MLDFLDTINKYTLRSGSVTHIGGKISKKCAAQLVGSLVLQGRLN HYCAALAAQFMVSSEVLVVASRDAVQGISCEGCTLERQNLSSVPDTGEIFGVATTGNN VVVGYSAGVAMFSCKLELEKIYTLSEYTNSILGIAVTPDGSCLATGGANAIVTVWSRD MNPVHYLAGHTDWVRFVKFTIMDGRGLELFSTGDDGLVLHWDPLVGTLLSRIDFFHGQ DIHAFEVSWRTGLIGIARDTPTICLYKPQISGIASNHAVGAVRLEQIGRIDDAHHSVL TALRFSNDSQWIVSAGEDETLSVSSVCQLKRVFVCETFIVRRHCMTFMNTFTSVCVLA SPPESSAIIVVACATDGTVVQWVVNPETFKCTYTKKLQLHLGALVSMDVVRSHSDCQ XP_829049.1 MYITEQVCLYNNIKARVHRFFFPLLDPFFISSLYLFFFEKKRTM SLVLADEVEVEARRIIASQNAFDVLGICPTDIRSDAVLRCYETKVGLFRRLVRNRLAM EAKAKLDHAKMLLLDETLRAKELAKFNECQRKDMLTKEELRAVEARTKLLEQRAAALL PEG XP_829050.1 MQQSLIPGHNNNRHLTVEEIENNIGGLAITDNKLQELFDSLDVE RTGYLPIGEVKKFYKGLEHYGLEPTDAEIESEMKKFAKSDENFMSYDEFCCLMLSFAQ R XP_829051.1 MESSLGAYCMKEAIRQRQNGYVVPTSVDSYDFHHWEQEARRRCG LIGSQGNQSAFLRKIDCDANLLVDEVAKCGRTGASRNVPHSFGTEHELTAFLSSLEVL LRVFFLDSSNEALLVTLQKFRENFTDLQKKKVIGLEYGKYPEIYVLYELFSLRKLLLN WKGMTPENQKVITRTAMSYMAYVRSPEYAILGDLLGMAEKNLSSVAVNTIKMVKCLDQ ACGSTPYAFPHICQFVLSSVCPRHSCPSGTLTLKGSATQKGETTGVDTAATADVANPM ATEPSHTRKRARNADDVTKGETSTETDDQVAAEKRQQCVSKYHSRYSAARRFASKGCR FCGLCHMMEILFNGCSLVCNSAHGPTEWKISSNLKRYPEVCTLALRRFKAMQRGVVLK PCEDIPLS XP_829052.1 MRYESVLLRLSDEEREELQLLIAALNVSEYTDDVDDIRRLSARD DRMCRCIRELFDTVLGLYVASGRVPREMKEGIASGRASTQMTLKILPSLFEIFRRHKR LNPFSNRSEFGKLTMLLQDLRKPTVQQRLEICHSLIIPVKTVGGELQRVGAEAMLRDP DLGEYLNSFDKNKVTAFDRLLGRYGGAESSTVERCLRSIDDVHQFLESNIRPLVWMRN IISEEFCPLDKNCKYNLAIQQGVDGSLLTHEHSVQCAYVIESLSLWIKVQQSIFEFWQ VSEDDMLIDGSGQYSLVNTGQGYHRLCHARKSYARMSRVVNETEHQLGRWIGIKVIHL GDRDVPNPLVFIDKYTVIPRIVQPIMHVMMALEKIFSPDAKEEHPGVRNFLRSKYSSF PELRMTILSDFFRHAFDGSGDDGGNCIDGRLTSAWNWCHQLHKKSFYDAFVLTGFNGF D XP_829053.1 MIDTLSIVSNGGIVLWERSSDVSRKCSIINQVIQEFLLEDRAGM HETVIGDYKVHWMLENDAHFFVVAIHPKFVSYPQMCAFLRTIARCFVKKYGRVRDNVL VEYDFSAEYAETLSMLDAGVPGVDALGGGDQISNGDTEGEEEDEDLLEDAADREPQGE GECSAAGAASGAYQGPDTFVTKSGHVIGRKGKKLAGSKSTTATSQKRSKQVKRATRWD EPSADSAVEEQRGQGTRPTEEELEVQTALQRAAFIKRLPNGSVAPVREKEWEGQQRGL LANWLRSYVGRRELDSQDFNNVIPGLREKLITKNVAVEVAEHVCKSVEASLTGKVLGT FESLHQCIEAAMISALRRILQPKHEINILRAVASSRGRNKPYSIVLCGVNGVGKSTTL AKITYWLQQNGHTVMIAAGDTFRHGAVEQLEVHGRCLGVDVFQMGYGTDPSAVAAAAI SRATRDGCDVVMIDTAGRMQDHESRMRALAKLIHDNQPDLVLFVGEALVGNTGVDQLR RFNQCLVDFVPVGSVPRGIDGIVLTKFDTIDDKVGAAVSMVYELGQPIVFVGAGQTYQ DLKVMEPDVVVSALMA XP_829054.1 MLRRSFLLGRGVALRSTARLFGGGGGGIRLPDDDGISAELRGFG EYGGSISAFVKAGGEDQGKRVGGSKVFPCGEADPTIQAVKAQHMAEIAKTGKLPSPRN VVSTSGSSATPKGGHDGVGHATAGMASSSSLPRRVASLPREAAANYPATLARNETTNS ARDLGGPEGQSRAKEAEDYYRAVPRNALSKEEMWRRITTATSDKAERASSTLHASEED YLKMEEELQEHDLYHFRIGELAFPEQRLRRNYYAMWLLAMYLNKARWTMLDVHSQRGV KTTGAGMKLLFWKESVSQIMEKRSMPAGQFTDSHPVLRPFAAVVEQNPHMTKAFVRGF SEARLRVIQQPGNVKQLFDHFDRYYGYFFNSLLEVAQVKDENAGHLMQHIGRAIGLTQ HCVMFWKKYARIGFTMLPADLCADNHVNLALLRNISLAARDRAVRRLLYDVMCIVKTE MLHAQQLAPHCSPAAWPIVMECTYANYYLGFLQRRDFNVSAMFADNNVENAGFAWYRV KKRWEWEKHQSIERLVAESAPLPFIGTSWGHRGSDYKIASGFGRK XP_829055.1 MSTGESAELHEPTMGPIKYVFIPVEETREPKELLFAGGSDEEFR GSITQYFRQKLLSQEQKNEMTKHLVQKASESGNRGDDTAPPQVSAQQQNELISEYLEQ TSFEIVPIVMPARDNKFVGTSLYIDDSGRFKDLPLNCRASKIAQRDIRGDAFLLSNHD DPALDEWGRVDCSLSTYNELLANPPTTKYDASDRAQMASLTMQRESETKCISEVDVSK AKEAKEAGNKFFTEGDIKAAVQAYSECADLTEGRRDLLPNEAEVTSLRVSALLNRSLC LYRLGKNDDAAHDARAVLQIDAKNLKAHHHLTKALCGSRDYDEAAKSLEAYEKLGGQP QDIAQIRQSIVEGKKRLMQEEKNKYAKMFAST XP_829056.1 MQIFVKTLTGKTIALEVEASDTIENVKAKIQDKEGIPPDQQRLI FAGKQLEEGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTIALEVEASDTIENV KAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLRGGMQIFVKTL TGKTIALEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKEST LHLVLRLRGGMQIFVKTLTGKTIALEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGK QLEEGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTIALEVEASDTIENVKAKI QDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKT IALEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLV LRLRGGMQIFVKTLTGKTIALEVEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEE GRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTIALEVEASDTIENVKAKIQDKE GIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTIALE VEASDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLR GGW XP_829057.1 MTVARDHDIDDHDTSFTSFGGERPPFERIPVPSMASKEYTENLP AFDSDRPMPPPRSVFERFSRVMQLQFGQDPDMPLLGSRAERKYRSWLDYVTSPPSPLL PRNYVDAYTRPNPCPEEYWWASWRWPRIKYVNAKVPPPVDGKYNDYYHYLAYKNWMER ERDVYVAHANLVNEMAMRCLVKEGQYNAAKNCRHLYHKGFAMSRMEELNQTLLYMALT GNAAIRETPYPENFVEEKRKIYDDWLFRTRMKKPGDVA XP_829058.1 MTEGVGQDGNVAKMMDNIRIQKLIRAVDELVAWSFVMDEKDVIL SELEKCVDEAEKELLRASDAVEDQQRRCALVQVHRSTKQERKIPQSARGSDHKLEELW KSKGEAFKRQYELHLTTYKRLFDELLEEELQRLNGTTTSAGDLRRPPEDVCGSSSMTE ISISASPAAGGNCSDGADSDAAGAIA XP_829059.1 MDPLLLSREELEEAQRAIKRRRDTIRTATTERIQTELQPTGSGS LAKRTVPNSIPDKEKAESNSGVVKKLKEELRRRTEELENSVNEQRRAREEYKRLKSVF ESFVVEVCEREEAYKIVFSKAYEEVEKHKKHCEGLQRQLEERSSTTTVAATVQVPVAD TTTPPVAVGSRTLHEIIDQFRTMIDELECRVGCHGSDDNQSRVAATDISNPDKHRRSA ATGTRNRAEQKQKQQVSEGKHQLQPHGQNDQPKEEVVGVVSGSVVPARGAAVLSRPQA PVARSAVSAPKKRRAAKSESAAAVKRAAAEKCNQASTSSLFTSFSTLSERPKKSEVNF GSEPIEDYLALLKKTDLSKVDVLRRELMNFCNSDVNVVATYVVEHFLRKALLTPPMTA LWNETVEAMHIDVDVFPAFVRLVVRKLVHLLGPDTAVSAGNTLDHSPQLQRLSLVLRL ACVVRLRETESGGDALFFAFYESTISALRSWRLSSTAEGQRDVLKLWMIAVRHLYGFV EDFHMLTRYYANTDGVMEALTLPKLLTCYAVRAVMGNCYFIPSAQPSLVEVEADVQLW TTFCDAMDWSGSELPIDGIGAAAVNILSHSTDERSRGEALLSLRLLVLQKGFGFLQSL TERLRVASGDVDVDEAFAELFSLAVVDLQLEDPLDDQWDRAMSFFMDYLYNCSVEQVN SVEELLTGCKEAHLLVLRAVFQLCSGTGALAEQRVEHATRALRWLKALRVGLDRSARG VASSPLTSVSKGHLLDRTSLGKDLVYLCRHDL XP_829060.1 MVLLSVKGTTFPDEFVYECTAATAIYPDLARNLCHIQNSRHLVK LILLSARELLDEARCKKRVEVVDEGPGDGGANSYSALLTEYGAIIESTSARLKDRVVP VSLEEFDQYADRLRELTERLYPEECCVPEGGRSAAVDRLYQLHDDPNLDEDRRLAVYH CRAILDPQWKSHEQQKEDKAGLWFCGKLMEDTIARYSGKNEKSRLTVKVHPKDSVPPS TEPRISYDDQRALYERMRTRREEYKGLEESELRDRVVAQARGKVALTAPAAASPAMSM DLSRLRPICPKREEHEVN XP_829061.1 MSSLKSVARELREFAAGLADSRGVQSEELTSRQDALLLLALSAL KELETSGSPADLAQAAKSARRLLRVFLPHPVVEKGQSLEYEQQDSERPSRKRERESKD DTGKAEKEESDNVSVDLGQYAKAHVFDDNAKKRDKFARLMGGRRNEGSHHNTFAPDKT TIKRINGELEEQFNNALVRSGRKGLGA XP_829062.1 MMRRLSPVNGSVVSPNVVAAVSSVLQRQQRHQSDAPLRRLLYEN DSFLSGTSARYIESMYENWKADKSSVNESWNEVFSSRDLQSFERPLLTSPIRVVPASA EDKMEVAQSQDICARLTLMIQAFEDYGHLAARTDPLDAEDDSLHRSPQVKPRERVHLG LESFGFSKEDHDRVVRVGFMDQLGGAMSSSSRAMTIKELHDHLTKCFCGRVGCELGHV DDANVVCFVREVVEGYNTEHNPLRRPLSKEEKLWVWDLVASAVHFEDFFKRKYTTQKR FGCDGAESLIVGLRSLMESASDHGVEKVNFGMAHRGRLNTLYNVIGKSFPVILKEFVG ITAPELEPFKVQSDVKYHLGAKSTVKMRNGKLMFTELLANPSHLEAVNPVLQGYTRAA QLKHGDAGQSKVLPVEIHGDAAFAGQGVTFETMCISEVPKFTTGGTIHVVVNNQIGFT TDPRCSRSSPYCSDLGRAFQCPIFHVNGDCPEDVARVFAFAVDLRSRFNKSVVIDLVC YRRYGHNENDDPTITQPLLYKRVHATPDVFARYSEKLVEEGVVTKEQQTAKAKEQKDH YGKYQSEVGNIRYSDYLKSGIPELWRGMKYSDELGEVTLEPTAVARESLQPVIDSLKK VPEGFVVNSKLKAVLEQRVQSLEKGEKIDWGAAEALAFGSLLLEGTHVRVMGQDVERG TFSHRHAVLHDQNKVDTYTPLAHIRKDQAPFIITNSPLNEYGVLGYASGYAIYDPNAL VLWEAQFGDFANGAAIIFDQFLSSGETKWNQQQAVVVSLPHGFDGRGAEHSSGRIERF LQAVAEDVDTPAYSPEERAHRVNMEVVFPSTPAQYFHLLRRHVRRNFRKPLMLFFSKQ FLRTPNESSMEQITSGGFQPVIGDPSVPPEKARRLVMCTGQIYHILNRYRESNNCGRD VALVRIEELSPFPVAEVQKLLADYSGVELMWAQEEPRNQGAFYHVESRVEYYTGGARE LRYAGRAISAAPSTAYKSTHDAEERYICECVFS XP_829063.1 MSLPRRMCMFATCQSNRKSCSMRQSSVTSEGYRYSSTFAAGRLF DAVYVINLDRRPDRWEFATQQLSRAGFLHEEYVRFPAVDGRNVDLQKAHACGLISRLG LLRLQEPEHRRIWGMDLNPGAVGCALSHALLWAQIAASRHRSVLVVEDDSLFPQDFHH KYEARAKQVPSDWELLYVSGLDTAGQAPQLRVAEGVCRVPQMHRTTNCYVVSHRGARL LLDACFPVTYQLDTMMTLRTAGDGAGGVPYVTVPNCYTLQPPLVVQATRMGSDIQSAS GGVCDRDEVEEERARCRAAGWEVQETGTLVP XP_829064.1 MFSGWFKRVPPEEKAKEWRRQLNSEMRKLDLQIRKIQREEMKVK QTARQAAKKGDTVVLRMLAKEIIHSRKAVRRLHTARTQMNSVSMQLQQQVSQIKLAGR IEASAVVMTQMNQLMHIREVRESIQSLGREMTKAGLIEEMMNDTIDDVLDGDISDTEL EDEVEKVVVEVTQGKMEGTVVGTSRLPEVQQEQEVENEGELESDDELVARLNMLRGTV S XP_829065.1 MLERERPQEKERGAGLFSRFFRKMDGCDVKIILDGKSESDVVRV HDPRDNTSERLYRYSCEEPVNGRVMLNPKGSYRHNGVDVMLLAYAVLPQASDHKVEFI TQVKRFEPDTLQGATPLEFSFTVLKEHESYRGINARVMYVLRVVVHRPLKNVTEQMEF WVTRVDTVLSDTQPDALRHRSYFRETVFGPNSTTMDVGVTNMLHIEFMYDKRFFHLQE RVLGKVTFKVTHMDIRYGEVGVVRKETVVPPLSESEAVNMETLQKFEIMDGTPIVGEV VPIRLYLNCIPNLTPTYKNVQDCVNVQYFLNLVLITADGKRFFKQQEIELYRRRGQEA LTWTAWRQDDPGKGDGEAGETSH XP_829066.1 MQLLSWSSAAKALFGLTVARLLLAFLYRRLYRHLYYHPLVPGSV ALITGGGSGMGLEFARYFARARCHVVLVGRDADALRSALASCVELGSPSAEMVVADLN TIEGTNLVCFGLRDIIEQKRLHGQFRYLVLNAGLGAILPFSSGVHFYETCESVMQINY FANVRLLQGLLSLLEETHSAANPSRVIVVSSLAGVLPSVLRSAYTASKHAIQGFANAL RGETEVAITLFCPGYVDTDFHSKATLIKGDGAPVTSHRRGMAPDVAVGKCMEGVLSGR SEVLTPFVGKLGYILRPLFTRLVDSRAKKMSHRSLQK XP_829067.1 MAKSKNHTNHNQSRKNHRNGIKPPLPLYMYNSKRGGWLPALVNT RRVRKNNQKAALKARRERLAAHQAAQK XP_829068.1 MMRRTPPVARVVVSSFLREPSERKSFKETLAECDANGIDMASSL TSDFALLQSKLFKYRVVRLGDELQYVCRHPLGSLPSLPALVVHIILLTTVFFLFRNLG RFSSTALVKPPVALPGEGDS XP_829069.1 MAFRRLVKRHKITNNQMLLMRRREPYKPTMKDRQEIADRAKLEE FERKNADGLMFVPEKALPPWQKSLAHNAKALGSRINFRGFRVRVADGQDEPGFPTPFR XP_829070.1 MSAFLTPKIHLVGDVSSTMDVVREMLAASPSDTEPFAVLAESQS SGRGTTGRQWSSPRGNMYFSLCLPTTLVAAEFLPVLPLATGLACRAAIMELVEGAVVH VKWPNDIIYDGKKIGGSIAECEGEHLIVGIGINIEVAPPVPDGGRPSYAVNSIASVLG KGAVTPQLLAEAVWRNFFSAVADQGFKRPELIKQFEAAMDKSLVLHKRTPTGRDPMPL HALKLNEWGHLVVGRPDGSEEVLVAEYLF XP_829071.1 MVLTGVKLAEDINYWIRYIDCALSHPLPLPKNKHVFHSDQRLAP EIRDLYDCLYKLYAEESASEYFREPVDALRVGAWNYYSVITEPMSLRTVLDYIVQGGR YSQVEQIMNDVELIWKNCERYNGAESHLAADARRCRAILEKHRERLADEQTAPAAEVD ELIEALESMDDSVLKAVEAYFLREDPTLILSSGDVDIPSLRVKHLRAMKEIVQRAANG QLE XP_829072.1 MLRLCRVSLRVQSHQKKRAQHPNAGTRFGRVYNRGFIRYGFGGF GMSVYTPKKDRRFRVQPLPSLHANSLADDTPLVTTTRTLSPNFRAFALQDGGVFFTHP SHEQVMRVGQNILAEETKATGMTSMDTYVNSRIQSIIAENTVENVALSHWRRRHMWNL VRTHGKLQRHWGVSDATKGARSNIYGRPS XP_829073.1 MSSPMCSVHSNPLGGSRSRLHIAPQIIPAGRQGSRDGTTVRELT SNHYSSGRVTPELQRTYHRFGEVGCTRRHYGRARDPPIDETFRHGIRTEAGEGARGCL QPETGGRMMALMEQQLERAYLSNVRRPLGKVPAAMYDVQVPHSGFGIPSEKSESVKTL LYAGRDSGTVHPVGECKNRGYDWERAGINPMHHRFGWCEQRGEATAGEVMCETKLVTR LLPKVVTDVRKLTKQEVGKGLPPPWDTKYFDDTLESRTIRRNGRGEGDAVRQLLSSWM HHPFPPKRQLVAEEEDASLKGTGSLEGSRKEGLEKDALRSRFLCTYRRGGRYNSADHT RLDDDVRAPHVLYPCHYVQMGVNSSRFAGGCTLENVRDLCKSVGMDLAENQMQEVFNH VAVDGVCGIEQFKNKAVEMGYLS XP_829074.1 MLRRTSLRAIKPYLHAFSPGARASEKGMLYRNSNMNAHARVASI QQAQRTHREGKIFLMLLVPDQVFLSVVLVGVSLVAVLLYFRQQPFSFNTHKQRWILGA INEHEFYQRNREMSNVLAAHREAIEGTRDVIGDCPKVFEPPVGSHYISRSGDFAPRSD XP_829075.1 MVQQQPVVVFDMGSNKTRVGFAGEEAPRVISSTVVGVPRQRGLV GSLMQHYSDDYAGDAACAQEGMLNLSYPVRNRRITSMPEVEHFLQDVFYSRLPLVPSN TMMLWVESVRTSREDRERLCEMMFESFGLPQLGLVAASATTVFSTGRTTGLVVDSGEG CTNFNAVWEGYNLQYATHTSDVAGRVLTDRLLAFLRAKGYPLSTPNDRRVVEDVKHTL CYVAADVQEEVKKMHKKLQKEYYGLPDEQRIYVEESQFMVPELLFNPSAEGDIGCCGR NNAEVNVDASGVGAGGWTDAIAKVVESAPHFTRPHLLKSIVLGGGNTMFPGIEQRLRR EVSALPASAECEANCVAFRDRDLAAWIGGSVVASMPTFPHMCLSRKDYLEKGATVVHE RI XP_829076.1 MSQSRHLNNFLCLKGKLLEGRCLCARGNPECALFSLRRSKRLTT SCICACDERFALTEAVAVEMSLNRKYSSAKDRGPTIVIPNIPKITDIRSRGKLMCEYE KYEAELFKHHLGPPDTTCTMSVKQAPTDFDRRVPGMDEPTAADLLHERRKTRGGARWG LEKRFGAPVFAAGGTGGNTSTKQQAAGRHGSVPYSAADGGNSRGALPAVPSVTPLDVS TNNPPNQQGTAGNNRDNTSTYSPLSIRAPEEDPEDVLSSSARRRGTKGQRVSLQVPRR PPQGADPTVKIEEAEGKEPLGRTQEGKSKGGRRFRSLPRRAPLRVQSPLFDTEFLAPG GCNWTVCTGQDYSSWNAEHCAEVLRVLLKPPPEYGESFKVDMSHTDLRWMWNGRMPKN FTSLQSWRVEEVKGRVVPVVNSPRSALVMLRCGITVPDLLVHEIGDDAPLLPTDPEDR TMVKSIRMKQHKASVISILEQVRNEYCSLCCAVSLPDLVEAFRRKRQVNIEVAKSAMI RDALEKQKRLFECAERKLEVEANRAKNLQERERLIELRNERLQEMMMEKVRRKREEDL IAQQNAAARIKLQQERNAEREKEYQRELQERLERAEERTARQQAARDHLLEQRRIRRK KNAEERQARIERMAEMQEQQSEILRRKYEERDAKVQLVQEERERKQREAQELLAARAA KSQELREQARQRALLREEEVRQAALGQQQEVENRLRQLTKQREEEVAERAKKEAEKRE RLKGVLTLTAEKQISLKEVSLKKQEKFKERHDEIQRHQAEEIMLKRERERDLMEAKAF AVLQKKRVAEFNKVEVVLQLIAKREATEALETQREMLLRENFKNHATLTQHRKSLKED IARKKSLQG XP_829077.1 MTDMELVEALNATEVPIARAVFLMISAVQDADAGDNKTWSCCHP DRSPAASPGSTTGWRSLRESPSGPAVIEVCYNDGADSFLTVKWVPMDKTHLVMITQRS DRERSHQPLNTSFCIEDEPLASLVLGQQTGSGASAAAMWTAESLGSALHRLRCLLQSA IGNTGRGSEQNEKFSAAQDSDCGRELPSPRETQPRPLEFHCGGPAPPPSPPVPSQGSL LFAGVVPRPPGARYGEADLIPGGGGFGMGGGMMLGREAFQGSHIVPARYNPMFPGDVP PRVRPPGRYGSTFPGEPDPDNFLPPGGPAFSSSFGPGRMNGFGGHFYR XP_829078.1 MVSYASALTKDGRKRAPRPLFGVNIVKPFPWVRVVLGLGAVAFL TRREYNARYQVSPRDQFLRKVVVVPYGVLGMQMTLQGGLVRAGSEPDESTFIVDPANL RHIFTTAVGATGASGAIYNMLGLRGPFPDEVVQAISRVCDTKFYKYGERANVIHVVDP DFREGAWSEREAAVELSRAYRNVFHEFVRGDGDVLRVPPLSSGVLSGSLYNQLPIITQ SAVAMAFEQLHTFDREYLLRRDKQVELCVFMNREWDMYQNVFKNCTQPSKL XP_829079.1 MERRRLLHDGRWFTPPVLYGSARRGLKTLDVREYRPLAMPIEFR FYQRYANHPNRQSGIQFLTHYNTHQRFRVNKDYIDYMHWGKEQGQARLPHRHQRVAFD FNDQLHPTRIGTPEDGGNANHCYPEDGEDGSYAWFASQDPTFGHHPDLSSSFDPNYRV FSHPEHWNKMFTKRRPGEGDIDLSVLPSRSLLGPLMAHSDTKGIPYFKVDNRGHSNGR VPGVNAPFFGEFDQKMMQAMSRPLNANRTITGNDGRFSKTIMINEPQCNQALSAKTAS ELSKEIDKATNAVYSKLSVLEAAQSGLTDYFCGGLNFEIVGFELHMAAMLRERAAAIL ERSGAGGLPSASSATLSSSSTTRRCAMLLEKADEREVNKLLRDASRYEDRVDDALRQH ASLIWRVYTAPRPLMTLTNGKCRGTGCGLSLFAKYCALKDSSEFIFDGPNVGITPYGG MTRLLARPETSLKYPGLAEFVVLTGASLFAGDALRLGWTDLFTTIPDMTYHIKEWFDT TEHMHNDAVAWQLGHLLETCFKMKESHSSAMERAAITPTRARWVEDAFADQPSVNDIM RTLTEIEQLPFQSTHNTSDESHITPYTLGSVAAGVQRLGEHRLRYTLSPWDITPPEDS VVLKHTSEMFRAYVLERRGATDVVTHRDAERAAAWSQQRQREYDAYCSLKEAPHPRHV YARLEGCEGKIVSFEFVFEISCRRSGPQGTDEVLQATTISRLKSRILDALGMPRDRNI DLGWYLPTLDTCPIHSDEELMQVLHTDPGIEDPKEKLRYPPIYFIVKRCTLYFSEWAY AVKHQLLLQSPFALRAAFGLLQEVRGDGAAENVMPLAETLGTEFKYFTRLMRRPDFYR VGVHTDKSVEKWEEIKEERRHNIHHTHRPTRPLPDFEEVFERDVEIDGHRFVLRPRWN PRTLQDVDDSDILRLRAPLTYDMDSTAALHVPTHCGKASRLAGMLQDAGGVQVLDGLG EVDDKGEPKVPPLQSNASVPTNVSFYEMARHPWEDRASSWRRDGFTEGSLEYFESQYR SAERAVYDEEGRGVRNYWPSRDAVEGIAQEEENDAQLLQERLFNTLETAGTSVEPWAR NLRLNATTGKFGYKTEIATQEEKIYDDEYYRWFIQPGRHPNPSGLTLNGRKGQFEEGH SRDEELERMWRKVVADGNNDFAATTSGMPVYDGDAKNAEDSYAAGDDVDVVTTDANVP VDGDDSS XP_829080.1 MVAVRAKVGSRGFTRQRQLAKGKKVFKIDCSIPASDGIFSDDIL SNFQQYFQDNVKLNGRKGKLTSKVRVNMRENTLSITTTMAYRKKYFKYLTKKFLKKKD LRDWIRILAKGKDTYQLKYFNIQDQEEA XP_829081.1 MLRRTVCVQHYRAKLELDRIRSMLRGRARLERKVGLKRLFFLMR TQTRYRVEQQAHWERAIVRKNVDSAAREHGTGWQHLRNELGRQNVMLLPRSQQLLAQY EPLAFRAVVELCASRIPPPPPPVVASVPEESYTLWPPASHDNSECASTDGSDAPHGQQ QSLSHPAARVELRCGVERVLRRGPSGLGNNVNELIDAWKEFDVSPLRKGEVNK XP_829082.1 MHLLGDIVASNSLSSNASKDNEPCLITLCIYCPTLSGGKEERAI DNVIFFYPARTHPDQQMNQVGFCIGMTCLMERFIPLSSRAKSPVEEIRLSHSIITLCN PFADLWIAVETTPAYNASVVLPLVHLGFDAFVLRYGMGSVSALVNNPREVASGEDCGV ARAALRTHFEKFATLLQTSVLVVDPDANHHTVPKSGDLQPPSQAESRRSSLSSSIISI DAMGSSRSTLHNVQRWKRHLMTLAATGGLLTFPAVMRATPSDVQFMCHSIVLRHLSFL SSSIKRRHTSCWGKECHGIPNHTDSEPAVEGAEERLLWTSCRYAVFIGKTLKVVVSNA PQDMISQLICLFLMDPELPSFTIFVGEVPFYCCVYRAESLLTVVVCDEGLYDTMYTPL LSACKKLTDCVADCLSSGVCAPSRAPGSNDGGDAHRVKGHQNTLSPAAGHSSSNATPC FVDPLSGAGKLPNTKSTFQFTVWCDGVLVGSSLQDYFRSVQFSLSAPMRKFIKCVRAE RRGSHDFGEHRAIECAGARTSADTNGVTSDTSITLRGANELWVLLPSYTWLCVTRARN HVGGVVFYNAPPLSSCFKAVETIYENVSVTL XP_829083.1 MAQMFPSVPGYDGPFSLKDFLHNYIGLQLRWSTPQENSTTLPPS NSNVVVVPPTGNFHVVVYVSRSAPPPQRSVRSVAVLSSCSNKMDGDHPQPSVPTHFHG RPLTSSVLKHPFWDALFSQIGPTAVSFIVLWAPIVVQFEASGGGLQVLGPPLKRSASC AVKRQPGAGWWEGKLKVSRTETLTQNDPHCFCIEGTSSPVALYRLNVPRLHLVEQSPL RSTADARHAVTLILEQLWIEHHKSSCSPSSDRDISQRLCGVATGSATNCCGDSCVVLA QARNQVNAVFPYTLLPVHDDAVSGNGTVKEYLIHVLHCALGSVCRMNIRGAAIKHTEF LEKRGKSVTSISQKAQTAGQENKMRAIPVGELTVPEPVVASYLETLFNMMWWRVPPRF VGGAVTADRVKFWGEEGTVLTRLREVTVDWLCCGRHEVFLLSHFLDGVPVSRIPWLRG FYTKEPARKRRSMIQQRVFLQLVLFLYQCVVPFLIRRSFHVACTSKSPYIFFFIPRAV WVRLTRREMRRVCIRRVKRMRSDTLSDPQMRGHAGLPPMALERVTSEGLMQLIGPSSQ PVGDDSGGVSDVCKLRDSSSPLLYSDVRFLVDGSKLRPIARPRFGWQRSLLKAADGVG SSTLASALAKAGKYLATDGKAMWPSPNSAVLRDALRCLDAGVEERRITTGARQRAIGS HNDEYIEVRSFIQCARQFCTIPTLVQGETSAALLADATAVTMVRGDAARCYDHLPQEA VVNIMRGLVTHETYYSLKLTVVTLTTVKNVSKKTRSSHAESQLSSMKETNGSTVKHRK LQLSKRVKLVPGRYVQEGVLYGIARGCIAYEEQSVGSDSLVSGEEIRSVLQKHLQSHL VMLNGKMYIQRMGINQGSAVAMLLCDQLLERVDAALSSILSEHEEPALLLRRVDDVLV VTLSRAAASRCEDALRSGWSEIGFFCQEEKLRRVTCGQPVRWCGLLWDPVTLEFAVDW ARLAKMMPYLAVRPRTGCEPLLSSLRFIRILRLRTPMTALCRQINSKSRVVQTLYEIG LLWSRFFLDKLKANAAFFRPHVRTILQPLALATATLRRLVRKHSFDLQRLGSFCDVTD VEVRLCISAALYHTLHQRLAFMIGRMSSVGKKKFLILMTAVLKRKMGELGSRINRNNQ MESSLPSAADLLLVEGGDGVVSRGLAAVRFRPVETVRFQGCATRSA XP_829084.1 MKSKDVEDAGCVGQWIDNRKRASDDADSFVKSAMTISQSASSIG ASAQTLRNLDRGRKRSESSKKSAGKKTSSAPSLLPQIKVKSAKQGKATGRPPISASNP SGGARKGSLKGKATGSISLTSQSTGGGSVGKRGKSPKSRGGAKGGKKRPSSIGRPSSR GSKGSSRRGSRLSRGSGRTSTKGKGGRNSRGKKGKKSKRSKSFAGADKITYAISSLTL AEEDQRSNIWAMEETERDALLPDYHLLVINMLRAQVVEVNARQQSLNDAFMSRQGNGL NFLLGDDPAAALELLRTQIRLEMQGEVEKLASANAVLSGLLEEKKAELEEKTNEAQSL QDKVNRRLARFEVDCEALRTEVQSALKTERLDIDRMKRELIRRLDMATAALRTPKYDT ALRSMQALVQSVQDEIQEHHDNMSKLIVSIEAQDTFVRNKSDTMHSNFPVRYREELRK LDNDKLLNLLDILSFHDSVVETVGKTLYVISSTGYATNVF XP_829085.1 MNPSGPSGHHEERLRSSAPVYTNVRREARRMQREAMEVNARIRE IEARGQMRHKQERVMLRAKVDNIVEGLVGGTEGIVPPSVLDPPIERIPEPCFLRPTEK KQTCTGSGMAPVKLISLASSAGRMPDDVRSGRYPGGSPSKGVTPRNRVDASESKAGEP PRGFPVPFESSGFRCPIQWAEMSLHEVLITALGPRSERERRGTDVPPNRLLVSVASHL LNEVLSRDTRYATLWPLLRDVVFKGLFAPTNFKQSPYMGGREPPSYPSFVPAADYFGL RLWSDEYLLSRRAELHLSDRVTDLNSALNSRGDIFQFAVKQIEKASKRSAFRAWRAVV QRNRILRDNVVAYLKRVRQRMVVEGSFLRWRRLVVQTKLEELRVSLKESNVRFSISES NSKETIRELEERLEEERKGAEVMKLKIESLSMQLVEKQMLDLRTLDSTLRNYRAMCGD AKKESKRWERLAKTFSCRSGCPVFPASMKRHAHSLRSIETSCVGQGTQAYERVVDARC SLESLLVAWINSLMKDQNDHSWKAVRKIELGSDAGDFSVSALCKLVRTLDSIYNTRGA EHVMCGSAASTNPSRATSLTKCIELLREGNESSTVVFQELVQLIHKQTVDGLFPPLLS HCSYLDTFYTPSTFCQKPHPTAMLWVLATLFVGYARLVAGVPSFASHDIEQRLRCQSE ASIGCLALGPVPEEGEVNKQPEGRSRSQSIVSSHILTTNTVIKKSARHSRPRSTASRK VAEEAADEKDQACEKAIVDTASDVEVYLNCECGTEEEGGYSSDGELTTSETATTHETD GLEKSTSGATEKMKHTPGSSSKPQRPARRSQLLPSTSKLLVPVGQREFVKFHRDEGKR QRMWISVCRIVTSLVVRFRILDVPTSSAVPPSFASPMSFSSSLPRLVSGRHSLFFNSG RESRRSQSGLFPVASSPPNPATKPKRGASQAHSTLRRWLDKARKAE XP_829086.1 MPRGGTDYLEALSVPKHDVTGVKGEGVPLRKRLQPVQVTGYDST AKIGRSTRRQELRAALLEEELRGGGLCGGCRRVALCVSVFALLAVLAVAAMMYFPQGR EILLPLLSQLRGYLGV XP_829087.1 MFTRPLFNFSPRHLCLFLSAHSSPPSLREPMSLREDWGRLVDEV CNMDSTEPLPEEFVPSEFLPGGCELPADLLHYLRSGANAFEAETADLFQPQTSTEEGF HAAPIVSRYGTAGTLRNLVGDRGENPRLPRHEGPNFYEAYRAHAALNGEGGGRNNLTS SASAAAAAGSAGANNILRSIGNGIRINPGFMVPEYHSQRNRKLNFVPLILISPSVSSV LQVINIKDFLEDGVYVEPSSRFLNPVTGDMNVEDAPKHITVKPGSFLDADKYRVAYRE FRVVNGPKQVKNWNHVCACIVDGNEWQFNRWFPDEVPSLCVSRLFQRVCGFLPYFEED KPPKALQEWHVTPLKLTRRVVKSHTHIRQASAFWEHLYLFLDTHPLFKLFTVPPDQP XP_829088.1 MLRRVGRTTCSPAACQLRHTTILSVRKGDTVVLLGDRQVTLGER IVAKSSACKLRRINDDVVIGFAGSTADAISLMEKLENKIGEFPNQLTRAAVELAKEWR TDRALRRLEASLIVCSAEETLEIDGQGNVITPEADGIVAIGSGGTFAKAAARALIDVD GYDAEKIARKAMRIATDIDVFSNEHWDVEVLKRKSEKQEGSEASAKTSE XP_829089.1 MVEKHMYDGWSYERLRQQRNRAHFLLEEPYRFITVLLHHGKLYA IDSPCYHGSGPLGEGQIVDLEDMDTVVTSGDGKLGSSRVPCLRCPWHSYLISIETGEL VEVLSVEGTKTGRENQADNDVAEEMPSYPLQHRFGTCGGATHVSRKGVVQRTHQVSLD EATGILTIEVEDEEVMRRRPLPSDKVAGDIKNGALTMQIFDIKARGLE XP_829090.1 MCDEFFGDLLEEELENLGKAAFVVGVSGFLLKQAIGARSFLRGD PVQNSIVAHLPQGYDRSIIAARVSQPLTKSLMIDALAIGAIKERLRRATTPGDKVAIF SELFNTTLAGILSAAYIHSLTITLHVMRHTMSLLVFVLGKQEASSGTRRANTSLLSKF RSWWHQGTQNFMLQTLLENMTQQMEASPFSLMMDDDNMKTEDVKQGFCVDALLRLAVP RVVDTALHVVNTTLEGRDSQLFNLTGSVTEGEMRDLLQTLCAKFVSRATLSDWLTPPS EELKDSDGGVLNTSPPPQGMGDGESGGESPGGRDKAMSNGDSLMSNDEQSEDRLGLGG GGGGGMPLQGVFPPLSANFFPMANGAAHAEEMHTAHRNRLIHEKLRRERAAGFFREIV HSVSLSELCIAYTEELLGAAVAVTTDFSSLRPEGDSTVAVRVPQMLPLLEKQRLDMFD CDFQVQPYVRLLCEETIRVTCRDL XP_829091.1 MDSKQRIWDSLRNDARQADNLIERKLAALEDIARRVDDETSGVS FGAKGTAPTTGFNQYNNPSSSSSTTFGNTAVVHFPSESHVRSVQREFEHSQSEVEVVL QRFETLLETMAETARELPLESAAMTHMERFQQLAVEKRRTLFRVAADFKRRCERVELL PNISRELDVHREDVGTQLLLKEQESLRHTQRMLNNIIDRGEQAHLQLREQRDTFSSVS DRLLEITQRVPFVKNVLNRIDSRRRREAVIVGALIGLCMTIFVLFLF XP_829092.1 MTSRIEKSVRGKGAVKLRGFLKSKEQLFATKLSSPRVECRAGNE EKGAMGEKDVTGGRHVHGVVAPHRTLDTGTASVPLPANLPPDGKGKKLLNPLRKKLLR DLSADEGGSLQHLPAKPTGHKELGAIRIATADVFPAGEAPHRPAALSSAVLSSPMQGL KTAAGKLNPPVGIGRKKRQQKLQKAQLASTVRVERLRSDGTSRCGKKGSVKVPSECSS LNSSITCTVNTNDCAELDRESGVDDIDDPNDDNAQELSANELGDELAPYDEVDEEEAV QRYMGSHRASTSPKKTEDSVSLNRCLSDPSLSNTLCDARAAPLDRPNSAIGSCAAPED LQRSLDEKMEESSRRPSTAGQLGEGTSSFGTQKASELFNDSLCRSLSLYDAFFLNEDG TTWLVDDRVLARCLNYIGLMDYEHELHGLRRTTGNNLSASAWAQLSSPVKRNVMPSSV ASAAPPLRCTTSPNFVNSSNSFSVGQSKLCKAPESRGGRPDFPTLFLDIDETMRWLSG QEYVIGTILACCTRDSDASEDGNVQPYLSPAVHPIKQIATIVRECYPHWGSTVRFADA WEKQVVLVLGTSSPSHQLLFPFPEEGIFFSSDMEGGNIARVERMPESTLLHLQQYPQQ PNGIGFSLWMEPEPGCGQRLWFRFAFAGVKPHTSVTLRLVNIQPTTKLYGRNGMRPVW RAGNCLRQWVPVAQCSYRTTNDEADGELTFVITPRTNDVIHVAFCVPYTYADLLCHIT HWHNLVKNSTCDIWFEERVLCYTQDGRKLHMLIITSSSTKTNQRHRSKRKVEGSNSAN NGACGPTACNANSNGGGGNPSRKPIVGPYSQFEKGKKVVLVSGRVHPGETTASHGVHG AISFLLSRDPLAAKLRDNFIFYIVPMLNPDGVSRGHTRLDQNGFNLNRCYNRPIPQTQ PTVSALRKVFDHLRQVFDDRFFMYLDFHSHVSQSSCFAFGNCLPATVQHWNMLFPKII SLHAKELFGYSICRFGRGHMLSKEGSSRVLFGAGLIHSYTIELTYFTNNRLYIDGLLA GGTSNIMDGESWEDRYTHTQIVPQRSPVAHNASTVTPLNEQGTAVGAVKSSKCVRSNS QQKRLKKRDVTKIPSDCGNGKPELLRQIRVPCILAQSAEVGRSCMLSLLDYCSVDNYI SPQFAAYGGMERMLRDVKRHMGSPTAAKPGSCRLQFIYKQQQQLQQ XP_829093.1 MWFLYAYIGGGVLRQEGIPLFPSSYTLSLFFVFSSVRLFKFFPF LSFFSFLLQQLTTTFFHFSPSSFYPSPVIPFLCSFTSVCFTFCVIFLFFVFVLDCSCF FVPTLLHSSLITFFSFSFPFIFHVSFYHISVSVVAAPLGSKQSGGDYFSCLFVVRATP YTLPKGEKTVIVGVGQCGRKRRKVETPEVRRNSDRYIYIYIFGGGEELR XP_829094.1 MSHPQQQQRVAEAAVRNDQGEQQLTGVKPGEKQASRQAEGTEAS SSESNCSSESSEEIVPFNSEEEAVAMRAVGLELFNKHNYEEALDVQYRIVRYFENKYG ATYPECGIYFLDYGLSQLRMIQSASSMEAALDPLDHDALEACFTNLDVARVCFQKQER SRGENDVEVQLRLAEVHNAIAQVQVEREAYEEALKEYESELFIYRYLEQEIPASLPYG RLAAVLYEIADCYMKEGDFEGAEERFIKAIEEIEKFPEGKVDPKLVEQMRELLEDARE MKDGRFKEIQEFIQEQFAVNETERLPTAHEFYGDVDEQQHPFVSRLPNDGECSALSMP QSNTNPVQWSEHSNSMSLSLFPSQVNGRSSEASNSQPVQHVVARRKPKPLQKQKALEV ESSGNVSTGSDMKRFRAES XP_829095.1 MSIPEKCVRDALSLQQPNTLFGNWDYYNIIAMPRSGIGWTTPED PSLLPVLILFVPTRQGRRLPGRIARCPWWVCACIATHSSRIEINL XP_829096.1 MIHLPTSTTPTGDGTTSWFTLTDSHCHLKLDINTRRSTSPASSG HVPFVCGIKRAVICGTHPDIDWGLIEDVATSPPTLEVQSASESEESWSGAIIPGFGIH PWFVPYAEEGKEGTACVTNGKEEREEGSTSACRCCCHANATSTNVVRRSPRELLDLLE RALERFPQAIVGEIGLDKLRGPPEPVQLELFLGQMKLAARYGRPVSVHCVRSFGTMLS ALQQLAFEDTPPSIVLHGFTGSLDFVRSAIKIQKRGPFVIGTGSRSKPKARPLRIFFG VGAATSFRVKGFVDKVLPFLLKEGRALLETDAHHIFARSNDTTPTFVPSPGRSPRVPS ASGGGVERHEQRRCVQRLEVLGCEQLGQLLWLASDGDIRVARDIVEGCERTCKDVFSF AGVLPGV XP_829097.1 MFFWGSYNFFEPRQADPPNRPPLRYPVYVPPSLSMMADAGIAEP EKILKTEQDDIQSTLQHVDNHRIVVKLIGCGQKHLVGLMGLAPKSSMGSALPRREGAV TSDIGSNVTYALYGMGSNHSGQLGHRLPTYSTTLVKLQFEELLPPGVTVSSIACGDKY TVVSTSDGLAFAAGDNSFSQLGTVGKGLGFAPVRGIHNIKRVFAGGNATFALDGNGQL FSWGEAQYGHLCHGDNGQRLDVKTLKTVNTNVSVPTRIEWFVRRHVTIVDVSVSRSHV VCRSGDEVYTCGDGTYGKLCSGSFESSYLPVRVKFPERTHPERVCAIAAGDDHTLILR ESSAVGAVVYYFGKAKNGDGQLIPDIISLPSNVQNIFAGRGTFSAATTSDGLLYVWGK QVYTGLSNGTSGKASRSYPCSVVALESHNITSVAAGATFVVATGGERRACEGIANEGE RWDIVIPHDDRTESGYGDSFSSRYESAVQAFLTQYLGPSVAGAYISGIPEAPLATNPN ANRFKPIGAHSLTVGQKVRLWMTDVYALASITKVFGRKEQSNHVDISGKTNEEERDHQ QHLQIKNEEEEKENLGLSEVSGSADAPGYRFCIEWQRDDWRDEEVTLYSDDETLEETN PNRWQPLWFLYDETAGNYVVGK XP_829098.1 MTVLSRVLLGAAVGSVSAAFNIAWCDSSLQSGSVLSVNSLLPVD EIIRADGKNASLKSWGVPWVEDWDCLEAKDGAAGKSSGHKRQLILIRHGQYQNEKSSD DRQRTLTQLGEEQARLTGRYLWQAFQQKRLVKELGSEPALGVDNFMGGLLRFHEPKEI YVSDMTRAQQTVKLITEAFPYHIRARVKTDPILRERYPCDPQPPHKHRSAAHSDMLAV EEVFKKYFHRPLKDESSVEVIVGHANVIRYLVCRALQLPPEAWLRISLPHCSITSLVI GANGHVSLSSLGSAGHLPVDMVTTHNVP XP_829099.1 MDVGYYVPPRCTRLVSSVMDAFVGASERQFKEIDAACKIQSLYR MWRERCAYRRIRNAALNVQRLYRGHLDRRRVLETRALNAALHEGSVYEYYASLIQACF RGYYVRRYVDNFYARRSYVQMTVKASDAVREAAEVQLKEQQEREAAEKIRRRDLRYKR ATEKLHYITSTLNCGGIYKRPTDISSATTIYGTCVEDDIRNGSKAVRRPQLETTVRNR LKMASVSARKERGEWGRRPPASQDLQQAGGDTGEKTDSMMYFTVTSGAWNRSGVKGCS LPPIRGPDEVDAVKCNVVSHCCIEDFLSTYDEEKLKIERSVDKKEIELAHKKQRFTVS ASASL XP_829100.1 MATTGYTLTERVEGIAFGKSALPAPPPPEKFLDIFSTLEDQFRD TCKGVGFLFGADSVTSNSNSVLVSNGWPPYARIASSFAAAAAHSELGKVLRWFAKAVV GLFVNGVKSGVGFPQQWDEYVRQQADLMEYRFELQGFGVLFTYILCQLAAVESAVSEG GVSGEYSPSEGAIVADVHLPDLGAAACYPETKQSGKLRPADGCKEAACASRVNQLVPR KRDKLTTAVGNASGPLSPLQPPARHSSATVSRTLERKTAMPPAVVSLGDGNVNGSLIL GPSKSLLSPARGHMGIDPLYPQQLRQRSRPRSDTPPGCVPQPKQGGPTPVSRSVPRLS YSALQVRSCSPRDDVGDSGLYVGAPPPTSNDVSGLDDPSSHVFRRRREANKLLGDGDC GEQNGIRFSIGKASRVPPTTSLSPIEVQERMRASDLAKQYGVKSITNYAERDVENFKE QMKALRKLWEE XP_829101.1 MPAYTGLNGRPIPPGAKRRRHITSALFKTPLLLVPAIFMMILLY YSMRSGRGHRRGLPVPFYDSQETTTSLDDWKKDRSSSGIPGLPPMSDEGRSVIIVPCT ASGSINSKLDGQQQVTTEVQFYRTRCQPVVVGKNDERTVKEKGGCSDDVRPIVSIIPQ GSEKLYFPKEVLLEEMEEEEQGPQDTVGRFPQRMEKVLSEDGTNGFWLSTSSSGPPIM RCASRALVEPFFSAPLNPQPNWIWGSSGFVVSVLLYTIARDVGKGVVEGSFTFRSREK IHFYGLPLRKKPSAGWIEADDDELDDEAVTGGTLPSGTVPLVYAFGERSVVGILWLNG SPSHAYTTDTADSKSSGMREQTVHFASATGATRVFLLPGPTLEDVLLQYYTLTGFPVF PPLFALGYHHHSVRYRHADDILHVNHMSLSLRLPIDTVGMNLGAVAADDIFAWPRRRI ADSLEVQTRLWRDGGHIIVLAVTPTVVPSSGSAAYIEGKEKGYFIFSPLKEGAAFVHL VSGIAKVWIDFLNPRARQWYSEMMEFTRFVGSTNLTHFSLVDNEPMLPRVLGGTDGVT LPSSALHYRGVRHRQVRNVYGMLHSMAAYDGQLSRTNNEYRPFVVTQSYFAGSQRYAA VRLRYRHRRDNDLTLSWARLRETVELCILHSISGLPFVGPDINVPVPNSFWGKKNFDE LQVRWYQLSAFLPLFRSDMDVRPRHATILEFPKRTIFRIREAVLFRYTLLPYYYTLFW RSHLYGEPILRPVFLPYEKRGPPPEKGVAMSKESFFVGPDLFVAPVLSAVGEETAWRK EPHHRIRLPPNDLYYDYWTGALQYQGGLVKEPACIRFDKNPPFAEAKHVAPLFLRVGS ILPTFTQTRTMRSSHDPANYTLTIALPQLTSELLWASEPVNSSDGRLLAEGELFVDGG DNYVDYNNHSTIRSDFCALRLECRLFPHSYRMEVRLKATVNSSCGKAVEALKANLVEL DDPNVYVIDRLRLLFASPSESRFLVRGLPAASENGRQEQMQWKVSYGEIDDNVVEVHN FFVQLSDSLSNESTTHVIAAFDLRL XP_829102.1 MTELLSAGEVQHPCGWAFAFPFVKRVPPAKLVRLLGTATLLDRI RENDPTLRSVRLDYELLPREEIMQALLGNNTISSVVIARVIPRNSTGTDFARFLESLY HLPLRSVQVQDGEKLPSSYLNHLLYLVEYCSGVGKACKWTDGKCYAGADLNTGNTTTE INDAEEFNGNNSREDVDSEVLDYKRGAVLEFVDFSGCRLTVRQAQWLGDILFHRRCTL RHVNACECRLGNGGVRALLRRVNTSPRLKAASIAEGSVVPLDMIELRWNGVTEDESIR NFVDVVERSPDCWTCMTVYGNYISPHMYTRCEAQVVKAKRLMEEERLKRREIPTNVEV AQPDGRLSSEKGTSCSPRRYFVDLLTSVAGEVDFVCPSLRAVSSLLHEEP XP_829103.1 MEGSMSGKGFVSTRLLQAVPAGGAVPAPRSIPSFKPVPFKREKF LSIKTRTAETFFGTRDRLFRRSTAIVEQMSDMRRKENLSSSVSGSGGNVLNGCEGIYI GAPLTPQMTDEINAWNRNLQGLEGMQGFVLTDELVYEAVSRNIRMGAFPHALNWLEKA AREKRLPVPTLVLKDLRMFFDFVLSVLPASNATFSTAVDMLLEETASTMLPEVSTDGS RGGENEDEVTCGEVCENSPIRLASTSPFALHIFRELFAPVWGCLLRLGLLPTVASAQK EWDRLQGNKVSDGVLSGLIERIDFDGCAPSNRESSGTNVGKVPAARQAYMELLLSLAQ CAAEAKEVYVLLHLQSTFCALFAEPRDEGRSHPSVSSDDSRLQRWRLRRNVWCNSDDG REYFHRFANDFLSSVYYGRQLYQSEVSLNNCVRHLSSTVPGVQGTEELGDMRNDGRDV GGADDEQRQPNNPDADEDGKSRLFTVEEAVENVLWEVMSHEASLFPDALAYAGLLLRR TVTDTTLPTSATNPRFSRAELEWMQLHSDMCRRSHGGEDVTAELLNLIRPGSTVTRGL ALNATCLEDKQCLLGLVVETVITIAGVSRLAGPQRGDLIIKATTQLLLCMREVECQGG QRLMLPQYSVGCVMVSLVPLLRTTLESAFKSLKQTECCEGHIKLSFSRRDVLRIVRGL MPSILEQRVVFGNVYSGVITVLTLAEMWDEVMQVLKHLDQPHVSDGEDGDHQGISSLL VDQRVWAWLFRRARDAGQVEICLFLRSRREKLFY XP_829104.1 MLRFTHRALTATPERFSVLGTTHPKPKRTGFGRNNKMRSKPSDN VAWYDKGPVEWLPRPVRLTYDHLDQLQQWMMRATLDGRTEEFNRIRDLHREWSQHPLM PVLGDVEPKFPLNLFKQNHRAKKRFLVRWHKANTPANWLWMPRGPTVVTPLHRTNPTQ YPENWKQMVQRKSGTGTPS XP_829105.1 MSMPCNIRDALTLQFCWVNVLVRRRNGHYTSFARVRAALFASMH ACFIVTLLFYFVFEPFSWVLAFLIQLILFIISVVHTALISEYEERLNNAMELERQLNP LIIAELTFRCFSLFHLFLLHWWFAFAVGLLEPLHNYWSYRRAHFLVDAATTWKQLGSL RTDARLRLMIQGLLLILTFAYLTLALLPN XP_829106.1 MSAPMARRDYFSMGSRCCIACGQWIPSEHDMPRSGSAAAGGLQC RRCNVVQPERPMPDFEKASEVTTAALLFTKAEIDAAWKSVKHSLEEARREDVGVAQTD NRVEEEAFCEKCGVPRKCKVFARQIRSADEGQTVFYQCTSCDSEWQLNS XP_829107.1 MRNDKSMPHTANPSPIPPSNGGTICSDHKSLELFNAAHPNPTGQ QIEVAPIAFLTTNRVVSALKKAIGRLELLNLLDTTAPDDGYNASPFVTEQRTTNSLFA KEAKSTTADTVPRKAALIAGLGGETSHREIREINHALQVAATKQRTVRPTVGDLVQEQ QALEQKYGELLQKVGRVNPSRSGPTLDPSCFASVQDLDKLALIEELKQTSKRLREHNK ALFTRLKDNPNDSDNWKKVGNERLELIELLKSVIKELTVGYASGRTQSARTGLLARRY SRGPRLSGTNDSSGTEARETTLSTAMNRFGSSVQLKRAGRSPSAARIPLTSTFEKFAK LVSDEQSAQLWASELVLKEKELNQNVKQLQQELKTQKLLREKEVTELKLRVAELRQKL RQEKKLTKQRGDMVRAAAEAAHEAMQRAADDKAHIVLDGMQANRATDVMEERAHAAFK EHLLERTAAMDDLAMQWDRKNQNEVKRAEARKIDLEQMRQQCAERLEKARKDKEVELE KKAERDAEKEKLEAAKVAEELRRNTVYEAVSKIQSAIRAMFTRKAVAVLRKKASKHKR KT XP_829108.1 MRQASAGERRRLKPRRKRSTTSVPCRKPLSERETAELFLSDVAA AGTDGVGPSSSVDQRTLPADARKKVKKAPVTVTKRGKEKKGAKLNVKVALEEVVAASG PGGITLPLEEGEDDPSVSVEVLQQTAAFLAALTPSRSHRFWACRRVARGEAEGEVDAA LEVSSTDSDITDGGTDDSNEEESNDLEDARDSGDCKECPTGSMAGRVTVSKHATKKPN EEAKVTNKNDGGLLFKSNPDIWDD XP_829109.1 MHATVTATQHILQSVTTHRYELVAVLISGIVAYFATVRFVQGAR IKLIQRRLCGIDINKTTPEQRKKIASKPYDELDEGEKRLVVPESFGILAGAVYLSAVL ITTSVAFGGVSRQLDGSVTSIAVMLLLGFVDDVLDLRWRYKILLSAIGTIPLVMTFKG SLEVIVPRLLAPYLGSPTLYLGVFYLVGLSLLCIFCTNSINILAGVNGVEVGQSIVIA VASIVHCIMQMRLESDPSYGGPATSGQLLAIALLVPFVGVSAALWRFNSYPASIFVGD SYTYFAGTVLSVAGVTGVYSKTLMLFFVPQLVNFAISLPQLLRIVPCPRHRVPRWDMK RDVMQNSGNYTLLNAILLLRGDMHERDLTRAALKLQIVSCIVAMFARYFLASLLYDHV Y XP_829110.1 MSAGSAASHPVASHAGNSRSGSFTMYHFASFAAMEVTVTIVPRF TMPRISTAFGGRYGPFTPNFPTDVPLWLALHIRQTDTCTIQPPPYVALPHLRQVLERE KENETTFEALPFYFFEVVKKLCEVSAAAEDVPHVAEVVRLVDEVRAVRRRKLQQSMSV FEAEGSPVFIPGIKLSNIVNHELQYLRTSFAIVLQQSADMKQRREQDIGGPIESVPTP IRTSGTALSYTPLSTAAAAEGRLLDYAATRSSDTHDSLSTSAAAEQAIFTPENTFTTD GTPSVADATETGTASMSEEISGPPVKKRRTLRQT XP_829111.1 MKTHRAAKHVTAHRILLERLSLQPGEVLRGIVEVWVDAPLGYSV LEVVLLGQEFTRLKSSFGKILGKDAAKATYYRQRVVVAGCPGENSQVSVVSEGGGNPE GANSDEWSDFNRSPSVSDVELAGIQSSGLMPGTYTFPFKVRLPSALPPTHEYHIRGSA SRLKYTVTSTLLSRGRLLSTTDAPFSVKALPLDESRWMNAYLKTVPMFDGNVLLVSDA ASGTEEDRWASLSGLQGTNCICMNHNMPLYAPNECSGSSDSVTDAGGLLTTTPFGAPH PASMDPLRSQLVKPSVNNWLDGASGVNTNEDATEDQKSGHGTEDALQPRASANESEQG MSVFQQADSCSGNKRGTHRGGDDSKGGGQAAAPGASDAQNNVDAAACLNQLVQRVRQD ARWEHRLEVPIEGLFGRGSVTITLSFGRVVFTGGTTVRFHGLVDNERGLSNVLMINFS LVTHYQMNSLIETRHTRNVISECSLRQVVKRGEAARIPLCTLEVPQNTSPTAVTSNCS CRTFLDVEVFSMHGPGTRSGSARTELLVVDSLARDEKSSDRKGRWSNYFQCYDPLRGQ SRIDIVSSYVLSGKINVIKKDACNGNGELLQPPEKPSRYCKTWKHTFRPQLDFVNATY YPDESSGHNSRGINPLQSLYYTEGSLTE XP_829112.1 MESFSPFRVVGSVCGELPVSSTMLYGAPQLMVATGRTFQLFRGK ELTMLRGGPHFEKRVRAVTQAGKYRFVAEGPRIHAFVHHKPLWNCMHNDVTQSRVDHI LAVDDILFCVGNDKRVVVREIKSGNMLTEFLVDGSEETRAMITPAGYNNKLLLATAQG SLQLYNFKSGVCLWREKREPGAQITALAASNFKDIIAYGTSNGRVVVLNMATNEDIMS FDQEERGAVTALAFRTDKDVLVAGSSSGEVAMWDLENGCLDGLLTRGKQVKTETEVLE SPHTNTVHSIVVLPTETATIVTAGADNALMQFRFDTVDGLGVLVRERRGHMGSCTEAK FYNSDLLLTAGTDRSLRVTHLFSDRASWELSQGQLGRRGREKQMGREAMKMPPAVAIA SCTARNYQWASIVSIHESSSKMCGWRMDTRSLDCKLSGIKTSMHIARAVAMSDCGNFA VIGYSSGDVSVVSIQNKGVRQLLDSSLKPDDRAHCGSVECVEVACGNNIVVTAGLDSM IKLWSLFTCRLRTVVKTDCPLHKSCIHQPSSLFIAAQHFSIRVYHCNPDIGLTTQELR VPVRTFSGHTSPITALALAPDSYRYVVSASGDAALLVWDLASSACVGQYRLASPAISL AFHPDALFMVSTHAGERGAFLWSNNLRYGFVPEVITDPRARSVEELPQLHFPTAHGAA EDAEDDTVDNAVKCEKGLPGSGNEIKEEGESDGNDDGMLGDIAGAGGNAEQEVELFDA KKDTALMQIEREKVKWAELDEIISGGMRLAGVPRSMWFDLTLLEQIREKNQPLLPPKK RDVPFFLPTTQELRPTFLVAASNSKDKEALVSRVVNAPIAQLTPVQQMLVRNEHDAFL SYILSLGSPQAVDLEIKRAVDYVEGCSYTEKELERIKDCLHGLLSFVAEWLKRRENVD LVQGILAAVIHSHGPLITKCGAELINVLEELAELQNSIRYSVDHLIGYPSCLAGTFSG SHF XP_829113.1 MFSESLYSFHTVSADKNQSNNQKGLLEDEPCQYSNGNNEPGSKA KGNRDHELVGRWGSPRHGGGVGVRISTSVSGKFDKRCPKPSEGYVPPHYVGLPKYWLP NETFHPEINPRSQRITKWENYVGNSERYHAICELVKELWLANAPVNGNEMGIDGVNGA LRQLGIEVWSPLAENFFSELSLNETNGQRLVGYSAFKRVFVRELQALLTPSSGTNRLD GEGVPRGDTCGVRLCDPNTICSSIQTLRRHQSTQGASSKNTVSSAAASSSFRRTAAGE PSVGWPGISDICSALSGHDLLGVTAADVYLSPDSRRRSSILGSSSTGTDALQRVMGRG NQGLYPLPIGANGKTLDGVSVVSRMSIANSCEGDSCTPVARSRRSSSHRSALAVVTSP NKCEGRKLRRADEGSPEAAGAQRRGRSTTKTKGGKQKTRQKSRGSSATPRRPSRKSSS KRRNRSGSKRRNRSGSKRRNRSSSSRGRLPQECEGRDDSSTSLSSVRIVKNPTGTQRD GSVVEDESHYGPCDAAGRGSYRSRVMTLHRWRRTSPPVLCVDVRMPDDKRGTFDVYRG DTVEGLVGDFASAYNLSDRLSKRLERLLARKLEYYKWKL XP_829114.1 MNVDDFRTVIPSPVYVATRGERSGINVAVRALAPVTDVVFDMEE QFAVVCQRRRFSTYAISSWMESLDVPSIPGAAVPLVSVEPQGFSSLGFALSALQFLSS SLHVIAGYHRAPVVDIFDLENVDEETSEPQQRFNLTDVKENGNVEDGSSAYANDVMPM DRYVSLGALSSGLSVWLDSRSGKAEACTGVLTRQYTIGAHNRHTGRRDPLACVTSLCQ GNPANILLGTEAGALQLWDVRCARECVAQHMTSASICRLHPSYSYPLRNVVWLNTLAG CVEAVSVGQSDMELLARRLCPDFQRSSSTFHLPPPRLSFMESSGVVACPHISSGKLLL YDGSSLVKQPNNSRTQLSSYNRGVDGKDNEYENGHCSNFGGFLREASSSSEESGEREI PLLNALPSEANALVTACTVWSNRDMFVLGDETGRVQLL XP_829115.1 MLRRSGCFLNMGLGDEYVKKVFNSVASKYDMMNDVLSLGIHRLW KREFVRDYVRPCPGGSYVDVAGGTGDIAFRIIDEVRQLERGLPGLTPPDFRGVGVTVV DINEQMVHEGEARAAREGYDGLEWIVASGEELPLDDMQFDGYTVSFGLRNFSDRPKAL REAHRVLKVGGVLSVLEFSKVTCSLLRVPYDVWSYGFIPQAGRILANEESYRYLVDSI RAFPDQETLAEMIREAGFGYVRYDNLSGGIACVHTAVKTEAVPIHKPKSEVENAMAQQ ERVVEDSKVGAA XP_829116.1 MFNVSPASRDRVRSESQRTPRPRSSLSMPRELSYTPAISSIPSS LHTPFIQKCYVQGDNSTEGQQQQQQQPPDHMTVVFESDNMQLTTTSLLGKGGFGRVYV AQSSGGELCALKVSSKQMTDGDWERLRKEVALMSHFSQHPNVVKLIAAGRDRNFAYVA MECCASRSLHDIINKHGLEVPEILWVGYALIDTIAFLHAKGCIHRDLKPQNLLFDFDG NLKISDFGLSSNVTESEPRKTVAGTAMYMAPEIAGAVYKRMTNDNSSSSLRYGQEVDT WSIGVVLYVMLTRMNPYAQALENEGAHEMNKTQKTLTLFSAVAGAAWHWPAGWRGDKE LSDVVNQILHRNPAQRATLQDILQHPVWDRRPLSCPLTLLQKLNLVERRPFTRTGAVG RSCSRAPEGMQPQYATKTAEVVLLEGLNQVIQVEESTRTQLVLESNEVINLIFGTLKL HASEANGRRFIVIDEKGCRKNIEDMLLTTRPVRCRSHELVSTAVCAKQPKRGTRVGSS LRPQRESSVLLAGPLAFGQTQDAGEIVRAASDRYAVVFSGRETSTRWSLRNVISLPRE LNAAIEEVTCINKHQMKKLIKIPLGYVGFDCNVCDSAIDDISIEKPVFRCHKCDYDLC MNCAYEGKIKDVNFVCVSCMKKFASSSKLEAHSAKCRGPSMSPSARCSSRRNTMLWEQ LENEGGSLLDIRLPTETKPRRSARTSCRTSGGRESSGGRISIGDSYVPGPEDLGTMVV AHRDANFPEMPKFSTQSDSKASSPFGEKEGADKNYRQSFSFELPPQVRLSKHRRDKGA EPRSSEELREIVDELTPTPKRVRTEARQNSCEPYAPQVNDAGLVVGIAARSRVEREGS LRGEQQSRNVVVIRADNAAKPQDLRKQSQVPRSASSSQPGSRMESQAIDTLSKPAPKN VAVREYRSASGQRDPLVPRTSLPSAQTGEIFGAPPLPRIVTSGRSSVPRSMSYTLPSA AGVARRQTSQPLVNLLGGVPVGAYGGGSFNPGERNASISNTNRTVGPGQGGTAYLALP RDKQNRDRFVDDFLSGAWVRVYSFIGSEVVVMYYSVQPGRYGALFPTEEGAATAVLDI HSKLVLYVPRMDKDTVTRTQCHPNVLSFFQDEIRILPATSAEKTLGGVLRGIMGFVSE LTKCRGEGEKYAAAQSAYIHQREKGAVPAGTKFAYVRKAFPDPAGSFVLFRLSNLRSQ VVFNNALLDIRWQSDKNHNVGQKYYVRPNGEAGPFTAEHSGILNHVNLVMRNVYRK XP_829117.1 MPRHHTLPVGFRGSRHSDSWTVGFCSNERDRLHHDVGATEEVGT VCQRPEQPPNRKPLWRNQAGHRRCRSSNSYSPGCHPVSSTGVQLFSTFFTTPREALRV LEQYVPSQQPQQRRKRTIRGQRPVFAGTTKQLKCDDPRVWRTSEDTEAQRLEGELVQL LNTARLLCSSLRQTTAAVTSVRAGVHVLVRQSRSLTLWISPLSFLAATREF XP_829118.1 MRVKRKNAAAEGEKAEAARQAELRRYEEEQERLYKLELAAREAL EEEWTRAMEAHRQREMELQRAITERELRRGFEEREKKLTDELHGKEVEVLELRAKLCG LQQGLTTIQQERESVMRRLSMVSNELELAQIKYVECDSLVEKTTNEYSEKLREVERAR DSLMEDHQQLRAENERQKRAYEDLENQFNEAKSTYLAKVDERLETVEPQSADAETALL LKVLNEEVEKHRETARLLQAELERKGRDEEKSSVLVTLLNSQLENTRDEVKRLHEVSK GRLHQLESVQSLLDSEREKAKTLYRDLDVAHAEATVERRQFNLEIGVHKAQVEELTKA LQRAQGELADTKNEFEVYKNKSMEREQGDLQVNIALKAEVEQAKKDFTKVNEDLRTVT EEAYTTKAVLRAEVDSLKVRLQRLQENAERSERESFETIAVLRASEERLLEEKNFSAK NHEEMTRSLRDEIRSVCNERDVFRAEVEKITAEKVEVERDLYQRLLRMTANHDRLHEE VERLTGTYSSREKEFIENAIFTNAEKETLRAKVEELTATLEKRKEEHANHVQQITTDL MDLRDRLEREISKQQLLLKAEKERAEGAEEEVSSLKRQVSGYVAEVGLLNRKREEIEG TMKAEIRDLRMELGAAKRTIERFECTLGDFTYKSIREANDRLLREVEHQREKIATLND TISSMKVESTIMETYKEKMLSEQNEKYSIHIQHLERLRQMINPLFFELRSIVEKHGLV GPLRRDLDAYDEHVRRSRIVFSQPTASSLHVQEEKNATKSSPAVANSVAAGAACKEPI GTDMLVGRGCDTLPAVAHQTAVEYEKPERIALVPHKPATTQQAVAEHGYHYVLPRIS XP_829119.1 MMDAQTSSLRIDVSDCVPVSEYSTGSPDSVGWSPHNILHVATQF AVFLHANELTAADAIIRPVYLPRADDASPMCISGAKWALGLTSDNMYPSTCRLCVLTT RDLFIYRVVRYGNGRVRTSAALCIIPSQRPAQTQIGETSPDFKKSVKRRGRDGLELLL RGDIDEDCGSDDGGGEPVRRWGNKTFDVIGECIIGYEWFPRDLLVVVTFRSIYIVDEP NKANSVDEGKESQQQTEYLPPPSYRFTGNPEASLRPSCAARVVGANAESMRLVVASPL FLRVFSISVEGGVNLLCCVQIPLLGGVPASLCCVQASEERPDIRVLVSVPLRVIHGNI IMSGAVGEPHNRGEGFRGGSCVAATAEQTAEWQLVNVWGCESGFGDDITVSRFVTISL GSFALRGSDFTTPSSVAGGGPFVVLGVCRRRLVGFFGKSCINLIQCSRMDCFVPPDEE GTELTGLAFHPSCTLAVVALQTASRRHPPFQLFPCPVERSGGFLERLLYFQEGFASVF DVEQSQARGVHGPALAGRVSALNNLQCRQTSTSYFMWEKLLPRSALSGNFLQGDGLRQ YGSCRLPLISCPALLDSSDTSPGLLAALRMTYLNLRCEYGIELFLRFPETNALWRQTL IRLQRLSVDSYVITELILANAIQLLADKVSYSGFRRDGFQKYALPNDEYSLSLAYAAA LQFIRLYHQMCEEKEADEMWSHSGEFKKQLNKFMACLEREGGGNGISNKGQPEPDPRF PCSVCGEVEKNRLSLSLFSRNQNVPKEGEQTTSDCSEGHHITAFSGRNFATLCFLSQD EVLSQCHACSVFDYAVGPYCGVCEGLMA XP_829120.1 MLFKPRGVTSNDPRYAGGSRLMSVSDLLAYRDTWRGRPPTESFS LAQILRDARAADCKPVVTEKLVMSENGFKVKSRDSIDSLERGVRAVQSALNKLTEWNF DVVVQTVLTPDIIINNEVVKDVVRLIYEKALMEPVFAGLYARMCFSIVRFEYEYRTKF LPGTCQVPSAVRVAIVEKCQQMFTNAVEESKQTMSEEQAERLRKRNVNNIKFAGELFL KTLITQKIIDRILNERIYEMVPNDMELEVIINLLEVVGKLYEEKNPDAQEQLWKMLSS MQENRRYSMRIRFLLQNLIDRRNGGWKPREPEQVVIEDIQSQQQPQQQSQQQQQQQQQ QQSQQQPQQQQQQGQKHYSQQQRHQQSFPHHNQPQSHGGYHQHPPPPPPPPRNSEYQH TPGMKRGGSYHEVSSSLGMGGRCSQSTNDLTRGGSYNNMPPPAHGFSDSRLAPEERKR LNFARPPAQLLEEFKKNILFIVRDAAEEGMADPEGMKVQLNALVPQDSNAPISEVSVY VTLIRALMDANESERKLLLSVLQKGSFENHILTRGFSWALAKIISDRDCDDCPRIYAR FAEAVWSIPSFNFRCVTKDIVSRTAIHLGALSVEYESEGEWEEDFIAVWENIVTASET GRQGESKPSVADMMESIAPSCTVPFMCNVLPDFVAVMVQARFFTEEELGAWRNKNKDN SKVFSLLEELSVIYA XP_829121.1 MLRLSSWNLKSQHHNVLRRSRPHIHKYRELNRWQRQAQGISKWD QSHSHRPLPYVERFNPESVGLTRGTSAFAWKWWHTQYPWLPNVPPEAAQIDEAQKQER RSHRPPAWDDEFAKVVLNMNDAEIREYLMSKLTDVIFLETQRDGYELRRLDFEGKPLT SLPEPRIIENFVLEEETIRERVIYQVVEGVFRLSPTSADRRELRSVANIIDYVLTHVR AARPTDRERRQERPITSAALAVMQKCPIQPQLGFVHALPHDTRDALLQEWERMHHLDW QFGKAVYTPRSKENVRGNLTWLREDRHYDQRMKFMQEVESGEARAKHMKLIAAAAGN XP_829122.1 MSQFATLSDQEGTHTHAAQEEPVDSDAHVGSVMPAVDLEQLQLI ELCFGEHEFGVVLDSDNTTEHDTEGECISAGANYVNASDDAEQMDFEHHNEPGETMLA AFEFDPVQFVQNKLSHGVPLDDLCRDLETYMSLLNKIILRHVNTDVHDAFVKVSGHLV GMQDDLRLVQHPVAVAVKKVEENIAKLNEMENTVTSQIAEATELELMRIFDVNFLKVL LLYDHLCVQLEALPPALLSPDDESSLRQRHFKDKPSRAVAVSASSEHLHEALQDISGL VRQLKSLKHMIPPLPQRGQECAELDQILSSALQMVHRVLARAYLAVYEECTLLPSDEL EKSLLNIMLVYKAMDEVHEFCRMYRERILRPLLESVLSWRAATQARHSLVETINLLST LRHRLEKDVLPFVSLLREAFGAELLPIPTVVCPIVFESLLKRMISLYDSGDPDAFQQR YVAAHEVLHLMKKNCVSDEELRALLRSPDVVLWEQRWNTDVYSAMRVNALTKRLDAAM SEFITLTSEQHAETIDGLQLREESNTEGTVAKVVFRIGLFSRLRELIEWLFSPEVYIY VVTPKYLREVANATRRVAKAIIEQSTATRTVSSLRDWLCVVMTACSDFTQLAEYLEGP FSRHICTVSQDTLTGPSCSQFLQLLVQGTCHGTVKQLHQLVQSRVVETCAVGLQNIRS VKSAYAHTRKPLPTAPSWYVTSIVEPIQRFAEELQRGLSPETWQEVIIDVVTDVLKMF RNIARDTLVTAKKTEESWGKLRRRKELTSSGGDARTGGMETTTQEGASLSPSSPVTGT SANTRPTAETATDRDKMTLQLYLDAIALINNIEGLLESQCDGEESVKIRELPPVEAIH KLLQRAKWILGDDVREPPDIDETDE XP_829123.1 MTYRVLGSPYALLFHQHVAYLRLKRLPFKVYCRTFATTLFYAWV FRARNSFCTVAPNGKSYLQLWQLVSAVERSGIRQSKSFPSCGKATVAEEENDIQFVID RHKHPNIHMVNWVIVLYSSWWLAKTGAMYRWVEGDGIDTIEGYLRYFFLVPGVGAARA IAGSFKQAIQKVTSVTGVSKETAPYMKEHFFRLCDALEVHFQEQTKRGDGSLQVSFLL GTPHPTLADVAFGSVFSANFLMDDPPASVIAQKYPHLNCYLEAVTGWKGGVFVGDDGA AGAGGVVARGMKEGDTDECSTYLDVVPDSLFPVLKLVEEVLPFMLSQCEAFHAYMVGD GVRGLKKERLEGPWEGCSGYLLSQITGIKSLMIIDNGVYTVAARAQDLEVALLAAREV VGNDDEDGGGGGGGNGNDSVISTNSEECRGETAHVFSRCAEDAAKQSGCPGGTFSAVE EVQPNTREGSGVVPSIASVVDVTHEGHSNDHTDSDGADFHRVFTSASGRSRSVLLHPR HSGQVAQSMMDSCLFRLRDILRKMHHPHYTLSSVFHGRKMFVAVIPECEVIESRKRKG NTSTIVV XP_829124.1 MLCHNRLLLVNKQTQKYRTKLRYRFRQPSVVPLRQTLQQRHNTI LEVLRRRRINSGDQSPYRYVEERLYSKPSRLDREGVKVNKTYALQGLGDLEPLRYGAN FGISEKDALKYETVAEKAKYMEPPIPYSSLAARKLAAGALWPAAPDPEGMISKEVRLL RHESSMSPSARAFSERVAYHLRRSLKACPGHIAEHIDFTQLIIQEVLGSRRSKEIYIV WFTVDPGARFELEPRLHQLNHWVQQLIIKRVKRRPHIPRVTWIYDGGRLERELPRDVK QELQSFVADAATTLESRVKYLKELDTMNQRMKDIPWFMPYLWSKEEKAARQKSMLADL EEVERRKNEHSSGRSAPPRMSPPPQFVR XP_829125.1 MQYIIHDPHNCIGPQFHYKSILRRRDGTIPTDTLIARNIAANSV PSGIDGAVNLAQHSQRDGLPLVTNGHGGPASNRSASVKVKQRGEEGFHKVEMTKGRKF LTPRRDPPLSRVRHGLKLRAASTVFSSPLRKLRTATASLSEGESGFATALDELTVKPI HVVRLRDVDGQVLDVQCDSVGDSPIASLIPGGGVNDGSCFTLHLLYDGAPPLTSSAAT TPTRHLAGASAAFSRWYRYSTAATLYLALVDAGFCDRFVPLLSVQWIHWKELLQHQVH LRLDLMSNPPTRHMCFPCLGNGKNEKVPVAVSLPMTMKGKLRRIYNCGVPPSICSSPF TDAEVFQLFYIQLIFRAAYDVVFSDMMLGDDDDILRTDRIAVQTSGRQPLAFEHPVMV DKWLMFPPRSKILYFTCFDHAVIPHFTSPARWRQVKKQRLFGASPRLQCQGTLGKHVV TEWAMHRNVDDPKDALAALEELFGLFEPSFGVGRASVWQSAIPPRIYRCSVSAFERLR ANNSDLGPLLVCASCPTESVSPNKSKPREEKEPGAEPPGVQEPGEEESVIQRWRQYLK CGDVTTFEGPVDSIKIVRYLGSGGSSLVYEGRYGPNSIPVAVKCFIIPCDMSHEDYVK ESLTSVAFLLFFNQMEKYGIGKYIHMYDFVISSVPPSNMSIEDLKTCTRVSDPAGEWP KLCYLATTLMDGVIGRFLTEEDDDFDPCYDTLVNSPLCDGEIFQFLYMQLVLRALFDC TILDLMLNGQLRGDNLGFAHLRRTDTDGTLMQSFKGLFAIFQPDNDTKPQYLCFPAST NPAAGAKTSLRLINFIDFGQGKQPDLQELTRRGLIGETIVESCIQDDGFGRYWPLDRL YCQYVDTSGSVARQAVDWGAHVSVGTPEASEAAVKALFDIFVPAYGVDAPTEEELEGH IIFRWTPENVEKLRRSSSELVIPPIE XP_829126.1 MEDEPTQILLTREQLERSVERLSKPHSREVNLKPLCKSVRLPQE ARERSIKHLYNDSMEHKERRLREIEQSLNAEIEKYHAGKPKLDSADTEGLVSRLYNES IQRKNDNLRQLFEHQVSLSRPKEKKLKKAEQQEFVTRLYQGGMEHNRKKHIALFEEHV LAREPKMAQRSPEDLEIACSKLTSGKSVTDD XP_829127.1 MKVITHEQVAMWLRDHQCPMTSLELYYEWQDVHHELFPAPLVFA DMDGCAAALTISAGTVNIGMMNTLVEQSVVNMLLLYKRRCGAAAVAEAVKKVMLQSEV PDVSAESNVTSGGGSATSNTRVVEVEDHGEAQLSRVAKAEEELSTVTSVLSEQLPTLV HAVDPLQKDCLIPLISLSATISSKKNDRVAARLLLLSLYLRPNAEHRSVVAGAWVRTL QHTPRTVMRHEVIPELYNLANARAAERRILALTCLESLVQLMGEQEEDIVFRQAICEG LLLPLSEDESKTVRYHVVRCLTALWPTCPSIDLVGGVQCNDEVTECHSRFLELHARLM LHDTSATVRRRALRSMRESIIPNLKPRVMLLTHFIPLFLSLVNREVLARTNHGKPMPS GSHATDIVTSHTTANVLDATDESADRTITLLATLIGDALTHSREDVEERDVPCARSAF AERSGGVPGKPVVSAAVINAYLDVVLPTTRSLLLDLHEHETLYSCACALASSLARMVS SFSTTDWKRAREMLLLIIAGRDGSDATGWEDAAGAGAGNSTVSRETHKRCLCFFITFL TCVAGLQDKDAGANSADVRDIVNDATALMRDTIVTGKTEEEEERKRVVFHADARNRHD VCGFAFTYLMACTDEPGKTTGASAVLSALNSLAQSPDVPERVLALALVERVSALMEDD GAQMKYLWPAVHPLMYDKVFEVTEAAVKTAVSMVAFITKPEDQEEVLQKVLDTVKAEG YLSRLSVVFLLHWCSLMRIIAAEPREQILYNYLATVAQQLAKTLSPTAVVPAERSGVT GRCTVVSVAGSMEIQEVLQAVLTVLAAIPHCAVVTPQLVRSYLIPSIRLLLAVPSLSE SCRVQLNNITKEYEALLLSSGKKLHSEGGFFERIRNEIKRRF XP_829128.1 MFLISWNVAGWGSTARLIRSDFGTIAEFLRHTQADIVCLQEVKG SWQKLKDEPYAMGAGDGRPVSVEGWESFWSFSGRGQRGFNGVATFARKGLTWWCDSRP FIEADDKEGNSSPDASDKKTSTVEELHDEGRVLVTGHSAFVLVNTYVVNGRNSQRMAF KMRFLSCLKSLLARLKKENGKPVILVGDLNITFRAEDSHWSQRRLGFPSFMRLVHLAR SLSNDEWKQSYPYIGQDTVCHLEGYIAEQTLQFLVDESDDPHPNDGRCARVDNLFSGL TKECKTTVTIDGNHLLQELVQKGRELKYHQNKGSGARVTLSELCEAGVTSVFASTLLR MQQTNHNRDIFVLVQYCGLPSHDEAGVEFMKELLVDLNLRDTMLVGLTPEALNDVCPC PYTCWDQSKNKRVVNEGNRIDYILIDASLAPKLAPCRDTENNVVRTLSEGIGHAGEDN GKKVVVDGCENTSSPFFSEFDGASHLLGVQRTAGHGAYPAAAMDGTGLPPLKKEARDL QFRGLPSTGLFVTPPQYSDHCGVCAYFPDLLLEPRPAKVKEIHPCLYRLPASLESFFQ KRPRSE XP_829129.1 MNRAIIAEKASLASERAKEFISSGGSIVSRARSLVSGDAQFFAV QPKPEDLRRHLNSDCIHEKSVAMKRIIAQMCKGYDMSTFFADVVKNIHSPSVELRKLI YFFVTHYAEERPNEALLSISAFQKDLMDHSMHVRSLALRMLSAMRIPAIHTLVMVAVQ KCALDTEPLVRKTAAISLAQVYAVNGSEADLETIYSILQQLLADKNSEVAAAAALSFV EICPHEVSFIHKVYRNLCRVIGDCDEWGQVVLIHVLLRYARTQFCDPNEQTGRRELIS DSSDEAEQSKKNKENDKEEGVNDANDGESSSETTSSSSSSWDRKMLGLRRGGPNAAML LDSDHRLLLDSVKPLLMSLNSAVVVAATAVICHCGPKADMDACTLPLLRLLAGPDERH SVVLSTIHTIVLTHAEPFVPYIREFFLMPQDKREIRILKLSIISKLATANNFPDLFRE FRHYTRSYHVEHVVDAVRGLGLIAVRLSSVCTSQVMRVVLPLLSHKNAEVVSESIKVL QLLVVQGNSSGRQTARLVYRLLQRVIKGEVTSDSAKAVILWLVGENIQLHNVIAAAAP ECFRILVKSFKTEGSEVRKQVLMLGCKIWMFLDGSGAVAERFRQLFFYLIELANFDDD YDVRDYARLVGCAVDRQSATFEGLKRMLLFREKKQPQSSDPYAEHTHYELGSLSHFIG KPFTGYHPLPPWATVPSDPLLRLPPGCEVRGDGADSGDDSMSDDGDDDDSSMMYSDNS SDSGTCRGSGSSDASTSVHSSYTGDDGYSSTDGSQQRGGNSTSDEMGRGDDEEQRTPA EEAVIRPSDASSKTNITNIAAEASDAPRRPVVKVTVRRLGAPKPAPDSKTVPTETEGG VAAAVTLEKEGSRSGGTPGASSTTAGNNAVREVINDKTTASVEGGSDRALDTPTREGS GPAE XP_829130.1 MPFAPQGPVMDLYNSDEGDLLAIRSEMWMCADSEKMFKVGAGRG SKNIVSIENGHFFVYSTCGKQSRLIKDVPFHSVKRTASFVHDPKVSGASTVSGMQTAA SSSSSSARSRDRRADVQVPPYYYLVLEFVRDKTVAESTNLAKREHVVLCTDNVQDFQY WQQFVGRYKCQLNRTQRPDESVVGGRRSGKGCVKSASHKECDDSGSDETNLDISTLTM KLDELQEWKRIAVDLADAVASSGAFPNCRRESLSNTEPNVEFSLKYVEKLLGELRKGV DCRLNTLNETQPPLPLVKDLKQQLELWNSLYDRTFSDDRTSEARGLFTKERQQVRVQR MENIIARERTEGCTKLSPVVSRRELVTSPEEWLQLPCLSTLSDADSAQNLVGGQREGG VLDGSAITLLSSSTVHADAPQEVWQRERNLSSANEGDMFAELRAAHRNLGLRSAPQHE FHQLEREKKNTERLAREGLRAYTEATIVLLQACYSEYVTVVECVLSAISGGVDTRGER RLVTAYAHLQTVAALDEARRAATAKQTLLENLVNELKDLQKTRDEEINALRKTFFRAK DGWESDLAVLQTKLRTLQNTMTQTTVVVPSDTVSFPPLERALCGGESSQELGRDSGED KVSNTKIESVDKASYDECDEADSDESIRRWATRLTCSHGEQRGHIIAFRLERFFHWTL ENVVPLCSGADTNCLLELVMRALSNHITLLQAAGEVFGTQDVKVSVDDNGVRESRDLC SSIRDLHTQHSTLKHLVGKYIALTENEEDSPVLRCDELEKQLQLHQFNTATLDHINSL LGTDNMTIKRRIQDMIQNEEQLLRIQEITGSKREICTVQHVRQKHEELRQMSELINRL DQSRMHQEKDLTTQNYEAFTQMNTSVKKAEEIIENTTKKYNELQLRIDFDKIEFNERL QIVHEYYNEHPQHYHNNKINKQIEELNEIIEKQQNLIGHQDKKEKKTERNSSTRAQCL QGIESALAVLGTTEAEMPLASAIQRAAEEAKVREDTLKQELDEAMGRETALEEQLRNL SQATIALQGTLYGGEMPTGNDGSALDVGHAAMSALERMREDAAKASGVMTAAMQEGEM SVPLLAKCHHMAETTNALRDSEKHYRALVGEDVKKDSGSTGTDDSSTRAQCLQGIESA LAVLGTTEAEMPLASAIQRAAEEAKVREDTLKQELDEAMGRETALEEQLRNLSQATIA LQGTLYGGEMPTGNDGSALDVGHAAMSALERMREDAAKASGVMTAAMQEGEMSVPLLA KCHHMAETTNALRDSEKHYRALVGEDVKKDSGSTGTDDSSTRAQCLQGIESALAVLGT TEAEMPLASAIQRAAEEAKVREDTLKQELDEAMGRETALEEQLRNLSQATIALQGTLY GGEMPTGNDGSALDVGHAAMSALERMREDAAKASGVMTAAMQEGEMSVPLLAKCHHMA ETTNALRDSEKHYRALVGEDVKKDSGSTGTDDSSTRAQCLQGIESALAVLGTTEAEMP LASAIQRAAEEAKVREDTLKQELDEAMGRETALEEQLRNLSQATIALQGTLYGGEMPT GNDGSALDVGHAAMSALERMREDAAKASGVMTAAMQEGEMSVPLLAKCHHMAETTNAL RDSEKHYRALVGEDVKKDSGSTGTDDSSTRAQCLQGIESALAVLGTTEAEMPLASAIQ RAAEEAKVREDTLRQELDEAMGRETALEEQLRNLSQATIALQGTVCEDGADVSCDIST FDLCSVAFLSLGVIESAFECSISREVSLKKQVEEAHKREVALRSRLKSLASAATAVQS TLDGNASPERVSPKESSICSGDEVDGDDAFVDPFVAVQRAAEESKACRNALEEKLKEG AARIDAVEGRLLQLSRSIICLHSKLFDAEIGGNGEERAVELSELALVAADAIENAFEC SISREVSLKKKVEEAHKREVALKTRLKCLVGAATAVQCTLEGGNYSCTADDPLSLSGC DMSASTNLDDSVLGSVAAIQRAAEEAKVREDTLKQELDEAMGRETALEEQLRNLSQAT IALQGTLYGGEMPTGNDGSALDVGHAAMSALERMREDAAKASGVMTAAMQEGEMSVPL LAKCHHMAETTNALNENDRELRMMSRNLSFQLSVAMLFLEEAEERLTHVVRYGWVPAK VVSAALCGWLVLCCAGDLFHCSSGDTISTLVDVRKELDDLRKLCGSQNAFDHGEVANV SRSECLLAIKRSVASLCADGAADPSLPLPTQLQKCVQSTVDEMYRLRDDADSALSENV RLKRDLQMVVGGCETSLEYLGSACGSSLCPALSPRSGVAAVSNLVVMTARIASEKLSS LRSVCEECNSVIERARRREERSHSRESSPASHRVEDGAEALLVERCKELVSELLVLRT DRRTSTAAIDQRLMESELMVTSVRNAIENLRTSLDIDVPIGTPALDLVRTSGSCGEAV EGAISEKRQVSATGPSLAELDTSIRTQLELCVLAVIRQLREKDRVISAAATLLGDALR SCSSAIRDEVTTDSLLAVASGTAHSLHSLFSELQSVRASLKQSQHHTEELRTRLEGGE TTQLATLEAQTESVATAERLLNEALQRQVAASAQTARLQRTLMDFAGSIAASTLYADD AGDRVDKTGDVGASVSVVEERWLDGIVRHVAQRMQESESLKGKLKLVTSELESVRIRM TATEVAAREAELNAQSVEVGNLTRIAEATARVELLRNALSERSVELQKMHQRVERHEK QVETLKAEISNDARSFDNERCSLLRAQSTLLAENTLKQQQLDELRQKLWEGEKSLHEF LHNSRVVSLVDATNKIRSLSANAVCGVLMLDEMERRSEILQSYILSFPTQESTLLSVC ACIASTLSEREVQRLPDSVHRLNQRVQKYKHLLGNPTKVPGPTRAVAVLALQKLAETV ELAESVSSTSFVSNLIAAVADFRTRYLIANERIAKLEKEVNSGGTLMEMCDRRVWEMV KLLRQANSVGGLHSGSQEGPRGECQTDVVDFSVAQLDALQSLALECGIAAKYIHRHTE ANRKALETLRHVYDGESIFEACSHANEMMMKYRESEEDLRAQLLDVRSQLEACRHSIE ESFSVFAETSTLNDAKKVEFLQQQCAAAAAELRRLKDEARVAQGLLASAGHDTHDGDI CRNLAELLKEEEKQRECVNLLQSEKKCARKQLQELGNKLRATEEMRNAELEEVQNRND TLRTRLEEQSRQNNEWCQFVSTCAGVVGCPVENMQFEKSKNKILQTLRNVASSQSVCA EAGILCTTAQEEQLQCHREGANAAVARLDMPGSERYRCQLLEFVGSVEEVLSELCPEK SESFLTTKDAPLELRVCQAAGQLCSAKDTVQANIQKLEAEIASAKKKNKAQKREMEDA RKALHKELEVGGPAVASCALSTLTEGIRKKLRETLDENRKLGTALEELRIDNAQLERT LRCVEQQLSETHTQLQCITESNGECRGQNATLQERVDPLTEPTEEASRKFRSPTQSPD IDADAAGLAQVHEVCFGRGPSIQHRSEEPREFEDNLRGNCRSDAAAVMDVGVAPKEQR SLLERLQQVTKERDVLTLESEKLVERVQSVLYEHLNVQQELLSYCSNANENELGESDT TVGDIVQLCVERLEHYLSETKTLREDATSAKLESARMAEEVAALRGRIKHLQDDNHVA ERDSLRQNAEFESLSRQLQAFFNDALHMAVNDLRLRLPPVTDTSAIGALYGLQSLFDC MLSKCRDSIAFDEEKLNCRLNELKGKHAKDLKSLHRVFCAHVTTYTDDPNDEDSARSE GDGSSPVNLPTTDRLSGGLTPEHIVAAGDALHHVHSNVCHIVWACIRHAERAPPPSLH TMGLPALTALLGEVVVASLERAELLQGAMVAGSRALGILPVDPSLNQQHMEEWLECFT SEIQRVQRSGEGCELLLNHLEILLQDHGISVGELVSNSRSQSTLSNVGRLSQDNQLGS PRGPSSSVAVQDVMEFRSRALLGALRDLIAQFEHRYSTLTSEWQALTDQNNRLKTSQQ ANEEEFARVQEQMQELRHAVRKKVEDDRKVEQSLRDLDNHLDMQARELAMKYRADQEA ISRQFAELRGSIRRVVIPTQEQLGTPSPKYDFVYPRE XP_829131.1 MSSRDLRGRACSNSTAASASRLLCLPWELVEVELPKEMDVPVSE SLFSVGNGRVTVRGYTEESLVAPPYSRGTNSHDIAIRSPVVSLYGGIGNDVIKVGNRS LSNSHLLGNPSSPVRCDSLPTEGHPTASKEWKPPERTLRGTYVNGICEELLLTHHQQQ RAFTVGTCARESFLVCAPDAFCIDVFVGGEHVNSETGIILSHRRTLDYHTGELRRHLV WQSRSNGHEVTIESSRIVSLTRRSIAAVKYSVSAKNVSNTNIRIVSRTIVPSGVRQHL KIENVMTRRTLHDASTAVLVRTRNSCKRLVVAEFEACRSTHYELINTQSVPTFSTVTS AVGAHGANTPPCAVAADASLAAGRTPLASPKPMAQPQPQPTPSLTFLAPKCSEIRNGA ETIYTSVIRESTRFELTKYIAFLVDEDAAPEDICDLGMHRAREAAAVTYEAICREQLD VLSNFWERGNVHIKASGPSLESAVRFNMLHLLMSSGGLQMQSHPSRGFMSQLQGGMHQ WDVDAFIVPFFSHVRPEVARGLLQFRIDTLPQARSLAADVDLPRGALYPQRTVNGLES NPPPFCAAFLFTNAVVAYGMKQYITATNDFSILPQGGADVLFSTALIWLIWGTWDKAE FHVRSVGGPDDYSCLGDNNYFTNLMAKFHMQWAVQIAASMQRDYPNEWEGVKERCQLT DDDLAMMDKAAAKVVLVFDAKNRVHPVDQLFMRKKKWKFDELKKKKSLLFRTFDPSVV YRHQVCRIPDVVLASLLLPEKCTKDEVRANYNFYEPITTSDCSLTSMIFSIIAIQLDM LDKGMHYFHQALFNDIENALGNTARGIHCSSAAGSWWCLTVGFGGMRVVDDTLHFNPV LPDAWEEYQFFVRHHGCLIKVLITRRMVTYTAVEGCFDRCGLPIYHTEINTIHLKEGM SESVRLYRDVRVFDFDCVVFNLDSLIEDLEDHHYRAWKQTLEKYLHEMGQTSFVLTED IYLAYLKNGNSFTSSQRLLAKNGITNIPPGCPDDTVETDTVYGLLQRKRHNFRVQIRE EGLKVKKGALHLMAELRQNGISIGCVTDSKSGQWMLRRVPQVMCLIDRCIDGSDGEVL SLCWRPEVDYFCSLCKQLNTSFERTIVIMRGIGGFSKSALEQFKLVVDVQRDAEYVPG GVHPVNVPCMSVLTLGKLDEYASKRKLVAVAGDADRPATAPNNMEGAPPDQLE XP_829132.1 MATALFLDAAAAAMPFFYNYCTFKLFFKISRLGSAANLSVVNFR PYVQKRGKGRGKKKTRHLHAYGKEYGRERQNMRSNNKKEAYGVGLLASFLCPFFTHFP RLFTCASTNHHFESHRGLFMEFAISASMRYLNFTLCGYHGRKVAGSKRRGYYN XP_829133.1 MKRQRTASRGLLASARKTLYRQRWLVAAAAAFLLVGYLLHETQE NSPFGPLIDAVADDAAFLSEALDAAKVDQKEENLAHFSRGMIQIGSTLEKVVGVAARN KAEPAVIMEPYINRAVAIYRSAVDFALQMLDPLLKREEQKQRENQPMWGVKGAVSYAT TVVLPEYYFAIDDTTSHSATLVRGMQLLLQISNTLPIAETPSPPTNTTPKTLVDCRRH GTDLEWLQFCVSSFKNRTTLAIRRAAVLEELIALHPEYAPLRLHYAAAIALDRDVIQA HTVVTFITGEMEKSSKRAYPDPLHAAMLRLLKAFVLPFDSSPTPPSPSDLDSAAREAL KGVDEIGNCSNLIRPFGAESNSSWNRRFRGVKRPDVMDKWQAKQLLKAMRMLKQRLQA GSEGSDILPAGFAECS XP_829134.1 MYATRAKVLLFRLATFVLVSVVRGQEEVTEHKYNIVFSRDPVPS GLSEEQYYPMRLSNGSAYLCVLPDITVEEKKTLQAEDSELDVPLSLEHVAVVNRALKN MCYTMEESWWTYRLCWGSGVEQFHRSAVAGDSKSNAPKQMKEDPHFVLGVAPPADVLD LRYGVNTKGLRYIYTIYSDGLTCDLTQLPRTTEVQLYCAREGEGNSPTMRVREAEVCR YIVSLTAKEVCLLGLKEIQQRYGVITCHETKPTNTVDWNNKQQG XP_829135.1 MRPQADRSSFLHAPVTAVSVSSSWFCLAVGHRVLASSVDDDMDH GSVFVVTELPNGAVITALEQHRMSCIATNELITSAVVIGSADRVYAAPLYRNELREGA PPAAKPHNSVLLLSLNNFARVLYIQSLGDVAAILVLTTLNSAHVIPEQHLMVGWDASA GVARREESQGIVLRRTVKGLGIALAASALMTADRYNILIFTGTYAGGVAVWSVPIASA EQQLRGMDDGTESLPVLSRISAHSPGCAVFAVKAVAIGGSGEQRNGSAVTSRICVATC SDDRTATVYVSHSDHSVGEDTCTASHYQLTEKTRWVCCWRGSRAALARRRLFDISILP TRTHSRVVVATGGEDGTVNVLCFNQGELEAAETPLKPQTIHCRTHQHEGSGVYKVALV EHAVSSGYVTAVVSCGFDGCVYYTRLQPSELRKATLIPCTPIKQRCHVRGLHCDDNGT LLACTEDEFIIIHFHKGDTSPHEQRFPLPTTCSGKKDVPSCLSAITSLSPPLVPSNNF APKHIALIGTTGGGVYGVLYGAWYSNAAEKENGGRAPTTVVKLMTSSSKVLFVRGLQY QQHLVLATVHAGGQLVVSAFENIYERSTEWLSAVCAGTHTTALTLRLMKRTLRRECLC ILTGDDEGSLYIHTVEPGESQETQPLAWRDRLFQSPVAAVDTEEVVLPTSNSETRVTV LSHQGEWRALVVDSDDILKVDIRSISCPLLLPWRVSTVLAWSNGAAKCGKGSNDLSFA VTLFGTDITVFSRRSQISGWHPIAQCHEVRAPRLLTAAVSSGVAADGAEGKGQYAYVC HCSNGREGEWCEYNPENSSRLLYGGVATGRDYNTVIVLPEPAACILCGAEQSTVSVLS LPSKATNAMETPQTLSGPHESNILGMSYCSIADGSVTCGEEVRFVTVGSLATVAVWEW SVRHSWRVMAHMNVRQQRVRGNGDSAVDGPTATTSQCVPRFLSVCTTAKDIVVGGSDG ALRIFGFTSKLILRQKVVLDPQTPRPVTAVCALSIMGGLVIAGDTGGALCVCCAQKGE VLSRRVWKKVAVDALSVEKQERREGTGAASWRVLAAMDSGDIVLVRASTQGIETLSSV RVGLTAGRGVSWINCGETESMKEHDGMAVAVNDERLTFLQIRGDVFHVAGERRVNVRG VSGLAIDPSASPPDMCVVVGQGVETVPLRWESCFVA XP_829136.1 MVYNKVLRALVCRAAAYNRPFNSHDSLLILKSLHTLRYRSSEVK VICEKCAFAIASAHISVPVERVIEALNALTSLQAGSKPVVWERLAARLLEVTTRTASP QAVQKAAVVVVKLGRRYGRRDVAEHIFDVLMELLPLYPQDVALRLAVISVFPYTVSNN GSGAVSIGGQIEGNTRVDGVLNAALHLVETGTLRTVRGNSALQALHMYPVIAWCWKES GIHGCQVGDELANPTGGKKQGLWADPWVKVKNAFFEDLRLHTVVPGGYAQFLHDFLSS CARKGDQSNDYQFTLKLLGVALHRDFKRALNSYDVAKMATVVSGEVQRHGRYLEETQR GEQKDQGVVPPRDEISMMLLRVVESLVEQVQFFYNTSWSCRNQVGNTGNRVDQVVIGA VWGAVQKLVCTLPVEPTKVKALMEMLVGLTSDTAGDLQSVWSWVFYSMSMCARTPVFT EELSQAAKKVVKESSILRNGDHMFERHPFTMRSAVQALYAVALWVPDGVTKKGAGEKQ INEGNPTHWGPAQLLADKLVKQLEQCRYKEYLADDLPMLLRALVNLTEAGILEPKEHS SALKLVENVLYYMKGLRDDGWCHRHGINVCCSLVSLGEYLLGNELVTDVVKYLASGMS VSVPENLEELRRLLRCMLSLKHSVPPAATDATTGLSSSSVTLFKAVADCILPVVVETV QRICGASKSNSTGKNISLALSVTELASKLGATLTFTFSANKVGEIVANGDLCSSRTVV ELNVPALLEKVQMTAADSAHMLYLISFALSSVTVSQKMCRESKSCILRLRQAAISDKP PGSMRVETLVHLLRANAKLPVSKILFDERDLLTIMEAVCYHLTSYREKTAVLKRGGDA ERVVHALVYSQVLLRLKRTLKSYAGLMETVSDILSKMEAEQTETPETLFQLRLLCDAG EIVW XP_829137.1 MSSSRKRPRESGVGDLHITVEAQQADGIPPTACARHLSLLLQQR QQQRLRLAAAPLSFSYAPVTVLSPWQRVTLSSFQRLHGSEQDERHAVGLRRALQRDLG RYMEDTKRREERIRAEQREARARLGARLSTAVGKLWSRRGDIFQQLLAVEFDEVKQRR AEQRQEDLLREAENLTRTLLESIFSDVKCNDKDDGGRGGNISENVVALLEGGDETNIS CGAQLETSLSLLDTQGGSRQLRDYQRSALRWMTNLYSRGLNGILADEMGLGKTIQTIA LLAYYAEYKNDWGPHLIVVPTTVVLNWKAEFQRWCPGLQVIVYMGSKKERHRVRQGWM QEDAFNICITSYNQVVKDRVVFRRRPWGFLVLDEAHQVKNFMSKKWQSLFDLQVEYRL LLTGTPLQNSIMELWSLFHLLLPSASAFSSDQEFREWFSNPMEEMVTGRAALNEGIVR RLQALLRPFMLRRLKKDVEAQLPSKTEKVVMCRLSRRQRMLYDDYMQLAETRERISGG ARGVLGVLLALRKVCNHPDMFEERRTVTPMALDHQSEIVIEVPRDVLLRGNNYSGCYR FQKWRLCIDDVSLPSQRGEPHDVARVEDGTLFDDSWLHCTKLYVLTVDHWWRMQEQEI SRYIARYSTDNFHWPSDVPRIPPGNEGLSEDIMSVIQNYVEEEMMTTDQRRRMTTTIQ VERYHRMSASVCVGYPNCLHIALPFQEQEDVRWGEGKCCALSHLPRGLRPTLRQRVNA VLPIAFKVAVYVPAAVAARPPRLHCRLPVAHYQSFRAHCRRSLAPLLAIALPPPASQF SRKTSNRANLFDASPFLYELWPLYVRRCFSFPDRHLLIHDCGKLQFLKHCLKQLRREG HRMLIFTQFVHMLNILERFLALIGLPYLRIDGSTQPERRQAYVDWFNEDERITCMILS TRSGGIGLNLTGADTVIFYDSDWNPTMDLQAQDRCHRIGQTKPVTIYRLISEHTVEES ILQKARERKKLNNVVIRGGQFHAMANVDDVYEDTSAALAALSNPVQLRSFFHDLDEDA TVVADDPLPGNGHQDNGGRCDIKEGSGTENNEAVDIRVEMMRLEDQEDREAQQNVEEE LRKLEEQKRNDEEELGDEDKDEEDEDEDGHPQQRRGEGREEGEMMADPMVAVSLMSGR GSPAVLQKRRDILRRQRTPLDQLLSLQYAVCHNVEARRRYAGLCESYARQVGEDELPL FTETFNS XP_829138.1 MEVAFTISPGERQHVEYLADLFAIILAIEKVEKATLRDIITQEQ YSSTITRLLDKYKSTVTYLEQSRNPFYSTIDSFWENYGSRCPAARTRIQLSFDDAKQQ QQQQQDSDVNGTVDPRLVLECGQHFITLMDSLKLQQTAVDQLYTLLADLVRGLQRLGV TDQSFFHRLTTWKEKFDTMNASDELSERDTREFAFVLECGYQAFHAYLSESSARRPKA XP_829139.1 MSRYIPPHRKRASEVESGNSKGPGDETVQHEAWRALGRSITGIV NRVSGENVELSATELFRENIIRGRGLLCRSLMRAQLVNPDITNVLAALVSRVNKEVST VGALLCKRLVVQWRRLHRRKDWEGLRCVSRFIGWLYVFNIVNVEVVYQIMLTHLTAEP RTDDDVDQAASLFRETFRSMSQRAVSEFHMHVLTPIRDLLAMDNDEWRLSARAQTLLE GCLHEVQQWERVKHSMSIIPEHLLLVDPTGQNCHEVDLEEVTEAETEEKLDRFVYDSE YEAHEEAYEKVRCAILGDNWEAELLEGIVAAEDADEEEEEGEGAEEQGETQGGVDPSK QLIDERERQIRREVYMAMRSSVRADEVVHKILKSIQPDAERTVCFMVIEGSSEETSYR KIYGMVAERLCKSNTKFQGYFAEAFRLRYTRAEDLEEKQIEYTCKIYVHLLRTDSLPW HKCLSVLDIVENNMSQRLVIQWLLQGLAEAMGMRALIKRFEDDKELRISTHKLFPIDS NTSEEELERAVNMFEAMGLGDLGSKTRGRLEEIRAARRANSSHHYYGASGDPSYCDNQ KKPSKRLRDGALEYA XP_829140.1 MQADTFAYARVVVRVRPFTDEEAKVCPEDSPVPREIVVWDGNRT LTVLDATNEFLPRKNGKFEVQKVMWSFVDDYQPSAVVHKQKDVYDAIMKPAIGRILDG QNTAFCVMGGAGSGRVYSLYGNSVDGLEGGILPRFTDEILAAFKREKREDSTVKCQVE AIDVSNETYVDLLSPKRKPGANAQQEYKLVLDPVEGTKLQGVTRVDVQKPADMLNIVR QLSQVVPKRNSCHTVTLRIVESFQFTDPEQGQAVTMSRRVNVLFALLRNMPLGFQRCV DIAVERDSGENPLGKVPTRDTAFTKLFPDLLQHGYHLNFLCCVSPFYEHVRETMQTLT VATKLSKLKCSPKRSQDEALVQLRTLAAEVKNLKSEMVKQSESTKIVQNELNARELAL MKQEAAHHECRNKLLASSERLSIARTAFKLNVIRTAVHRKKFQDSVSKLRRALADVEV EKDKADANMVAASRETGEIEKRILHTNRQIKTQEEVNAVCEEKVQKRVDGETKLKKIL AFLNASPEERLRLIEMDVEAKEQGRAEEEQLEKEMAKITKELEEMEARCRAIEKEFES VHQKEGVTREKVDLKARLSSMEKDIAGKEEENRQLRIQAETKSSGCQCVTM XP_829141.1 MVLLSVLDKAKDMASRLLPTPYLELVEEATEPCLSTPKLSAVTL LCDNANTRAESVADVVRAVRRRIANSDPTVQYLTVIVLESLVKNCNTKLHTEVAAQKG IVKELYNIATRSATSEKECLAKEAALALILNFSVWFAGHPNSRLKFLTSVAEAVRRAV GPNAFDGIQPDIDTRLSMAVGPTGQRTRPPAKQPNKSIHHPPETGLPPGAHVVDAIGI VLPTDEELGTMLDVCMTLAEYLNDIKVNEDGSVEMDDVIESILSSIRSSNKLILLILG SDLKPSNREMLVDVCNSQNNLMQRLSKRAPSQDTRQGGTTAQSTSDSLSGASVAATAK TPSASSKMTAASAAKPSAPPETPKEQPVKLLGASQTVKRPVKPTGGESAAHPQNPLDL LDDLFPAAPAQAPAAAPPAVEAVGKGAENNCEDMYSSEEVSEERNRKPVKHVYTDFED LLARQAPK XP_829142.1 MGPSEYDELENIVERCSARGPNTCGWKITMTEDIHDEPDRLNGY PFADHNGIGVRLSYTKGSITVRANVSSNPKTTWCDLLPTLKWRRDAHGAQHTFFLHMA ATPRFTYTLRHPEFFITSDTNLVDGFVSRCTATTRISPKNQVGVSFTYDPSRSGLKDY TFLFAREACAAVLGGDVVAKYNATRGIGLHLRVPTNAYTSAVVLAEKQRFIVGAEARS SCGAQMLMNINLVDQRAEVALSRFFGPVWQVALNYSAVLNKPTTGYISTVSPRFGIVF TGR XP_829143.1 MTSVSSGAKVLRMKESDVQKLLAMHCHLGTKNSSSAMKKYIHGR TSDGTNIIDIHMTWEKLILAARVIAAVENPQDVTVCSTRLFGQRAIFKFSQLVGTSFL GGRFIPGTFTNQIQKKFMQPRVLVVTDPRTDHQALREASLVNIPVIAFCDTDAPLEFV DIAIPCNNRGRHSISMMYWLLAREVLRLRGTIPRSVPWEVKVDLFFYRDPEEALKQEE ATAAAEVHEEADEGYGWVERDNTWEQ XP_829144.1 MEPSKTSTRRNPDQCYACYSEIANIVAQMGGLYCHQSRNAGPQL LCRIWRTLCESVEETLVRKRSCIIPDFLHASIKVQRVSPFDGEINLYKPQMVLLPDFL SKFHVQNVLTFRDAHYHATVPNIISFDEIAAIVGTDRHIVKAAVSESVREIGKYLDRN KCAVLSIDVGVAFLEFRGREYRIKWADTFLERLKREVGPRSFVEPYIPPTMAPDARGC RFQSECLTKESLHGSLNDTLQRESRTQVVEMCGSQNKQFTLSPS XP_829145.1 MVSPDRCMNKGSSPTFTDFPPLLLLLLSTSPSPNLLQIVTLAHT RMNVAWGTKTTRNCNEHMLMASPVADGSWNRSVRSLRCYSTESHRYNHTPCDGSIPIA FAPVGLSCLQTGAIVGHANQNSSIPVACQRGLCTYGHDVQLLQHHHQLYNQRQRLRRG APREAPVAKETGSVEYGAGPPTGSNSKRPLRWEGSQSSLNESRKQKKRNSGSVRDLLF VAHVSNFSQLEELRRTNSLPDFLQSFVPKQANPPTTSMPMRFLIVVEKLYLAACVSIP PSPEDVVLSERTAVEFLEVRYVHCDDGHLLVDLKSGTCVAKRIHSTFRKALVQLSKLE AKLLTGEYAGTMQVLQDKSLFRGISYNPLVYAALFNLLTQNGGEEDIDAADLWSKLIR EPTPNSSHSDTAERSHTRYEKAEDGEKHLVGDTASLLPSCGVALFSTVYGVRLFKRVM GIPGLRRLFFQLLVEAAQPMSVTEVTTPPPLKDDEALERSTANVTKRPSEQCFMAIFS CSLTSYFLFECFGLVDGADCCEEEVDIICACLKRSAVKVACSNGGSFTMIGLIKALST RRFGECQRNWQGDEGSVIVHEKSASIIDPNSESNVLSTSSPHERLRERRVESDEKFFR AVIRGFVAGEGPGSTREEKVSRGRRVKFLTQHVIACRVTQSLIPVLVDSIHLMEDRMK KRQRQEPVRRGEEEEEDLLEVQYFEDCTAFLEEATDQCGLMVNHAHGNYVLQALIREL VKRSTPRSFVEKMLQKVSDMLIASILEVSKEKFASNCLEAMIDACKTARGGNGMILCL GSTLLRKGRDRMLQVTFDQYGNYVVRKMLNHITALAVGEQKAMESEREEARRLRGQYF SILAQNSEQLRTARYGSGIYDWICCQSSGIPASHK XP_829146.1 MNYPMYVGEYSDDRTGFDEEVDEEVIPWIVWFCEMKSHEFFCVV DRDFIDDEFNLTGLSSMVSFYHYALDLILDLETQSSSRLTEEQQRLVESSAETLYGLI HARFITTPRGLKLMEEKFVEGEFGRCPRVFCGGQAVLPVGQSDVVRESSVKLFCPKCQ DIYYPRSSRHRALDGAFWGTTFPHLFLMHLRENGKVIGRPKQQYIPRIYGFRLHNKEK CVRDEDVERAGSVGGGAAGADEGDAEEEEEQEEEEGSHHQQQIGGEGSTRQNTPNSRK GRSGARGPRGEPEETPKR XP_829147.1 MEACVGGCRNEGEVGKQDVLERLRTYWSDERMKQKDVKERLSPD CGQARSTEHALNPFQKMRGGSCAVLPAVSHPLFSFVVCDKVFSCGGLLLYIYFCVSAL SLYGWLVSLQTCDPLLPGVLSLFSVGDAVCPLLCHAGTKDATHNVHRYIHIYIYMRIT XP_829148.1 MNPPTTQANGDRPQRQFSGANRQPATLSHEKSHHTPMRRLIMTN HNRHAEQKGQRQGNEAAQSDGELLGRAKTHSSFAELRRSLVDASAVAEFIQKNYTGRL RDPKQLNNFFQALSNRPETIFTELCGLYKKEVCLMLERMLEVYSTATSTSAVPISPAA HEFIIRCEQNGVRRMTWADELTQTNGTQKVEKGEEGEEGVDAKASASASGPVPVLLFS PRELIKKVANVEPRGRLERMIEMSTTTADLESCARKLQTVATPAQEGKKNLEYAAVCR LLSKLASKTRRTCEAIIRTATEAGKLPVDDLSALDQVIGTLLAVTQRSFSERPPVVQQ HPIAKLSNLVKWCNTHNLLQYNADKYSALVEALEKQSSLELHAQAAQLETVLLLKGLQ PGDDAAATETLQKLWNESLGRYEPCSADVLSSIAVVCRADGISDTLRTQVAQRLVLTQ QCVQRERKSNETILPRRALSFVLVEQSKEKRDAVKRMLAKEENKKRGRD XP_829149.1 MLNLESLNTGLTRVVSVKKYPVTRRQQLHLSRTTKGRVFRIGVL HSKEMTEAMLLKNRRGFVNSQVNTVAHAPSLYHWLFHRCGVPFEEAAELASSGGLTVN GITVDRSELEAQRDWAAFQQKDILIRVPAPLSERTAGLSSRYGNKETPSNRDNNGETN GSSSSKASLWVPALKRALHRQYFLIYLHPGVSITSDQTDPRSFVHRLTPTIGSTAGLG MNILRPIGFMNGMKGIGIATNDVSMVRYWNNEFLGNFGVYDVRFPRGVPPEVLWRLSE EINADLDNGIRKQLSRDVKVPCTCAVEPVPIFKQHRQTQRRDVVSDVYPQALHNERLV IRTPLLPYRVARKIRHASGLTTLVRSGPFVFPPSLPEVKARQLSPQELALMFTFERKL KTNRVILSLREFEADAHDDIAGERRGL XP_829150.1 MSWAALVEKAKDVTPAVTEPKRVAFAVEPGEGRKKESNSAEGKD GNDVVGDVVGVEVPSFSKGLLVLDANAFIKGMNLLDGTADALVTTSQVISEVRDRAAR QMLERLPTHLHVLEPSKESVTAIVNIAQKTGDLGTLSRTDIRVCALALDCCKATNTLL EPIAPRAAVINPECSDGTKIVTELKDESEEAQSEDDQNDEGVADHKNDTERGDDDDEG WITPENIHLCKANEGSSAGAAAGERFECGVACVTSDYAMQNTLLHVGVPIVGPHGMRI REVRQWLLRCTACFTINADTTRQFCSECGSGNTLRRVQYVVTTDGERQLFINFRKRIS TRGTVYNLPKPRGGRRGTNRCLVLREDQLAHLIRGTTSMKMKEKLATRSGNGGLDDDL AAFGEAPKQRKRDPDQPRVTSSYHKYNVNERKKLRAARRK XP_829151.1 MASCVTPHTRVFLFICFFFLLFPCLSLTCRSGPGGWDENEGMRY PGRRTFCRVASTATCGVLLANTLLISYACYGKDTFFLQHWNYGKPVIMKKTHLLAFWE NALSAGERGCVQAWLDAMSLKSPSHSLFSPLFVLQDTLLFVCGLPEWRSAVLTLSKLL GTDVVPYCMEIRRERQGDVIFLHLKLETELRPRFLQWAKGEKGGTLSFPSVATLQLEE NSPGSVGSNKWRIAAAEHRWFGGPIVSQQSATLRSPWGDVGDILRRFFAYSMLGISRI LVREKEL XP_829152.1 MPALRPPMLENHALVVAALAMSFPSPHVSSLILLFFSPEMLRRT LSRCFSADAIGPVHPDVMWGYMDARYAGPGWVSWIKQLPVETLTMQGGTSMTNMNDRT GVIADPEDPRHPIALIQWGPMNHYDGAFMQLSYGDYGPISQGSRLMQVLYDNYLEPFS MNRVCAQLYFYQKVPNNYHESVEASAEYIGQTASLIGQVLVGMGSVIMEGVTVKGDTN CVYIAEGVQVLENTCIVSDAPTDLLAYQRHEAINPYQQWDGMDGVCRIMQNTIIEPNC FLDSCSIGPFNRIGHNTKIMKGVTTGTMVHILPGSVVTKDTKIGDGELWGGAPAVKIG KVSKFEWKRPYFASLLHRESVVESYRNMSRYGDQVVHFQDKMGKLDTLMLQYEKDVPA SVKAKIKEFVQGREPFHHMLTRITQGWSPANRPDDKNYNLCPPLPGVKLFAEHNNDSS DSDLHGTYMDITNIFNDFRW XP_829153.1 MGKIHEIVKPRQMDTSSPNFGLKSPYAHRDASDEIDELRSEVAL LRQRQEQHMMRWEAAMKEQRQHCCDLAAVYRQLQELVNGIPDDSLGVARKKPLRPSPP DSTLLDEVREVLLDFKNYIERGRIVTNQKLEDMYNGIGEVKRALEEEAQHRKRGEERL RRLEEKQEQLFQLVASAASANDLSTLRTTLTELFKSGQNDLVVSSSRQYDSVKERIAD CERQFRALQAEREHSERKLESLCHGNLQTAEVSIQQLQRRVETLCQELRAHTAPQNAE KPSAQTYTAYKIDEMLASLEMRMSERVDTTIKQFDMKHVQFVEHMVDSAKKAIEVADN LPKQVNHLQDEVANIQEAIQRHGEALNDQFLQGPLYGAFEEVRGWLRDVEQHTVKRGE FNEAMVNFDEKLASLRREFLIGGSVEHVSDESADDTGAFRQSKLAIIAPP XP_829154.1 MENGKNDEFTVSDEAVENLQKDFEEAMAALAEHESFDRFRMEYD VLYRALRKSHDSEKRLVKRCQQLTQELMSNAAKVQAALKLSQSDHTTIDALKKEIEKA WRMVDSANEKDAHAKETMKNLKEEVASLQEIMANGAELTSSQSATLEGLKLEKKRMEM EYGELVKQMDNLTKEIKELNTKSKELEVEIMNNQEEFKRVTDRETLIQQEYDKEIKAR ERADFQVKEQLHLAQQRAKELKTHEQLRINLTETVTKLRAQVQEDNEKRQLLEQKIET AEKQLYHTQQSYDDAVDTTEALNERHRAVCKEIAEAEKMAHDLLSEEERTRAVCDGDY KKLRRLIQQNDDVRQEYENLTRQQSNIQKRINTVKKERHAMNNAYEVLQKEQDTLKKY GEHERKKLQTIEGIIANEVESQKDVEAAIEREREISVRLSKTIAKLESEREKYTAEVL QAVEQHALVKEDLKVATITCNETQKAIEESEQRLKKQQGLYEQARAERNLYTKKLIES QDEVMELKQGFRMMDHQIRQLKEELAMKEKKFQDETSAQKIAKEKLAKVRRVVNERTI ALDDTIRNCENVAQNIKQLVKVVNECDKQLSEQRQMFLSVSNERDMLGTQLIRRNDEL ALLYEKIRMQQEVLSRGYAACRARQEDMRLLRLKTEDLKRQAKIADRRAQDTKQLQED IKQLVYDLTVQRAKVQALTEEAENPKSSLRWEKVDGRNPTAEELNRKIFRLQRRLITK SEECVEKDMELQEKQRLLTELTNILARQPGPEVVQRLNMCQKELHRTCSVMKQKASEL NMTGTHFAELKYEAERLRREVNDTRRKYYEMRMSNDELTKAMEASRSIKS XP_829155.1 MTETSRRDLIFLVLSPFSPSLSSKALRNSHTRNMTSVSSGAKVL RMKESDVQKLLAMHCHLGTKNSSSAMKKYIHGRTSDGTNIIDIHMTWEKLILAARVIA AVENPQDVTVCSTRLFGQRAIFKFSQLVGTSFLGGRFIPGTFTNQIQKKFMQPRVLVV TDPRTDHQALREASLVNIPVIAFCDTDAPLEFVDIAIPCNNRGRHSISMMYWLLAREV LRLRGTIPRSVPWEVKVDLFFYRDPEEALKQEEATAAAEVHEEADEGYGWVERDNTWE Q XP_829156.1 MWLFFGTLCRGALVVLLTFLLYFDSSRLPTPDYVAGEMCNMLER HAECSSCQKLLSHFDVDGKGDESPIWQTLRRLDKPRMPAVQPCDGKTRSVECNHFLEN SLIEKWSMVSALVHEMKKFYATTDPDAVGIVNTRHDELVEFILNEREARESEQRELQK WEDCSSIVDVESDTSELSTNKLRRHTYESSACSISFSNDRQRSFCWCHCAGKLPFMER TRIWLLHIYLSYDMRMKIIILQHQRNTLFCLLLELCLLGIVMRHQTVEAAVEVAGAVH PTNGKLSNELTDVFMSQVHTGKSTTRRVAPPRLRGSSHRKD XP_829157.1 MACVHVLVGQCGNQLGAHFLTALTDEARRCSDEDYASQISADHF RPAPTQKGIRRGGVSNGNSGHHDEPPLPRSVMIDMEPKVVESVVTGVNDGGAFQVRAE QCVTRDEGSGNNWAFGYYEQGRSRCDEIVESLRRQSEARGAAHSFHIVHSVAGGTGSG VGCLVSDAIRVEFPRALLLHTAVWPFATGEVVTQWYNCVLAMSALRESADAVFVAHND DFDVSARPLQKAVNGRTSEVSFDTINHEIGRLLLDLHLPKKLYPVPSTAPEKKPHPQS TFPASRTTSSTGAMASLRCGGLTDVVEAVALDPALKFFSGLALPVTPPDNRVVAPEAT SWYPLLCEASRRTSELFVPAPSGDNNTSNNLSTAFIEQRPLLWSLRGPAACSEGLAHL REVLSRSTPTPDGRELYVPPSALLLHEERLLGRRAHVSVFGPTHNIGLRLASALERAE DLLRVSAYVHHFSRYGVGEEELRDAVVRMWDTAAAYGAA XP_829158.1 MCVYDKQQRNNLHIQVSITKEREGRVNEIGLSRLGKKFKKEVRS RQACNVCVRNRGKSEVKKGAYGGGKKNYIYTYHLETPHIMSTTCTKNEPRGFHHDPYG TPLLDQGSEERKNNAALNFFTAATYADEHQKQEQYSLTNFDTLSSVDQMTSTEGEGGI SDNGTVESSGPFVVTPVPQPTSTVALAMASSTVIAAAASVVRYASVDFRFGSAWFVAP FRTSVGEIVVVEYPHNGSLHVGLVSCITTTIPPTFTTLRAAEQAGLMPTEEDLMQYPR LVRHAREFDRHTKLELRTHDLASLRSARELAEEMGAPITFIDAEWLLDLTAVTFLVQV WGNMEQVDRLADELAAREGAEVVFTYPAVRYE XP_829159.1 MFRFNQTLRAALATPKDIAAALRVLGLDTNSANISSEAVRAQYL RLARLHHPDISSGDDQKMKIINTAYEMLQSSGALNPKAASTSGTADEDKETKPGDTVE NANGGRFSPKAGRRRRRVPDDFADGDRWDMKSTLEWRAMTMMGAGSVSEEELRNPANH PFSHSKFFSFEEDVTIYRMIRGGATIRQVARTLGKAPTFVERRLQNAQFKQRVQYVMR HEKRQGLKERASGTGANSGGFNMTERLFGTTTGGNSRGREGHRKKEWEPTALDGEVPA HFADMSLEEKGLHARLMQEERRQQQLPSWGVVASKVGRSYSNYARFTGNYKKT XP_829160.1 MSAQFKKENIKGEEGEGRKGKPLHKMAPGGCDAILQEALLLGSY ADFWKLATGYTKALCNVYVAPNPYPTPGGGNAADGHNPNSATQNMVKVTTTVPCSLRT FATFITAPRSVRYCEDNVMFCDIIERDNKTKLFHTAYAGDPPCDVVAVHITAAYKVPE NTTENNPLCKFVASLRDDKRRGHVPQPSSTIFVYAMKSAEHPKTPPSPPYVRMRFHLS AFIAYEIPGPLVRVVFVHAPGDRTPKMVDRCITKMVCVRNLCQMLEWLNTGGPLTEPV IWENQRPQETPNAHSVGAENTREPNPLVEEPSDVVLQGDNPSSAPGRVFQTLFALHAS AAWRLVLQHEGCTVEECEARCCFPAPAFLKAYRFATTIACSLDIFRRLVSDPMQLHRY DPSVEKVELLHSQKGEDTVHIHFKQLSHSAPKQDACILTSNALLQPDEAHRWRLYQLT DNINVVYVQNGIQSTEIPAAGACDRQVVYAMGYVAIPSVKRQPTGAGDGNEGSEALRV YHVVCVDNNTASFADKQLVTIRQHFFRLTGIRNLCDEAKSKPPTCSLPPHTTRDVNDF FESGTLAGFTEVETLEDASLERTSSTNNVRRQLDLVDGMEGYTSHATAHVDTMMNSSS LEKLHQFLLHFAPHYCIEHKQSELDNKLVPAAAALQPSPFTEQQQISPSVWQAHAPTP DQQKQEVQTAQLVQRGKVSAPPAIQQSGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQ RGKVSAPPAIQQIGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQRGKVSAPPAIQQIG GKAALTWDTSVEEVVPDKQKQEVQTAQLVQCGKVSAPPAIQQIGGKAALTWDTSVEEV VPDKQKQEVQTAQLVQRGKVSAPPAIQQSGGKAALTWDTSVEEVVPDKQKQEVQTAQL VQRGKVSAPPAIQQSGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQRGKVSAPPAIQQ IGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQRGKVSAPPAIQQIGGKAALTWDTSVE EVVPDKQKQEVQTAQLVQRGKVSAPPAIQQIGGKAALTWDTSVEEVVPDKQKQEVQTA QLVQRGKVSAPPAIQQIGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQRGKVSAPPAI QQSGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQRGKVSAPPAIQQSGGKAALTWDTS VEEVVPDKQKQEVQTAQLVQRGKVSAPPAIQQSGGKAALTWDTSVEEVVPDKQKQEVQ TAQLVQCGKVSAPPAIQQSGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQCGKVSAPP AIQQSGGKAALTWDTSVEEVVPDKQKQEVQTAQLVQCGKVSAPPAIQQIGGKAALTWD TSVEEVVPDKQKQEVQTAQLVQRGKVSAPPAIQQSGGKAALTWDTSVEEVVPDKQKQE VQTAQLVQRGKVSAPPAIQQSEKGAIDRKEKNVHMKNFMQAIHTEGEPVHRRRDDERL GLQPLQRKKGFQSIPTNLEEEASPVQVIGEESKRNSGEPQPVGGQGDCLARAVREGQE KLTRLTGGWCKGRIEKTSAFGEKDSPVQEVQVKENIPQVTCGLSVWPTPDALKVRREG MSVLKLSQRLELRPARQEPRRQEELIWPMKGGWQGSSGSVSDGNEGLLLPMGSRSVDT DDVWEERVKLSDGTFGRKVNNKIQVNVRACRNMPAATQKKHMLSIQISTSSTEAQTWL VPLTLNPIFPHQTILLDEPEDDTVNVTAIVKTEDDRMKRLGEAVVSLRYLHVLDSYTR WISLICNVGTSDAFECGDVCITFRRGTEEGPNGVVSINGKQCEQQQLQWGEAERTQEE EKLRRYVRDVLVSAGNANLHLLEWLVGNCYRKTPGEIDAFIRRYRKDDTIMLTVFEVC GLRTEVGVPLLRPVPCHVLVETSRGSCTTSPVNLQGQAAPLNMVFHLVIGVNEPIRLI VFTGDTAVGETVITTAQDGTRTRVLVSRAGTEEAVPCGTITVGTRRGSVSELTESPKP LRVEVNEENSKRMAYLHHILWMNARSSLHKLDVIAASPLESGRLLEKFGPTAKWYDLR VSLRHWRPTSAAWDSPIVDNTLGTHKREKDGDELLQHVQTVYRRVFAVVHIGLQYHKT FEVRTDCAGEVHFNETFTFSGVCPRRDEVFVSVIGKGLTGTEDHDLGVTVLSMKSLKL QQRTHMTVPLVRQAGTRGARFQGSLYLELFAVNFDGVAANDYEAEMCRPSIRSILYHH APRELHKAECFLGSYASREKDCLRELRKTYGPDILKLPMAIRLMSLNQLTPYPNSYLK VYLNDTPVIRTEKLSTDDPILFDPEDSKSRARVLVDDVYDVRLRFKVKQTRFLLTKTL CTGDFSLRGMVVSQEGVYWIPLVDSEGVSVGNLCVELKSSAFVYNDTVKRAKDFVEDD VVSLIRRYRPSKVPIMHMIMEKVSNREAAHSEIRREVVTEPVAATVFISVELLSIHRV FFEQTEEDDQQVWPEGETEYVVTAKYGGDESSTTTKNFTPQGNSSTIRLDVRTFRERK AHKLIITVRRQRTLPPPCPAKSSEAQNGKIPRFGPFCKATPVVSKQRPRVIMPQPPSL LHEPEYVGCAVISLRALFTPLLYSTGELVTVPLLYLPPNANGGPVVPDGRDHTGSGVH SEGEVVGNIAFRVRLPAYEQIPSWLQFDATTLEKEIKLDRAAIRYYEERIRNILAEHK SHCLWNMHYRLYEKYVASGHWHRLLRGWRNALLRQFPSANNSNDVPTSSMWYAPSTAS DRDLRSDNMNSILERLKGEFSSDDSLMGDVAETEMAGGENSIETGSGSESESD XP_829161.1 MRRCLILPVLTTASKSSFASCRHSSSGDGRNGGLGSSASSSATT IRESMKSAGFNFNTPNFCLEGATESDVADTAGELITSPVTSLNFVRDMYGPWLVLTEE IQGELFIDHDKMVYLRPANGLGFGVGRIDTGEPCSSGTAFLMSLEWYTYPATTSMPPN NACKTEVTGVVKHVHSSSMDYSTFTLVASWRSSSGTSGKFNAAKLSPWDPVGGVKRWT PNGDLMQVFHQVFPTPLRLTSHIKRATAARQVGSRSGGLGEGELGSSPHHLSLEQYRV GELPDLYYIPNYISEEEEQEMMEAVRSTPRELKTQLTKRTVQEWGCSMCETCNKSFVS DRNMPPWVEACTDMQVYDGIFTPSVFPNSVRVHEYHPHEGIAPHCDGPIYVPRVSVLS LGTPCVMFFYSRREPYSEPMEHYNDTFRFKEGIAKESPIQSVVLEPRSLLVFAGDVFH YYPHGTCDRKIVPLTTEVAGRVVNRHLLQDPDIKEVHKGFRVSVTTRNLLPRCNHQPE RTEYGMKRAWFIYNQLPVPQQLFTSPPLAPDAKTGNAGGEEKAKPFDASAGKSAAGSA HPGTDENMANIEKKLDQLLKQQNALSQQLDEVRQLIAGDAGFRHEVSTVLGHLTSTVL QIESKLEELEDNRAREMGETGGQPTA XP_829162.1 MENDVDEEVRLLLAVFPDEVKRDPGHAGTLIVSLPFRFELQITL PPHGYPSTSPPSLFVASGPNVTLISEYSSQLLRLVREEIPLGGPMLLHIVTLAQNLAT ELQVTREAQRQAKEEQQAKHKLEAAAKEEELQVTAVEVWSSDPITDRKSKFVAHMARV NSEAGVQEVVQHLRRQKHIAEATHPTIYAYRFTDTAGVLHADCNDDGETGAASRIMFL LEQKKVDGYVVVVTRWFGGILLGPDRFKHIMEVVHNILLTMP XP_829163.1 MSKYVNLDSLMGKTTSVPMTNFEISGMVQMEQEGVMEDNSLFPS LSFKERVSGYIIAFIVSFLLSTMSWIALPHSLRKYAALNTMANIVSVGGTMFLCGPSA QLKRMFDETRRGATTVYLTSLLMTLISALILKFVLLTVLLMLAQYLAMIWYTLSYVPF GRSAVLKVLSRFT XP_829164.1 MSSAHNTLESIKYRRGVLQLLDQRRLPLETVYCDITSIDDICCA IKEMRVRGAPAIAVSAALALAVVAERELKEQRQNSVWKTADDMRQFLLMSCDKMMSAR PTAVNLSKVLIQLKKDIETDKANTMEAVLETCVQLAEKIYAADVSFNERIMRHGAAHL LKLASKERVNILTICNTGALATSRYGTALGIVRQLFYEGHLQHLYACETRPWNQGARL TVYECVQENIPCTLICDSAVSAVMKTHDIDAVVVGADRICKNGDTANKIGTYNLAVAA AYHKVPFFVAAPSTTLDPLTPDGEGVVIEEREATEITHIASGGGGLQSDKSNSDYGRK RVVADGPHLKVWNPVFDITPASLITGGIITEHGVFPPATAGPLFDISRIVEP XP_829165.1 MTLEHNDEFATEGAMHSVDDPATTSSNGNTHRKPVELSSNAVHG KASGADVLDGNASASTDGRTKPLNSGPTREAVDDVMMDECRRWVADVVGDAYNAEVLQ KENFVDSLRSGVVLLVLLQKLDDPPVPDSELRVPKRVDGFFARDNVAKFLRQAAKKFN LTEIELFTDSDLCDGKNDRAVITCLLSIARTAYSRGNMEVAPASIVQQQELSVRIKQA ALNQLRKHLAEEGEEDGKGEISKKLEPVAQEEVSHTPCENIPESGEPMKEIKNEVDVE AVVNESLADTEDYRSVEESEEADLPDVPQHGEQMRVGEVADDDKSRPSGTYETTTRHA PVRVRIEGRASDTIKLPQHRTIRATKESQGVAITPVPHQVEQEVNAPQPRGMETQRHG PTAPPAYRPRRWDKVDILLSVVVNAHFTQHPDTNIRFRRLSGNTGEYMVFHKVTGGKK LLHARTELGKVVVGEQRKKGNIEDLVWTDLSHWLERHERDFSN XP_829166.1 MPLPPVEDAPNSMARRHYLVERNRLRVKKYEPTRQAFEEETVKL SKQRVEQRVAMLNSWKSSVPLHTDTTRPLPGAARRQKEKDEPAAKHINLQILDEDAAL KRERRALLRADILQQKKDREEYLAKWRANEKAYDSALLATNAEFARQMQEQERQAAVA TKQYMDMMRASNLKELEAKRAKQREKEEADVAALRTMQENLRLKMEADERRAKDMKRL MQIENEENHSLFKKKQAEDKAREDAWIRTMMEHNAALAERERREAEQKRQQFKADFED TIAKQKEFRRTHDYDEPQELIRKRNEEAAASAVLIRQEERLRNNEQRKQYREELMKQM REKYEWQLSHLDGV XP_829167.1 METDDDLFAELLAAEMERTNVLAVENCGFVLQSGAMNSAPDPAD TNRIGGTGQLVPQRLRVDLSSPPHGALSRVTRSGGKDSNVGTRQWEAKDTNELLSSYT TKGSGCHKETCAWRRATYLSDTGGNEDELLIETLLQLANEKISSSAERESGVQPPSVF MGVTSAASTKGSGQVVPASSADPLDDAVFWAALPSGPPATTAGVASTSAQSIGMGAGP RSASSIAPQNGHCQENDAQVLTAVLDALADGQSSVSGDFLSEEDCNMDELLERAEHVA ASAIRVEGKKGVRLVRIHEHVGMREELHGNREIVGWPSCVCARPSPFDKNAQECTKTV SPPAPRRVTLCVGTTLGFVLLFDERRRPIGKFGPPNSILTTLSKPLGAVVSISLSHEA STMAVGYQHGTVKMVEASSLSTLREVTDEFTTPIIRLRHCQEEAFRILALGSCGSIKL LSFSRVLGMMSHRVVKVASPGGSAPFSDVDLVFMKEDRVHVVAAASFEVLVVLAVDCG AQGVVTPLYKRKQQTKGTRHHLQLQFVPVPLEHELLLCLVQNTDVEVFRVANGGVVTD VQKITGARLERSLRAMTHMDSCCLFLDEDNFLHLFDVSAGVVVESQQMHELEPAYFST DGRTNRCNAALTCSGRNALILGRTTAFSCTLMSWWERLDDLVSKNRFLEALDQLKDFA LNVALAVSGLESDPVARRTSIHAYLSILANKFLAYILKTANSTSFIVGSITCLIKACA DVDAMHVFFDSSMVYLRDAKGSGALALYALGHCINSGVVTFLPEAYVEPLFTLLADDE SDIALEVLHTLTTQGEGEPLHGVSRAERVLMKLEGGHECLLPLSEKYNLVRLKVFILC RWHHGYADALQYALREWRRVNKVNGRDNEVAVDLFECTMRGATVMDGVHIPEQCWREA KGQMWRHLLNTPGEFETLLRVNAERVVMVTLPILQESGPYSPWSNPEERDDCAAQLLL HLAGVDFASGKPFRPWELVQRDWPPYSVVQQLFAGIIHLVLGGAVVLTPVQLFFDHAC THLIYQFQMARDNVQRRSAQTDVIALLTSPHMEGLNFAFVEAALHQQRMGRALAELHY IRGELAEAIECYLCKEYNRFDATLAKDVFNFIWRVSRIEGEGKRERSRRLRDAVMHHI AALADADPTALARFVFEHLRDDHEEVMSIIRKSSKTFLRYIDELVAKAEPKVLGDVML QNAYIGLLCSEDPERVYPYMRAYEAALAYDCDTVLCSMKKYKVIDAAVYLLEKRFRVE EAVEVLMDAITSKLHEVQLELIREVQSPEMRNGSFVSGSAQRKHSSPQRQTIRAAQSG SCEEALQHVVRHAVDLCARHYAAEEARSYKVWMTLFGHFASPQRSLGDCLEREGALQE SGSISEALDERNGGPAEFGGSTKKSGTPLSLTPEERSIVVKRLLPLFTDYASYILMCM IKVLNLADVITFIVGSCKREGLKTLESIISRTVGSLRFECEVNEICNDSLQQDAINLS REHYRRLNMGVMPVANMCYVCGGDLNFARGVGAETAVRIYACGHAYHEKCIVGGASEH LGNTCIQCSRSRSGVVNSSALNMGVVQLGEGIPGNVGPNQRGCHLEEEEEEGGEAAEV DNPQMILRRLRQTRAKMSWSRECRNRIQSFLRKKCGEQETLSMAPTVERPLVVLPGVT GTTSAAASEEGPEALPEVDNALPMTLTDEEVLELFDT XP_829168.1 MERSHGRRSPDEVRYPHIVLNVLGQSYSSACIEVGSTRVVCAVH HPQQLIDEYRGSRGRVACTVRRSSRAQKHELAFRADVTPEKDLALALEGVVEQAVVLE KIPQLLVEVAVEILAEDGGLWDAIATGVCAALASGGFEMYDTFSACGAALLPDGSIVT DPSATEWNTAKATAVTCAMLNSGNMCFTAQRGSCEPTTALDLVMAALKGTLARKNVIS SQLCEQFAF XP_829169.1 MATSESDGLLMTEEVVIQQCKKHHGYSTPELNRNIYLNHLGLMN ISSLNAFHQCRVLYLNNNAIDNLEGLHPLQNLHALYIGNNAIRNCKSFPMLPSLRLVD ISSNFIESLEGLSSIPNLETLLASRNRVRNLRGVEGNRKLMTIDVSKNAIEREEDIVP WILEMEGLRSCMFQGNRFVTSMQSYRKKIIAWVPSLRFMDQCPVFPEERSCAEAYAQG GTRAEKAQRDENRRQEEQERQRQFEFFGSQRQEARESRDTKGPTCTNTAYYDDNDLQC IYVPNSVGRV XP_829170.1 MTIVADCGNSQAVTSVCTMGTPECDEELRLFLRCLRALHPHVQV VVGCTSGMISPGRSASSAFADFGMDEKIEWVPCLDPYLPINRGTMEQQHGVWYPSRHA DFMMEKANLMERAMMLHSPQKPCGGGPECVVAFLDCDVVLLGELPCIPFGTQVALSPH QLRTRDEALFGKYNGGYVVATTPSVIYEWRRATQYSRYFDQASLECVANSFSSTLYEL PPQHNYGYWRLFQTYRVDPLAEAKQFSIKPMGSPRQWTLCYEGAPLRSIHTHLLTSTC RQGRDVQAFNTLIRRWIQRCVQPPHRVTTAAVAANRLYEVCLGPYLKVAGQKLSKAPP XP_829171.1 MVAALLCHVQLLRLSTWKLSNNPATDRMIPPAASVSAASPYRVN LVHCALRGLLGGCLGVSSLTLHFVTTSVVFFPSPCIRTCCADGQPHVCSRCVAQGPRL SLDAPRSFQLASVPQQVPSRVSDSPSLQRQYFLSDRLFSRLPVLCLPIPPRAPSLTFF ASFQPYSPFSNGHGVSPLSKMRGCKQSAFEPHHHRLWVRFALSFSLSRSLWPSIPPIA SRRCEYFSYPHHHLGSRTG XP_829172.1 MTKRTKHALRTVKDFIFHPSVVGHVFPGKKMKLSNRLNLDLPSP LCFIIFRNRLTTTSSVVFDTRQLLARPFAQNTIAAMTLLGSILFFFWNFLRAFVRVSP HWRGYQPSP XP_829173.1 MSEIAHSAVGDVPIDSFKADSHNGGKDAWVCTRCGKVKDLRGDH LRGKMEVRSDCWPCGKKATFKLQSRLSDDGSNNNVPAASTVDDTHQPKGVGNATIVGG FRAPVVPSTGDEHQFLFPAPVLQCSTPSLSADCSSVWKCSICGKVKPLSGDHLRGKTE VRSDCWPCGCKRTFVLSPVDGEYGCSALPKEDASKTISKASENVKEQATTGAQIPVQP AAIPFKSVFDTQSSGDAKPPAATPFKSVFDTQSSGDAKPPAATPFKSVFGTQSSGDAK PPAATPFKSVFGTQSSGDAKPPAATPFKSVFDTQSSGDAKPPAATPFKSVFDTQSSGD AKPPAATPFKSVFGTQSSGDAKPPAATPFKSVFDTQSSGDAKPPAATPFKSVFGTQSS GDAKPPAATPFKSVFDTQSSGDAKPPAATPFKSDSINGFFIKQTRAGIMSEIAHSAVG DVPIDSFKADSHNGGKDAWVCTRCGKVKDLRGDHLRGKMEVRSDCWPCGKKATFKLQS RLSDDGSNNNVPAASTVDDTHQPKGVGNATIVGGFRAPVVPSTGDEHQFLFPAPVLQC STPSLSADCSSVWKCSTCGKVKPLSGDHLRGKTEVRSDCWPCGCKRTFVLSPVDGEYG CSALPKEDASKTISKASENVKEQATTGAQIPVQPAAIPFKSVFDTQSSGDAKPPAATP FKSVFGTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAA TPFKSDSINGFFIKQTRAGIMSEIAHSAVGDVPIDSFKADSHNGGKDAWVCTRCGKVK DLRGDHLRGKMEVRSDCWPCGKKATFKLQSRLSDDGSNNNVPAASTVDDTHQPKGVGN ATIVGGFRAPVVPSTGDEHQFLFPAPVLQCSTPSLSADCSSVWKCSTCGKVKPLSGDH LRGKTEVRSDCWPCGCKRTFVLSPVDGEYGCSALPKEDASKTISKASENVKEQATTGA QIPVQPAATPFKSVFDTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATPFKSVFGTQ SSGDAKPPAATPFKSVFDTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATPFKSVFD TQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATPFKSV FGTQSSGDAKPPAATPFKSVFDTQSSGDAKPPAATPFKSVFDTQSSGDAKPPAATPFK SVFGTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATPFKSVFGTQSSGDAKPPAATP FKSVFGTQSSGDAKPPAATPFKSVFGTQSSGDANCRGGVVSICLDGESSVTNSASSSC RSTVQANILHDSVGGGVVCVADDLSGYQSNSLDEALNVTGLSPLFSAFERMMNDAKEK VLSALKEELNQMVSCVGGRDCCKCGRAIQSGREVKENYRGRNKSGDKIMWAHLENAFT KPY XP_829174.1 MSLDPRSCDAICEELHAESCICDGAGILNLCRQVKELRVGIRRL LTEDIPTLGDIITEFQEPHLREFRDFHRGARRDNVVRTPTDIVFATTSLLRCCNELSS NVTRGFNRLANKETVKLNTHAIGYAPIFSREKYSSYPPATVETEKNENRTKDKKLNSN HCAAPQVKAGGEKEGKANPQARHETSRSLVSQKEILTSRLTTVDKYPAFGQAITEDKP LAFGQGATADKPPAFGQGVTADKPPAFGQGAAAGTTPAFGQGVTAGTTPAFGQGVTAD KPPAFGQGAAADKPPAFGQGVNADKPPAFGQGVNADKPPAFGQGVTADKPPAFGQGVT ADKPPAFGQGAAADKPLAFGQGATADKPPAFGQGVTADKPPAFGQGAAADKPPAFGQG VTAGTTPAFGQGVTADKPPAFGQGVNADKPPAFGQGVNAGTTPAFGQGVTAGTTPAFG QGVTAGTTPAFGQGAAADKPPAFGQGVNADKPPAFGQGVTAGTTPAFGQGVNADKPPA FGQGVTAGTTPAFGQGAAADKTPAFGQGVTADKPPAFGQGVTAGTTPAFGQGVTAGTT PAFGQGVTADKPPAFGQGVNADKPPAFGQGVTAGTTPAFGQGAAAGTTPAFGQGVNAD KPPAFGQGVTADKPPAFGQGAAAGTTPAFGQGVNADKPPAFGQGAAAGTTPAFGQGVN ADKPPAFGQGAAADKPPAFGQGAAADKPPAFGQGAAAGTTPAFGQGVNADKPPAFGQG AAAGTTPAFGQGVTADKTPAFGQGVAADKPPAFGQGVAADKPPAFGQGAAANKASVFG QGVTAGTTPAFGQGVAADKPPAFGQGVAADKPPAFGQGAAANKASAFGQGVTAGTTPA FGQGVAADKPPVFGQGVAADKPPAFGQGAAANKASVFGQGVTAGTTPAFGQGVAADKP PVFGQGVTADKPPAFGQGVTADKPPAFGQGVAADKPPVFGQGVAADKPPAFGQGAAAN KASAFGQGVTAGTTPAFGQGVAADKPPAFGQGAAAGTTPAFGQGVTAGTTPAFGQGVT AGTTPAFGQGAAANKASVFGQGVTAGTTPAFGQGVTAGTTPAFGQGATAGTTPAFGQG VTAGTTPAFGQGVTAGTTPAFGQGAAANKASVFGQGVAADKPPAFGQGVAADKPPAFG QGAAANKASVFGQGAAANKASVFGQGVAADKPPAFGQGAAANKASVFGQGAAAGTTPA FGQGAAADKPPAFGQGAAAGTTPAFGQGVTAGTTPAFGQGAAANKASVFGQGAAAGTT PAFGQGAAAGTTPAFGQGAAAGTTPAFGQGAAAGTTPAFGQGAAAGTTPAFGQGVTAG TTPAFGQGAAAGTTPAFGQGAAANKASVFGQGVTAGTTPAFGQGAGGCVSNSVFGTTP ASGGCELGTICGFGSDPSGVKSLRVNLGANCGVGTPSVFGVPGHVSSPQVAPFGSAAT SHVFQSSSFSSIAGNYKATPDFKSVFSQ XP_829175.1 MTDIVDLELRVLEESDLSSHLELLGHLTEAPPLSGVELANIADM RRRAGIVTKVFCHQPTGGIVGSASLMIQPKFTRGGRAVGHIEDVVVDPSYRGAGLGKA LIMDLCEISRSKGCYKVILDSSEKSLPFYEKLGFRAHERQMRLDL XP_829176.1 MHRGSQLDVDRYSVVKHLGSGGFGDAFLVKDANDGMEYVLKCSK TVGSNDTLLSEAQNLLLTTCDHVVHCFGVWLEPMGNRCCMLLEYCDGGDLEDYLSYSF PLTESELVSIFAQLLLGLDHIHLKHLIHRDIKLQNLMLHRSTGVVKIGDFGMSKALRF TDEVSSTRLGTPLYTSPEVLKGLGYTRKTDVWSMGVAFYRLMTNRLPFPASNVEEMYE SYRTTRPVHPCRVHNEYSEPLGDLVMKMLTKSRRKRPNARELLALPLFTETLSARPWH PPHLRGSNYLFSCRPMTNINIRSLPSLAAERVGEVSYGDQVLVSEEEVSSEGMMWYRV LYPLEGYCITTTDGHQLFQRVSDPARFPPISSLE XP_829177.1 MRCVRRSVLGSRGLKRPMFNYTRIFSMGITQHTFTGALLEDLRA GGCCGDQTEDNPGSSLRHGELDHFESPEAEAAHVEKVNTVVDDLFSRMPLPEDPMGAA LAPTKEEMEEKAEWDVLKEVVRERYQRKIALKEAERVRIDKAMQHYRVDKDIEGGVSG VTGATGDSEKIRKKIERMKQEIVELERRLEAANHDDNL XP_829178.1 MFHRAFVSSSDLTGCTIALSSVCTQKRYWAKPKKRPKVGQGFHE KAQKWREEYLLDRHRALADSLRAYVEFSTSKRVEPWDARFKPFDRIEKDGVYVLMRYM MEEKLQLCNYHHRPVKRLFCNIGLMGPQITTKARWKPYRFATNPAGTSKAERMYQRDK TVYTHGHND XP_829179.1 MSATSFHFAASGKSIHHLDAVGDVRYCSEQGIVSDILNNIGSGT LVFAIVDNRGDGEELLRMLFTSFADTWGSPLGAWTQFGVSFIDEASCELLQYQCDRHS DIVSIIEDEGAQYRCCSVTASVVEPTSDSFDFLRVTLTLVIWSERSGDKDFLRTAEMV TTAQGIKLGSIFFYFASEDISFVDRCLSMANKLRDVCLTRTLGSNKKRDFDTLLKQVR GWSLFTNEFYRMGPQLEGPYLINLNPRLVVGEKLAHLVSRGVSWIVADGSSASYSDIV VLPLGCGSDGKNPGNVFSPHCSLSYEGETVFIRPECGMTYVNGKFLSCETELQHDDRI LLGSGMAFRFVLVTAKEQQEQSPRVVDWESTFKEFEMAAVNVTKEAELRQLNDEVADL REECDQLRLQLQQGSQRSNKAWLVLSNPSDTHAGPCVWDLCWMVDGDSVTLGPGGDIS PHLTESAAILRTAEGFVCRSDSGDTELAHLQPFTIGGGVFSLCLQSALVNAKSSAAQS GSSSELARLERERGDDVNEVKRTLYTLQWTIAHLLDFAFPKGKGAADDLNDVADPPIR ALVTDYSIYTDGEFTLQGLAAQARTMIEAIQMSMSRMAGEISGVLTPVKRAGGDVRLP ETLREGTLGRVKREKYLSCETNEKVANSARRALTDLNSRSKRPHKSTISQLSEEELHA RTLDHLSAQVMILKAKCFVAAPLVVRQMSVALQVLSYACDSKIQLKRYIRELDLILEK DPNVVELNECKKRNIALALVDVLVSLNYVMRNCHFTLADRETVDSRLKQWTSIADICI PVISNAESWRHKPNMDPSLMARQRRAPAAVHNTALASAATVNATTTILNTRRTRLQPT TPREVGQTLRSAPPGGQEHVAASYTVHQRRQMLSGTPASTLRKAPAADSVNRGVSKSS FPKHIPVSPRLGAHAKASGKVTPLRLNLATPYQCAVSSPRINSAARSVPPDVSNFNSS RTATMGRSATTGTPLKARKA XP_829180.1 MERMSLAVVRQLDADHERGGIWYLSGHRDHVLSIAASSHGVFAT CDAKGLGLLWKHDTSHSNSDPSHVSRISSEGPAIVAACFPSSTRLCTAQGNGRVGVWD IEASTEVQSLSRLPSRGKSVNWPVINALSAWESDLVAYGGDDGYLVLSSLAVRNPVVS VNLRVPITSVAAAATSLLVGDVLGNIRCFDTRTFKSLYCFQGHRGVVTSVSVKEDASL AVSYGMDDSLALWNALPFSLTTEDRLIHRGEFHQGDTKTLLRCDWATSGAALVPVSDG RVVYLSAPTLDGIRTILPGRHRREPAQCAAFIGDGYAVSSAETEVILQRI XP_829181.1 MKFGKRLIEEEVEQWKDFYVNYKRLKKFIHSSPLTGVEFSEELF RIIGEELERAGGLFKELLEELHRCHDELLEQDPQLPAVPSKLPWMFNRKRVHREKLNS PREGLSIAFESSTENSMMNEIVVEQREGFVSKFKKCFLKLIGDSQKQEIEEDTPRARF LEWHSSAHMLQHFAELNLEAIRKSAKKLRKYRRNEGDFTISIEAEISRSQIGRSLPRL HVFMTDVDADYERKFGEALVQFKNITINQTYHAKWRFVFLSAALFWILMFFPILESHP SAHNCVALFGVIISLWITEAIPFFCTAMLIPIIAVPLGIITDPATHRVASTTVASRII LSRMMDHVQILVLGGLTIGKALGRTHLEVYAAGALYRLTAHRPSMYLLGVMLCSSVLC AFVSNIAAPLLVLGVIRPTLWEFPEDTEAPHAILLGLAFACDIGGMLSPIASPQNAVA LSVLSFHDVSFLSWVTVALPLVLVGVVASWAIILLVWKPFKNVSSIPLQVVNTEGNKE VRLADQVVVVMVSLITVVLWVLPPNLLFGDTGVVALIPIVVFFGIGILAKEDFNTLSW HLMFLLAGGNMLGLCARDSKMLDIIADSLHDTLVSQPPYTTLVSLILVVGVVTTFVSH TVAAMILLPMILKIGLVLPKEEGVFAVSPFTLVFLSALMCSGAMAFPISSFPNVNSLL AEDSRGRPYLKAKDFLFCGTIITFLLFICFVTWMVPFTYVVFEGKP XP_829182.1 MNLELYVTLADLSRLPRGEPHDVKTLICRADTVRAPRPRELLSG RVFDISGQLLDTEYKGMPGETCVHVFFYDEWASAASFIERDDIVILKGFTVYDTPRVG DHDVEFPFFVTPIPHMAALRALQKGHKDDIMEVTVTADALETPNIRVMPKRLWGSYIA NDMQCPRTNND XP_829183.1 MSVKVWVKCSGMTDDEALGIQVLSDGTALDVVEACKGVFRWPKL STAALCTEGGEIVPPSSAAASLTNLTLQIVQFPPLASVLKRNASHRPLSTGHRKDQVP TTSTPSILCFQNVTIPRRGKRRMNPNAKKREAVGTPTRIARLWDSLPVAELAFVSGSD PRPAQALCAWSCRSTIF XP_829184.1 MPLLGPPVGAGGGGASCACNKDIKVAKVEADMRNQVAECGLTTT FPVPCMDHISGWCCVI XP_829185.1 MELEVSEESRIRSSIVEAMVQNYPLMSCTQEVNVKVATASDAAL SCATRLGDSLTKLQALSQAMSRVGQLWRKERQVALGTVEQHQKLLAFLEAPSVLQECI RNEMYHEALVVLEHVRRSSQPIENVEFLHCVEAEVLIALQQALEEQILPRLAEQLTVA SALKLTTFLRRLGVEETYIRQLFLLKRGEYIDGHMHEAERSGAPYSRIFRYLTTFKVH VAEVVLQYTACFSGEVDGGSCSELVGWCHARSFVFLERLRACLERIANGSELASVIEQ YNNCASAAALVHMDMFGLISEAVSSRVKSLFAEQLSLATQSYSASMATFSWRPPTDAQ RQALQINSEVEVVPGITSSPPVVLLQWLPLAYALNGILTAFNSIRKCVVPGVESFCVA KVEELMQIIARDLSRDRDILMAVEGAEKHAYLLFVHAFVHEFYTHVLVCVRELLGDEA QQQLAMTMQYSVEGLRGLLSHENKPSRDATRHVPHCCPSPDITLSQNAPPINDVGVAH GSEQFLETREKHS XP_829186.1 MKTKFYTYKNKPSSYAQVSTILTMSHEVVDRVSVERAEDGQFAY YMAKCEYNAVKNRISGRLPPIVPLVYDFDEDDLSNYCSSTADSRSATLRRGEDMDLDC TEIDGELLKLSAAHRERDIESRGMRKMKPSPLELILHRETLARGDINHVESLFFRRMV APFRDNPMFKVLDFFRQEQLGRKQVEDEWASESMPFLEMCRMEQTDYMEDFLIRAIRG KNKYRGPSAGESMTFEKVPLDVRLYAPLKHRCDIIRSETESEQEIAFYYLMLGQAAQR DLVEKNVICRNPTSGLMDSVQHPIDVSNYEAVDLAFSAECRYLQQREETGRMEVINLE FDEKEAILAAEEEKKARAISERRNRR XP_829187.1 MGTGADDGKAGRHQPMPQVQKKEIVHRNPNHVARDVFDLRGTSN PLAMQLYSDGISSGPLLGIPNRLVRDLQPLSTNARKRCHSDAAFSHHSGDGAGHDGRT TKHGTLQLYRPPVCGEEELKEHFAAVALEGKEVRVPTKRHRSRPGRLDPLKGTLQSST SGSPSYGENEGNEGREGQKNIGDANNSAPNENVGPIPRFTKLRSNAPNEFTYLVMRPR GIRSPYNPYDLQVVSHRDVDPSHYYTVSAAGVTKFSGHEAEFIELHTWERDCRVFNQL RELDVFREYKKWKSFLLWRGLVRNHAMSNCKTFLTKNLFHVHPQLSAALQTVQRICLN FVNSSRIHPPATETRTLEALCATLAAHLNFQRNRLDKMIKNIRDTVERAAKAAMLAAQ IERESISALHAEDEKKKKKLIDVRELGTQQKPTYIEMSQKKAVCQRITAFIRLCDYII VNCLTKLAATAVEDVDRDFQYPRPDKQQDSVTSPLNKNSWRGKRQEGHKAEAPKPTGP FTGPILSLNVVYREDSQSIEITPSLAHITECVEDVVEDYIKTLSAVPRLLTMDAFKVF TENAAHDSQKEVLSGPAVGEMIVSEEAYKQHMTSVRYGITRAFGDVEEYVNSFQCFRD MYVENSSLDADSIQKRTQNLEFFRERLALYKSQSDAISTKIPSSKDVALFTVYTEELR DFFTPSPVVCLETFHRLLPVIAHQQNELLLADLQSCNTYLAAQPKTVEHYVEYLAYNK ALEARFDTLAASYDFVREFFTLLQDEKVEIEEDLEETYRSGTRPQFEKLRTQMQIVED AKDSQQRYFIRNVDEQLDELRRSIEDVYNRAGQPVIADANADMDEVIHFITDLQAEAE RIAAREKKLRQYQIAIGAEETVVETMSDMLNDVNIKARLWVGMREWDEFVESYGPIPF DQLNVQEVQETVQKYVMTVKQVSTKLPGNSAVNKLKSKVEAWRILLPILQALTNPKMK LEHITKVSNAVGPLKDDNGVSKTIADWNNQFTLGMLHSNEVVKFKDEIVAISAAATEE DKLQQQIDKVNALWNGGGPKPPVEFQFHNHKELKDVYVLVGSSVEDVMALLDDSVIAM SSIGSSRCCQGVLRAQVDRWENRLRYMQETLDRWVELQRHWIYLENIFSSAEIRSQWK DDAKRFEKVDRFYRDLMRKAHDMPTAYRGLLINAPVETGEQQNTKTLKYDLEGNIKEL EKVLASLERKLEEKRCAFPRFYFLSNDDMLDIFAKVKSPELIMPHMLKMFDGIKTLSF TETNDITHLVSMEGERVELVNKSIKARGPVEVWIDMLEREMFSTLRKHANTCLTDYEQ RGDRESWMFQHAVQLVLIMEQLLWTRGVEEALTSENPAEAMSRFKEANYKALESLAGL TARKLSKVQRILLSTLITIDVHGRDLVDEMYESNVLDVKEFGWTKQLRVYWEQDTDGY GNCFIRQNNSRFVYGYEYLGAQGRLVITPLTDRIYMTVTGALKLQLGAAPAGPAGTGK TETVKDLAKNLARQCIVYNCSDGVTYKMMEKFFSGLIQTGAWTCLDEFNRINIEVLSV IASQLFEIKLALQNGLETFTFQGTPNVRVRPTYGAFVTMNPGYAGRTELPDNLKILFR PVAVMTPDFRMIAEVILYSEGFKNAKDLSLKITQLYKLSSEQLSPQDHYDFGMRALKS ILVMAGDLKRSQPDVEEDLTLIVACNDSNVPKFVAEDLPLFRGIMQDLFPGVHFPERQ YEELLPAMQKSIDGNKLVALDTWVTKGIQFYETLIVRHGVMLVGVTGTGKTEIRTCIS EALTSMSEAESSNPMARPVHQFIMNPKSVMMHELYGLLDVNTNEWRDGVLSVIAKNCV RESEVNKDHRWIVFDGPVDTLWVESLNSVLDDSKLLCLDSGERIKLPDTIHMLFEVAD LAVASPATVSRCGMVYLDTTDLHWSAVVRHWSESKLAEAGGEPQCREFIVSLFDAHVQ KGLDWLAMQKVLISGGMVNVVQSMCDLFTALIKCNNVQLMPDPRCEAKLQHDSKVFKE RNELCGILFAFSFVWSIGGNVDVASMDLFDTFVRNLLESVVRFPNSGSVYDYTIDYGT RLFVPWESRMVEFKYDPKIPFFDILVPTVDTMRYSAIANTLIQYGKPLLFNGQTGVGK TVILMDHLSRHKEELMLSVIVFQFSAQTSSERTQELIESKLKQKRKNILGAPPGRKVV LFIDDLNMPALETFGASPPIELLRQVMGNGGFYDRKIAGFWKHVQDVTVVAACGPPEG GRNPITARLTRLFHLLHIPTLSDESMKRVFHSILHGCFQARNFSMEVREMAKPLVAAS VDIFNKVRDAMRPKPATPHYTFNLRDLAKVFQGVTQVTQRVCKTRLSITRLWIHEMLR CFFDRLATKEDRQCFTEELMMETMTRLVPGNHVYADYFENKPLVWGDFLRIGASERVY EELPDVSKLPSLFEEYQDDYNTFLTGSGIGASSGGGGEEDEGGSGGGASSLNLVFFKD HCEHLVRIIRILRQPRGNALLVGVGGSGKRSLTRLAAYIAGRQTVEISVGKGYSMNEF HEFLLELYTTTGVNCKPTVFLLSDNQIVHEGMLEDVNSMLNSGEVPSLFTAEEREKRV NACLEAAQQRGFVDRDDVYNFFISRVRDNTHIVLCMSPVGDTFRARCRQFPSLTNCCA IDWFDEWPHEALLGVAQQLFDDEEDGISNELLPVLAPLCVDIHTAVIEVAHEYWEELR RRYYITPTSYLEFIDFYKDLYTTQRKLLEEQLSRVINGKEKMKETDETIAKMRVEIET KRPLLEKASKETEEVVADLSVRQARASEVQVQVRAQQDSAADQQRHASKIANEANARL AEAKPIIDRAKAALDTIQASDLNELRSFANPPSAVLKTAQACMVMFDPKDFGGAWSGN TDWKGAREFLSYRQLLDMIRSYPTDNVKPAILQKMQKYVNDEEFTAEICSQKGSQTCG NLCLWVHAVNEYSKVVKEVAPMREAAAEAERHLSETNAKLLSAQETLRGVEQELVELQ KNYEKSLKRKNDLEEGLKVCIRRLENAESLSNSLKSEGARWEENINQLKKRLEALPLQ MFMASACAAYFGVFTPRFRKRLVRIWVEKLAQRGFDAADFSLPNTLGDPMDILTWQIN GLPTDESSTENAIIASLSTAPRRWPLFIDPQEQGVKWLLQQYATPGTGGGGGGTSPSG VLGSGGAKGLAKPVPSVKVIKLTEPTWMRTLETQIRLGGIVILDDVGETLDPALDPLL SRRIFASEGGVPQIRLTPNTGPIDYNPNFRFFICTKFPNPHYLPDISTRVALLNFTVT IDGLEDQLLGEVVAIEKRELEEEKNHIIQSISLGQKKLKIIEETILGKLKSTQGNILD DSDLIAELKSAQSNAKVLSENQKEANEKMTIITSTRDRYRDVAARASVLFFVLADISR IDPMYQYSLQFFVKLVQNEVRVTGKPDDFSEEDPVVLQAHLEKIVSRLTVATYEQVCR GLFNKDKIILSLLICTAIERHGRRLPDDEWQYLVRASAFVPNELPPLPEELSYLTRQQ WELANALFVHVHCFSELGKDLLENGELWKNFILSESPQGAELPGKWGQTLNPFRRILL IRCFREEKLFFSVIDYVSKAMGQRFVEPPPFNLEVALADSSPTVPIVFILSQGADPMG ALQAFAQSQEQKLQYVSLGQGQGENAKRLIASCKKEGDWALLQNCHLSKTFMPELEQQ VALLLQSGDTLHPNFRLWLTSMPTDFFPVFVLQNSVKLTNEPPTGLKANMVRCFGEIT EEEFNVFSEGQTMGEFSKDFAFKKLLYGLCFFHSVVLERRKFGPLGWNVKYEWNDTDF HVSKQWLRLFFEEQDVIPWESLEYIIGQINYGGRVTDPLDRGTLQTILRRYICPGIMQ ADFKFSPSGLYYAPDAVRLEGFMDHIQKMSLVDEPEVFGMHTNANLRYQLQVSQYLLN TVTSIQPRLVGGGGAHKGDGDGGNALTPEEEVKRKCEEFEATLPEILTREEAGPRSFT TLESGLPNSMSTVLTHELVKYNKLIQTMRKSLHDLQKALQGLTVLSTDLDAMHESFLT DRVPQLWSAVGYVSLKPLGAWYRDFLARVDFIRTWLRKGEPNSFWIGGLFNPSAFMTG VFQAFSRAEGVSVDKLGFRFEVISREPSEIDEGPLRGCYVHGIHTDSWRWDAASGVMT DSLPGEPYATLPVVHFLPEPHHRTGEGWQRIPLYRTVIRAGVISSLGASSNYVLSIEV PTDKETDYWQLMGAACVCALAV XP_829188.1 MVKANYIRAGRLVRILRGPRQNRVGVIVDIVDANRVLVENPCEQ KMWRHVQNLKNVEPLKFCVSISRNCSTKALKEALESKKVLEKYAATKSAVRIAAKKAF AESTDFERYQLRVAKRSRAYWARKIFDDNDKKNPVSWHKVALKKLLKNAKKVDSTPAA KKRVEKARAARKARLAAGKSKTKVAASKK XP_829189.1 MYKIDGDGLPNAACETFDELVLRWMDTVQGLCKEDRLRVLRRTH ESLVGRTDSDKTRAVVKAGAALRAAEALTDPRMLRQIAVSISKGCTAAATEGYLAPCC MLTMRIGSCVVQRLTSVGEISSALAAQNMAASKQWGIVSESQAWVLDCGERTCLCVWT VFATEGGVGRVTFHDEHLFDACARVTLIERTLLVDCIAADDEVQTVLPLCTS XP_829190.1 MSNTCKRVAVTGAAGQIGYSLLPLIAAGRMLGFDQRVQLQLLDI SPALKALEGIRAELMDCSFPLLDGVVITDEPKVAFDKADIAILCGAFPRKPGMERRDL LQTNAKIFSEQGRVLGEVASPNCRVCVVGNPANTNALILLRESKGKLNPRFVTALTRL DHNRATAQVAERARARVEEVKNCIIWGNHSGTQVPDVNSATVGGKPARAAVDNGAFFD NEFITIVQERGAEIMKLRGLSSALSAAKAIVDHVHDWMLGTPSGTHVSMAVYSDGNPY GVPGGLIFSFPVTCSGGEWQIVSGLNVTPAISERIKATTTELEEERREVSTA XP_829191.1 MCVCVLILPLISHNCWEGVGTAQREFFTFVSVCKFTSSDSLRGR VAGMALSLEDSNAALRCQRNRAVAAATLADSMLTRSGLVCDEAHSKTFFSTHTRPIVR YGSFEELLQTHLKPDPNGEARELLRVLQSVPVLRDELALLDDATLKNVCSFLGTNSRD LARLGSVCRRLHGIAIAFTPPEVVVVPSMVSSHGLMSDVIMDSLCSFFSSYKRGQFVQ RLRLVASKYASTLAINDSLPLCSPHAFPALAKVFPSLTYLDLRGVRWNAQCFPGLEQS FFSDLYVATPHLQTLKVGVSLLVYWSPGWWQRLPTLSHFVVGSRRDDQAAALPSDVFE MLRAPQRSWSVKFWCVVKRETLLLFFLATQPLPGVRELALNIGNIDLAEAFSRQPTRA VREESRGRKSAKGSRMNTPRRAAGGNSNEGDLCVSFPSMTALTVANLDRVPLLAHKLL SWISQRAPALRHFNIVTTARIPPPPTRLKRQMMAEQAHLTATS XP_829192.1 MCMDTYRPNVSVCFRFRISIFISFRCCRILCVCPVFPLFLYCFQ LSHCYYYCYSYCYYHFYLTLIWTYLLRLRSLGRSRTSFPLFRFQRAGYLLPSTITVCM MSTESLECCECSLPVGNEGKMRAVLLVPCQHVLHAGCSEFIRKRRRIQQRLSACGDGF DAEPEACAPVEPSDGRLVACPACLCPIQRLVPLFLNSSNTPGVGDTSGYGGAGNIRHD GDEGDVIGQDISQRVVGDGRSGDTMLQFKQVFYRQQSFIEKMSELCKQRENVAQLTRS CAMLHARRTDLESEIERLSSIIPNVMASANTPRLNGDKPPVEHMTATELELYITQSSA ELSNLERELRDQRSATEKKIKKAKELQTKYRRMRATVLADVKKDSYVGIHCEESERKT PVEEGSLLLTCSSDVENEVVRYSERPHALSAPASDTEEAVMRKHALIISSGSSCCSTS DVEEVKHVERGAEEISLDDGDGDDDVIIIDDSPQPRSSRLTRGSWGRVGSEYNRGRGS AGQQGLANDRAELLDEDDVMWHPQAQRTRPMFSQTVSTPRASQFLPRRVDRLFQSSLE GFR XP_829193.1 MNPFILPPLERPATGGPWTSHVRLPPESEKILETMPKRLLPAIE KSYLSASVKKLSIWKPEPRFEDMIEALERQQRKLRATIGGREHECRYRLLWQHQEEKV IIECRTEAASVSELMRQRARYSQKVRDFEARGHVLHVETLTRGHIYKSEEDARKHIES LEAMMSLKGLRHKASRDVESAESILHGLCDVQEFERCVAQGSFHPSKIFKPVTSLIMT RNTGTGHYQGRTDLLMDYTVCDEECRARARLLFGERLALMDLYCEHNAVVTIAVEESS ARAAMWRAFRWGRCQARLEALLIIQRWWRMLRVKPWLVRRKQGISECFRKKRWKMTAS DYHALLSRMRRSRALVGGPSSLTDIRQSMAAVERAAELSHQWLYDYFVYTLMNRAAQL HRLIQHDLGIHSVEEYAERSAEEEDEATSSLPLSLLRMPYRVFVRMQAKQPYPSWRIN RWVEGSISLMRLQEGLMAEESRLRDVLHGREARETDALKLFQFVLNKGDWPWRSFCTA MHFIVHDESIGRDLVAESERNARSTLSVEEAAEASQYFTLESERLYILQEEALRREAI EKEESTVFGDATEGEWRWENLCKTRMRFAASCGCVLHWERERDRGLGSKHVSLDSVAR VITRFFRRVQRRRRLAAARSKDASEETRVREIASAMKRLLEGIIEEDKQLIPHYIAQF GAAATAVLSPVSTSRATELCSQAIGTQEDASYIDTSASLFSEWFDAQASEPMERNYKE IVARFIAAHKDLYRDMQHLFYIVRRVREGVEESELSERIALQQSEAFLQVATYQVSVC EEKGRLKLVEEIESAHLDMCEQLEMLGALAWCTTPSTRPKPFVFPLTKARKAKPEVTN ALLPLPIYMTNTNRLLCREEVTRVRIAVEELREYKAYLENAHNLLHDDVVREEATQRL LLCAKVDNYYPSNPPVAQLAALVEEETHTRIPTEEEALGTYSSPMLRSVSEYAEHVLF KRFLQGFLKFSSEAQYFDRHVTVLLLQRVSFLGSKLRELLAMESCARGRLEFYEERYR DALREAYYEK XP_829194.1 MTYEGAIGIDLGTTYSCVGVWQNERVEIIANDQGNRTTPSYVAF VNNEVLVGDAAKNHAARGSNGVIFDAKRLIGRKFSDSVVQSDMKHWPFKVEEGEKGGA VMRVEHLGEGMLLQPEQISARVLAYLKSCAESYLGKQVAKAVVTVPAYFNDSQRQATK DAGTIAGLEVLRIINEPTAAAIAYGLDKADEGKERNVLVFDFGGGTFDVSIISVSGGV FEVKATNGDTHLGGEDVDAALLEHALADIRNRYGIEQGSLSQKMLSKLRSRCEEVKRV LSHSTVGEIALDGLLPDGEEYVLKLTRARLEELCTKIFARCLSVVQRALKDASMKVED IEDVVLVGGSSRIPAVQAQLRELFRGKQLCSSVHPDEAVAYGAAVQAHVLSGGYGESS RTAGIVLLDVVPLSIGVEVDDGKFDVIIRRNTTIPYLATKEYSTVDDNQSEVEIQVFE GERPLTRHNHRLGSFVLDGITPAKHGEPTITVTFSVDADGILTVTAAEELGSVTKTLV VENSERLTSEEVQKMIEVAQKFALTDATALARMEATERLTQWFDRLEAVMETVPQPYS EKLQKRIAFLPHGKEWVGTQLHTYTDAASIEAKVAKIERLAKRALKSARREGKDGWAP GNEDNGSGDDNDGDDNSDEDDELQRGRGVTEGSGRPPIRKRDRIEAINANTE XP_829195.1 MVWKGSRERGVQEYRKKSKGNKPTKGEKVSKKGVSSSRQPKQRE LLSAKQQQQQNRKNEELRAALAGAFSTEVSSSNAGPAFDGYGKGSPTDRLQFGYAPAV AAEAVAYHSTISTDAWIEARRTRYRTAIVEQKKLRRPNCEPITSILKLQGHWRSTELF EREVHGSHAVAQDKVDDILDTFVSRQRVA XP_829196.1 MNSEECTKRRIGMNFPPVKDRDDVLKAQKGAVTQEQIRDGMQDD TPPVVRSVLELAHLMMSGHSQKLRAAPGYQGGLFYSGSPFCFASGYRDGTRPRDMDEK GNHMKLKDPLTYGVLSLPITAAYLCELHGTGVFDLHQPLRHYLPELEHKLDAEVTARS ILSFRTVLNEQQIMKDAGVDRVRPHVTRNICAATQRYVYMPINCFFAGGSSAALSGQE QRENFVQYLRSSPACTFKKVTTHRAYRCGVSHFSVALLVAAVERQLGGISFEASIRKV VFEPAQSHGAGYGPPKLWRDPNEIFYQPSGLALQHQGFVKPLAAGSLDNCGPPLLNSS LNLHAPVEDYGKLLLLSLDAIRHARKELGEFDSNSGAIPSYPHYDFGVEWLDTGRRLQ LTRRVLGIDYIPTASSFRYNCEHDLGCFGICNCGTRDACLLGNTISRVIQHLFVKHII EKGVNPMKGPNLDNPNEGESETELKFRKVVDEQKYTSVFKKHDAHTRF XP_829197.1 MTRKVAGDMWDSRVEASLPALNYLRTAQQLQKKADELSRAQERV HRLQEDNSALLLENELLKRERDALLCKVQFLELNEHGHCTTNDIVGPWGNVRSVPAGG LGSTTGGDLSPVFKDNVNKASETRVNISEQARTHTNSEQQDLHNPKEETILCPLSASS ETLINTNRPDANIETFHRSTESHVEYCANGECRSPISMTRTTQTVAYERRVPSVERGT MTTMNIYICSYQSLPSKAGAFFEVPLSNVETAIHHDPESLTSITIPRS XP_829198.1 MTYEGAIGIDLGTTYSCVGVWQNERVEIIANDQGNRTTPSYVAF TDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRKFSDSVVQSDMKHWPFKVVTKGDDKP VIQVQFRGETKTFNPEEISSMVLLKMKEVAESYLGKQVAKAVVTVPAYFNDSQRQATK DAGTIAGLEVLRIINEPTAAAIAYGLDKADEGKERNVLIFDLGGGTFDVTLLTIDGGI FEVKATNGDTHLGGEDFDNRLVAHFTEEFKRKNKGKDLSSNLRALRRLRTACERAKRT LSSAAQATIEIDALFENIDFQATITRARFEELCGDLFRGTLQPVERVLQDAKMDKRAV HDVVLVGGSTRIPKVMQLVSDFFGGKELNKSINPDEAVAYGAAVQAFILTGGKSKQTE GLLLLDVAPLTLGIETAGGVMTALIKRNTTIPTKKSQIFSTYSDNQPGVHIQVFEGER TMTKDCHLLGTFDLSGIPPAPRGVPQIEVTFDLDANGILSVSAEEKGTGKRNQIVITN DKGRLSKADIERMVSDAAKYEAEDKAQRERIDAKNGLENYAFSMKNTINDPNVAGKLD DADKNAVTTAVEEALRWLNDNQEASLDEYNHRQKELEGVCAPILSKMYQGMGGGDAAG GMPGGMPGVCPVVCPEEWVVGWEALRHRPGLKSRRLTKFPGVFRTGAAVVSLSTVMVR RHIFSSSL XP_829199.1 MYIFFSCFIFLFFIFFSMSDGVQYSKDVFPAPKWHRAEGDSAAN RECVQRVVPFKAVSAGENVDSLTCVGGRLPSVDSLSSPLEGGEIVGNVDDKLKHSHVS VVDDRQPVSSVTGRRGNSFELPGRLIGRRDGSGGVVGSGRFEITPLKPSSTISGITPR HFDVLHPLEDSADRGKHDFVGGVLNAANDAGGAKAIEELEFISDMLFMWMGVDKTKYF AYDNACCRYEMNSGYGTVRQRQAFSAFQECGCLAHQIDDALRGGTSERSFLQQSLRGA VRRHLSQYHTLVASFRGRQTPPMAFGDLVVAFKRVQPKLRVLHTMLKETENVKGGELA SKLQRLVQQGSRRLCALLSDVYMEAVSPLLHMTVSSIIKGDVSDPFNEFFVKSDPRVE DTSDTFWSSKFSLLPDMLPTTVSYSLAERIRLVAKNVSFIRNCCRCKQWHMDPSIVAA AQSATFDTLDSVVRDAMRFTNTAVLRLIREEFHLDNVLRMVNAFLLVGNGDFYEVLIH KLDPVLGRMSHMVQTSLVRDHMQSALLDITPHTKNLDTDLFTMLHCEIVKDDKIIGWD AFVVTMSVPSPLNNIFDASAMKVYRRLFRMMFKVKRAEVALKNSWRQSVVLDRIIGGL HNAKRETTAWREVAADAHLLGLQLNHFVNNLWSYLVAEVSTVSWDLLMRALRQCNSMD DIRAAHISYLQYLTLHSLLHGDCTSIRQNIESVLSVVRQFVGAQAVLTSLLERGHGDV FSIKNEYQRLADDFQREISSLLTTLEEQHLQFDFLNFLLLRLNFNHFYHDNAFGDNTE F XP_829200.1 MQSTSAGSDFALGTSVPPFLELLPLLVILLIFAFGIQAVVLLSK SRWEDQQGNGEGEVVRTITGTFYRSSFYHRFYENSKCEHTGILLSSTLLNIARHVQNG SKQLVCTTVYPRDARHGPDKQSVLFLFDPLKSDSELVLGPSSFESTGFFYAGVALRGF NLRDQLPRYAVASLPTCGMWITVDDGIEVTYQRCRKDEDFAQRVDHVLYLKARGPGAA QKLERFVDQSLKEYISNLPRTAGGQQYHFQLTGGEKTLQFTKQLLSTSKTFDTLFFSQ KDELLSRLEQFVEKKGRFAIEGFPYKLGFLVYGESGVGKTALVSAIAAYTGRHVISLH LPIISTDQQLSDIFLTRTLRCTKDFQPFVYELDDVIFLFEDVDASDDLVRCRQASTGQ GPEGGNQCGYGLGGVASQQARCDGRYKVPGLSGFLNVLDGVVDMHSLIVVMTTRDPND IDSALLRPGRFGYKIHMENMRTDELVGLVGLHFGTEQRHTAEVEGKTEGERSVNAGRN LYRLSRADEKTVREYIVSRCGEEFSINGRVAEAMCMGSDTLDEFLARLAKWYGEK XP_829201.1 MAVAYEGQLTGHRGWVTSLACPQTPETATKVVSTSRDKTLLSWG PNPDRHSSECSYGLPDRRLEGHSAFVSDVALSNNGNFAVSASWDHSLRLWNLQNGQCQ YKFLGHTKDVLSVAFSPDNRQIVSGGRDNALRVWNVKGECMHTLSRGAHTDWVSCVRF SPSLDAPVIVSGGWDNLVKVWDLATGRLVTDLKGHTNYVTSVTVSPDGSLCASSDKDG VARLWDLTKGEALSEMAAGAPINQICFSPNRYWMCAATEKGIRIFDLENKDIIVELAP EHQGSKKIVPECVSIAWSADGSTLYSGYTDNVIRVWGVSENA XP_829202.1 MAVAYEGQLTGHRGWVTSLACPQTPETATKVVSTSRDKTLLSWG PNPDRHSSECSYGLPDRRLEGHSAFVSDVALSNNGNFAVSASWDHSLRLWNLQNGQCQ YKFLGHTKDVLSVAFSPDNRQIVSGGRDNALRVWNVKGECMHTLSRGAHTDWVSCVRF SPSLDAPVIVSGGWDNLVKVWDLATGRLVTDLKGHTNYVTSVTVSPDGSLCASSDKDG VARLWDLTKGEALSEMAAGAPINQICFSPNRYWMCAATEKGIRIFDLENKDIIVELAP EHQGSKKIVPECVSIAWSADGSTLYSGYTDNVIRVWGVSENA XP_829203.1 MLRRGATLLTSAAAGGSSVGNPPAVAPGVVRSLYRMLLREVRKI DESPLSKTLFPLTVDLQHLTKSHGPLYFPNGQKYMDILREVFHDAATPQKVNLAFETL TRLRSHNERVTSRLSVFEKDRMHLINTMEASQPDKGLYRVERAPYNVNATSYRLPVGG GPSSLHSKLKIRQGYAVELEEGVALVAHPLSSTHMDRRVILIYEKGPHFTTGVVLDML FTYPLSHGNPMFPEVFWGHKVYDGGVSQINFTMPPTAHISILHTLEPPTEPESPQYLA WLKWKDVKSKSRNTETTAEHPRLLCKPLIRGGVLDDGTVEPTLYLSKVEALPYLAQLV PGKPRSSARVYWGNMRWPTRQLEAEVANGHWIPVKLSPTFFGPFPLMAESGRADMFPT VAELKGMKETRERRYGVDVSLPQVFPPDRILRRRECLWDQIMYCLGGEYRSLVGCFNP FLESTQGVLPYDLPSPPSVVGCGLSDTEESVMMMEDLIDDAVERGAGEEAESGNYEED LPFSAQMLGVTEVQGEEQLAKGERPPGSEKESDNEDDDKKKS XP_829204.1 MQTSPVRLGALIRYVSFRHAPKFRQPRPEEVIGLPVKETPPHCV PAFVLPDFINEAEERALLALTEPWFSRLPCNEGHMDALIHHYKEFYRSYKGLMEGEGA CGSCEDGCRHVRRALERCWSAASGYVPATPLDDRVHFLRLSECGFIRAHADDTRNSSG IIAGLCLGSARVMTLTHPDHAGQRVELMLAPRAFYVLMGAARYKWEHSVDWIRDDDEH IERVRGRVPPGERSLVFDGKETEFRRGERTAIIFRGVSPLELLKHKTLERKGHT XP_829205.1 MVAALLCHVQLLRLSTWKLSNNPATDRMIPPAASVSAASPYRVN LVHCALRGLLGGCLGVSSLTLHFVTTSVVFFPSPCIRTCCADGQPHVCSRCVAQGPRL SLDAPRSFQLASVPQQVPSRVSDSPSLQRQYFLSDRLFSRLPVLCLPIPPRAPSLTFF ASFQPYSPFSNGHGVSPLSKMRGCKQSAFEPHHHRLWVRFALSFSLSRSLWPSIPPIA SRRCE XP_829206.1 MRMQILILLLLVLCNIGWTRSIAKTPDVYEFDLFDGEEKGSFLL SVVDAVHIHSVVEAEGVLVAIGEFRYHLAGEVRLRTFSLSSIDGGRTWKKDNIIEGRK EMEYSSYPIPLEVVAKGSNVYVLASGYDVQGDGLGKVTISECKDSLLLISGKVVRSRG FFSQSAGVEWNKQVPLKGVFPTGLQAGPVNKFFRGRRDAIVTEGGLLVFLVCLKNENS EDVPTIIYSVDDGIHWKSTPLEAHVCKENCNIFEWGGRLMLSNGSYTGHLAVYESINM GREWTEAKRTFSRVWASGAAEGGSHNLLVVTIEGKRLLIFTRRAPSTDSYGDGLNIWL SDGEHFFDIGHVTLEGGIDGEGTLLYSNGKLLYFYKKTGTFHDEVSNAVPYDIGKVAH LDHELEKIKAVVEKWRTESVLRSGTRGATDRVNCVDDAPAGLFLNDLDGDQWKDTYNS VSIKAVGAKKTLNGILFSGPNRGATWTVSNHTGAQLYNFANYEFTLGVTVEVPDRVSE KIPVVGVVISEGSVDECLEVSYTSDDKWYVTFGGRHVPTFGSSIHNETHQVTITMYNG LFTVNIDGAAFSRTGSGIKTVRRVRDVMHFYIGGYGEKKATPNGKLLVKSAVLFKREL TLAEVQVMFMRTYWPRCPSKAPLVAVDEPQEGHSNGQIDNATHVYVALAAMIFVVTF XP_829207.1 MQFLCPFSRVNPSPFPVEGVSAADLNEAVNDHCHSRRLDERPIK GMTFAKKVGGPGRYMGKPPSTT XP_829208.1 MEQTNHDDVSSAEVARAYSGSLGASSFQFTPLWESVDYVCSIIL SWYDFPDFKGGEALQQLVRAYTIVCRLVSSPCAGCPPLARPSVEGDIVTAAEVTQSLV VYSRICDMIRKRLDTVVGMRFRDAAEQPPPRLLEDYIVEWKRFITSVNMLKFVFSYLH GPWQKYGVPPGLMQSTEVIALNKWSEMLMNTNFQEALTNQIFELVAMDRHHHPNMERA QILREVGHALGVVSDARSNWYVTLVEERYLRQLTDFCKLKTEEMKLGDVEHYIEEALT IFRDETERAIRLLAQSSIQPVVQRIAEVLINDELSFLDEFISSEIVKNRVTQLRQLYS LLSQSQVGLRHLRDEFKKCVIEKGRREINEACERAKNSNTGVYQVALEKVISVYRDFQ LPMKAFGDKGDFEKELIDGLHNVLTACSYLDPQNMLGREVARFAHNELISRKLGVRCE EDEGNMNNIVILFRVLPTKNLFVETYPMYLCSRLLSTEYVVENERLLIQEITQTGECT RDFMNKCATMLNDVGEVSERLSEQFREKAGLTDLNFHPTVLNSYFWPNFLPEPEMAIP GYLQMKLLEFGKFFQDVCPRRHISYVNRLSQGVLRFNLSDASPVQGLDICVGVRHLPV AELFNCCAEWELQELIQSVGNDNSNSCLSAINDFAKHGILDIHEEGGVTLKSHLREVL PGCRVSIGRTPDIRNRKIVLHETKLDRETKVDGAGSSDFSPERYDVNTTTEQMVALST QATLIRAFKSEGTASFSQLFERVENESPAHLKPSIQQMKVALEFLISREFVRRNEDGT DTFSYVT XP_829209.1 MNFPLQFSLNTPLPSAQSRVIVTRNGYGRPAVCYLVVFLKSSYE LLLKVALPVGESMPAMKNNCADIRQATIEWFHTNQRRDLPWRQTFSDTDACHPVVPVV TPLTDPYHVWVSEVMSQQTQMDTVIPYFKRWIAVFPDIATLARATEASVMAVWSGMGY YRRALYLKKGAEHVMKHFGGSLPTTAAQLRAIPGIGLYTSAAIASICFRERIISVDGN VVRVLSRLRCERNFDPKSAKSIKEVFHWGQEIMGEGPCDRPGDFNQGLMEIGARVCKP SGRPLCEECPLHRYCGAYAAMQSGEIPSIEGVIPLRAKTLKKKKEHVFCLVHEFREKT AGDSALKRRFIVVRRPEEGLLGGMLEFPSRTYVSSPDGGTDIKGSRDVTDELRKKLAA GHKNVVEVGHVQHIFSHIDMRVLVYHAVWDGPSLDCGGYTRGTDPRVAARRPSEEKIC NALRRELCLDSSRISVKTEEEIKGAAASRLLLKILDKLTPLNSEGRVRKPSTRKRPRL AG XP_829210.1 MQAWYQFGYDGFPSGDARRRFYCQRDDPCDSEEEQEGYDGNDRP IYYYPMRDSEEASIASAPIAEEEEDALLQQLPTSPFPINISVCNEILGRCGADVVPLR TICGDTSAGEEVYFFVHGGALTATGRVTNASEVVRIKGCTGGKESGEAVTSVATDASL AEVIFSVCGGNPIFHELYMGTSSSSSPPARFGHCTVGIQAPPWVEALSSRCRSDCELY LSLVIGGAFVDQVPKSFHDVIARDSVLCEPWLCATVLKGNLGSPGADGIREKRQRPLT EVCEEPKQYTLHAPLPGMTGLTSTPRLFATLTPWPVAHRDPIITSYAYMGGSLNGWDP LPLFGLWLLHVDTFNWSVSVSLLETLGEEPPSRFGHSATLVNEKEIYVFGGIGTRRTY LNDLMVLNCTTKVWREVYAPSCFSIPPRAFHSSALLPGNCTVVIVGGEAEGRHESSVW RYDVTRGDWRLLSFPLLERALRPPRGACGASQTGCTVSATRLLCERERLTSEGKNSLD DARQIRVDHSDMSSSNRPSSGEMPRSTTSGVHVTSRTFCSSGPSMGGDTPNSPLGVYY FAAMHGSQPQVFTVKDGTLVLGGTKSPGVASLSWIPARTYSLKECAALWICTHREGFL EEAGCRLRSPCDEVSQSEACHYGKSPVEGCCASLVDRSLAEDQLDKWQRSARKRLRGQ XP_829211.1 MSSDATAAAVNWSQGDEGDTALEICVPIVLPQSGRAKELTVEVK DLAVLRVSHRDTIILQWRLYEPVAEEVEWRVENEGSLLIMDMVKRSCAVWPCLLDLPM RADDKLLRSTAELDNLFREHHPPLPPDPSLERKGAGAAAVDKVDGNGGEAGTAAVGGE DDLERLLEEAAEEVVGEGAATEENNDREFINAELENCRTEIEEIQKKLDEVMKTLEDG ADGEAKQQALKQKTILEEMLRLHFEICEKRRLPSSLSGFIEITQLDIRKSRVNVGELS EEEREEYASDEERAMTAHELMTRGLQHFEQQEIQPALHFLRLAALHHNHDQSTIILHS IYSQLNSPRGAFILLRRALQDDNISSTANLKVAEQFDVGARHFLPMFPAALYFYQRAA KAGCVSAMLAIAQLYLRGCTSSTMLSAKQIERLRNTDMYQEWLQRAIDRGCGSANFVK GCAHLKGEHGCVKSYKLAKEYLDRATAAQPKIAQRAPQVYVMLEKLRQEEEGVASLSA STSLSLSKGNYANGRAGTNGDGDAVCVTSSVERLNNMTSKPYASASAGPGRLKKGNQR SGAKAFWEGAVTTGLTLYSLYTLAFPVRLLILPHIYTLLSHVVDRVPWLAASQPLQF XP_829212.1 MRRFCTARVSSYVKRAPLLLPRGGRRWRSGAGTTADGGGEKEYI ADSFESTAGSNAYAAMELAAEARREIHELWLSAETALEREKRVQQVAALIEKYKLDPS TPREADVSRGLGDAFDRLLLLCLPLGKTDAKGTDNLERLMHLAGRNGRELSVRTIQHL FARTDSFAEALAVFYTMRRCHVAMNMEAYYSMLYSLQRLEEEGWGQHFRNEYEENGAP SEQAMDFIVKGISNALLPENKPWLGRVMFQDRNVPDRRYDTRDFDELDTAWTQRYKSG TPAGAH XP_829213.1 MPDSEGAASIHDERPSEVPEGGSPLGEAAITSTANGHSKVKRRR ILQREIDKFRQQSIENAKQTVSRIKASEVEVKRRREQDAETRAKRAEDVKKSLEEERL FLQKVREREEQRKVAQVKLLRTSTPPREEVRRRIEEDRRKNRERVQAESEKLLAAARE EEERRMEMILKRKQEEEEAPRKQRNAELERKAKELENLKKSLERDRQAIRKAREEEER RKAREVRILRRIEKDWCAEAVKESLEHRRKIKERVQAESEKLLAAAREEEERRMEMIL KRKQGEEDGPRRVQESELEKQRKAKELENLKKSLEEERLFLRKMREEEEQRKVAQVKL LRTSTPPREEVRRRIEEDRRKNRERVQAESEKLLAAAREEEERRRKMILQKKQGEEEA PRKQRNAELERKAKELENLKKSLERDRQAIRKAREEEEQRKVAQVKLLRTSTPPREEV RRRIEEDRRKNRERVQAESEKLLAAAREAEERRMEMILKRKQGEEDGPRRVQESELEK QRKAKELENLKRRLEKDRLALQKIRKEDDSVDETALAENGIVELLEVAHAVVEEGEKV LLQRKHTTREASTPRRMEVEKKKAKAVEDIKKALEEERLARQKEREQEVQRKAEQVRL LREKMKQRREDLLRAQEEKRKKAAEGIWEFVPVS XP_829214.1 MLRTGKVSIIPFRTSLFRKLQAQPPGYLTPIIFLYFFFFSNFKS VNFMRNAMADATSSHLEGPTTLVFVYGTLQRGENNYPWWLANPRHAAFITVAITRRRY PLFVNLLPGSSSCSPCLLNLPEEGEEDFPEGSFAGVDSDGRVTAHHVVGELFAVTETM KRWLDVLEDVETGLYSVGTIDVVPLGNAQFVERVLLVGEGEAIRALVYFRERDYSEDW RSPSPKCGSTLLRRFSASECVRIYGHRFTGSPAHLRGAVGLREGLERIGHRSPTATPV NPKPIVLFIIDGIGDVSYPGLGHRTPLEVVSGCPSRGEGSGGTGQGDDETFDKFVAPG INVVTRHGVSGVMDVFEAGVTCGSDTAHLSIFGYPPQQYYRGRGAYEALGAGLHLDEG DIAFKCNFSVLDEKTGIVLKRRCDRDFTREGPILCEFLDGLVVEVEPEHEIGEVKTHT LKVRYATEHRCGVAITGNGLSHKITGTDPLADDRPLLHCKPTVSPGHEEYEAALYTSR VVNAASERVNELLRCHPINETRRVEGKQVANIVLFRGASNKGWVPPFTVRHGLNGFIV SPTCIIKGLGICCGLSSVDAEGATGDWDTNVNAKVDAVLKELGVANLLEKGSGDNTEE ITASPYNFAVLHVKGVDDAGHECSLTHKLVMLKKCGDAMQRLWDALPTGSTMVVLADH STPISLGDHSCEPVPVSLATKGSEITDGVQFYSEVECVAGALGRFRGEVLMDVVKRAH HWYHYRQ XP_829215.1 MNDLSAVGDRSSSAVTEGSAISSGSGGTAAATSSDCTPTTSRAR SVVQEQLSRTTSLLEDVHPCVQATGGDLGKDSTSDLGCPYAVTVGRTPHRELGPPPSG GGSATTLNTGNSEVPAATHLPQQGGEHELNLDACIHPKQVAFLTGIGEMVRQHARQHR QQNRATRRRDDASACSSSCSDTERHAARLSVGALISAEGQRVRMHLVQAAASASSSGC QADSSSSEKRSCEGICGRYQTAFSLCTIISAFQPRKASYWQDDHLVEPYHGESYGPIA QRSRFNKSDDNSVSGERDDDVNRSGPAADGGLLCNAASSAENGDYNDENFEPADGAPS DVADDDRAMRSYGKMLIHNGCRSDNVFSDAFFLDKGNITGGERRKKLIVLHSYRASVI SFVDKKVLKKDINNHFYVQHPELEVREIKLTHMRAIRTDLLNLALEENSPVELATVAY ANWYFERLIMRGMVGKRNRRLALAVCLLLAIKFVETGDVHRKIQYLKARIRHDDAFKG VTWQKTQEWEFCAYVGLEFTLLPSPGNRVVETHLERLLAQVNLTSQEYYSKKFAWP XP_829216.1 MANCTTQASDEENGLAFLCWRRCLSLLLPSHCAVQGKTVDEMWM RYIFDRYTEPQRYYHTMAHVEEMLNYFLQYQKGTRPDHRLPEDGARCLALELAVLFHD VVYDPKRGDNEECSADWFSAFWEECRELAVSWETKMTSGDDRLQQQQREKLIWHNAKA AMDIKMTVVDFILRTKHHMSVEPCYRRDLPGGGEGALETGNPPPDLHLFLDLDLAILG SEADRYMRYASDVRREYAWYSDEEFSRGRIAFLQGFLNYPQWYKTKYFCDAMEETARA NVTAELKLLEERLGRTA XP_829217.1 MSEFQRFVKLLEQTDGRDKILKAFSGVFKALGSLDTCQSRSSAF GAVGKSIGDARCLLRMAKWVGDVPKMQNTIQDCRAKGKVNMKEVLKFLRVLCNFLYVL GDNVAFVARYNLLALRHKSIHLKAKTAQFWGFFLAAVLDVVALYGALQKRASDPATSK KEMKAALISFVKDASDTLVTMAFVGYLREVWRPSATTSGALTAVAGGVATYLNWNKIK XP_829218.1 MASLAAKKDGGDINGGPSEEEDQLQQLLGTSCCVNLSDSTVKRG FLLEVRYLWLPRLLSGNGTTGVAPQLYGFVRIKDADHRISGWFPIDCIDLKETGGANG ATSCGSSYPTNSAAYNSMVVAKSKITHPIISPVYREVTPGMVRTRREGKQLDFFEERL LRDCRTPTTVRYFIYLCKFAFPPWYYAPYGMLNREYDPLAPLSDAEREALRFTEGSTN ESIDTNPFIRDAYLCPFSLRIYSTFEQMQHETLRYRAGHLHPPGLEIYRDDTRGFSMF EVNGSRHVTYCRHLFLLGKSFLENKLAGHDVHNYYFYVVCLHHRHYPHYTDDTSAMYF VGYFTWEKQVTDNNLACIVTLPYFMGGGASSGQQNDLSDDRGPVGADTASREGDTPKL GHFGQFMIAASYELAYRRKNVGSPEKPLTDLGAAAYDRYWKRVLIKWMHTLLEGNAAA ITVDDDAGDDGQSVTIVVAHENEAKMAAPGALKRPRPGTTDGSGCAEAVRGARVAEGD TLVDTTVRSIAKQVRLEEADVLRTLLQMGVLHLNAEDRSMRVVIPVSFVNREYGKMKK WAHDMTRAEFDPKLLTGRGGPAWLVNTPSPRRHNQHQS XP_829219.1 MSGRTVEEIYQKKTQHEHILARPDMYIGTIEPVTEDVWVYDEAD NVMKLRKCTWTPGLYKIFDEILVNAADNKVRDPHGQTTIKVWVDAARGLVRVYNNGEG IPVQRHREHDLWVPEMIFGHLLTSSNYDDTEAKVTGGRNGFGAKLTNVFSTRFEVETV HSRSRKKFFMRWRNNMLENEEAVITPCDGPDYTVVTFYPDFEKFNLEGFTEDMVLIMQ RRVYDIAGCTDKSLCCYLNDTRIACRSFPEYVDLYPTMGEERKPSSYSRVNDRWEVCV RVSNVGFQQVSFVNSIATTRGGTHVKYIVDQIIAKVTDQAMRKSKTEVKPHMIRPHLF IFVNSLIENPSFDSQTKETLNTPKARFGSTCDLPASLIDCVLKSSIVERAVEMANSRL NREMAMKMRNTNRKQILGIPKLDDANEAGGKYSQRCTLILTEGDSAKALCTAGLAVEN RDYFGVFPLRGKPLNVRDASVKKVMGCAEFQAVSKIMGLDLSQKYTSTEGLRYGHLMI MSDQDHDGSHIKGLIINMIHNYWPDLLKVPGFLQQFITPIVKARKKGRGNNDEGTISF FSMPDYFEWKNAVGEGIKNYELRYYKGLGTSGAKEGREYFENIDRHRLNFVYEDRKDD DDIVMAFAKDKVDERKRWITEFKANTNINESMNYNVRNVSYSEFVHKELILFSVADCE RSIPSVVDGLKPGQRKIMFSAFKRNLVRSLKVAQLAGYVSEHAAYHHGEQSLVQTIVG LAQDYVGANNVPLLYRDGQFGTRLQGGKDHAAGRYIFTRLTDIARRIYHPSDDFIVEY RDDDGLSVEPFYYVPVIPMVLVNGTAGIGTGFATNIPNYSPLDVIDNLNRLLSGEELQ PMKPWYFGFTGTIEEREKGKFVSSGCATVRPDGVVHITELPIGTWTQQYKKFLEDLRE REIVIQYREHNTDVTVDFEVFIHPEVLRQWVAQGCVEERLQLREYIHATNIIAFDREG KITKYLDAESVLKEFYLVRLEYYARRREFLLEQLQRAALKLENMVRFVNEVIDGTFIV TRRSMKDVLKDLKQRGYTPFPPQQKKKMSSTTIVDEEDNDGARKILPDVFEFESVPLC EQVIGKILCTAEPEEINMWKGVLVEYDYLLSLRMVDLTVEMAMYFRTKREQMLNKHNF VLSASSKDLWREDLDLLRSDIEKLFDERRREIALIQCKKLEKRQFFDVSRLRVPLLSD AARKADRSLALRQSNCKREMSSKCASESFALLVDAGVRVSGLTEGSSICDINEARRKY GHSVAGGKRRLVRHDEVSKRGKFSSCGDQRHVSLSDGSDTDDCSIGAPSVSPSDATPA TQKSRKISDSSYGFGIEGEVDIFFEDTVGEDFSEPATQANIVRSKSESPVLDFSAEYA TEPKSRKRPRSIEDNDENTCPFYVDVVGEDVEYDSDQCIGFDACVF XP_829220.1 MDISATRRGADAGRRRHGPRFPVIIVGEVFTNASAPVHPLFPVA PSGKLPFALLPICNTPIIDYILENLAENGVDEVFILFNSESVPLVHSHLKNNRTVRGR PWLECKDMKVRVVESVRTMTRLCDVTAEIVEQNLVEQNSSFLFVPIDSVAVFTNLRGL FHMHLERSRNIKSYAATLVCTSVKAALEETLHNVLVNNADNTGTSATQQQREPSPGGG FGLYALERRPLPAPALPPSPLTMFAIQQSTGVVRSMTRLEPAGETSEPVRMEFSSRDR ISVRSDLVPTGFLFCSGGALSLFSFPMADQHAFLVDLLAKHELWGNVFGVAEAVAPMS VVQPINSLRTYIQANIDVCNRRFFPLTREYRFVEDRELYAASPHLPSVYLHQLGAKVL ANTCGPCVVVGEHVVVPPNAVVRGAVLGKGVSIGDGAIVVGCVLLDGAVVGRNCVLRN SLIGHNTRVSDRAEVLNCIVGDDCIIGSADETHKVVAITSSSSDSKNEDSASVNTDGR CRSNTNGVYTAHLAGDQGIVLHDVVVAVCSAVDTDERVVGRGGLGRVLQGQHINSIVP TSELFLRDPIPRNADDSDVGDSETDDDDDDGEGTFGEVVRNLLDQAIGQPSRMEHCVF QMKNSRLTFGRKNRDLCYIVTEQLLKHAMGQNTGDTNVLMQSVRDLFGQWCRALYTDV VTGDDEMQAVLEAVCCSVADPACPLHKCGPMLLECIYNDCDEDLYEERGYCIVSGESL VEFGTRMARLAEQRCNESDEEDEEEELDSRKEGMLRVALTCQKFIADVRFFLEEEEEG MF XP_829221.1 MLEAQQKINSLREDKELYATLEAKASANFERHRSELTLLLQKER AIHAAAEDRLRAQLQQLLQENEAAQAELQCVRAERDNRCCEEVQRQRQQREQHEETMR REALELRDALAVIRDDVEVAQKEKHWHEMQLHEALTLQQALHADFRKVRQEADELSAT ELQKGQPQKSGREKVFLPCGPADKTCPVKPASHHIAAIVSTVERNNYYWPRLYYRRRG IPSPLDSRRESAPRCRSNSSNPAVDIHRNSSIEPCQATQLVASPILPKPATAVPATTA SLSITPVTALAADTSATSTVAAKVDPPVTYSARNLDAICRSLLREILQMRKEYQECTA ALNDPTSDTVELSRRMRNLMCTLDRKVHQLRSLRQQQAKVEDKLRMHDMLMEIAEENN YCRSVYSDLLELIRS XP_829222.1 MTEMLSCLVPYLDKHLVLGLLYFYDDQGVDVGDALRFVQATTAL TPEGEVSLEQENKIRETAERARPALDMFFEQNVSDNCTYQLCLTESRIDELRGKGELS RGFLEKEGITPKVMTAVMDLAFLYYDAARYGDASELLSLLQCVTGYELGESKLLWGRL VCDTCSCRWPSAIAAAEKLWKQQGADGSENKSGKTTLRGDNGTSVTERVWLLHWALFP FFKGGNQYSTHLLNIVFDNKTDSIYQCVVETVCPHYLRYICAAAILNTHRRSALRRAA EMVGRIYEYSDPLTQLVREITNYRSFEDTLELLPKVSELAQGDYFLNLHADNLVENAK RLIFTQYVVTHSVVSIPYMAERLEMSAAGAEVWLADLISETKQRAKIDAVTGQMFVGS QVRSVHQTVLDRLEPVDHGRR XP_829223.1 MLSVYKPRFLTGEKGRGDGRGGKHGRQTLLGPPSRGAVWPAVAG GGAWPIAAPVHLSPLPVPVATSHWALSGCRLPATIPTGKRLIGSCVNEMSNLNDSEKA ICELMVGFLEHKSATEAECIMSEVDEMVCSRRLLSQYSGVYASKCPGDANGGKDEPLR GKTQLIRFLRQQQEEKEEREKNWEQRRRQRIAREAAERRESRERWKKMFLHNVEGKSK REALPKTAKRKAQIGRCIDKEAANIKHKQRRCEDEDFRLTSLSDSTFTDSLCGYPQHV PNVARPIRVPAATERFANASEKLTREVAGAQYIRVPREMPTSIRVASSDSENTEDIIE KTDKLVGDRLEVFKQRQNTNDTRSKESFIAAIQKSYSAQGDERPLTTTENQQQMTKKD NQLRSTEVEKKTQDPTSITATIRYTKQEDDIKNKQNAISERGLSTSTNQKQTETLITS NNQHSVDERHQSDDRQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSKKSQRSR ESIREGFADDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSKKSQRS RESIREGLADDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSKKSQR SRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSKKSQ RSRESIREGLADDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSKKS QRSRESIREGFADDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSKK SQRSRESIREGLADDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGSK KSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQGS KKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQG SKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEASQ GSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEAS QGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELEA SQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPELE ASQGSKKSQRSRESIREGLADDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPEL EASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEPE LEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGEP ELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDGE PELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVKDG EPELEASQGSKKSQRSRESIREGLADDLQSQGSQHSKRSESKKELQESLHSNKSAVKD GEPELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAVK DGEPELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKSAV KDGEPELEASQGSKKSQRSRESIREGLADDLQSQGSQHSKRSESKKELQESLHSNKSA VKDGEPELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNKS AVKDGEPELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSNK SAVKDGEPELEASQGSKKSQRSRESIREGFVDDLQSQGSQHSKRSESKKELQESLHSN KSAVMTNGFSVDVRNSGGCRQEKNFSDCVGPLFSSRSHLSVHMDTRGDVDVSPPFHDI SSAGAGAEAPLSMGQSVSSLDALPMPEFVGPLKG XP_829224.1 MFKRTRGEDEVVEVYATLLRQSGARAIERIRQRRGSGTNSVMPI DALVIRYAMWFLDTSAFPAVSYVTQAQRVVTEAIERVSYPQHFSFIPLSTLVECLVSE NTAEVMQQTVVSIEEFIDARDALGRWIDENIGNAGGERYERYNHYSGGEKDEEAEIAL ERAGILWRSALYWVAVFEYIEALSLSSAKVNVFALRPRYEQELMNLLNSIAAPKDSVY WKRQQGVNAPTDNLSMLPLSASATTRDGGEIADSSTEGEDTERMQADGGDNTSSRATE PTTATKEADPNEVGSDATDGIGKLLVDLIALVNCPSETPGSGTRINPMFYTMVHARLN VIAACERLNRFGSSARVAPPPLTASLQSLQSQREALNAGRHRRDAHLETSGEIGLPLD FVPSEAYLRAALERENITLEEDEELFKDEIVDYYKRQQQHQHAPPRDRSGPKEPTVLM KPHRFCKVKTGYTWTQYNRTHYDVRSNPPPRSVLWYEFTLFYPALASTKRDMSRIYHI EDTSRGSKDDYCVLVFSVGPPYADVAYQIVRKQWDPRPGGVRASFDSSGTYRLFFRFT NSNYRR XP_829225.1 MTILHNPGLPRVLNRITPQRLELTLMLIKERKKKLRYLGSYLQH YNDRTFLYNAMGGLNGTKFIIERHHHRAQYCHPTLHNERYSVRTIKMKGAQFDQVGNF TRNTWDCSVATTNLFQDATFRRHLSAIHKDEAIALLEGWGVKYVLLDEVRKPSVQDSI YVRHNLYIHKFPHIPDPVTNVYHGDADWKWKGDEKIDYTSYGASTKDERNTFQSLWSA AVRESKDSGVAKTVQAGKKL XP_829226.1 MLRGTRGFLAVSPGVGIAPETTPVKYTPMMLNIQNMMWWNGKRN LYRATYREKTWYEISRTGAFTKGRRPVMRQKYSREALQAALAMVPPGFEVADVPRPPQ RILAQSEGIVGRWYSNYWTLHSMRYQCLLAGVEWPLGERQRPRTNYDEPFFFADFEES KAIQDYRSRWINVNRSLVGMTKRMKEAEEEARYMQFRKLQDTFWSNRKVLVNRVKSMY NQGARTSAKDMPIKTINIKAFLSE XP_829227.1 MDLVPTGDAAVPREEVPAFVEVDDYGNRVRDIVYIFLGRLIDPS LRPPGATDPDALERLQYIVRQLERISTCTDWSTCVVRWNDFRLFDEDASSTIEENYQR FSPFMDAALHQVLSQYYAEDYVNRGRCSPNLVFSYVPRCLSVRILRASLVGQLCSIKG VVTRTSQVRPELLVGVFRCNDCGTESSAIPQQFHYTEPPACRNPQCENKNRFQLLPTH PQTKFGDWQKIRLQEDTNNIPAGCMPRTMELIARNDAVEVAKPGDRIVAVGCPIVVPE VMKLFNQANRREVQREMSSTQRAHQEAQQNLEGATGLRALGVRELNYRMCFLATTITS ANGDDRKMTEAIKDSGDGAAEREQVSLTAAEMQKVQLMRGSANLLKALTGCIAPNIFK HDVVKLGLLLQMVGGVSKNTVERIGLRGDINVCIVGDPSTAKSQFLKWVASNVTRGVY TSGKASTASGLTATVTRDADTGDRTIEAGALMLSDRGVCCIDEFDKMDVKDQVAIHEA MEQQTISIAKAGIKATLSARTSLLAAMNPIGGKYDRRKPLQKNVAMTAPIMSRFDLMF VIVDESSDDADFAIADQLLRLHRFGDSAVRPPFSTEDCQLYLRYARSLTPRLKEEAVH LIVAAYRDMRLQDSLSNRSKVYRVTTRLLESMIRLSEATAKLYLSEDVEEAHVEVALE LMRQSLSTLDMTEVELVGTVEPFDVAAVGIKPEPHEEQQPQQQPEPRLDSTRGDDGAG QRTIARRKGKKGVSASTAERGVSGGVPGQAPQKTVISADHYYGIVNRIVTRIQALGES NPPSRAELVAWYMEQVHSLNKSQLEAELRYVNLVIQKMLKDGNLLEVELNDGEGSKIF LDPNYNPDITKQ XP_829228.1 MGEPGVVIPKVGERFVGEDGSVSVVLADTVDEDYVPTQEEIEGF AEWMGMNLPEDNEFLHIAVEGLKAPLPKNWRPCRTEDDEVYYFNFKTGESTWCHPMDE VHRERFRKAKEQKQNLGVGGTTSRDRSANIVSGTSTTAQRGAMGNAVGSALATPTNLQ GGRLEKLSPALGTKYAPLRSPPLSGGATTSSFLSSNITTGGTAAGGPLDRGSESGGTG GGKRRFVSETEEALEKRIRQEREEAFLEEQKKAEATIQERRMNMQRSHEEEVKKLRAE TEAKLAELRANRPNNGAEAVKKKEMEALWTERLDEVKREGEGLEKKLASLREENEQRI AAEVKKIEAKASADLEAKVKRIEADGAAALEKAKREAQAALSAKKNELQKKAREELEK LKKEVEKQHSDDMAALERKFSSDGHQSQVKSAASTAAPSHATDVESRIAAIREKEKQE VSAIQKRSEEEKAALRRSMDEAIGALRREIHDIQQAAASEAVPAARNSGPSSVELEEV RRRWRAEEARRMQLLAEDRRAKVLAQEKSLETSSSLPKSSAAQEVTADQSLKMRAILT AKEKEHQETEALLRSTLQEELTRTSLSLDAQLEAAVADAFKVFVRSTEVSRRFEKEDF ERRRASNSVAQVAKEGKGMDSKPKVSEGASFDAEELLQKKVEEAVGEALQNMRADHEN ALRRLRQRYEEERRMLIASVDEEVSTMEEKERQQIDQKLKGQTRDYEPGAGNATTRTA GGTSTSIGVPLGLLQQRLTSIEAAYSNQIQDLEADLMSLNSAIRMMDELPNFLGQRAS KNQETDFTRCGSHMEPGNSFRYVPSTGKHALPTGKGGASPSTMFSSEARLFLAGQFRD FSARRDALQAAREEWYANAMEAAAPVPAVGSGPLADTAGLSDVHTHMISLIGSLGGRL ERIMRRVNALQAYTEGKRLSPPRQRRRMRHGSAARHDKKTKSTHKKHRHAKAPTGHPH GSLIQKWSRILSELSSPPVTGGELSAFLRHLGRD XP_829229.1 MEKKRGQSKPFFLSSSSLSRSCITPTAKYHSSWHPVPPFLLTIL LCHYTKPGSQHMLAPRGSVAKEGDVVLLFRGHLQFTPLLLQRGAMLHCKDGKFMHDDI IGCPLGTRVMGRSNLKNDPRGAPSVLVMQNCADLWTVAVPHRTQIIYDTDIAVIVFNL RLRPGHRVAEAGTGSGSLTHSLARTVAPDGIVYTYDFHKKRCLEALQEFRRNGLPASL VRCNWRDVCVTASGSDESVMTGMQLPDAAQSENKGEDKEEPEPGYGLPKHHVDAVFLD VPSPWLAIDNVLHVLRPGGMLCTFSPCIEQTQRTAQRLRQAPQEFIDIRTVEVVRKFI NPVCRKRNHSEGTEGESNNKPTCRFKFRPALVSMGHTAYLTFARRRLERIPVVDTVEA SAAATNTASSSQDVTAGGGVNTGTI XP_829230.1 MDFKRQLASALVLNVVLKVFTFLLTAGVTRQLAPNENGVNFSFQ LYFNTVLFLARESVRSVNARHNLREKSGSGGAALKVMNCAAISLPLGLLVVLVLELLH GFRITLFPSLAALANVGSVSAASAEAQGPGMDGGTLGLPEVVQVISVIAALSIEPCLA VAQSLDNVRTVVTSEFWALLARLTATISILWWYGSLSGHPWITRMCFSVANLSDALAT VAYFLCLWNAPNQERGRKAAGGGGCEGDEDGEAATSMYQVRVIAARVLWGDTVQTKTS ARSHPLRECLPWCYLSLHSMHDVLLREFRLFLQFFRESCLRLLLTEGEHFALAAMGSA AAVGQYSVVTNLGSLIVRLVFRVWETACFARWSRDIAAGRMADASVLLFVMLRVSLYF GAVAILLGPPLAELVLLRLFTRRWATAETVRALQLYCYQLPLMGWYGLLDAFVRATAS PRVLRLAQQVLVVQAAVYVAFCFAALRLHWVGDPVAGLIVANGISTGLRCATSLWMII KTPGRPQQESRRFEVQLRDFSAVFDSRIATVWFLLFGCTRMLLPFISLTTSGVVSVVL FFPLFAASVLRWDPETRVVVKALVLSSKRSGE XP_829231.1 MLRRLATHGLQATCLTSEKLAYRYCLSICVPTIAESISSGKVVG WTKKVGDAVAEDEIICQIESDKLNVDVRAPAAGVITKINFEEGTVVDVGAELSTMKEG EAPAAKAETADKPKQNAPAAAAPPKASPTEAAPKPAPAAAPVTSRGADPRVRSVRISS MRQRIADRLKASQNTCAMLTTFNEIDMTPLIELRNRYKDDFFKKNGVKLGFMSPFVKA CAIALQDVPIVNASFGTDCIEYHDYVDISVAVSTPKGLVVPVLRDVQNSNFAQIEKQI ADFGERARSNKLTMAEMTGGTFTISNGGVFGSWMGTPIVNPPQSAILGMHATKKKPWV VGNSVVPRDIMAVALTYDHRLIDGSDAVTFLVKVKNLIEDPARIVLDLA XP_829232.1 MGGHNEEVRMLSKQELLTEHIKELQKDYSIKPRLEYTTIRAVNG PLVILGNVRLPTFAEIVNIELADGTLRRGQVLEVDGDKAVVQVFEGTSGIDVMRSKCE FTGKVMELGVSEDMLGRVFNGSGIPIDDGPPVLAEQYRDIQGIPINPRARVYPEEMIQ TGISSIDVMTSISRGQKIPLFSGAGLPHNEIAAQIVRQAGLVRREGKQEDFCIVFAAM GVNQETARFFRTEFEENGSMEKTVLFMNLANDPTIERIVTPRLALTTAEYLAYDCGKH VLVILTDMSSYADALREVSAAREEVPGRRGFPGYMYTDLACIYERAGRVLGRAGSITQ IPILTMPNDDITHPIPDLTGYITEGQIYVDRQLHNRQLYPPINILPSLSRLMKNAIGE GMTRKDHSGVSNQMYAAYAVSRDILAMKAVVGEETLSSEDLLHLEFLEKFEKKFICQG FYESRDVFQSLDLCWQLLRIFPKVLLNKIDVKTRNEFYDRQPANR XP_829233.1 MQNQAPVAHPVLSASILFDIVVFAGGKAPGLNPLCDNEPKPMLC ICNRPMIWYCLSPWIKAGCSTFFVCVNEDYAALQSYLCREFPKVAFVFVLVPLTQNQT PTTTCDVVRGYLKHKESLKSDRLSKPRDALLLSCDTFLPGVDVEHFIHNFYASVASVS VLLFRPISSSNGNSCGTQLGPTARGNSASTPAGSGKGNKGSQGSGACAAKPYTHHFTC VAYEEKSGDHVRNDNNNNGSALCPVASGVTENSNKGSNCVSHHRLHFICPREDEPEPC ISFGFAARRPNLTFAADVVDVHAYLVRNWVLHYMAESTGEGMTVQRDCIPFLARSQHS TVNVDQGVLLRPDNKLKYTIPNHWLFDESSPVPLLNGRRGPVLPVEADNLLVCCTIYE EDAAMPMRAFRAKTRKDFVALNHDILLSKCEVLGLAEGTGDLRSTKQQHQAERHHHQK QTASNHNCETAVEMFPSLPASALALCNLLPDSPITVVVKNESSRVHIKCSFLRSAPAG SAFITSSIVGSNVILGSNVRITNSIILDNAEIGANSTITGSVIGSSAMLNPGIRVVNC VVGPQCLVESDKKDSVIRV XP_829234.1 MTVAKKCMVVLQHGSHGTHLDLACLSQYLKAKDPRLIVWESYKN EGMRTDDGVVPCGERLADNLIREIKELCSTPTQSGGDGGREKVVVQMSFVCHSMGGLI VREALPRVWDKVESQKGKLEIEWNMFCTIATPHGGVCQMASTLRYYLGRLISFFYSTS YHDMFLGSDVLTDRLLSPKHLSCLAAFKRRLLVSSINDILVPLMSSGFMLTPSQRGLP GDMLREEQKQLCAFNEREMYDKMRTITEIPKEEWPANKFLAERRIAKTLVQAVGNFDS VVVDLRSPRLEELYEDRKRRTTAWYGANKRSHQAMVCKPPFDTVEEAFGFVSHMVGDE VLATFQE XP_829235.1 MNRCNPISLISVLLLCCNACLAQTNWVNSTELHFKLPPKALRVT SLADWNNQNRVGFIGTWEDRASLVWYCSKEGGDDLYSVCWESAEFSEPIVSTIVADLN RDGVLDILVQGEGGSLFFIDGNNRSLTPAAIETGGPLNYDSTIPQISIVNVDGTCGLS DIAFVDTNGSLIVLSATTETSKDGMCRGEGLPTFEPEEFVTGEKGVREVVPLSIISDD IDGDCVADLLYMVHTISTNIVEVYAFFPRTARHELLLTLSDANRYGFPSTADINGDGA PDLIFPLCRTEGELKVFGNCSAFNGVAVFQNNLQGSTSCRGSSCCTGHPYGFLKDPSS IFLLQDNANCGIDVSADFPLFIPNSRESPLILRAGDCDRDGYVDLLVPSTRGPLLIQS AANPNGTFLGCTPVDDALTDHSKKQSLPFGSATAFFATISGKGQLDIVLTYHGSEVVP LTLYVSHTPSLEQNYFLTGSALNGVGTGDPWGLYQPSAVHRFGWNDITMKKRWAYGSQ MSRSQGHALQSPQLFFGLGRTFSYVQEYTVGILFRKDALYHRWSANLVPNSHVFTWMQ PLASADRWRLQLYLAFATYKELLLIVLGTVLVSVGLLIALLRWRELRQDQRELKLR XP_829236.1 MKFSRVAIPGVKHVITLCSAKGGVGKSTCSVNVALALKNIGFNV GVVDADITGPSIPTMMGVDSSQVETYRVAGSDRFAPPTNFGVKVMSMGLIVPHDEAIA VRGPMVNKYIRALLFQTDWDELDYLVIDMPPGTNDVHLTITQEVVLRGAVIISTPQKI ALVDVRRGIDMFAAVNTPIIGIVENMSYFKCTQCETKHYLFGTGGVKATAEELGVPYL GEVPFQQRIMSDTDEGYPPALRGDRSLDAALPFYELAERIDTAVNALVAGNDGRKQTV ETPTITFQ XP_829237.1 MMRPLSLVWWAIFVLLAATGANCSYVLELVQVVHRGGITPPPVG TPDREKLCSPDSGSSCAAIANHGVQQLIDMGAYIEKLYKNDDETEGAKAWLGSTYDSA AVYTHSFADPALVQAATALLKGIYAEEQGNITPAVISAPPADDTLLNVNALPSFVLGN EAKAQHFNKTMEEAVDEQFPDSSVIGTMGREVGLSEACSSADNRVWCCHRLQQLATMY SAMKDGGSGAPTVMENVGRLDAVATVRSHTLYGYSTEDELAKARGSLGQPLAQELLLG MRRKMLQKDDVNYNTHKVMQYVHNTPIRTTVGYQPTDLVPVAETFLIDLLRDDTTEVY FVRLRHAVVKTEPAAMPSAADFPFRCVNAAGDSSGVKSKDGFICPFDDFVRFVDSSKG TGAGGAICHLDTSTADNLQCSVVGPPTSPQCERYRRLCPRLACPDGHIYDVVSGMCTS LYADEAILTGGVVASLCIALLFSGVMLGIIMVEMYPVMFKQKMAV XP_829238.1 MDFGTDVAALPARRTKLVFLYSSDDEDDDKGTVASTAMGTPMMG EVCGVLHNGNGCSGSIAVEVEEEACLRPPAEDLMVMRQEADALKQKEEKEDPDFVEDV EGVAEVPTRPRVKVVDAPALWETAAGLRNYLRAEEVGTLSNSHKRFREEEKDELNACA TGVERRPRPLFDDDGLVRAVAVDGYTLTVDETELQQVCGSEGTRERCAEDRELHDYLD CDERCELGGEDADEGREEEEGEYGDDEEDEDDEEEDEELDAALVVAVVEQLVRCCESD ELDEALRGDGDQFLERARPLLHQVNSGEMEPLAFGEEVRKDILKLQRAFRRVSRPRDE PVVIDGVVMDI XP_829239.1 MNPEAEEFVPKGNRTPGSGGRRGNGGGSRQIPVRGERQQPPPPP PPPQQQQQQVAPSSQTTLRASAEPFQPKGSQGFGLVGQVLPYVAGPPGGVPQAWESVE PVPPLSVWKSDSVQQAGAKPLSLSATPYTPTNPKISSKFPATPEAQRGPSTEFKDTTP ASLQPQEKEVVEVGEKAVAIASPPEEDAVAANGGSVDASGAPSTQNFTVGEIVENDFS GSMLPSLFQVEVLDKPAPAEPVRFNTVWALYADEHPTPFGAPLAYHPVLVHLVGDVEC FWRLWRHLPPPSTLLPAFTYHWFRRDIRPNWEHTRNKNGGTITFVIFDRDKPGLNNKQ TMDDAFMAMLMACSGESLAESTTNLNGVMLKVRQNKPTTLQIWTASSDELKLRSLARS LRTLLEKVIGPKPLQKLEYFSHQRTQVGAPGSLAGRMKGKPSRITPDFTL XP_829240.1 MFRRSLSRRSLQYQPCFKDLSFLVEDVFNMYAHYEKLGYTNVKR EFLTNLLAEAGTLATTSLLPLYSSSDVEGCQWLQNSQVGTPKGFEAAYKTLCSKGWIG ISQPLEFGGKALPYSVGSITREVMETANPPLLTYATQSIGAAEALMTCVSAKKHEMFL RRLVSGEWSGSLSLTEGQSGAAEGVVTAERAQDGTYNLTGTRNFILAGDHNLTANVLY VVLARLPSSQATGTDLSLFLVPRHVPKSDGSLETERNVKCLGLEATMGMKGSSICRMG FDNSTGYFVGEFNSGVKRTVTTTNTAAVAAAVQGVCHAELAFQNALSRTRGCNSQCTS ESSTCAEGTSTVLIPDANMRLSILFAKAVAEGGRALSLDVSRLLDIYHNTTDATAREG MGNKINFYSTIANTCLTTWNFQAISRCLWMWSPQGVVKGNDMEQILRDARAAAQHSGV MTSNSVEFLNRHILPLHTEEVATFGSNVRALVRPYLFSRGTIGQCARRLWLLQKQWRL GIAKVKMLAMQEPDSVGAVSEDVIMYAGYMVLAYNWLRMATVAQKLIDSGKDVDGFYR CKVDVCQYVFQYLVPYADAHFQIMQNGASVMKSCESTWDLR XP_829241.1 MAATGSREEMMEALNKARQAMARRVPTPTASTVQSSSEHDPSAN VGVLQIYDAADTSLCRHARPSEAKDNRAHFFETWCKKIEVFVADPTKTTLELPPELCA NDRRELHNLAEKYNLSHHSRGTGATRHLVLKKDALHYRMPDAAPANIEAIKRDPGTKE SKFHLRRVKQNPEAPAGSMGAFGDETTAAMVHRLVRATDEYRRAVNVGYTQDELLAFE TGESVEGILRSGGERDAQPATNADVEDPTGLCASPPRARITGGADYEARAKDSSAGEK SKAVYDEMCLRCQTRSRVDYDIQKWDCNGYCAKCTAQTIWKLVEVENSTGMRSAQRKH TREEFEKADEALPQEVQQPEEIDKDNDEDDTITVEDVVDMASMNDFSAADVNWIRRFA VHHSSKEVCCSLSTHIVFCIEFNDLLTMRIFRPFLKLQGSTDSSDEKKRTKNECGASS DGSTLTETHGAWFVWLREVKPAGVALSTLLDELAGCTPEGYDRLCVAFPNMSVYGTEA SCVCLVKPGVLNMEGLQAMRQKYGEQNFRLAQELEVALAGDSKPA XP_829242.1 MRLAAESSLVFFVSHFYFERFFSFVMVAYTLDTLFASDIDSATL FYSEGCGPIRLVALSAQMRRLVRLGPIRVAKACVEVRPMAPTKEFFEEGRQLQHIEAA GVGDFPDSERSGDTFVNPFTGRLTPTWGRVAKELFSLGFEHSIVNPFRLVWNPTKAAL VSDAALQPFRTSTVTWRRNLAALLSRRDAADAVGEEIHRLYNEITSAYLPPKLDTMHD PRLSTMTMTPDQQNVIRCALRGYSMFIGGSAGTGKTVLLKAIHRKLTEMGLRVAMTAT TGVASVQLGGCTFHLAFGVPIKGEEGTRKRWDSNAFRAVDVVIIDEVSLLDAELFETF EEEARMARLQQSPFGGLQVIACGDFLQLAMMDVSIGGPCYQSHAFRHLIPVCLVTSMR QAQGDPFCELLGQLRVGKFDKKAFKALDRPVSGDANNVTYIFPRRCDAQRLNDEKLCE LRSEEMIFAPQRGPLQLVGNFTPAGLVDWGRKKDFPKREKIITVLSEEIKRITGVDIV DHNIVVMPAGGEKNAVLIRLRHSEDRNVLICKNGGSKEHGASNEGGAEESHWRAILEA TAGRLKGKLHQIYNQDPHNFIPPSVSLMLADASLHPNAELISPLRLKLGCRVMINRNL SRTVSNGSVGIVEAFAAPNLDLFPRRHETSPKAFHTWSLERNGFQRLPIVRLLSGEVV QLPPLSVMIGGTPSTYFYGHELFVLPLQLGYGFTVHKVQGLTLEGTVVLDCKKFFECP HLVYVACSRVRSMDQLIVRNVRSDMIIVRQSALDFTNALRDASVMSSLDPPDGCTRAS WVRRLSPLLVGLTD XP_829243.1 MSRALLSRNSCLLRAYAVLHQVEVLRQENILSPLPSDSEVSSAS GSSGACSPVLTEIKADSSAHLSASADGGGEFSCNGDSEAPVASKEVSDAVKKLSDVNT KGEEVMPVEDVPYKCSIQPQEDAEKRLSPSLYARTKVQEGKVNNNNMMGFHSPCAVSP RSRSASVSPEGDKKVKVGRNVTAVADRILQRYGIRKTDECAMSDDNNDGSALQSMESS PLRREEEDIRSSFGPRQNCTALKEFSCIDPTTAKSTGALVSSYTDLQEFHKRSLQKKE KDEEDSHRERLDEKKKMPPNVSPLETQTYDGSEDHEDRCATTANADVDADANGDGVPL KPSLMTSATEILALNSKRLQEKLRMMKSSAGGNTTHCHTWFAVTALMNTDDAIQHIVV PLLLELLLQQVPESQPAPADDNPVVASILCALAGLGERAMSALPTLLRLIHLQVSCLK LVALTIRAVGGDRGMRELCTLARTGCDGGEAGTRGNPDVWAAVVYGISAMARPLVGYT AVHCLPTLEHDDSSNNHEASGVTFYQKPSCVNFEKYCGGDPLRQELAPQTQPTYVPTH VVMDVELARCRLQGLIASSPLQPTSNSYLPLSVILRDFVQVVTLLWNQRMQCLLPETV RLELQPRGDEVDPSLNTAEYHLPYSYDPHYIGREDDLFAIEETLVTLLLERFTPTVVL EQTLLSLASLPAFATTHVAAPVLDFLEQFTERFLRQQQECAGKGFAYINQEAVVVVGL VALGRLARNAKTPQAVADAACELLLQNISSPHWRVRDAACAGLGELGVTTNRADTVIQ VVTDCLNDAGVNPETAAWALSRMGARGVRILLDRVVTQPGGATAEADSYGVGETEPLS ASTRIACVRALPKAEVLAMPSGGSDRVRGLREEVLRQLGVFITVNNGEESIALECVYA LAELLRMSCGDPDKVDVSRGEEALACCLTYEPSETFDVFKTLIEATDLPCSILKALFF SLCAFGGHHGELYTSQTSVQHPSAAFRSAAVFGLRACGGRAVRSIALALNDDCAAVRL EAFETVDAVGVTEFMSVLRLRPQSHTRQVAAALRDSLLRDVSRGAERKTAHELYVALT SGCATS XP_829244.1 MGKIRTKTVKRASKQIVEKYFSKLNKDFYQNKRIVMDVTIARSK KLKNKIAGYATHIMKRLARGPVRGISLKLQEEERERRMDYVPEVSHVDQAIQDGIRVD KQTLAMLKRMETGVPRHVLPNVVAAPNVSKGARRGGPARK XP_829245.1 MGKIRTKTVKRASKQIVEKYFSKLNKDFYQNKRIVMDVTIARSK KLKNKIAGYATHIMKRLARGPVRGISLKLQEEERERRMDYVPEVSHVDQAIQDGIRVD KQTLAMLKRMETGVPRHVLPNVVAAPNVSKGARRGGPARK XP_829246.1 MNEPDAMKLCNLSKVLPNIPYARHSAGFGVSRSASSSAPFTSRS QTNIKVVGLYCSRAPTEEKVVAQVKEEDGRGTGSSSRRNIYVRVQIDKMTIEMLPLDV MRRKYPQVLIDYLLATAAWV XP_829247.1 MADEDGSVEPTLKAHRWEMSSSASAAPTTSRWGAGTPRQRGGDT PKVVVNTELSAWRGHATPTPSAYAMDPAKTPTMRATGGATPVQGGQTPMFGGQTPVFG GQTPAFGSGTPMFTGATPAAGMAFGATPNYQFEGTTPVQSHFAGGESQSAVTANIGAQ ARKLEMQWRVKNKRLTEEYLDSILPPEFKLVEPPADYNPPPTEEPNFYELASKSLDVF VVNQNSDAAASMTYDIPESLGDGMPQMQTQDAPVFEVLLKYHNVNPIPDEVLPSYMLM KNLFKIKNGDTNQRRIAMRYLLDKARIFGSGPLFQFTFHVWRSGILDVIEQHYYVDLV KGAISRLQKDVRGSSKEIVHMMEVLLSAQERVLRDDGKEVLILLTRVVGYEAVFEAIK EDFAHAESGVRRHTAKVVAIIAFAVGPEIAIKIIHGMSLSPVALARQTSARAITEMAT ILMHAITGELVELVPIFEKLLRDEPRVKREAASALAHVAEATYPYGIEELDSLVYIVR EECKRGIGTTTGLFVRAFGALIPLMAPYDAQKYTSDMLPTLVNQFNTPDDEHRRVLLQ VVRQCVSADGVTVDFIRNVILRPFFEGFWTIRRVAADRKTAGILIDTTVGIARRIGST EILQYLVQDMKDENEHFQRMVVETVRRVIANVGAVGVPDTLVALLMDGAIAAVKQDET GLNRVVMEGLATICNALGTRLKRHLRQIFDLIKSRRDMPGMIRMQAAELAARIATTVK DAGGALFLQDLGRSLFDRLEDDEAAVMSANLKATRVILVELGAARYQPPVRELLKKLM YIIPNRNSNVQLNTILLVEEIATNCDEDVEAIHLQELATKGLFELLDAHRRETRRACT RTFGVIARKIRPFAIILELVDNFKQDKRKIRICTAVALGAIARECGAFTVIPYLLNES KICEGEQVATIVQHSILKAVRYIFEAIGAAGKDFVYPLVPLLVRALTEMEIQHRRMAV EACRSIVLAVAGNDGFEDLVIHFLNFIHPNIVELLSRNETKISEERLKMVTAVVGYYE AARLVIGSGKLFQYLLQGLFHPAKKVRDIYRRTYNMVYMASPEALVPYYPRLGDDNEH TYVRHELEVLL XP_829248.1 MFISFYYFKRVFLVCQVKMREKEAHKGRNGVLNVSNLPGEHTSL DSIGQLHDALTGGNCKRYVSITAQHDSLLSLTLSPSLASAVQHITSLTASHNRLSTLQ GIEAFVCLEFLDLSHNALRILDAHSTTLLRKLRCLKRCNFSYNEICMADFDTTFRCGI SHNESGANKEGGIASAATPMASAGSVGPAVPGFLEELTHLDLSHNQLIEVPDLRCIPV LEELNLSYNRIDLIADLDNRLPLLRLCSFSISHNSLAVLPSLLPLTVLAGTLCVLNVA GNPCVQDFESTDPAMGGIRAWLLWLLPYLELLDEVPLTTEERQIACKLFRRNNKLSYE LMDVMNTNNGTQLVSYLRKFTQRTTSRSLVGARESVREKSPPSPNPAVGAVNGGVINN VGGIRFATGAVFPSASPSPAADGTVRRTSSKPQPIELVVQMLQWKVRQLSNVMEVLWQ ESMARRVFAVRVLQRHVRGFLARRQLPEVVHRTCTRIRTNMLASGQRPYFPDQRRSAK ADTTLSVVRSLGCESISTAESEHSVHTEIMQRIDNFDHLFRQMEEELHKFRIFWARTR EKSVVVVQKYYRGYLDRKRWRNLKESYDVFIDSLRPYVVELQRVSRGYVSRARLAKDS RQLREMSRLRGEVAGLRAAVDEMRAILQQYAQKQRRGDPESPVVESPTKRAVAHSIPL AKNSAANTGTTLTAAATAAAAQASAVARISPEL XP_829249.1 MVAKLQHNPAPTTLNFYEKSFQQLSDVQQRQTGLLIGAAVGDAA ARALDGYTAEEVAAVAAESGSLQDEDEDPVVFASVTPREHKSGLLRHHSYTFYLFSQL LRVMATSRGDFPVQYVKNEWVATARAHPDCFVREHASLLHVLCITMQLPVIYPWADDS TLREYASDFLEFLTETPAERAVASREDVYAYTNSVLGVALRCLQSNPDPYRNAAFMAA PGTAHVFPDDLALYCPPALVGSSHSRTEELSEADSKTVPLFPARLLESDVRVVRECLV VARGAASFAEGIKAAIHLGGPVCQRSLIVGALLGARMGVRRIPISWLSATYDHVPLVT LALQVAQWSWNPPHH XP_829250.1 MGTVSPARRVASPGKGKRSPSRGETPSEGRTRRAGSSPSSRPGM QTASQRAARKKEVRRLRDAELLLDEAPLSMVGERAQDALEAMLRALDIRRNASATPKK MQPVWHHVKALVVTANREGLRRAYAAFPAEEGHYFFGKALSTLEYSASTVNTAADGGE FAECPLLLSHLMEATLNNIAFQQYIAHEPPEAILKTLQRALKVQHHQAFRTLTLYNMA VAFLAARRYEDATEFVARCSQVAEVCLELEVSLTREISSLYKEYHTMVATHAIMCHHL LAGLAAWSGESDMEVYHAQLALCCATKFLAEGSALVSRCQQRLTAAKSGNSAAVIAQS DEPPRMQMMTDDLEILASPLFLQFLLETQRMQRIVFPPLKGLQRRKTVVKRGQRLGSG KSSPEVEAEDTGLTGSAPHQPAVDGNGEEEKAGTHASISYSRSPSQGVGATTDVRIVC SPVACIATGCDMRTGDGVRVLQRSSQGRKGADVLFGSTA XP_829251.1 MIEPGTLESGCGRSLWKLNDNTAESAPPPGRYLRITDRRLRMLS ALVRDLRFEKLLEAAILAQSYWRGILSRRENKHRLPMLQERMRQREAVELIQHAYRNH LATRGPIKEKHELRAHRLLVQRLVVIQRHLRGRQSVVEERNRGLGVIVQLNEAILEAR RRVAASIVIQSSWRMCAVRIRILRLLAATIRVQSVWRGHVGRVEARERRVRERLRKQD RLAVLLRHVYPVQKRWRGVLAIRKAKEYVEGLKKNVVAYLEEQERIVDAMWGRFKCVA NVELSVLKILDVLRAYRSREECAKMYPSMLTRRRFLLRIVWKKRATHIAGIMREQQLT KWMLQRRREEVADAVLLLQCAVRRWFAQRKSMALRRALDWTHVCARKIQSMYKRQMGR RMFLEAKMATKLREEQRMISQLRHYCASKIQATWRMFTVWHENKDYLHFLHHGRHKYA TTIRNAWITYRERQQKKVIIGMRLMEHQQKQSAQEQLLSVLRIQAMVRMFLVRSRMLR DGVQLRPTLAKLHRSARSIQMSWRRHAAYEYVSQLRFSRAYYDQHKVNMESLHTYATM IQSLVRAKVINPWLVAVRELELEQASTEEKERRREKMLKTYALFTKENMFPGAGNRLS ACGPEALVAGGRTSLDTGSFVTPLRNTGTSYISEGPCSFSVKLCETCVLPTRSVSRSC LSGTSQSADICAQKGDEHQSLDTTSLHQVDGQRSPSSDQLDVVKSCTANAVHPPLRNM DTRSTTILGSLSAIFSGRPDLEGSADGRRTSAGLRGRETSHSSCPAGSEFASVTTSEY SSSLCYDPVVLAAVVALIQRCGRGFLSRASTRRSLQKSDRWLAAVDIQRMWRGFCARQ LVEVYYEFYTEEVEVAAAE XP_829252.1 MQHDRDRYDSEEDDEESLPFDGIEKASVLQQCRVFNDVQLDISA CLRCLTECLYLIYTGTTFTEAEATELFFMSTKLLQSNRSRLRRLHYVLMKELSPFVEQ SFIASNSLMGDTKSNNESNKRNGMRTLCKVMNPSLYPLLDRTIVESLTSRSEKVLLAS LITGFHVALSHPDLARKWSTQLNEAIRVLGNTQYLTVAIMHIIRKSDRVTVKRFIEQV RNGVVRSPLALSFLVKLTTDVLQEGFEEDPEVKKYIATMRHSSSEIVVFDTLRCMCVA GNASPQQFALVASVAQIYLNAKTSVSRFCAIRVLHDLAAIYPDAVTPISSDIEQLIMD QNRFTASFAMLTLLKTGTEASVERLIGALGSVGQLRELPDEFKVAITLELRSVSARFP QRYNLFLGFLVKLLSDDGSSTFKESIVEVITSVAKANDGAREAALKHLVDYVEDCAHV SILHRVHMYLGDEVPRSENPALFIRYINNHAALEFPEVRAAAVSTFARIAARVPSLRR SILPLLKHKCSDEDDEVRDRAIMYTKVFLLGDEDVIHSMVTEVSNTVAARRKLITPMT PTPLLEPVKRRMCQADHSTVAVETGIGKEAAHSSSGGGSVPGNQYSSAISEGRAKLLK IKQLQELGEPRASSEPVPLSDPDSEYFVTLIKHVYVAHVVLQFRVKNTMDSVVFRRVT VEMDTEELDAEPLYAIPISAIEPGATEYAYAVLQYSEGRYPSGTLGCRIKFALQERDG SSVAGEGEEEYPLEDFEVNVSDFITPIDLGECFQQKWEELRQEETCGTYALSSMRNLT AAAHELVEFFGMHVVGGKVDKITAASHTLLMSGSMVDGASSLVMINARLFIATDNTVA LQLTLRGGSSELREYLSGALLS XP_829253.1 MDSGTKREFGRNVTNVDDFKGAKLTPSKIHHQKFEGSDKRHGVR LADEGKWCIHKRSRVEPVAAGPSRERSVEETKSTTTCCSLPLPSQETNLCSIRGDSNT CSSDDSSIERYFDESCEAIEAPTAPAPRPPSPTWNNALRTVSGTAAAVLQDLKNAASL QTDQSSSSEVLPSPPVIPLIL XP_829254.1 MLRLVLTLLAKKKVPPPPKRGRPATPQRGKSKLVPKGRSPSLTR KVTPKVVSRQSPAKKSTIKVSTARVSRRSDNKGRGSKLIDDVDSFPVAAAVEHAPAVV PSVEVAATSTAMTVTRKPHYSLATAVSTVIVPPCANAVLETMKQTLSPSVRSPSEAGV DLCGDGSGVEQGGEPPSQMEEFYTPKKGPLFATAVIAGTNAVKQTSQLIPFCHPARIH KCSFTFRRRVLGGLTRISSLPHRVVLRKRATPSPHSSRNRPECSVIYCFCTVATDDET RSGVEMEALAGATMAALTMYDMLRALPSAQEDGISVGEAFVLAKRGSRGDFTKLLVSE LPAEDSAGAMGEVRRAALTTGREDNASEDNFTYADDYENLSGGEGLETGVEEHRKVGT LQTSGSRCGGLDDGEHQSEEFHGAADAIDGDAARRERQRERDAILGEAEEMEAEGSVT NPEEEERAMPEEAATLIDRSAWWRSTARDRRLQHLQQNMRHEGNSNRSAPQAPAHKSP EVRPPMQKDAMKKLGVKNKPQELPFQRPKIIPVSKKSVKLVDKNGGIAVPKGQRVVVV EDEYYEENGDEAHADDEIYGGDDSEYEELYNDDDALGDETALESEAQHKKLLRYKSGE SVRAQTTSRGAVSVHKKGRDYRDNDSYNDENASEDGLFEEEMEMGSRQKVKKGKIVQS LLSRRGNARATDDSYDHDNQSVTSPKHESWDAEEAKEWESEEDDWSNINMHNETESVA KGHLHAKRRNPLPLPKKKEMKFKKTIKQKQRPEKR XP_829255.1 MRSRNLSPFSTSTSWRRALCISTAAETPSYFSCLLFYTVRQSSM THCASKKQADGSKDIGDAAWREKLTTQEYRVLRQKATDPPFGAYNTHFETGTYHCAGC GTLLYKSSMKFPCECGWPAFFDCVPLAVREEPDSDGVRTEILCNACGGHLGHVFRGEG FNNPPPNERHCVNGTAIRFQSEK XP_829256.1 MSDLNAMIETLYAGRLITERQVVQLCDWCKDIFLEEGNVDVVFA PVTLCGDIHGQFFDLLELFRHGGRVPDTSYVFMGDYVDRGYHSVETLILLLLLKVRYP DRITLLRGNHESRQITQVYGFYDECYRKFGSATVWRLCTDLFDYMPLSAVVEGSVFCV HGGLSPHIATLDEIRALDRKQEVPHDGPMSDLLWSDPDEMEGWGVSPRGAGFIFGGDV AKTFNHKNGLSLIARAHQLVFEGYKSMFDDNCCTVWSAPNYCYRCGNVGSIMRIGEQS LTDRSFITFGAASAETRGHLTKQTPPEYFL XP_829257.1 MIRLRYRAREVAFGWSRGPALWSTRRLESMGSTSWRVPSTNKAV AQWLYGSAAVVGCVVVVGGVTRLTESGLSIVDWKPVTGVRPPTTQDEWEEEFAKYQNF PEFKQRNTMTLEEFKFIFFWEWAHRVLARSVGIVYGVPLLYFVCRGRFRAQPALLATL SGILALGGAQGAMGWYMVRSGLDPQLLDERRKARVSTYRLAAHLVLAFTIYASLMRVG FGLKMPRMAHFPGRTRIQACARCCFAAVLCTVISGAFVAGLGGGLMYNDELPWMGGGF IPPRDHLMVVEPWWRNALENPAAAQTWHRLMAAVSTTAIMAMNATCFRYRVAIPLSVW KSVAAVNAMLIAQVSLGVWTIASYVDLPVAVSHQLGSLLLLTTTIRVCAVLGSRGLVL V XP_829258.1 MMIWLQRKVFLLGLFCVLVGMIACVNGHANASCPESCDTAGRWC EIRFNRGGRVSVGECNASSFTCVCNDAVDITVTRNEESCWWSGSFDMTNNVSEESLCS ADTAQCPQTCQQAGEQITRCAGSVLCTEDGDGYIFTCAISEGTILHINKSVYGCLTTI VEPELHCNPKVTCSGHGYCVNPHTLSNSCVCFSDPINGYWVGANCSECHADYGPGGGN CTQRTSTIRIILSSIGSTWAMVLPNMVVLFLFVVLGLMRRESESDRSFQSTVLRKTGL SAVQVARRNRCGLFNPKLIPPRPPQSRCFLNEGEGRAKRRGPPAY XP_829259.1 MVTVSWLSRVMPNMFTQACIYGHFSGVSLTDALLNSPVCPGQCT TYVQPSIATDTLNSLRCHAIRVFRKTRYHFAFYSFTAQTQPPRNAKSTLVFVNVLCQR KSFQLGED XP_829260.1 MDRRLIEMQAVITRREAEFRKQNMDLMTELMGDMRSQDNLFDKN MKDLQFRLKGLEKQKADIIKAEDEVKDKLSKLSAARTKLAEQMAEMKEKMDGIRRQRP SGSLTARGKKPSKEPSVDM XP_829261.1 MPTLDPTAWTTRRYPLLISSWIICRAFSFPEGILNDQEPRSQKL VTCHACPTVGCKTNANAGVDVILQTVCKRFNLSATRSENVRGNICSPKVFRWRTECSA CRYTFSRQLRHPNLYEPCAPAARRVVPNTFCQRVCCSISVYDYLQPFAFSVDAPYKAT XP_829262.1 MSSTSTTHPQLLLQQSKVKYLMKVCRPLCQFTQKCNRGSWVERT FGAERKKASSASEDNDLLSMHLPFSSDTAPPCGYSTTEAVRLISLPYHPHVYAYCLFE SRLIFERAVLHGAIAPNVKPSQFFVHPISVMRHPEKFKLFFGEERSDVLLRLLVDLLG EYDGDDRSVVQKCRAKGVSNENWVPFLRGLGLCLAMGDIASSQATFVMEDCITGGGAD CGLSLPGSYEKRGSVAAELLMEASVELGSLTLANLSLSLYRQFLPEVPLTASKKVTIC TTRCERRFLEWNQRCLKTGPRR XP_829263.1 MLSKAWSDRTSEIQRSRLERVTPPPFTDDAGAANQTKEQRPQSV VSHRMWPTDHHTSCSGRSRNTRRGRCYRRTENVLKMQQTATFYGFNKFSPKGQVLTCH QGPIPQCKRFAAKHNKAKRELKHPGLVIFPRFCFVFLFLFDAGGPRKKKRSRNPPRGR PRSPP XP_829264.1 MVDELPPVLSGVGTTDSVPRVNEHEPTSPSVDFEGQEMLLEPGT STINDESNSALFCGAGDPKELQAEPRDSRDRTGIVSRQLITPQQQQQLGISTCSRKSL EQKISILEATIATQKKEIILLQRQLQSRSTGATDHRLANALKEIAALKEALNHANGKA ESEREPAALQMQATIERLSTERNELMNCLRKQNKLIEALKRQKVHLESATLLNIMEKE IEKYFELAR XP_829265.1 MCLVKRGLPQNGGGGGEFVLCCSTFFKRKCCVSMACVTGDDTGL VKVWNISKSTGATLSFSYGEQSRKRGIAGMCWVDSSTTRVAFSMNDGVLSILDLSERA VTLSKKVGVFSALSNSLCSYKGKILVASGSGKVLLTTPVLEAADTIECGGPVEAFHFH RRYGMWSMGGKGNDMCVYDLNSQDVGTPVFRAQNVRDHVLDVPYPVYVTGTCVINPFV FCTTTAYHQVRFYDRRSSERPIQEFEISREIERRPTTLLQWNCNKFLIGEASGDVHLY DTRRGFASRAKLRGGVGSVRSMAKHPSGHQILAVAGLDRKARLYHVPTGKLLMTMYTK QRTTSVLLDKGIPLKDNTAVYSNVANSKQPSKLNGIGDEVWDNMDPVVDDINWQQ XP_829266.1 MVQFTVLYTMLMCLLKRCVQVYVMSLLSCRIKFLPIYLFCPLSN SEGIKQAKMASCRTGRLPMPDDPLFNPIHMANFRSTARIAGVGLLGVIWIMYQKLHHS HHYITYKGPENPFARIRHRRFPGGTFAFGWGNNGLNRDCGLKEWECWAGYTGKEYTY XP_829267.1 MDIGNFDSRPASILEYIKRNPSSALSTRWVFDLPEAEQEECLVK MIENAAFPETWMVYFDYAERNRITVTDDIALEAIHSVGLDPHSAPLWLKVIDICCGEG KKRELFHMALQVPLYQQNMVYKVYRTFESDAAKRSGEKVGNHLALSDINHFSEMLKTE PRWPDRFVDSSACSSYQRNVLCSQWNILLQTLLGRCDQDSMRELHLRRAELAFRQMCS QFPNEDVCWYTYACFMAVKMNDHVQAMEILKRGRASSSEVSVALWLAEPLLNRDFQLE QPLTKDKVNAQLFIQRLYANTARNFLQNKKCLRDIGKRAVAENVSDWKLYHQWASAER SVLRDQSMTSKIYERGISCVSKSPKDAILFSNEAMKYHLWRQDERQVVGYSELQIELL STSQHDGWVRAGWNYLVNAESMIGLPSLPKTLNRRAERNNDLPYKSIIERYRVGSYIP CADRDLDWIEFVNDITRIRREEQEHIFQAVTPIKNSSISVPAPRKFVGASPDTSLWCP IEMAPRHEGVSIKDPDEIVGPRYLRGRLVYKLTLDDKTAARLRREEYMRGKREGMDEK HTPAGAAQSALQLLVERLGAKRWNETQLRLCRLVSAEWLMNTLAMGDLDLAKKKA XP_829268.1 MKNTNNLRVLGSDPFKDITAKARVRQLLQTHQGRDKLFKVVQYF LRIKLWRDSVAYSTSYLPGGHYTAVERNLMTIMNTRRLFRVGRFVGELVRMRVTLIKC SELVYIPARGGQWVGFFIQCQMICDLIARLLMCVKSLCEDVAFLAQKGFLHSNVAEQL FNIAFRCSHPVLLVDLFLNTLRLLQGVIDASHQPDEKEVISATYSFSLLSRYDRVDKL RRGMCESKGDGKSKDDRQNSKIVKARMENNVVLVDSYAKLLWKDFELHWIFVTELKLL LDIFVAFASMKRYEAARGVASVAGLFSGILSVYRVWTYGR XP_829269.1 MFCNLNVCLAFLSSQYGKENMNARKPLAVSAGTNSRSGEGDWKS TMRSFREGVRCLGEENEALRTVRSDLDAISRKLTEKMNSLVEENGSFHKSMSTLLDDE RRKVSIITDQNENLLHKLEELRKEYDDANKEISRLTDLVHTEKSRNNSVVGLFEKKLA EKEDEYQTELRLREQSLEKLKTQLEEKCSAYEAQLTDRDNLLQTERCQWKLQKEVYEK EIERLLSQVGKFAITQRSSSQQSTLGVDVAHAKPPLAPVPPPAPTDEEGDRAVVKKRR LEAVRQQRRLKENDKNDTTLASIGKLKDLKESLQL XP_829270.1 MHGALVFGFVVVFLSFLVLWQRASCVSKIHLLGDVLFVFAHPDD EAMFFSPLLDYVRRHGLNAHFLCLSNGNYSGLGTVREKELVASAEYFGVNRRSVRVVD HPDLQDGPDNLWNTEIVQREVLSYLHSVKDIRTVITFDHRGVSSHANHVAVYEGVLLA KKNLPPGILFLSLHTRDLLEKYVGILSTVGYTVGIHRCGGRRNHVILIPPTSLFTSFS AMRKHKTQLVWFRYLFVWFSSYSYVNEVKELGVA XP_829271.1 MGKLFKPPSNWSKLYAKVKEIREEVQAPVDTVGCSKLFDPSASD EVRRYHILLALMLSAQTKDHVTAAAMHSLIDHGCTPETIYKMPESKLNEFISKVGFHN TKARNIKAATESILQLHKGTVPRSYEGLVSLPGVGPKMAHLFLQEADSVVIGIGVDTH VHRIAQRFHWVPSTVKSPEDTRKALEAWLPAKYWGEINGMLVGLGQTICTPRIPRCSE CPASGLCPSAFREAKGGVKRQRLPEIEDVGAVVPAPKRKRI XP_829272.1 MFNQFSKMPLEPPYIRNVYIASLPPNYTEEELRALFAPFGKIVS TALVRDKETKRCKGYGFVLMERYQDAYNAVMALQGHTVQHARVQVRLARPEASVKKAD PLLYTQAMMCEVVQPAVYVLYPPTCITA XP_829273.1 MGPNRQRKPRELARGRGRGGRCGRGRAAAPAPTAPSSILSRAEK DMVIDVLHHAASGGAVPVSEEHFNPNSGSRIKFQRNSPLTPSEILQRLYEGLGFPPDL VTQYVRDLADESKLPVFNSIGELLAEGGPFQSFLVDSCFELFSYMLWYSREEEGTSLT PEEGDSILQEELEAVRALFDDSFIGLKNFGDDDTDDRELQFSFFTQDDKGVMLSIRIP DHYPSDPPNIFIGPRKQQTGGMSTLAVLPLDTKELPAVARRSILDAAVEAINGFVGEG CLIGLLSSICGAISSVSGLGVVEQLPTPAPCESKEVKAVIAAKRQAFASALSASEDPL ALPPEEPSSTTCSLPAKVELGTVDHSDDEVGQVRREFLRNNKQLDAKLKEEWQALRAN GTLRNSREQLPAYNAREELRQAVARHRVVVVSGETGSGKTTQIPQYLYEFMCEDGKGS SANIVCTQPRRLAATSVALRVAGERDEAVGGVVGYTIRLENCVSSRTQITYCTTGVVL RRIQVDKFLGRVSHIVVDEIHERGVDTDVLLILLRDLLERRDDLTVVLMSATMDSELF ARYFGGSPIINIAGRTFPVQVFHLEEIIPMVNYSLDDGSPYAKWEVRKEERRRNTRKQ MLDIDINEIEEARELTAGVHGPSTQLSASHRTLDILSRMNPDVINYELIESIVVYIDT KMGVPGAILIFLPGMVEMTSCMEQLKSNPKLLSSCLIYNLHSSLGSAEQQGVFQHPPK GKRKVVIGTNIMETSITIDDAVFVIDCGKVKENRYDARRSLSQLVTVNTSKANCRQRQ GRAGRVREGFCFRLFTSTQFESLDDHQLCEMHRVPLESLVLQIYSLNLGDEVEYLRKA LSPPDERAVRSSVKALTTLGALTMDKRLTSLGRHLANLPLDVRIGKMVIHGAILQCVD PVLTIAACLAVRTPFLSAMDYQVEVEGVRRALSGDYMSDHLSSWFAYSKWIAMWHKEG PAGASKLCAKYYLSLPALRQIQATKQQYERFLYEAGLIEETPVRMKNNRFLYDPVVTL EDSVYESGGPRFNTNSGSVKCILSCIVAGLYPNVACVKTVRGGKGGNRTNITTLDGSE VLVHPSSVAGKEKAFASPLLVYVDKVKTSATFLREVSMVTPLHVVFFGSGRLEYLPKY GELVVDEATAFRCQSEDAVLLRHLKDQLDSALSQKINDPSKSWESTSSVVVRAILRLL KGDSSTVRGLTVVDRRQPRAPLTAPLLQVESSDDAPKKKDGSADKRTCFVCGEGGHVV NTCPHKAAAAKGGPVTRCFICGEWHHPTDCAVTTPMR XP_829274.1 MAAVTQQGNDTRKLRCNVYVASLPLDFDDDQLFDLFSPYGRISS ARIMRSKGARQSRGYGFVLFRHASSAEKAIDSLVGYVIGGSRIQVRLAHPEASVAYSG QRGSRSGNSTPGALPEKTLPAASGVITPPPPSSAIISHPNDSGMMVQTYPITTMYPTM YPSVVPQIIAQPQAPVPAAMQQVVFLPQYAQQSNQSTPPTFYMMVPDAMQAVPPTLS XP_829275.1 MSPIFLSLLKKNLYLFDLVLFSSLYIYIYINETTFVFLNFFTIT AQASESSPKTAGKEHQLVRFLMKNINIACGYQTCRCLHALKKKVSFCIFEKKNKEGKG KIHIYINMNAKRKLIDKERGVTRASFKCFSFSFYQYYFIYLFSVLFFFYLFFYPFHVT LFPLVETNE XP_829276.1 METSKNNHKMRVKPEQQEKKRKRQRPFMGLFTLKLKYIYIYILY SHICVVTKVRKGEIIASCLYETGKQKLFFYLFFLKKRKREEGRGEERRISKKKKKQVR VRTDGWTDFNTWCNTI XP_829277.1 MAFLVQVAADIFNNKVNFELSFPSRPSISELTRSAETAFSNEIS LRRPDNVPSHKFHSSKIKMYDEELNKWVDLIREDQLTDYCQLYVFQPPNEWHKESQKE IPPAMKPPSSGQRHSAGGSFSQGSRVTPNGSLSMQGALAPYNGSRSPVSHRSESQQAR HASFHGSTSNALVPRAQTDVSQEEKLRVLFAELDVKGNRVLDVEDFRHGFTVFNLNFS PATVDDLFEKGDSNRDGRITFSEFERFGRLYPIMTDCLYFRSRAFWEEEQIRRSIQNE RQAVRQAEQAVEQARRALEEAENETDAAKDAVATADADLKDRTDRLRDLTRDMDNAKR EKERAIREKKDREKELFEIREREKELRKDAQEVAREAEKQDRRAFSLAGEASAADDKV RALEKALDEARRAAERAHNAADQAARDADIVKLRMQDATRDAEEVAREVPRAEDAVRA AEHNVSAADQGARELEGMGRVLSREIEEAGQRRDQSEKAVEEARGMMHVKERQLEEAK QHVSEREHAVRLKEIELADQLKQRELVSQHERTLIEQELRLREQRDSLEERETKLMSE ASSFLGNLRTQLHGGRSYPRD XP_829278.1 MRRSPCADYFTSLMATAGFVRCRGLSSSGQTNTTQTVHVLHSLY RRLRKEGEERKMLEDALSVNQLETNIRIGLRLLHCHTQLVDLNRQLKELPFWRLVRRM SLAVARRYYAWRIFSIRLRLRSTTAISNALVYTLFLVVCFMLYEIYCVCRIGVTRAED RYKSLAVPIVQTFEALEEAARRRKDILLKEMEGDIVRQRN XP_829279.1 MSVASEANDVVSFLSKVGERYKQHRELLRRKFLEDERRDCPFKP TLSKYTSHRKPSKGSECVEAVEKRLYQLHVRQQELAEERQAAHNKRESAELKAVMRPP RLTAQARSLSPRDPAEVSRQWLQKREEKLIRLREAIIKGDLDAMQSKPTISSYAEANI TAERRNGKSIEDYLLAEHEARRERMHHHYEGGTTASGRSSSPLRRVDSQPVFRPRISN YARRLSLPGRVVDRLLSKKSRKGDALRDENCTFAPRVSSTSTRLLRYFYKNPNVSVYD RLCDESYMRPNGCYAKKGSSHVEPGSFGVPHINETSRAIVERKRTGDDAPLRTYQLRS PTERLHACRDPTASSGRHRRHCQHMISEQLEKEISQCTFRPRVDEESNQMWRRRLQQL KGGGHPRNVSGLRELLWRRSETRMKEELRRQRELQEQKEVEECTFHPKVGRAPRRRVE CALSVPERNEAWQQRRQKKLNCTREEVERSETSECSFHPSVSSLHSAASVPASNVAGY DSHLRRHAESRRIIREMQEWWRPKSHISRNSGSARRVRSQPQKREKEWWDLPQKCELS SFTRTCSPTSWDDQLSSSQLGS XP_829280.1 MENIRVVVRVRPFIPGENPQQCVTVHDRQIRVGDDRTFAFDKVF DMRATCDLVNSSVGDPLISAFVDGYTVSTIAYGQTGAGKTHTMSRLSRYVVERVHKIL TNGAAEHSGLASPGARSNSLADAEAAATQPEFRFSAVEVYNDQISDLAVSASSSSAPR QVRTLSLREDNRCGVFIKGLTETAVDSAEGLLTLIERCISSRRTAMTQANETSSRSHC LLTVALVYRGKVGRFALVDLAGSERMKKAHGATPSAAGEGHSGAAASRVREGISINSG LLALGNVISALCARKSHVPYRASKLTRLLQPMLSGNSKTTMIACVSPVAASFEETLNT LKYANRVKSLRTTPLQMAAVSSMEDAQRAIEMLHQQLLEAKQVGCGQFSGMPSFPTGD VNAKLEELEEKLRVEQKLTQRLKDDLFNAEYTAMVEVEKRKSLEKRIALLELVEKERC VGRASTSTAEVSAVGSTVSCPRRSAESSDPSDEGGDIENNRKLLERLEAERDELEALK KQKETDSKRLAELDMGGQHSIGCDFDGSFSQENLLRDIALKERHIQELKQRNEEAVWA LEDSKRNQEEVLSVKRRLEEDLANAVTKLESTEMEQQRKQAERNRLFALHEERLRKAE EMAETYRRRVEEATLQLNQRQCNEELIQQLQAQIPEMREELNRHVVAVREGQQREQKM AASYVQKVKKMKRQMRETEAQVSRLQVELQKKEREIARVKSNIADRFDRCLSKAHVER KQLLRNLQCDSSGRSSSVQREIDLELRALATIETDLDDLMLERCEMKARLDEVCGSPN VVNSCAVAAKGFECQSRRAGSPNSCSGAQAEASTDYSEALGDGNAVVKEQATNPLVFQ TLRRLEEVEDSIDSLQEARKYHLQRVRRLQNSSASAQGSVTSRACELLQRSISDSFRQ TS XP_829281.1 MSGCDGTEGRFGRISSWEPSTPPDVVTDSVEDAFEEVAPSVNGP LIARLIPIRDGSTASLRVPMPILEIYRDSGTITMGRSRELPVECRVDVARVSTQHCEL RVNAVTRQVTVRDISLNGTFVNGKRLEKGVDVELQSGDQISFVKSLPTGTTATSTPAQ TSSSESANVSSGGGNGAEYVFQRVSSTQSVSQMVEELTCSVCKHLYHRPCSALPCMHV FCASCLSKWLACGNVTCMECRAELSEVRPTHKLQNCVEQLLKLNPGLCRSAEELAECG FNDDIPPEGRKLLKRTRRVRDDDGDDYSDTGSDLDDDDDDFGSEFNTSSGGDGVNATH VPQLYQGQTHGHAPATGSCKHCEAPSAVDGFRCPARGMHQSCARCRRLFPMRPLCTRP QRCHLCSTPYCNLYYKDEGGCPVGADGGLTIVSQYRAPQALPPNLFGGNKVEQNIVSS YLMVKHVVVEDVWGECLQKLSGREWVPDITCVNGPVTADSALCEGCMDILFAALLFHY RRCIPRAELPYSVTDRPNCWYGLNCRTQFHNMQHANKYNHACYQEKRKE XP_829282.1 MSSHFSGREEAVHRSSLSYLLSPNRPRSAGEQRFIRHAVFRATA FIGFIFYFIYCNPEYSYTYSYLQKEYGLGLGEPWLPKLLKLQKRPPVE XP_829283.1 MFSEPVYLTIGDEYEKRAVKPSKSRGRNFIVPVKRSPHITDAVF QKSFISLAVGDEYLPPPPGGTFRQPAEKKPGEPQPLPFKPPSRPHKSCGSGSYYGTFN EKNPPKHEPETTIAEVKKSGANAPAGTVRKPNLYTNPGKKGTYGYTGLTLGRAGEVQY ISDPVGCCSGQRTHSPRTQAPFSAAVKCGGCFDEGPHGFSTVYGMNKPLPMRKVPPQR VLPPMKPWRPAGALVREITRHPEYQEDPYDLKEKRLREERLKEQSHKPWFPCGTDAYR HIYTYPVPYNPPPVV XP_829284.1 MPFSKAWRSAVYPDFREQGAYINYKATKDTLHRMKEDIANPATP DELYNSLLMQKATVYKWCENKVKELQMMAEALMKASDYLSEEETPTNMSMVFSMVGSS EAKYLPPSDARRVADAITYELLRFVECRNLNTDTIEHIIARMYRYAVLGPTGDRWKNI NKEYDYHALSIDEIFFMLSKVYEHVNEVESMRRDGRSSIPCGTVGSQVFDRRSVKYWV HMQDLPFVIARIIPHLPLSTFQDTYAMSKERGVPFTLGSPISSVYYDNDKFLLYHRRL ERLDGATLIRMRWYGRPLDSDWNKLESKDSVFMEIKVHHEAWSGERSNKRRFALKEKD VDAYIRGDLSLKPALEKLRSKNASEAEQEKFMSLATEILTKIHAYDLKPVLRTQCQRA AFQCGLDQSIRISIDTDLRVVAEDFGLSHHWRYNGADAPLSHFPYAVVEVKLQCAENE RIAPWIEELMNCRYMESVPKFSKYAHGIATLYGHTPFIKMVPYWMPQLDIDIRASTKP EYNQWDPTIGIASGCWERTTDRVIFGTGHAQTQTVGASEARFLPRTDCLRTYQRVLKA IKRGAHMNSVAPTMSPTDRPPSDEKKLTEQQELAPVVQYDTDRRHKAYTAFHLYPYCE DGVESLCFTSTGGKHVAAEVFSGLIPWQTGKRIRVPQKYDPKTLLTSERFMVKWAEQA TRVGVVGLAVIRFGNSMSLPNDMVAVHSFWRANFHIVLGSLMVVVAECVLVYAYVTFK SRSRRVYARRKIRYDDRRGPVALTFVILAVILITVMMHVMVRYGPMLTGSDTF XP_829285.1 MIRFSWVRLCSSAMAAAAASPDVQAITRAQAMQLDDLSPRKIAS ILDSYIVGQAEGKRAVAISLRNRWRRRQIEDEGLRRDILPKNILLVGPTGVGKTEISR RMAKLTEAPFVKVEATKYTEVGFKGKDVESIIEDLYSNAKTKAKRRLEIEREKEAHEL ALEIVFNGWHSCRSASGSFGSSTRNSGSGDSSAEEDKNSSSRDNVTFEEFKEKYKTQF KDDMVVIDVTQQPKGNTKPNASINSVEMLSVGILLGLGSESRGVKTRVTKRVEEALPL ATQEALSRLVDETQISALARTLAEQDGVVFIDEIDKVVTEPASANADVSSTGVQQDLL PLIEGSNVTLKDGSQISTDNILFICSGAFHTVKTSDMIAELQGRLPVRVEMHALKEED IRRILCEPKFNLLLQQKALMKTENIDLEFTPDAVDELARVTTKVNANAQNIGARRLHT VVERVMDEYSFNCQDYEGKKVVIDAEVVRKATGSLMNNIDLAKYIL XP_829286.1 MLSSSSPVLVSEQTCDKVDYGMELMPGKRLNTPVETPVIICPLY NLGNTCYFNAGVQLLVNCPQFVYCLRDSLFRHPEHHRYAERVSRSCGKAALELFEAFT QLVNDMEFTQLEPDCAISPLRALECLSAVHPLFEGREQQDCPEMVNAVIANVAEVGRQ EIELDNLLKSFEGDYLCLEKAMGCRRGDTSFVPMRCTRQRMEFDEISLQSGSLYGSDQ MNRNGAASANHEQNENGSENNHFTTSAQTHNAPQFPVFPGSWWNFNTMRINQFVNREN RLLQLQEDSKNGKLPQSTFRPPKIFYNSVTDGFTGQILSEIRCHTCQRSSRIVESFSS LTIGIPSPRQRLQYAKKHPEVQRVKQDGTPQNLARSLYWRSVFSWFYAATRWIVGLFT GFSRRGNCPVTLQECLDIHFEPVELKGSNMYHCSTCNAKREATKQETLLTMPEYLLLH MKRFEQGKCFNTKKTDEVIFPMSWDVGAAQSTDVLRLRNYLDSNVLAFNYPLSTFHGT TSAGDSTPMENSTSVTTPLTATNDHQKFAAASSSPLQSMQTRENPDIEAPIDTYTLEA VVNHHGTIARGHYTTFARKKTASKDVWVYLNDEELSTTTADSVANSEEYLLLYKKQSL FPRSEAFEKLRTKARELLAKPLSSRMGLDAAGVDKSSRNPNSNTNLSISGGGDGCHDV VYISRPWLQRMTFMEEPGPILNRLSYRAATQETGESSSAHGTQSSPKQNLGEPTSAKG PPYEKSLPVEWFYVSLLQQEYDAFYDMYGGNQAVTQSEYNLMMSEQDALV XP_829287.1 MNRHAFVEMTPLRPEPTDGSPTRGSQPAAVGQPQFFSRRDDMSY IWGTGIAVEVFRAEFQRFLETFEMPQDVGAAPGADAGAHRGGTRNFFLQELLRLRLQR RSLFEMDLQLFCRAAPRLYQQLIAHPVECLQMMESVAEEVSGRLVAAAGTHPSMPGED EFILRIAPRNHPEITTLRGLSTRQLEQLVSLQGMVVRVSKIIPEIRVALFQCWSCNHT RHSVVDRGRIFEPTRCDSCGKQYSYRINHNLSVFEDKQLVRLQEAPEHLADGDTPVTM SVVVYGDFVDSIVPGDRVVVTGIYRAWPVRLNSNTRIIRSIFSTHVDAVHIEHRRAGR NAWADQQRQSAGEDEGLPEDPAVVARHNMFRHIAARPDIYDVILNSFARTIWGNEDVK RGILLQLFGGTRKELKCGSFRSEINIILCGDPGVAKSQLLTQVHEIAPRGVYTSGKGS SSAGLTAFVVQNNETGELVLEPGALVLSDRGLCCIDEFDKMNEATRSVLHEVMEQQTL SIAKAGIIAQLNARTSVLAAANPKESQWNVNLNVVENLQIEPTLLSRFDLIFLLMDRH DPAEDRRLASHVLSLFMETDESRASGNAAVPTDDDDDDVDNANGGGTSASRGHLATSR APILLQHDGEVYLEGTEEKPYMPARVLSQYIAFARENIHPRLTGASHKQLAASYVEMR RARGSTRTVSATLRQLESMIRLAEARSKMRLGDTVSVEDVREAKWLISAALKEAATDP RTGRINLDVFNAPDPTRQTVEGSMLRLEKLIEQRYISAGHTTATVSELRLALNESFGS SMRPLSIVQFMELLALMVGGDHVKSFTASTVSFAGRLS XP_829288.1 MQEAQQTQSKSLFEVLGLNSSERDENHLLARRVVVVGDALSGKR TLVSRLFAAAVQQFPSSCVLLLSPIADGSENFSPYSQTTTDLNEGTRGNRDSSCGISV KPSGIVSPSCPPYDAAASSRSKAEIDGKRAPHFPHGVGIAHSFIFQRLPAGRASLVGS MDDCSSNTSGNSGGPSMFSATGSAAHSILTEYFCCDAPGALATALPTVLTLETSVVLV VVDGSSPWTLHEQIRRWYGHLKGHVAQLLRGELPKQDEVKRVRMTEQQKNFWATQEQV LGLLRNAWCEREGGVAKELVPPSSVVGDVCPLRTLIVCSKTDELEKLSRELEKSVRVS GEAVGGDTSLDADTPLRRTENQTSSPQTQAPWVTESLRSALCTGGLTLLQLVGQLLRK EAIERRSGLVGTGSSVNTTTTATSSSSVEQPQHCCKQEFLLPTSKAITTKGRVEATTG LNADGSPLAATLAGSYSTFVHPFYKSLWSFLFQVLYEFQTLHNRIGSTSALDAQSRRA SQFTDSDCSDRAVRKPLVLAGDIDWHISALFHPYTFLPHGVDHVSLLNPLVASTEAIN LQNIFGELGEEGVGCCGDITERRSCDESVPLRGDYMRKIETLLAANTVSTERTA XP_829289.1 MGCLSFGSISEVVGWSFFDIQLANALIGIGTSVAVPIVTMVGRE IGYEQGKIASYVSLINAFHVIADIPSSLVADCVDLRRLMSFSVFAQAAGCMPVVLLGC TSTSLAAFCVINGFSTGAFFLARHIYVARRLNPDHCGMVMAFLSGLLRLAHMLGPIFL GVIASLWGDTRYFFFVPMGASLLAWCCIQFSPYCLRVGRNAPQGRADATTATQLEEAK KLDEGSVGGETVPILPGDSLIKGPVLEEGLTRRVVSYGAVNTLNTDNAHSNVESVVSE VGIACSHECCSLQIEGSKGGTAPATYCSVIMDQWNVIWRLGIYVILFVALRANRKLLL TFAAMRMGFTDVQLSFLLSLSFSFDAFLFPLGGILLDNCSRRFARLPAVLGLGIAFLL LPLQHSGQWLYVMAAVFGVVDALGCGLIMTLVADYRHQYFGGLFFGIMRTVQDMGHVI SSAAVSLMIHRFDFAICSNFWGVLGIFAAVWGWYGVPNPTR XP_829290.1 MNAKSSCLVGTTNRSNFEFVCPEENVDVTQLGNPTNGTLAPANN GNVSSEAEANVGMIENDLPTSWVVLSRSCRIADGSPHTPQEGSRPDHQEDEKGESTQQ EMEQHAEIQECSMRSSLEHRTTTLTSSWFGTCNSSLHADIERSEVGPTTPFNPSWHST CTENNTVEASDNSQPADATLSSIEDLRRSNDILRALLAQSDKVVAQSLLGKEAAAREL GDMRSTLSALLANTESRSNIFRGPRSRRLHQPAVPEQSEPPNENQPFHLFGWLQQFLF GDSERESQDSGSSQREQEDHTHTDEHFDILDSPLPLRGGFSWRSHFDTARRCAQRGRN QEAFASLLLGITSEDFCEWNLLLEENEKCNFLSGPHRLRWDCIIADKLCEFLWSDKVV EVNGTLPHEEPVTPNPERPNPVAIADFVLGTIARHFGNGRTDGQMSQEMPSAGADGGS DSEDRTRNSLGTQQPSPPSPGPPFRTLENILSIATSIFPSDVSLLYAQASLCAVLGRQ EDSIRLLNEVIVREPGCLLRYGGCGVKQ XP_829291.1 MIELLLEVALSIIICVVAAYFFFLSSPVEEYGVGEGSRSYEHGS NISNSRGGYSKSSDNRHLSPYSRRNASSLERSKKCEPAMWCNVVGRLVSLLVLGGGSV DGNVWVDRIAYFIGKGVKSVDEAFDEGRKTRGAHGITTQTLRVKADMLRLLRMELSSG GTLSTAGGAHHQQQQSHSTSPQVGGMFHTRTSSEHARGVGIFGEPPEGGAAGGTVATG VGCNNGPAPANSPLVGVVLPRVGPGGITSVEVPLSAVTQELGFDDCGTHVAESGRPSM LRCFAVPITYEDHRFLLHVVCNLPLLAALPQSLSIPSDVLTLRCGLSVKRVVFHGVLY AAFCGNSIELSFSSEPQFTALFDVAPPRRQDHHDRYYQQQNQPHSHLLPTECNSARGP AASVSNASSLPLPPSTLAAHNSSQRYGSNVNQGVSNEGHRTREKLQEIVDAAVRRAIQ SITYPCVLRGHLNKGTMSKAQSVGGGETEQLFGVPNTGLLTWTLERASLPLCC XP_829292.1 MTFVFEARRLPFGTFPVAQILSECNGNPNHSDGCPSFNDEALEG LPAWKRRLIPPVIDVVRKHYGKDGGNDEASSLSCWSAVLSIVDELQELEAAYARMIEY RERQRRGEKTAEECMLEELLRERIGDDFMRGRHTRGGSKGGDGCESSSGSDEDVYEDA DIAMCFNTDGTVVGIGSAGLFGGHGYHLHPSGQ XP_829293.1 MGMATRSPSPRTVHSGQRQEEGNWVASVPGERTQLGTSDHVKLH PIDRCSPDIPISPPHTSAADVNDVPLAEVVHGGGFGETNIAADIGGCARLVEPATFCV ACEDRQSTTLLLAHNQNALDHQKQQQPVDGEGQCPLTAHDGPPRGRLRFGLRSLRVVT EESGTTARRFLRLRRPQVGAEERAEQSIGPGDRHFAPEEGEHPLQEKTNSQFDTQPLP PMHTSVTTDGSSRTNEKVEHPGGTVQHQHTTRMAEAVNASFNGPTPSLSVCKLGKSLG SGVLLSPTPTTPILKRNNGAASRKRHSKVNVFLPHSPVPIDFSSVTTHVESKYEVGKK ISEGTYGEVYIGRCRTTGEYVALKRLKVLEGLEGFPITSLREVIALQHINNERQNIAV RNGNASGSNRAKMDAIDEVVRLRDVLLSSTHNDIYLVFPYASCSLAGLMHRRFPFSEK EIAYIFRKVITAVKKLHEMGIIHRDVKADNVLINRDGSVQLGDFGLCAFEGCGTRALT PSLINLNYRPPEMLLGAVAYNAKVDIWSIGCFLSQMFLRVPPFACVRPKAEFDGAGAG NAKVDPHPKEPQQKQKLKQQGYRVQAETELAQLSLITEVLGPLGGDLDEAFPPAQCRN VSLLREVRASLTSCNSQSALRASMASLFEPSHLYSQYRGFRSWFIATAERRRRSPTYP APSPECLDVLTAIFQLDPRKRPTAAQLLEMPFFDLSRAVSSPARRSYAAYTYAEVEVE KAELLIREEMAEKLQRYEGSHLLPYPSAA XP_829294.1 MMRFTRDGAVGNMQSDKSRRVLSCILFLCFLGLGALIAFATIPF SVLDGRSDKKQSDLVRIAVDETNWWSENMRLRVIESAAAARAIEGFVIGEIDNMPPWK GGLEQRALGWSFERFPYVAAAMFRNVRNNTGTVVMLAPGGVVSQTFPNTESVLEYDFF ESGNGIGVAAAERMAERGGFELFGPVLRRVPVPNASWQLLICSAIHNATSGDPVSVAN FWGFTVVVKDLMGLLDVGAFEERMKELEMNYLVYITDDANNTIPVTTSLRNNLTTAEI EKFTGGCYNRPVLPQVGHLFMCVRSAAMIEKHSRSTVVLLVVGCVFISLIAFVCGVMV VLPCLREFDSRMNAPKTVPFVMTIVGPCNAERLFELAPSAAFPVLEKYAKLQKAVITN NHGYVGLQVHPYTATFVTRDVDTAIETCFQLLKGVQKKHLDEPLKKWLGADGELSIAA AIHWCADAYIRVETVNGSIRYEGNDVKYCERMWMFVPPNKVTISQHAKDNIRSPSTEV CTTQIGSVFFRGVKEKQALFNVTRVNGDELQTFKDSTVPPSRIFGSAEIEQAEYEETP NAGFIPVSIQTNTRGTGGCEMPPSSPNLHKKKFKDRASHYCCSRDQGEHGTHRNPLAF PGGGDEVLPRTVAFASDPDNGRVGAEDVEIGLKFIYPRDHHVNPHIPTGSRFRLANDD VTHGYAAASSDKNVSKATSSGNSPVTDFHTMDELACVSVTSKGDFITEALMRPWIPNA LNTHFRVLFEQYSLFLDFSYESVRTVIFYFYIAYKELLKPLAGPERTNLFNRFVIAFG VPPQSVLEALAVRCALRHIQQLEGIRTMLWHSEQERLQPSQCANAEDTKREGVSHVVE GRI XP_829295.1 MVRCQSSHPKAILVQLHVVAPSLQYDYQKGPPPPEEACELDVEL LCNDISNKMRLLGGMAIGLPKEGLVVEGSHREESGNGGLYNIVVRLQHASNLSVSALR AACAAVMEGAVTIAGDDRGKQRLAHKQPALKVVPVAVRVVKLDKLQ XP_829296.1 MLRNYDTPSQLPLSCSPQSLATPISIGVSSSFSSVQALVSVEGG GSDEGTYRPQQQASQVIQPPSPRSPIVTVLNWPRDGSLGASGAQVGDGLAAPVNLPTP SLLLDHMRSSGYPVIAVVSPSASRRDCTRDGGNIDSRSIAFSFDQFLLEGQLPSPTPS QFSTRSTPIRGVAAKEVGCLLLEAGHPTDCVICMCTYGDDPTPSENPHQKVEATDVSG ENVNNTTTQSSPTAKETNKEVVPPISSVKLSCSHEFHRDCLQRWLLNNNLCPICRREV DS XP_829297.1 MKRIFRSSVRVGAALPLGAVVPAIFYCNGIRIMGRQYTHDTYRP FGDGYKEVRGTAPTDECNLLFASLNDESLPLCEDSGNVVNGQLVRASALVDSDALADS QELVDVVIEEDRREEEDNSVLLVPYHEEQNSVTKKRNEGEDVTTRCDHLFSVVGCPTT VSTATSGIPNTPSRNYLSEQDALYLSPTTPEEARVPSALSPQLSACVMVVFAANDMRV HDNYALALAATRAEAAGGLPVIAVTVVDYRMFAQPSAVGGFFRQSPMRARFFLETLAA LRYKLERELRIPLLVRCGRPEDHIPRLVVECGAIDVFLTTQYTPHEKEVHNDIVEAIT QRRWVSRDPTAGYKATEHDATVVHPFGVTSASTKVGVKESRLTAAPVAHSVWQTTLVH IDDLPVPVASMVEGERWYHDDVTTANIRPTAAFDRCINRLSDLPARGDLLPTTGELSG LEPPPLYRGRAPTLVELGYGSPEAFIEEEVIATQSSHPPGEDAAIERVLDWLMDGGMT SMLRYGRDRRTNTKMYSQRLSRLSPYLSCGALSPRRFYEELRRFTSEHLRDNFVQQQY REALLRLSRRDYWHWMGLRYGPLLFYEYGPRPEHTDDIADWRHDPKIVQKWCAGLTGI PFADAAMRELTLTGFVADEGRQGLIWLLSRGYGQDWRLGAEWLERCSIDYDPFVCYGN CAYYSELVRDDFGETVRNIHWLAHHHDQTGIYVKKWLPQLSKIPPVYIHRPHVLTERM QAMHGVQLGKNYPYPLKLWDGAQYTLSSEHLTTYFSEGSQWHRKAKNGAGGSGYAEAL RHGTVILEPHQLQSSAFGELEHGVMHTVPVVKDDTAGRGQHNRSLSASDE XP_829298.1 MPYPDVLQCRNSKVEAVVIKHDVAVAADGAVTSSYAVNAPCGTK DIYLAACDGDTVALDMFLRMGVDINSIGRPTQRYGTTFDRCSHFCATPLCFAAAYGRE KAVAFLLEKGADAFRTSSTGIRPWEYARHRRYRIIMDMLQTAEEKQRANAKPTLPQG XP_829299.1 MSAAGIARHGGLRCSRALVQPSAPMAVLVRGCCCLYALRFFAYS PYDSIRKPPKGKGGSRASCNPIKVAGMSVTNSNCGFPDRACGESPTPWKFPETLKSGR YKDERQRRRRYEGPKVRDSAGPDRRFYRRLKDFREVQDDDPYAGVFNERDSVKKQRVK EWQRQFEEENADVELPYERTNVLARLAPNWFVRYFVNMRDRGGADSLVHVVILAVGLF MTLWIIGRLFYTPPSQARPISELR XP_829300.1 MSTNYALASQCQLRRDELGELLALATIVESHSKMPGSVDGGVGN NSISTESSDRNAIVALWHTFLTSAHVRSLTTDTLVRVSIPKSKQQLLIHDNEHIRPAA QSSESTKNKQQYYRLGSVMTIVPHPRVVPENQQTTATNANDVGTAAHLSSWLLQINFG DVADLLSAKYVSNEPFTAEEHTVYVRSYVAKCLNSNIPLSSTSYAEVVARNVKDIHRF VEAVKVHRKGNGETALQPSSGVTLGATKRLRSEVGDVGDGVDNDSDDDDFTYRTVGNG YGSDKHDRQAKLVEDQERRLVALRQHLNSKNNELQRLLQAQKRAAADHLAQQEQWNIK AESQGTALRRAEKELVEERAKRQQQQEKTDKLITQLKRLAEQTRKFKDVSDTVAEWLC VSSRQPEEVRARVQEKMAENKN XP_829301.1 MADRAAVVFLFAEEKLLFRYPFTNPFTINLDNNTTTTGVVSAAV TNENPCTQADIITSSGTSTNRPQAPSTGGVRCKPRSARRTLSMAAVATASPSTKCKAD DADDEAPRLTTSMLSSNSNADLSGCRSGATNSNDRGNGSIKGGGSVTCVGIETAVLLH LLRAGFVACTTIQFAGVTFLIYPFLPGSTKSHQVALTSLRPPRESNADPTDDREVDSQ RQADSAQRVKFLAGQRDNENFATPDPARVVLVVAMSRPDCDDGRITNFVQIFMNVLLR EELRTRYVTCQLHKMEGYLRTWRTCGDKDESESLKNSAAFSGPNNVTGGNTALHPPSD LEMYERLSERDDLSLCKEITQLVCSIMAATSKGPTNSTGNASPTTTNPPKALLHSSKI DCKSSANTIGLGESRANYGITTGFTIRDLFGLPVELLAGYRATKRCPAFANARLNSNY IVTIEDDSFVERLAKPYGEAVGRRAAQLLPLSAIWAAITTLPPPLRVGTLYRELERTF AGTSQTRHVTKSQQHGSYTYVTNSSTNTSGAAAEEQSHIADCDILVVEAVEFLRACGV LTIDTELTVIFTHCDISPASGAPHVPEDKITRPCRPQPSQLPLRISTVPAAVCSAASI RIIPASAICMMLLEGLRQSASFGFDTHHAGVSCERSAPSTQPDVTSRTPTSISTLNNT SPSPTVPLEIAGSRERDTHSYSVYCSWGDACPICEELAAASYLWSVGGAPVGLVFPFL SAPIVEAANRRARQGVHSFDRDCCSLLTDQAPWFTSMKPLKAGVTLTSTSPHQLYREL VAGYNEVNSRGAAVPEDAKAGSESKDRHGEKGNGKFNALGRKTLFGSGRDCRRKDGAG DFEATVLTPGGTPGDARAWLAANRDAIESRLNAEKHQRWLLRARIERSKERQRGGAGA VSTGKCRDDGNTNTGGRSKRLSPQPHQRYRKREVVTSRSIPNTYLPLAPTAPLNSAKF FTQPQAQMMEESGEASASTFPYLADDGRAVSVEVLMQVVLHHTVALLWGKARMNVDTL LWMLECNLRRLPSFLAGVRRQKAVVASSANGIEQLDETPAPSVGEECTHAPAHPSQQR CGKGCSEGGVEGRQEKEADLQFHQASAVFSELLLLDKLMQCSHLTLEAIPTKLLFHAV VNSFSDVLLIGRGDSG XP_829302.1 MIHATGDFCIGALFVGLWLAASVLPFSRVGAMLEDRDHPFAAPL HMMKAILLVVLGAWAICYALIGELGLLFPANVWRFTPEFCFYALGRHTLRQVAILLYC IIISTPGAVLSSKGRRRCETRECDGADIELDELHSGREAVVPILNCIHGAVEMGRSAG SDSFREPHISFNGSRSHLPSRTNRDDNSRLHSAAAPAEWNNTHTYSPCENGVGPGYLH EGGVVMPSDIHIQLKPSLSVSDTDSFMDGPFSSSSVHFRDSGANPASQFPRGSRVNMN SSSFPPSRVHNNEECGIRFGAASVREAESYTVPLNQQTLVSVHISGGASSATRKPPNS FKRKLCFVRNWLTGGPLPTFGTDDEVTRATDKCGPLVHRWKRLVLWDAVLKLRLVLLM WIITFTLVVYLAVAASFEDVQACHEPISETAICWVNRSATPAQRLHPPRSFTTIFLFS DVILLLVWMICGVRCLQNIGNITAKQKFRRAYLMGASLIMLSITYSCFSIFDPLRYNG IVTVVLLIFRNVCDLLLILLLVVQVENSSGPKPSWLIWLRGTVVYGK XP_829303.1 MDSISSGLTHRAGLQQYPSGLVNVHVNNNPKINNGACSNMTPRE STNSARRSGSKRDRETADTADDGRNKNNQSITGTTATTTSTAKHSEMQPPPKKKKVTY ALPNQSREEGHFYVVLGEDIDASTGRFKILSLLGEGTFGKVVEAWDRKRKEYCAVKIV RNVPKYTRDAKIEIQFMERVRLSDVEDRFPLMKIQRYFQNETGHMCIVMPKYGPCLLD WIMKHGPFNHRHLAQIIFQVGAALDYFHTELHLMHTDLKPENILMESGDTSVDPMTHR ALPPEPCRVRICDLGGCCDERHSRTAIVSTRHYRSPEVVLSLGWMYSTDLWSMGCIIY ELYTGKLLYDTHDNLEHLHLMEKTLGRLPADWSVRCGTQEARDLFTAAGTLQPCKDPK HIARIARARPVREVITEPLLCDLILNLLHYDRQRRLNARQMMSHAYVHKYFPECRQHP NHVDNRSKLPPTPVM XP_829304.1 MASYIASNVNSSTIAKGMSGDTAGFYGSSTTAMVPPPVVIASKT NHPKNQQYQSTFQADERGITHPRRSASKRDRDNGIEQPSAIDQSATAANNSADSAVTP KSAHGVTSYASNKKKKVTYALPNQSREEGHFYVVLGEDIDASTGRFKILSLLGEGTFG KVVEAWDRKRKEYCAVKIVRNVPKYTRDAKIEIQFMERVRLSDVEDRFPLMKIQRYFQ NETGHMCIVMPKYGPCLLDWIMKHGPFNHRHLAQIIFQVGAALDYFHTELHLMHTDLK PENILMESGDTSVDPMTHRALPPEPCRVRICDLGGCCDERHSRTAIVSTRHYRSPEVV LSLGWMYSTDLWSMGCIIYELYTGKLLYDTHDNLEHLHLMEKTLGRLPADWSVRCGTQ EARDLFTAAGTLQPCKDPKHIARIARARPVREVITEPLLCDLILNLLHYDRQRRLNAR QMMSHAYVHKYFPECRQHPNHVDNRSKLPPTPVM XP_829305.1 MPRPLRELLERKQAIEVIPGVLYFTSVSERLHDIEAELNNSPTP QEDTCNVNSAMKAPNFGDFAFAAPPSLHSESVPSAAIQGANVIGEDLQVKIPHGFRGS VANNAAFQRRLRERLGEGGGCYGVLEDDAFYFTLGNGPRFQYQPFFADFGPLGLDCVT ALSRYLKSLLELCASFRSDDSDSNQEMKASQNNVFDFVHFNTNESLSGGLLWGGISEH VIPVVFCSGLGNHERANAACLLACFCVAGLRWSAAETWRIFQEAFPPIISFRDASYGV STFPLSLSDILGGLQRAVELGWYDPNTFDLQEYDRLRIYDCCWIIPKALLTFSSPVSG DPNRDPVMYAKLFQELRVAGVVRLNEPLYDRHAFLSRGIQHEDLEFPDGTAPNDAIIN RFMEVVDPILSVQPPATHSDARKRATKERETSDSVDLHPKGREDVRFRGRLKSDSRGG AVAVHCHAGLGRTGTIACTYIIRRYGFTARGAVGWTRLCRPGSVMGAQHMFLEKFERR LLRPVKSLDFLHAQRHLRVVGSGVVSHLSAYSYQTHKLRHTSSMNESVTSLSLSLSGL SGHSTRSPSGQCEPLSLATPAPSANMNRVKRPSRVVIRGRSSQCSTSQGSHVNNNRRS PVAEALRANPIAALRTLVTNSGRSHNSTDLDREEVRRLPDGGCGDSLGTTTVSGVPLH SSLQSRRPRGRTSCVTPPRVQALVNESRRHLNARLSVLSVGNEGKGTSPDERYDLAPE VKCCLPTAVGPKGENLLAVNATALGFFAATGCTNGGANPFTPRVCHTSPREARALPSA QFQKGAADPGWGGRVVFLQP XP_829306.1 MLFYITPFPHSEVMRVEEQHQLFLAAKEAQLVRNEATQIILSDA SHHSALHGAFVRVLVELPDQSDSYIIARVGAVTTGEPYDGFSHNTSTRTDKYLVLVLP HSLASINGTQYQLNSISNSPMTEDEFNLWLSTMQEPGSVPACAYRGVVEDTDAHLYLE SSKIPSRQELLAIGGRIHALGSAASQNSRNPNSRRRRSNNGSSEQSLQQPEWMPKEQQ MAIPRISSGNGATLGSIAGMQDYSAFMANPTGGAGPQNGANFNHTNSDPLPSTAGVTE GTNEPSLFVEPTTPRPASATEQELDLPSRHQIRQDILNKLNHRSVVFPQNIDELKLSQ LRLVERDMIEYLEHVRDVLSSKQENCVVCLDHVPTVISLPCRHKVLCRLCASAVSTCP VCRSHLFELFEPKEI XP_829307.1 MGVRAITLYVLFLSNIFHYLHSLPMAEMTEAPSTGHANPIEVDS EAPPGIDPMYYALSLLRRRRLEECVAISSRSLATLVSSGNSAEKKVCGQSPNDGHIER TVTRFWSIQMKALAQQNWFEEIDVDDDGVNDVLLDGEQTVPSNATAVGTSLGGGQPPA AANPGKPPSRMSCGKGTMRPLSSRCGFARPGTHSARLGSSSMSPVTARLARVGTASLQ SVPGGTHLDLQKLDVVKYVQDKPIVAKLLCDYLLHVAHRPRMVLELCNYILSQEEQRN WWWLSRLGQAHYRLGQLREAEQQFKSALALQENVMDVLRLAKVFVRMDQPLKALEVLS GASSKNVTDHHLRIAMARLYEELQDKEKSCDMYRRVLQLDSTNVEAIACIAAHHFYEN QQPEVALRLYRRLLQMGVQTTELWNNLGLCCFYSSQYDIALSCLQRAAAVAPDDESLS DVWFNIGHVGIATGDLSLAERAFRVAVAANPQRAEALNNLAVLQLRAGNVEAAYSDIT MALAVQPLQLESLYNAALIAYSSGFFEQAYAQLQRALDVCPDHPESVGLQAEIRKILA AP XP_829308.1 MFYASEEGLRTAELAGRMFYNLGINSHGELQDIHQLHTGHVGGA CLDNDIEAAYLGGRNRSSAYQPSGQRCKGDPNANLVVEVARRESVLRQVYNDKLRGSI QAIITALADELPRDHIFLQLLNDASTQQYCRVRVGEVVEAFLFSVQAHQYHNLASELA KREVELLALASQLEMAEKQPHVSLTPGSVKSTQTESYEESNGVTEENTPSCRGTVVGD ATDAQAELFGLLDEMTREHELAKFRECLSAPTSLLETVQAVDKYADRYEYLSDIVSHL FRSIRCLVMYAEGSLNSISALRRGSHNVRGVGGSRGASAGRESSSPQSPEQHTTEEWQ PLTLGGSPPQKEQRDWQNAEVLRGERNRLRTLVVRLKHAESHCGNLIQSMSTCHMDLR KRSEVVKQQTQRLAPGSILNVFTDVSRGNEEVLEKLDGNIHKTIVTRRNKADECHKEQ QEHLVQCTIELQEAHKSLAVARQRLQVETEKRELTDQRLRDAVKQLKLVEQELQLERE ARAVMQQKSRESEELPVRQALEVAEEMDRLRMQLRAERVSVVNHRAEAVCAQLECAVL KAYTAKMDLLQQHGYMWAVLEQRHAVLLSQEELRHTRNQASSTTLTLREQEEVMQHCC EDLCQLLRCMQRDEDQVESRQRALEDGTEARRLAMVVRSDRGDDENGKKNDSEQVSPS CDNIDGQQRVEEDHEEGQEAVWRRYRTPKTLPGVVAGLQITYSALQRRHLDVQSIADA AQSCVQQQREELASLHAQLRNLATDYGRQREELKQLWDKQAEWSQQDTLKGLLAKQKS LLAAVDKEREELHRRWAALNEEYQTLERRNSQLHERCAVKEVENARLMGVLRVKHIAP STSSIGSDSTCNDCFTSQTADTLRPLHISSPFRPSVVVPTAPSTDNTGSPEACGGSAS QTPQKRTSGIVTVATTPLNDRHDEPVPLCEKHPQVLGTPDWSNNVRKVPSRVTDAGNV VGEGRMVLPQQEQEVVRHGAEAIHSCGGSPPACPNPLAEGLGGGTGNMRRGVVA XP_829309.1 MSSGRNTSEDTDGAAPRKRILSSSSPPSSSTLVVVVFQSSLEEL MLEATRHRSLVPLRDAIHYARMNGHAAGDIFHAFVLACDSLHGMRQSRPLLLRSLKDL AKMASLQMIPITPTVVQVICNLVRKMLGSALPNTNTAVATSQGPHSASKDNLQHGVDS ATATSSARHRSASEVRVGAAATDFERLGSTKYGASNSSFHGGEAAGDLVPSPAADDEL QISILQMLMSFLSVCELSNAGLADLMGVIFTMYIQSTPASVVEASCAATIEQRTIAVL EKLQNIGGGDPIRGSGDDNISAGSSAVPLQKAKLDVTDTKERDDLFTARIQMVAYVKD ICALCADTAPKWLKIGMHCSNSAGQDTEGGVSDASWAVAPWRLRVLLLETLIKYFETH KVTSSRDVTIFFVQCLNQDIVPLVLRRMDSCDGCSASPQMPGQKDDGIVELIPVTDDD EHQLILTQKLSVTLLSNALPLLQNTMHAILRHNVSCVARCLGDVDRKVENHCALSLLS LWRKQVSNYKVLQQLLLIAPESNRSFTVVNEDSSSQQESHKFWHDVHFNPDSGCVRYG STTLSDGMEPSRSSSLQSQGPGYPPFGDSEKLLQPFAELVAAASRLLTRMITAVEDGV LESVRVDPLPEGSKSVGELLPSPVTTASFLKSHFRAVLRSQRLLQQRVFGNAQEGENS DHGAPKVPPATTATDARPSHPKVTGARDILDFLAIFTQTLAHIVEVLTREKDSVPLGE MKSTFISLHPFLFRSFTLSAQKMRRGGVIYTVMRGITNMVYISCFLRLPEIRNSYLGV FRKLLSQERGQEEVSGVVRRQQEGAERHAPSTQGFGSDADTVAKLVDCSLEVLEEKQY ILNCVVSIAFNIGGGLGDGWCGVVSCLLFTPQVLHDLQKTIQRQQHANVPAKEGVSRD TAHDVEYLQDALRALFVNCALSPSGESLLNLLANFVKEACNSLPLGAEGCEGNIGVST SYLSSLQLICECCSLALLVGAGRSDELPPKLFLQLWRPVRVLYQRLFSPQHLMLLVKA SATVEELKSVLRDILENVVVVAAQLCRYTHCDGKAEYYLIHRKCGADAVLGGELLVQP LTLGPFANTGLVTLLSRLSSLLGQPQLAFPEDSESFSNINSHCVSTEGNSADDDTRPR VLSSVTELLDTIYSSLRKLPFVAPAVISTVGVGGGTNTACDDVDVLNTLSGDLSLLLL KEVLKLIQGFGEDLCGLPWEHLLRLLRRSVTMHDPVELMSSLSSSEARALAGLTEATS VKSFHVPTGVKQGIGVAFRTLETVQHSHITSLNGAGLRELIRCGGAFMTHRLPQGEEQ RLNINLSAVQLLWSIADYSASLGHLQDGEADDNGGNASTSDSQYGGVTYDLLWCTLLL QLYDGCLDLRPEVRQSALKTLFSLVQAHSNRLYADSWRTFLREVLGPLMDIVLEAAKS CAAAPDFSAAPSVPLQGASEPDDTAHLPKSNRQNSEGHRVTQLLKHFNDTPTFLDDVR VTIMDSVYRVFISHHSAMHKALVTQAKVEKQRVDGELLLRKTLSHFIDVCAASRLVSR STSGEVVALSATRALHGLMASLKSSTLAPDQVPLLLREEREAAWLAVEGLIHRDSESL HTANAQCTPAVVSTIVSALGDIVVLQRSNASQPTTPGSSGQQEEAPVFASSLFGALRQ SFANEPRSEESKVDSYDYFPRYLQLSEVCLRSHAVTEAYFFPSRVQVALLDVWKRLWI FLGAEERGAVVGVVMRQFPTEEIVITFVGQKLRTSQTKRSNVLGGGAGLDDLLGQQEP ISLRRTFPPGAHPNFLMDLMGFLYFITNDEGSAKQTERCQSSGSVKDSLITPMIPAII RGVGVLLLLEYASPDVLATPCRGLLYHIPSEFFNRAEKCLEMLLVDVLWNVDKQDSSA TAITTEGAESTLHISRREGLLAFCSCFASLTSLARVMAAAVETGASSTTEGKYPENLV NALQRLERLVSLLGKLIPYVMRYSTDVSVVTDALQVLVPVSSAESSLFAGVARRSLCL LRQWSLSSPSGGPSCEREKQKGIPQELQKKEVGTGELQSIEEGELTDKRLQSMARASM GARNRAVFRRFLHDIGNEEARQMAKTSLQTIALIHPVPEGIEKAVEESDKGSAVSKPY PQGASDATPTDCDRGESDTTSLKRTLLQMVRLVAHTGDDPEFSALLSNAILGVCSSLG LTELS XP_829310.1 MSSSEAVKALASLTKEELMQRVLELQGKNAELYDEVEQLRQRLS QNRIPDVSNPRVSIRHSCDVGSCSPSRFSTLASSVGGQYGSYTVSLSVLVIENGNSMT VPLSSILDKSYKRPDMREPDTPAVSMSAMRDESDPHGRFSADHCDIIRSPSMGIDGPA GGGAELRGVTFGAAAAAAVSATSGCGGCRPSIMVGFSRADDQSEPPPRDPSSCRPPMS VQPISASLDAPRHDIQRFSSGRPLGVREGSLASDGLVSHRTLRTFNQQVIDGYSAPSP MSSRGSAVFHYIVRNFTLNNECRHNSDDVEGFGRALCSLCEEVKRVLKSEPRHGSSYS PCYVFGDIHGNFIDLFYFLDNLISFQDLRYTPHRFVFLGDYVDRGPFSVEVVAYLFAM KVLAPDKVLLLRGNHEDSLVSGDIAGYGHTSFRAQCRELFGFTLGEEVWNCVSDTFAY LPLTANIDGKIFCTHGGIPRYSGGADDRLSQLSRGDFPVMRTLFQAPADETPQQRQLR QLAMDTCWADPAEDETQLDRWGFGDNPRGRGVILFGSKAVDDFLGNHNYEYIFRAHQE KSDGLKLSKNARVFTIFSTSAYVGHENGAGVVLVADGKIRLIIKNADSIEMDPETNVR TAHGNEELENGPR XP_829311.1 MSSRMSQKLRGHSLAPKRQKPLVNPELLLASPTDSTRGGSKQFV RAFNDDGCLSTREVGVRWFNFALLSIFYTLRSQPWSLLITYTILLYVGILFVFSAAYV VWARGCGAQDGSTWVSALYFTVVSFAANGGYVGEQQDTMLDPHHVCFTGRTLIVTLLS FGNIIFVGLVAALVVGKAAYGEELGHRIVFSDFCSLAMAPGTKDRDCWDLTFRMANSS SSKALAHGQLRLFIVTSEPTDNSRHQRKRRKSSVDRTETRRHATSSHHHIDDKSKRSG QLSKHLEHHISDGTVQTETHPHRREGELDDVTRMDRESREWADAESHIVEVLAEEHLK RKRGREHTSKRQVLSSPATSSCSSDADGGASFGGATGSGCSYVPINSPESALKQVSIQ VEELRWTCSGEKHLDGRDGRLLLWFPVDITHTINRYSPLYRYVKHNMTNSLCTLNSLQ VASPAIPQEAISEEEGGPAATAAFPCSFQLVVIFDATEMESGRHISARHTYAADDIIK HYRFSNKVVRMSPEKREVLVDYHYFNEMLSDVVSPLHRTERVRTR XP_829312.1 MEKYRKFGDAATGINPFISMKTPTAFSTLFAAVLFPVRCVIAVV IMSLLFLVDTFNYLFYLLPGLSSLSHLLFGGLQRGLLRGLLFSLGNVSIARVPTSGSL SPSAGDVVVANLQSVWDMCVIEVAEQIPLFVAAFYGGKAGSSPEKSKKDEDGTLFVMK PSPLQRWRVWWHIYNTGTSTFLSTVADDSSNAHSGEALPIDITLLQRRCHRLGVPLVL FAEGSCTNGKGMLNISPIRVGVTPARLLVSALSYDTAALHTVVRPHSVLSYLFSMSAS LYGSRDPAWYSPQFPTATARLASVGTDPPAEAVEGVVVVEGTKVRQVLCGISRPRCAL NVGLREKRRFVEVFMAQ XP_829313.1 MTSVKETKEPKPSINRARDLPWWKRLVLDPSYRKRVRRIATECY RKEMSVVRDFPEKLFSAEREYGVPLVSSSQYVQLEGESKWATRHPSTIMKTSSLKTLR DSATTTVLPVFGQDHESRVDDEIKRLFQQEKADNRLYGLSYIWDEQLPPMGFIRQWPP PHQGMWPTGCVHRQLQKVQLPSDRKAREALAHNPLFVHIYRQGGEFLGVWRQSLLLLR YKIICCALHEEALGSRNPNGEKKSSTRRAHLMYVPEFTSPLLRLQGDTTVSVMEGRVN VETISPPTTWEGLAPSPSKVHVDQGYLNWCAFHNSSPMVRQSVRDVYNMLPHDEDGRL TKDVFVEFVLDLLNLFFPLNNSASNIAIAEEEWAFRGTSELVSFDTFFEKFFSFPFIF LRNFEEVTRDMYVEVWCLIRICLLETEHTIHSSSRGITTNVTEVLKQRLEQDYEQTAT RTLHRIPKQRSFTLNGGNSNANLDFQMVPKPKIAPLHSKGRPPPQQPRDIPALISGIN GFTAEDVINLGCTDFHNTDNYKTYAMKRRILEECEDAPYKIPSSRQVLFAHKKAERLL AEDREANQLESPQIFLEVEEANDSLGTYVLGSPSSSTTGSVKSHNNRMESMKKRRDAL EELENRHTIEKLLATSYWYRRRRQQRKKALNDAHTLVSRCHTSWEMFQYDVYEIPEEN PTEVDDLIAFVLDLPDDFFVVDTSLRMRNTVYRVHESVRESRLPKVRKVDELQLFEER IKKEAESLWRERLYGTEKQSVKEGDSEAAQPEGSSTTVDDEVPPRLPAKSNVVYQPQV WSLTELSQATTRRNWSVASALKCAVKVPSTAGHNGFS XP_829314.1 MTAGGGNALTPLTTGLQTIKLRSRLVIGIRLYGSLVAPHPRVST QIKCRPFLQYFGETLRRLRCDVTLFAPFPNCDSDGKLLNVKEFPCEYRMVHDPTSGSA GNRNNMYRGRKPSAAARSNFQDYLNEVASELNAPPKRILFIDAEINYRFSPVQTLVLE AFEPRRPRFCHPHQRSEAPSDITVAAEGSTRAQRQAAMLEAEFEWTQRQQLQSRRCAP FSPDLYQEKSTPVSDSEVREAALAVKREDYTLVALAGMLVELAATDASVADFLRVEPL VEKLRVPFHGNVNYLPIENCDDMMFWDWNAVEVREAESMATAAETPEVEEREEHQHMF K XP_829315.1 MSTSLVTLASVLASDCTHYAGDPNLLKADRYADRVMKLLQSEQA DLLAVRVAIHAQLRDAFRDDSVQKMLRPYLRSDENIADLIEDPKARAKFFMNKQQELQ AVVMDSAEQVALRDAHRELAIWERENAVLLRHQMFMPYKPSLALLLESAATIALYRQY ATLEEGHCSSDSSSGEVPEQLVKMGGVPLFTLKSEQKPFEVDEHTKMYSQQVLQNKRV EYEEKRGLVARHRKALEECPSLFRLQEFINVRNEIMRKFGIDRLVSLRARRDKALAEP FEGGEDVSTRGLPADNEIVKSRRVAQYSLPKIVQQCHKNYVTIVGGGPSDTEEGGVQV SGAIATKSAAGPLKDLEVFRYWFLACITADAPQIGPNKLVGKTFPTLEEYKKMWQVQK TSYVPSLNTTHRQSFVKNVAEQRGLPHIVVLSPVTYSYMQNNSRDTVVKGELDMIVYD ATNEKVLLMVADSHDDRRPRTAFLNAQEERYRFAAVIRAAIVNDNELHDFQCEGVLAE YFFDPDSGSEENYYTIMAPTKISQKVGTKINVPLKALQPFTTCPPRYFILGSNWGVSS GNSKNPHAMSFSHAKLNEEAISLQSHIPEGFIKKNVMPKLLSRKEGLELLENAKRQRI KEQQLQKYQQQQQQQLNSARPAGGAQSTSRLTRSVTNTPRPTSDLEAAAAAELQEEEN GILPEEEDPLGPVVPEDVLHPVRDLLLSKRFLQPDFLQRRSKDPEVHQLPATKDGKIR TPLTVFNELIKSRFGEEPPKASPQTLAGRRGSTSNSGIAAQGRASPYTRAASLQSDRL TSRAQRRQ XP_829316.1 MSAVATDPSVPTGKSESEALVAQRECTWKVVKAGEGLERQQTWE KSSTHESTGCPTLPTVRSASQGGKEVEDTMSSSRRLRWGHLSNASVPALESAAPKAGL EEQAHASEVKKPAVPCRISPSGIPVERYRQKLEECASLHHQLQEMVSAHCVTQEQLCG VRAECDCLKNEIVRLRDLLATTTSELGIQQQHVVELERENLKLREELREKQVEGMTSQ RKMNYILSNSTVSPQGGGVATYTRYSPRPSPATLVLPVTPTLMVQPGLTGAKNVLDAV ELYVRECFRTNVLPHVELIHSLYDGGRLAAVDPPLTHAQLKALQRVLADVKWEVEVSG IPVETYVSRKPRLQGRSQRLKDPDGSYPLWFNKLETLTFRSESWRTCLGFDCRRSYVP XP_829317.1 MQQLAETLIMEQHVEALSLPEVELDDEGLITLFTLITRRDHLGS PNTNWETCDNENQALQESGPAAASEAAPNDAQTENKESASPEAGAALTPVGKSSPTKT LWFGVRCLDLSRCKVTDPTNVFSLFRCPVLEVLVLPPSNQLGDVHLRGILEGCPHLHT IDISGNTALTTACVKYIGRHSTIKVLRLENCPGIDQLDLPNVEVLFSSLSYVIKLHAP ELRRLPVPVVHSRVLFNFKAPRLREITLKGIVVDRGTLDSLKETAGEDSACEPKLARS VLSSCDKSAYSDGQKQSTAATMQLLCAGFIDCTFTAESEVREFTKKQKALLRFSLHGC KGVVDANLTRLPATLMDLDVSDAARLTNRGLEIIATTLPQLIRLNLKNAGPQISNEGI RLLRGLVNLEVLNLLRLPQILPEVVSAVANDLPWLRKLYHETAVVGPRSVVAATSAPV HLDVLREDDEDTTRNAVGECAKELLQLRNETALAFWMDAQLPKPTSLIPPRTAAADSI DLNAPNPPLTANTTFYEAACRKLSRSGNGSAFGSQRRAITPRNADAAANQNEESEKVN VLVVSSGDDSPLMASMSGGVEGVNADCGDVDDKSFSTAPDQLRAMENALDEKNRLVIE KKPLGPWDEEDLVEKHRRIA XP_829318.1 MDVEESEQGVNPLCDWVAEPPAREQEKEQAEGAEEIGPEELDEC HGGNIDGTVEEVVPEKEGVGPGKHESSYIEEQPSHGAAEHHEAAEHRVTAAACEDEGM PSLAVPEPPKTPTMTTSARNRRSRTNVGMPPIAAAKPRAQEGKNDGGKADKCSGGRSA RGRLIPKQPARNTSARQGKPPLLSPRWVKERETQYRIVYGKPQHRYRVKRNVCQHHPA ACGGTQSGLAEDDAALSFSGHIHNVEKQLHKYLCSFNTQCEECTEAGPLSSYKTVYGT KKASTLKAKCGESQPPFILGDSPRVSNDPLWSVNRQLSEYTNRQRLSDRGNPMANTEH DRSSSRGVPSDGGCTWRTKSPSTMSQPQQLEYETRDELQHCARLFLDRFGGSSERRDL GYSYRCILLGKQKQQWLSGSTKGLPGVRWATKKDSSPPRPPFRHRAHDRRVFQNDKVR TNASTSARSKGGRTGETSAQKQATSPHHPAADTTTYVREDWVAERGAAITLEASKSDV EILRGGPEVREAGTELFPCEGAVEEPAGCYKVEGEELMGRPDAVGVELVSGSETDRGG MESRTETVGAALVSRTETDCEELGSHGVIELVQPSGEADIDGGEQEGHSKVASEGLAS QAGADGEELGSHDVIELVQPSGEADIDGGEPEGHSKVASEGLASQAGADGEELGSHDV IELVQPSGEADIDGGEQEGHSKVASEGLASQAGADGEELGSHDVIELVQPSGEADIDG GEPEGHSKVASEGLASQAGADGEELGSHDVIELVQPSGEADNGC XP_829319.1 MQLKERLQQWRERGLQGADVDPPVQRPRSFRPSCIVPRLYLGAA KDVQDVRTLRSRLLPDKLLMVVSTCDPSDPPRMELRQIAPTKGTAYTVKRLAVCSWEE LEKRVVTSSFCCRLPRNEVMVATAEWLKEVLKTKSLPPVSLEECRDVQKGETDGKVSE VFEEGLYLKLCLPWKDESNFTVRPYFAVATALIHATMDGIQGAVVCYCMAGKSRSATL TCALLLYCCYDNVGRPKDEEECSAYKAVQAVVEFVREVRLCVSPNEGFIEQLRDYASD LLAV XP_829320.1 MGANNIREGSGTPRAKSNATESKGGETVSEDLISVLLCGTCRAP ITDHNNILGCREESVWAQQAFAYEVDLFADCPPLWCYSATNPQGRRFDIIRCTATAVN RYRTVTLSGPWSGEHSFFVGHKWCYASCNTCSSFLGWAFCRASEALSFSGGSTEDNDI QIDGGGCGSKTTDDDDDNVDEESIVTVGGNNSNNVSLQRDGASDDDGTVGFDPSVLSF LGLIVTNCVGRSGYSLRQYNELMNADPLLRRRFVGNSPLEDLHSGEDGNYFVSATHFL GPSRDDHSRLFVGIGRHRQLQSFLDVVRTSLLPVNAVIGTESQDGDGETSEDEANNST APRTSSHRSDSSGDGSEDGENMGTSPSFTNIPPHCFGCGDMADSIDEDVSSTEGSDKS D XP_829321.1 MAQSFDDWLQSLNPVTKGVFAAAVLLTAAISMHIAPYTYFTLDT SAIMGLQLWRPFTAALFFGKFSFPWLIAMAMFVSYLKYNEEYDYQGKTADFAWMLILV VIGLTAGGLLLGLPIVSGALLMALCWVFCKRHPQLRMKLYSFEFDAKTFPWVLALFHF ILGQNILEDALGIVVGHLFFFLNDLIPLKHGTNPIATPSWFVRLTGLENGGVRFGGVH AGGQAFAARFARQPPPAAAGGRPHHWGPGHRLGTT XP_829322.1 MEHEQRVSEAGAKLKQPDVWPVEIEQILSALDNSQRVAVCENPS QPLLIIAGAGSGKTLTMASRIAFLILNNVAPQNILGLCFSRQAAETLRGRVASVLPPA MAGLAQKLKLKTFHAFGLECLRRYACIELTTEVYDARRQRDLAFTVVEKHAQYYKGVE AVVTLIDYVNKAKTKKDMRAGTELDPSRQPAYLFRFYESMLHEELNAVDFGDLEQRFL KALRPVRQRNDATSEGNNNSNSSSYSISADASSTLQLSPMAIQLRSQYTHFVVDEFQD LNEVQLECLALLAGDECRVTCVGDPNQCIYAWRGATAESFNLWRSRFPRSVIMKLETN YRSSAEIVCAVNQVTHLEQSSHKGQSGTNITLVKCKYAWEQLKLIPRIIERLRGRDRS LPYGSIAVLCRTHRTVRELVTALENDGIPVTELRRGAPNSVALVRALLSYLRLCIHPH SNVDVECVIRDAPNHFAAPSATKFIFALQAEALTRRLHLTTEQQLEGHYKCSYYTILR ELVHNGFATSSDRLRTTKPQQKLLRTIIEVTAAAHEALSRFYCNIEDVVRSVAERAGF DDGSAGGAKIRHKVNNGMAGGGFNGIKRAKRPRCAPRVAAHLTDSVDVWEDVMNEGEE IMSSIPQLLLGACEAVQEQIIAEQSAVEADAINGDVLGFSDNRSVVKAEPDERSVGTH PDVPAAQPRYDPYTVLQRVIDEFLQLLPSDDFGPMKGPEALKPEERTVTVTTVHQAKG KEWPAVIIPCCYEGEFPIDTRKAEEKRVFYVAMSRAMESLVFLTAEQGPPTNASGRTA DGDHALDSTGTEQQYVQLQMTPYLRPILHQVKVITMSAESEGQQE XP_829323.1 MCALFDLYPFGTKFCFTAFTYTLFPLLSIRLRFIDITSAHCFAY VLGRTTSCSTMMTNLNVRTIKGCFPGSLPDALNDMSVIDHILSLTGRFDEGQAALAST VNVVYVGTAVYDIPRYREEYTKNFIARGCGISEVCVAEARSTGATPCAAATMVTPDQL QHLAEAHIILLPEGNTLFAIRRWEETGLDACLRASAARGVVLVGGGCCFRAEHSDSAN PKTCAQYMLSRENEADSGQPVEMEEGGAKWEYLCVHGLSVLPGIFCPQHSSRDATGLL LNESFSKMLKRHPTERGIGVDCRAVLLLMGDGRYQVLTIANREGRTASVKDINIQIKD VVEGNVQTTTIQQQGSVEELLRKPCGPVVRDPFEAYYAMANPTALTEKLLCAPR XP_829324.1 MRERNASVSRHPDGKHSNERRNPEKDFSIPSRESECGALFWIAA AVVVAALSCTSVLVLTSTKPSSERRGERREDAVAHKGAQLAPPPPQGVTYYEGKASPT QKEQRAGEWSCYTMEEYSLKFIGGLREMRHSSLRSKNQSGPQYFFRCGIRIIDTETEQ NHTKVKQCAAAAHGETSFPTLRVYLSSGMEERLHKKQKKNFAAQHILLERLNDDYCDC LDGTDELTTNACSMSGVVAPLARKRWRQFLTSNEHVRLYEDEEMMSKEHAERLLTRVG GRVLPFRCKNDQGVLLTPSMMNDGIVDCCDGSDEVDQVRRDEWATRVGQLEPLLDKSS GPESNLRVGDHNTVAQMLMRTGQNGLMTCDRMKRERLREAKALYTLVERGHATWKERV ADGWEKYGSEFLDRRVEVQRKFNDTAALFTEVRTRIKKRMQELGVQTPLAPGVPHQEV QRAEELAATLGRLNMQLRHMQLVLDLGVLGDSFEYSVIASRSYSVAQQRLWNPIQGRS THTQQQLYDEGQYDGNSPVGTSPENLPLHVDNVSFTGFSVDPFAHINGWVRVMDKGKR ARLYGDENSLNDNATGNSTSADIDSEPIVIGFWVPLPASPLTSQMSSINPPTGDGALW RRLFSTKLAPSKGGFDKILSERQIGKKRLRELGDKQPHGAPSEPVVHVFSGGIPCQDN GAAEPMYSHGWLVFVCADTDGVLEWHRNGKCEHQIVFGTTSACSVRVMEEAATRLQHA EASVRESINPRREVGE XP_829325.1 MTVPVRQARIVVVEPPTNSGGAVGEVPQGQKVKNEQRPPDMFVA CFEAALNEELREKTISNDLRSCFEQISSPYGFIRNEGLRGALQWLCDVSVEAAREGAA STECGDGSSGAHVPYDAIRSSVMKRSSGELHGPQTIGKAKLCHSDSWDRVQRALRGAH YTSSVFLRVLVPRVDGGRPYYGPSGPVNVELSEEEALTWNTLSHARQSTSLSASSPHA VASPNACKRKPLDVALTEVTYQEQELALRLLQGLSLTIYDQRRMIAEGRLIPFAIEVW QCCLQHAGALFTRQRRRAAVKKAQTADGFTGAVTLSESVPMAQSTTDEGGPVRLDRGL ENVVIACIDAVEAVCHYNPLALTRIVQQGGVRALLDLGLCPYAPRDIRCSVFDTISVL MQEVAPFRCAVAAGAAGAAARGGARGDEDSLIHTMIQNAMSGNNISCQEVPLPYLMDR ASASKFDSAVREWFSQKGLSHVVSAVMELRNVRGTPIVVKSKKKVEVARTVQRMEQIH EKRLHALLEAVDGKRAAL XP_829326.1 MSSAVSALTATIGVLRRLLNEEKTDLEQLTYVVQCIHDPILECY NSPNDGKQGQWLFETPNVASILTATEHRDIFGNIFALYNKHKWIVSRLESALREPNRK QENGAEATGQSSSNAKENAEAAALRDVVELFCSNEMQQFITEHLKYSLSYSSTIAPKI LGLWHRCCPTHAKNATGKLSLDSGFIRVVDKRGELSFRKRFLCFLWWTLGERSGVPMD PRAVRVRLPDSLSPATAPPASTGQGHPCASPPLKSNAGEGHSNGRPGSVSNAIKMTFS SPSAGWEESLIPPTWQGFETLLALLATPLPSLRRYVHVACCIVNTHCLQRSIRQQLQF NFVTLARQRMAEENCMVLNELIKQDTGHITSLIDGAGAASADKAKAAALLPGYTAKKF ARVDPDKDGCRVLIHYGRLTKKFRRGRHERLVFLFNDWFCYGEEHVNNQLLLRASLSL DGLKVVDHSNVEDGDNSFDVVTQKMRFSFIAPTQEQKRQWVDALLNTVRLYEKRLSKP QQPSNSSGGDSKLAVRKTVSVLPTKSVRLTRNSRLVRQQRVDRLVQGQLSMRRAASAT QGAVSDEEAVGPVAMQDTLVAPDDVGGLQDIESPLQQEEVEVEKDAADGNVVSDDGEW AEREQVDVAAEVESDDSGDIVGHEGRSSAEGEGEGGPDAHRTLGRCTEELRDESSGNS KEVSGTLQGGEASTSLEDTGGTTPLPKHDSGEPNDSYHRTNEEPGVTQPRELPVERRG GNLGVNKELGTSGHEGRGSEHENDKEQSNGDVKGSDVKRCKTEGRENREEVEERRCES EDEEEEEELCESSEGDNKGKGGEDERRNDEEGGGPTNVGDMEEVERKEVVVEGEEQVE VEEKTEEGCEEAQDLPPPPAYTIECVASSENEASGPPCSADESDDVVNATKEVAKNND EGIPNGGETVKQESNRSSGCDKICADGSKPTKAAPEEMEKSRTDEAKPQAARAEEKEL NE XP_829327.1 MESFGPLAVVSATILSVIAVFYIGIMGPSRYHRNGVVGWLYRGM VKLPHACVSCCCCRSGTSGGLFSCFMKTRCGRCFHYLMNERHWGLVILYIILVWPVEI AYLALVAMRLKASLLSKMVSWGLVLFSEVVYFAAVFSDPGTVTSRSEKDAQKRAFATA GGAKPQMKKGHEATRKGEERMQYRKFLLSPRAEEEQGQRYVVDGILYGKDSTRGCGVE CPTCNVPRPSRSKHCRMCNRCVRRYDHHCPWINNDVAEGNHRWFLLFLLIHIIECIWG LWDLYTMVVQFLTAQGLWVSAIRFANGYTYRITNIHRLFAIATMHPLVLFLIIFAAPI TVVLAVFWLQQMSFVVSNVTINDMNKIDTTIDFITTLPTATDVYEEAQNVRSVLENVA ARPPRRLRALKRPSAEAIVVGSKKDKAYRKEVSKMLMSDLKGLFNRGVWNNLKEVMFP YS XP_829328.1 MKTEVETKTKTTDVTEAASQHARGSTTGNGFISPLEASQCYRAV CGPCHFENSGCQSHSTLAAAIAHPATTQILQELILFAFTPGRHSGGPQQMGMVNSVMA RKPFGVPPEHALTWLDIKRSLLFCGVKQHRCPKALRLLWGSLLALCCNCSQHTIDEKK VPEGTTLDDGSSIQCSSHFPAAEIAILVRHEDFRWVAQLLAAGESLGSCTVARGKAVP LEDFVSTIVDLQHHITSTVAESYQVPRSQTKYVLIVDADSFHCCIKRFLAQELRGEHI TLSPLPRWNGAQAIVQQRRALVVFCGGTSGCGKSTLSSLISTHLSISTTISTDTIRQA LRRTLPGGEFPELFTSTYAAHSNPVASLEGGGNACNGNLDGDGPEHVIAAYEKQCATV LPVLDRTLEKFVSRYQTVVVEGVHLLPDYMHRKMVELQTRGVLCVSFLVYIKKRERHL ERFCTRAKSMSLSPTRNKYVSNFENIRVIQQHLLEQAARLDVNLINNTNVDKSLMMVH TRLLDRVHHFNVAPGFQQHVGVGEETSTARAQVGTNSGIDRRDDETEVTSAAHLYNMP YSNVSGKHMLALLLHRRNEKKHRMHNSLFSRCHCCERNSLVTQVRRSRSAESLTTLKL SSLQVCTDEWDGRDNSLLVPHKQSEEPTTNSSECGCITNAMVAGTSLIGIDCQNRNTD ADDANVDDYHDPTSMQALEAGHTTRSMRSSSAFTHFAPISPSVRSASADTERLGGRMC KRSRGWAAIQLSISNRAEQGRNENDEEMKSLWGDNFSSETPSLTCC XP_829329.1 MFDLMADACAAYMEDSEPTKARIEKNTEGEGRERKGRTSQCPKE RCVRPKNNLAQGDKEFKEHKQRVMSNLSSNMCDHSPKGGVDRKCLKVMELLNNHQDYV TVSSCSGRIALFHSTPILETLHDSVNGSSSTKSAAVVGKRGSKDALGWLVVKHGELTE EEINQIVKGLCGDEAEDSSNTHSSPQVREVAERKFNGDEDELDGVWMQTGVLAPIPLP SFGTVTLKMEPFVMHVACRTMESGKRLLTAAATEAGFRNSGVTPPGKRVVCAIRNATG VGLDIPLVVDGVNYARGQHSYVRRLLLLANEKMRNNDLRRHRLEVGVAAFLERGHETP QCSHEERMRLPPPCVLGKHPDA XP_829330.1 MAATNSPTEEEVVMRYQQLRQECLAMDSRISELENELHEHQLVA DTLKPLNGDRRCHRLVGGALIERTVADILPELVENIKGIEEALAQLNKMLTEKQAAMD EYARKHGVTVAQRQGQTPAGGGGANNDEGEKKPMGADSRGVLV XP_829331.1 MTRFFFSPFCLLTFPLPFYLGFGNMQVSDGNPAMPPLSVIKWWY EKDDEQRRAFLAADNISEKVQQNIRAVECFAEQHSYYIMCETKLAIRPLPPLSPQPNQ PRSRAWLGDVASVWLPCPVHAIMDYGGRYQTTEQREARRKEAERLISIIYSRTASVLH SYCGAQQETQKHANGKDNSSEAWRSRSARILVDVLVEASVAALCRLGLTDELEAIEKQ KSSRNEAALEVREAPLFAETPKPVGACRAEAWGCLGLWEILQSRVGDVSELVTLFSTS VAATSGAKRPRVDESNSSPSEGTTEAAKPNQWKVLREVFCSLVPGTDSTHGEHDSTDD NNSKSLSLIPCRKAPRITITAADVRCGIAKVLKKHRPVKPL XP_829332.1 MHLTLGVLTEDEDARTALSEAVENQCLPRFENNDGPLLDVWLGE RKLFGLVERVAPNNFLQVTSENGGTAKGESTCADDPHDMRVTDNVSFIIRLSSVPHTS LQPGFTAEDAFVNCTRIVMAYSAQLERGASAELVGSDASAEYMRFTEK XP_829333.1 MEGLCGWWCILFVVTISQAGEAQEKPINRDEFEALCRFINLAGD SETPTKVEKNVKRTVKKILTESGVADSRKKELEDLQKQAEKYREENMSFWETVGMGEI NRSLTDALYGENHNAVVKAQGSRDPICGAREADAGTEAGKSLVIDLFCLCSTSPELHN FQQICCADCRGGANDHPWIPSEDGNQRWKFLAQKCGETNQGGKLSTDSIEKSAEFFLK TLKNPLDSRAEARRTVLGSTNGDVSINCNGWKTSGNGRCVKYDPNHLNDGILSIPWYV KLAKAATRMDKLMEAEKTLREILENVEKLERKQVAIPPKPADEGNAVPSVSKDVVENN NENSGVSNNREKRESKGELNEEKSPGSEKSPEEVDCDGCKPEEGCEEGKCESENGRHD SEGTKRPPVKSHSATIIGGSLKFFLLLG XP_829334.1 MCGDSFDTSPSTGSSRPLEVAGRVEGRDLNELGGPMARLEELLE QGHDLLHGGSIAKLPTATPFYEPAMSGGMDQSSVLQQSHEKLQELFEMASGLGRSRTH DVKRNKPAVGKNSGAAGRNRLLARGNVGNRATPSRGLLNKNARGDVDDREDVSLEDLW KRVRRELEEYRNNKGPFKESAPRRPVWNPRRKALSQPRMPTALYKPKNSFSTRPTNRE RSLWSRAAKPRSTTAPPAPKVSRGNVVRRSVAASRRQDGSGPWERLYALASAHQAKRK MMETEMNARRGGGRSQTSVQQRFGMLRMKSAAPMGYRGVSAASGVGSSYRQVSPPLGR VASRNRGWSAPARPATKRERYNSLATGPAWTSAMRATNTLGVGKSPGNRRHTSSALSG SLTNCGSKGRSVGGLRKTGTGLRDGVGNLRRRATELSLGSSPPEFQGTRSPNCKPGFE LLRGRSPRPHPNGTSAQTGSPTPKFVKPINMDRILLGSSPRM XP_829335.1 MSCLHGGGTPLRVAFPSPCMAEFDRIRTSMERVENLLNESMTLL CGYRSVRLAWGETNSVSGFNGGNSPSPTGTTSLKGFSATSGKSSRHRSTSSAVSGPKP KAGRPSNAAFDIVDDRMDISLTALRNRIRAELNDYCRFGLAACCHKPG XP_829336.1 MRRTCCVYRRVLRRHWANTTVTEGPSQLSSMQSAVSLSGGMCGE ASSLAMQLLRRHRQQQHERAHDSTHSYNHEPAVSPLSGYGKTAVIQRLARLTNVEDVG TEALLRATDDEWESRIALERAPTRLGALRRLQSSASGKSVSRRRSRATRSLAGKEEDI GDDRSLVGFDSVPRRYDAVVPSGNVPDAVSAAAASKSWKMNLVANLTRSGTLRGVTAW NERCERQHEWQRVREFMFSGRGDSTRVDLRCQPEHSRRDNSESAVLCPSTKGFYTSLA FHRYCRSFGSGKISSEAFMIDPALYYVLGNLNTTADESLEEVHKKAEELHHRYNNECH MRTDTVKENTGLCRV XP_829337.1 MFTDNAAHTSLAFEDTLPVEAPATAKRSEICQPFQHGKCRNGAA CPERHVLSQFKSMRLEVCKHWLRGACVNGENCVYLHEYDDRYVPACAFYQRLGECSNP ECPFQHVVQVERQPECAAYRRGFCPLGPKCRLRHVFRPPCVFYLTGFCPLGPKCALGH PVQQLYNRNDVSERLRQRMLIERADDPSFNKNATCYRCFDPGHLSPNCPGMQSGLLRR LLMALQEPGEQLYFQSDGRAARKCCFFCGEEGHEVRDCPKKQKPQQWGGHRRGDATGR Q XP_829338.1 MTILSLHGRNGADAQKWFESGLLPFPGGQKSFEMVSVMPALVYH HCMLYWAKLGCAALLQEGSVEMTGKAGSETLMFVVRNICCIQIAESPRCLPNWRLLDR VLDELLKRLDFVANCEDGVWSFASTTMRRMQCYLRSNIIRYLEVRDRPLEGQCGLLRY RGAFDCAINGVNSSGASGMGGCQTTDEVHVEVLSAETLRSAVQVMCGCDGVKVPCGDS SGGVKDGADALADLELEEFFCRYFLCSAPAAFDQPTKPTVRE XP_829339.1 MALSGFASVQNPMDPRKWTSGTLLEYLQLHEIFTGMEWESHTVG KEERLLLVRAVRDDLDRFYHMGGDASGLVPLENVLETDPKILLRCRVPPGAATLLRQY PQKLGDTVNAGLEMLMEERTKYSSPAAWKCTSNALPMVEWWLGLTVDEEGEKMIREET GFRVCGRSFQPGEILVFCKDCASDCSCVMCSDCFKSSPCINHNYVVRQCLIGGGMCDC GDPSAWKTASFCTRHRGFQSSADPAADMDCTKRQWLEVLSRGLVLYITTIVGAQAEYQ CNSNSSDDQLARMQWFDRALRRIADIALLLSASGDGPRRVLCHSLMEKALVSRRVECV ADGKRLEEMRHLSCMEELFLYGFEIPKLSRFSIWERSILWLFNNTLCDPLIRVPFAEL LLKYGERGATRRELHVATLSVQVFTSSDVVDSLLLREPHPKWESVLKGETILHRLISC LLYCSCETMNVRNASPQEVSRAVRCCRWMTEVLCVTQNTCAIVVSRQLYRGWCRLLTI NGSASMVFRRTSVGESDGGSVETDCAMNIELELQQMFHRIANMARDVAVSLHAGELLI PPRLLESLSSSSWCGALDPAVESSKRRDMLEGFSVQGGSALLVGGGGVGCNVTCREYM RELMRECMVAINALLQEKRGGFSPKEVISVDADGKPLLERYDLLDKKSPNPTSFVLPH MRFFAEIVKSWMKVQKRIEESGAEVEQGSFVSLLSELFAETEARADYWIDELLMPLVL LCQHEQGLWHGERFELSRRCECYVACSTLMIEADVCMMQLLMLLVPTETFAAQLLNRF MFSKENRALGYTGFLRLVLTLCVQDCSLLINNKADLVFALKRRLLHVIAGDRGHSLDD LERVASELEHALPGINLDELLPLIFREVTTTVKSRKRAVVQFKDVCTWRSYINLYHPS LRDHHLPDMCDLYDRLVRRKRMGTDSGGEGDSNDAPAVFPPPNIRWVEVTERPEEGSW EARRAAVFRDKVFLLLQDPCVLSVAIRAVHGYMFDGLEGCSGSEGTVSIITLAHAISL LYLAMRACTIIGAGASGGSAATVVDWDAVGKFHKKNSSPPIHAPQQLNQLLGVEGLLN ARNLKEALEVPVEADLVFGAGRSPVSTASATTTVDALRKLRTRFREVTKDIFGIVGMV EFILQGMSEPSLSVGADKPAVGESQRGEGECCRPDVKGKQSALLKRMKAINAKKSFAM LSDPSRFGFYATQDAPAPGVKPSVCEGGSHSSVANPILQRIRSMDCCICRDHARGSLA LFGNVSVSDVLDRLRFDDTGVDSHQQQPQKRKLSTHFYLCGHAAHMSCVKRIFGRMAS TLQNTPDMDRLPAAVTRNDFSCPMCLMVCTTLCPCPVPPANVATGEASSLFEMVRESS LRAVSSDEAARGALQSLWCDISSVAVTNSSCDGSGNESRSVRKQPRDENKNIREVVEV ARSVRAQMCVALEWVKVGGAVLYNELLTLLSLMISLEPILLEGTISHACAELSCHDVD DNFCVLILNALLHPSDAAEYVAQYTTLLLHSSDCSALTSGPVDDDGQGAHSGLLMSVW RELGCLTLLKALLTDNTPSEVVQIGDDKVVFIPFQSEELATLEGQSQAVFTMLCYLLQ KPLQNCEWGHTKASEALVSLIHKAVSEGNEKMIKGTPLSLLHRCRDVSLLDDAPRFKP LGVVYEGALLWKRFLMNRVLHLPDAHAQLLLSLNPNEPCSMCGDTEGNRLLCCFCGKL FCLRPSQRPPELYIHALSCGSGLGVYMSPEDNRIYVLETRRSQVVVLAGPYADEYGRP AVRGYTSRQLTLNDDLAHFLLSLWIRSRWNVEFTVVSEMKRRDLRLL XP_829340.1 MPPKSHKRSRKEGEVEEPLLTENPDRYVIFPIKYPDIWQKYKEA ESSIWTVEEIDLGNDMTDWEKLDDGERHFIKHVLAFFAASDGIVLENLAERFMCEVQV PEVRCFYGFQIAMENIHCETYSVLIDTYVVDPDEKQRLLHAIRTIPCIEKKAKWAIEW IGSQTSFPTRLVAFAAVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHTDF ACLLYEKYIVNKLPRDRVLEIICNAVSIEREFICDALPVRLIGMNSQLMTQYIEFVAD RLLVSLGYDRHCNSKNPFDFMDMISLQGKTNFFEKKVGEYQKAGVMSSERSSKVFSLD ADF XP_829341.1 MPPKSHKRSRKEGEVEEPLLTENPDRYVIFPIKYPDIWQKYKEA ESSIWTVEEIDLGNDMTDWEKLDDGERHFIKHVLAFFAASDGIVLENLAERFMCEVQV PEVRCFYGFQIAMENIHSETYSVLIDTYVVDPDEKQRLLHAIRTIPCIEKKAKWAIEW IGSQTSFPTRLVAFAAVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHTDF ACLLYEKYIVNKLPRDRVLEIICNAVSIEREFICDALPVRLIGMNSQLMTQYIEFVAD RLLVSLGYDRHYNSKNPFDFMDMISLQGKTNFFEKKVGEYQKAGVMSSERSSKVFSLD ADF XP_829342.1 MPPKSHKRSRKEGEVEEPLLTENPDRYVIFPIKYPDIWQKYKEA ESSIWTVEEIDLGNDMTDWEKLDDGERHFIKHVLAFFAASDGIVLENLAERFMCEVQV PEVRCFYGFQIAMENIHSETYSVLIDTYVVDPDEKQRLLHAIRTIPCIEKKAKWAIEW IGSQTSFPTRLVAFAAVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHTDF ACLLYEKYIVNKLPRDRVLEIICNAVLIEREFICDALPVRLIGMNSQLMTQYIEFVAD RLLVSLGYDRHYNSKNPFDFMDMISLQGKTNFFEKKVGEYQKAGVMSSERSSKVFSLD ADF XP_829343.1 MPPKSHKRSRKEGEVEEPLLTENPDRYVIFPIKYPDIWQKYKKA RSNFWAMENIYLGNDMTDWEKLDDGERHFIKHVLAFFAARDGIVLENFVQRFMCEVQV PEVRCFYGFQIAMENIHSETYSVLIDTYVVDPDEKQRLLHAIRTIPCIEKKAKWAIEW IGSQTSFPTRLVAFAAVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHTDF ACLLYEKYIVNKLPRDRVLEIICNAVSIEREFICDALPVRLIGMNSQLMTQYIEFVAD RLLVSLGYDRHYNSKNPFDFMDMISLQGKTNFFEKKVGEYQKAGVMSSERSSKVFSLD ADF XP_829344.1 MWSKAEHLGEPVTFLLEVTDGVPADPAEFLDDLLQRLREVSLKG CIYEAACRVYNPLLVYVSLMDDPDTEPVDLENQDEVGIDEWFPLHLAIVLLRQEVVEA IVTFHRTYGGVVLDSVSCGSAIGTPCEYHDVEEQSGRISSLPVAPSCGSDALGVTMAG GVEKSQGQLNLGDRDARCQIRREFAGAFVVFGANSERSPLRDGGNNDSCNTSNGENVG ALGLSSECKGVKWPFRLSCVSEALRPLLLRLVWEGTRHRGDRHCGCRRGRARGGSKGS DNAELVSLPEGCFTERIARLSNAARRNDTQPLSSILVDSTVMYDVCNGNIATLFDVLE VFDGLLLPVFCGETPLSVGDNGRLTTAGTGEQPDDTRGGGCGCVEASEKRMRQCQLPL QPHFYLSCPVQDPQKLAFILLWVDFRRSTLLKLEQHGPDIVGGYSPALRHGDVPATVP TAASLHSVHLFLLLQLVSLECTVQKFLPLVEAIDETQTVGDMPVTFVAALVAGAVAAS FPQNGPLLLMMCEKLRVLLASASGEQAALRRYVASPPASEAERFSVLWLMATPSTPLK KFSSRAEMLYFGLVESVLLGAEKLWATQQVSVDARARDEAERALADWLVMCCDIFDGL KDCEDGTPLGFSRDMVYRLMGGCSPCPTLHSILHRQRHEVFTTTDVGEKSTSDFNTTG NRAAVQNPETAFAAAQQAFARIVGMVSNDMKLNIYALYKQATVGDINISRPWLTDVVG RAKWDAWCRVKGMSKEVAEQQYVSLVSALQEHRKSSIG XP_829345.1 MTANDEYHISFHQKLRYFALQQDAATQSSDIRRNRVFLEQLLDS RKRNIAMGGAFCSPTGSIRSRAACSIASFNRSNASRRYGGGPPSLSPQAASQTSPAAK GEGRKSFIVAGLHADRRDRHPAFDVTVQYAEECIFAAYFLVSRGLYDDAMNICLKSIE KDVNTTLRDACAVAGTVSAISKSARKTTAFGRLLQTEGETPTAGRRSLRFVSKEVDQE RRAKFTDAWRVVVQYELLTQACQFFRLFTGASSFRCRPGVLQQATSCLENVVHRLVSA CDVEADLNYFVLFNATVMIYEMCLHLMRFASGSTAVVMPVIARSTAYCIEVCESGTLK LSTSRYLLWRVRLYELLCNCYERQGMYEEALHQAQRTLVKVRELVELEFVDGVGPSEE TREILLVALYNVHLTVLRYTWYVNGSGAERYSPPQPSVGGAGDGAHGTKQVPSVGDST VRDGSEAYNGDLPCSGLDVLDKAWQTLMRVELPTASEVSAALVGGAGYRLNKAKQQQK SRDRRNMDALKKTRLVERARGPLMRFLLAVAITSIPPTTRPRSRTDQLGDAAFDPSTF MGKLHLWATSALEFLARHAVRNYLKENPTIAERCRLEGFDSSETTKAANNAFAVDAPA TKSTGKKSAAPRRKGREGNVVPQEFSVVEDDVLLGSITLEALLCEIGYPKPPGPFLFH GNEEQRDGRSISRLTETEEESIATALLLHCVLYDAPDVDLYGRLCICSTSLLWRKLGE SDEGLASRPVSPSPESGKVGGRHSRSPVWALANVFTASLHILRHMRRVRGCGAAESGA DRHSLEDIYSIFPVAEHLRRLLEQCKGPLLISVSNSNNNDSAIAVAFGAPVDGLSSAS PSMTSSSVLYPPLLSSTTAVSESVRVVCQQLLERGAEFLLHRMARYSPSKLPVVTRSA PLLRDGNGVSVASGHQLDKDPVELYHEIICTYLDVKASSGLTSAVDFSELVLRYAKEV VAEVEVVKEKVVGAQGAGDDNDGNAVSGEAAAGSASPTSHQGGEVGGSVDGLPKGVDS ACVFRKKHHHAMLGISAINIALRWLSSATHLLDMHNSAQVAGVDTGARLGLGSHVSAL VASGEQEVRDIRTDLMRCRIELCWCASLYQQSFAAIERHREDVTRIKERQAKANIYGA VTLKEKNILKSLIQEEPQFLDTNERERKRLVAWARESSDALLLALVLLCLASHQPRRK VQREMLEEAFHLLCRPEWSGSNGSFGVDKLAPREGSAYSPLMIWCYAVTICGKLGFTE WMEKSRDVLHAAFLCDKSNAPCLRDSAASRSVGTITREAATVTAEGSRRSPSPTGDGN TIISPLEFQPSEEILSASSPLQLRALVSAILWMSVGDMKGHAYKNFCTTGLMPFTEHS MLVEVPFPKRYKLQETQVVYMRCAYRIRFVMELAFRVKDYGRMMRCAFELFNALLPTL VDDNYCPVVLRPLGTLCKILLLHPTSTSDDPDVQLLAVRVLGALLLTIRRMASMPCSA PCANLHADTNSKDQIVGQQAGATTPAGAPVVSPERCSEDLSDLPSTIAGWYELLLQAF ERVWNDVYNAPNLRQRRHRHRIRCSARRVQRVVNSKGSAPEGTQGTPAPGNRGENASR GTSSRLRRGSRVGSAAPSQTTGAPAECAAGVSTFPGSSYVMLDDIVDDCVPIEYVELL GEILFKVPAQVAGSLRKVFSSCDKALDSVLAATPNCVVLEGIPPWLLEVVRAVHSRSA QLAIERLHQVCDHPMYARTAAYVVESLMEQGDVVNARRLALDTLKRLKDIRTLINEHQ NGLIESMRSWLRREGYLHIQLKGTSSVDASTTDNPTALESAKELAAEDARAQVAPINE LGQHIVSNTAEGEWSPVEKETLRQLTRGFAWVWRRQIARWLRARIMQFCVPFTAKLYF FLEKMSLLQLEQCQVLQEKATLDTSGKVSRRASKVKGGVDESVSALTEDMPKGKRAVQ GTALDEGDEEERFLSHAMRSARLFNRCGLPAQAFQVVLLAVDGIRTFVCDDPADVQPL NDAQQTLAEDAGGRGSPSCGGTYSSDAADGFIDPRLYRFVGTLSATEQRASVMRTGPR SREKLVALGPYICPLAQTMQRTLLLLWEGYVDYRRDLGIVQPNAVKVRRDVLLDEQLP FQGRVPSLFSLFSPAGQYEEAYLEHARDVEQRKRGHSLLYQTHEVCARESFERTRIQW QSSLQLSSLMEMWVDVIPDVGYFLRELEVDCSLLEQEAEERKCAYDTAVVQRQQWEAR VSKKRRKKTEEAGTVRCITKPISFEDPNGMELAAKRLGYTIPMGTAIDKLVFLLKCAQ FSCQLASLQIAEEVQKLTSGSFSRHLLPFVLNVQCMIGEPIAKETVDKLLEVYRDESR QKSLSRNARWTWRRYTKTEAYSRAMQRLGRTLLPSWGTQGDSKMSVLSMPNASFNFSA DECSLVSDVLVETSRPRATVRADDSVVCGTNPPNADDTNGASRPTSLHDVTGSYDNLI SYLRQRRLFGPLAEELYELGRIYILHRQRDEAERCWLDSVDAALGVPESLRNSTAVDT WSEFQAASVGCPRILLAILSLTSLAMYTYREQQKRAVDACLLSARVLERLFEQSSGSG LPQCLRDFCGFALEDIIVLPHLREPLTTLMPQIIHHLLFLGWELLQFKFPVYSAMVAC LAEYLARTYTRHVPLTVEIRLLQAKAAAYSGNFRASMGILRDVCQGKRVPCVALESFD LCASGSEALKTTKGLKGADTGAQSRRQAEPAAEAPNNQALQQQQHQEESSQSGLYNDT ELPTSPGNVACIQVFITQCFTSPGSDDRPAADAAGASVGQTVSSLMSGASGLPEPVAA YYGKRLSQRVELTLAECLVVLGGKEAAYVWGSLGGQSQPPASGTTTGADRRAMRSRGS QRPMNLTYNNSACREALNAAEQILQVILARLQKVQQQRQGERQQHPRQSGNSTSTVGD GNAFVLRGRKSVPRDSAPPSSRLPDGGNAKRWREVEDTYTRCTSERLLSRIYTVRGES MKALGLLKGLVRSFENGGSFVCNIPHVPSFLWTVGTHNFWCEVYELMTQNHVRLLEYS VAQKVVDHALALCEQCGDSYSARVFSLYRATISMRTGTASDAEETLNGLLDVSRSLVC DSRMDLFHPWTILALEALRREKQCKESQKGSTSSIDLLEGAVLNLQEYSQVHSLLPLT FCFNERKQDDSREVTKRENRREVLWKQLAPLPWSTDAVYVHRAVNTLAEEHIRVGLLD QAEHLLQDVILSVASRYDTAAHPTALIESHFMLARLLCLRNPSLITARQEENPLQQQR KGGETMGGAPVTVMAHEMSSEEEMIASLEPYRQNPVRLLMSVVKQVVSVGIHDYNILR VALLLLSALFSHAGRAFCIVAANCAVLAKLVADMKFHVFSGTSVFSLYGDDAISLGTD VVFAESVTAYIHYQQRNVGNVMEKEGPGWDNAAGGGGSLPPSGPSHPRTRDDRERAAQ RNNVSLPAVVSAFAALHRERSLDCLLPPQESLDLELALQHVRSFLQTRTAPLSCSYLW HSEGALESILKQQASSATRPGGAFRTQRTGASTATVIGDDNASPAGFLPPVIIDALPS LLSPQTLQQLHVPRANTVICNTFQYESASADPVAYNENMSIRAASPRCGQGANGGGAA CKQAEPVSTLKFVLVVSPANDPAATQPPSVAEKPYHPTKKTARATNKGMTHSLAASTG EGPRWVDAGSIFNMWNSTQCVVFDCPVAEMRQLQAQAAHTLLLMQSPAPSLPLAKAPP GVEGAVDEEDIAAGAALTQQLNEVLSVLFTKLAPPTTGRKAMRAPMTVEGLLSGASNL NATPFGNNSGAVGASGNHGNPWGGRAAIVGAGATDKVASGTAPGYKEKGADDGCDESD EVSPVDEAKSKLVADFIQMIVASIIPRAVVDDALMERNVQMLMPRCAVTVDVVRFLMA VTSNDGHGMSSFNPGLHDWFSRIAAFGSGQVVR XP_829346.1 MQTERGPIAAHRPHEVVFGKVEGEDRGANPMDPPRRKVDPLFWL RDDNRADPEVLAHLHLEKDYYEKRAVDIKDLAETIYQEHISHIEETDMSAPYVYDRFL YYTRDVKGLSYKLHCRVPAGKTPGEGEDEEIVLDENKLAEGKSFCVVGCVAPAPPEHA LVAYSVDYCGDEVYSIRFVRDVVADKVEGTNGSVVWGPNAECFFYITKDASKRDNKVW RHIIGQPQSEDVCLYTDDDPLFSVGVGKSGDGKTLIICSMSSETSESLLLDLRKGVKH NTLEMVRPREKGVRYTVEMHGTDTLIVLTNKDKCVNGKVVLTKRSAPTDWGTVLIPHD DKVTIDDVAVFAKFAVLSGRRDGLTRVWTVRLGPDSLFSSATLKELHFDEPVFTAHVV CSQMKTYDASLLRLRYSSMTTPTVWYDEDVLSGERKVVKARKVGGGFQSKNYVCRREL ATAPDGTKVPISLVYDTSIDLKKPNPTMLYGYGSYGICIEPEFNSRFLPYVDRGMIYA IAHVRGGGEMGRTWYEVGGKYLTKRNTFMDFIACAEHLISSGLTTPAQLSCEGRSAGG LLVGAVLNMRPDLFHVALAGVPFVDVMTTMCDPSIPLTTGEWEEWGNPNEYKFFDYMN SYSPIDNVRAQDYPHLMIQAGLHDPRVAYWEPAKWASKLRELKTDSNEVLLKMDLESG HFSASDRYKYLRENAIQQAFVLKHLNVRQLLRK XP_829347.1 MRVLADFLIRRAHTDTHMRGVVDEFGRLRKRGGGYGTYVLRMLK KKKKNDSLFPPNYSLKSCLNSLAMSNAAPAENDFFDRNVLDFATYEEYLDQQVTQEDL FYLGDQEAARQIVELGYKGKNFLSREEFEAARLIVLEGPSKWEEEVDVVCSAGLQFDD SPLLSALARREELVRAGKLSTIIFLRDVVRGQEVSAYIDYGHRLKTEDFSDYFHRRKR LTPRRTDLSYYNWKTHTLFFNNSVTFQVLADNEIGLLMKHKRDRKTINVDPRAPTPGD NSNRLVIHSPEYVQVTIYDHVTRRKN XP_829348.1 MESKTWFGSQEVDGSDHGLAASDGASLVEHVRDILMDSDDDVVS VSCVNLFVKTETTSRAVIENEEYLARHSTGRDFRRGATIFSARSSSHSMSRFTTAGTF SSGSKEIEYGVLSMQPSVCVGVDRDVPLKEKVDSFHCGCVGVVSAVTDVRRSVSGLRS SKLVATGDRCVADCGDQEPLLGAGRGPFGGNGDSSILERTYGTLDGLHSVTAERFVDE PLVAAGRWDSYCSEEFPVFVDGDVFRCLFCGSEVHLIQGSPSDVFVPEALVSESNSSF GLRRAVVHVFAVFIISMLMLHHESYNVFKTNPFVDNLLGGKCMMLKLMSFHAIAVSSL LVVFCFRGVWEVPHVVLLVSTAMLVVDDFRLLYGVLLNVTTSFVVRELVQAASFVGSF ASLLLFTCADVGYVAGPPFLALSVCLAWLMSRLVAFGVLPAFATTAAAGYTAIIHTVL ALVSFSLAIGICLLLPPSMLQPGPCSCGKSHYVTNFLSCLGRLDPSFFIRSVACGCLV AVVSLLTVDSELVTLPVLDSLHFKATDIPVVDGSGNWPNGIAISPVVVLLLSTLALLL LCLVPSMGPFVRFVELGPATAAMVLIVAFFFDGKQLTATLAAVCAFSFAIAVGGLLRS LGAVFLSRTVVRDNFGKATVRPTAALFTTVLPVLLVTAVCSSCVALILGLTAWLAAVR GNGNDSGDGTADRRGWAVMFVVLVFSSVALALQLLVGMWWWLPRLYKRIAGIV XP_829349.1 MEVNIPEEGTMAGSDQPSILTIAPLFAVGDDGEFLSGLEEFQWR QDSFPQVAPDDIVAYEYIERSSGMWRCSLGIVCSDVVCRSLYIEPLVPSDQEGGACPL TKALAAVTTEEGRELLLRIPPLQSQLIQHRDEAVRLSQERAKLYRELSMKNLDVATKK REAEMQLRHACHVVRQVDARHWRELVSYRTPPDIVVRVMGLVMVLLGERRRTWSEMQP FLHRAGILQVLMQFDPLTISKECHDELVSQYTNSLNFTYEEALKGSHALGSVQQWVVA NMRMICVNWHEHHLRDVQQSGEDATHLQHRVEQVRKRLDVITREIRSLWNNYERLENT DHRGVACSRWKSPSRYGARAPRMDHVHPSERACTPPRAYDEFVSVERFAAKTWVRSLT RQHQRILHSSVLCTIGRSQRSQDTVDLTSDQYARLCNLFTTVLCRNGSPGSGLHRPSP RSSPSARSTIRTSTPYRARYIHQGESSSPVHDSAKRDEGKITRSQWGHLDKSPLQVRH HRLRNEDSPQQSSCADADSKRQRMQPIAQSHKDVNQTRDSSRQLHSITKNCEEKIRQS GNTSTAIFHTAQCDEQMSQNHLQDKKKNEKQEMNREKLEASLKGEMRGLNEQLSEMTG SMTLLEKELKKQLNKVTESRALMEKELKELRKQLSDVTDSKSSLEKELKELRKQPSDV AGSKSSLEKELKELRKQLSDVADSKSSLEKELRKQLSDVAGSKSSLEKELKELRKQPS DVADSKSSLEKELKELRKQPSDVTGSKSSLEKELKELRKQLSDVADSKSSLEKELRKQ LSDVAGSKSSLEKEPDELKKQLSDVAGSKSSLEKELRKQLSDVAGSKSSLEKELKELR KQLSDVAGSKSSLEKELRKQLSDVAGSKSSLEKELKELRKQLSDVADSKSSLEKELRK QLSDVAGSKSSLEKELKELRKQLSDVADSKSSLEKELKELRKQLSDVAGSKSSLEKEL KELRKQLSDVADSKSSLEKELKELRKQLSDVAGSKSSLEKELKELRKQLSDVADSKSS LEKEPDELRKQLSDVAGSKSSLEKELKELRKQPSDVADSKSSLEKELRKQLSDVTGSK SSLEKELKELRKQLSDVAGSKSSLEKELGKQPSDVAGSKSSLEKELKELKKQLSDVAG SKSSLEKELKELKKQLSDVTGSKSSLEKELKELRKQLSDVAGSKSSLEKELKELKKQL SDVTGSKSSLEKELKELRKQLSDVTGSKSSLEKELKELRKQLSDVAGSKSSLEKELGK QLSDVADSKSSLEKELKELRKQLSDVAGSKSSLERRN XP_829350.1 MSGVSRGESDPSHAPADAAMGSMLRLEHFLDAVLRQSLARVLAQ RDEVYNMASNCCQLRSLFDEMQSLSSTHSFIRRDEGSSTALTVSAAVAAGEGKQSVGN ATVVRGAGSTSGDVSVQQRNHIMVDLGNHFFVQCTVTDASRMWVNLGCGVVLPMFRDE ALVFLQRRERLLRERAARLSKEALRIKYRMRLVMEAITRLYDRTTGRKATWKG XP_829351.1 MPHSGYPFGSSSVVGVEGDDRHSSVSLFVILFLCVLLTAVEPSV AGADVRSTVDEVVADTSEPTCPPAPVCSRYKWLSSSQLYCWMSKLPSRLVSRELPFAT DDKARRLAISNVEELLRTRLVGQGHLTEAIASLMRKKLSYPHEPLVLHFAGDNGVGKT HTARLLSLATSLRCANSRGRQCDSGDNMLVISGTGFGGLEGRDGLNLLVRKITEHQRK YPHGIVLLDDLNAMHPSLVALLAPLFGRADRFEGQAADLPSLKELTVIVTTDFGKQGR TFGKSVVEVEKMVRMEFNSLYGSFVPAFVRTLAFAAFSKRSAEEMVRTTVITLPCTAY RYGFAGPNAYGGGVVASSIDDVAVSFLVERYREVWEGRENGHALRRAVEDSLLSLLLK YFDEHGHDRRVWARFHLDEKVGEIVLDAGADPHSMNDL XP_829352.1 MSRGHMAEFVVRQLSGLRVLPSLADVDTSSFPVIGTHNGSFHCD EALACGMLRCSGQFRAANVLRTRDAKTLDRCSIVVDVGGVYDADALRFDHHQPTFHDT MKTPKSMYRTRLSSAGLVYKHFGREIIQRYVEAALSSSYRAELLKMGSWSESRKNLSE AELDTVFDIVYKNFVEHIDGIDNGVNSFGPATETVTASGCSADAQSASCVRNYAVTTT LSDRIGRLMPWWNEGGDGNPESENTAFLQAVELALSEFVAAVHFFTFSWMPARGLVED AFHAAESVHPSGRIIVLKERFCPWKDHLLEIEAEHGKVGHVLYVLFSDKSGWRVQAVP KDAVSFESRKPLPWKGIRDADLSEASGVEGCVFVHVSGFIGGNKTYEGALQMATKALV AV XP_829353.1 MPSHTTSDGSPTTGGDKSGPRIKIVSLGSVGVGKSCLIKQYCEG RFVSKYIPTIGIDYGVKRVDVRVPAHLAPSGKISTRVNFWDMSGCEEYLEIRNEFYRA TEGVLLVYDVTDAESFFALNQWVKEMEAHVNTKGNDTYVARVDASVPCKVVVCANKID EVSEGGGRKKRAVSSETGRQWAKEHDYKYFETSACTGAGVEEALETLFKDVVAAFF XP_829354.1 MNSYSRLFSGDQTALKWVGWRRRVDKQLFFGSILVAAAVYAGVY YSEEAERSRRHASIAKDIERERWRALELGLEEPTDDGFADKYIGQTRT XP_829355.1 MGDGEQGSGHRIRVHDPVLYTQLMNAADWSHVIENEGRQMQESL ARREAEAALARQREQMKLDEVRRRLEQTMATRRERQLAEEQQKQQMKEFRERMSAVGR RHAELVSMCSAAQRREVFRTHRALLRLSRQREEELLRPIQEFIEEQLYDDESAQMRSE VRKAMMESYVAECNRLEKTALGGVFLDVIDPVSYDPFLQQKLCTIRYPEPPRPMTVVE AHRLEASETVKHYQQLALDYRKLQRESMTGALSAPSQAEDSVYTLTRCMSPSEVAVEP YQQTQGSHPPRFRVSRSPSPTRLRPPLNRMLPGGLDGTANKLDMLKTLSTVARRCGMD AGPGHVVTRNSRSNEVMPLLGTATDENDQLLQWMSRRQRSTQSRFRDLPVSKWDRFTE TALGWNAAADGSMKESLPRHRDAAYYRQMSRITFAHLPYVPGCAGQRAAVTNK XP_829356.1 MLPSLIRRVAPSRVALVPLFAALKTTTLQQAPGGITQGDNRHPS CSGEDAALGALQQSPQSKLSLQEPLRPLTATAADGNSVPIVSNIARERGYIVDANRSY FSTGANKCSDATAIDGGVPQPVEDPLVHMLRCEEELLHTSVERLNAERKLAEMKRRFG DEMSALHEGLKAREEKVSRMVRRAARESGVHTHSVQPAAVVSYATATDDWGEVGKGVA GDIVDDGDNVGHRCGDDGEIADNGTQTPRLPDDTFVKLQDPEEDDASTEDDDISSSEV YADVPSPGRGQKRATSSEGTVAKRRGRPPSLKAASNKETKKHAGSRARGASARGERKD NAMRTASFARAASGSGKSPASRKVSQTKKAPQVGRASQTRKAVQAQRPLKQQQQRQSK VGANSRGRGSGVRGERGRRGTVGGDSKSITHPRGSTASGRQTAATRKRAGKK XP_829357.1 MMRIRISTPSRTYHSSAREEDGIRMHILFLLLLFLVAIVLPPFC IRVFECSDFPPFLYPQIVLCSADLLCNDSPRILASFPLESYVFVFIVHFPVATNSGLC WHVTFAFAQTHIDSITRSSVVKVFARFTRAILTGPAGLRRV XP_829358.1 MADNSNVGVENTDHARSFVEVNILRGDLNAARRFPSNYVRTSKY TLATCIPKSLLNQFRCVSNIYFLFVTIITMIPVVSPVNPLSTLLPLCIVVGVGMWKDL WEDGKRRKSDKLVNSVGVQVLRGSDFVSVPSRDVRAGDVILCGLGDVVPADAVVLNTS LVDGVTYIETSNLDGETNAKTRRAKPETIKALGTVEDIIEGCLPDAATCAHFLNNGSL VGWKRCDSRGGDNGKGAISQNVLTENGRTSGGTSGQRARASSAFRDVEVTEVEDGTPQ SVELPPPTNVTQSFAEQTSKKGTEGGHGRTSTATPTPLETRGSVCNTLELSSKERTIT ECDDRVPSSTVETSDIRDRVRGGPSGLCSSSNPFAAGTASNIYPRAGAPGSAVALAND GNGVLNDARGDGDFKGVLLRGATPCPDLHSWIGQLRLRCGSVVSLSIDQFLPRGCIIR NTEWVLCAVVYTGKNTKMLLNLKSKGEKSSLTSRRINLINIILLFVHQTALITLCTMS VHWRAERLNGLEGAGSGHTTWYIQWALSRYGASKYFALMYLTNFILLSFLIPISLYVT MELNKVLQLYLIANDRRMASYDEFKGVLRYSRPKTSCLNSQLAYVRYVFTDKTGTLTE NVMTYVGGCTATERHDEKERPGALGEAFLRLVEARRLSVPPAVGEPIMTTDALERRQV PQQGRFDFDEEAMEKEPLFRYLRNLSLCHSVVCFDRPEVESAVAAAVEAAAASGHSVL GGSLPPGSQHAFTRRPSAADATLPNNNRIMGCSGTTDAVEMVCHRRVSSITPGSGSVF GASPSTGVGRFLHGHTGSASWRMTCHNDALMHERSLTMSRKVREFRDESKIYEGQSLD EVALVCAARDNLFALQGRTSKHVFVKVVQKVMCYEVVAELQFTSQRKLMSVLLSRCPD MDNASTGTQDNVRISYHRKVQETQTSPSFARAWETPTRSSGQHKTMKVLGDQKGDPTH PVTVEEDRKDRPVDSNARGKKLPFLLLVKGADSSMMSIMNKQNPRNIDLKDLFEVEID SVAKKGLRTLVLGQRWVSEEEARDWLVKFNEAQCRLNDRDEALHEVYALLEKDVDLIG TTAVSDELQEDVPETVKFLMQADIVVWMLTGDKRETAVTIACTSGIIESGCEDMVHHL DVCSQLSGTTDLQTELKSERIREVLRSQLSAASNKCDSAEEQYGKDTHKMVLVVDGLT LDAIFCDADLTSEFFSIGMRCRSAVCCRMTPLQKAKIVKLFQENTGGVALAIGDGAND VSMIQESSVGIGIMGLEGSQAELASDYAIPKFRFLKRLLMVHGRFSLYRDAHCLVYSL HKNAFLTSAIVVYTISSGFSGMVLIDSWLITFFNLVYCSLQPVLMGVYDKDVEDELAE SLPSLYPPLSRENMFFRWGYFTKWFVDGVLLGVLLFVLTYYVLGDDDALHPYRSGSVE DYGTLYFILLLFLVNLRAASAIVCYNLITVAVLALCFIAIPFLTLFYSALPNVFGSNR CVYVAIELVGNIKLWLMLLLCFGIYIMYIMGSNAYIELFKPWLNGERAMRAAWESPYK GEHLAKVKLLRERSRTR XP_829359.1 MADQLSNEQISEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNP TEAELQDMINEVDQDGSGTIDFPEFLTLMARKMQDSDSEEEIKEAFRVFDKDGNGFIS AAELRHIMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKMMMSK XP_829360.1 MADQLSNEQISEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNP TEAELQDMINEVDQDGSGTIDFPEFLTLMARKMQDSDSEEEIKEAFRVFDKDGNGFIS AAELRHIMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKMMMSK XP_829361.1 MADQLSNEQISEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNP TEAELQDMINEVDQDGSGTIDFPEFLTLMARKMQDSDSEEEIKEAFRVFDKDGNGFIS AAELRHIMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKMMMSK XP_829362.1 MADQLSNEQISEFKEAFSLFDKDGDGTITTKELGTVMRSLGQNP TEAELQDMINEVDQDGSGTIDFPEFLTLMARKMQDSDSEEEIKEAFRVFDKDGNGFIS AAELRHIMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKMMMSK XP_829363.1 MVKQRMTALDVRASVEEMRTELQGLRLTNVYDIPPRTFLFKFGN SEKKRTLLLENGVRLHLTQLVREKPKVPTQFTLRLRKHVRAWRLDSVTQLQHDRTVDF RFGVAEGASYHIIVELFSKGNIVLTDHEYRIMLLLRAHKDDGVNMFVRELYPVTKSFE QQQEEECQQLTEGAQRVEALRREWGAVFTRHAEYETTRSTLSATHHFGPSLADHILTV TGVKSVKKANMTCSGDEMFEKLLPGMLEAWRFAFSPLPTGGYLISKTAATKGRGTQER GKAPPHVDAGVGTTADGGEAGSGVEKQPRPHLQGVQYEDFSPVLLAQYRGDAVSASYL PSFGSVCDAFFLYTEKEKIEQHNDRATTCVLSKKEKFERDHNRRIAALERSEEENTRK GELIIQNAEKIDEAIGLINGALAAGIQWEALRRLLKQRHAEGHPVAYMVHELFLDRNS ISVLVEENDEDVECYEDEESKVKVGGKGENHRYGGNSGEKKDRVEGCSRTPSVIEVDL SKTAYANAASYFTQKKANRAKLEKTIAATAKAAAGAEKKGERLAAKKQTKKAIATERH RCWWEKFNWFRTSCGDLVLQGHDTQSTELLVRRIMRLGDVFVHSDVEGGLPCILRAAG SAWDASTAFGEGESEENSIQVGESTKGWLIHMISLDEAAAWCVCRSSAWESKFSVGAW WVHASQIVGGTAAGCYLLSGEKNYLRPRPLMLGCGLLFRISSRAIDPREREELPNYVP DGPRQPHGVSHHSSEGDKNMALGRDDNCFLERDAATALRPLPQLQANRRHRQNTHQQQ HSTACSVVTKGSQPLAENAASPSVAAAPARGTGVCGVKGAAAVQTKAQQLTKHQRKKL KKIQQKYKDQDDEDRLTGALLNGNQLSKVQLELLASERAKQTNEIVRTSPAGSSSAAG EAGERCGGEAWGEECVGEVRGRAPAKGGDAGHLLAASPSCGSDGPADNERTPREDNEP STGEPQPRSRAIDSTAASLEATRAANDAEFNREWIHFTAKPQPGDCVEYAVAVCAPMG SVISYKYRAELSCGNAKKGQVALSLIEHFTAMALNVDNASEVHALRSLEMNDVIGQLR GNLKRISTGLRK XP_829364.1 MDERSFELAESFVTPRYVQTGVESLHSVERTVRAIIAQRRCPEE GLHDCAIELIMQQLAVMDTNNFSIHVGAGEREGRVASVLVARRHYSLTHGIGRSGDIC SDQPKAAGSSVMYKLVNHMMLDLLRQAGTPSLASAAVIPMATGMTIALALRSIFHHRV EELRFDSKGHTEEEQEKLHAGLRTRGDDSAVPRYVIWTRIDQKTALKCIELSGFVPMV VPLRRAPVLRRMQSKEGLGTAETLLHSYFLEAHVDDVAAAIERVGGPKHVLCVLSTTS CFAPRLPDDVVAIAKLCKALDIPYVVNNAYGVQSRTIMHKLDAAIRLGRVDLIVQSGD KNFLVPVGGAVLSGGKTAVARATSLYAGRASASPVIDLFITALSLGRSGFKKLWDTRY LLRRSLQNQLKGFAGARGELLLDEFDAQCDVDGASGDFVAAVPPSGEAGCDGVERPQR NDISFAITMRTVGSPSTAAAVGARLFRKGVTGPRVVLPSDNVTRLCGHSFRNYGMHTD ETPSCPLLVLACGVGMTAEDVDGLMKRLGEVWPVEGV XP_829365.1 MEGFCYTAAADAASEAKGGVPQLPHLSAAALGKASSRPLPHPAA AITTVELPSGSVVIAGGGSVISVEPLSSLLQSCSKEGECEACNDPLLGGVAVHSTVPG EKVTLTISSGLVSCIGTALLPGGTAAMCAVGTSAGSLCLFVVESRTGGNALALCKVCE ASLCEYTDGLISADDIVLDVCVGLDPAGRPEFVAVATATVVVVIDTQCFNESLRANAT EAVLRETVGSWQRTPCPTEKPVNETFYASFTASEVVRLLVPQRYRASFAVDIALLIVF DNGELRYVARRVVGGSLQLLTEHHRQRQLRHSTVGLGMLQHNSEGPIDGSHGSLALPH VLYAYSLSSVVHNVCTAAVGVSAATYKVVNNAALFFDDMSQTMRIIVVGAGTQLAECY GHQLRGTTGWWAITGAAVLARGTLDQRQLAAWGFDVPCSGALPRDRRGLACITVLEGG RVLFACGNELYVCTMADATTAVVDGSSGGGGGAYVALRQVHTCGSVVCGVACTGTRID GRNVGVIGFGASLAALLF XP_829366.1 MSLTLWFQPHHENARNLKLLVVAAFANVPVTVKACEYGRENETE EYRRNCSPCCRYPVLQTEDGCIFESNAIVRHIARLDKSGSFLYGRTPFEGSQVDMWLD FAATELDTAAKPFGLNIYRGVPIPVDAMDSVHEVFGGLETWLETRTFLVGERLTVADI CVAFALQFHYRANAAEGEALTKKYRNVYRLYNTVMQQPKTVEVLKSQGATFGPVKAKK AEQQAPKAEKAPKPAPKDDDDDDVPKEKKKPNPLDELPPSPFVLDAFKREYSNNDTRT VAAPYFFQNYDAAGYTSFWCRYKYNEDNKMQFMTANLVRGWFQRMEHTRKYAFGVALI IGEEKKHDIVALWVFRGKGMPEIVKDVEDTELFDWEEIPDVSAQRERITDYLCWEGPT IPKPVLEGRVFK XP_829367.1 MQQKKCYTREELLALRSTLRLDTFPNPIKVDCPMLTDCELELHE TRVTKRQKVDWSPQVLGTKLVSVVSGSDSCLGTVCESGAPVVQRDGFGECVVVGSGVS SFDGCADASSQSRRAVVPPRLHVPIVTIDGPVRGKPSNVGGSDLESRASFDTERGMVA RLRELQERRKQGQREPPNRSCWSQALGSKIGTDSVKVRVCSSLQPKCSFRNHGSSATP PASADKKDRCGASLPIPEAEAAVPMANVSLFKSSGGSRYFQFTRTLGLWEYLTTHSGL GSLSGTQMVSKKEANTDANNSSSGVQSSVGPDEFATPSASRREGESASDKAVVPATRK PHRRRTNRTYAEKRAHRDAQQSVSTAT XP_829368.1 MYSSWQQRHQQGGKNNHNRKHPSAQNIINGSITFGEETKNVDMT EVPVPKVTVGLAGGEQKRAQPHGPTKSFDTISDLRSHVISLVQSGRLPGDSLEVLKVM LRHPPRGGKLDICRPARQEKPFSVNTPSVQRANVAQRKNRDQLSQELCSMGTAFFGYP QPPLFGGPAGMFSTYGGYQTHMGQEHSNLDGFNLCAIPGTDGVYPTGSGPDPFIFGDQ QEQAPWMYDLEGDAFACYDAFVPMYGVVGQDAVWGLKNDVDTSDDGDDVTGMLHDVRE AAQIGLTPRELRLGGFDGDGNLPFPFAGAAYGAQEPNNSSISNATSTPVPSVTISHSE REKLRSLQQAFLAHVRQTSSPHCKSPGVSPPGTWCALVGGNGPWGARTQDGSQDVGEE EEDSFWYPPNRTLFSYHTDFSEAMDDKGAPGSTVCGVTAKAIASMTNSPRGGRGPDGL LRDAHLSTIFLGTEGETAEELLYQWTPVDDGSKMGPSDEATPTCVPSARFCETAGSGV AAGLSASNFCATLSPVQVTLQKGQAVGYLHLPLTPAGSGLSTHASLSPTGVTPVGSEE VNATPKLSDCVRHHRSSFTPQDLSVSAAYFI XP_829369.1 MRLEEVDGIIAQCAPDALSKAVSKLFRRLLANILLNPDNPTFRA VRMNNAAVQSAVRGIPDSVVEALFSIIGFKLEHRSGSEPQYVFGGDPQGLGDSDRLLS YIEKSLDEAKLSSSQFRHPPISSRTGLERNERKDLLIREIRRNIAERGSESGAGAPVE SQDVFCTDDFASVENLKDIAKRTLLNTGRIRNAFFGCRHFSLRRMTRGRVYVCKEGCP VNYLEAHWHLFTGKNMLYSYVAHLNEDGSSVAHLGVEHGYQYNSLPGTTNFRKTIHFS AKRTNEKNGRLDYLEHPNQPSKACVYCDVSFSQLFL XP_829370.1 MKERKGSWVNLAVVCVFFTFLHIHSYAAQPTKTLHLVQLVHRHG ARYPLVPHNATEICGGEPCGSLTREGLTMLINTGKFLREHYNNASSVPFFPSTSYNLS VSHTESTYVNRTIQSAEGLLKGLFPDENTFFPVVYTRYDRGNVLQRSYSNPYTYAFLN LDVEWWRNVCNPTTDKFIKYDTLLSISKEVFSEGMCANPEDRCKCAQTLFDIGASMEA DGRIAKHPLLLQHVKQLRNVTEFCFREEFGYNSSDKTHVNMGSQGQDLAQRILFNAES RMNGTTTLKLYHYSAHDVTLAPLAATLGDSTFDGFLPPFGQLYAFELLYDDAANGYVV CVRRGAPGQTPSTKYLFQWDDFQLKCMDERNSIYNAENNTCPYHDFKRLVDFTKPKDP AGLCYLNDKYRKLFDCSGEKRESPNQACKALRRMCPEWACGSGYTLNSVTLECIQSLS GGRYFSMKWRLLLVFIVLVCVCVVVSLLCFSRNKERREEEPVIEEGVASNEKEPPLGH PGRANRAREGSDGPVP XP_829371.1 MLRRAGSRVACACSVPQARSLHFPITPPPIEIEYLDNDPLEFAV RTEARKWRFDDMGYMRELAFVRINNNPTVGDFRNMSPDERRNLFWGSDRQDFFRHLTC TLTGSPEHLYHRGW XP_829372.1 MFDDASRFFARSNYHKPDVLRRIANDLSLLCNMYHLSCRVSPWG GTQQLKLCVYGGLPISVRCCVEAAEQTKNDGGKSKFVLPVQIWLTQQFPIDPPSIFIH CNEPGCKVLSNHKYVDVTGRCHTPELAGWRPTSSSLVSVISGLKELLEEENISPLCVD RNFLNVLALEQRASLVASQDVDAECLSQTGGENAQCVICFGPKDTVLVPCGHYCLCVS CASNLSQCPVCREVTKFRQRVYN XP_829373.1 MVSEDHLQKENKWTNSLIFTNSAVESGSFFSKGGGWLRLFACDV VLGKKNDGSEPGACYHLTLRVVLVWPRRGLEWCCRYDISTNSIHKKCFFFHESFSKTQ SQIIGDVGEPVKNRNLSLRTFERRIAIQQWSNTMTPTTLASWGHRNPHGVVLNKFHGH RGARSNPSSHPETGVNPALHRHRKGTFSGVCFYSCSPVSDPLECTGILSLHRDIHFEG DFHFLRPQW XP_829374.1 MNRRVPMSIALDCEFVKTKGRVIVSSIAFVPFASFKAPLRQTHS VGHARSGTGVAKAQAHAEQSARPCMITSGGSTILSLDPTEVMRHADVLPCGHCLMPLL QGETAVGSKQSLTAVEPHPSTTGKEKLPRNYEKMLRIVRSEAGLETLCPHSIPNIRRL DKTWLRALHVAAVNSAEFRDASAHLDHLRTYGNGGRQRWAISFLMKQHPQVLQDLLRK NFTTDDAWCQWLSACGDTVESELQRVAQEHRRISSAQSERKAHSKPGDHPLDSCGVQY KECKSLEELPRELSRTWSALISARAHVGGVKFYTYGNMDAKAIKNSLQLCGATANQAA KPPEGTGKGNYHPNEEEEVGCNLLKSPHEAKVVDLTRHPLFAASGFCISSRKAPPLTD ALKKAAAVDVSARLLLESNSSHDPLWDAKALACVAVACGVVPFSNPPVQ XP_829375.1 MPSKRKTIAVVAGAAAAVGAAAYFIRRRRLLSEAEDDDAFTAPI LSGAHEKSKVEVGMTKSPVCNDLSVENQLLAEALREIGAESPRTGPATSTASAAGTSS KGTMGDRKEFPQLGLSLTVPSPWKVREDLSPFPNVAMLTVWNEELVTEEVAQQPGSVP MIVLSVEDVRADNVNLDEFKDRCKELAVSQMMMMSGGAIEPKVSKNTSTKVGPFRHML EYSQSLPPFCDIVVLNLIEVRGSVAYTFQIMGSPDVMQRYRSMFMDIANNVRIESNIS TALGYVELLTGSVKVDIDTTWVYEYPGGDGALAVMTTTSPTKKEEIGLYHQGGVPVTP HKLRSEKVMDGVEVQIALDGKQEKKTFTYNGYSLVVKPLQKSNSYLDEQMLVSIVKSV SPSTAEPKPKKGGTFVNKEHGYRVNVVGGSRLVASRIGGGSVAYAPQGLDGAEGGDHP PTVTMRVGTPENDPECMGSVEEWEARMRQEAEEGGIRDIARTTVNGEPCLTFISQSME EIMPGQRMEIRGKVFIFVREGRTTLIRWEMAVGLWKKFERDMNAFVESLEFI XP_829376.1 MRERQMYFKVEESDLPLCMMRGITNMKSKEKHDSVFEGLNSKCF TCGTASNNTSEACTITLASPLRVYVSLHKLETTVAEEAAVNLLTFQAPSSGFCPSIPP PPLYTLFDLHLRFVDISSDTTLNSKAMSLTLWFQPHHENARNLKLLVVAAFANVPVTV KACEYGRENETEEYRRNCSPCCRYPVLQTEDGCIFESNAIVRHIARLDKSGSFLYGRT PFEGSQVDMWLDFAATELDTAAKPFGLNIYRGVPIPVDAMDSVHEVFGGLETWLETRT FLVGERLTVADICVAFALQFHYRANAAEGEALTKKYRNVYRLYNTVMQQPKTVEVLKS QGATFGPVKAKKAEQQAPKAEKAPKPAPKDDDDDDVPKEKKKPNPLDELPPSPFVLDA FKREYSNNDTRTVAAPYFFQNYDAAGYTSFWCRYKYNEDNKMQFMTANLVRGWFQRME HTRKYAFGVALIIGEEKKHDIVALWVFRGKGMPEIVKDVEDTELFDWEEIPDVSAQRE RITDYLCWEGPTIPKPVLEGRVFK XP_829377.1 MARGGVVRLPSFIDVPPSTETDREGGRSCFGKYGRTAYGGNPIV QQTLPSTFFYIAPQYVIPLFFAVSFVFIPISITLFITCNGHYEVRGNYQHIHKYQYIP SDPKVNINEGIRSFYVGNEVHRQGTRTRVSFKLEKPMKKPVYLYYTLGNFHQNFRAFH EGRSLDMLRGHRSIIGSYPECQPYERPGTINKAEKTEVKVVVDGENVTLKYEEFLYNP CGIAPWSMFNDTFVLYRSRDVSSAQNDSVKLDEGAELICNTSDFGPTGEPLYQSKTPN KCKKKGISWPADEKIRFRPLERDKKLWSLRYPHKNDNVYLTNGWYADEPGHRLTDPED YDMQVWIRAAFLSNFSKLFRIIDEDLREGNYFLDIEEFFDVTTFHGTKGYLLRTSSMF GRSGTLFAATFLIVGSVAFVVGVAFAIQYCMAKKGLGNSLPQPKASWYTFNRTGLDIQ NYFQLRTKRYEICPQSSDDE XP_829378.1 MPLGSTNQRELAKKPPQDSTGSLVSWESLTASPKTVTDSEGQQQ RNTGDETTQSQGCFHMGLVDENVSVSPESSLPSELTECSPASPSASPARQHLETTPPV GTASSSSLEVCNTGKVMRYLEASDALRQLDVGVEARILYLQSEIQRQSAIHEEKKAEF DKKKVLHMHLEEATRRLTRQVRNLDNENDQLRDRLAEVEAAVKKAREATAKRRGNTEG NKATGRASDRPEQRCAGSSCANAIKGGGRGALYSVENILQTKINAYNRKLRLAEARHG ELLDELHIALARRKGRVAAYSRHVSFSSRANGDGCSDAEDLLRGRCLQEAEAVRLLEG LINSRLSC XP_829379.1 MTYKHMANHRAAKNNKNGKEKSHKDEPATVGTADASPVSPHMGR SDGVEESPMRHTSQSNNTDNNMRKDVTRSPNGCDCDEGSEIAWKCQSQVERALAMFLF KGTARSSPADDVPVLWDVGCDALVAAKRPVMYLIDFFTRRRVMFVFLVIAVLLGYHNF QEEISSLTDSFVVADADRPGVRFLQNHTMRRKHPVMIIPGFISTALEVWQDVVECTTS QAYSSRFRQRMFGPSMLFLLATDPACYMKLFSLDKGTGFDPPGVKIRPDMGFGAADFF MPGYWVWAKIFVNLADIGYDPQSMGISSYDWRLSPRGIHRRDGYYYHLKNYLMYLYHK NEERVVIVSHSYGSLVVVDFLRWADEHEAGWTNKHVANWINIGGTTMGVSKTVSALLS GEAKDTLALPGTARAILENYFSRNLRTETFRTWSCQAAMLPSGCEGVHPQILRLHNGT VLPPKEAIRLLTRRLNESGHVAVVKQAREVLGRFGKRPNLPKAPNTTVFCLYGVDRKT EIGYVLGEDEAVDDTYNEGEHIVNGVINGDGDGTVPLLSLGYMCRAKNGWKRDVGRVI TREHKHSSGSSMNLRGGSSSGDHVDILGNHELVWTILKVVSGNAEEGELSDRIYSNID EKIEQSGDCLLMEGQ XP_829380.1 MRSKTAAPSVIVTPDRLHMTAKRKEECLVQITNISFEKVLFRML TTTPERYLVKPTKGVIEPSASASVLITLSPTTARGEDVSDVNATDDFRLEYCLQEPED CIEPRCTNVPALIKEKKQQDRRLVHSKTVRCTVDLTAVNGKWGEVRLRDDGNGTGRGS KGKNVISAVLNSRKREEVPGQVKASLAGQKQAGGGNSLMWIIGGAATLFCWWWFAY XP_829381.1 MSLFHSYAMRDVVEVEPVYLPDGEVKRQRNTTGEGSPDAQAYQC TVDDILMHRLTERYVGRIVPSLGLCVAIHEVAHYTSGIVRGSSASAWFTVQFRVCVFS PTPGARVRATIGAQTREGIFLSLDFFQFVFFVPGDQLIAPSFFDEGNQCWMLRVDDGT DEDAVNPYEKGDEVVVRVDRVIVRDPVDLHRAEGARGSTSNAMGNADGTRSATGGLKD SGREPQVEKWPMEVYGSFVGTGLGPVVWF XP_829382.1 MSENDIADFTIDETTLSSDPTRDCGLAAQNLSAMDLDKMSVETF EVMSRQATINIGTIGHVAHGKSTVVKALSGVKTQKYHREAVMNITIHLGYANAKVFRC DSCPVPAAYHAFPSSQPDKTGCPTCGAPLTLKRHFSFVDCPGHDVLMATMLNGAAIMD AALLLIAANEPFPQPQTLEHLKAVEIMKLRNLIILQNKIDLVGEVHAQDQYHKIRDYI DSTIGLSAPIIPISAQLKRNVDYLLEYLCRMPLPTRQLNCPARMTVVRSFDINKPGEV DIETLRGGVAGGTVIQGVLRVNQIVEIRPGQVHTQTGGTFSCTPLRTRALTLKAEDNS LQYAIPGGLIAVGTTLDPTLTRQDKMVGHMIGEEGSLPQVYAEIEVQYYLFSEMVGQS KQRDRNAKRVQKLNVQETLQINVGTLTAGATVVSITKSPDIAKLTLVTPVCCTMDEQI AISRLVEKNFRLIGWGTIRRGVPVKLN XP_829383.1 MHPYLFICKEGNNNNGSTTNLKSGMSLSDRRHTPLGRNLSESTE LSQSLSSRSGGSSPIPRPNLDDITRRLLEENEGMLFEINKLTRELTDERAKVSGLHET LAERSAELELMKEKLSDATVVATDDTGKPINYKRECDGLAADNNRLVKEYERVCTELE KRTEEIRREMGDQRDEAEGKTISVQVEQFNREITALVPTVEHIVNSLKRCVVTGGIAE ERILENAQKELHTMRRITDTVRRMNAGDETCVKGLLTQIQKGEQLTAPGDVAVVIRWM VVRAFEAGLQSAEGNLRTLVDILNNSKGRYTSENATTGSGEVPASGISVRQMFRLLKM XP_829384.1 MNPGNDLDVCVHSNGYAKSTAESHDAGSHGSDCVFHGEDDAHGL VTGDASPILSSVRTVDHQGCSGYSYDGEYINGQSERNDGGRSGSRANPRMRSSVTSLP SGGKSSTRPSNQNIDIVTQLRFEAILSNLRASGTRRSLSVGSTRQSSAGRKLMLDYLM EREFRRRDVRDRASVQAAQGEEVLVTEELKKEKAALNRYVKLENEKVNKVVQHRTVTE SRLAMARRRRMQMERDRQYKLDGLREQWEKRLELSGSRTVSTARGVRPSFKI XP_829385.1 MLRRIISQQTTLIRKPLGAALFATSALRLEAASSSDADGKEVGS SGEGNRATGGKWRRPSLAQQRARRAQLPPAFDVVHWNDEDISRGHLLRVLHRDTFVVL DYHRQARMLTEEGNKAERVVSVMLPAVYTARFLAVLEGRSEKVEVHSRYTNATFTPNP AAPYTFTLKCTSTRPAQQKQQVAGEEGDETFEWTVEFDVAESLMLQRFLTQALHYNTG FARTSV XP_829386.1 MTTLLDPQPQIDPSQKAMMVLKDSTITPAAMNVVGGYVMGFGFS LFGAMISAESTTQRMGTADFFRYSLKTAHRLGFSFAYFGFLFGGIEVALEKRRGRKDV WNATLSGGLLGGVYGCRYYKAPGLVGGTLGGAAVSLMLERVMDALGLAQR XP_829387.1 MAKVSIDPVYLTFRNDDASMAPSCLIDGDPTTFILTTGGFPQDI IFSVGSVASANISGVALTLHDAKDVLVERCTSALPTNFDVISKLSLPRTGGNEKQRER ITVDPTGDGKAVRYLRLRILSGYNQFVGIFEVEVEGEESQQRIAVLESNPEVVM XP_829388.1 MPPLNSRATRELVKRRRTIPGPRSLHRGIHYHEATEATETFRKN PKTPKQDHRDLLVHHQKINEQLQDVYARTAALHAPDATMEERIEESWRQFERIGGKRP ASVGGCGSRSVVNSGSGGGAPASPHTRTYDHLRNNKTGVGFAERLRLLAGEKKDLRQR ASWERETHGEAVDYTQGSALHAAKDRRIALFQRRQLKRAHLLRRMGDPTPLKQSGRYD LNSGTLRVFNKTIRRVQREVKHDERIREVKVRKGSDKSGSIWAPRGGDENPNRPSQGI IRYTREFDLGPRRTGLGKRRKGRGKR XP_829389.1 MRIVRIQFLLPSEADALIELQVTVASLVLAVIVFVCMRYIRCLW TSHTPKASAAPSVEEGNADDNGNGIGLLMLFPRLPPLHEVTTTLERRLPAILQPKLGG EGGTIGPVFFREHIVMREMFQNQSYRASSPRSTQSSHRGEQSPLSRREPSRTVSVPSA VSESFARLPMSRNASPTVQEDGRNSSRKRVGQRNADTAEATTVVDAPAVRRDDNRRIF GSLGRVPVKCSDVAPTQDTVRNGSDWKWLAQQKAAQLMNAPSTLSVVHGVCDVRYIKV YDSGDEGQSGGDGNHRGGILLRVRSRAITASVLLQLVVKCGLIQYTTTSPPVFSSNIN NNGSDSSNYNEPSTAMRSKDDSHECTDHVGEEDTDPRKHEHTSACVFLFDSHTLTGRL LRGLFGFTAVVLHALHTVIALLIKYVARGSGEDINSDSAGGQVRLFISPFCSKILLQS AADCMNEWIELRAAGSPEVCVSDIAIALLLGAMRRQRVKHRRRLTLRQKAVLTGNRGA LPEALSRTLLRCCRFLERCCAISCYLAGSPRLADERIRHPLHPRPHERVAETMFTAVV EVEAMSLSEYGDTNPPNPKDWVERVLKCTECRLLTAGTCRQPLRTSMDIVTYSGMRDR ATSCHFCCEQMEALYCIEPLYHFPACLPVVESSPPVQCHAITECGDALYQTVWLPVKE CPGDEFLEDLAEELLVMTKNILKMCTDDVLEVLRLTHGR XP_829390.1 MAACDVTLVSPNGETVSIPAASAWNHIGLLQRLAELDESGCTEM PEIELGFSTEVLRAVADYLEKSSRFVIDARRPLTGSLRDFVPEWNLDFVRGAERREIL MPLFECACFLCVVELRELLGAYVAERVNEIAKEAPSIMEGAARLRTYLQLENEWTEEE TEHLEQEMRYAKQVDPFAY XP_829391.1 MPLIFLQTQDGARIAVPEEAAQLSGMVRRVLDSWEEMYGFASPG TAIDGDTYVCSDSDSERTPDRIGDEDYDGHRSGMRSLNVGSINDVCEQSLGSKSALRG RKVNGFERACYGREQGSPSRNSDDTDGTASVLDDDEADNDSPSPSPVTFSLPTVCTHG GKAESEAKGTTPRGHEVGLEGDRQEKFHASGDKTVPGNSGGFTPLEHHVPLRGDKGGT SGDDSATPSTLDDDESRGSGEEEEIQAGMGGEEGGCKDELSQKRSSTKSREVSPSTNT AAHAITLGSDDDELQTSDRGAEDNKDPPSLSAEVTPETLERDGKTSNVESSPSEVCGS RMSKDEELVTANKCSPVAAAVVSPRTDEAEEGLTQEVFVASGTGSVMPFFTPNSQTNE GLVSPGSYHQRTPCMIGDEDDSTIPSGDCASPTIEETLQLETTSRPLCQHSSKGKDVS SPIEGSSRFSGERSLSPRGLMSSMRADSVSQTRKQQPNSSALGDDGDDEGANGEVEGR PMRQCMHITDKEIVIKLQNWFCDSNEIAGEWEAAGKTESRRSGASSNLEISQTIPFHS SHKSSLGKSASSSTLRPSNTCIPADLSAPLDGAPSLEVSTTSETRKIHSARGPRADER ASRPYRTPSTDAVRYCAVYLRHFATIHQQHPYENSRQEQLLPTLAPEPLTAPLVALLT PWERNFIYRDILGQTEEQVSRAMEIVEHSPNMSYTYPTPFLKVSGISRVLLVEPPAAE RVALLLRVMRAAEVLQVPSLRSLCAAWCADFIVRASYGARDHFEAAALVRRCFQVQND WSRKEMDCLKLENEWPVNEEE XP_829392.1 MAAHDEGSTAESFIKNFFSVLDGHEASPAYDGEKQQCEDTGGPL LHAKQRKTLEGILPVWVRLLSSSSLSNPQWVADTNRLRPRVSGHTRADPFLTGAMADG WFNLSHLAPVVTPWAHPRPPEAAARCRVLRHLQRRYESAGRQTFVEELLKILRERVGG MRKTIRREDLTYAMEQIVAVTAGDVRVKEEGDDKWQDDRKPTEIPVELLADVLFSEWC SVAEGDASFTSTSVPLHVVEADLNTYKKADGIGCDDRGKSLGVSEVNYNSNNFSATVG MLSALACASPMTSKAGPQADAKQPLPPDRSKKRARDYDDDDDDEWEDDSEDGDEWENT STTAVGGCAFKTFIANSTSTFDVPLASAVLSLYDVSSNTDGGHCGEVAPHVTQARCET YYRRGQFQWFVLGESKRHRSILESKVKNR XP_829393.1 MPKRSRRSRSEAVGTPSSTDCTQAGMVVTLSTLSTQKEEEFLGE PVSQLDLLTPLVHHYMQLIPPSEVTYLNHSGSAASRPHAPGNGSLDGNTTVSRSTRTE GSDTSTITSQQVGGREPAPPYRFEMLKSFAIPNEVVTYLERVPLLPPTQGAEDETGNN ERVRGESFEAWGNVNGTSSSAPKGRHAGETETLLVAALRRAMDVRLPPFADDSEFLMA HEMRYIWRRWVEGTSGLVGCNEHVLRMLMTARLDFCQQCRPCQSVVAELYVQHTAIRL QKMARPPRIIRLSNKLSLSQHETAALTYLVICHSGSVWPQKCSKDSTTPGTLASRTGL NPVQLMHFLADYRNHVKQGVIATESKFKTTFMESRVYMPQEVIAALVGDNLPEDQLIK LEKTALADVLTEERATIRAKFAENGVKEGGEGNAAETPVCTNVRKSEKRAGSNNGWGD GDVNDDDDYDDEEMNLHTLSCCSGSRSPRLEAPGTQSAVAPSGSEAPARDLSAVECRG GSHPQANFHSPTTNNTGGLTSPLLAATAREKSAPGGHTDRMDIEEEGAKPYASDIEYM EESFKLIAYTVRLHGAESDMKDEEDAIFVPKNKVEATMRELHGKVRVKTAVHLARTEA TLRCGTFLPRAEALSQRLQLSDLEKRILLLMVGNVVSHDVLIAINGRYVMRDGQRVMT VGYILFVLCSTLRERVSARKAFYLSGPLVSNGVLSLSIEGSGRSCFNTDLMDYIVDID RKIVDYLMGTESETAEMVPGSRLYQPNVSMSSVVLPKSTTDLVLSTIRHYGMFEECKR NCGFGEGLGTGGSGLVFLFYGPSGTGKTMLANAVAHELKKRILLVNMLQFKSEAKGSD VLRFIFREAKLNDALIFFDECETIFEAREANPFVTSLLAEFEKYDGIVVLATNKAQVI DEAMNRRISLMVEFRLPDQQMREGIWRAHIPSALSLHEDVSVRALSLNYEISGGLIRN AVLTALSKAVARERSPSPMLCMQDLEEGARLQLRGFFLASEKPGKTVTASYHTPKRSL SDLVLEPETQQQLEGVARLAKGRTTLFAQWGFKEEDCADNGSLYLFHGPSGSGKSLAA EGIAYECATTIRLCNLAEHMLLDKLEVGTVFSEALTLGAIIVFDQAQVLFNHSQQGAH VAKIIHYHSKQFPRPILFLATVDGSGSSFLDLRSTPLIFQQEIRFSLPTQHLRRILWG RALPENVPVDEKGIDLDELSKLSVSPKLIRAAAFSACCKVATLPAANRLLTMELLREE VENMKLKEMQHHSHNSMFA XP_829394.1 MRREALGASKFTHDPVFPHSLQSFAHSQMLHTDPQLQQQRVQVV IRGQRFESTVEVLSSSCALFRCFFRGVADRLKGDGERNNDTSAYSFIDCQTCYTRAAT EVNALFNSNKGSTVDMMADVAQVEWKEDCCMWRFVYTDKKMVPEDVGVVFVYIRKLFH WNSNGAEEQQRQPPPKFPVRWDEMPYDAQLTMARVVCTFGVEPLQHCHGRPTALPDSS GKTNDVAHTHNQGPNGEHSGQNNGRGGEQATPWEKAEAYLRSRAEQERARTEANADAV RGQHPSVDDEDLVLVTVACSRCGVTGHTDHNCRY XP_829395.1 MFILADQLALPLPRSPLFFDVFDFSLEHTAMSQEAGCDTKGGGS TVTSVTAGTSGKHEETPVVTEDSSFVYACRLCRRILFTHEEIMPHSADSSSKGPKAFG RRGAPKQQSDQQNAGVCTSYFLNPDVSIWVAAESREAHCESGGTSVLPDTVYCPNNSC SAKIGTQSWVGSQCSCGVWVTPAFKIHGRAVDKLQSQ XP_829396.1 MSQNRQLLYPREEMVSLVRSLDRPQENGLFSQDVLLQYPELAES YTKVCPNRCDLATAADRAAKGAYGYDVQLTTLKEDIRLMVNNCILFNGAEGAYADAAR TFEKFAMGKIDAYISQKVGGRRLSSFRVASVSVPEKHSTGKRGRDGESRERGPEAVDN DSSRRQPGRGNGIATSEDDSNRRSAELIKLVDSLNRREDDGAFAVDVAEAYPELKSAY EAMCPLKMNLIIMKERAQNGYYLGQQHRRRRTGDDPTLYMGSSIAESLTSLRDDVELM VRNCVNFNAGVVEWERRAASFHHFAHKKIDDFVLRIDPSLRGTRTGVEVYVQEAKKMQ QSQLKQKIEGSERSNRGDVGAPENVAQSISPPSHTTATPMNPPRKATIPGTARIPTLS AHVNVVNTVTPIVQPTALQPVFNTPSTLRRRLISDHLHRETLHARLIHRLENDKSGTN GVPEIDRSGDAPQMYEPALSCRAVLDAFIISVRGFHKAQRESQDFVNPFMYAQQEENL YCDYVTLIKQQLERLFLHIVLYNREKAEMYDWAAAKAAQMAVADASLLPTAPAVSSHV SCCWLDEAHLCYLVRFLQHLPQLLGLACAEVDTAKSDGTGNAQLYLTTVEQGVVGKIA KITEELLSFIARYEEKITASRQNSESLKEQAEAPAL XP_829397.1 MWTPRSDGCTTAERLRAARRRETAKLYENTYELRRRGYICDESG ATNACHRRALENKEGNRSGCVTEEVADIRHQEPAADVMVSIDEGGSIKEGECQNVEGP QGLYTTGSFRRTSTVSVSTVEQPIKLLDAAWSRYDTQQVPRWSVSGRKADKHRKNRAP TLSRPSSGPFVRSHMGSASSSKRVGAAPSTALPARLNCFPHVPNPSEGTSVPPSTSAT TVSLTPASGKVGRCFTPSRRRRQSCCQPRGSAEVQDRDRKRATGVVTLTGDEYMRVQQ IISRV XP_829398.1 MGGGCCRPSSKSLKDSKSTRLKQQCPPAESKRGQLSSEQSSPET SEDEGRCRSVGMGVPVFLNIYNLQNKVDKTTYNLNARIGLGIYHTGMEIFSTEWAFSG STRPIPGVCGITCGRPREMLPKYLFEKSKLLGYLPRGTSIRQILAIVGKLRPEWEASK YHMLRRNCNHFTKAFRDSLEAEFPEAGLKKIPAYINRAARFARVLVPRAFVPSVRQPI HSISPAAERANPENVSFLRLAENKRGSDSSRRVPREEEGVIADAKVLRTREELEAMTV RNLRTLMWLNWLSLERCRDKRDMVEALLRHQMAQQQQQQRRQHQHPHGEHRQRQQRSE XP_829399.1 MRRCCERMMLTTTGIVFPKTPLTNYFPNFIVTEVRRVDHPPSPS KSVCVAPFSCSSFRYKRQHTLFSFTYLFIGSSTHLSAIVVSILGSSAYSYPCPPRIKA NGERRQEEYRTCGGGVDGKQVQYRWRSPVAQLYTPPLPLQGSRQLSVKRRQKL XP_829400.1 MIDWALTFLPGAAQGMTTVVLGQPLDSAKTRAQACGPLAARSAW KTMLDVAREEGVRSLYRGTGPPLIMSATKRSLQYALWDSIRAERSCNKPPRATHSTPM ASHPFRSLHVNELLRSVASWLGESPFRSGACAGAAGTFIGCPFHVIKLRTQYSTRRST RNAWVCAANIFRSEGIKGYFHGFGYHLLKDTCFAGCYLGFYDVNRRWLRAWCTSSTGT ESPGIEERLPTEWTFVAGCTASMVTWALLYPLDTVKTIVQARCVGTLAVVELLRRESP LVIYRGLGVSLLRAGPISGVSMVVYEYVKSRTDRWQKEWR XP_829401.1 MMSSATLSDVETLENIPRGAPIGGSGIVSGTASEGSSRGAERST VANVSEDGERGRREEEEDRKPLIMDQSVIMDEEPYISPAKAKKISWKCGLCGYYVLAM DHNGNPLELTNSPSGEPLPMSCPRCMLLHHDWEPAVPFDDFNNHANIRSKQSNSYVIS DQKSGKQAGFVPARTPAERDLKKTSADITRILQEVEDRWRNYAPRESPTSREQGPKGR LMAYYCDKCNRELLRMDQYGELVPMVSDKEGKPLPIVCPGCKEEHSEWVVRPFTVTR XP_829402.1 MPPKRSKPGDVAVDKRPPGLLDATVQLASSVNAPSGMRIGHISF IHPANGHLCVVGGCDVSSLPKALTLPHEDKSALTMPFLESWNTEEQMWIAQRDEEEEE AQRLHESADKDSVGEGSESAGSSDGQSPVETCATFPSNGLQDLTWPTLAGIALQWKTQ HEEPAVKNPPVIQPAGTLMELIERNKRDPKKEKAEDRESAEAPHAASARASAKAPVVL FVGGWKDSKYAHRSKSIDLGTGSVAYIKSLPSSPVMASSCSTATVVKNLVYVFGGNNS AGCTSSMEKADLTTNRWIERGVSTKNETGGSKPHPRSSHVAGLLLERYIVVYGGRQAR VNTETSHGKAKKASKVDPRASIVIPSMEFEFCNDVAVYDVENEKWVVTSAVVNNMGPA PRYGHAACVLSPCELFVHGGIGAGGQILSDAWIIQLEEDAHGVALSWVKIRTSDTNEV HTPSRCLHSLAVGKGRRVYVTGGLSPGEDVVDVCMIDVKGLADVVPGQPVGRKGERLP TSAKRTASKLK XP_829403.1 MEQGQPATPFAGLASGVAATVTNILGRLDIGGNDRKVRSDLPPN TVFLNVYDITVANRILYYTGAGVHHTGVELYGMEFAFGRCEEDTGVFQVEPKNTPPHT FREQLVLGTTQLTRGEVLGLVQEMKGNRERWSGRSYHIVKNNCNSFSEAFAKRLLPPD VRYDQHQAREKKDGSSSVVEVYDNGEREVVRLSGGQSVEVPVLMPSWVNRLANTAERI LGEDLVQKIDDIDRKAVR XP_829404.1 MDENTTSFALNVSGWLIPDIRRHVFVLVWCCGVPVHVRYIIQLL RMSSVPLEMMMSSSDEGSVEKVEKYDSKNHGAPHSPSESELRSVALDIAFTQVGSDCC KANSHVVEACLSEERWAEVRSLSCVATSDTDGGGTATRIVSSDPTVNGEVLVLLPPGE VPQAELVSAYFTALDQARFFERQYKMHVTCPLDGFEWGKTERNEVKKHPSLGSANRKA GVEVIVIGSSDDDDEGDQKMAEVVVLDDSDDETP XP_829405.1 MTEYGPRFLLLLGSCPGEGMWSHANSYRQLVAQVQEAYGSNYDP IFKYKLPSNPKALSPPNGTCDIIIDDDDDFNIWLYGYVPIPHHRDVVDDIHHLPQPLT EKMYVFKKLSPPASLVHFSSSILLPPVHSSKPEDETGVSSLANSSTAAPSPPVLHADD DVVKLPLYPLLPPLLLVKLGVRVVLRHSDAEEAILLSSRTVSGAGEGHWALLLRKAQR AWGVQRPCLRYLDLDQGFTAINIFNIRDYMCWSTGVGRERTELIVLEHLFRDEALMKQ RAHKLELMKESELSRRCIEERAEEAFGSRKFSCPSHPYTATTVATVRGVDCKLFGPSF TTDGNIPPIYEKGEGADECSLQHHRQLVDKLRRSGLF XP_829406.1 MIEVQLNSFNLLPPEYPRRLVQEEENHRAVAALIELAETAIATA ENYSGYVDSRLLPLSSRGFDLRSAASEMCERYKHEAPCGWTEEKVMRFCEQEVTREKI AELLSRQPLDVVAVESILSTLRDSTVEFPRGRFLLLRENLNILKPHQPRDIIRDLSEL CGALYEIQFVDLLGKMREELAEFDPPLDAAKKKVQDAIEAHKRAVVGGDVLEVERTHR QLIAARYELVEVCAQRLKAFLSQNLENQEKGFIAELQALERDSLEALQQFTDYHSNQR DVIQEDIKKCSDKLLQEGATHEKCLEEYKVKEREMKDNLYAVISAKQKLVEEIQRKAA ELVQLTAKQREIVDEQIKAKKEEERRITTYNEFANMGTQQKQRLLKCLEYCERVLSVV PGIKSYVGEMVKRLPWQKLRDARNEVNDTEAEGFMEAYDPFVECCGELTVKKMHRHDT LSRQSRLVEHNRNSSMESLDPNMNNYRAELEELIEQMKGVTGVINALNATQDAGEQLF LSVEKAILEKYERAATPFVHPLQVHGVKSVEERDRFVNRSMQYVEDEERKVLEKKSVL ERMRRAVEGEETAVELAIRDIPVGRA XP_829407.1 MNPSKVVQGTPNSVEWDPRNPPDTPFFTETTAVERSRIPTEYIR RMEEATRLYAMHREGRHALHTACQIASWTVATQCCWLCVGVWLTVRGYRHANPAHSFV SGMTSNKVFCRVFTPIPVLGLTMMGVTAAQLPRDVKVLITAREGRMEEENCMKSAFDQ CMAALAEGRLAMGKEGILTA XP_829408.1 MGSGFVTRLVSLRRRKRLLFHVVVVAFALYILSILFMLYADVST SKNSASSAATPPSTPGSVAPSREWKPDELGPKITEYSTRVVGPQERDYILEVQKAALA EAGRSGLRAVTSRTLVDVGVSEKQLVSGFLTRVYNSDSAKSGPQVEGPLQLMPYYKQD SRRKDAHIAYYDGIIDLDQNAFAEAVENHLFSEMLLLVFSDWDEATDKYIALLQRLSE AMNPYKEAPQGSWHVLLNSILSKVSENQAPNVVTARVNAFATLSTGGGTGREGRNHLF LWPTAKSEGPVQVIAAIRKADFGEYHFFGSETLKMTLHSPSLFFCSGGKKNVHRYDGP LTEKGLINFVHAHTSSHLQLTKKEEIDAVLGKYDRFLLILCKGSGYNEGSGTSPMREI LLENDDIHFKLRTKIPTFFVQEEMMEEAHSINWKALNIIETKELKLESLKFLVDDPQA GVTADFITQLHAFPLSDDTRLLLLDRMVPKGESKASPLDHFTGVALRFPAPSGKTNFS AWVNTVGTRLVDYAEDMMSEKAYLVPVSGLNMQHVYERHFRTSYLALKRRLRQRQGLT SAHGASKESLEDRGNNRFLVLVVLPPTGSERATGCERAARRAAGTARRDTNVQFASAA FEEAEELSKELRTVIWRDFDEKMSVTNCEVYVFNSDGDVRGVDMGYGELQGPKGGWGQ LFHSDVGGSVGSPKHCMPAPRAPSPSVMYKALRGLRDSISKETNADARVTYRSILVSA VKGVAPSYLWIPEGESVPLIAYNQKVEDNRCEAAKEESVFLLLIHDSSCAATANHYKA LRLLGNCQKKGVLPSSVKLLEYDISDHYTTLFTVEKLIQPIAAQVDVAKRTALYERLQ PLLQHTASLHPPQLVVVNQTHILSTLNTATYYIQHSVSGHKPLKNGFVRTVANMLNLV DSGIHADRIASCMLQSLEDDRAARKKYRHTGKPEQ XP_829409.1 MSEEDGEGLEGEASVQKSTGFSLSTLKDPFVHELIAENEAMLAE ITFLREKRHGAERLQKQCVQAAEEAVRQQLVAEEANERERRQGNFFKVALRGLHDDVA ALREENTALQNIMLTVQAELGASEETRISTVLLSPRMTATGKEVTSMRSSVVPDVESK LLARYSTFLGNRSSGERPTSPTRTANPQGRKRPRPSGGDWMFTPLDGSGADRGQNGEA HST XP_829410.1 MFSRTEVDALFNEALRVSQRSLLLLNERSRGPPPPQQQHLQSSS TVGSSGFATTVQELRNLADFSAFVDAAGDVCQRIQKESRQPSSTMQRRRQNGATYPLL SRVVEGLVGVRRVWNGGVEELWSSGAALTLLLLRAALFADWVHGMAKAEEIRTTLCGV TENASAFPLREQLRREVDPLLLLLRKVREGMPEEFVDQFDAEVIRVMCRLPMSVNAGR EHAIAPLYSLLLFPGLIPYVALNAAHPLAAMNVVAPIESIATGLLQVYLRESAVEFSR ACSTLVRHAVLVGACVEQGATLVGEIQKGVCFMKQLFDALLVSVERAFSAAAAPRAGM TEVFCSAFAGPFRALVQMWVSTGRDASPVVPANELRTLSHVWCTASYRALIVVESLGH AELGVLSGCGSATSQQKRYNAMLQQSRGKITTAILSAVTEDRLLLFEETAARSGHGHK VFRICDAGVAPASGSGNPCVFVYIDNGTVYMKVGRERTFRRANSVEEVFSIFTQ XP_829411.1 MTHQTHAQGANPPDNAADFCGADDITSPASSNHHGAEHAKRTPV NREYNRAARYRDCSPNYNFEWGSMTEEMTMVGGTEFTERRGEIVVQDDEESIAFRFTN TRKDVLKFRACISYKTHRSTKNAVLPSQVGPFNDEILSVIFLYVSLDMREILNAGQVC RYWRFFANLAPHWTYYNRLDLGRRLMQLPRYLQKMVGRPRIVTRDEYFRERQKAAEFD ERATEKSSTQHVRWCIAIALLSAAACAGNFFFSYYVGVRFAERYSDAVLGSIAFLILL GLVIVQVVFIIIPLGTGSTPGEKQNSMRLLAWAAFLLLTGSVLGTITTLTTTRVESTR QLLGAPVVELVPGKGCELVDLSREPAFAILPAPLSDVRWRPITTDKEEKTFLPHCASP GAGEKEVCFVLLYFDELYNSSVFSNHTQLVISKHIGTRYALSFDPFDANSSSRWCETG KRPQTIAVTMPVYVRLVEERNRNYFDDSYLDPLRRPGRISSISYQCSVKYPRIVTEDP PGASEIWYKSQVPWRQHHIPLVTDVENTPLRYLEEHNHFLHYSYACYIAAALLWLFLF VLQCFLRNHALKVLGLATTCTLLVMNPVALLVSGVLCITLSDYYFICNETTGGAMIGT GISLTAFALAAYVCFW XP_829412.1 MRAVAGGTQSFFVAARALFFHFFFSFPVFAELLGGEPFYQHSEG TAGSPASLSRRVKMRCCRTMHGLGEWSNGLSILSDALTQVIESRGTGKDNVLRAPLRC VVASRSICRGEQLCVVPESHILHGEIADSVLQHATAGGNFTSYAELRRRLATVSGMPH LLSTRDSLLITLALYTLRHGGVNHPLTKWVSSLPPRVPPMGLLLQLQEKRASAFTPLQ RRLRAGTRTALISSPLEHADTDVATVELMLQAAESCGIDAVSKPQVEVVATEPLIAAF CRGKRHPLTQRQHEANLAKSRGSLSAAQQQLVQLETSVYSDILTPLQRCMFADNLLPT PPTSTTNQPGDDDAGGDPKTIEQLRWSHFMMRSRAVNLHFIPHRQQQRAQPPKVAVVP FLDMLNHSVRSHNVTYRYRSGIGVVVVASRLIKKGEELTLNYGDFRQRGCLFHEPSCC AGADVIDGSAAMRLIESRQMHEWDNAADSSLDVDHPEDAPFGQGPERQQQRDGKSNLG DQSDYGEEARMEATWLWRFGFPRTEDEKAYVASHLWSKGLRRRVAQLTDVRRRGRPGE FVIGVPEGLQHLREQRERLERERFGGVKVFPPQNA XP_829413.1 MSEGRLESLAKLSKILQEKGEVPSGLWAEAGLKVGSRQKDVEAA IKAEKKSKSAAIKRTEEELERAAQAEEARKLGVKVEELQDKMSAMEKEFDINNKKARE EERRAGRSKKEKQREADYGEYDMDTEHV XP_829414.1 MCMPTGNDGTLHFERNTWGLVPALFFFFYHYCYLFCYFLNPQPF SSRTALTLFPEFFPSPVYSEPPRNDLNILSIPLFLTIAHSRRKGLYRTQLLMQSRVVS SAVMFLGGIAGAVKSLPAFVTSSFGAIVDPEDGRGSAAFAEITALTALHHMQRLMMAD EMGRSILKERPQVTDETLEFAKTQPEGTFGYRYAAFMKRNNFLPSGRAPILHVSDPTL AYVMLRYRQIHDFVHAYVGLGRTIEEELAVKLFEWQHTGLPVGLMAVLGGMPWLRMDQ ILNMGMYNEWARANAPRQLHGKRFVSCILNVPWEWYLDKPYEQLVDDVGIVPLDAFLK ERKSGGLHDS XP_829415.1 MMKNTVRQFVKEITYLEVWEHFRRFGDAAGPDSVKCRLLCSDAV ATQLNERIRMRYLLEQFLAQSSTTTSTGVAGEPRRWWEGDLTRCVDSDRRVLAETLLE DGWMLMQLNNCVSDREWLITTMTFQREKSTT XP_829416.1 MSCVVHLFVHMCTPCLVFIADLLVVITILFHALFLLGTLRVHTL ASQMFNGYSLKRYPIMRSWAAFRSVRSLQRRFMATQAPTEGEVRSAYATLGVATSSTF EDIRRRYVELAKKHHPDVSGEEGTGASSRMVNINNAYATLRRLHQLGGCGMHQKNNCA SGGVRASSEHSYYAPHDEPYQPWHEEINPTFYEMMWEEMHRQAENEAFEQASPSRDSS WRHHHHHQQRTTEAGRGQKKAKHGEKQQHAKGGQTRKKTTTWPDADVQAMVNMYQDGK SFDFIANALGKQTTDVVAEFNRWSEDNKSLPRARQRFYGFNYYAESPEVDFMGIDDDD DFTDNDPYGYGGPGDEFSGGFVSPDNIVFDGGAIPFRGGHYMNTNRQRRGNNNHNDKK GRSSRERGNAGGRHESRRRQR XP_829417.1 MGQSTSYEELDRKRALNKFHMAALLKSKRSGKSENTFIVDVRDA FEVKRYGTIPFSVHIPSSEVRSAFRMSPTAFETKYKIRMPQASDKIVFFDQRHGRAAT AVDVVEALGYRAATYFADGYNEWSKFTQGEQEEDL XP_829418.1 MFFVTPRALATITAPQVLAVVRSKLGGSRAAANKIIVDVRSTGE VAATGLIPTAVNIPLNALPAALSDEVDEEEFVKTFGHPKPRKDEAELIFYCERGVRSA TATEIAEGLGYRYVKNFVGSFAEWRECYGGAPFDDADGCKG XP_829419.1 MHFQELKKIIIIKAPPCYSYLLNPFLQYASDILCGELQMCTSMN TSFIQVLFLPFCAYILFSFGVVAVLSHTWVGIFIVSVCAMCIFFSVFISDSCCLLFSF CNSGSFPSSPGKRERKTSFIKHNMRCEKAPALQRACHQPHFRHLFHFFFFCIMFCFYF SLKNRSPGTRRVRLYNQRRK XP_829420.1 MRRQVKKVLREKADDSMKPGWDVYQPSNDVVYAFNHYMQGSQID AEAREKAEKAFQEAVKKHPFHNNADHTVDFHGTTVFRNAKGKVCGVLIPKALPSFATS MAADVLECAVARTSLRSALFGGVSPNSGIAGYFDYRGTPVELKCRKTSFTYEHTKEWR SVFPMIDYTSAIYKAALPDHWKAQDAAVPDVVRIHGSPFSTLTVNERFRTASHTDNGD FDNGYGVLAVLKGEYSGLSLALDDYGVCFNMQPTDVLLFDTHLFHSNTELEAKEANAT WNRLSCVFYYRAALGEQPCVEEYRRRLKKAKEEKSTSLSFNHIEQKDNGENTNKPAPV YPVSLTPFSCAASAWALRGCAAAMLTRLHGLVRENASLMTELFGEPVEVADGLPRRAP EEIIPVHKHTNVQMHYLGGFSEKGDILNEAMNKRHYLDKENLQKMFGEEFVNIWTQSR THWLQLVKKEWEHQKETNPTRTRFSWNNTSAMNFAFFDLCDVAKQLMCGAFGDREVNK KEEQSFWGMFAAHLDNACINEIGMLQGSMGMHKLNVKLKDYNFGGTRYLKDMPPEEQE RRRRRRLEIEQARRRAPICDSESGDWLRNEAFDYQTEDVAVNYEREQWITPENNAKRF GFPERGVYGAEGAATGTISVLIVLPKPTNHRQKTCELPTSREADRIMKNPAAQRLLCA KPCNIGLSTSSNKSRTVLCGNIRIDKVFDGGSVGGKMYDFVIMRHLLAATTGEREPLE CLVRWTSLARYCTFVVEVDLLDRHHYILKSEIGEEYSAVSEICFSALYSATYARDKVN LRTTPCLLSFIDKSGNMLESRFKFNGSPLNTVAFVVRRREK XP_829421.1 MEGFVSLKELQKHAAEGDLWISIDEKVYDVTKYVSQHPGGVDTL LGVAGKDGTDDFNSVGHSDMAKEELKKYCVGRLSPEDVKILKASSETSTTSAFSLELI AVTSSIVAIIIYFLFSS XP_829422.1 MSHPKMKLVRRARKSIRERRMKACLKDLSSNLEKVEMRVFRQQK QKRQQQQRKGAGNHITVPPDVLRGVMNPELYHIECRLHQEACMPPPPPYEGYSQRERC DGARRRIGFVEFVTIAEMVRLGAMPGTPARVGI XP_829423.1 MVLILAIVEDDEATKVENKGKRYQLTTFAGPTAVYYDTRQPPPE PEAVKEARQDTSTDASAGSFFLMPFDGIMDASVEEGASSSSEEWNTLEVLMSDVSSRV ASRENVTIINRGVNAEKFLEKVLRVIQKAVERSAENAMHIVADGIAKEKQMQRLLQLE NEVNKPHSRDVVRKLADGDKNTKATIRLSAKLTRSLKALVKEHKFLLGPRGKATERFT ILTATHRGELIPPCTKGSCLGCRSPYQAAHSRFDSATTSLRRLREGGRSSQQSARLKR KSTLIALENLESDVNQEPIRPLKGNGWGDEMQYFVFDGASSFIALPGIPKFEDVIKSF KVDFWFKKNPEWTAPKATLIHIDDGRLDVGQLFEISWVQREDVSEGICIYIRDGTNRV LDCLLPVALNQSPGGTTSFHHFVLHVQSLEESKLNCIFDGTVVQLTIVQQENPIFFNA WPHRLYVGGHLDETNAVKNVFSGSICEVRFGVQDVDGYRPLVRWPLMASDEKQQEMTR TIPPEQLGMLKGVEPGCGPPPSCAPHLDGNLVVNLGTLGILGDLLHNWTVEIRFKTDV DNRVMSLLGVTDKQCRMQGFGIVFNAEPAFGRERYRFHEYNITLYLVDSLGACCSALL RGNEHTNFMDGQWHTLVWKCIDSEANAYSVKVDGTPQELFYLVREGPGKFIPFDDWIC LGGHNVRSYRVQCPFYGEISRCFISARGVPLATLVMNEGPGSYVLQDSSGRCNHGLLI SEKTCAIRRHDVFWYPYLEETHEEGQDTTAQDEVFIHMNNAVSLAAVVFTCEFSQSGV VREVPYDVLAGASVELRVVEQHICDARPEWKTWRALPESCFRHVETLVQFEEIVNEAL SLEKPVGHFMFVIRIGDCHVTLLNLHGPVLPPDATFNQSMLRWQYAYAVAGTKGRREI MLNHMINSVDSVLLSDTLKPFTTWKLGPITVSGKSIVTEDLVRSLQNSGKPWLLSAVL HNHLLNAEFGSSLHIIHHLSGRATADEAASIALFNRRLYIATYERAAVLIQRNWRAQM GRMEALRLANEKQVQERRVEEIAVLRANPLLKARERLSALLISLHDIEAESIPSINDN LEELSSMLRRQGYEVTHLLNPERVAITKSIAELDQNATSFVYVSGYGGRMEIRQPILV SLHSLHISISEGSQRAHIDLERGLGYRQMIQNFRETMPQPKARKSKKKQVVIMSKKAT QEAEMAARQRDEMFRWAVAETEDEEANLRMACEAEYDTEVLMIIREIKLAIESTEEYE RLYRQNEAGMNFILPCENLFVNPYANTLCEVGELMQLIFQRHMTPVGLQRVVAFDLEP ITPMTCGFACLASSTGNTLRFPYKPQQRRVFTDILKKAFDGRLPRVSAHTKAAILRGG IETTAEQRDWRSLATYVVSQMQSVVDEQTYAAARKELDRELPFTAELIPIHTCPLDSD ARDKLRRERDSKEVHVVMRFAVGSAVVQPDMFSVFKNILVQAGPLKEILFKRTIYFVL TQNNKGIDGIVMEAVESEVEKCRPQECNVGIRLTACAAGVRVNFEDDDPAQKQNITHW VNAIVMRCLSWRLPVNPLFGYRTLDVDHVAYLYEVKCTCSVRKFRRLQKQQHKEPIPI PYVRFMECEIATAP XP_829424.1 MSRKNAPPQKEIVKGATLTPAQELNADVDLKRLLEQCAVLGEFI APFSKRVKVEYQRVRDGDQPNIPFVPLFVHGNISHSDLRALFMDILPMYPFLRVIQLH HCSIGDDGILVVVNFIRTYKPMAGKNPFGVQCVEVPGCQIGANGVKHIGTLLMECETL SRCVLDFNPLGDVGTKALASALRWNGTLGELSLQHCGIESVGAEALAEGALRGSNIRF LSLRGNAIGPEGIKHIGTALGLSSSIESIDVADTRFGHSYEAVREFCLGIGKSSTLTS VDMDFNIITPEAAPLIVALLLENKQLVEFRVHERMDSNYFCMIQNSLEQNGRAMRKKK KRVKG XP_829425.1 MSCMFHSSLQLLPSNFQSPRSALVKAAMESIFCVEQVNVPPELG TIMKQYTKAILRDRPADLYKYSANFFAALCGQAAPFDKDGRFIEEEGECRTLDRHAEG GQCDVEVMDTTLPADQEDHDIVRSVLNEYDMNGEGRMPRESIPMLLAGMQKTLQLSDD EVVAAEQVLASLETDENDTIDLLEFRQMFFSSGYEQ XP_829426.1 MASTDEVVLSENRALRVECEAQEVEIAQLKNYLHMSVTKPDLCF GQQRVTLNKCLNSFPEKAWQKLIDETPEVDSPAIITALEDQLADKNKHLTACLQEISN LRSRSGDGKTKPDSITDGNTAHLLSQITFLHNEVERLEQQVNAVRAAGKEELRVMTIE YNDKLQLREKENRLLTEQLRGRKNHLADGEDMAARTKTLESQLATALSEKSSLLIEKN NFLAKIRKLEAVIKERKNETSEALQVASQEHTVSNAQIKGLQEVIQVLSDERSSLRRE LANLRSGMSSQKVHTETQTDTLPQVSSSVQTDVVPLAAKECQVDSCNQLNDPTTHSVQ SLAHMLEIKCAECDDLRGRIDDISAIHGETVSILEVTKKRLEEEAEHKRLLSDDAEKL SLQVRSLQQKCSQYAASERELHVRLANVEDEKQQLIASSFSFEREKKGMEERLQQFQR DMDQLVANKNYCNQQVGQLANENEKLVTEQQRLLRHETQLAYSLKAKDGELQEVLSAY QNAVKEAESQRDAQRTIERELEVVRATLSSKEQSIIYLQEQVSQLHRRDQQSCLDLQT YEYENDQLHRKLVQSTSRVAQLETTCEELQQVSLAKDRAADELQQSLAELSKQLILKE NECVLLQHRYESLQHDFSGVQSAYEADSRRCRELEDTNARLVVRGMLSSEHDEKFSSL QGEVKAAQVALQERESLLRTVQEQLQRERDTKERCMTELEAAQASLSEALSSKERLQQ IVLDQAATLSHLSQ XP_829427.1 MCYHHDACFLVIGNIFLFFLLPLSRSTMGHLQSTQKQEPNEISS EGRVATEHESTNIDDLIRSGRYKVAKKETLEMMSAIQDERDRGKLYPDSEVKDLKQYI IEQEGLNVGDAKRRALSQQWRFFLSCEPMQRGLDAGIIVGSFAAAVYAFRSPKNRIPA KVGLVFACGCCLGLITVPVLVVAAESYNNKRIKKLEKELFAKQRAEFLNKER XP_829428.1 MGGDPWSTGWAHQSLILRLKPPPRVRDLPKRKQAIHSKGQTPPA PPPHEVESVSPSLLRSKCKHSSPGEEGGQPNVEPCHGLANSTEGYMTSSASARAAPHR VLKTVDRMGRGGKWHRRGVGSRFFFSHCGVKPSPPCAPRFCNCRTPTGPAGATGARYR LLGVYVSFPSLRTLGCSRMVLAVPAPPWRERSARRATALLQFCYLGDGGPSVCV XP_829429.1 MTTKSTPSSLSVNCLVAQTEKSMDIVVNDDLSCRFLEGFNTRDA LCKKISMNTCDEGDPFFVADLGDIVRKHETWKKCLPRVTPFYAVKCNDDWRVLGTLAA LGTGFDCASNTEIQRVRGIGVPPEKIIYANPCKQNSHIRYARDSGVDVMTFDCVDELE KVAKTHPKAKMVLRISTDDSLARCRLSVKFGAKVEDCRFILEQAKKLNIDVTGVSFHV GSGSTDASTFAQAISDSRFVFDMGTELGFNMHILDIGGGFPGTRDAPLKFEEIAGVIN NALEKHFPPDLKLTIVAEPGRYYVASAFTLAVNVIAKKVTPGVQTDVGAHAESNAQSF MYYVNDGVYGSFNCILYDHAVVRPLPQREPIPNEKLYPSSVWGPTCDGLDQIVERYYL PEMQVGEWLLFEDMGAYTVVGTSSFNGFQSPTIYYVVSGLPDHVVRELKSQKS XP_829430.1 MTTTKASCLISSDSALRRNSIVATAPLLLLLALTLPVGNGEVTQ VNVLSLMYTTEFPVEDAVLFDKGLNVSLMARRLELKGQVKVEFIRPAAPNSPIDVAIE QGAQQSVGKLLLVLGPLGDDNIQIFKGAMEEHELVAFTPIATSSEGYGWDPHLYYLTV GPDAELMALVRYAVGVLGLQRVGVMYVKDTSFSRKSFEFVEKLMMRMGHNISCVFAPE SGFSSAGNKVSLDSEWEQFAAALPQAILLLGPRGRDSRWFVMRVAEDNRTSRSHLLAQ SGLQSFLLKTWCEALEATGAEFRTGQVIFSGTNPLACDTEYKAVQRFIDETKRYLGNE EEGSEDAGAGGLSNDTGGEMMMLGWMTGEVISAALGNSRVVSNRTAFMESLYYQRRYV VDDLVIGDYGNECGEEAIRQGAVCRCNQGGSMVYMKEMVSKTLLRPVTAGYVTLGMSR CSRESLQLNAPLNALLLLMQDDDVALRANVDWDVGASASVGNGHLTVHDRLFLHSFLA TSDAAASILQQLLSVRIVSAVFGVVSDDMLEIEGMTFFDPITTTPRLTKPRKNVIYLS PTMEQQLYVLAEYLYSNPPPSVKAIIRSKEASEISKLLRKTLLTFDAPLSPADILDDD DELGDYLPTEGDVMVIGLTKSDVGTIAEHLETFEESRVFLIFSELALLFEELRAAFRN KASAHRLVFATNLPHWAEIEMPTDRVDNLNSVVPDRSLWSPMALLGFVTGRLMESILE RMENVNPGLLADLFFKESLITVDGMWYGPYTDDCDIGKPIEGNHCIHNYGATHISVWS MARVLRSDVPLLQNPTTPSMEYIDPDAIVLTGAAIAGIGIAVFASLLLLAALGALLYF TMNRTRDNASAPKEPMEPVTIIFTDIESSTAIWAAHPELMPDAVAAHHRVIRRLIEKY DCYEVKTVGDSFMIACKGAYPAARLVWELQVSFLNHDWGTGVFDEIYRDAERERRSED REYNPPTAYLDSEVYSRLWNGLRVRVGIHTGLCDIRRDEVTKGYDYYGQTTNMAARTE SVANGGQVLMTRGTYFSLSSTERQEFGVTSLGLVPLRGVPEPVEMYQLDAVAGRVFAP LRFDREACCEQEDGTSTSASDHGSTVAELSESAQWVVASLRAVLRAFSTSQRQKLLMP ICERWNVSIPRKPLPMWGEEHCEAIMRSIGAKIGSVVDHPAATDATMTGSTVTNDSVI FISGNPEAQR XP_829431.1 MSERYQQLMKLIVVGDSGTGKSSLLHRFVEDTFSEERAQTIGVE FGSKIIELSGRRIKLQIWDTAGQERYKSVTRSYYRGAVGCLIVYDITERTSYESVPQW LNDVRQLAGPDVVVMLIGNKSDMSKNRAVQHNEASLFALENKLLHFETSASTGEFVTD AFLKVAKTGLSLGTDDNDGENGHAETLYDGPKRFSCAC XP_829432.1 MISRRSFVLARGRSRGSESWTVENLAERVFVWSPRLFSFSHRTL SSIRHPYIAVVNDMVDSGGHKKSKRVELLLGALTESRDEEKKWMRISLMFDSMLTADV VDKLRRKVSLNIDVMMRIEVLWNGLGGVAHEVVKEDGYKAFHYKLYSYLLNIDDVSVV TSTSTAISEDYAYDERGNNGVTFEFFAVSMLELADNWTRTRDTNDFVNFLTDIYERCV KTKQRPIVRGILPRCEKTAYFSGGIIRKVVEGDTVTYVKAKQS XP_829433.1 MSTSGIVRRLDEGMFTVYPYHSGWIVAPILLLLSAAFVPVGVLI IKESDSLLEVSVSYGGVNKYTYRVDAEDKYPHKFSFNGSNYSTGATTAISFKINETVR QPVYMQYRVTGFFQNYRRYRSSQDYNQLLYNPRSVSQDCEPFRYPGEVHKAAETGNVY FPCGSIAWSLFNDSFKLYKGNATSTLNDSELICDGSAFDADGKSSVGHSCRKNGIASN GDIKLFRSAKEPEDEGIWSSKGKSSSDDPYRKEGYYYGEPGHRIPSVRDEDFIVWASL GYTSEVTKMYRIIEKDLEQGDYRVEIVENFDVYSFKGEKYVVLTTRSWFGEKNHEMGI TFLVVGCISFVLGLGVIIQQWVL XP_829434.1 MSWQSYVDDSLVGSGFMHSAAIVGLADGSYWAYGGTYVPQPEEV THILKCLENFSLVQSSGVTICGVKFFGLQSGSEGQMKYIFFKKGAAGGCIYTSKQTAI IAVYGNPGDASALQQDLQKTEATYVAVNPADCNTTVKRIAEYLISLDY XP_829435.1 MLWRNISRCLLPQAKYTSFTVQSTYTLSLGKRSAPWGEEKRMPT LLIDAPKPEALSCGTGQPAQRGRRKPLVWKRRHPWFDRQWSLDLKRVKKGPSTPQFPE GVPFTQIRSFQSFAGRSWKINAGRRRKIRLSLKRGRRKLL XP_829436.1 MDSEVCDDETNNWRACVEDNLSAPDLDRKCSKYIDSFNRCIASW RTKVGYDVKVRGENEGEPPPQCAAMSCLIGACLRKNGYSFERCKLPMHYFKHCVKSFY GSEYVT XP_829437.1 MDIFSALSTGAKFEPKKHGTAIKRFRQASGNREQTGALNLVSLS RGSVELPTPSHTLEIFGVPKRMRCQETSAEGDEESRGRCDTDTSSAATGHKPLKNMSV KRRRNIWRKNELDVTGMDVPQPIEHFSQLLRPPLSLDECLISNLFERNHRIPTPIQMQ TIPCLVQGRDVLACAPTGSGKTVAFLIPMFARLGKPDRDAGVRALIITPTMELAEQIE REAFFLLKGKRWKLVQHGQTTRNKDIFITTPGRVSTLLERKLVDLSNIQYLVFDEGDR LWDSSTDNLRVMDIVLTACTYKEKVVALFTATLSEKIEAAARSVMGPDPVRIIVSGRA KANKNVKQELVFCGNELGKVVAIRNIVREGVTPPVLIFVQSIERTKELHEEIQCQGLR IAIMNAKMTHEERDETMMNFRLGKIWVLVTTELLSRGIDFKNVGTVINFDIPTTVESY IHRVGRCGRAGKTGKAITFFTEDDKHRIPPIARVMKESGSPIEDWMLEIKTHRSVRRR LQRTTPHRMIVSTRKRMLVADQRMKRQLRRLEYENAQKAEGQGVEEVQ XP_829438.1 MDLGEDSTLLAVALDGEDKYWLCQPVTGKRLGPEWKFCTCYWLY LLGDNEDHGDKPWYREDLGDILEIGYNETSRIGRYSVIDDAVKAKKFVVEEGGVSRTF FFIGNDEREALDAKFEDLLKREAALASHKPDDDGDKVQSSVKASKATAAEYPTVNSDS GPGNGKQVGNEEVFAWRERYIVEHGNRIFFVCPDVDGNSSGFRVERIVRNGMTIFLLF HDEASLPCRVSESHIGEKVIHTSIMKNSEGKVLIMSTRKPNEPMSKKKERVETPPPEP PLKVQKKESVKTTASHDTPLKAIGVNMWVDFKGKVEGVTGLVNSFPFSGEVGNKMRTS VFPGGVCVTYDSSIAAKFALKKKAVSSPTDNDMVGLLENIQLAKEQFSTI XP_829439.1 MWRFRAVSAIAPRGCFRCVFQSKAFAAASKTLPCTPEGKNPYDV LEVTVTNSTTLNDISKQFRELVVRNHPDQPCGSHEKMSELNAAYKIVKEHHEGVLRRL KEYEADTKGNEAFQQHRRSRAQDDRDLGRTGGVFRRNARAAEQASASKKARSLQEITS SWSRYREDTEHAVTSMCNRYELAVEKGCFFRKTSMLNEITVRERWLRKSYIKAVWEEV HELRGELLRRGARSSQQSQLAEEMVSFASATQRKLNEDFQRLTQLSVQSQTRLFLQRI LVALVTVVVFIKLWQSLLSGMFRNSLTVRFRQGILSH XP_829440.1 MSYLPFLAYFSFPRHSRVRVTRHICMSFIGRRSPMGSLDRAAQT AMAIELGASFDPFDGVGANEVGQVRLRWWADHVEQELDANRSPFVAAEILLKQMNEYG NSSDELEELLLATILPVLSRCTSYLGTYGDVFNKALNEVIPAIMFTRTLATSHGEVLQ RRSYAECFASILCKFQYHVKLSYSLNRRATLEDSVMTRVVRRLDNLWMRMCFRAWRAL RNSVATTKRSFQRLANRGAALTVVPGHIRMWRRHAHYVTLKEKLSKHTALNQELDNLY AAEQAAKSSHESIIQEVEEKNRLISLTTERCMEAEARLGELQSLMEETTSSLEGHWKQ WKIAINCLFGDGRDSLPPVHGNLRSDLQSIAQNITDTALLFLKEAKKRKWKMEKSTIA QYVNQMGLEKCENQQTGLDDIISTLSRVCKPVMPPLRLLDLIREDQEKYDLTIKFLSC VNGGGHCSLFMNGHTLDDDELSVEGVRDFGVKMVSHVTEGVGSFQVCPDANEDYLKAI QTCLAADELTKVHDYLSRVFFALATGGLPLNREKIERCIDNLVEPHHRQVVHALYPSQ GIKNFADLRSYLTRVSNFCLCTITTLVECIENHYDADPKEDLFSVLFEEDVVTFFEEH EKDIHRLFGMFRDKRFPMLLSKSLIKPFLVEKFNLLDSEVDTLFQLGATPEKITRDEF KNFLTVLAAFYTPSPFVPPVKKLASVIMQCSVSSKDEA XP_829441.1 MYSCKTAHTTFVHHMAVNSSGTLLASGSSDKGVNVFYRPPSEVV TGSWSLCCVLKDHVATVTRVAWCQHREHGSMLATVGADRWIYVYKINVTTQDGKVVGN AVRYSPVRGYEKDVITDVAFVPPRQHQVLLLSTASLDGWVRLYDIQPLQFLCKYTVTQ ESDICRPLGTRSGGITSTAWFPSSVDETMTLAVGCMNGCFYLYKFCKERNQFKRVYNA LPQRIESTIHHIVWAPPVGRCFQLLSLCCRSSVYIVRLNCVSPSVEDYQCEVFVWPHG AVCTAWSHSATLLYLIDDDNPPRVTVLQARDLTDHQSWEKLLSKPTE XP_829442.1 MHNSRSTIEMGFCSVHRRKRARADLVPLDNNPGHMRCKNHKECR QRTEVELVLCSVHNRRRSITQMKEVRKGVFECLPQHTCRGQFNDSGIQSPAPPSSNAN AVASSYGIPQRGSRPQQGPREHHPAPTVSVAWAPTSSRSRHDNLVGSSDWAQVDRKTW CARHGKLTSQCELVKDCCYVCYDESTCLSTALELASDLMAKGCKELLCSKHNSLRLLE FLERTPDKLGYQCVAGHRCRGTLDSCREPVPERGAHPTRDAVSSFFI XP_829443.1 MVNATPDMARYCCAVIHSKLRGEKTPEPPASITNEPSPIFVSLK TLDGDLRGCIGNFSAEPLHKQLRDYAVAAAFQDNRFPSVTLAELPMLSCSVCLLHSFE KAHRWDDWEIGVHGIRIRYKNYSATYLPSVMPEQRWDHIQAIRSLMRKAGCGEEVSDA ILNELDVTRYQESKSTVAFKSANLGSLPC XP_829444.1 MSRDPPGEGGESSPVCADMSIDKFSILTPEKQTFKKKDQSKVDG FLDRMRQRIHCTFCGGAKCKHENWESLKEKRYKTAIEGLISSWVGDDVIASQRPSTSL FRKYSLIEQFREKRITGVLNLQEKGEHASCGPDGIYASTGYSYSGEQDLMRHQISYYE FPWPDMTAPKQDIVLRSVQVMDSHVKKSGKVLVHCHAGLGRTGLMIACYLLYAQKMPS ADVIELVRQMRPGAIQTSRQVKFIHDFESHLWRLSHTFRVEVSESALDIDLFLKKQRL LLHGDHADSYRFIPMTIHLILCQLLNLTKANPSNSELSVKSLGSGRLPEGSALNDVRR SINRRTFDATSVNDVKLLSYLVWDWFKSLSFPVLTPDEASAIVAYMRQPPGERIALPT FIRTTLRLSVVQTLGMVLSAYHIICLNIKESLKVRALRCLTDSFTTVRDGVTVRGEPG TFGLLYEFFVDWARLDQGGCFDLGGAGYQDHTISGIAEESADVL XP_829445.1 MLRRVPRVLQKGERGLYFPINHRIVDHRIAPGVTVEETDVQSRY RRELRTNFATGETRQTIAPPWSARERPTHFFSLRLPSRNSVTLRVKEMHNHLLFSHQQ CAPMLVSPTKLHITLGVMTIPENSREELVKNIQQSMSETFACVQPLQLRFRGLGTFDF GRVLFIRVVPEADFGRLERAVCDIRRRVGGVLGVDLKGNPHDSYVPHVTVAKIRPNQR QQLGDTIPQSLWADYQHHDFGDVTLSTVDLCRMKGSPDGYYHTEGAVHLS XP_829446.1 MEGPKLKGAARCAAVEKVESKTQLSIAISEKHKAQLREAFDFFD SDGTGRINAQDVKVAFCALGYEVGKEELTHLLRQVGASTTGVIDFNDFYSVIIAKIMQ RENRSEAIRAFKLIDTGEKGYINVDDLRPIAASLQMNLTDDELAEMVLFAHPSATYSK ADTEMKDPLTVSEEEFLKLMNRAHVY XP_829447.1 MSVVGVSSYFLWTQKGNTVLPLVRGSGFLVPFQKLNGFYKYHVL SAAHVTCPVRYRQVYGNTVGLRAIGERHVSTRLLKLGAGPALEASIDMEFTQNYLPNV DVSSLRCKDEKQMNELGLEAVKLDMDPIEDGTELIFRGVEASEERSNPNDDGLRLSEV SIPGECKAAFVSTDYGTVVLASLKSALSLSMCGGPVIRKSSGGCVGVIVAKAMCSAPP RDPHVRSLYEDPWLDLSEHKDLRGLGELSAAFVPVCEFYGPLRRCEM XP_829448.1 MGSSVTVCCLVEVSICRVGSFRSGFNMSKKHQGAENIRVVIRCR NLLGFETERGDKALVRLDLATNQVIVQHPIGDADVFAFDAVYNNTYTQRDLFLQEVQP LVEAVLQGYNATVFAYGQSGSGKTHTMTGKLNDQEMWGMMPQVVNHLFNEIKKLTSAT RTYKVKVSYIELYNGKSRDLLSAKQGNLEIKQNMAKNFYVKGAEMPEVTNFGEALRWF NAGTDRRQTASTDLNDNSSRSHSLFTLQVEQFDFEQDPSAPIVLTSKINLVDLAGSEK LSKTNATGETAKEGCNINLSLSALATVIDTIVKGGKHIPYRGSPLTMLLKDSLGGNAK TVMFANIGPSDKNISETISTLRFALRAKEIENKPIKNLDPKDARIQDLLDQIADLKSR MGDVDLNKEDQLKQRIEELEIENADLRGGSDKNNLELEENCRNLQAQLEKVNEALVEK QKEVAKEVDARAMVEWNLQNETSHVQDLRSVAINFIRRVCSESQLKDIRAKMPQDGSY NVKDETWDVKEIRFCLDEFTAMYESMRTSSYTREDLEQYAQKAAVSLQEQAQRQLHEA VRSRDELARQRQEDSAKRAAESELLSQLKVEVNSLKDENAKLRDKIERDQERIKAKVA KSKEEYKTVAEQLERTKSVVTEKERDIERLKKMLEESGSSTPGNTQSAWATSEERANL LRMLEEEKNARKDLESCVRETNVSLRRYGVCISQSKAPATSEGESAAENAEAFILQAA SEEPIDGDLYSQFQQQIRVMQRLTQLRHQQQKKLGDMVKKYELLKTGKVTSNHVAPPG AAAQGGGVDETTAQQIKELLRKKDDEIERITQEKEKTCDKIVKKLNKSEMKIRELETA LEEERAQFTEERQVLDSENQELQKFNQQLSVEVEMLRAQLNVVKEEMSSLERAKAAEL ERWKEEEEDYNTRINEMRSSMSAYDELQKSYDRLQQQLQHAEAALKEKTDNLENNRQI IKWSNSLLSAEKEKVEQMEMMMKRQEEEMRRHEEQLRSEMVDEINKQVVANNRRLQDQ AAQFQDIISQEQEKQKSIVKKVKAARKDAQKAAQRYDEMILENESLLSKLEELKVNSM RIFLEKQEAQRELDALRPSRVAATRRL XP_829449.1 MVRDTSRHVSVVKSRPTKEAVKEQETALKRSKRSKFTPKSSHSK GNPIHRTLKYHEKKLLRKHDFMQYPQDNWHEPFCITKYHLEDREDYRRYLRLVGLIRQ LLTHLRYLPADSKIRIQITQQILDKLYAMGLINEKLGLAEVDKVGVEVFCKRRLPTVL RDLNMAPNCKIAADFVHHGHVRVGTSQIRDPAFLVPRDLEDYVTWMPGSKVKQHVDTF NVQRDDYE XP_829450.1 MSTDRSSRRKEMDFMKLMNGPRKVHPSDSVSEFWVEFCGPEGTP YEDGVWYIHVQLPAEYPFKSPSIGFSNRIFHPNVDESSGSVCLDVINQTWTPMYELHN IFDVFLPQLLRYPNASDPLNSAAASKLIHDPIGYVTFVQDHVAEYATRRVALQSIPSG FRPQGNGASSAAEVKNNISSEEDSTATPKGLTEITTPHVVSEGGEEEEYEPDAIDI XP_829451.1 MHSSPATSQDGFLLDFSLYRVAKYIRLLGYNAVCDSQLFRRDMV NRAVKDNLVLVTSSCALIEQAKAHNRTVQKHRSVIGGGKTVVAYDSDGESIYSEGDDD MREITFYELAHPTADNFFTLMVDAIRTLGLLYRRDRIFSRCVMCNEVLVEVVKEDVKE DVHPKVYEVYDAFTRCPACRKVFWGVDNGKVINYTAFRTLETLQRLFEAAMGPDLRPP RISHLCYFRSFPRRVHSTVFSYLSDADLRVLSVVVPKLKDLSDAVKKRSQSVR XP_829452.1 MSVRKPITPLDVLRNCRAKVVSVELAGGETINGTVVRVDRAMNM VLKQCIRTSADGEAFWRSRESLIRGASVRNVRMDERAVIIADAQLREVTSKSKNKNRV KAKSNDKQQGERKATLSGEKRNRGK XP_829453.1 MDSKGRGSKANALGCANVVGTAANAVTNNNGSNSNQHPYARRHV NNSGGAFVNAFLASPTSLYNTPQPVDPYRQALSNPFPSAPYTTVGHLFMSSAVRNARP NVSFRSRSPARNTTVVTSPKFAHYTTIYNIENEASPSSMNPKVRTQVYQTTTRMAGTS MALRRLVKMSTTAEECAAVSELFRQYRHPNLVPLTNVLVTDEFVMGSADVIMEYKFIT GAKSLNEAFINEGKATEGLLWSFACQMVSLMRAFHETSTPLRGLHWTKILFVPVSSRF YFSGVGFMDVMEPKGSAHQSAALMKHDIQSLGLLLLQLSTGNSNAKPEDFTAQPAKGY SGIFWLLVKACIDGTADVVTLCRALGERMSMEVAHQEGHADRLISQCGKEAHNGRIMR LMIKLNFVLESLHDFPEHSAEANNRYALRLFSQYVFNQVDERHRTRLDWGHVFHSLNK LDCGSEELVQLIGNDESNTILVISYRDLRDTLERAFEQLQPPPNEGDVQPFTVTVGTT SATL XP_829454.1 MTEMTKDVLIAACKKNGGYAAPRLNDQLFLQCRGFLRIENLEEY VNLKVLWLEQNAISDFYGIETLQQLVSLFVQNNTISSLDTLPPLNGLRVLNISHNYLT SLSGIAAGCPQLETLQASHNRIGSLKECCSLWDLKDTLTSVDLSFNKIEIGEGDMGPV EFFGQLPNVSVIYFHGNPGSHGMKGYRRSMILHLPQLKYLDERPVFTEERRVVEAWGS GGEAAEGREREAIRKEKKDHLQSCVKVLYDRMEENREVRDRLTKQWEERRAVEMEWWK EERRKQRTQVSELEGSEELSRNLLTAAEEEEYATIVKDFRVGGDAIRASEVERRKAYE QQQAVEAVRAAALREIEEEEEKEGEATVEGSERAVFLSDEDMLQEVEEEIFKVLGSVE KDPYVGRTSLKMGRAVGAATSRLCGDQSKRKSRNGIWEKFYSWEKRIE XP_829455.1 MLSFDFFFFLLLLSCLYSIRDTLHRFVEGSPCRVVGQKTMLGSL PSVVLVTGGAGLVGRAVEVVTKRNACADERWVFLSRHDADLRSMAATRCVFERHKPTH VLHLAARVGGLFKNMAAPVEMWIDNVSINNNVLECCRTYGVRKAVSCLSTCIFPERAT YPIGEETLHDGPPHYSNEQYAYAKRMIDVLNRAYNKEYGCRFTSVIPTNVYGPHDNYN LQDSHVIPGLIHKFYLAKRENKPMVIMGTGRPLRQFVYSEDLAELIVWVLRHYDEVEP IILSVDECDELCIADVAKLIAQSIGFTGNIVFDPSKADGQYRKTADNAKLRRYLPDYR FTPVAEGIQRSVEWFIANYDVARK XP_829456.1 MAPKSATKSAAATKASAPKNDPKKNAPKAAAPKPAATAPKAAAA KEAKARPAAGTHNGVYVKNWGQGSVTDATRIFSAAGKVVSAQIRRRRYAIIFFENAAA VRKAIDLFNEKEVLGAKVTVSPAKTSPKPDPHEGSSVVFLSPIFRTSTTNGQIRELFT GMRVLRIRTYHQNYAYVYLDSAAAAQKFVKEKNGTEFRGKKLRVALSTRSLQKEKDRA ERANLLSDAHNFKKDAKKDIKRDDKKDPKKDSRRNEKKDAKRKQ XP_829457.1 MLLKKLPVFVGTEFLTDAHLAIMTSGGVSIPLEMESRCKELHPN HRVPYVLSRLAASSALSTVLGKPITFSGSRHDAEQYDANLSLSHEDFVGAAVAWRKGT SNAIGIDVVDVQQLERVVKRFPQFAARFMPRCDLSALSSVDACNIERTFRGHADQVTV TLSQHWGLRECCVKLVGVEGRTFPFECFRGPRAYFPDRFKAQVVEEGSDALRAAGLNS SLFVSTWPELLKLPSGDVKPYIVVVAACPRKSAV XP_829458.1 MAPKSAAKPAASGKNAPAPKSAPAAKSAPPAKASAPKNDPKKNA PKAAAPKPAATAPKAAAAKEAKARPAAGTHNGVYVKNWGQGSVTDATRIFSAAGKVVS AQIRRRRYAIIFFENAAAVRKAIDLFNEKEVLGAKVTVSPAKTSPKPDPHEGSSVVFL SPIFRTSTTNGQIRELFTGMRVLRIRTYRQNYAYVYLDSAAAAQKFVKEKNGTEFRGK KLRVALSTRSLQKEKDRAERANLLSDAHNFKKDAKKDIKRDDKKDPKKDSRRNEKKDA KRK XP_829459.1 MAGFTETPSWPSLSCEQSSAAAVSVSYSPFVVEMSYYTIAIQAY SMTRYVVENLRLDSAVVGTAMTYWHIFVSVHGLKKVDEIVLSAACTFLASKVEHHKVR LSDIVALVFEVDPVGGVMESWRDVVGQAELLLCYTLKFNFQVIHPVNRINELVFDGNK AVLECAQRLFLLSFVTPLCTRASAEEIVEALVYLAADGAERLEVYANGFNITSSERRD GIISVMLDALVAMRKTTKLPKIDNVISARRKRLREQESTRPSVACSSVGTASTDNTPC APSVE XP_829460.1 MRFPSGSLAAYPLETYMVVPGERALEVSVCPTGSYAALLSGGHL HFLTCNTDVVVIGSVSICNAMGDKDYATHFLWHPLGEQLVVSTYHGRLLYFNVAIDVH RERCLTQVYRVNSLPIGCAASCVPLTNEVHLEGDAVVSLVSAGHHCFFACTATGLVRV LGWFKKNLLHTYDANFLSGGLHTFSGLDAACREHTSKDLCAGGTPITSIAILDICYSC QLELTGLLLSSGQFLLANSSAGDDFSCDNVVFNGVCVASAGTSRVCINPRHPLAVLAT PTGELECKRISDDLSLTVFWIDVKCVTSDEYRGSIRCMVWTDDGEMLCVGYNQSGIMV LHYSGICVYSSHVAEYPRQHGLRPAIGIEGCASLSWCGNQLLIVDPRGLALTVLGFSK VVSSPCAEATAMFTPACTLDGSKLRFIEQQHTDGSLAFSDTVLLNPRYAVENNPITYG AISSDGSVVALAGRNGFLLFDRLARRWRTMRDRKEEREFTCVAQPVWVKDLMVVFPVR LERTHEYELRVYNRVLSKGAMLVRMPLQNKPLRLSECHDEDGDVFVHLFDDSGTLLVW RCVLSSTYPHAKHNLEVSLKLVKRVPLPRMFRNPVNMMAIHPARLSAYRTTSSPCKFR GNRDEALLPYVLFVVSGSNALIALDLNAVMNRVSVRVASEPLVPLTTIFPSGLFHLWV DYTAPLDGAVLLAVSDRGVSILHLSLDTSGGVTSSLCVNEYRVGDSTDPILAPVGLSA YDGCLLTAFADVDASQQTLSSVSHFSVRTVVVPSMYNYRVLGEVIALRSKISSSITKG PIGGASVQSVSFPWDERVLCWIEGMRRNSTLVCNADYLLCTLIDRETSSLSDSEDPCS MLRLTLSLLQRYPEYHRIVVNCLRKLELPCCPVVVDNIGSTKKLFVSCLEESRLEEAA HLLHLIMVDDGEGGKNGSLDTLRQTLVCATRILMLAVSCKKVQLIYTLLPFMALIFKE LLVSDDEERLRALFSDAKGQCALAGLRRSTANLSLLLDTEQDFTTLSSPWSFSYDTSE VGMKEIRSLTTFLVSGDSGLSEALEYVTTDCLRSGRLLTLYEIVRGFSLSPYGMMELK LDEVRDPHCGVSSHGEGSSVRGHFPHLPTHGELFLNLFTGIHEEFSLTRSPRCVGNEL RRHRSSVVGAEVATTDFTLWTLAQSILYSTPGLPETLRHLRDHFAMWDECVLAINIIL MCFTDVVHQLRRHSELVQALQHLLVDERNCGYKSFIRYCLENVS XP_829461.1 MGLEIFEIDTSTPHTGVVIDGTTACREANSGWVTLRAKTPLSAG QCQWAMKVIDQGEGNDGSGLMMGLLPRLNSQQEVAMGSKYISELGGWCLSRAGDSYGC WKCDKMPFSTGCVVEFDWDAASRTLYIVSGKRKASGHIPNVSEADVVYPAFSMFYLNQ KLAFV XP_829462.1 MELDEETNALMRAIKVGGALLIEGHSGNRLRYYYDSFHDLAAQA GVPRAHAPEPLLVTSIESLLRKLRGVTFCHHVFFINTDLCCSQLVGSVLLRRGMSKNL HVLTLHALHHPDPSTLHLLKAMERRDAAAMAELSSFTVATGDASAAPLIIPRRSHDCE RALRALNFSHAALAARTTDVHCFCPQWVPMRHRRKWTDMFVLECIFPSDDIADNMLSL RKALEGAVGREVVLLSASEIREKLERAAFCFTFSASERSVVNHLIASAIDAARRNGWI LIVQTALPESWDLRERVVHLWRGARVISANGRMGTVQAFDVAQPLSQRLPSFFKQTMW PVVCLDGEACGSVLLPIASPFCTSQVSHVFDLPLRYGAFVTVEELYVTTTPEKRDFRR WIVRPSLFIGQFPLLRCVIASVSSLSQVVLTRPFSWELLLR XP_829463.1 MTKEDHGEEMVTHTPQVLNVRTTGESVSANPLRGVGLKDCGVFS PTSSTFCDTSRLMGNTGRSVENSTVTFVTGAPGKEEADRRHCRVGTIAAVALLMLTGV FTLSFTSLLSAHYIGSSVVIGTTGLIEKEAVRSVVKIMRSTVLQLPDFAHIVNAKYIR NQTSLYTVNRFPRDPRALLYSLMSLLSTFKDTIAYFKFVHEGGSYAFAVNYDVGDGGN NSVVLGAYNNDSSRASFVVYDAIRLKRLHEDSNRNWDISHDLSRTLSPFGAVARQWHE SGSNKRWIPSEIEHTGEYFNYVIPFHVGGALGACIVGLSTDRLLLESRPLVEALRSHG RAMLFDDSRDIVILNSWGQPQSWDENPSDGELVFKHLTLEGINDSIVVDLVREMEKHR DPTTDRLSDSVELTFPNSGSHVDGRLGRITDESGLDVTLAVVTMRSDFLEGVVRTRNV TIVSISVIILLSAVFSLVSTYYLVKPLMLLVSALKSASNLEIPDNADIFLGRSRIVEV RKIQGHYAKLRRQLAVLLKFIPEAILARVESKSEAGGHIRANGSATETPGESSTAFVR IMGASISVTWNAGDQQRDVALNCGIFKEKPNVFNRRHCTVIAIQVYHPDVWKSSTKYH AALIEVATEHSGCVEALDPDRALISFGAHAALPMHCRRCCQFAFDLFAKLPLDHRDSV TMLVDTNEFLVGTCGAFSRNARVLFGADHLFQLSHAVRDGPCRIAATSGGASHMQGFL AFPVDCVLLPCSTLPIVLFELRPGESSSEVSLSISKQFRLGFAAMRQGRYGEAMAHYL PMVKMDMQAKRLMRLCRQRCRRNDTVPYVRVLDNHYCVNSSDCKPEEQDESDIKDRVP ETIDSPRRPDGEGSESDSSDQGSESLFKMYAPSSSSSLDGSGCAEGANAADVVPLFLT DSNRNVWTRSLKKISEGAFSTIFLGVAEDGAQVAIKCIPRLRRDVVAESLEKEMEVAS KLHHPNIVRYVSCSITPSHLSIIMEYVPGGSLHSVIKNFGCVSPYVARRFTVDILQGL NYLHNLGIVHCDVKPHNVLLGTDGVCKLSDFGSTISEASVMARTLADGVMLRGTALYM APEVAAGGRCTPQSDIFSFGISLLEMLLGRPPWQWSSTAPAGSDATRLRSLFNRDLLF VQSLALGHLEPVIPASVDHEAAAFVRACCHMNPSMRPSASSLLSYAFLL XP_829464.1 MTIMMDGPKSSTRATETINQSDGQHRQQWCDRQQTGRNCNSAGS DSQSADPQQSGLHELGQLTAVAVERRCVEQRHLEHLYQSAFHSSHVPAISVWNYMRRI GKYSRCSPECFIICIIFIDRYVAATNCPITFRNIHRLLITSMLVSVKLRDDSFYSNSY FAGIGGVSNEELNRLEIEFLMTIDWRTWVEPSDFNMYCEQLRSRCSANQEQ XP_829465.1 MQGAYGGRGRGMARGRGGGGGFDSSRGAGRHPYMTSNVPTDTLC VRITEVRQPVTEDMMFRVFASISTNPRRIQIAPSSDPNETVVMAQFSDTYATQRVMEN LNNRNIFNDGNKMMMTYSAWEPTPVLPAPVPPVPVYGGAAPVYGGVQVNPGVAFPQPQ VHQPQPGVYAQPRQQPMPQMPMAASVPPMRLGNDQQGGRNNRGRGRNGSRGGAAGAVP PGMMGFDPMMMGYPAMASIMQMPGGMMAFMNQQRPPQNSPTVFLSVTIVPESEPLHSI FVLIEVYGGVVTIRRNHNRKEILTVKMASVPEADSVVQFLRKVPFAGGTVSAKRFPTY TERTPCTDDGNPHDSATVQYDFTTARHRSPGQRSRCHPSSVLKVTGCGGYSEADVMTY FTSENFYPDRIIKDDEGSFTVYMADVETAVALLLKCHNNVCGEERSNVLFIEGPRDTT TSATAANNASASGSAVAENVNEGAGENGSA XP_829466.1 MQTCLLSNIPPCTEDELKRGLLAYGTTVSTVVVPHMRQAAVTMR TAQEAQALLQRGCISLFGQTVKVEPAASPAVPYGAGGISAGGMNNHLGGARINVVVEK CTYPVTKEVLSQVFSMVAPPANVVCGPSGPTTNGWVDYNDIATAQRVVVQLNNNSIYP DCCFMTLTLEHMGSTPRGMGEYGSQGHMPYHPQQPQHQNIHQNQQMSQQQLQAMPFGN NGFNAASARGGRGIRGRARGRGAMGGRGGPVYGSGYPMQHAPYAPGQYGAPPVDSVVI VNSVPETVALHSLWVLLEVYGNVNSLKRQFSSKTSVIAHFQNVHDARLAVQHLQGCPF HGATLTLRHFAGYVERGGKTEWNSGPATDPATQAVLFSCGYHHRTRPTASFNPTGRVR PGRYLFVSNLTEAITDDDLKDVFTNECFELDGYYRKSPTVAIVSLKDVETAVNALIAV HSHQLKERFLRVTFSHFPPAPRSGTEEEVEQALAATEAEAAEVREDVEPQDEQNE XP_829467.1 MDVWDASLPGAGARRATTILQVYMRMGNYSPLSILVADSLCLTF KSDGATVAELGAYLGLTQDRVEIGINGMPREVYCTSLEFSHGDEYGENEQQRKDGAEK EWEGTSGIRKETQDTDEGGGMRYYINYATFLPFAYAHGSHMLLALCQLPLPKRVEGTV NSGELSNISISPNLRRVDCRSGLCCVNCRYWMGLWDLRSTIVKCPLCQADILDGILRD IRSRYNNKLADGRQLLVFGPVQQRQPCPQQRSGESAENTKGNAPKVGSDDTTNYPLAR DPFLVQQALFFKFLYLHPFVCVNVGSFAVDADEVMTREEYAHRSKHKATVLDQFRLVH RNPSAIRVHLVDQVKVDEEKYAQAAKRLAKRVQLPPWLQSADLSSSATVLKSLLALRR TEERTGGSKRTRRDRDVTSIASYVAREFFDDDFVEIPLRREFSI XP_829468.1 MSTMENTILKALVGSEVIKSDVLAQELGVDHQVVVGAIKSLEAG GYVTSEIEKRPTWKLTAEAIKICEEGSPEFQLWELLAAGEMPQDAVAGKLGRDVTAVA LSNGMKTKTFVLRKEDGKVIINRSPSVSSFRDTTRLVLSDAARNQHIDPKDGDMLKKR KLATLEDIKVFSVRRGPSFAPEVRGKAAGDLTKEMLLDGSWRTTEFKAYNLAAAGREV SCGQLHPLLKVRQEFREIFMEMGFQEMETDHWVESSFWNFDSLFIPQNHPARDMQDTF FISKPATSELKQQDVVENVRKMHEKNFKYTWKESEARRNVLRTHTTSCSAFWLHHLAR NSPLLPDGRRAFRPGRYYSIDRVFRNEEMDRTHLCEFHQIEGCVIDRNISLANMMHTF ELFFRRIGVERLRFKPVFNPYTEPSMEIFGWHTQLKRWIEVGNSGLFRPEMLVPLGFD EDVTVMAWGLSLERPTMIKYGLSSIHELFGHKVDLRFIRNSKLMRF XP_829469.1 MVRPHLRHYCVVGRETPSEKNPQPTVYKFEVFAPNFVVAKSRFW RMMREKNKVKSTHGDVLSCKVVKDRKLAARNYSVDIAYYSQRCGYTHMVKEFRDVCKA GAVSQAYNDLASRHRARYHNIEVLGVKSIPNHEVRRLNVAQFHPHNLSFPLLQRRVKA PRKDRVIFVKKNSKRAVVA XP_829470.1 MKRSVSKVWLNEDTPNDPYPLYAPSPLPPKRPGTIRVWVDGCFD MLHFGHANALRQARSMGDELFVGCHTDEEIIRHKGPPSMRQEERYEALRACKWVDAVI EGYPYVTRVEDMKRFEVDFVVHGDDISVDLNGRNSYQAIIDAGMFKAVRRTECISTTD LVGRMLLCVPSELLSDADKKLLDSEVARKRGPHYLTTSRKIAQFSNKLAPPVGATVVY VDGAFDLFHAGHIRFLQKARALGDYLIVGIHDDQLVRESKGEHFPIMSLNERALGVLS CRYVDDVVFGAPRGVTQEMIKILDIKIVACGTSSETRNCKGAFDVYEVPKSLNLFKVV ESGSDLSTDMIVERVVKNHVVLLERQFLKHMKDSEAELRKPDVYRNVREV XP_829471.1 MQPMVLPYVDRKPPISKVMDARVLVWRESERMLRNGEGAAECSY AQKLQVLRSQQLADARKYMDTSAAMRSVKPVLATSSVDADDDDEFCRKRSRESEGPSV DEIRRFEGKLGETLALELTLWSLRRGSHGGVRSQRYTTALHALQDTQKLLRKTLQQME EINALRFLEQQQFLKVNSEKARLLHVRLRNVRALENILRGNGKRRGSTLLATLE XP_829472.1 MPLGPIVVAPHEEHNITLPSGDVFRLILFGGCDGDLVRRGEKPP PTLFCLHGAGMSSSNFHVLATHMTQHAKSSAHEESPYAVRVVTFDMRCHGDSTRRGGE GSLTLQVLVDDFRALLRTVKESLFSATPHFYFVGHSLGGSVLVHGLRGEADLLQLAAG VVLLDVVEGTARISLQHMDKFLENRPRQFHEVAEATQWFLRHGGMNSAASAAVTVPHL LRKEGDHFVWKSNLEAMAPVWPQWFDGLDECFVTLPCPKILCLASTERLDTALTIAQM QGKFQLEVLGNSCGHYVMDDQPSAVAAVLQRFIRRIETLTEKLKCSIRKPVK XP_829473.1 MLLVALFIAAVVVIIVHHCATTLFPHIQKMCDARHNRVTCTEVD YIVIGAGPGGIAAAQHLLQHDPQGRVLIVERGEDPSPGGVFASLHNFFQPYDLLCHTL DLVGSRFGELFYIRHPLRRCSSASCSICDDASISVSPRLSNFVEHRRGCGLGGTSIID WAMYFPSIPVEPAARNHAGFEDLQAVPHRLARGRNPLSWAFAEAAAVVTDRRGSPAGA ESSVDRKCGDQPSLLRIDGNGRRIFLFNHMLKNEGGRLTVLSGTEVVGMTTSDDGEAI VCVKCKPKDQEPFTVSLRRGVILSGGTFGTPNLLKGVLSKPPGPYLSRDSIAVPLIFQ ALPGLSDDRVNIHSFVAHTGLWFGGRGPLLNPFCDTLATIDVPSLGPRAKLVIFLLPF GGRDSLAYGRLGFNYVFGAFREGFTMLITLSGVEDIRFDVSDTQKEGATEGSNAHFSV IDEKMRHKVSNAFIDGMEFCRQVVAEAPLRYLTTGCEAADVMLFRDAVQMKEYVRVLC PSRRKLTEGQRAHARGVLLWVKEMVSRQDYMEEYVRRHAMWLGFASGGCEQVLPADGG LRVACMRNLFIGDCTAVTDAMWRAGGCDTLRAGSVATAVAVGKAAAAELLSI XP_829474.1 MKTGASCVEHPSSMPDKVFAAQLQLICEALNTSGENSGKWGGRL EALRQLEVFSRADMSNRRDFMMLMNTFVKVPLQKHIEEDRAALSNEACRVVTSLAKHC SNRRAWQAVSEWFIQPLLRITVRKKKVFVDAAVETLCNLARTNSFGPRAFAELLRGCT AAHAATRSYTFSVLQLFIQQCKNNEGEFALSPYLGDVCRVLRSGLSDADAQTRRCARD CYWNFRSAEAEAAETLYNELEAPVKRMLGQDRNRLTIENMVPKIDRNPTTAATPASKL ARGTDRALSTPQEEPGAVEAAAPPENSKTKGEPEAGGKATEECGPDVLLESLSSSAWE ERLRGVRRVVEDFPYLRHKEECVKLLVVRLNDSNGQVALAALEVLPLVFRLAPSLFKA LLPELITSLLINVSERKGFSGVSRRLLVSTIRANSIDDVMDAIYCELRRIVSPSLKVH ALEYAKYLYIDNAVHFAQLTPMRRAVEELLPIIQTEGPHNLVRKSAASALTALYVTSR GTFIRVLSHHLSPSDCESIVDELQLAIPHLGQECRRTLTGQKPLPHPVPVVRCAFADI LTKEDRTPPKDANAKELTVSKSTDRGNATHSTIQRPSTACAKGVHDRPPERRSNKRYL GERKVASPAPMDRRRSPMGRTSNGDGVSPLRGKGATHHVPMTLPYALPIHGGGNDYSP ADLLEWLDRTNVSTDTRDILDAIAFAIAKNPSSWGEVFNRLLVLLERLIPDSCEPSHT VRFLALKVLHTVVGSGVLRQAVNRSLKRILLLVRAGIDDVFPEVHMDAAAVLHLIINS GLYSTDHLLNAVAMTLDTWLRSNKVGYSSRGWLTMLEAIKHIFFQLGCSAVLVPCAFK EYAELKDTTPGVVSEPVLHRVCSTVVSAVHHSVPEVRLTAVVACVAIWMTFDTAALPY FVDLTASQRKLISMYFTKLADVPVDDLKITNTERDMTSDMRAAGLPYATHL XP_829475.1 MSFLVYAVDGADRLTLLGPPTPEGPNLKQVALSYIQAPKVAKRN ATGEFGSEDPCAFEAVELIRNTFIGKPVKFSEDYVIDVLQRRAGRLTLVDGEDASILL LRNGLATVPERIPQRMDKELFAKYTKLMSEAKAAKKGIFAPSASSRVRTLTDLSPEEK IKLAEKLKGKEALVRLEHVLLPTVLVVSGGDFGDAQVTVHMPGVTVKDPDCETVSRES RYHVERFLLHRRVKILFEGADGYGNILGSVTSSKGCFQQELLSRGLVKLNGNTLGSTK FAADMETAEKEAREKCVGMWKNRGESGARVPLKVVGGAGVSTAAATGGSSALPVSNAA TAATVVYKGPTQFTASIVQIITGDTLGVRHEESGELIRVSLAGVRSSKNITREQDGRS PETRVTYGDYEWEAREFLRVHFAGKRVTVKVEYCRQIAETGEVRPVALITVLETGENV GSALLETGYVNFFLGRNDICSAAAELQCASERAEAKGVGVHGKAPAPVVKVLELVHLG SARGKYYLSFLQRGMQGNRPPVLKGIVDVVIGGSSLRVFVPREHFQIPVKVAGIITPM GAAGGSSEGGEPFAEESKRFAVDKLQHMEVGIQVHAADKVGNFISSVTLPDGTNFAVA MVEMGFATVANADRLPHNQQLLEAEAKAKAEKRNIWSNNSSVPQRAAKLEAQKIRTGP IRYTSSSGPKAEFQQYMLSEVGENGYSVYLQEATEDVEKKLFTMQDLLGQISSSSTEY KPKKGELVAALYKTDKTWNRAKVVQVSKKDPTVTVCFVDFGTKSEIRLKDVRAIPRGP EFAIARDSAPLARLVRLAFLKSKIHTEAYIDYACDIAYEYTDGPVVAKEVYQDPEGNV YCIVSTSENSNSLNEVLLQRGAAVLDRAAESVDPEGHKRHVTAQNVARKGHKGMWQYG DIDNESDEDN XP_829476.1 MSAIPYLQKQLHELTTCPPAGFRIESEDIYVWTVWFTGPQETPY APGVYRAELRFPKDFPMEPPTFKMLSSIWHPNVYPDGRVCISILHPPGEDEMNKEETA MMRWTPIQTIRSVLLSVVSLFSDPDPKDAGAPANVEALVQYRKNREEFNEHCRRLANK SLSELPEDFVPIPQEEPPERPTNYAASIAMGYDDDYEVVVCDAQNKFPDELRQVREMA LAPDKSDAELIDMLIKVKGDVATLMEALM XP_829477.1 MLTIPLPLSLVYFRTVLLITAFPCNYFACANDTERLPPFFLCLR TASRMSQRSRKVPTEGGVLSVNSGSGLAIWNELQIQQENWGGNLGLPAREPGAVVELA STEGKIVVSTDPEQRNIFKECILGLSGSVGDITCTDFEVNWKRPVEIFAPFRPLVHRN VTPFFDPYDTERVIGSRVPAMTSPSRRADSKSSAAAIPLHIQFPEIVTTAEFPTPEAP ATESLAFSEEGVVGQYRKVQPLTQAYDVGLELGCAATPAEACGSRYSTDLRRRLNQAD AISRLSEPPPFVMDAFNTAIRFVESEQNILTKGNYLWELIHPHAPGTCHPVYNPYGKY VVRLFIDGYFRQVPIDDYLPVDALGRPFFSVTAQKEIWPALIAKALFVALGPNRHLLF TDSEAIIACLLGEWVPQRINPRTQPATACALLLNARKDQLYNDSDATHFSASQVLTPD KDKGVSVSTQEQCNTSPWVDNQINERPASQSKRASRLETSFANGANSPLSYDISVTNV PAVPETPVICAVGVLPHDMRKLYVIHKVVVFRDTLALKISTNPPSSIIEPKELTLDNK DEAVQGLLHHPSCGDLDQRGTGWAASQESAVASSAFWVTFEELAESLDIIIWRQLGQE SPFTFNSRITYTDTSSHPRKKGAASAAAAATTVARQTVVRWMHISSKAPEQIAIVNLG GSASCRAASAVIGSPTPSLLAAAHQTPALSMMGSESSQLKALSGEGREVHLDLYRWDR GDVLCRAASFACEPSRLECMLHTVPAGSHVFRVTVVGLEPQEVVGLFSTRNFILGDEK EALRSANIFKMSDAGAHPGVEKPNEEVIWFKHRFAVKEPTIVSFVLSTLESGEELSMY RDMPGASGKESKGVKTRNTNVRLHSKEAAEGSPPRGAHAEIIPYCNVLLVDLDAGTSK RGAVGHLVRQRIEPNQRGYLVMAYALVEADSISFSLKPADLCADSPLEYGSPREKETN GLSPCGDKGPLTPFGVRPHLFSKGWWRLVVLSSVPLDSYRAIPKDTWSFAEKGQLKQG SNALLFSYACTVSDRTDITLLLDVHSQRPIPFRIKIFRAGVEGPPVFVSEECVNHLFV PHVAVDVPEKVKNVAYIIEAWLDKEKVEEWERMRRLSQELKFLLAAEAARVKAEMKQQ KELQEYHEDPRAFKERLLNQEMGPVENLVPTPLREQMSVSSKKGRPSVDKRRTLSNAA PSRQTIVRTSVTPSTPASAVAVHIDSIDPELVVTYDLRLYFSAKTDVKCVATGKDPLT TMRGLWVPPIDPLFGEAPPLSGGRSGGQRGKQKDSSPSPEELYKADQGRLSRQNFLEN PRNLLFPYLPQGEKIRGVEESAPNALASTPSIALVVGVEDEPNFLHAPILDESAHRVQ HFSLYATEVVPPTHGSPTQSASGVPFLRTRSPNGRIAPPTFGNNPPPTDDDALAIELK TPVNEVCLWLGEELRRQEERRAECRNGIKTLMREYWETRKPGAAVLALPHAREDEGNK RPRPRSKMSS XP_829478.1 MDSITANDYDTESMKGLYNVTLEVTKSPSGVHSDNNGDADEAET NKGYKNVNPDCVNALAANNSRKQEEKYLAMFNDFNSHNLLDVPDSASPPRYQPTLSGV DYTFSYVGSSPFASVVQTNDADGTPEGRMEPLSDAYPSANGNAHQSSANEPSNNAPCV YASIETSPLQGRRLNFRAFLTKKTVPTSIMKEGQAGVFVGQLPSTYTEEDTAALLRAI GDDAGVPVHVRDVKSHNQSRTCAFVTVNQGALQVLLGYSKRVLCDSVCVWVVEPEKAQ YLKDFVDGLVRERLRGVPKAALVLEQLTPQYVRGQASGGKEWKGASPHGRDVMGPVDG FFMAMPTMITSTAPPPPPPNGSEGQVVAGTHMPMSVLMPSWGAVQQTAGRRPTAAPNG SQVVGAFPGANIYGNPGACFIAVPPPGPVAARSQQVNLCVMAPGTGAMQPVPYVTNYA GGNMYAQAATAGSAPATVTMQQAGTSASPVQVGMGGFGQQVAGGSTVYLQSVPPYALH QVLQQPQQ XP_829479.1 MNDRELRAYTKLDVLKRHYSALAAEALHDYINDFMPYTENFKNI SCHFCNNDKQLLLTLDCPIYVMPPGVNAAAAPRQGLVHPLRVEVVFLANFPNSAPTVK LLPNTFRCGNLTEWRVRPASSIMDEDGAIHLEKLALLEQAVVPYSLLEILVALTEQFE AEFPLTPSEREPIGQNPPIATATPPVSSIVNSVVNSTFCPTDGSAPPNVMPVPEMPTS DPSVVGPHNQLIQGACDAVMIHLLFKADAYLDTRAKALEYLKTLDKASNELRSALATL EKNKEELLKFSPAPGRVEDLTTTLEQVANSMETHSDCIVPADEVHARALELLGDIHSL DDVLELLERSLRHGQITCEEYVRRVSDVGRQQFEARFLFDRLTAAVRGTQRCGTPLPS DVVPTANAREEPSATQPTTLAEVIKQLHEEFPDVELDVVKAVLEVTEMNLSETRVQLH AIMS XP_829480.1 MYECVVKPKGTLLPFLPICRLIRTVVFVLFATICYIGNVRQDKV PLLRHLLSYHFPLSPSGSSRSAVPNIFYKANMTSLNGSKSSAYGTLKFTNRMGTPLPS PSKKLKSAPAATGVPPPPFTLAVGNRTSSTSPRTPVAMGETSVVSSPNGYNPPLPTIS DAASGQGTGNGTTNIDGVAYNHSRRRRQRGPTGKASTDNNNTAEVAASSPGAQPSCNS YDSGGTYNSFWSPRATNADVLGWPKVEDHQVPTDDVQLGSHTRRYGGSLGAVPRLSVK VLGMSPLHANLLVARPFVRVWLLDGHTGRNLIKTEFLRSSFGVTHPVDLRCRHTRAPW WGAELLFCVHSSLIEEPSVDPLLLMEVLESGEEAINGVPVPRDGFYPVCWGFLKLRSA NGRMNMGKRLNIQMFPFPGRVSVLTRLMQQLPSFLFRSRRGVDGDDIGPLHSQETTEY EMCCASDAVKGNGNTSTPPAIFYTYKRAQNRQVPYAATLLISLRWEDDISYVPSEAHI LPSEECFLDQMPVSQDHKPRSTARSAYSGRPWSTEDKSARYGINTTALGRSYLRNEDE RVVPPPLVVQSTQIVGKVTCITFAGVESLMALGVTDGADYLIQLRHALVCGAPIFGVC RGHAGHIHSVTFRRDSQLLLSSSSDKTVRVWRLDNFPSATISYQVMIVPCLCTLPHSF PLYDSVFFESVIVTCGFDPRLFVWNYKEFDNQEVETTIEREQYNHSGAAWQPGRVGSV PLSSTNFNITTAGCGVGQVVYETTGDDGVVVRALSASDRGRLWSVDTLGNVLIWHSED GVTLDGSTQWRIRTRYRFKCPGATKVEVHGDRALVTCEKHPVAYLIDVMSHQLLHTIY MHQKPYIPVVTLLPDGEAFVACTCDGRLLYWECFSGTLCTPLSGYGAIRTHGSPRRIV WSPNQQLAAVLGSWNHSSLARRESHSSFMALTIVGAPREEGNVLLRTDAHSEAKFRSR LISGEASPPLTRVSSAGTSESPLWATSGQPQSSRPVGAMPSSIFESKSSRIDNIVAVW KQLTSRHRCRRSEEPPFTANSGIDKYVAENV XP_829481.1 MSLAFDEYGRPFLLVKEQANKERVTGVEAQKANILAAISVSNVL KTSLGPRGMDKILVTQDNEVVVTNDGATIMDLMDIDNEIGQLMVELSKSQDSEIGDGT TGVVCLAGALLEQASGLLDKGIHSSRISEGFEKACEIACKRLEEIADTVPVSREEYSY LLQTARSTLNSKVVNRDRDRLAKICVDAVLSVADMERRDVNLDLIKMEGKVGGCLEET CLVNGIVIDKDFSHPQMPKVLKNPKIAILTCPFEPPKPKTKHTVHISSAEHMKEIHEQ EQEYFRNQVKLCKQAGADLVICQWGFDDEANYLLYRNDLPAVRWVGGVELEMIAIATG GRIIPRFEDLQSAKLGTCGTVREVGFGTTKDRMIFIEECPSSKAVTIFIRGGNKMMIE EAKRSLHDAVCMVRNLIRDNRIVYGGGSAEIAASMAVLNYADTVSTVDQYAIRRFADA LESIPINLALNSGLEPIKCLSRARIEQVEGKNPYAGVDCMDSGTLDMKKQQVFETLQG KCSQLRLATQVVKMILKVDDVIVTKPEEEE XP_829482.1 MYQGRSQQPLWTPPPNFSQVETGIYRSAYPTLASVPFLHHIGIK TIVLLSIELLPVPVVRAISEGDVVAGTTSVSDDVHAWKDNECIKWPIRIVSTADLSEW MNEYVCAKDDFAVSSVQRALDFALQPDFQPVLFTCPTGELQTSVIVGCMRRHQGWSLA AVLAECELFVNVTGGVRQSVMNFIEQWDPDEHPVREEDIAKRKRELLLRDPSLSYSVK RHRRTVTHSASDPDSEYDDPLAMGTSRLGQKGTAGNSAGMGLSLSPYKSSGFDRSTLS STRVGGDELGLSHSSHVVIKLASWYTAAMKRRAAAAEAIVAARAKVRAPSDPLPEPHE RYFGVLNPPALDERSTFTKKSIVQDLD XP_829483.1 MVSLRIRKTRPPPLGFSLALPPPSVVGEVRVQQGNELFINVDDT NISPIITMDGSLPTGQFVHVTNTNLFVLVDGASLFTEAKTTQREEPQSNHIGDLVDNS ASAEKGRSPQRSPCSNLGASVDSVEAAIRGIEDDSGEVRRDLSSSFSLLPKTEGDSPV LSRCSSRCRMSCQGTKGVKYHGGASLPHQYTALFTKGAGAVSGLAAATAGANRVGNRE VRCNVLRGKIRGFLPWYVVEQNWTTSPKCSNASTSGTLGAATGTSAVASQSSASSVLV DTAVGDKVDKHAKRKNTLLARGRGNNSRSASLYEVGRTNRSLDLKEVEVLGFVGKGTQ GAVYRVLLDNKLYGLKCIDVRELTEASSLVEHQSRKGGLVKELNMIRLQRSKSPPQYL LRLFDASVTRDREKLHLHILMELMSFSVEDAQRMVSRIPSDEMVKLTESAFRKHMAGS HSVKLKPDTFLQRNSESCVHLTGRRSYKTPEDWEMNIDRQTPVPEIILSMLAADVLGG LKELHEDYAIVHCDIKPANILIDYDMERFRLADFGCGCQMDPQSRKTRPVTFDLGSKL YKAPERLSNELYNAGVEGGLSEVEFSFDADVWSLGVTLLELRNGVHPCHPFKSDYWNY RNNLKLSRMVKPVSWSYSFYDFIVRCLMRKPEQRWSVSRLLQHPFIVKYSELPRAKLR VWMEKLRSESETFQRRQQRELLEEHILLSTGRKGPDMYRHRSRSSWESFTKFLKVAPH YQDDEKFPHLC XP_829484.1 MAEEIRTMIIGTSSAFRANVLREHFGDRFRNFVLLPPDIDEKAY RAADPFELTESIARAKMKAVLEKARQHSPPISGPAIALTFDQVVVKGDEVREKPLSTE QCRSFIASYSGGGVRTVATYALCVVGTENVLVAHNETETFFSKFGDDIVERTLERGAC MNSAGGLVVEDEDMSRHVVRIVGTSYGVRGMEPAVVEKLLSQL XP_829485.1 MVLVLVVGDLHVPQRAASIPKVFTQMFTPGRIQLVLITGNVGCR EMYDYFRSIVPDVYCAKGEFDSCWWPNVNSKHASDTDKLLQDTHVINVESLRIGLIHG HQAIPCGDRDMLAMLQRKLDVDVLVSGATHNNKVFEFGGHLFVNPGSITGAFTTRRLD VVPTFVLLDIQDKKVTSFSYAYAPGEGVGGEDFKIKRKTWIKDEEEEGEETQKPPASA VPPPPPPE XP_829486.1 MTDVTYSYSRLRKEFGRMPEFQANEGEILVDIAPNRSLKNHYTQ LDPCETVIQNVPSLSESGTNTERIQLRHHAQSHSEGGWPHGVDPTEFEEKMKYCRKVE REESYLSSCRRLIQQCTDKYVKQNNAIDIYGTYFPEGPPVEDESLGTASAKITSVFKD PSAEKRTAAAITWQNDGRRFAVAYCRLRFQSNTSTMNTNSFVWDALNPNAPVETLVTS SPLCCIEYYTKDPHIIAGGSWNGVVQYWDTRQPTRPASRSLIETSHKDPVWAVKWLQS KSGELLSVSTDGDVHVWDCRIPDKPVELQRLPEDSVRLQPKNNEGGSKGVLGGLCLDY DPQVGGPSKYMIGTEQGTILSCNRKGKTQADKLGPNTFNGHHGPVYSVQRNPFFSKYF LSVGDWTARMWFEDFKFTPLFSTFYHKSYLTSGAWHPARPGVFFTTRMDGYLDLWDLM LRQTTPALSVQVSDYALHTAKPTSEGKHIAVGGIDGNVTLLELSPSLYTCMSDEKFQI GQLLENQSIRDKNLDRAAKEKRTAARQRQRRSTVLGESHKSSDEVTEQLEKVTEEYQT TVKSEKERDDEQRTELECARMKLLEDISGGIDVDSD XP_829487.1 MPAKKRIANLNHFMEKRVKVKLTSGRSISGELRGVDEFMSIVLY DAVDETPTSGTVENEDKTSLGTAVVRGAMIVDIVGLEV XP_829488.1 MTGFDTVWIPFAVVYLLYQIPPTMMEVYSYYSRGGLVSIEDIKF DDTFTCHHQWLKDKDVYFKRFCDRPTSEAGKAFFSWLDWSAEAYDRSIYVLRRNHARR DGEVSFLKRYIYGPFVFNWSKKQWLRGDDSCGMRMPAWGVGGEERARAEFAAAKEKGF DKNWHYQIMRKIRREQALRTAKEVQAQ XP_829489.1 MEHILSRLMMDAEGGGLQRQAMKDVITARENLASNDEARVTTGL LELCDLLNMATPITIGSIRPNVFVPLVVNCMKKDNIDLVILAARALTYMVDAISSTVF VLVSEGGIDVLLQHFQEVKDIELSEQCLTCVEKITQNSVCAATVLQSMGVPALLMYVD FFNAASQRKAWTSVAAMCRRVNESNFGCVECSLQDISARIDHDDAKISEKAITCLYRI ISGVGSNPELVARAFGDSCNPLISVLSRQDLSETTFTTALSLIACAIDCSTEAARRTL ESGIVEYMFTLIASSSEQQQRQDLAGFAGRTDGVSRASAAREPSAQRESVETVPQRDR RLSVEQTKALCLALTALLPKLNKGYLVHGDALNKLIAEGHGESHRHAGASSSEADEGM GGDDDEDGDGDMDDDDDEEEEEECTHEEILSRVSERGILLENNEKYRTCRLRHMCDGC GKSCIPTSWFRCNKCRDYDLCGRCLLMNWDSHSPDGETHSFCDMLVFFPKVSDSVTPE EGKESNNSDLTAERERLYESNPHLLALILGGIPTVVALFNESEAHLVRNHCLAFIDRA VVLATPEQLAGIKGLSEAALCEMIVTAMVDSSLILNVQVMYLCRMLLEKLPKVYLPCF VHEGVTNSLVKIQEQNKSFVHCSEEPTPVKEPPSSERFNSVSGWRELLATEAEEMLGM FTSLSDDTRILRLKEVDRLLRGGKLKEAFDSLRVALLDETTAFELSATDVLHSLVEAL KSVDDVKAIVALLKTLAKQEPNTTSTLTRFVRLLQTALSHLDQFQLPNFGAVNTIQTQ IPLRLVPHVAGHAPKPKASTPPAKGNASKRVASGSTAGPPVPTREVVTRRPTGTSAAR PAAVTSRNKKDQSASPASTNTGGGKSSVENREVRVSVEPLTNLEALMGFVGSNVLSGG GEFIGSPVTGGGRRRNDDDEVEEVLPELANGVDPEGLPKKKKPVQEKSCRPVYMRCGK YVLPPSLTILQLLQRYHTPSQSSSRKRKAHSKGASASLRLLALLEGMQTNVGDMITLH YSVDPFGPEYVHVPALIDVPLGTPAHPVEIKLPSDDITPPGAFEVMRALHNEYPFSSQ FLTVAQKDILTLLGILHAALRNWSALISSTAEATTSGGVSDEASAPSTSLGEFIHGKL NNKAMRHCSNLLLAGQHMTTWAVNLALDCNFLFSSMTRKYLFDVGFCGSIRSLVQMQE NMEKYGMRDTLNADHQFVRSYRLQREKKRVWRDKALACAMHIMGKERISGSVVLEFEY YNENGSGSGPTMEFYTLVSDELRETKLRLWRRTDETPDDKYYRPHVGVYPRPIPPSSP ELDDMERYFSLLGRLIARALLDKRILSIPLSPVLLKMLRGDPCGVHDLADISESLGAS VLAIARAARRGDGAVQMPNSTVMCSVEDLGLDFTLPGDEFVELTEGGASIPVTKVNAM EYCDAVTEFVLRRGVERFVHALRTGFHDYVPLYALRMLSIGELFEVLNGHPARVTVEE LDANCQADHGYTMGCRHVRQLFEIIASLTEKEQSAFFHFLTGSAHLPAGGLASLRPKF TIVRKASSDPNVREQDQLPSAMTCQNYLKLPAYDTKQQMEEKLKIAINEGCGEFLLT XP_829490.1 MEDILDFSKPVDVQRFDQVVQYLSTGSPQEIVRAQEVLTAFKER PDSFLRVGDLLTKSVNLTTRFFALQVLEDAILHRWNTFTAEQCQEIRNFVVNMIVGEC VSFNQIRSRRALLMKMNSALVSIAKREWPVRWPTFIKDVCSSAGPDEPLVENNLNILR MVGEEIFEFSEKTLTTRWLKRKKEALQSDFQAILQLCLSILSTSDEALLKTNLECLEK YLSWVEPASVFNEELLKYLAGLIARKSAVSRCAVRCLTVACSVETDHGSVGDAQAQVM VRVFRTILDNIMNLLPTNHSSVEARIVQFSNMEGSVDAGFVGDLNLLLVAFLKHYTRN IMYDDLLLISANQLIVGMSHINDKELFKSCVDYWWWLGEKMVRSASPTPLHRKLALVL SNVRFTLIKKMARPEEVIIVVEDGEVRRVHMKDVEELQLYKLMRETLVFLTYLDPQDM QAIMTKIVMKLEDLSEWSWHNCNTLSWAVGAISVALTEEQESSLFVVIVRGLLDLCSK LQGKENRAVVASGIMFVVGQYPRFLRAHQPFFRAVVKKVIEFMCDLFPGVQEMAVDTL LKVASQVPDQFVCVKNNGISLAEETAKRWTEITSLLKPQHMHTCFVAAGWMVKGEKPE RQPSLLGMFLQDANDSFRIIVERAASKGPAFGEDFSGMGELIHILRVFSSIASSCGTS FVNEMGIIIYDLQGLYRTFFSAQTALVADHGTDAMERQEARYLRLAKREILRIFECFV DNTEECDFVATNCMPSILTTVLEDYRDSLPIVKEAGALALVTACVNKLGTRLAGDCAA ILDHTFDTTISMICANAEDFPEFRVNLFKLLHALNTRCFSNFLSYASTKGDVINGMLW VIKHTDFAIMETGLKTLDAFLENVSRSELLQPFYDAFMQQIFVEVLVSAMDSLHAAGF ELHCSILIKLFTVSSMFPVDLPKLGRNDIESFLCENLSTIATLTPVLIKQFIAGAYEK YGDPVEFRRSFADFLIEMQVWGAEEENRLQQEEEQRRREEDIPGFAALSVKGPPPTPS FYP XP_829491.1 MTTALRDECSPLQMYSELNPPVAIMAAVPAADMQPAETDNKPRQ KSPSSHILASQLASAVSTTMFYPMDTLRIRYMSQDGTIQRQHNGQTYRSIYRAVGVIW KEEGLRALFRGCHVAVLGAVVAWGVYMFVYRKLCEVYNVSSFASRTGMSVVASVTSAL LTTPIWLLKTRMQIECRAMGTCGSYSGFFKGFHHMLVTTGVRSLWRGASAQLLLVLPN SLGFPIYDSLKDCINQNIIGISAESCSWVHGRDLTVIEACLCSVVAKVFVVTLSQPFT MLKVRLQDQRWNQGEVRYMTIKQSIPLIIRREGVYGFTRGLASSLLYSVPRGVAYYVL YEKSLQFFSRRV XP_829492.1 MAQSTARHVMNTPQSQQGQNLTPAETDNKPRQKSPSSHILASQL ASAVSTTMFYPMDTLRIRYMSQDGTIQRQHNGQTYRSIYRAVGVIWKEEGLRALFRGC HVAVLGAVVAWGVYMFVYHALCDLYIPTSNKRAGDDFLFRTVLSSIASCSCAVVGNPI WLLKTRMQIEEIASREAAVAGASIFRNSKNYTSFFGGLRYAIQTDGVLSLWRGVSAQV LLGLPNALNFPAYEALKSFWLQRTDRETLYSYEACICSTASKTAVSIIGYPLHVVKTR MQDQRSRRGDLQYVSFLQSAWLVLQTHGFAGLYRGMVPSLLHSVPRLALTFVLYEKLM QQNFF XP_829493.1 MSKEAPHRFLLETRSQQLHRLEAIRRRRELLERESQKPVQMRFG IGGRGPSWSPPPRIQSPVVTTVNGVQKRPAETRKGFSSCSRCPRSCEPRKATHVPLVR SRSAPHMRLSQCFATRSTSNRRTSLVPPFGSLCNLQQKKDTPPIKRMLKRQRSRTVST SPSTSLTSRFRRRTPLASARNKEKMTPWNNFPNTSRSAQILTPPLGPKHGKRVSAPRE RSPSPLPSSTQTTAINGTTHDEQRQPAVTLGSETVSSSPTNRFPLPRSRSVSNAEPKE MVNQHVGSSPLRCVSDENMETVTAFRVSEFPTPRTPKLRESLAVSLSPATSQGVSIKQ ELVAETRISTDSSPPVVKEEATCNPCDGLLLQSSTCQSVFVGSSSVLTGGLCEVDIHL NEESDAPPPCEIETSRDNKETRVSAAASPAGESDIVSMSPSSPGCKAAAACRPSAMTS YTFDCSPTTSEFDGTEIERNSHRQLMFDMEESQSSTVAVEDKKNADGQLHQHVSGEDE ELENDSDLNNREEELCTPRDNRGEWLPDTLTHGLDASAESEKPHECVNALCTPVDQIL YSSPLCEMVSPRPQTPLLQVRMEHKLTMLNTAEREDENSRGSLLLVTGESPIPHTPVL QEPHTPPPTEGVPLFISNTSNLPRPVTLISALQSPMSARRLVRPVAESPIGECAVWNG GKWSPPMRTDLNKEPTVQPREVVDLERRYLEAGSGLQGESVPSSSSSPCSGSRFKNKK LPTDLLMVSHILEASEEAHQPITSETSCCTPSHSQGNDQASGQLSGSSSTAQTAASQP RKHYYEKTHWEQHQLGTTASNIAKEHRRSEKVVGKVELCASKVSEAVAASLNFTAGEK REREASSDTDRTSQSPHILKANAAPSRDLRQAGASPQGSSQSSTGDDPIGSTTQTKLV SEKRHAKKHRRRRVRRFNKGGK XP_829494.1 MFACRRLLGLTAVGAPTHVRFNHSNNSTESTRDTDKTAASVGDP SKVVSSDSAQKLNLSLRLTDADFGAMVKATKAAMAKERLWRDLTVGSEVDISSESGPN VAIIPKHTVLPKPLGDRSSCFTTVAAEPQGARVDLSGDTRDNVLGNDNPMSSTVTSAV QDRTLTPGVRYGLMDTLRDCLISGDWPKSMRMFESAIQTACNNGKGAETSFTAMRSSD SVINNEMLEGLHRFNATTPVGSFAMNLERYRGILRWSGGHYYLLMKLLLSRHRIEEVE RVWDVMKRIGYVEYRMNERTCNQLIALCRTDTKQDIVSPLLHPTKETHNREKEFRTSL VLELENWAEKKHVTLSGRNETTARVARVAHMLRSEGSKDGKDEAVGSIGHGLRVGDFS GLLRRCNSEESTARVLQMMEKLNVPRDGQIYSALIAALRQPHYQLCGSEENLSQSDGT KEAYDAHRKRRLKRARQWYDECPEESRTIDVCNEMLLITRGGSAEDVDYANLLTDIRG TPLTILGSDDTGSDTIAFSKNEGRSRPQIPVPRWRIPPNGRTYEVMVLHCRHHEEWPV VWALYDEMMERNVKGTQRLYQMLLEIVQRHPPCGRDRNTVVLELYEDMKRFGLDVTDI KSTASVVNSWCATRRKRRW XP_829495.1 MATLQVRDFDPRTATHYYHCILAGIPHPVTLQSISSAIVPDSEC ESVQNLEKIGCQIVPYRLHVFKETLSLKFGVVDFFIHHSTSGESANPAEVHELFLQWF GSSLTRGVMSLNSWISLGQPRELHSATPFVSALMEKCSFFDAKVVSDIEKLRTFILSK VRFDNRGLNAHANNRSRVAASEDSSLQEEQTRTDCGNDPSVEWKSVGTLSASSLDSQE LAWLSRQHRQFPSIAPANEREASATWELEPVRTFLQKALTPLVEVVK XP_829496.1 MSYENFAAHAHDRALASGNIKPPPPASYLLIWSLPLLASFFVFG IARPLVADREVTPSLTHIGSGRSKRWWVVRLHLCVSTWLLFYCNFCVCAYLLLPTRVL LRLRMLPLSNNTTYGWCMRELRRALSFGIHLYDWDDKIYTGRISVVKSVESLASSDGP VRDELAPVVPLTNSEMWKYRAVVLAALVVTLFTLYFLASMIFRLSLGLPPCGEHRSNL ALPQGSEEEEHDEDDDKNDEFDISFFSVDSEWWNMGNPDDACVDSPEAQAEEERLRSR EQKRQNRQLPEKKTQSVRSVVWECWPLFASVCYSALYAISGGVAPFMWWVFPSSVVIV IVGSVLV XP_829497.1 MNGVEINERDAPIIWKEKAVGVVCRNFDEDMQNAVRTLKTGDEQ SVERMLRSYNNVNWKSSDGNTLLHWAATLGHMCAVRLLLDAGANCDSPNVVGATPLHC AAFGGSVAVIDELLLRGANAMAKNRKGHTMFDFLKDLWGGDLYKRYEGLERLLTALSG SCYDLPSPVTKPGEPPEGTLASQRSFRGKIASCFLQNMHERQSVPFENFQYEERDEDN DDGLLQKYHLLEVKELLMDESAKRNELVREHLTWAVDVMTQLRNLAYPVRCVEEVVEV LAKKDSPEGDRWLLLRAKGASEGSEVWVRLKDLCECYPTRTDEQGHIPSLRHSPAPTN LTKRCHAYNQSNVDVYRNSVVQRVMRLLKDASARSLRYQRKPFSNSLPRFLEVSEDES ERVLRRVVSSPWHSQTPKSDRGSDASFSTGVRSVKESVMKSRRNSGIVSAGRDLWGGV SQSPKSVLHSFSPSISPVCREKFDLSTRSYDPFRAPDYCAPTESSRQRAGDGGKIERL RRIYASQYLKRRFDISKKS XP_829498.1 MGDCDRLKCLIGELDGYAQVLSERVQQKEAELGLLLVQVATMGG AALRADGGQTSPVVLPECDLRIAPPRHAHDPVTSTVQRKLLSPLPTVRICDPTTSLGP VSVTEPIRVCSMSSPVGTLSPIERACSSGYSSSEESTITIIRALDDTVKPRTVRHVRF APSRLSSSPHCARVSPPTEGADRGGVCTRGSFFPTRFTVATLERQYTESGASTQQCAA ASESECHRGGEIAAPSEVETGGGPNDIDTVHYVRRRVTSSRRPSTRLSASNTSVELLI SNNSAATCSSPGAKGRGRHAQCNIPRKRWRKSESRGVSAGTSASVSAARPRTAVVAEE EKEEGIDAGITLACGPTLFFE XP_829499.1 MLTTTDVGGVVRRINVDYKKLKAAYDQNNDSLCLNLVNGLKKQL ILLPTFLNPAAASATWLEEVTLTREVLEYAVLVSARLEDLASFELYFNQLSVYYTDID PTKLEESPLYSLILGLNLVRLLVDDRIAQFHTELEKVPNHIYAPNAYVRFAVLLERYL MEGSYSKLLNSRDQAPSKEYIPIVGMLEKTVRQGVAECIPHSYAKLSFERAQKILMVD STDAVREIGKQYEWELGKDGASFVFTRREDIAKREVPFQEMLGHHLNFAADLQRIV XP_829500.1 MMSAKLGINLKGPAEVFIKHPDGSETRVIRRKKGVVQQRNDVDL LNRDEEREMVYACPPYTASFNAMLKERKEKNSRALVNAVLERMHAESIPLNVITYNSL LERVVGASDDIAFELYDEIREDSMCVNSNVQPDLTTYQLLFRACERKSQYHRAFHLYM QMREMPHIVPDTTTYDTLLGFCAAVKDVPQALFFMEEMKKNCVAPSVNTYNCLMGALR EKAPYEETLRVYNGMTEWGVKPTVRTFNTVTGAALIHDDYEMAFQLFEEMKKQGVLPN VVSYNTLLCLVRQRLDYVMGRNAYASVKRTREQQAHGMKAISELTTTLFHEMGSMVVE PNTFTFNLVMEILIECDDFGVFDIYRMMQEHYNKNNNKTSIIEEKIEATRMSVSIMMA EASLSLDSNKIQPRERNPSIDSILCSIDVNKDTSNAANLAGLPSLDVIMGMDNRKRSA RITANEMQPNVDTYRLIVRACLHFGFAKHCHYFYNAMLNEGLGPDYNFALLMETVCEE IRDVEKAFAVLSHAKMAGVYIDVSLFNAYLRVLAAVGDDKLLSILGEMELGINSFCSQ PDVETYNIVLRYHLGLKHYDAVVELFDSMYDSYRQVRPNADTYLWMLQTHRAMNDAEA ATQLLESMHKRQIPVDIQHYHELMRVYVQAGDERLLQVFQQLQNGDDGEYQYPKVDVI CFSLVMEYYVRLKEWDKLDALFHKLLGYPDMEADVNCYNIMFDMCLLCGKYVEAKSLF NELRMKCVKPDLRMYNTLLHIFAAAADSAMYEVLETMRMNHVAPEASTLSILLQYAEG RHLVSDAVARNLFWDPTTDLKGLL XP_829501.1 MTILRRVTSAGRRREQASKAVQTEMTYPPDCSNLDNYVEACAAS QFQDLCRLRSMIEDALKEVACSVYDVNSFKDVIFKLDDQLSSKLPLTDLLLLTSKLFR RTANLGRFLACFFAVIFAEGHADERFHFTELRLLRRELLELKGKYAKVEEERVRLQHM LDEAGEVAINHNRTVELLEARNAVLKLHSLGLEDQMALLFSQMNKDMQRYCKDAYDKV YSEFDQDQANMSKEVFRQSMDTLSDQLRHSRTLITEVRDLVMNCAQSPTGGSRAADQK IAKEPSIRFKLKQVEGNFQHIVARFSAVKDFVSETTHNLMSAMQERKNILFLALQHIR LYDIQNSKMRKCKASLSEMKRLVNNLQKRMPLTFPPGTVAIVDRIGHISTQKWHVGCT LEAIRGQRSGEPVDDRVMAGSPTRDDASDQGRQCSKTLGASEPQVQRSTAQHHLTGLH GLDDHSDEHPTTETRSMPSYVSSNHTTAFQSVYSMIDMISTLGTQIDELNDNLMFENE VNTFLKILTLSIPTTPRGTDELSNAIDEEVRLGLALFPTGDIGGKHPQSVMGASVVPN ASDRDGAPVLAGTTSDSKTEALPHQERVKDGSVTSSETEKQVVKEVQEGTMAEFASKL GFLRHTYETRIADLERREADFHRFLAGGAFKLKEKHDESKDAGRKGSPQNRNVRGRQR SKAGSVSEERKQQEDMNQLVQARSEWQQSKVDLQNNKRLRETVMKEITKMSNVSSDTG EVTDYIDRSERSNSSAM XP_829502.1 MSHTAEDARVFREILQRDEECKHCFECGALSPQWCDVNHGVFVC LDCSGVHRSLGVHLSFVRSPTMDGWTNWRPEKLRQMQIGGNRRAREYFERNGVPKAPI RERYQSLGALRYGAMLEAEALGQPFDESSWAPPEWYERMVQSERNRPNGEGMPPQAPQ QHRPINGMWGEGHGSTGSGGGKEWLDTLSGGWSVFSKKTKEIAETAGAQARSLITETN VEGVKGTLASGWGAISGFATQMSSKLLNKNQEDDLSVLSDMTQHVKTAVQDDNQGLVG RNMTQSHSEVGNAAFKGQANPYDGDFQSKKD XP_829503.1 MGSLFKLRDHWYTRYGGEEFSHTQPLAVGNIDNHASGENKIIIG SFSGLLRIMQPMKKGAALPEDTLLEKDLGEPILQLACRPLELNSKGVAANLLAVLFPK RLALFRVRCGKTRSGEKENSGAFNASCSIHLCYKTSLEGHAYNFTCGAFGGAKHEMVC VQSMDGQLTIVDRNLVLLRFLLPEKEFLLPGCLTYCRNRDCFLTCNSSMKVLCYKFSA LTNDQPQTETQGGALKSIKSEEHKETLTPLWAFPLGEDAIAIEVCRFSRGLAENDADI VILCQYALFVLKLNGQMRYSKRLDVAGLCLTSYDVPAAGASNVLVGTATGSVLVFSDT SLEWSAKMTEGVPLCMEVTQLMEMQGLVVSLNTEGTVAVNYLGTDPEEEPIQPLQSKE VDYAEAVDELRRVQQAIKRITNAEDGTSKTREAEKVLEVTWDVIGDEENSPAEVVVLL VIRNCSKDMTAFRVTALLQVVIPIEVDAHKHTIESITPGGSARITVRFSTPSSLNYVI PSSLDARVVILYTCGRTTGCSVGTTIHLPFTLVAQPIPYVDASNFVLQFDTNKSEPPS LIDVFTDFAQSEHISSNLLAIQYVNGAHAVLFVSRNAGRFRLQASTMEALWLFTFELH ARLLTFYDSEVQFTFPNPIPLDDYFRVIDEHVETRKQLNTAKNTLARASQMFFAVQKR LLALFRTNSSTSVALTSTLLGACYGNLQRCTDTVCRLILQRKKAAASLCCCSRLVVMD LLIKCQKTLTDPEDIHLIESIFTCSIDADDELDWEESTDAALGRLVVGNKGSCDFIPA DLSANDGMFVEPNVERLRKRITEFFDKLLSGALTSFLK XP_829504.1 MASVLPSAPPGSAVISSKRRSRRGAQRLAGKQPDVKHIGVSQTV IDRLDLMSLHQELLETFSTFDVQGGMRRKGQRGSFASKFNHVPIAKGIVNRTNSCFMN AMLQAIIFTPPLAQLIISASDSELCPTLSALGKWMLSYWTKPANQSISPPQLSVAQSA NEGVASTYLLLARMNGYAQEDALEFLQHLLDTINTELCSLEKHYCNRAAIDSGDEKGW TFVHGRERRSLREEKTGPHSILLDAVFGGTIQSHLKGKSRVRSHASVVLDRFFVLQVD VGFNAECTLEEALERTLQTEKVYDDSRAKDLVKTMKLHQLPLVLFAQLRRWAVTAEGE LVKLDNIVRFGKTLVLPKSICSDETLSGTARTYQLVAFVAHRGSATGCGHYVTYIVNA SLPENARSNSLVTGGEEVLTLCNDVRISHVTMREAIEGEAAYLLVYQRKT XP_829505.1 MFYKMKLERNIKVEPHLLERTLHRHLEEYLRKAVEGTPLHLSLG NALRSGLARTNQNSSAVIIAVLDILNTSSLEGRVLDDGSVSFFVKYEGLVFKLHRGEV IDVIVSSVEREGWWGDVMGVGRMYISRGQMGNEWVYESDGMRGIWITKDGARSVKEGE IVRVRVVAETPQSEGVLAVGSMVGNYLGPL XP_829506.1 MSSGCAPHYRTNLCPPLRELKSNGEEVDTYANMWQYHCERRGEI QVGETIGYGGDRGSMVYSVVRCCSPGLSSVNCQLVLKVYSTEINENEFEFIKILLGLD DHQSEDAVMRKSICRTLPYLVLPMATVRVDGVDRGILMAKRERNLKELLIYASKRHGS RGNAIINRCDDTMGNQESREGVNYQRTAFRWKKDFLPILQMEVIIAISFQLVLATACL NEELPHTVSGISYSGFAHNDIHLENILVEEKEGRVALCDFELVSSSPGSSSDKGPRLQ PPQRRLPPPCRRSPRDFFCRKADSWGIGLVIISLLTGIDPLFDSTVVLDDFGEGPILR SHEFYKGNLQVLDWEQNIKPHVEKLLIHDDPTGRRLKEAQPLLHLCSKCLVNNPRADP CIPIELLSDPVFSCFVSKEKVSENILISWIKQIG XP_829507.1 MRGKTKKRKIYKRFSSLPKTETSTLPTVHSHTTCIRVQHVSHHL HAYICTLVSGMSHHRMWPSPITPSRTDATTTTTKTTTKNDTYSPHKKNTNTPHAKGRS YIVNLCHSFYSPTGDETVIWVTNVVRSCAAKYNYIPHILHSHETLVKRRCNENAANEK CLIRC XP_829508.1 MLRHLFRCTAAWAPKRSATREQRLKERVAARMELEAQVKSRVAC YPHRSLTRPALRLERHQVNTPLFHSQLLNLNKMATDLQCISFSAPKGHWDAAIVLIKG HPNEANFEVWVNPTVPGYDDRHSIAPMYGMWENCISCGACTAWVIRPQSITCSGLDEY GNEKTEVLDGMRARCLMHELDHLSGRTILDQAQGPEFIVSGIAMGQRDLWPPNFPSAE AYMTSPHQFFDYVKNGPIIPPGMEWFYAQSMNQQFEDARLSH XP_829509.1 MPVTTHFSSIGVKQSEVIETATTLGWTNDHQAQDYLQKLLIIGR PILRAHNWKIHRLKEFYPRSARLLGQNFNRGEEVCVRFRVPKEKNTFFPFHEVVCTFL HELAHCKYSKHDRHFWELYTELSVECCRLDLNASLEREAAAPPDRRHTGSGRRLGGSR IVPLPREPEAMRRILSEAAERRRQSSENGQCYGCAHDKTGVGSELNDGLWTCDNCDGV IDALRGKCEFCVEVGDSTEQVEEWSCKRCSFHNHCALVQCEACGRFKSGRTADGTNTV HTVKALAASSDHLLFTMTLGRVADALAPILQEMRWSVACLEEFAPPLKRVMSRSSFKN SNECDTLSIRLRSPNNPHEPLTFTCVLAHALHQLAHLTEKNHGANFVHTWIAMIHRFL TETKAFSDDFISSQEQEDLLCLAMELELLLNGLSGRDTALCDDLLDNVPHLQRLFENC ATFVKRERLFTVATTREAGVVGHSKHLELWQCRRCSVNNNGGFIIFCEVCGAPRQLSV LLRTSRTVAVRAASNETVIDISDDDGDDGSATSAGEATISPGVVFIID XP_829510.1 MDTAYATLFSKYREAAVLACDEIGIEPKDLLYRAKKSFKQSDND SSSAILVRYTLNERTRQNRIRQVLVIRNKLVLQGNVSDRWKKRCQQYPDAPRAPRIPK AVLEKLLAQLEKEREDKSDEVGSAHPSSPAFSLTGEIHKGLRLRRSLGRSGGASFVRS PSGTETFRSPMAGSPMHMSRTSPTAAAARGRRGSKVLFGRELEVKDLEVRRGGHSATA PIIKYSEECRSSPSRSRQSGRKARKHGPVPPSDPPLFPPIPRHGRSDETLAQEAEDLE RYRHTLSLYAVDSASLLEKFMEQTAKRGLNGSNTESNATAQDSARSKSAAQDGEVEAT KKGKRSDIVCLPQRTAQERRTAVFMRLARMQLARNMDEFRKMKIYLGEMDEIGQAGTI VASIRARANINKPPTHEEYMETLRDKERQRELRLQREKKEFQRTLKLHEKKERSAKKV LEKIKSKWTNVRLEALTTKRDIALTNRKRADRRLKMKQDHYCVRLSKKEMEAEERRRK LFRTISMKRYEHDQEELFRKNIKGKIMEMDRLKNWRSESLALPDVSPHSEKKKTILCP L XP_829511.1 MENLVDVGKSNGTTNGAATRRGKTQCTEWRGKCKRQKVNKQLSG GASIGFPPRLTNEHIVPPSNAAKGGKEPNSTALTESANHGSVPKDVPCFQQSNDSLSC GLASSRITFVLPCSASDENKVVTPVYLHIYELSHGILHRHSKKVTGKYVKGVYHSAVV CYGMEFFFEGGITVTCAGRTRFGEKFDKVEIGHTNKPLSSFLDWAGQRSRSTYIFHSY HPTEHNCHTFTSDAISFLMGPEASLPSYLTETIDTIVKTPVGTGVVELLVHFLGGMKY VAAENQRRRMSERKACLTRARRCIANNVINTTPPASVTLFRVSDPSSCHRTLAAVEPY GRRLLEHKAIEPPAMNFLRCVQGLCAGVEFIDPDYVTEYVNLATYALIHTTPTLRGPI LNSLRVAVLHKMVSCTCAFHPLLLCALSETVRNFMNLTAEGRLALLRLLCNMGGSIHG AVALSSAEYSQMWVAAAGQAVMDYRNPAIVYTGAALIANLAVAFVLTENFVSKTVEYP HISDQCQNLITVTLFYLGSWPRERVPEAATTLMLFALFILLSSSFDASRAAKLHPFQL NFDCLIREVRTLESSTLIRMLQDILRCPHA XP_829512.1 MLHATVAPSLPASAVTHSVSGRFSEGLPDNVRELFIFKKNHVEL WRVPQDLPSGEKRLLYVTGIQLNAPPACVAVCRPTGFSVDVLVMCFDDFHVSFVQYDL LSMRLRTVLLVQLDDREVSRDMTPLEPIMRADPTGRFVIVLARRRHLFLLPLLGPMTS EGALKSEAQQPDNAEEPNIPGATPSALGVADDWGDEGDYDEETKENKVAESSDAACGG ASPEENSSKVVGSSSVLLRIGAISMFTLSKVLKSSIRYVRDVQFIGTLGEPLLAILCE RKPTWAGRVKLVEWRTKAVESNMLSQQVTWVQISGTASALPKLLLVGEVDGVPYNVTH MLPVGSISQAMSGVICFGVNTIMHITTRRGYGAYWNETGKEECTSSKSSAVSYGKINW CDKKLESSTALFRVNLSLANCVAATLEGKDDEGSLQAVAVSEDDGVVLMLQFLSQGSN IHDIRIAVLTSGCYCSSITPISERLMFLGSAVSDSCIATVRPQGSSMESRFQVVESME AIGSIRDVDVVDCSALPDGGSNTTPRSEAGGSWLEETPFAELAGMTSLDPMPNLSSMD CRAVMDLAVCAGQGSSGCIYVLRQSIRNAVVRREKVNAVSAFFLELPRNPKRLRTEGD DREGAAQNVAGPPSRLLLTGLSFTIPFTVRGESVQQERHSEFIANCRTVFAAGVPWLG ALLQLTEKEGRIISSNGRKLLHRFSFSGERDLDENRQVKSACLAPELQALFVLLDGGV LLQFTLSEMGGAPQRKEFAAGVVAFTLWREPKSIIMFMSSLTMMIQDAETGSIQWIIP QMGTLPPYTVSEEPVAEATKEPSASDDLPHVTHVEVLNLLEESATDPGGIVATALLVV LGTGELAVYHVVGPDKFGPLRLIKKFHHFLDTKAVREVIESIEAKKMRLQSERTMIEN DTQSVRHCSRRIIPFAAVAGQSGAYVCGQHPLFLMWDNRTRQLVAYRHQAPGLVRGFV PFTSMPGGFIYCCEGFVDFAVMNTYCSPGGNGWLRRRIHIGATPHFIVYDPPGRSCFV VTSKKVPFRPQRASFDVQLKIQYDEDSNTVQSVTTEAPVCNMPAIKPGTGVRVPLTER FEVRLHSTFKKGWDCTDKLMLDENEKVLGAQMVEIHQDANADGSATAPVCVVCTAFPL GEDVTCRGRIILLASRNIKGRRSIVQLHSEPLNGPATAVAGICSQIAVAVGGTIKIFR YDWETKKLVVSAFLYAGMYATRLSVFRNYIIYGDLCRSCSMARFNEENHTLTVLGRDR SAVSVVHCDMMYHDRAFGILCSDDERNVLIMGYTPRVQETDAGTHPKVLESVLSLDGE YRLPSGSLVKSLRFRSTAGNSSVTLYVSNYGEIGFIVPIGEQANRTALWVTRRLQIDL PCEAGLTPRMFLSLNQRSPRNSLRGKEMLVPAPLLRGLFSLDLRSRKAIARAAYTQLD RVANIVALVHEECGLF XP_829513.1 MSYSWRGCRVLLGSMPSFRPHIHRHPCTICSSAVTQYQDATETT SADICDDKNIRNDIHSASHVSCFNYLSSDKAATATPASLHFRDRQPSGWSGRALGATV CESRRAALNEWLGMMQNAAKTTQSQPEDAYLQQCWQAFRDPSRALTDREMEGAIELLD RQCEFQKSCTTSDKCLVGESANSFLMRLRGGDVHSVDEERQLSFQRTLLEFRDLANTI KLPFFLCCGTALAAHREGYFIPHDVDIDVGVFYEDLQDLGDPQKAVVGLLSHAALGGR FVLFDVCGTVEKGLELRFLHSETQVPIDLNVYYQPLPQDDKIVAEFGPFVWTATYYES SAARRHGMYRYRHAPFRAAMKHMSFCDTMASSSDPTNTVGFLVPPESYVEECYGKDWR TPRRFTYAEGLQNGGFANIIDE XP_829514.1 MSATDVASELRSGAPEYVPTFLRCKQSENVTAFESPVVFLMFGC RGAGKSTQSTLLSKTYNLLYLSSGDIYKSGKQPFVELRKILNEHFGDGKERVYNGVVL DRFIANSEFEAFYVQTALRSVGLPVPFVFMLAIDQGLAAKRAEERGDNKGGNQRWRAV EQKAQAITANTVYAPIQCLKTIRVESDMTIDDVFNEIKTTIANQLPPDLFNLQLPREA RREVEGTVLVEDYELYMELANDVHTVVGNLRGRRDSAPLSNVGAHLDKEYFSFANKRL RSQLTTMHVTLKADGLRFLVMKHKTRGYIGFPSAFTHCYELNDLFEGVEMAPKPYTEL KKWMNDKSCELPADFLLDTEVVVHEKKPTLYIIDFIYFWGLDGRRMQFEQRLKVLREY FGDMKPQGQVIAMKDYVPINKIRTLVEEMKRRTELPVDGLIFQHNGSYRFGSDKFLIK WKPVHLCTVDFRLANGRVENGVWTFDLFVTDDFIEENGFREVAYPGATALIPASVVEE NGLQNGMIIEMALSEKESVKKTSPNAPSEKTRWTFRNARNDKPSPNKYSIVTRICELM HVDLDELVSLCEKVPFYRNV XP_829515.1 MSLNPNAPPWNVPDHNMDHGMDPHGRHGHQDPRFHNFSGYYPQH MPMHQMQSVQNMGMPRSMMNHGYNHPAAHIHPMQHSAPPGQAFHQGRARGQAYLGGHV NAMPAVPAPSYRKQHIVVVVVLGYRCVGKTTVARQIAKVRNYRYVSLKPSEDAGSVSP EAFVAPVVELLASKNSYEGIVIDDIVSQNKYDPYYVQSVLQKHGLKLDVVVLLDSELD NAKEGVDYEDPQQRKLHPESYEFCACHLNGGNAVVVECRGKDLDETVAEAMRQLNDVN IVKESTITLKEVALMPNCPLVCNPSMVTEVLAAQSGVLGLAESASFPFSEPNYLLEYT IFARQAHAFRSYMIIPWIKGDKVSLIGYKDAIYLHLPAYNILFQLNDSPTALTHLLKE TTATGGLAFIFEATFAANKLHVSDLLLLGGMKGSEMLVNERVDLLKEKLGELREGAVQ LLPWYPVKDMEMCKKENPEADGILFVNPDGIQVGEYDSRNYLYPLHRKKTVELRIWNG NFTGGVWTFDAYCEEVGTEKLVEGIPVHINDDVVTEYCINDGNILECTREEQSVGTNK ARKGGKHYVFKSRCQWASKPTTTYKQECFVNEPKWPSDRLAQACAAITYTPAVRREVQ NEASG XP_829516.1 MHLPTVSVICISIDMLATVVLFVVALGVSVGDINAERAALKLKV AQGVCAATKALKSVHRYTVEKVKEAEGKLEDVQNMVKLAKLKVLREKKAGSVDCRTMA FFLNYTVKKVSGMIELLPKIREQGLNLTASAGIAAGRLEEMINVFHQPSDRQESDIFF CIAGKQGEGVTKSELADCFKGGRDAIDSSHFVAFGDAEATKGWQDIFKEKDGLEGALK KHLTVDDNDPRFTRGEGRGCQLVHGMQGGGYIKDANFTEHMLWGDGILGVKKDGNGTT GYVGSTRGSDYDHDILWEKDPTASVPSLHATVSDYHSFSGLLHKYDTIYRSVTEEWTA DLIQRDPEATDLLTASELTVEDVAALNSRTKQEWLSQKHAKEADKEFLMTEIRFCGKL KRRGFIRRMVKKILGGLRISWTSEG XP_829517.1 MRFMLRLVMLLGVPVVFADVATIDNKPLSNKTVESICDLSKMLK FGVRAYVLSKTREVEEKLKEVTIVGNYAKLKMLTGNLSGFECAQKKIYVTHTLETKRA RISDLFRDMWSTGAKLVGSSGLVAGRLDELVNVFASAWANESHYCAQGFKKIVTCNTS KDSSVSLEDFDRKGLASIVDETLSINSDEVLENKSTSCLLTQGFNGGGYLKYSNSQDQ TKSSGLKRNLVWGDGIFGIRRDGNGSTSSQGIVSSAEYPTDVMWEHQPSKMIPIIKST VKDFVDFQKGFKEIGVELRALFKELKAKGMMTTVADSDIADSDQQLLNHNFKMCGKFS VGKGDYFKGSGVVGHTTLGGNCMRAVFFVFSVVLW XP_829518.1 MSALLFVVWKHLFCLRDPNISHKLLIFKVRMEKLGILLLATTTF VVGTTTENKENLRNQQEFNQLCRILRLVEGDPGQVVKEPPNLGILIEVLQKMVKATFV KESSYQEAVDAREKWKSTDSTVETKNIMDKQRADDLVRYKITHEKLKKLLAKAKMLVS RIKEERYLAYVSRNAALEKMAKVVYGSSAEKMFRNETEFEKFLANSQGTIVGKTAKET CGMSNDKMKNVSFAGYSLVGDFFCLCVGENNNATLCDKSFSGPREEHKWTKVMFEDKL VDFAAGWFKIRGLCYVNATSGIKDVVTPENISTEIVAFNKMLGRQRDDVQIYRREAES YRQYILGRVEQVGFTDSAICTGEHQHMCVNYAQCLVSNKGIPWQLKLMEAEEDLEDVE KHNMEVHVLIGRLDKLIEKIKKAAEQLSQEMEGIDVAVEKVQLLEEEIEENETTGKSE ATAGEFNDNAQPEEGSLDKCEYWGMFSILFGVVAFSLV XP_829519.1 MRSEIVLVVGLFLTVLFSSPQGDLAGYKWSTESINWSAITKLIG VNDEYHNAGEFEALCKIYRITQAEAPQPFFKNREREGEIVKKLQEMISETEAAGGNKV LNKSANSTTAYQEIKKLLEKAKKLKEEIEVNRTKALNASRSAEENMLRAVYGDAVDVA RNGNKTLEEAMRGNKSLLFNSVDDAGTSCGSYGEKLVGKTLINDFFCLCVGEAKNVKI KQNLLHPGHVTPYDGVYNGYNCPCKDEIRRPKSGSWTMMAEYCKGGEDICNPDNVTYD HTEAWDVISKACVYKNVASNVKTLKSALAQFDALLNLEQDEYQVRGIFGYVRTENNEN RTCTGHTAGFTCVSYNHTLENGGIPWYNRLTNATEQLQEMAKYAKEADSHLHELEEYQ HEAEEIFLEVKLGGDAELWKNSRAQGESDSEGYDTGVNNDGLTHLNVETGGFTLLILS LICIF XP_829520.1 MSLFIAAVYLTALTVVEVVMGKHAGKAMTEKDAETLCNLSYALR VVSADIQRKQKDATAKVDEVREWRHRHGVKGRTWKAIVQGLEKIRVVNESYMEGIKKT YGEMEKIMNGTDSALLIMDTSFLSIVRVSYHVVNASESIGQVLRDLVVMFEKTKDEKD SWCCLVKGKEALSGEGCGNGGDGNDQKYKVVGIPEKCKTSVTVNTTSEGIMTLLKEHE RSQEIEFTTNERPNCWIMGTEKVANGGAGSFIVGATGGFVTYRNGDAVTLRSQNMIPE LIKNYTLVRKGYESIKDKYNKLKPNFGPFDEHENQLKELLTQSPMVRRYFKESGKKRK GGEDDDDVIDDEGSSMRKQWAAAGVISRCSSSFVALSFCTVL XP_829521.1 MVYRSLCVPVEYILLKFYCSLFIYSLLGFVLNSRLPTNTPQAVV LMPILCDCLGPLASYFPHTNFTRVQERVIPAIIQNDFNVVVAAPTGSGKTALLEAAML RLFKDRLTLNTVGSDAALPPNADDEVDAASGNKEYANSPTNRKAVYICPMKALAFEKY TQWRERFPALSVVMETGDQEAMRTVDAIMDEVFQTDIIITTPERWDGITRRWKEGVVW NLVASVALLMLDEVHTVSEERGAALEAVVSRMKAIKLSMTTRGPQVCRTRFVAISGTL PNIEDFAEWLQVPPAGVFSFTSADRPLPLTLRVVSYPSTSSNPFAFDRFLTLKLFGLI RRYSEGRPTLVFCASRGETMNSARRITEELNEAAAREGCERQLCASEEVQRLASSAND KQLRTMLLLGIAYHHAAMTANDRTLVERMFMGHYVSVICTTTTLALGVNLPAHLVIVK GTTFFKNGNRDDLPLSEIAQMSGRAGRPGLDTHGVALVLTTDDKAYLYKPLQHGDTCT TVESRLHQNMIEHVNAEVALRTIHNLSLGVEWIKTTFFWIRLRRCPRRYGIIFSTKQE EDDFDREQFADQLMRRMLAELEKQGCVAIGRDALKAGDVILNESATQTLQDRSPSSTG CRDVSDVNCAVESTRVGRAMARRYILFKTVETLNRELLHRFSHQSGRQGAPQIMGAAM AEEEGQIQQKTGESFTLHQVLRVFCHSSEFDGLRLRQGDKKHLNELNKVIRFPLNCGM RGGREVREDWHKVYVLIQAHLDRVAVSDFSLRNDCVRLWTVAPRVARFVVDYATTHPC FSLIQQSSLLCRCIEQGTWWDGLIIKQIEGIGENMAKALHEGGIKNFSDVLQANPRKL EALCGKNPPFGTDLQEKCHSRPMYNLALEHVTEAGTVRVVVSYKAGVSTRRDPPLEQC HMILLVGDLELDRTHLLRQIDCRTANRRPLVFTFQVSPGYRGQIMGSLTNGNFLGNDC NATLKVGGVEAGDSVKLQTATGFAQQPKHRTTAEKMQGTLKKTPDDVKVTDRNADRDS INEPVPHNRRSTEPFVTTPHQVRGDTSVIADQCASDLEGGEIGTTSAERGAHSAAVGC DDEATVVKTKMADSESSRRRDTEAGQTDEAFKYLLERKAQIASSMGIRVVSSPFGYKR RRENKENGSGDSPETRWSPQISCKEGDDMLLGGMEVVLPKTLSPPPNPVTDVGRGGAR PSKRFRFNVQGVSPYTTVGRHAHYQGCYGDTRAAATHPIVNTIESGVSPLFPHTTPPA TYSTGHPTFQRSIFECPHASTPPHRTGVVHQLRVTTNAATSGRQLSHVGVDAYRQSTF SKAECFAPFTWGPRCVANASGAFAHGSAPQLPLGGSVACFGEVPRAEPSPLRRARPTE FGGYTSHNGVVDPSIRRGVVRHTTVHRGWW XP_829522.1 MPLGLDDIILVVASASPLLWLKRRDLFSSSVPRRTLTASGGVSS PQTPVRRSQTTPCILLPGIKSDRTRGIKNGAEETLVSSSVVEKYSKEIIHEYPCVPHR RVHYARHNRTSATELLTCSSEEIAATLNRYSVAEVCRLHEAIELEGDWEAALTITEGA KSGGHFAYLIKRNLESVVRTLLATGHTKMAVDYCVKYAQEVLLSDDVLVSLFDVCRNS EKESLDLYGSVQPFKSEWTPVVYACCLTVAARFKWQEALVVYHDYTKRGCQLQQSRMA RLLSQVAERGGVILRPREVHVGGTLAPPVTKPLKFLYHILVPLVADRQPEKLEQFYRT MMADEPESAVDVLLRCLHTNNGRQLALHWLRESTQASTSDVPSLPCSDDVVAVANALY SKKPTTMNLNSLLNVLAHQNPASLPSHVGDRLYQQLCDATMADTDAYVLARTVSNRPG HWQLAARFMSAMVARKQFHALPLLSSYVAHQGRWALAAKAMAVCLSNRGPFTTNNLQL CVQSSVYAGRWRSALFWMERAHTGGVKLEAGVYNDALAAASRSPWVSALRAVTAMHEA EGVPSSDAILDVLESVGAQGQVEKALHVVCATGNVFWTP XP_829523.1 MMELAEGVRSGSSVFAGVSPNNVIRARRPSVEAPPMISIQRSPS AGSGALESRSRSESPRAASIGRLPPRGLPVPLRAQQQRAINLRSMSSSQTQERILLPR SRSSSLMLSSAAVIPADMVASKRFTPPGGRTPLVGPAKSPPIGFVKVMAGPPPPGMVK IPVSPSAIKSPGLSPHFNRSQTSVSSPMQSVALPSPASPAPALTPGLARKSSDHVSPG ERSRRRRRRSEGKIVDTPQKHRSRTNEMECMEGLAAATEGSLPAEQSRHRRHHRLSRR TTARRSSSALAGEKASKDVPPTPENPVVNGDNGDEIAFVAEEEGKEGANTEAKQRSGD ARKSSSSSSDSSVSFQFTDTNPPEGQCKEEETTPTPEEKSVVNEKCAKPMDVESPRSA SRTQRHNSHRISAPETPKSKGSGISSKSRSRFGLPSVEGVQKASVMEVDEEEKIEEAT PAEGKHEPSLPVAENPKNQWNAMMSTLQDQSSNGVSRRTAPSLETSNKLQEASRKHIA EQFLSILCPQQFYGKVTKLHRIRLINSERSRAPGLKEHATILVASKGVLPNQLFYPQL RLAQCDGVEATSADIPGQLDTSIVLCEAAPGNMVFAGTEVEAEHIFENDSTVTSCFTL DKSAIIFRDPVRYVLPKAMLDVRWIPYSVQGSEPLCPVHHKELQLYDSSTRELCCSLC LSKSGVDTSKLIVVPEALEGDSRRRVTETLGEHLKRSIDKTAEWMGHHQRIISVAKHK KEAVIRQFDMLISAVKSKRDEFLEHCDASFASTLSSVAKEILLAEEKVALMKAAIDHL RSDALKPLYSLQVATVASALHVGEETPWGTSTDATDISLLNSGLSVNLEGVMAELQLV SVVPYTRPPSSRRRRMGDDRQEEVTQTDDYESKRRTSQKSVPTKELTLDDHLNLSTHP HKRPLTSVRRRRSVGRGSPTGRRAAPLLPHEVFEDSDILGDDENIKRVRRLGQWITVP GCRGTCIFNAPIHKIIKACREGRNLSTRPIALQWTLRVDDPGEWVGIGVGVGGNLTTW SENHTPDLGHLWVVPEGARRQHFNLRVTLAPRVGHAKLTVHNTNGKQLDDGHIPQWRA ARSCYPQITFGGRIGDVRLIDGPQLLTT XP_829524.1 MNDIYARRLAQATMFHQLMRCHGTLWAATQVTKEQMDYNFIREE FMRVNGRRAMPLLLGAAANENLHQLHLSHLSEHCAWGESARALAVQRQTPLSQRVAAL GRMAETIHQVKTASTVQNLFNEQISCMEGISSFEEEPLIEGE XP_829525.1 MAHIITVLQVTVSRFWHCWFSPFKQYLYFSFFFCFRFVCFFFCC STTGHNRNIVYSRPMKWLLRLVGRRGKKKTPIPPKPYVDTASSSKHMSDIRMYDPNDL KHVDPEKPHWQDPRFESRESVPFFDVWGFNRDWSWSLFKLSLLIFVLIFYWEMRSMMC LKDAPPRITSTTTVSPVPDYARDEKATEEELRAAGFAYVGVKKLDHLGLVAEADAQER KK XP_829526.1 MEIDAENVVTIVTSPGNVTEHNRFSTASKRPRDSTEDSSTFRLR LKEFGQRAAALRDEVAAGADKPSEALQGKMWNLVLDLRPYVVGFASPNVAQLPGEVRE LMLGNPQRVARYSVLTLRMYATVTRIVVAAPAILIHCILTLFSLFPILGIPSKTCAIG DILFKVLHHAFDVLYNCYQPLLLQLFKVIVQEEVIGAFVFTTVPGRRSGYGRCTIQVL RLPEVLGFRGDTRQLFRTTIVAESLYEVVSVAQCFCTVINMLLTGNFPLLPTWRERKS ILRLACSLLSVNVAAGLSTSMYESWKETVGNGERRNGEEGVNLAFALHTTAASLLHAM LMSDLLVKELHAPMILYTLVQHVYEMSRAHVKKGWSKELALLRWLVDQKRERQCEVRQ ELFPPHVGKSFTQQNTGQTRKVGQRESPFCQSEWLTNSLFNTNMCDDTVSAITCCSTG QVDAEKREAALLLLALLKRALSCKQDLLPRFEETFYRIGENVLDALVTRRVTPESIYF HDMSVEASVVHQLLCFFPRDAVSSLLRKILQRRRAVMGNNDYLLAKANASAILCEEAA TFRCVWKQLVTEYDSSILDAAIIILRFGCEKETMQSVVLDSLRDLTSGKVTVRIGDSP LRYSLLYAELVRRLPITDFSYTNNIARLIVEVINVRAGEQDVAMWRISGVLRGWREHV TRNYQQSGNDVTPSPLDGPLDTARVSATVIASQKLLDALGWSTSFAVSLAKSGATYGN DALLHFLNQLLGITTELFAIFERMRATQADYCEVEPSSDETATTGVEVVSSSSTNDDV VEVKPYDGEKPKAQCSELLNEHEVNPFVLKQPVAAVMELTGRTDTVVYDLACAVQQLV PDKCATNNGAVQDAFISLMCLCNVLLSARKSSSTDFVLAHAFGHRNEVIRLGYAALSL RVLLERSGNVDVAAVLKDTISKHSNADERTGPSLLLRQLETLRAAFTEEWEGFVQQGP RSGTLRDFSPSSLSLTTISSLRDHCNTKVCRDVINVCRAICELDGDMYATKATALEVA HGVMSRFSWSMDYLFSCDPDIIHTVFFRAMCYLLGKNVREVVMRGLDDILPRSLLADD GAEQLLRINELLGEPLSLMSKFPMIMAYILLCDAANGASERLDNVKKVLQFLSKRLSD LPDMVNRSLGSIIASLMYLHGGREVLSRDRGSLTEQKRVAVGNNIEAEKREDDVGGLS EFDLAVEMALCIGECGPSVTDIKLVLEMQVTNDTVDGGSLTKHVFSVLDTVSQCVGIN NRVTAETVDVSVHTVQRWLYGLISLIRCLGSHTTVIASKLPAILDFCSFRPQLVRVVC SVWRELLSRCTPRYLSEHSPSIVVDLLSMEALTEPGDIEALLHLDEAMRVVYERSKSE PFWELYFKIMSRSNILIRRLRSNGSSAKCQTYESGNAPLSAKGSVDVIVTGLFSVVQS SSTKCKKVFVRALYQYLSTTDVAGRMELTCAARQHPQLIPTLLNCVFELHDEYVQYVL ACVGMIGAVGHSNGSMLRHGCQHTGSNNLSPVSLHLSGRVSSSTRKLLLSHPTEVLHW REFAVELLSVHCPRALANTADPTMHDRAAFAVQELLRVFANAERESKCYPTLQNDEAL HIEELQRYSWWMELEPSCRQMIEGYTTTKYTACVLQRTELRSPVYVSGMEINTWLNVW FCDLVLRCRGVFGQMMKALRNMAKGEQTLISYLLPHMIIHIISKGDEEDTDAIVTEVN LLLEAASRTGVQESCKAASLHSQNLMMEVNTHMANVTSLEEHVQQIFNVLEGVEHLLF ELRRTPRKSRTGHEGGIDNEKADLVVKVIRDFFERVPWTSKVEAAIGIGSSMRALRCL EGQRYLPKVQDVVGKGISLQRIFAALNDRDSSRSLHRMQDHNPEDAAFSHENNGEWVQ ALQACELVLQQRPQSINHQFTALRCMQQLGQLHLMSRYSQALLKDSPRPSTLQSATWC RQTQLAALQNYANEAAWRLGEWDSVQARQDLPVSLALPMVALNKMLKRRGTLHDVFAA CLFQRMKIAPIIRAACRESYAQVYPHVVFLHALTDIESAAVAVATSMAQVSPDPSDPV VSFRATGLRDTKKMQELGPTLQRRASLTETTLDTQELLLSLHRSIFRAFSMEEEVSKT WMSHVKLLRNEGFLEPALSAVKQASLNNKFTSPSYWTTPAKLLYEMNMSKQAIEFAED AASDESIPPEIRAKLRVLLTRWKQDISYQTPQEVVDSYELALVMHPSEKAHHHLALFY ETVYHSVHSSLLQSSSDAAKSTRETFERKEVEAVETYVLLAIKHFGMALQLGCKTVLI SLPRMLNLWLNCSSSLASSAAEAYPDSNIVAPSLQKMADMIERFLLVEETKLSPQLLI TALPQLLSRIGHESKHVVNIITKTVVNLMKTFPQQCLWQVLPIDRSKQASRGEVARRG ILEPYSRLSANEKKLVDNMIIVFKSLIDLCNCSVGELTNGNRSSEPSLAGRPFIQRME KIFTTTKVLLPTTANLSPNVLHIPSKSGVFAGSATFQEFIPKVNIMSSLQKPKRITVV SSEGEPVSFLCKSRDEPRKDMRMMEIATLMNTFFLSDPEARRKRFALRRYAVSALNDD CAIIEWVNNLVPFRKGVEECYAIDGTGVCISNVRAWKAKVDSGIMKKLDMFERFIFPR APPVFHIWFYSNFRSHQDWYHARTIYTQATALWSIAGHIVGLGDRHGENLMIDVRTGE LMHVDFACMFDKGETLEVPERVRFRLTQNVVDGMGVLGVDGPFRACCQAALRCQMKNK TAVMSVVETLLHDPLVEWMREQSKRQRSFDPKQLIGRVSRRLDGFLDLYNPSKEKDTL ALGCEGQVSRLISHSSAIENISEMYIWWMAWL XP_829527.1 MKCRLRCLTLLYCSRYLIMPSSFSSLKINSEYTSEERDKHPRVS TNIYIYIYIYICSFVPCSSSAYFVTMATEGEVDFWSATVQAFAPLQLGNPELTPKLLK RPPFRFIHDIVTSINARFAAYDHIFRPDQLDASCIDSKEKKIEYLTTLVEYISSLLGK KVDVNVKKIVSGSEPERTNTFLQCLAMAVGYAQQDKAKKNQGAQSAESSRQQTADGKG ARASTREKVLVDTTSSEQKWEDSERALEKVKLSQESTNFFEKLSAFGQFHLDEQTSVK DMGESIVAMYKELQNDTAETQPTPLPQEALETAIKRQLESVQKLQALEEENREILNKL MVLLT XP_829528.1 MYFKPSLTRYIPVCISPLLHQCRKLTNSGDAGVKGNTGGSVSPC GSPDETDSGTWRSAAQVTPIVLHSGRGNCVKEAPVPNTLPTRHRESIGSRSQDVSTVA ADGESTVPDHGPLRPTGLWKVEEVVDATKATVDFRRIDEVESEVVEAISQSDSSLVPY DEEEQWKHKLMFVHKFRKSPKHLTWRQLGEEIECMDCIIDMDKHRPEEVFTISFYFTD KKTGTRDVVWTARNDVSHSEGLTDLLAAIGACLGRADVHRTIRFDDGMGVTRDISIRK LSRYTSDVTVAFRPPEKYNKYARKSERDEYEQSATVEDRSTWGFHPALMDRDYTALDY EDPSGTYVIALSAHAFSYIILICINRLLERPMKDFYRPSQLMRTAKVRMHDTVGVSHA VKGWMGIEELIYPHYTPVEAIQEHWLGKDAPFSIVAIINKLREMTYLKKENPELRSWL SVRHYDTHTAIRNLKVKMLGVGASSLFLPVSHNPTVNRLLPRSQQRRLESSFSLNAVL GMPDETRQIDEFKKITGCECTNEIGDTKSSTEKKDTIEK XP_829529.1 MVKKMGRDEARAGVERRPPPMLKAERQAAFRRKVRNELLLSGRE RKDAERQRMEEFRRLCKAEGIQSKRLQEYDAMREEAANKLGEKLNHIEYDQSLTNAEK RKRRYNLKRNYAGQTVMDLVQKQEKHHNALTKVEKIRKKRQEEIEAARVAKRERDEMK VKRIKERMAQNALYAQRTRKGQPVMSGRVEALLNKIQRNQQQ XP_829530.1 MIETPDASSGVYPQIHQIREMIREVSGVLESDLQVVREGWDKLR KARETIMKGFLGTNIDSPSVHSPRDRGTILPEDVELSTMEDGESMNDMCISEDSASDN SHGEVENVGRDDAAPSSELPKKTFMGNGSGGSDASIMGEVSEQQEDASHIPEETHFDV GKRSGKLQTVYASIPCVHVIEACTRPHVHKKGSRCLFEKGTFCVPQSLSKKTPTTQPS NRKKVSAVNCQSRRPRRAAQTRVDRMISPSGPSYSPCRTSPDQTFWINVWPCFGSFSV RSCVPSRIAVYGNFFYVEDVIERAAAATKCRPAPRVLYEPHGRPVCSVKQLLPEHHYL IYPGGALYRRENIPEALLRELIWSAKAKLMECGTPPGKAASS XP_829531.1 MQGEPRGAPSGQSLFEQMHQQHFAQPQGFSPQQHQIPQPAHYVP PQTSFTQPHGGQTYNQPNNSYYPPGAPLQPPPFISPQQDSDYYKGFYDGVVKPSGPVV GNIVSWVAPLIVNIAIFVGPLWYVRRKYTQAMAQGAAGGAGKKPGMGNLMEMMNPMKP KNFRVDVKGTTFADIIGIPEAKEDLKQYVDFIKDPKKFTRLGARLPKGCLLTGSPGTG KTLLARAVAGEANAPFLSCSGADFIEIFGGSGPKRVRELFAQAREAAPCIVFIDEIDA IGSRNQSGRSMGGGGSEENRTINQLLAELDGLTSKEAIVVIAATNYPEVIDKALLREG RFDRKVNVPMPDRSARVELFEYYLKRIITGDAECKPKIQRFRTRKESEDGDNIKTESA ASPKANDGKEKVASLTAEEEAPEVKVIPGVSNKEYAIALADRTPGVSPAQIATIVNEA ALTSAVAECEVVPLKTLQESIDDVLIGKKHRQRMSDSSLERTAYHEVGHAIMAWTSPL QKDVLKISIIPRGRAGGYTQQMQDEAMEPRTDAFLFSQLCVLMGGRVAERIFMKDIST GAMDDLQRATRIAMEKLLLYGMSKSIGQLAFKANERNEGRGWMNFSEELHAKVEEEAR HLVASAYKHTEKVLLEKRELHEKLTKLLLEKKELTKADIEQILGPRPIVTDTS XP_829532.1 MAQPRKKLEWKKEVPFGLTASQFGMALGLSGHIVHFVDYVRNIV GTELEFTGNAITDHGINTEPKSRALYELLTSTAVSDGGFYLLEGRLLGCSPDGRVFED APPVSSQLMSPSRKGNETKLMGVKNGKHQENPISIRIPFRSKSVRDDSLATTPSPDSP TASTSKRLARLLEIKSPYYALYDSTKRLCHPFGIPQQYLCQMQGQMAIADVDVCDFFV YLDKPVCQVVAWRVYRSDEFWRWAHPKLLQVVEWIRDGPPKSLTKDFKFGEFDYSRIN VEPLIFPYDISNSKPICDNRRFPFFAKFVNPYMDPRRSREQEEILRMLSSPVTRFLFD NNHGLIKSEGVEYGEEAMMWCCATADSTGSISRCRNSLASRWCGGAPNGAAAGGGEAL ALLKPVDFSDYRVTCRVYTPGEPACCDSSQGCGICLRRLRFCERKLFSRLNPLASPPD IRQLTTPLCSQPEGAVLSVSQSDPIESDNDPIIVVEDGGTSSCSSVEVIS XP_829533.1 MFHDLTNVTQSKRQVHPHHMHESDMEVAARMTAPVFTTDEGIAE KLDQLSRKVDAIYGLMLHLVQQRQLPTAPELLTSTSQTSTIEAVHPAFPEEEPNFVSM LSSAMPHATHSAPQFFESPTHDPLTSGTIDTVTTPMVSTRDKEEEHIKATDNKRALSN PQSSSATRGDDHNSWKRHDCFILLVEFKCQRMKRCVSSSFITPGQYAIVQGDRGHDCG VVNQCSEWNAEKGCIVREESFDGNVTNVVRMKGEMGRVLRVATEEEVERLFGEISRNE NLALKTCREIVARLGLDMDVVDCEYQFDQQKISFYYEASRSIDFRQLNSELFRIFGVR IWLQNVNNSVKNVVPAGAMSREEKTQYQKSGLRPPTYK XP_829534.1 MVRLPPIVQGSQADGIQRRQSVATAPAARSAARRGSIRFKKSLI YWKLFGASAPLYCGPLGEVVGEVEEGTVIRETRRYRDPFGNWWVASRSVDDSLLWLLF SSPPSSDGEVGQWRRVYDQQDQDIPSSPRTEEVAVLGSYSIPPEVSTKELPSLDDVHQ FIRVLGKSWRAMTSNPAAHTRDWNTQYQQLVEQNLFAEREASTSARDQLRCFMEEFKA AAEEAALGVVAELVLPAELRSGRGVTGERNYFARNGLLVRVMLDDCDDEENKGSEQAW QVATQFFLAQQVLSLEAPKQLFHTLPTALVTFCGVRVCVFAIAPIELQNVVYLPIQEL ERGNLETPNFVQRRLGELGAAISLKGHVLKGAEGKSVDVAMSADVALIKGYDKRLYII GSGRLLPELALLGNEENMKDPTKCNLWDDTLTTLRFRPEFLLRWSAPLNPDAFVESTA TPEDNATIVEATEYIRAKLIPTVAAMVGLHEPIDIPRQDAVECTLCGNTLTNELRFVV CRSNEKCCHICSHCYARRLSEQGDSTRDIFCDAVKCGAGFRGPKGLLMQPSLSSIFHA NGLNMRFLPFVYHAIPAGAKPVVGHYCEIEMVARAAVRVFRNKLRSTSQVIDEVRQIS QDLFLGLLQSSGHVPERFWADEIGPTIEKMYGPLEPFNTEKMDVELLYHRVADLSGIV LSSSSVESLYGEERPFLQLESVEPVVKVVVPPYVADEEAQEELRRGLYDSLGETLLFW IGAFTDGVEGSTSGGKLPHEPFYLTEQPI XP_829535.1 MSTKSSISPSVKAPKGAVTGVSPFLRSQQELQQKLHCDYVLLWY KRDPESIPGQCPNFLCVGEPIPVKLSHHVFMQMAFGVLKDRLWKGATRKTNIRKGSTA GAPEKRQHGGNSTNSSESNTTATSGEQPSNNTTSFTSREQDAVAMYCFLWQFTTKMLK SQEEALALARKHKKTGGVEPSPSVRMTKQQLRLQLLLEYGVDVQKVLGDGLHTTGAVR DEYYKELLTGKVSEEEFLNQLSKPAGMAQTCGVM XP_829536.1 MSKLIKLDCGVQHYAWGKEAAESYVAKMKGEGNKEGKYAELWVG THPNCPSKTFSGQNLDDFLKNDNNMSRFVHPKQQADPRFRDTVPFLLKLLSVQTALSI QAHPNKQLAEKLHRENPEKYKDPNHKPELVVALTPFEALCCFRPLKDILEFLESASPL KTLLGPAADVLPGEVEDSEAIKHMMDIVYNTDAKKHAEALQEHAEELRSRGGEMTKED SVFLRVLYQYPDDMGCWMVYFLNYVQLAPGEGLFLADSEPHAYLFGDSVEIMACSDNV VRAGLTPKWKDVPTLLRMLRYGTDGLERAKFERYRAPEGSEWELQHYSPPREFQDFSL YRIEHRVERQGQTHIKLPTVGLGFCVEGCGIVNGERVRLGECFLVPYGDLKIEAFGDF QIFVASMNYSLHSASHM XP_829537.1 MLLNSTRTLLLTYGRLLFAPGREGRQSRLAKGVSAWTVAAEGEK GGQLSPAPESTSCCGAAEVNEIKERDTKTCRQASSAGHNDLGLQEKEKSSGDESAFSS LGLNEEKRRALTLVLDGAPLFIGGGAGVGKSYMIQSIVTALRAKDLDVVVTASTGIAA LNIGGSTFHSTFGVRVTSVGNSETNESCAVSILRYSKSLLAKVDVIVVDEVSLLHARH LEGLDIAARGAPGRIPHLPFGGIQVILCGDFMQLMHSTENCTSQDGGGDAGNKIGYRG DETTENTAGQNRSDVSSTAAVTDQGHIMSAVKNICVGERQRTSSGLIFESPLFLTCLL HLQLCEVKRHGDTAFLNDLNKLRQGVLTRRMMRSALVNPEDPNAIQLYPTRRSVAAFN ESKMLELDGEEHLFRSIVESAGLSGPKGHASPNSRGANNVDGCNDVVVLHFLEKMRSS RRWQREVKKFVGQICTRCGISGIATSVVAPPYSSRQPYLKVYVHFCVSKQYDCLYPVA KMKAEWERSYYGTTPESKSARRFFGRVLFEVKHKNSLSTFLRASLKQAYSKVIESDNV LQSKRLKVGCRVILLRNLSNEYVNGSTGTVIGFQPVNKSRHLFPKGIRTQLSRKVYAS LSRRPVVSSDSSAGSSENSYGGNGKEQALDVVNYDDVIVPIVRMDADGKDVAIPWLSL PLPDLQDRVFCTARVVTMPLVPAYAFTVHKTQGLTLDHSILLDCKGFFPCNHIIYVAA SRVKKFSQLRMINVSPRMVTVHPGALHFSSSLPNVAEAETKWKKWKELQRMVNNGKAL SASNPSVLELALYCATWKHHK XP_829538.1 MPSESLADVDCKINEMRDRLMNISQCLQRDEEFDAGFVPGRSPE VYQQDERNSIVSHAADEELPRKRQTSISSSAERIFTKEEKLSVLREEAGDGNIKTLNT SRRKGSTTALVEEVEMCDSGIKEQESIKTTYQLGAPYTKNNNINGGPPQAGVLQAERQ VHVSSSQPLTHLVGGSFDPLQAMEIRLREKLRKKVSSSNHPSDTVGSVNNLPVEQRNI SNSAAENSPLHEPWTATAETPSNKTQGPVRESSEKQYKQYVDKGCGSCALDTEYLCAG EDKRPTVRSSSVVTEVSRPLVSRGSCQHRVQGTNFMRPIFARAPHSPGSPRVTEKSVS CKNTIRGNSGPRGRTPSQVGGDPVRPVLPRKTAPQRTVLDILTGAELFALLRLRGVIV SRGDTGEYLLPEARCHSVYLSPEEHRQLLDLRTKLRAQCSRNPVEPRIRGSPMQQRAE SGPTRRTGQMHRTSELQCNLRQR XP_829539.1 MFAELDFDAEADNSSSAPGGVSECACSSIQDSGSSSALTPNDDA LVVQLHRPGSEGFSCVAGPADGATRMYDEKLINGDSWVSDECHCVYCEVLKASSSLSK LQGRFKRDLRPTGDRVGGRKTVVLLLDLDNYGFNQFKSVPPRRSEGSNINVLDHLFVW SFFSSCFARYHGVLPDAHVVCQRNSGSEADARHSDVNNLNWGQGKKSVWKHLADEGRL HFTPCGGQQQAADGVIMQIAYAMVHMPLIVVSGDQGLLRAISERRRVAGRKTSRDIME NELTSQLEVINVCKHNKKFFPVWRDLETAVRRIIHHK XP_829540.1 MAALRSVRSKQQVNKFCSVGSALLGDAVCFSIKEWDNTLRTLEA ARCDPLLLPPLASLPTSAVCEAVRHYHNVYMKASQLIVLRPLHWRKWQEGLCEFMDHT NNNGTDGGNSSNGSSTTLHTGCCELEVLCGAFLSQQREQFVVAFWGTVDSCSLRLKLL VSRFPHEDEIISVSPVAGDGMDGNGADLEEVRIAAEESADDMGEGAILLRKRYFRELY SFQRLACESAHLFGFYASVGKAERLWLTDTLLLERHEEAAEVLIRRSFKRDFDVPSLE LVSGELLEDYKSFEVNESKQRVLLKRAGDTLKGLWCILAHDKFVKHQSQSKLQQKGVE EEYECGVEEEERSCGTDATALKFPEELVRCISCKAVRSVTAFATAVQSIVECNPSSPP SANLERLEFLLSLLLRWFEAYTGERHRGDIPLFLDTDLWEFLLQRHTELLKWVMSAYC SGETDVDYNSAVEAVQFVCLSVLRCLDVYKAAVVSKRCEESVKDKLKCVAGNTVAEWL KHTAIGAFLRGIRRACSDLCASGTRFCLSIPLMLIVVRSSVVESRLLLLCHGISCAVD VRQLLLPMVDLGFKEMSQLDKNADGTLLTSWVGSMTSVLNSLRRTLRVHGAEHAPADD FVCELHRHYLSLQRLSPAAVSDIVTDAWTDFLSSGFVVEGGLRGSRRVSLFSVSSERR SALHGAQQMVNGTHVDVGHEERDYKRLRKE XP_829541.1 MSLLLDDDDEYNPPTKVMRTEPVMADSMLHNGVPVERTNVIAEG NSSAAELNIARRGGDGVEKMAAFAFAAGVVKVNLVDRLDHPSPSGATTTTTTATTSQE QTPSTCFRGNNPPTVPKVVKGDVSPSSQHGSSALLSPFSGSPDHPSSTDIMENNNDST SAVPTVCELFPQGDAIKSGISGVGNTTPTGKSPLRAQGGIPTPPKSQMKLSDFFAKMA CVRKM XP_829542.1 MQWKKDDLFEGLRKLPRTPGELFGHRNKLNFILQKRFFIAPDMV ELALNRQNGEQFNRCYAKLVMQAVAKFNNTTRSQLKVRVDPRLPKGLQLIQPDDKDTG ANLKSKKSEKAASGRLNAFSNTTSGQQRKPQQRQQSRYCSPQSGGVSPRVVMPIDPAE RDCLYATYLRARTPQSGTPDYSDMMLQSPSCATDGKTTMFSEDGSSALRSATRRSAGD VHFATPPVFKGFERTLELTPADSPTESLPLHF XP_829543.1 MSDQDGNHSPSPSPSPCCSMESSAETLSCRGSRAESEVPHRSHL PKSDRQSRVRDEQLQRKRGGKCLESCSLYKSQVQENEVTGLNEPKGVETKLRKDRPRH HNNRGKRGARRDGGSNREGKLPTKGTAAVTATASGSSGRNVESPEWSKDVADSDNNSS YLSRGGGNGFKLFQKLCHPFSTHDVMPRWGPCSHKPEATDSAELEAIGDFMVTNSGDA GGMRSVLRKVANTIPSRVNSMALSVLPNFATGSSLIAIGVGDANGRVNYCEIPVGDAK LSRPSLSSQRKSESVVTTASQCRTDMDVSSISTSIPSVSPRQQLDTFGFQQQSVRKHT HQAYVEELDCLTSVPISQSVNCFQFLRPHLSPSTVSYLTSNDRVIKLFRVQREGLSMF HAFPEMESIVGPELSKTKYFPCATLPSLILPARVYSGNHINRIHGLSVCADDQSFLSV DDLEVFWWNFECADGSNGSRIADMRPPSGNMDDVVELVTTAGFHPTHNSLFFVGRNSG FLGIGDLREAATGAQRRYSTYVRGRAGAGWNSRLQDYGEILCSVSAAGFIGTDHIVTR DYFSLKLWDLRRTSEPCDMVRLMPYVEPYLRSLYESDAIFDRFSAAVDHVSGTIITGL YDGAVAAWQPFHSGRPGGEEILTFYRADPLALLCEVENGGRVTVETLQASFSRRLGVY CSTHSECGQFHQTHLPEPIANRVTCVSISEGGDRFAMSLRDGRGVFLFER XP_829544.1 MFADRENLIMEAVAALERQRELTARSEGTMSDYEDDSLLDTYLR IKAEGQYKEPTRSDSSWSEQSGFDEFNEHTWSYGNQGITETASEICSQGGSRFDTFHG TSAGHYIYPTEQSRQVQVRKLGKGSPVRKREEPSLDETSLHKGSARVNETKWSDSLHM DVEQLNIELERIGRTDPSFLRQFRNVYGEMEEEEGRDQNQEMERISRSHEDGSQIAFV MTPKREKNLPEAASLSGKNGALTRAATRWNEYLMRDETTIEVSDVFDSDEEHGGGSSS LQHRGDSLMERRQRTKEKWEAKELQECTFRPQLSRASVSMFQKSSQSRDSVFQRLYQR NFAGKRSEGGNNSSVRELLLAEKDQLREMYGGATSTKGGADSFELFMFNILGSKDEQK AAFVDTKYQSPLARKLMTVRHENTFNRTTSYNGGHHDSGSSRGASFDSFLLRQDKHLA NRTRTVRQIEESQRPSFKPQITNTSVRIAKRLLARNESEVGNVKSAPTLAAAVKKHRS PYVDPCTFKPETAPGSSGAKPSGVEVLFLDSVRRRNSIKAAQEKARSAEMKHPFKPTL NDERNRNVESYLSSKHLVAYTENLKRQKERLAEMREQKEREKEREMAEQATFRPKISR TPAYIRRMASSFALVRQQCAN XP_829545.1 MPKEPILHAELREWLEEYRRHRAFNPIAWIEEKCTKLNEYMRNN KLRGCVTNVSGGVDSAVVLSLCSRAQRMEGSPIVRNVGICQPICSSAWALERGRENIR AAGAEEVVVDQTDIHRQLSLSVEAAVGIDGKDFARGQLRSYMRAPVGYYVAQLCSQSG TPTIVMGTGNMDEDGYLGYFCKAGDGVVDVQLISDLHKSEVFKVARELGVPENTLQAA PSADLWDGQTDEEELGFPYDFVELYTGYFLKLNNGEREMKKKSLSAEARHQFEAYMKS CEETHCRNAHKLNGIVNL XP_829546.1 MSADPTSTALAQLYVDEDKTHKSLVPDDVVIRDYLSCINDDTWV RHAELVNMSDLKETESEEVVKEEVLRAYIEERRRSNTERRDLVMEGIQWQERFMEEYK RDQRTRDMSEAASYKQGLISSFRTKRGTEGAKGTGADEVKAIGYTGVSGSRSAAPPVG ATCDITPSIYSTKPIQEALKSPKKEEDPYPVEQPAEQAPEPADKPEEETEQPAEEASQ PAEVPAEEPQQTEELAEETSYPVEQPAEEVSQPAEEPAEQPAEEVSQPVEEPAEQPVE EATEQPVEEPLEEASQPAEVPAEEPQQTEELAEETSYPVEQPAEEVSQPVEEPAEQPA EEATEQPVEEPQEEVAQDAPEEAAGDAPAEENAEAQE XP_829547.1 MQQSTRWKAAANLDLLLGIHHPAARIVRDYTAGSQINDGGVVDA RNSVNFRDTMYCNRQDYGSNTTRRRLAGYYRYMLSKINLWEADGGGVILKGEEENPTD IKQEERDDVPSLQKPNEGSLYRARGILTQLCSPLATEEELLLPWEFFPIVNVDDDDTY AAPIRAMTKDVAPTGGFLRIENEDDDDGGATGGATDASGGEGASYHRNNPHSVSRLSL YTRLTPQFTGLYSGMVVGIVGEPFKRSSSGALTAVLVRRFILPSRPQFPWGIERPIPT ALSHFAIPTRIHFCSGPFPRRETGHILSSVTLNALHRGADLLIIGGPLVREFEEYEKD SLKLLQLTFDELLSSYMDTIEETMEKYYASSKNPRTRDRMKVVLVPHRDDVTQIPAIP ITMYSLESTDSIWVRSNPCRIAVNGIHIGVCNDDVVGDMREKMVERWPTESGSLRRVV EALVQSRLYAPLYEFPAVKHDLQHIGALRLDFLPPNPEGRLPKEDISTSALTWDAVRL LSAASVLNTLDSDGDTKQEAKRVKQEEDDQLVYPTNFSKCGEEDSTVEWMPHLLFLPS TRPRFAFVTHQHNEEALDDPAAASGVMVVNQEVWCRRTTPRFELRVAEVTVEDSERVV CRGASEVNGVVCGVIHFTNIAAAA XP_829548.1 MADPFYDARNALALGNYHQAVAEASGTKTDARKAEDIAAFHAEK EVLLALAQTGLGQGDAVVAQLKAATCPILVAVREWAVFCSAMKNLSGDIQSDPVICMQ LKKLTEAAEVIDPARTQIAVLAAAALLAVGDNAGALKLSKEWLNDQRHSRENSLLRLH MELRVIVVEALLRMGRTEMARSEVKAMEQADEESMLTVLCSGITNLYEGIKTKEAYQT ALRRFKELTMSCGQSVLASNLTALAQIQLGEYTEAERTLLDVLAMKSGDSDTTANLAV ISAHSGKGLDSTGLYTRQAVATSGPWSRSFSAASAALDAAIEEFTASA XP_829549.1 MKGAMPPRQPPVRPCVVPRASIARAFAAFAVSIVASYLVGTKMG PITVIITLALFLFGSFNLLRHALNLVVVNANEANYNNMLRRADLMQHPSNQKFSDCGE NAWVSFGFSCMQGWRRAMEDDHVTLLTCDGGFFGVFDGHSGANVAKFCGGNIFGFISQ TEAYKNGNYSRAIYDGFMTIDKHIYSNFKDEKSGCTAVVLFVKGDNLYCGNAGDSRSV LCSDGEPVPLSTDHKPFLPTEQTRIERAGGYVWNRRVNGALALSRAIGDFSFKSNTLV PWDQQAVTSAPEVHRTLLDRTRDEFAVVACDGIWDVLSNEQVVRFVRLRIQRQVPLDK IAEELLDHCLSPHPFGVGCDNMSVVIVKFKQSPPVSPEEEFNVPAADVAESPEQLPLL SPASDGGGRDFREENRRVGSNVTETNSLLVAEDGEES XP_829550.1 MSHPEHFGISYANIVYAFLVRVDGLHINDSINQMFRSNSREKKT LIEFLLKKRGNHNNAVLLDKDSDDTESRLPLRGTAMAELTRLAGEDLAQWTHIVKGRY CAPSLEETKSADSTHMRWRLTTSDVVAQLTMVRNTFMANILDFKRMSGTTGPSSSVPN NEALGTLGNIPVSLLDVLHENVVAAIKDSISKLCTRLRKTCPPGSLTRSMVAVDSHVT SGASAQWDWSEAHLLASVVELVWALSVSVVLDAHNASAVCAGGLLYSCRLLCSDADAS GANKNDYGSQLSLLPPVFFLTHDLAVKLEAHYHAVLQSAGCSIVSPSRVYYSLLSSSC YDLLSQIDEQAVRGHCMSSVRLCVSLLKEARLSCETWESVRWDDIVSRVRSGIPQANR QVLVQDLECLWHFHRNWSEKTRGGRTSLTNDAQVGGLPIILSGKLPSFLSTRFQDLAT ELFSKCSSLKSVEASKGTKAVESMFVTVFFDALYMCHIFHNGPGSVDSLVVLLVLVKK ILPHAKKEKRLRGVVTLLLLGCLFPQPVPDNGNTKIHDQLVGSSGQPISEMLLGELDS WRKCKYSMWYAISVAAMLGCVSPASLLKKMPSFLKTLRNLFSSVDNIDRSSILYSTLH VGGLLVDLHLRNATALAEDVKSCTECFLYYTEKMLVKDLHNEKWREGTGAPACIMAAS DLLLFCLQQEHFYLKATGWLLRLLDCRNKTRGSVKSVKGPTICYGQLIAMRALSALCE LKYFSVDSKMSEKKNGTKNAVLACNLNCGYHNTVDELYDDFTDTKTQIRSKIMGMIFY RGSCYNYVVTTVEGPTALWHEGHLKNLLTFIKFTNNIRTAINDIRKAAFEYLNDAAQE SSMNGTSEFKLQLVSVLIVAGFFTSACAMLDRSLTLPKLLVGADSQLKEAVARVVLPL YLKSGNNSNGSSYGRRSLCSCAFAIYRLAESVIDVLVSEDNGRVEELVKYLTSHLLEL RDEMEYITLNDMYVRTAHDDVKDSPQLGRRWDFFSAGAEVGAFNCAVGSRRDKWNWLL IPMNINVDQLEACSSSPESEGWYVVRALEGTTLMDALEGLAIILLGHTRRTVRQQALL QLDILASLLSLQRVHCGDQLSTNEDAVGRTHNAPGTSAGMRLGTHGHEGAQNQRFTAS EVLKEVGESFEDMYLSLEESYIPFPASTSWHPKSERHQKGMGYVEERSFRTHIGVVES SRGTPADVEMHIRVNNSGSPLVSTPLGDRCMMADSEAYLLIFVSALALVLATHCYYPG SALVRVTCALAGEIVQRGLKLHMEGKLPLWRSCYVLRFLILSVDNTKSLPDANRVTAG GERNRPGHRHGGNTTIVGNFDDYKEVTWQIISRYAEYNKLMDDITVPLITYGVSSTTT FSFFDVLQFLHLGPLEYTWTRDTPLVTFPGVMDSNHPLIKSLRDILYKCNCSHSAKDA EHKAWACLSVFLPTLVVVGRRFFVKPPIIPSREQEKLAIQRTQMEKIIVGTIDFLLLG NTRLFEAGENIEEQQELQAETLFQILDEGNGVAARSWLALSRSLPATNNGDELRASFS RNTVGGAMTIIFLRGLFYDLVTLIFSKETKYLETQLKSILLLKVFYSLTHQVQWFLDI NPLQVDDNPEAQLHPNVLVAMEKGVLALQTVILSMEQLTGGSPSATVASIANSFSENF LKWLQHALPPNNTNLEGDMPCIFNIAVTLLVADASSWESMRRVLHQEPVDSPVHRSLF SIMVTAFSVLWGRLERTVTSPRVCVKRISYQTRSDASSDARASLLGSGTSCDGVNKMI VTNSSLIFYALMYSYEESSVGPVFDPIVRSKAKALLQLLCGELLINDTSGEDGFARWF YLLLNATRMQRDNVDVSGQLLQNFFTMASSIILSAGATVSSTAPIHPVSVKIALRLLS LFVRQVEATEESLRTMLKVTQACRNSISDNYIAEVWGGWIAYEGAGRDRFIAVLVTSM IDIADKNTIAYYIDRFISSEKLSQGVGEGRVSLDSQIQSTYYNFFLFSIYQMRLLNQR HASSAPSSSTKYDTALNDIASEFSKDDRIAPSYTAMSSVNCINFEMSIGRCPNVNVAG KGKMAWIAEENIWHGLQRLSQEAVRGRAFSTVRRERCSGRTCLSPMPLYPDDVETSLE LSFVKPLVSVLFFASWSIERLQEHGQRLWMEIYRDLSALGEFTSVAGSCEVKNERHVV SSSYPSRDVIISLAKRDATDADEGSCVEDEQETGSVVQQSEASSESDDNTLVQNDGSN FSSSESEEAGAFRASDEKSVIDYGSVVEHCSTESNGTVVVHGGTHTTFAAERTITVEK DDKQSEERKRRDHLKRKKDIYRVAHRDYLTLHIHFSCMLLAHLSSGVLHRSDERDEPG SRFYVVDLAFKVLEKLLKGTVTDPTYRAPNSTKYWLELTLTRLRHRDLMMAQKGNEMQ QIWECWDTLLLEESLVFGDSKLNENHAHSPSSTVDIVFAELFMGITGHGRNVFGRRFL QRCLHDSSKWLTQGFMMENRAWQRRHQVHSHISLLCMKVIRSISTCLSLSTENLLVGC GSRHLWVEKMSMQLAEANLLRHLLAVLSDRVGANSTVQSGANPQHIVTNKRYVPCIPV ITTLACLLRDITIHEILYSSESQKQGMDRTKPEVLAIATPFGSNSSFPSSYLVSCFWA GFALLFASDAWSSVCDLLTVFHRHGCLKFLNVIPKPPPCSSGTFQLTVLPECAAVQLV LLQQGRLTAKQKRDNDELRSTIGRNYKHNTAVVLKYLSEFSQDNSGSAALLAFSELVL LCDWVSLNLAGFSPEVLKTLHVEESKSRENSTTNLVRSNADLGGPPVYSLWDCLGLFG ETWKQSLVVFSGGSGSSLKNLSLRMKYVLDELFRVCSEVFNVPSVEMLLSRVDLSKQN GVHPGRSFSGPGLDDIDVCSLHSCTDTADDRCCLCEDNVLSFQQYFEILVVLPFMSLF RSHHTPFPMWSKLLSFVSALLWYHERGHTYITDLLLKFLPDLETALDDVTMQNALVDV NEVPLVDRLLVSVIAEEVLAKAECLKACRPAKQKNLVYDSRRHPLPLVSLIDNEQVIT DIVKYLVDSFSTSLGSSAVNLHQLSAVSLGSENATACRYHSTSNRTKGTDGEGMVNTD GKSAGEERSYLDCENVDRQEPDEQTEEACPTAGQFSEQSSDCYQESESGLGTRSHRFG AFVYETLPHAVGPSHQLHQLPIENYCCYVGNTSVDPPKADDEGEQADTNNSGQLLATY GDDTRTQSACDADTISRTSGRYSASSVSVDVDECSG XP_829551.1 MDREKLAWAGLSIVSVVFFLYIQNSSPRRSRGLHRPRGTRASPP RPVPNKYRSGSTPGTAACRSEGSRSVVSSRPERTNGLTATSNNTFSSKHEPSAHPQES RTNGENTPARHPHWLTRISGSGLNSAARSRRPSTATAPYMNETPQRRERGTQTTDAAF RVVNENDALVQMVSTDALRCISPIPRQGKETPSPLSQDVDLCLGYSLLGGEKLPSFLL SPALDGGVARELMELIEAVQNPEVDPKEWLELYNFLTELPRSVLCHILKTRKIPELAP PLLTARSPQDKRLTHLSRLQQEVFCLLRGERGRYSKIGRFAMDEREAIIIWCPAEGKA TKYENQFHQFTILSSDDDDSEDKDNADDLNGSPQCVRVAEAVEKILLRRRRAKMPSSA TQFPESSSVSGGETMWYTNSSFEEAEVATLLKQLMKLRHHEAFSVLQRNGTDYSFILN LLSLSTNAQSMLERSILKKKQRARAKVGAPSSSTSSPSITPSGKSGVKPHEQVGTRSN GMSKHTDVRQYEEPNLNEELSILLDKTPSSSFNDGKEGFMVSKKLFGSERNGERTPRR KGVHEHYARVSTTSTVPSPLDNIVDHKILPYGRMNTHAHVEMNGDNSISSGEGSNFRQ KKSVYDRLYTPPKKTVKPAATTSSWAKAPFNVYV XP_829552.1 MFFNQLLLRLRVTPRRFPQASAPPRKVCTDDPLTRWSQMLRLGW PKHCHLPHLSVGLEGCTSAFNAMNSIRTCMFYGTAVPPSFLSLSKAEDIKNIRDRQCG TDDAGSNPETTSLVLNRVAQFGKEAFFRGQWAENFPLVALENFTHRSQSLFTCRISSV ARLLIGHENRGVSSKYIECDNATISDESDGQCADCVVYVPQYGTISSLNVVTSMGIAL FYAFLDQNFPHSRSLMTETTKRAFCPNTDDELEALLSYQRCFRERIPTTHGYGVPSRI DPRPIHPLYFGKNVENIIDTHNHLRKLLLRACSDQRRPGKGRCFGLSVLCQNEIDLRN LGGIVRSANAFLVDNIFYFGRRKINVVGTVGTQHYTPPTYLGDLFEGCGSSIEDMEPQ LNLMKKRLDEANVPCRWWFLDCGHKFLYSASRSRVSDLQDLQGSGGSQAALEAVKWYE TRYSDPNCMLSLCESEETLREAIREGVLLVVPQEGILPPLHILQRCEKILTVLPHDFT DTVSAGLPVAVAAGIALQRLSAVMHPNITTL XP_829553.1 MAFNQRFDRGAQPSYAAVQLPPGWQMAYSVEGEVYYIDHNTRTT HWKIPQEVLNEMYHQGQTYRPSRSRRGIDRSKMKTKMCMNIQNGGKCTWGNACAFAHS SEELAAVPHNGGGQRMRMDPGAHQGNDNGLDNNHLQQ XP_829554.1 MPKMRLDFLKNVSQRLATGRSMPLHQVEAALLYGKPAQRAKIVQ KILPNVYALSLNKSTHYLLNTLLDKCDGLIRVQVLYQLRRKLVDLSRSNVGNIIVREM LEKLPAKQKKEIAEVFVLNAEEDEFKRLCTHRIGNYVAQKIIEYPSSCEVVEERFLPY LGQLALHEFGQRVVAKYVGVTSDGWKQVCKALFGLDDDVTLKKGKTGDDTLQERISQV IKTTNDNMTLSALLKHPLVPSRVKDALCAHLSEYAGEYLNPQSVCTGAQKGEKVAEDD EFAAPEFGDLPLTKASRGHDQGSPRHLHLYVTVFEYGDFAQRKELWDCIVAAPGLVDH IVSHKFVINVAVAAFKSYPESQDALWNALVGNEGRDVVEVSQDPVGTMLLRAAMEVDN KRFTAAHRRHLAESTLTLSQDPVSSPVIQKLLECSRSDEAVTFLIFESIKGEVQKLVL HSSASYVIQVMLQHGSLKLRGMLVEELIGAFLDMRNVLSYAQGSRVMQKLLAYASDEV VARVAGDFIAASQAEGNKKGDEARGDGRDETGEEQDVGVGGRRLSRKKIREMNRNKHY GVDSHALVSYSLHSHACYVVQALLRECTSRGLDTQRKHLMNELKPFVFELSISPWAGR IVLETMQQCGSAQLKEAMSNVVFLKVETWLTGAGEKEKGQGTGLNPTLRQTLRRTRKE DRNGSESTHESPARKRPFKTAE XP_829555.1 MLKLPTIAHTVQADCQPAAGMGRGPGLPHLPSWDQPAVIKLDPI NPPSPYRPSLPRHRYPTVLESLPRVSGVRAEASARPGLHSFGTKGTVKPDESLFEYEY ACVLNICKREKESRDDIIFEEQRISVRLWVDYLAEAGIHLSNDICLREEEARNLIERE EERRYMCFFIEMTSTADNMQRRFEANLRKQIEEIMPDYKRMRETAVEEEKQDLKTLLT WFKDNRPFNMILPSFFFEEDKNRRRLPPSLCKRKVKPVTNTRSKRYDSIHTSNKDNST DRFLEEAFLEEGRRAWRVEKQRGFAGHRMTLKINDINNEEVATRDDIIMEELLQRVAF DTAAIASMCDVKMKVAKRRTQEAKMEKELLIEEEKRKAILDKLKEESILKGENELNMC LLDEPTEKEMQLVNAIQHKQGESVSPPVEDRNCEKLSEEVSPCGKYTNLNSQEEHEAI QTLIEFENNLRHGGGGAPDCRATAGDSDSKCGGVSPAGRLEGRSQQRLSLPLERASSA ALTLKTSCESEAFKEFQASIRSRDSSASKRTDIAISVEDERVILDVLKVFEDSARMRE NMSPEDRHVAECVRNVVCAPNGSKGRDTDVKSSGDATATQTELVRSLEEEHEILNLLM EQELKLQVANDAEFRRRLSGGGVKDCMFQRGTPVGVCCGETPSTSPDSAMFPMLNIVL SIAAERDPYVMLGNSALSALGEAECTIAASAGRKVGEIARVFAQAQYTPLCPVYLLRG LWCTESSTGSSLDSEDVEELTVQLLQWEARERNEIIHTQIDTIWELYNKAAKEASCLT NTGYGDVYYVGVRIHNESLKRREME XP_829556.1 MVMRRCSYNVHPTWYMMPLRAYHMPGWKTKVSTKDVRTREQREA DRKVRQIEKLPRPQALHDFQYPYEKTVLSDTMFQYPVYENELDTPHLFNITEPPDFFV YWNVSDFERTSYPPLPEEDHRLLLPLSGSAPWKQHRKRSLKYLRKHEILPNYLPHVRQ DVNLSVVFPGVYATRARLCEETGEPLPPPPPVSRMTHRNFWMTAHCGNYIELADLQHP PSIFFLDTVSATGQGKAVEKDATEEGSDEVWYTLIIASPDYPFRVPASVDASTQRGFF LNYMMSNLKGGGNFTVLEEYESKQRQNNQKRQHLEELVRNPAPIAKEGDVIVSYTPPL PTEDAGTTRHICMLFKQRSYVSGASCALDDSKASFAARANFRLHAQHRDGIPSSSVEM LSRIEQVLPPDPSAVTFFQTKWDIQVQEFYESRGMLEPAAPLDEEIEAILAYHARKPS ELRVRARHRPDGSTNVGDDPIFWAQAEPTRMMDGSMLSLWSRRTTLGANGVPITYRR XP_829557.1 MGGGTRDEATDARYYGLRVYPLVDVLSRVPLPPLCPLAGVSKKW RCVIVPKINEVSEHVSGGICSVDRTGESEALKFLDSGVPCRLGRWRSEAVYGITGSDG MKFVTDSRWNEFPKPKIAFKAGWAGPGTHMESPAYYQLISSFKDVFERAPKGEKTSEA PDIPVDGEAATAETRDEEEDTVVPNILNLQLFHREDPSKCPAIPVLGESLVWPSFLED GAICDNATRLSQRGAVTWWHLDDSGEFVQQTALPLKTSNGTFLPPPLTTLPENQRKYF TDIDRELYCVDDTAESIPVKLFLYGPKESYDWFMHDGESATSGKVAALDIFNTPDEAL PGDPTLLPIIHVALLESGSRPLISPPNIPHIVVTLNDCIMVEQRRLAYLFMEEISYFL QKCAHWSDNPIIYDHVETDLQDESFVAGQLIPLLMSVFSGHSGLNPYEETIRRRVVMA LFTLATHEKHYKLSTDSRSSLHTLLHGGNAEMSAILRQAVSYGRRVVSLEDRLKAYWE VEQYWPKPGCVMRVPLLTPPQLLSSEILAKQVTVDWFVPVVYAASSPVFGAEKNSVEE VSEQYFTMAKLSGKRQELLAYLRTRVERDSILDELF XP_829558.1 MVRRNNKSTESTIVFTRGAGDNSLWDDADLIRLWNAQLEEDQCE KAEDEAPVPSTVSEDGSLITTEDDEVAESEQKSSLRSFQSGQREAGVASAIVTAAPIN ASVAPVVLHQSMFDRLPADIRQLLVAYFNAGYEAGYFVGKRDGSSKVGGKRARGE XP_829559.1 MGNGVVRVATRAPTELPTPKTASSSSHTTASDTRSDAQRSNSPP IPVIKTDGYNNKFDPERLSLEDILGEPGSSTALYIKKKMAGRGAFGEAFIVENNPVAP VAKRRGRIGAESLAVNGTERVGDCQSRQFVAKVLNLTCMSDHDRQYAQTEIMCLANAD HFAIVRYYEHFYIDDEFQTIVIITEFADKGDLYRNLHHMPSDRFPTEREAGVLFVQLL LGLDHVHRRRMIHRDIKTANIFLTSRGFLKLGDFGFSKQYDTSVSNPIAVTFLGTSYY LSPEMLKGQRYGKKADIWAAGIVLCELLGKRRPFEAHSPAKLKELVLSGDMWLPPTQK SGESNNSAANTDGKASPPCISLEMREFLEYILQLDPDRRPSASQLLKTPLMQHYLHLF KKQVYDMIAADDEVERNYISNPVDCIGDRRRYNLTAKERVLVMRGIVEGENLIMSETE KQIESGIPGHMEGVVFKGTLDGRWKERYLTLADGYLTVTLAKEKCASNCNTRSKRMPL DTIKSVSPMKIFYSPEANSVEKVDCNKKFVFVLSTVHSQSILFATKTEEERDRWLTAL MFTLDMG XP_829560.1 MAFSIPPLPWGYDGLAAKGISKEQVTFHYDKHHMGYVTKLNAAA KSNPALAAKSVEEIIRTEKGPIFNLAAQIFNHNFYWESMSPNGGGEPSGKLAEAIRAS FGSFAKFKEEFTNAAVGHFGSGWAWLVQDTTTKKLKVFQTHDAGCPLTEADLKPILAC DVWEHAYYIDYKNDRPAYVQTFWNVVNWDHAENQFTRKRNPGAPHSDL XP_829561.1 MSLKVKKLIDNKPDDERKPVKVILLGDSAVGKSKLVERFLMQRY VPVQMSTYALTLFHYDFVTEDDEAIDVDIWDTAGQERFSTMHPAYYHEAHACILVFDV TRKATYKNLEKWLGELRNYREHIPCIVACNKIDTDPSVVNKAFAFVEKHNLSLFYVSA ADGTNVVQLLESAISEAVKYKKSPKKDDLMSQVLGFIKE XP_829562.1 MLRRSFACCMQYGSTPKDIRYGIEARKLLLLGVENLVKAVGVTL GPKGRNVVLEMPYASPKITKDGVTVAKHIEFENSFENLGANLVRQVAGLTNDTAGDGT TTATILSGAIFREGFRSVSTGTNPMDLKRGIDLACREVLASLAEQAKPVTSKSEVTQI AMISANMDVEIGALIGDAMQQVGKDGVITTQEGRSLNTELELVEGMSFERGFTSPYFV TNTKSQKCELENALVFVANRKLSSVAHILPALNHAIQKKRPLLVISEDLEGEAMHTFL YNKIQGRITGCSVKAPGFGDMRINQLQDIAVFTGAQMISEDLGLSLDQSDFSERLLGS CKKATISRDECILMEGGGSAIAVEERVQMIRDMIAAEDHEYNRERLVERLAKLSGGVA VIKVGGASEVEISEKKDRIVDALNATRAAVAEGILAGGGTALLVASVRLDSVAKDRSL PPDIRTGVNIVKRAVRLPCRYIASNAGVEGSVVASKIMRRNDPTFGYNAQTGEYVNMF NEGIIDPMKVVKSAVVNACSVAGMMITTEAAVVEKDVLAKESRIEDRGLEDKEKREGL NTMRKRVNESQAPLPALAPPMKFQMKGI XP_829563.1 MIGEAVRLFKKGDDLEMHKLRCVATVFFFLWVVDTLIGTFSGCR ILQVNAFITFNTCAAVASGVVSLNYCRIVQAPSFRVKEQITAQPNPQPHSLLLGGQEH RNQQNGAISLEGIQGYYFGAKRLHTLISFGASIFVLFGSLTVMLESVHDIAHTRQPSP KLLLLTGVVHALLITVFGGEIDAHDRISGTLSSQREPRGLPSIHVTIRQVLRRPLLLF SRAFSQQYRIIRIVLRSFSAFTCILVSLLVRLGGSSMWETIGVMLLAFYVLAVTLNQS TSMAWLLLNNATCQPNVAAKCERAIRSVQLVPGVMQIKSSCFWEVSEGELMALVQLIL LTSADPLAVTQEAHKILASVAAYVFVEVRKPDEEDNFDPGESSSYQCHNHSHGHDHGH SHGHNHDYGHHHHHGGGERHAFSSEGCKPVVTPEEPESTPSANVALSSSLYTVPPATN NIAGHSGVHANVSTLLGGTRGSVTAV XP_829564.1 MKGQGRMDLAGDLVKASDTQGTIADIDAAPLPSPTAQNSAVKAR SLAAGQVDRIVAAAVPAGMHVARDARIAMQKAATISLLYLSCLADDEHSRESRRRVTL SANDIKTALKAGGMGHLVPLLPTGQVKRGR XP_829565.1 MRRALSGLFLPLRLLAPIQTRNIHGSRIIRRDYTDDEGDDEKLT KDRLIHSLGFESDNMDNLLHDITTGEKPIESSRQREHGRKQMSRVAEICMKEEMFSQV LKQLAAAHKGVVVEVPGGGERDVPAISEEDDTLHELINRLGLQVEKHTRIEKVDDLPE VRVQHAVLTLLGRALQQSLNGFRRECNKRFKARSAGKESEDVGHLLAWRCKVLKAYAE CFASLTEVHHHFSNTVGDKNTGDNENASDVCTECLSSAAIISSGLSATVELRWHLSNC LKVAGAEDETLTSLDHSIMSCSSRLLTDASALLEETVSKGVRGTGGSAQPSLLHSVLL LAERTLGTLETTRGAACKDLSGSVNAFYSMMLCVLFSFTSFPPGATFQLESRCITTLR QLWAKIAPPLERRTSDSLMTHRTVHSTLNLRYLRCREGLHADNKFLQFLSSKPDSAFL VLELLNRVAAVWATRNEARDYDLIPAVNSSAVLCRTLLEMEIELYFLACSKTAKNWIV LVDPSTKKEGATQAQPPSESAWERVLVAASTVRVGVSSLIELYVRSYVSQDLQGPPPS NPRTKMAFTKHPACCFLKNISASFFPLLRRHYLDTLGSEVLVSCLQVFLLLKQLSDVM ESGGGGDDRQSGQVAEALRVCGVPLSQAAEVAFFMSFLQYGCHVRPVSSSGISADSVV SPTIVSMYVREVLFALDYNTAKQLRHLRAKKVLHCFTGLRCDNGEKVDGRLLRRQAQS VLSVVHAHVMHPSFLWMPLHQLQEIFFILSHERQLTKGLRNTKGALGDEASETPSLFS VGSHGAKPRHFSRALGYLSLRLYVVTNVLRHYRIFLLRGDRRKGVGMPLNDSAVEERK RMRRQWSRDMAHLLHSKCSNLLAEVEGLNPANSTDQEKANWRALARLEATINLAVRNS LIYSVKKRKRMAALDAARERGEAEASVEAGDNTSPEDIGAEPSDGEGTADHKAPAERV FHLTLMRNDAEAPLGFSLHGSRSTIRRIVFKNDGVMGGASVAGNNTPFSAALREIGIL DPHSVVGWRITQIDGTDVEDTSAIIPLIRGKRKFSMTLRPGDDNKS XP_829566.1 MANVIASGTLAVLLPLGGYMGYSKKNSVASLAAGVSAGLISAVS LIYLLSDSNHKVANRVEACMSFLLSAVMAFRYLKSRKPTPLYVSLLTGICFLFGFAPY SF XP_829567.1 MLRFRVEVLGCDWVDDATTERCIEVRFCRRGARCRVNSTPTEMV VRSSPETEHLYIVALHEETIIGSSDAVEIGGITRRVGARMVEVRSTDAGAARLCVVHI MWSSEEEDWTVFFRQVEDYERNIQPIAAGTLTTEAPQNPPYVKHAVPPLTENNAQWCV GSPQYAPKGMLNYFVTSTQSVDVPNAWRNLYTSPCPLLVVHKEQLSKINETLPAGSAA ESPTQPVLDGFGSDSALEDICLNPTKPVDLTGDYLRRERYRSRVNVPWRKGISTVKAN PSHSTIG XP_829568.1 MAVVHKNFADYYNSLVSRVPTHHELLLRLCREETGERKAIEEQL SHALADLMHERGVMIAERKEIERNPTLKFEPSLTRLITLGMRQTNLELIRQRQQNPLV RQQPLIRAFPRAKYFTLLKEEEELRTWLSGVEHRLREKVEKECSHSWFLLVCLRQLVD HENEVRERIQQTEADQRVVIRRGVFRYAPPEFFRRQCVERTKGPVKEKTFEEEHLDSR AVVEAEELEEWKCMLRWLFDMYGVRLYSTSRCETAERYFIEEEEQKELWEKTLECTRE SYGPYGKLTATIAWHGTSCTPLMRMLTCLPEKIREAAEAKAREANKYPPVHESSAESS RSVTPRQEVEEHEELDEADIPKEASTGSSPKKPASEDAGEQVAAEGVEDATEQPAEQA EDATEQPAEEVAQETAAEQTDEHEELDEADIPKEASTGSSPKKPASEDAGEQVAAEGV EDATEQSAEQAEDATEQPAEHEELDEADIPKEASTGSSPKKPASEDAGEQVAAEGVED ATEQPAEQAEDATEQPAEEVAQETAAEQTDEHEELDEADIPKEASTGSSPKKPASEDA GEQVAAEGVEDATEQSAEQAEDATEQPAEHEELDEADIPKEASTGSSPKKPASEDAGE QVAAEGVEDATEQSAEQAEDTTEQPAEEVAQETAAEQTDEHEELDEADIPKEASTGSS PKKPASEDAGEQVAAEGVEDATEQPAEQAEDATEQSAEQAEDTTEQPGEQAEDTTEQP AEQAEDTTEQPAEEAEDTTEQPTEQAEDATEQPAEQAEDATEQPDEHEELDEADIPKE ASTGSSPKKPASEDAGEQVAAEGVEDATEQPAEQAEDATEQSAEQAEDTTEQPGEQAE DTTEQPAEQAEDTTEQPAEEAEDTTEQPTEQAEDATEQPAEQAEDATEQPDEHEELDE ADIPKEASTGSSPKKPASEDAGEQVAAEGVEDTTEQPAEQAEDTTEQPAEEAEDTTEQ PTEQAEDTTEQPAEEAEDATEQPGEQAEDTTEQPAEQAEDATEQPAEEVAQETAAEQT DEHEELDEADIPKEASTGSSPKKPASEDAGEQVAAEGVEDATEQPAEQAEDATEQPAE EVAQETAAEQTDEHEELDEADIPKEASTGSSPKKPASEDAGEQVAAEGVEDATEQPAE QAEDATEQPGEQAEDATEQPTGEGDA XP_829569.1 MYRHSVHTYTLFFPCALTLTGLMMRLVACSLCGTVRRHASNHYV GPTLLRIRHMAPLNGGIRSNRSRAVASCVTDTSFPCEPQSQRIVISPRDGTKGGYSSH ASVVTSYNHSNKQKREVSLTPSELGFSQCNVNYSWETVVAHPLEVRLASRRNESLLQR LHLFGMPAGYPDSCGEGFRRYFLLSLCSSFVSSFASSIGYQSILNGFLLASSPQLWML KDLAPALAAAYLANRVISYENRPKFWFVFSVALHNLSVIAEMIIPTTVPNHLLAAAIL TSCVRQSASLMFLVTRASALQHFAISNNLAELTKKFNSFGMVIYTVSTALGIAYTSLV PSVTAQLATVLMCCGANLAISHLSMCNIAFRILNETTLSVILRFYMREEGHQRGRVLS PREVSDAIGLRMIDRGRSDGNDRIGLLYVNPPIGKIRIRYSSLDEDVVYLCSSEMFLF ALWEPSAPLSLLDRWHRWEKPQLTQRISKWFFSKKKNVPTSEVLGKRLVLFVHQECDS LHLLTAYLLAYTALLQHADDLAELRRFLRSCNGEQSLWLKRGGELRKSLQAVGWDVEQ LSLDPLNFRLSSLSFAAQPRCMRHLNPLN XP_829570.1 MSSSESGSESDSSSKRSGSGSSNGDDYEDEYDESKNGSRSASSA SSGSSKGSGGSDKSDSGKKYTESDVSTSRRKSSVLAASEGSDKSGASSASGSRRGSGS GSSGSNRSGEGRRRSGKNGTGTYLSSRRKDDSSDHESSDDGGSSSASSDTPPKKRPEV KKHVVPHARSAGDDSNAGSDSKPTTRPSAETGQTRGAAYAMADQQVTSVSTKEELNDL LLLNDQLRLKLEAQRSILQKGRNTTLCRSQNRTMWKQYQRPAAASFQRMLSREGTRRE LLRERDELLERQCFLQRKVYCIKQMHVYVKLIQECKNDIAELSKERRALLLSIRSNEK LLVNTSETGDPKKVFKQLADEIRANSVLARRILDHRRRDAVSAIRMRKDTQKRVERLE EQVREAKEWEPPTDLDEGTRTLFEEYRWKAETICELHKQLSRLKAKSRGDMSDSSGGR VGSRHDKESRAYYVAKIRELRDEIYRLTSKADRQKTANSPTREPVDKSFTTPRGDVAR NLEQRGGDPSPRIGSNEGNQRSSNTDNGGGKPGAKVYDPLGEVKRRVDEARRSNTTSQ LLSDETPQSAARNNSQPRKRGSVDDVAPGGVKATGESAGGDGGDSAKSKSSKQTDGQG SDEYASQRDDYDEDAPKSYSASRKNADDYSETSETALPGEAGEKSSSEIVASTGGGTD THRDSGSSGNNGAAPNDNEKVAGESEDPPAKEDEGASWLDF XP_829571.1 MADLYDVLGILHDAEDDAIAKAYRRHSMAVNPQCNPDHPDPAAL EKQFKHVSQAYVVLSNPKARGIYDLYGEEGVRHGGTGAQGIPGGIDLDAIDPYAVFRS FFGVDNPFQVIGEINGLRNNRHNFFSSTAVIPKSLVKAPSIEVQLPVTLEDVYYGAVR RASWKCSFVRQGNETVVEEFFELRVPKGAHAGDKFVVDGKGDWEEGRARGDVVVVLEL LPHERFRREGDDLVVRVPITLREALCGVTLTVQTMEGTDVAVLIDEIVHPKYSRRVVG QGLPRNDEPSNPRGDLIVECDTTFPGFLTLEQKSELSRILDAK XP_829572.1 MFQRLDEEVPNIGQLSDGGGSPKSLNGAEAARTHDRESFGAASD KSANLESYHPEPTDVPSAFADSAGVEGASEKDNASSESGCPEPLAAPPPCAAGAKDAF RYSKTTVPTHLDESGCTTPEPVLSSFFTDTDAIPTWEGMTLEQLNGLRDKMLSLLSGV SEAIRREEVKSPLSLGPSNELTDEEKQVVDASLQRLLVEAFEYSSLSDIKEMYLFAKA MQGKEASRMGAALQSIHRLTSRGNILISYKELQDFLRSSNRFTADWRDEFVSSIKRVL AAFLSMSHQPDVLNCFYPQLLVIARHEIFYTVLLRAAQGKSVLPQSLYHLSPEWLAAT HSCKCTLQQLSQSLSNGTSVANMSLTRNSQCSTGYATNPARRPYIDSSMRWNPPRVRP RVPLGASPRMASCDSSVDRNQYHTEYEGRWETPTRPRRTFDMGVRRQMSTRSTSALLS SLGGKSSGAMSNTAMTVTTGRKTPLTRTRSNTAGMLTRTMSAVRHMDSCTTNYAAGIK IGSKPAAESPLKLQRTATQRPSGALTSVKPGTKIGQVFPSTVRVETRSRARDSYLVTP RK XP_829573.1 MGANYAVRCLATVYLFLLMLIGWIGAWLLQLVVITFTYPFWTSE QRADCCALIFRIANFLSLDALNPFWKSTILRPFPNVQEKKVLVVMNHLSGADPFLMVR VLLPRDAAWVAKNDLFRVPFGGWAMANGDDLCVQFKNKKAGLETVKGTVAPMMEAARA KIHRGRMLAVFPEGARNDTPENGLKPFRPGFFTLAKEEGATIVPIAISGTDDCWPKHS FLMDVGHAYFSCGDPIATNNFNTVEELVDYVWNAVTDLRSTHPSTKENSHKDR XP_829574.1 MFYGTNDVGRPGYARYSESSTPTHSPRGSDRCRTNETSSGMDHW SSPRGIADEVPPILAGSFFSDAEMREMNRVIPAAMPLLVEATSVSWLDASPESYGGST TAHHPPPGATSSSVGRCAAATPSIPSLAGSLLRKDVRLRGLQMDRRGSNHPVVSSSTA SDRTMVDYGVSAECIPVQPPSHVNHEDIDVLSLWTSDHVAATRTHVQQRPCSLRTTPL RVDQKVGVSSSHNLPCKGLERVANLSGNFAVREVIVSRNSYGSLQTRSRSCPVTFCAR TSSPAARIVQAPSPSKCMQDVPITFNGKSQAAVDPQKAGDALKQYRLAVENVKKKGYG RSIREILANPCVDDDEDEDEDEEEGVLDGCDVGVYELEEQEE XP_829575.1 MGKSKGPRCDAEGSRCSVPLAMWDFEQCDPNACSGRRLHRRNAL RLLKLREPFRGVVLTPTAVETLSPADAGLIAQLGVAVVDCSWNQLDAVPWRQMKMGAP RLLPFLVAANPVNYGRPQELNCAEALAAALAIADRMDDARAVMSYFSWGASFFDINAE LLEGYRGCRNAAEVLEFQEQHVEAEKRRSTAQREMDFDNVDLSDVGPLNVKRGKLKNR HAWEVNTEENSSSSDDCGEETDEELGKVDEETSEEEEQVVSECNGV XP_829576.1 MAKKHRVKRQKQVEYLLRLERERDEYLAKRKRAKRDRRERNEGE LFGEALDNLAGGDVKRERKEVGISASEGKRAEESTKTVSTDSNTKLGSRPDAASVEQS KVKHLRKKY XP_829577.1 MSFQKSPLLASNPFKEPLRSSGGGGGDLPGTINEHEVTVAQDIK QGDAKMAADLAILTGQPNVKNPVKQLLTQRENATTGSAPSHLNKSGQHTVEIREMHNT GTFIAKKREVGLMRLALANKESEIRHLEEEMDRVDKKLRQQQEQLASTEEKFNNFLKY SNLEQDAAVRRAERESKAKQKRLIDIKKLSAQINHLEQDMRKTEVQLELCMEYKTFMD NLTPPQFFFDVLTNFRVKEINGKILQETEAAYARQARKLRKQYEEAEARRASREAEKN SNNNAIFDDMDDSNKEDEEEEELIPLETQLEGLHRRFEEEANRRQAEEVEVIRNEISA LTAEEVREALHNSYPHDKIPMYFTEPEQILDIFINVEEGNLFLIQNSQELEEELERVA MEFLHEREEMDAMVKQRQTQMDSLVSRIKESQDRLAQLEERLVDLESSDAAGLSMVDS AGGRGVAGYAKTVKVAMTQEVLKKSIEQTVGDIFRCINQRPTNPSRHQDGVDGGNSPV HPSGKRKHSAVVANRGPALDKKNAAKGKKKGQTASAVAPGATGPTGTQAESETSANMG PVEMLTIIENKVDEYHRYITDPKNGVEQSLIMSVLKTRDKERRHAARVLHLAKQLAER EERNQRALERSQATVVKYRGKPIMWRSRPPANALRRTEVDPNNPAPRDPEQDDEFFRA XP_829578.1 MSDNASPPPGVKMPKKRDPRLRNLQQPGQKQFFDSADYEVRRQG DKPPGIIVPEVTPLQPHNPREEMR XP_829579.1 MYARLSNTSQSYYSIISKIFQLLAIIGSISLVEAATVGDPLFRI HEPTYAFLDCSACIAFAGHLGRRMNESIRYGGRGGATFLSSHRLGKDNKLKRRDYATS ELRGAEVLEKICNDSLFDDYALHFRADMRIRVYDNGESEYPLAQHYSKEDSRILKAVK TKAVHVFCTRVMDEEEDAMTSLVREVELLSELEQRLCGGYLEMRNITAPPFPVEKAVT AVCVGVEPSIEAEVRRLEKYEKWQASISKGTSQDKMKGAEGAESVVVKGVQYSKDDPL SFTVLRKPNAKSNGDTTEGGSDAAEDVRKQPDKDSVFMNFDL XP_829580.1 MPRKALLGNWFEEEAYMRDRKRLLDSCDRGVVDAARETQRIIAK VKHHNSAYPMAEPHEDGYLHFYAPLMLQNAATLGFLSLDLEDRTLRPTGWHVACSTAP AAGPALRNCFVLVPAPTGPTDMIPAPPDEQDIVHYGQPFFIMTVPELCDNPLSLLSEP KGPLSASKVTGKHQDVFFSPDGASAEAMWVADFANPDHREDMRDLPIKADAVLVIRHN HTNTPLASSKAVFFNDFGPENEVCCGRFVNNPGTPCGPMKDENYWTFVHSENGEGDVE KGGETTTPVAENEVSVMTSTNGDKL XP_829581.1 MTMRAMPLRVSGTIARLSLPLGLLLVTLSTFITTVRADDDAISV QVPPKKELCFFEDINKAGVKVFLHYLVTSGGSQDIEATIKNPDNSMIWSSGRDTEGRV LFKSRGSGRHRFCFSNKMSTISAKVVAFSITVGDEGLEGEDGKKDKKSDLGMDPIELA VRNIQHGLREVLEVQQYIRGREHKHRAVTEVANTRVFVWAMAEILIIIAMSLGNVWYL RRIFNKRRVV XP_829582.1 MQQHHYVFKYIIIGDSGVGKSCLLLQFTDKRFEPLHDLTIGVEF GARVVTIKEKNIKLQIWDTAGQESFRSITRSYYRGACGALLVYDVTRRDTFTHLQTWL EDARSNANTAIVIMLIGNKCDLEKKREVSREEGEAFARKHNLVFMETSAKTAQNVDDA FLKTAAMIYDNVESGVLDAGAVSGKQGTGPGVARVSRTGGKKSEDSESGCFC XP_829583.1 MHLPPLPKNQKPRTARCGTDSVVQIDDSTLLSMFRTRRRRMETA SNISKSMVKRINNLSEAVYESSMTTMLLEDLNTLVETSGANGGEKVVISDDPVMSLGA GPFSVSLESALEHETIQKLRTLTVMRAEQRKAAELNTKPHFQLKESVLETPQPPIKFS STSLTFVLEIVSQSAFKILDATDSVMLKLSMLARKAGSPIVDEMKVISVHSQHLSRCH AAALSHLKQYEACEKCLNKATAYELGNAREQLIEQKEVLTAQEKRQKVAEDQLQALRT RLASYEAQCRLWSSFLYERQHPACTGGFPISLETESASPLTSKAASLRAPQASLHSTD PLLRTTSGLRRGSSTYQMNLHTMRPHTPLTMGSVSSSLPSSHEEINPRVDSVEMRVAQ FWCNPYLLKAKQCRNWRRSGAHSVADSPPLTESIFQASISRSRLASRVESSVGPVDSA HMSRGDIHAGGSTDLSDTNTADTRLTVLLRGLLRGIVRLRRRPTNEGGSTGGGREESE EVRLEGTPTSDSNAPRGNATTEEGSTSESSPRGSLHERGVGEGSPWAELSGSEGGNTS IGECSAGPGGAVNTAGGTPERGTGTLMSEGSGEADALLASVRKLISFLEGRVSLS XP_829584.1 MYYDTFFFLTKKKRKINSSGGEGQWCCKYSKMQATKQFSAGFTC HGNPAFSLFSSISSILCARVASYLDYIRDTHHQARGTIHVTHVFLASKPLALISGGFT IVVEGEQHLGFFLLQDRFRFTALILVFAWYEYPVVAQLFFLLWEAPVMRLQIWVACGS RFSPEINGDTTFYPFRLFVFLLLSVLLSMLI XP_829585.1 MDPLLLGTSAGLERNCTVLFSHLTALCGTKPAGEPHNPLQVHLR VDDGHRDTALQHIKVLYDVAVRHNPRTSVTVVPLAPLEYYETPNRNTNSREGHKLPLF TASLMTPNCGFNPVYKGVAVGGTFDRLHGGHKLLLTTALLHATQVLRIGVTVSTMLTT KIHADLIEPFEVRCAAVTKFAHLLRPDLGLEVAGIADRAGGADSDPSLEALVVSPETV GALPSINTARLSVGLKPLECVLVPYVGVCDDEESRVSSTNIRKRLCELST XP_829586.1 MEEVVVPEEPPKLVSALATYVQQERLCTMFLSIANKLLPLKPHA CHLKRIRRSSATRVATAPMDGFAGGVICDKRDSSVATSTISDGCERNSAALGTPAAEN SHILELLLSVGGPVDSSALKELESAADTTVAVHRVWVPDRAPRSSAEEWTKWCQIWPF ATPKPRAPTQLSECEMGSIQRIFRTVVMPLAKRLRTDETLGIAAVLVDPSDGYRVLVS SGEEHALKRGNSAACLGYVSNSGCRKSNRVVLDHPVTFVLKEVTRKQCKDREVEGDAS YLANGMDMFVSHEPCVMCSMALVHSRVRRVFYCFPNPVHGGLGSTVSIHAIQELNHHF RVFRCDSRWLSDPEGVSSDHDNPYWEDLTVP XP_829587.1 MTQQWFPLMAVTPDYLHCITEERFRTNVYEPEADTFLLLEALDK DAHLLRALQPRRCVEIGCGSGTVISHLMLLLLGATEGGNLGSGSAEKSTAEFHAVDVN PVALEATSITWHNTQKRIIGGDTILPLHLHRGDLFSPFEHEASDITEYTKEEKEEFTF DVILFNPPYVPTTMEELQSAEAGKDLITAAWCGGPRGRVVVDRFISKLPSFLSSRGVC YIVAIRENDVEELMEVIRGTFPNDRCYPVNVTVTAERYTGEHLRVIRVSHDQQSAK XP_829588.1 MWTVVQQRQQPSRRRREANAAKSAGGGKGVSSLVTTIPSRSTAA EVKHLRKAARFLRGLAFVRRDVISPLRHFLNAHSNGLTGIKLVALGIGPFTYEESRAG FLQMALFIVLQSECESFVRHLIRSKLSSVPSNNGDGLQPSTPTVRACFFDPVATEVHT KCCDKLGVVMEECNLYGAYIPGHPHALLIAYLPHSPWVLLRNLFVSNLTKISECPAGG EGGVNKVDSLVLRRTLVIGNDVKKGPCVSDTFLEKLGAYLHIGELHVRGRRKQCVESF VECVSDTCDDVGDVGEVTVFDGLTGISRHDIVQAFSETSVMQLNERSELLLLDELKRI RIPPLTCVGLDMV XP_829589.1 MDPTLRTFCIIPHEARCTDFFDGVFEDGLVVRHDQCSGPHFFSH VLSPAHDAVGHVVDPLLARLRDGGNAALVVVLPEDDDEGGCGSKIIKGAVKAAGEKLE VAMDLFTRLLSAVFTGAQHPSQVLVSAISFRVKGTKARDLLKQQDIHWWEEVQRFDVN CGGLSSEWGAFVQLIHERHVHLIRGTLQRESVLLLRIELEGGGSLVVAEMGCDSGALL QLSLLLRSGGVGKSQTFQSCKFFTSAMRDFIPPAAVTHLVAIPDPQPAPRGSLRLIRF MSVIYYTTAEGRLRRKQVGASGGPSLSSSQVLLSASSFGPCGADDSVRGARQLERRES GQRCTQIPRGIENGSYASCLHKPSDKSSTARGSCAGSRDATMKGKISAAASPEVRETE INVGSSKKPMPKGEVLCDDTNLMGDVLTPGEKVDKRQIPAMCKPPNHSVQVNREDMKI PTAEGSSNGEEGMDRVRQVVSSLVLRVGKLEEGQGALRRATQEWHSKLNEAVKANQRL RERLQCGEGNNPLFSAPVEGTGESIPIKDAVRSPEKKVRGSDLNLTRDRDNNSALSWA LEELRQRNASLELKLREVQRRSGCSNAACDGNPVAMNFATGPCDTVEAVATSLTGGCK SLLDQLGKMEKELKCRGASGKLRQEKCQLSECSLREHKEAVIALQNSVNDIIKRRYEG LESFGTAIPVAQTVEDFDKISDILTFELERGAHLRAFIPTFALLAMAVERLRLITEAA DS XP_829590.1 MQVAFFLFFFAATARPPLSKLEKLVSCLLRYSRHPTIKSFDDKH SNPLLGNGYTLHFLFMLYLFSEDIFMIASSPASESERVTSGVAPPYVTISTELVQLRS FADVRKTMMSFSGAVEGGQAESGQDNGVISPSISRQFHGNASRSPSVLCVWDVDDTFV TSGREGSRQHVLFETDNLLHTFGSCPARHLLLTRGSVDDLFVPGPRGGKLQRFTSFFT GDAKFHGDTPGGVKAKKGRVDGSCCSRPLVVTSVKKSPLDGSDVPIIRIATAHAPRKV SMGIEALFTATEQYTMEEDESCVRWLAFRPSLWGISLSSLSSLVVPSPHTAFLDGAIF RKMDIVRSLAMSGLWDVVFFVDNNLSELGVVRPGLGIGDYHRFKAAGELRKFFLSDFL LLRASSALADRSHRRHTPKLKVSGTCSPGSGALSCGELGSDNTFSQGNFIEVHREPGI SGEESPGVSSGFGSAVEGYPKEDEQVVKIIVGHFHMEAEKFNMYRRLVPPAFQHEVHF SYHPAFSSGAVCRDEQIARLLEDFRDYEREILQGEEKFGFFGRPVPGWEPSIGLVRCL RPEYRKQLASLRDQYVPICRDINRIMLVKVPQLPVDERDHVMKRESATLYKRLVRQQL VVDPFLIERVALILFYISTVNHRILPSTLLELKKEIQALMNGMVPASGVLKKKCLSVL VLNPKGNS XP_829591.1 MCIYPLSIIRVPSLAILSVFNYFSPVCFFFSPVYYNFVAVCGGS RDLMTQSAEVSVTSYEQPLPGRPTDGVGADLPRDADGVRDSTQEFDMLAVDVILGDGT HCALDFAESAYLKRLISQKQPVQLEFGSQVAIEELKRIVALDLRGSKLSRARRQTRAD VKSRMSEADDEVLSSFSTSAAPHREDSQACVFFSETTLSRNGTVSCYNACELTRNREL FMEVLELSARLEMEKTFAVLCGEFGRIYKEEVFCDTDIRSLASLNSKEKLCEVLAFRE KVMTAFRIGGGSAEPGSPQPRSLQPSKDVLIATGADKSNHQLPCVAWLKKDRYFYPEF YHVGDLTQSIDPSSTTMTEASYLGEDFAKKGHTLYQNPVWEGEDSAKTCSECKRSFSS WPALLFAAVRARNCRCCGRRMCEQCTSWMLDKSLAKLSKPGQPEEGRMRHACKRCYDQ AMMINKHTFLCTTFVCAGLSIVDIALLRTVNEQWRAAAELCLSDYRSSLYENMWNMKI PKRTAQILASSVNLLVGHPEPLIFLFISIDWENNELVEKACAAIEQTAKGAAPPPMSP QLRDFYWKPPLSHWYMLCTRTCGRMLPPFFGIKILECLHRAPQGHATAEKIRKIVTKH LLRDWITSLDTCIRECVVLLLLDIFDLESCQPRVVSVLLSLSKLDPQLAIVICQEASA RMRVNEFSYRTLQERVIERNADTFPESHQKFLNTLKFLNLITPEVNLVPVGGDAREYQ RALVTQLSNSGLMGGLAETTGESVSGCLSTSSRIAQERNGLCAVSPMLFPFDSSVVIT HIDLGGIKIMESSQRPVSIPLVDAEGVTRGILVKRENLEKDKVMCVTSRFLRWVLYKQ IGNVVLPTYRVILLSPSSGLIEVVKDGQTIHRVIGKDKEGRLLQHLVSLEKTQGQLGD SVSEPQLHERVRGAGHQCGQPNSLIVKDFDSQKSAALARECFMCSAKFFILLNYIFAI GDRHRENVMIHPSGAIFHIDYGMLLTTRTLAEHVLPSYVRFDSDLEGCIEYFMKEEPA PEVQTEGEQDDSCTRFIIQTADWFLEVRSYAGIMHQLLSHIVRRNALDGIKHIGELTA LMNLTLMRSLAEESCKETFCKRVKDSRGRTWLKDITHDTHKWTQQAMERGFKWLYKLM SLHPIGVDARNQTK XP_829592.1 MPRDPFNVAFYDSSDDEQNSHIARVEPVMEDSQTTIPLQPSFGD QAGSPSQLRRLFDTAGSYIMSALIGRRDLGSSEPFNVAQVPHDSTSNDEQWDDRAVHD PFGPARPPLLCNDNSLCSSDDVGVDLREVILQYRRTPNDSYMGIIQASLHAENFRING DILQAAVGDRHLTKTLLKSGRVDVNDVGVQQIIQEEIDSLMVGDENFGGRINPGNIDY LKVLCNAPSATFTKEQALSCRYAGLEFVKMLYDNPHLLRNLPPPNWLLGFFLHICFVL DMALTWVGLIAVFLHLACVGWVIYHWRVVGHIECSSWTIVCYVVGYVVSIVAVMTSEE GRIRDYEDRVWDYPDNTTKVVPCVPVFEVWLVYVTLRYELLKNKAKYFVIRYDLYNGL TNVLLLHTCLFSIPQLLLQYYLAAFYAYGDSFIGHTILAITNYTSYGVSTYLFLRNAI CNFSCNRFGFAVVATKQVSLRPRSVTNRILIATTSCYLECCLFAVLLTFPVIGQCHTE TVVFVSTASFATVVGFVLLVVVLVFDAHRVIWAACWPAAAVQVVFTIVYIYSGKLMDD PLGCSFFSLTSSSVPILTYVTFGFLCLSILAGIGVFIYDKVTGGREVMWDSYYFWSMR R XP_829593.1 MSSTQTTSVFFTDVPLFVEERSLRKHFESVGHITDFRLLGPPVG KDFRYGFAEYLDSTSAEEAIARLNGTSFGGRPMKVMSAAATRGRKRQRQQNASHQQQP APRGGMTFPRGYTDPVLGRDDTSIFECLQGMQQSDAYEAVEQLRVLVLERKNEARKLL ENNPALRLAVVMILQHAGRLPFGPLPPEAIQHGGIRVDAPVPAAPAEGEGEKVEPPRE QNDEIIEILSKLSEEEVERIVSMTDEDLNRIPDVSQRQQIGVLRERLLELAADLE XP_829594.1 MGGSSSREMMNPYAVNTPLMGICLASIMFNSVQGRTLRSSNVFN NLILIYALGFSTGLSTVMQQPIWGAKVGIAAALGFTFGPNLRLIYLQRLFPDYVRYGI GSVYIAYHSLQWYSEVHAWEDAMEDEVAE XP_829595.1 MNQEQLNQLVTNLLSADNEVRKSAEAQYDSLVHGNATWMMCCLS ELCASTENTSTMQMGLVLLKKLFGNKSDCFDTADAETQNAVKGLMLQVLGKAAFGAQR ALAAACVSALVVKLKNIEKEWDELWKSIFQIIEDLESAHQLKTICCEIIAATGPSLTE HFEANVVRVAAGLKNCLLDPSVDSRKAALDAIFNLTMCRPSRELADLVPLILQAVQDA LNASNWGDAEALTGKLAEGVSHSATLFERHTAPLLQGLMEVASAPSVAPGARHMAIEA LLTYCESEPKTARKVPDFSTSFLRLLFEYTVNPAIPDDWDVKGVNTDEDDLDEDLDET VGSSGIDRLASALGGRKLEALAQQLFSENIQSPDWKQRNAALLLITYVAEGMSSVLEK HLKSIVCMVLPALRDDMKYVRASALDCLTQMSTDFAPQLQENLCHMILPAVVQCLEDP IPAVATRAARCLDSFFDRCEEDEEDSPHFVKEFERYVEGLCASLVSLLRQTPHQFLRE DCLGALSSIISTCKDMLKPYVNNLVPVFQEVLAVPDSPDVIQMKCKAIECTTLLACGV GREGFGPYAEHMCNYLRDLLQHLSQEECKDDMRLRYVMRGWTCMTDCLREDVVPYLQV VLPVLLSMVNMECDMEVENAEVGEEDDEDEQGRKSGGEVATMRVVVPGVGVKKIKLHT GLIEEKDLAASVLSAMLTYLGKHLGPHLPAIAESAVKLLSFQSNSSIRESGAAILDEV LNAYEVDERAHLAVAIMDPLLNQFADEDELDASSAMSVVISRCIDSAPGLVSQDTVTV IGEKVLAVLERAMENRVQSLESQVGENDEDELDRLQGEEEEADTLISDTCNVLDKMLE RAGDVFAPVFLVMFAPVLEKLLQKNEKDSVVTCGLALLCGLVEHAPNHIGSFIPTIVE SCLDFAQSREDCDLLQSAFYLMNLLLQYFGRNPDPSTPEFVHRVSSLFTRFIAVQRTG DYESTTCNAVSAATTLLSLFHSTLPEQGLVEVLTLVVSNLPVRGDDVEARRVHEKVLM WVVQQHPVIQGRGRHAGEIVARMKAADQDVLNEVTKNQLAAM XP_829596.1 MTFPSVQSSVHLYSNVVELAIRYTDLCALCGRKAHEGENGSTKG DRAETSGSWHFHLARSKEPIAVSCLHAIMQRTNILGGRVNLFFTFERVPPFGGHKSRA VIQLASFHYSKNMRLCLNVAYLPQEEVRLKTVRAHVDSDCNVLEVACWRVFVFLGRST TFH XP_829597.1 MKALKTNITVYSVSLGRLLNEFNNRYFRLKKRSRSEDLKRMPVR EEAFLRLLPWHHNVTGSCESGVSESDSDRVNGDLTRCRFLFGRCQMNVEYQFALSVGT RKDVASSKCTGEGSEGGAVLLRFTKIPNKSGGSSTVTCSIRVNKEVQYFNREEVVVAM KFCREIYA XP_829598.1 MRWLNPSDVTAFKTEAGDPEAATLKRAQMQCVDETVDYTSYHLC AHDPVRQVVLCVGNNVPALLVARGRRQMLLQVPNCVRWIDCSIFSGTSSVLLLSPERL VLLDYAFHGISSALSPCGKRGVLFTSLHVPQGVTWGAVGCEDGTVMYWRLQESGSTQQ LVWAALTTSTMNLCRPFFSAASSEWAPRGYVLSMNSCPSNPNTFIAVVAGAAGVCKWY LDENRLSRFFSLPEPQTGCGITACRYTPGASFVVAAAFDASALYIWAENGKKDKERSV ALMWSVQTGCRPCSPVGGPDDEVVSSTGMYIAHSSDPPHGARSEKKSPLHFLLHSTCD IVELVINLEEKRLIQREDILSHIKAKILPLQCEGDESSSLDLCRVIPCVGPGYWLSEW KDSDLDTLLVLSEDLGPLLVRRSRYQRNVESAVPLRNVMPEPFPWDEMLLVSPPAEVT RAIWHGASLQPDPEDPWATVLACLECEGDVEGKGLQKEILLGMVPYSATGAVCFLPLT NECLPVPATVIEQNTAANARASLPTGSAVVILEEALPKHPCRTEFILRVLADEMGVSV NAVRFSPPHVEHIMTLSKEQLIFGGASVGDDGQDGEAEIIARTAKLADCRIEQRELTP QAFQLVRGGMSILLQLRNGNLVIVDLSGGVTGEGNAPAMVHYPGGLFPAGASVTSFDT VWMGRSCSAGSTGPVCEGKNSFLALFCTLSNDRGLIIWNMSDMQMLGYHREDDGRWSY DKVMLCSSTPRFSVSAGAASLVVRAPHADVSDGKSDPSAEKNSDFRGTVSFRDVFGRV IFAVELRWCAEPPGGLWYGRVGGTDTEWTLLPSSNSKDLRLSVVVSGGEVVVSADGEY TLRGVVTPGSRIVAVEVNPGWPYDALQCDLHAEDEQEKQEEERSRLRNVLGEDSPPDT TVVLWNGRKVHLYLAERLLSGEAPSNRMQPPVVGRGRSVEHVGVCMSLRVVVVMSRDA NGWRWFNVLDLESGQRLAEHTMLCLCDENDLRLHTHYIEGSGLHIYVVGVSGALGHLI VSAESNVSDQLRLTAEPLEGIRHVPAPPTFRSYLQFLPPPPAVKQEQGLLKRLTTQSW EEAATKIETNVLVKKMEGAAPQHPRPDSIVERGRDGAVLSQRRSEREGSEERRGSRYS ELKAVAARENVSVTEALQMMRENEVKVHERGEKISQIESKSRELAQEATTFEALARKL NQKQKNSWF XP_829599.1 MRPTDVACVFYRHETLGQSEPIKVCEKISDVFHTVEHHVGKGAA AVPTSNADDDNMSVLHRHLSALAHQESLSMMASYAGYTVQTRSHIAEDLSEKSAELLW KHAAHRAAASIFGTDRCTPRRRALVMGVYITRTSANYFTVGIVTQGDTRDPRIRKAIT TLSGTHKLTRLDSELQICAAHRSMSIRLWWEPRSDRVTPRSVMPPIRVILEREQQELS KTCVTYMTGYGAVNIFLCRPVASKLLGTTRKLLNTGECHPTEDAAAWFDGRRFPSCAK ETQQRRRLTVVLYFNDLHPVEVGEHLVGVEVEPLPPFRPFVPSQYAHLPPFLVVGRSG A XP_829600.1 MNQDLDKELREALQQDKYDLQLFLRFLKSYVVSGSQPEKQLLLG ILLQALPRFHTSDFSACISLISSHVQDASYIEKDIILIYDLENYLSSGNFPQFWQVWR ESEGTLPARPSFEPNMRAAILTVIGCTLGHIQTKDLSVYLGLKSGEKLEEVLREAARI AGDAVQLVGADEQAVVFQKSVFNAPESDSNQELKRFSDTVTIVSL XP_829601.1 MNFGYDEEGNRVPSDQSGCGDSTSREYDGTHEVRSTSDDNDNDS SGSAGSGTESNRGSDGSDSVEHERRRHDDDTTSGSSGDFGDDVELVIGEVANQSIDEP LVAGRDVASSTMKNRRDAAGGKFLLEDRLVHPLLIGEVVSKEPSTRDFLDLLRGVPSR QRIVAVAGHLHHGKTSLLSLLLGGRAYRQREDEVERGISVKSSVVTEVVAGAHYEQTS HLMTFVDTPGHPDFAAETAAALRLADAVLFCVDAAESLTSNGARLLRQVVLQEGIPIV LVITKIDRLIMDLKLPPLDAYRKLRMVVDAVNNEISSFGSGCSPFLVSPLNGTVCFAS SNIGCFFTTETFALKYSSKYPSVDAIALSQQLWGQVTFEEGRFVRITNFRQKPSFVTL VLEPLYKVVAHSLTGKGSQVLSNKLNPLPRGPISAVREAVQLFCGDPALEGIDALLNV LPATDKRSAWLKTQYRLNVEEEDSAAAIAPIIRSQNNGEENFAVVRVLHGVLKRGVKV VVVDEHSSDAEPFYTFTVKELLLKMLDGFVDVDCAYAGQVVLVTGFDTRAGSHLVMVG GVAATSLLWEEENTIDSARESGASWLDEVRVLPLKCGKPFVHVGVELKNPAKANQLQQ SLQILIRTTPGLDAHKEETGEFTISGYGELHLDTALHELRCALCKGVKLGISPPFVSF SETVLEKDGALAVTSSNWAHIGFTAGSLPTKLTEQIENEQINLFPSPGTDSVVKLWTT LQQHDMDALDARNIIATGPHTTKGPSVLINDTLDEEHEEFERLTEQRLQAITAGFRSA VAAGPLIGDVVRGAALRLIFADLEPDARDAAIMAGARTAAKQALLGAHPQLLEPVLKV DIMCPPGSVEKIAEVLQMRRGSIVSEEPIAATTFVCVRALVPAIDSFGLETQLRVVTL GEALPLFAFDSWDTVPGDPFDTTVHIGPLQPARGYQLARDFTLKTRFRKGLPPLLAEF QM XP_829602.1 MSALLPREAVALLYRRYLKAANSIPNVTIRMLLLQQIRSGFRRN RGITSPSAQRELINQAHKDLQVLEDERLSRTLYINRLGLVSCLDWEVRRTEYNFTPES QNFIAAFLMLGFMLIAVVAMNAKPIEVRHPDISEMVGAMAMRLEANSPEELRQIRERQ IRTGLERQQHRLSLEHRVLATFHDAPGVRLEPPSLRNPYGIVSNEG XP_829603.1 MSFKDAQLIENTSDIEGSRRTIEARIGNSLRDAQYKLTSSAAHD LPEAMADIYMALTSLTAYLSLPNGSPKAAATANRIAKLWRTIIYYYKRREGLAPESTA GSSAPSETDAMDHTLSPHTMQQGFTTYKDTDVNLEHLREEFHKTKCERDSTVKELESV RQKLQNYEENSQLTAILQNEHERIQQENSALKAALHEHERKLQRSTTQLQQSQLTLQE KEIEMTKTKEEYERRLREIKIKENNIDKEEQAALRENIKILHQTLRDMIQNTEAAEVK QGTLTLADIQTSHQEQIIAMKLTLAAQCEREQELSNIIKAKEQQHHKHIENLNTKKQN EQKKYKEKLSTLEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKL HREQLLALEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQ LLALEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLSTL EIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAM EEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQR VRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYT HEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVE SLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESLRT ELQNERERVQASEKVVQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESLRTELQN ERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESLRTELQNERER VQASEKVAQENHEGMEKLHREQLSTLEIAMEEQRVRYTHEVESLRTELQNERERVQAS EKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVA QENHEGMEKLHREQLSTLEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENH EGMEKLHREQLLALEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGME KLHREQLSTLEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHR EQLLALEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLL ALEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEI AMEEQRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLSTLEIAMEE QRVRYTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVR YTHEVESLRTELQNERERVQASEKVAQENHEGMEKLHREQLSTLEIAMEEQRVRYTHE VESLRTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESL RTELQNERERVQASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESLRTEL QNERERVQASEKVAQENHEGMEKLHREQLSTLEIAMEEQRVRYTHEVESLRTELQNER ERVQASEKVAQENHEGMEKLHREQLSTLEIAMEEQRVRYTHEVESLRTELQNERERVQ ASEKVAQENHEGMEKLHREQLLALEIAMEEQRVRYTHEVESLRTELQNERERVQASEK VVQENHEGMEKLHREQLLTLEIAMEEQRVRYTHEVESLRTELQNERERVQASEKVVQE NHEGMEKLHREQLSTLEIAMEEQRVRYTHEVESLRTELQNERERVQASEMCVTRLVDI GKEQYCCEVDVDVVDMSRRLFVDDMKISFCSDEEQLVNTVFSQFSCSDRGVFSLVGCP AVSSFRRGSVAKQLRVGVRNVLDKLRSFEFDVSDAVVLSQQRMLFIQNVTKKLGIVPG AKLSVDDKFYSPVTSKSKCSAPELPFSFGPLKALCDVVGVGLQRAISFTAVWDEESRR LVEVVEALRHNILVVASLCSWYSQRFDAWGKLTGFTVAKLTVALQKLQYCLLRSLPLN VCDAPIFEACFLLVNDSRKTLCGIGQKLTATADTPLSSSCSVDGGAGIASSVLLSKYR KQQEVRPPVTLDAATLPQLPFLLSSRDGEDVSTPIKSRDNATVNGRSDEKFDGYTNPF GVTPSGCGAKFGPVEEGTTVEAAAEGRQGPFKKKQRRELRLKFIG XP_829604.1 MGIKGRRKRQREREAKAANGKAGLDNEYVEEGEEEVDSGADDVM VMGSGPFRPPVGPHGKLLIKKTELYNMQDTEYLDRLAEEHANRRTTHLRKTRSREGQK DTASETDKLLLHHFDNEEDFQTLFNTGGAKAGTRLSNITEVLDDEARVRRVRKKVETG ARFNHPLIGVNENVVQALQQEGFCRMTPIQERVIPYALQGYDLLGQAQTGSGKTLAFC VPVLHSIIGLVSKRPNVTYSLMLSPTKELCVQTHDVLRGICQHISSDIAAFSVHLITG GTKVSEERRQLNSGVSIVVGTPGRIHDHVQHCSGWDLSRLRALVLDEADRMLADGFQR SLDAIVQRLPRSRQTYLFSATNSKSIGELARLSLSRKPLFITTTGSAPIPVQLGTEAF DSAVAAGCVDAASATFPPYCSYDDPDVDDQLKRGRASDTGGNEAGGDIKPIPDTLRQF CHITPVHERLLCLYAFVKRVARTSKAMVFCSTVASTIFHFQMLGSVGFHNEVIMLHGQ MKHRQRVAAFQAFNEWTTGVLLCTDVAARGLDIPDVEWILQYDPPLDPTEYVHRIGRT ARAGNVGNALLFLTPEEAGFVRYLSKFGISLEKYPMPAKLPPIQMKLEHVLQLDPIVA KSSVSAFRAHVGAYQSHLLQNIFNVHRLDLEGLARSFALSAVPSVSLPSSSVEEKQQE YVKGKLKSLNKRRMEAKRYYEAQKTKKQWTEDGQFVGMAFPKS XP_829605.1 MRQCISRCGHSVARACFWSHISSFLVNRRSRSSTTDPPAPSTMV GCVEQVTITGNGDKTRGTPNCAFTVCGMSKPPSIIFFGGDIVSLVALKALHNRLQLIM DARPLQEKGCSAATGSEKSQLVVVCPFLPADPFTVFKQYRRQYPVARYCVEHGIPLVP VDHPTSMAKSKTLEYLLHPKHLPTPVISGVAQVDHIAGRPLEMFDVAVVVSFRYFLPN KLLEKLRFTVNLHPSLLPRYRGASPIFAPLLRGDDKGGVSVIKLPPRGMFMDGGDVLL QRTIPIPPEMTIREYFPSVTEQGAEALCECLFGKVPVAPALSSPPGCLHLGPAVASGY CDWPRTFNALWNAAWKQGHDLHFTKDPWHAPLLKRESAVIYWHKVTADEAYGIWRAFV GGEYFSPTINATLDKGATPVRGQLIKRLLSRTVCELEKQTVWHRGDQLSGERCNEGLP KEQQRDGHGGGGTDEGGNAVQRKDITVASSKPSEKVDDAEDAGSHDHFRHRLLVRCTF TDAVYPQGTPSAVQWELQRLEQGTVTHFRNLHDCGDCGSNAPTTESFIEAGSAYFPKS AEDICAVKCRVGWFLWNAVVLEGSRKQSAGLLRKGMAMKTGVLYPRLFVVQANDKGKC SSRDIGGRSASNANATVTACRSNLASC XP_829606.1 MADTEAVAGTEAIPPTQNEQHDSAAKKKQANSYYYWHNHEKERA KVGDVAPMPKPVLLKKSDPSVLPASTASYPITKHSWCDGKKNVTVYIDTKLDEKETMV EDTLQVEFGKRRLTVSYIANVQTSKDVATQRRKQLVLCLAKAIKSKESSYKVKDPSGQ IVIRLAKAEETSWWELAKKDGKLSDADDSDEENEGKADEGDGAAEEKA XP_829607.1 MWNFLIDIKNAARRTVAPTRDELAAAPEQKQQRSAAMSSGFSLL EEHVVGWMNKLTSSPVVLDSGAGSAELAGEYEKPPPLLCLAEEKRTSLEPEELFRYIT ESVSLITLTSKRIHGEAQFLLQLSLEEKITHTSDFLSVYTWWEDAVDQRLRKCELIFE EYKVQPGLINVENIVHLQQRINSIWETCTADMGTVKKIGTLLREEKYDLINQVGNDIP SEFAHQHDQNNDKTAKHRIIGSEAQPTGNKNSSLLHAQCTQESRVHTGEYVQNECTNK ISTSSGKLHPRINESDTPAKKGKMRQHDGKEHLIRICKTSDNSEEETHLRAEEEARLR AEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAE EEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEE ARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEAR LRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLR AEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEARLRAEEEACCGHSPHFSKLFA VLEHEEGVCRSIVCRKQADEYLTVYQKYIVSTSVSFVDEDESDHSENPSIVARLESVA APSDRQDAGSVVGKSRIVKAKADILVDDEGEEWDDW XP_829608.1 MRPTFPALGSRAKGYENRVMVYAHRRHRAWYLPPKLAHARSPLA NKSPDEYGNTWDPRTGVEWYHRLRRRGAYRHWPWARWNDDPVRQHQELSCRRTFSAAV TGANEGVPLWNYYAEVGQEYGLPSHFPLSFMAPFIHQYTSRAWSRKEIERHLKVVEER TGLRTIQQACDATSELLEWGEEEMGVVPHGLLQHVVMLAEDIVLQNKKKAYRKAAHER GILRTTTMERYYALPHLRTGPPMPTTLEQPSGEFPWGKFSTMVGGTRIHPLYRPDGFF KDNMYPA XP_829609.1 MVKRSPSPSVDSVERIYDMLCAAQLKANTEEEEIRALRLLIATA EVEIKTAQESMRELQEQHEEASREVSVKKSTLEEQRHRCVGAVEALASSREALTREIE DILRSELPPHPAVSAVKKTVRSSYEIISPAKLRDAALSLRRKIEEAIKHHHLCCEQNQ SPSSIGDGWGDSKKGFRHSFVVHFKCAEAAVSNTGDEAAGAVPEPRHVSAARVELNVG KDANDTLGKRKRKVITFDDEQLEAHSAAFRPSAAAVATPRPSSISCDLTIIRPRLPRR TLWRAEQRELS XP_829610.1 MPWIHLQSSDGRRLFAPSLGPLPFLSDIDQLFQAPLASRVFHCF RSTSDEHAEEMLVLLHQQRMGGELGDVTIAVGGTAVTEGELELTLDCVLDVLCRTSGS GMQMLLDALSVTSSPTDKGNPRGDGMAHAGKDVLEYDGLAGGNVPDTDGLERRVVAWE ATDSKIQGKSKAESVARRRLLARRKALLFFVSQDIVPISFLFCRVGPCTYKSPVSSLP TADRKSLRLLEGLAQCSCQCLFAVIAQSLAATYSIEVKSCEQLWAPDSDALPFVAFFS ASGLLCASSSFFGKSDHRDRFLIAALAVEQLSCWPYDETQSGSPHPLQLIHVREISLR CCGGSVPFNVVLTSVGSALCCVLGFVVETQGSGGVMDHERFIQFVRKRLATSRTVVRT GGAIRYLEGSGHGSAETETLAPVHTAVRPAQGNTDIFASHLALCVEVSHPTSRFHELL QEINRAEAENLRAAPAGEDEVPTKATAPPSSCACGCSVCLPFNYKKAGSGGFVWHRDV TTLPLDIMWCVAASHIVVAEAHVRNKIRGAGCHCLFSLICNDDGDGDVVNSRRYGVGW FQHSWHNTLCGQVGGKVDGGTIRGKTASLSHNFHNGGGTSSVHVDCLKVLQGLTSLGG GPRASVKYEIKKVGGCSLFRKKPQINMRQKDDKPVFTSRMTVFPLSVLRDDFCDATCS TLDSDEDEASVMTAFYTIRRHLIKGTTRDSLVQEVLLLEGDEVGREAEVVALQQQQQQ QSKGSCGSFSLFHQAAACILEDVWRYATS XP_829611.1 MPPRSRKGTKSRSASPAPRTPGRTKKSPVTPRTKTPVTNKNRSY EWGGPLGALGMVVLLPLTVIGLNVLCSENSCSVHNVWDLPAMILLALDVGVPRLVLAL GVELLWMAFHALLYITPVGKQVKGVKLSDGTCLTYNINALHVFTLVHAILGSMHYADV IRLAWLADMFMPLMVAAIIISVFMSIVLYVASFRSSQVSFSPGGNTGNYLYDFWVGRE LNPRTGSLDWKFMCELRPGLIGWSVLNWAFVAKAMEVGTCSPSIIVVALLESFYVLDG LLYEEGNLTMMDIVHDGFGFMLCFGDLAWVPFTYTLKAKFLAYHASQLSYMHVGICAA VALVGYAVFRGSNNQKSRFRQNPKDPANAALKVMHTSSGKSLIVSGYWGVCRHPNYVG DWLMTLSWSALTGFTEPLPYFQPVYFALLLIHRQLRDEEQMREKYGAEDMHKFHRIVR YRLIPYVY XP_829612.1 MSKQSRKEGTVGGRQYLLYSLLAGTFGALSAVVGKLAFAHDNSG SATSLSGVVSFFFALVGIDARSNSLAAALVLGLRAVSLAANGYCTAQMWRWYVRALSC GPTPVCQVVNTGANFGVSAILGFFVFHEVVTITWLAGALLVVVGLMLVVSDTDVSHE XP_829613.1 MKFLTFTAASILGAAFKARVSSQQHTAECRTNAVLDTKFKPLAL GEVINLAEDVAIFRFLLPRVDDTFDLVPCSTLQACLKEGANIVDQPMRSYTPITPNGT MGYFDLLVKKQPRGRFTEHLFSMNVGDTLLFRVVQYKLQYKKNRWAEVGLIGGGTGIC PLLQFMNASLDTPGDKTKLSLLFANRSENKILLKGMLDGTAKKHADRLSVHYTVDMLE NPQSDYNGYIGYITPQMIKETMPEPADNNLVLVCGPDPMMTKVVGSSPNVLKAMSGGL AYQPTGTVLNNAPDVGGILGDMGYTKDHVYRF XP_829614.1 MKGFAVRGRAPSGGGFGQPAVDTNATAAAPVLGFGSFGQSNTES VAGVTNPFGQTANQSGGSAPAAGMGGFGSGPSTGGFAVGAQSTSSATQPAPVLGQTVL GFGATPGKGEGGNSVVPTGVGAAAAPSTSAAPTSGFSGFGSGAKTTLNPFGAGFGQTS TTGTTATAPSTGGFGAATSAVGQTPASGATPANTTFDGSASNPGNTGTNPTAGTATAV PAATTMVTNPPSGDTVKAGAPAAFGGFGATPPASGSNPSAAPTPFAGFGAGATAPTNP ASTNATAGAATTGGGPFSSITKPSETGGNAPPATAGAGGAGLGPSLGAGVTGGNQSAG ATGTVGAGDPTAISGKQASLELRGKMLSTILAQFDKAFSKDYRDFSELSQLMMLRDRQ IIERGNEILSHSSHLEEAIANADVTRQTLIELKNKQAAIGTMLQRFEDAVQPIFAQVR PTFNSVDEAREETYTAVINLFDEVETLKLRLQQCVRQHNGSVRHLRQSDDLAKFVGLI DCQLSAMEVCAAQASELEREVDLLLGKSTIA XP_829615.1 MIRKTLDVVPVVLPRHRIEEDARLAAEKRQRAADALTEQHHVVR YIIDSGEHSGDEEEEEESKKKDSGLRLFSVTSLPGSDVHSLKTLHILEAPRSAWGRAT RSVYRGALRLPHLSGDDDEEEEEELRFSSLPLPGYEKKSCFLLTLPAIVDGDVGVADL KPLNTPIHALRCERAGTVKDGEGISSSWYSGVDTRKVQFKRSYIDDDYQDEGEDGGGY SSNDEKPRKRQDTKNLWDKGSEGSFGKRSKFESNGAVNRNDNESEVEMKNSTGGKTKM SASKTQESNNKGVATSEPPVSVLSTSALSETDEKSKSVGGPDLSGVNREDLKSLTDTF LKQWTNDGRSAVPFSEVARSVLKLHSLYTEMKAKHLSPQTRQEAVEWFRVSQASVRDN VVSLGYTMDNAGNVYLSRRSNA XP_829616.1 MGDRVGVSFDLVGTLLAVRRSAGYQYGCDLLRFLARKGLQFPAM DERDLEASFKRSMKSEISKSRKVWMENGLGDGEEMPLGGVAPEEVLSFWYRVVDGTFD REGNFCHQDEAMMSAVEEVRQGEDWREFIENTLRRFSTPEPYCWLPEALPTLRALKQW RENDVPPGVCCDPPTLVSNTDSRLVAVIGEMLKDQGETDLLGELFFADAVGAAKPSPQ GIIASCKKCGVTSMLHWIHIGDEDEDRVAAARAGCNFLLCSPTKGPVWSELLAKLQEI CDSAQSAGQRP XP_829617.1 MVVEPPASIPPVAVIVSTDVDAPASLPALQHNKLIIDMVRHYGC TPFPRKEVGGEAAFCNDIFEWVDRNLPSVDVEDMTVFHDESYVRYLSLREVASGDDEH VLSFSGCSNIRGTPSKRFASRRCSAPLPPFVLFPQNGDKRFNLVGDSAPFSGMWRFTQ AVVSGTLAATRLLAQPSRFAAIHWMGGKHNAKRASAGGSCLVNDVVLAVLELRKLLPA NRNVVLAVDLDAHHGDGAQEAFLSDPRVVTLSLHAYGIGIFPGTGSLEEIGSGLGRGY TMNIPLPVGATDALVVPMAQRGIYSAFRRAGVELGAVVVVCGSNSLSGDPYGLLNLTI GGYQRILRTLLTESASHSAKVLMLGGSCNVDVVAARLAGVLTRDVFSCATALRNGETS YFSWSPDLSTDKGVEVPEDCEYFTMYGPSFLMHSLPLAVVDEVHHFPPDSVLFSRMRQ IAAKGERNSQMRDRAARKTVIEDDEEEESESEEEDTDEEEETESEGDENTSSQEGTDE EVVIDEDEETNGEGDEDTSSQEGNDEEEDTDETVLTDHVREGFPCLKERICGSLSEGQ XP_829618.1 MKQQTPAGATRRGASPHGRNRNYEKSLSAANGPEEDLPQLQEKL HEAAEYVFQQRFYIHRLDSTISLSEDAEHALPKSETCETTRTAIQQLFDLEDTLSRRA DHYIRVANVTALRFLNEKRYTHALQMLLNVESMTRKGAGKDFPFFSGNDSLSSPLSND SEASPGSPLGTQAGGSCGLKCVGGVRVVCVPYFTKRHERARERAVAIIENNIGLYHFK IAEYDLAARRMGRALELEESLNVETIGVTYFNLAQIQYELGLVDEALSLISIAEEIIE KRVCQHDMQRSRLNRLSQFSSVEPGGANAAAGDRTINTYIKWREEVCLLARVLDIHGA WLSGKNAFKAAVNRFQQCERWLSSVVKLSKGENVWLSEVRQRIQQCKRLHKRSGYDPR VQAAMGSKKHPSSVPPQSVIVTTTLPRRVEVAMAGNPEPLIEEEQHQRPKRGLGPSGP SGWGPAGSTPIDPRRGGNSLSRIPNNWQSYSSPLAPAAQPQWNNSTYVFHPKEEDGTP TATPFIVRDFSAYTDPAAKGNRGARSRQSAGSTPMNGRDMPRKLFSSHRAGLAEPWNR RTSRVGNGQGAVGQRLSNGVAQGAKSLYGVCSEPRRPFGCGEFVPSVTWCVRILQAFS RAKVSEFVRYWKGCPCKPNFSHLLGCTGPPVVSCGGNLPIDCPHRCVPGVGETAFATG GLKIRDESKNGNGTLQRHPYDVLLAFVSARRSTRYVHETLLHQKYESISREVKPQHSD PFSCCRCVCYKPSSGDPQGYPEHRYPGGSNCFSVFGSGRRCSGTVAQPCCAACPCAAN VIGVSERMWMQHPNLHSQCGNCAHYNSPSVSANKGVNHPVMTVTYVSGPERHTSFNGE GNDNLADRTGGEGGLCCPSLSSKPVGTCVNSAVENTELPTPPEDELLAPSPKWSRGAL ASLTRSMRAEGDSRSSSSFRAMKLLTAFDCKSRKFPTHATASLMRHRALREEAALIVQ AAWREWKERRREEAVRKLANRKIDG XP_829619.1 MKHCSACAGGATLLRATRMTGATFIQARCAHLVRGTNRSLGQHQ GTNIPHYRLPLRRALRLIDSTGRHNASMVETEDCVSEEQLQHHSHDGEGVSQRQEDAA RYAAYHCHHMLTSFRVERQRWGENTGTLSVEDLSRIVEYIFYASVLLHLTALYQTADK YCEDLIGAVISCSIFVSKNVEEILKSLSTASASGTLMAANKRLASQGSDMWNSLHWAA VHYSQGVCYWGLLDHTEPLFDMRRLHAPFRGLFSTYVKLVCKILAHAGMPREDKQLLS YVKSPEGCNRWFGEEVNGGLAVSTLCFPGSAAGTACSGVHDNHCFPQQRRLQLLPLIW VALLSCHCAVSNEENRFRSARHAFLHVLPLFSKEAKAENVVMLLARLLYIRPSTVLKA NSVDVGMLLARAEQCNVFPPSSSVPSVTYAAFLRLWMCADVAQEKEPEVRGVHKAYAA FRAIPLCALQLDGEPHSPASGGDAFVRHLLLRALLQWSFRLTAFVSFRGEVEEILKST QHVVQLLDHARRRPGWGGRKETIVVDLCEAVLRAFAVRVSVICGMDAPLKKEDYALIA EFDDVVRMMIRSGEDRSSLCTLPTVYECLRPRRGSRSYAREVEEDSFPSSLTPRNSPV XP_829620.1 MHSRRKGNRRSNTNGLRKSETFEDFYGSHYGERWAALRKALCAP ACDRSVLWNKFVKLPFESAMGDVKRVDDALLLQLFSPLGSGEMPPPAIDEFNVKAHYP LDYTTALAVELLGVQQFDLVLDLCAGVGGKSIAISQFLSNSASLTANEQRGDRCARLR RNIKEYVPSNYVPVTVTQRKPETWHDPSTYHRVLVDAPCTGERQLLQHSGKHAVSPLH WSLQACVELSHTQRGLLLRSIETCRPGGRIVYTTCSISPLENDEVVREALKRTRCQVE LMHPPVPIGEKTEFGHIVLPDRDNGRGPAYYCVIHKISDKREESEDEDEDGNDDDSTS S XP_829621.1 MRKQLFFTLARPCVAVGRRFISGDNKSIDSSAFISDDDALRGEL ASALDTEGHALPFDVHLQQPHSSGDGTAGDTSTIQLEKLSHPPARFDLLTNSFVYKWQ TKAALARKVSGPMREWAAELKYRTGVHIELEPTYPERLSENAVKGSGSDEGDGTQWGA YETADDVDITVYLFGSERGIFNCHKLMEAAIQQDPVYVRLGIFRRLANSSEVEWLMLR RINRELRPPDIPPISLKLPGKWTLLYERYKEAAIRTLWEETGITVDASNVYPTGHLYQ TVPQYYWRVPVRYFVAEVPSDIRVEGPQVVPLQYMRNWDARLLRQSPDPIDRAWAQLA DPATGCAWMKASMIDQLQKPLRGDNYMAIRYTPPPYSNLQEVVGLGDGSITPSTGNGE DAS XP_829622.1 MPPSPAVVGRSLVNSFKQFVSKDLHTRHVDATYRLVLDCVAAVD PLMRLYTFGSTVVYGVHEKGSDVDFVVLNKTDVEDGKGGDAATQVAKGLQADILAKLA RVIRQKHLSWNVEEVRRTRVPVVRVKGGGAVDFDITAYRRNGVRNSALLRAYFEQNPP CRWLSMSIKRWSKQTGLNASVIGGSITSYGFNLMVVYYLLQRNHLQFVPPSTIDVSRV EPLPPHLPLEEPADEGLELGTQVLDFLHFFLHEFDSDKQVISLNRPGITTKEELDWTK SAEDFARMNGEKVHYQWCIEDPYELNLNVGRNVTPLKRDFLRRHLEKARDTALLTIV XP_829623.1 MIHAVVMALFHNKISRVTLGSTPQGAVSLPVAQWLKPMLESNTS LTTVTLHTQYIPPESLKVVLLGASRCRGLQYLRLVGGISVLQSKVLMSALAHFTLSYR PSRPSTDARCRVPNGEEYSHAQRVAQPSPSGAPERENGRFALKACEAQRANDMDMCCN PIYQRLCSPALLNARAAGPPSPCIRQHNGVHVVLDVQHMDDVVAAFLVRGLERAERVI GLDVHVSSVSQTASRAAARLLSRTESIIKLNAERLGHLLARCPSPVPQKKSSRRHAEN AVRLGTSPHSPLCRPNAGADGGPPRPPTANPQSLPTRRYSPPRLLPEHPCEPHSPPPR PLGAGAPHRLFSTPSVTFTADGDCAEEESDDNTLTGGEGRGEGDKEEYEEIREANKEC ESAPPNNRVSPNTDIVACPFQKLEQKKVEVWGSRSTVPGSPSPCYKPHAPLHQPPSHQ GQLVQHMRHMRNYVKDINSVVVRYQVGSRETVQRMVTAMQQLEEQLTQSVTEKLTDML VTISEVERPET XP_829624.1 MTSRQRYPTRKSFQHNTSTNKHGRRYIIYHIYIYIYIYVYMPGN KRQSSRSFVVLVRASLSIERARVVPSRTTTGAGALVRWPSCGTIHLHPVVFFSVFLYC FSMTNLVPKAHPFPFLFASGLLYPQQRLIFMVYIPAVSILGIWCSQPLAAFACIP XP_829625.1 MSEVADFSDSADEWEATPKGPVDDAEEAANGKPKPKRPQIDQLT GEERELAGELLKLVFHLPDGSVQHREHFMGQTVSYLKAQLEDVDGLPYERTTLFFEDR QLLDPLSLNDLPFSVDGENHITVRLSN XP_829626.1 MSAAAPVLSTKVDKYEQVLAKYDWRSPFPPRGYQYGIGRGAKAF ITSAELSATTGVSAPLLALGGEQSAMLDALDRLEERRHKRRREDVKGGATSREGKKPK LLLSMEDIATVGSGASNQSLVKRKARTEDMDVVDGYAFDDETAVVTASDLLRGRALAA NQTLENILNMGSSSEQTTWITHSRALREMGMTKKAQQTLVEGSRLTGSKGPLIWKEQL QHLNDPAAQRQLLEQAVAACKTCEELWFLLLQYQPPHEQLHWLQKAVMACPASESLWL RILGYVTAPRDQRKIIRKALEVTPTLPSLWAMLARLEDYERGKAIFNAAAAEHPSMKI IIEGAKFEEFHLRGQVGSEKEGGNEQLHNAKIHSLVRQAAQRFLLMDEEKSRTEWLDA ARVAVSERYILTSAYMLLHFVCDTGAATLTIPVTWLEDLAALVPDMWRAHDVLCAAWA TMLIGRDAAVKGTNEAVTEKDVQIIGFALRSAPFTSLETAVSAVTRYISSTTVSYTSR VEDGMKKEGIKEEEEEEELGRPVATIQAAPPTCSTSATAASQCLPLPLVSVLLYTLFR APAFNTIDVMLSVAKVFYDHHFYDGAHRVLMLAITRYPVNALLFTAAAKTKMAMGCRK GAEELLLKGTAIDPSDSDIAWVKLAVHRRSNREDIVPLLDEALVHFPTSERLWLMRLE AEGMKAQQLLEDAMARGSSTAPTINGLRRVYGKALSSEHCRLSPTIWCYAAVKLESNL FSDAGAARALLLEGLVVCEKGCQHLHHNGSTKRAEVRATFGLARCHVELRHAGSETAL ETVKEVLQQLPKVDGCFTVSVGELVALSIDLENPATRGRAAAQAVQHWRVRDPLVFSS VAKLYHAAGRHEKALEQAMKAVQMSKGRCGDAVALWLKLASMFTYRKIVMEKMGLTVK DESNEEETALQNGVLLAWLWKQLANGSSGGTSGSEAMEDMKGSTESATHSEPPKPNSG PLWIYVSKSRDPSNVTLLGFRDSVEVMLTQVVEMIKL XP_829627.1 MSTSITNFVKRVGTSLQHDVRTFTVWDPIGNKVHCAARDAVYLV MDCPKFFMYTHGAYGAMRRYIRQARINKGKVNPEDFRDVDTNVLRESLIRYAQWKGPL QKIDFRVFYWMYVLMIGYVFWQGLALNRMLEEKIDRSGVSLDKRRSMFDDDYEEDLRP Q XP_829628.1 MSFRIPNNLLQVLADYTSQALGAAHDISDEFEEDINELDCQAKR ICVILRIIRESAHRQSSAEGGNATLGGCESPKPIEVALRGLGHLIHEFTGLPDIGSAP MGREGQRRELTVQPLGKPVSLAEAALELFGHNTKIIFRRKDKRPRDAAIVEGKTERRG TVYSRLATSQRKRRFALYTARVALFPDVFHTPTLSQEGGEGEMRPFVSPAVREFADLN VEAEREMFGSFYRLDTKAELLREWPSLFSTVEHLQGLLRAEGVRFIPSEAMKRENLEG QLRWFEFPFTDNGVVRMIVKHVLAVDFTWELLQSGRWRVLGLHWLLKTRPPPAFLLPL GSGGCEDTSNLWKEKASSLRATTLRVEPAYYEAALRFLTNCFETGLEGGAVGALRLAD AVTMEVIETQCRELKENFFVGPLEPSFALDVRPGTHVSLALNWPHNSSFYSTTAPLNE EGGGPLYLKYKLRNGTVVFEKRRGTDTQAAVQSDFYTDCLTIDDGQSVVVVDIERQLW QFICA XP_829629.1 MHRCKSAYAQTFLSVYPYNIFLRPICFVSTYFPHRCAFPSLPFP HFSSLPFPFPFLPSSPARTRIRLYTLHCRSHLPSSLYSPSFFFLSLLYVRDVSSTSTR LRMRFWYSWHCVVSLPAFFLLFVYCFFFLITLFHRHHQCHHCISLFVCVVLPFPSGKP QISQRLLCTTGES XP_829630.1 MDSRWAASRRVAASQVTVPFGWQLMQYPSATREEVRAIAFAALR RLLYPIARRYIARWYRERVARDMSKEVKMEPLLFRALRQRSVLGSCLKKSNAVDELEN NNEQLKRLLDSISYRVYLRGEFIQYSREPSNAVVFVAKGIVKKCSTVSQTRGRVSHDR RLSSSHVEVSITYDDDEGPIVATPSTQCSEKMSVGSIESEALRTQRNTLLSAPTILGE CSAVGSFPCTEYLLAESSVVLIGWIPKSAYCTLLGSFPPQIHRSLLMKALETRERLLP HFGCMTCNRMRICPLLVSLSDRDLLQLMEHLVPRVRPAGVQIGEREAPRHIFFIWRGV VCLQQEEAVIPMGGAPPSQPRSRTLFSEGHTFGEWQCMFHEGLGDKFFTLTNVDLYLL PFSVLSSFMKRDQNIRQQIHRAAKVISLTADKCFDGMRFVPPSLDVLKTVVLSPSQVP KLIQRGQGAAGVFSKRVTIANNSIASHVFRPRDNKTDRVNVSPFFIEQLRKMPLVGLC GPHDSFYTDCAARFKLMRYEAGQCIVERGSECNSVILFCQGGAVVVEDEGVVQRNAEG TVQAREWASLPRVPDGSIVGYTCVRRHPWTCSIVAPDDGTEVWELNRVNFVDVLRKHR IERQMHELVLQLMQPLSSVETRDPLLDTQPLLTPSPNSLWSEYRLPNMHPVILSETPR FPIWKEDETMLEATPQWRRSSVV XP_829631.1 MKGGPLTSWNDVGGRVDVSGSREGGMPTSTFAGSYAATVRRGRG KGVSRSSSRSLKHEQRPSTDYFAVLRRSSPVSVYQRGESGYLSNNFGPYASQRGRLGR NRSLSRTSFTPGRRRVSRHVTPWHPLGVPKKNFISTQRNSIDDCLANCMSQIKRHEHV YHARRANSRGRRSAGSRLFELLAYEPRRVSCVARTSRDKLIAPSVEDPGGKRTPASHV QSVEASAVINSLWAGSAAKRAAAIKSYRTARGSASPRRKRKSPKEVTGETRKDMEGQD DINRWENTGTESRGSTDQKKSVKKELFRLRSMARETAEAVAGMRALHKKMSRAARSHT KLRANVAREEKESQRLEKVAVKCKDTVDRLCSSRDSGQVSARVFGERDLGEADGFLSN LCLLGQRAALRREKAALEGAVTAAENELVEKLAQKDRAGVLFGTADGTINVADIRKRN NLARSYFHCHLVKRSIDTLRETERQLLGKTKEAGRRINKLSVRVKEAKEILLQHDDIK DMHIPKHKLRAPPPLDVALTTQAATIFHLTGVPQ XP_829632.1 MSDPTPLPMSGPVASPRDRSPTSIKTGPQPELSRTEQSAWQREK TAFNADAGRKNIRKNPSSRYQRVIIGEDDGDRDFAKCAEMIANVILARQKYKQRDKGE FDSLTKEGDEEESSDITPGDDETTLVFDRGVYRFGGMKTHVIPWETYVRDVRLVYSVI ESGPCLSAARSRLLTLERKSQLFALLNWKIESNVDRPVCGDNMYVQCTRVDNALQLNT SVIAQVVLEFIISTATEQPRTPLFREKGKTVLLHEYLESHGVKDPRQLTVQGLGMHPP KYHNKFQQYDAFDSALNPGGRFATDLLQSFLSTNGSRDGDLLGSIIRPEFEQREFRGR QTFATEMQLKVYGHNAEELEKLAAWVSRQGFNSFTLNSWTICIPRTAPPEGPNMQPIT CDTFSDQLKNIFYPMFMATLHPSEQRWVDVALLLKKTGSISILTGSQTQSQSITLDAV SPEQVKYTASISDCYFFYYIWSNLLALNCLRARYDLNTLNFSPSVFERAPMYEQLISS FLLGDVVYHANTLQSSWIMQYLFMYCRIGIVMSPLRDNALSMSYFDHPIVRYFLRGLV VSITTSDPLYVHHSINPLLEEYATLMKLFSMTPMAVYELSRNSVLNSNFPDAVKQKWL GDIFQHLEFGGGDVRRLGACDSRLQFRQECLVHEEAVLNLVLSQVAKKGEQPTRINMV TVTGAARTLDDDLQQLRNAKRANHTDWRVVFSRIDVTDGPTGFREREAVELLREIIVL RSKYVNPRVKYVDVKVEDVFNDSNFDESLWEYNNYYGVFLTCRSGLAPQWPSFIPPVK EFIRDVYTVRGAVMGHQKLRNLATQRLNLLERKFHLHLALNISKEAGKKEEKEWNNRD FFTAHKVDTNVQTAAGMNARTLLEFFVEKALHHGHDVVFEEDNQPVTLRQVLERHKIN PTRITVDELNHLLNTNPQLRTTFLDPDNFMKGRYFAELTKRTLELYQEDAFSFSENRL VIGGKSKSEWALLAHWFDRYGMASRQNRWMISLPRCYRRLRQQGIVRNFGEYLDNIFQ PLWEVSLHPAKDTRFHYFLTHVSGMDCVDDETKIDLPLTCKYPHDWNSELNPPYNMYL YYYWANITTLNQFRASRGLSTFAFRPQCGELGDIEHLIGGFLLADGINHGVTLRNNPV LEYMYYITQVGVAMSPLSNTAAASEYLLNPFPLFFRRGLNVSLATNQPLYFHFTREPL IEEYSIAAKLWKFEFNDLSEIARNSVLQSGFPHAWKKNALGNLYYLNSTLGNDARKSR VSDIRVAYRYEAYHEEMNFLSEQLDRDEKIPRAMTLLEEEIAVYEKETGKRVDMPSLL KLDDGSLPKDPPKTVARLREEVEYLQRKILHSNSVISTYRKENNCIVEHFNRIRSRLA SSSFVVMGNLSRKYEQMDIESSDERGNVASLCNDESRDS XP_829633.1 MRSSGDLANSAERKLDDEKKRCDWRFTDNTPLIAACLLTGAVVL GVHYISICKDRTDISLPTLMESIESKCRSLGDAFNASAPESVLHPVPTQIGVAIWVAR EEWSLPVHDTLDRIEKVVSGAGNRKQQFIQRIVRVSQLGSDGNLEKSSSSSTKWSGSG KVSQDPLANAAIKQQLGLSVAKHAYAVSLKEELLMHTISFFAAPPSAAKSVRCFLFDD KRAYCTVPTEMGMETTKREVEAAVATLLADWLEIENFRDANATQRWAMMRKVRGCHYA LRALRQLKMSVDAHPEMPVPEGVRGAVDRLEKLVHESDFTKLARAAADLQFHQLLLPQ IYIPWDQAVVNHLSILMPVYGVITLAVRLIKENRKRAQVVQNS XP_829634.1 MQVHHSVRISDQEGVYALDGCLCTGSGSVALCLSLSNQTIQCYD ACTASALCTIRGHTCTIRDVTSSPTQPHVLYSAQEDTGVMVSDIRQQSPAHFLSEYCG TGATGGAVATSPNGDDIAVAVNGDIHIVDTRTWNTKRIIGTMHLDEITRVRFISESVY CSAGEDQMINFIDSSPVVKENDMLLQALSCGEVATKMNAFPEHATLGIVGSCENAYTF PYVLQQQEVRYERPDDATYCVDICSLRGRLYLVTGVRDEDGNAGPLSVMDVATRERVA LPQFHKEISRVAIGVEDCLITGGEDNVLAFWREGPVSGGGNVELTGAASSCTSVGHPP QKLKPLPRGSRTQKFVPYIKGAS XP_829635.1 MTTSGVESVSTTVNEGLKPQTEGSEERRPTTFKELRPSWLTPFF GANEPEPYKPPERGHPCKAFSRQVHECLDRNGNNVDFCQSKLALLQSCLKELGL XP_829636.1 MKGKAVKERCFKEAQKVKVAKLVGRYRELVGRLQLPVIDLSFYD SCFVEPLLRHPKDRRLEDALTTLCDYLEKHRCRTVEVLELCERRDQLVEWLLTCCEKF EESVIRPRLLRVIAHEVAPIDDNERHFFHFFRGALTAHQFLTGQLVEGIFHWREQLTR PFAFNVSDENYIYRILEDCELLDASSLGKALKLRLSDHPLGAHQVTTSDLCDHIVGRG FQIPSGDHRYGCLTGDPRGCSNALVAVGECWRPSAVQQRHRTCNDRASGNQVYLVLGE AIIKGEHLLQSRLLDELRALADNNRFLPMLSTPTLVTLGDLGLPLAEPSTKEWLQFVV RPGVGSALPCNPSTGDGNDTHGSRESPSQTVTTSSSSTSTSTLSESQLYPGTEPSSTA ASSVTQ XP_829637.1 MSKPTRKSVSERNQSESSALRPSISPSTRWNDSVDRGSSCSSIR IDYQRLMDSHTRRAEVIAQYRQLVMNRRSQNGQGEPTVCDEERSDWLREDEWDRLFSL EEERCSCINGEQEPPLREEGEVILKQKEEVEEDRELRAKEEEEDRKRKQREEEERKRK QREEEEEDRKRKQREEEEDRKRKQREEEERKRKQKEEEEEWKRRQREEDKRRNRPKDE VELKVQVEQEEERMLRLTEEVKRELHLKEEMDLQLRLQQEEGQEPELRGEEEHNRQSQ SAVPRRTMSAESDNRSVSPRTTATSRASAQLASGAAVMSSLRSSSPSSWRASHGGISM LPMSVVAMKKPSEQHPLESSRRREGENSGSTRVRQSLVYPLVSPTTATLSPVTNPSAR ANSRSEKHPGDTPDSVISTSKQSTAHNSKVAVREENNVEKPAAKASVSSSTHKRAPTP TSVAKSSTKRSGTMSSETKSSTKRSTHGGKEQSELQPRSQQNIKQRQQAIREVEDPSL LTSATAHTIKVSTPSREGPRSQAVAADAVDGACHSISNESSSRQSEWAPRLPTTVHEP APQAKSRDGKMGSEAPRVDPEDAFHASKFDTRTVSTNLSSVLPARAPAGSTMEEELLL LLRESQKGVSSSTEPSVRVSPTRRSTVFGTQTNRGSCIASAAAVLQGMLRRSREVVPC YYCGEMQPLKTYSLHLDFCRTKTTALYRRYGLSTLRLNMGIPTRCIPEAVSEATREEL DAFTRACYECVKVSIIPCPGCGTHMRVHDLPEHAEACKSGANSGRRTTVSSKS XP_829638.1 MMRRCFPLLSAGMVEYHEWHLHEPLLQNYEGWRILDNPKYERKS DAYIYDFTGCVRHLDPIIDDPRLTHKQRVCRLYRWALKELQMWLVQLNAHKFNLAYKV VRRRFEMYRYVTDPATCDMMVRQTQKYLRENACFYYLRRNNASPWSTATLANPMFHPD GAQVYDHWTHPEVLWYDDAKLHRWTGHHPMYGSAGEASDRFGDMDVAPHLRFFTTVVF GMVFLWAILNFLAFFDRDEDVHFKEWCSAFSDDLKGALYAAERNSRSHTSMLGWDWDR IMGKVQQDEGFHLYDVDFAAAGSNIARR XP_829639.1 MRRVASFAGTIPLVSLVKGGVGDPFLGTALQASLRSGLVMHTPK ADIVLGRFNYMDQYNEFRNRSGRAPDYYVEKHRDTYWRVDEYIRRAENYLQSQGFFFL PTLEFPWYKGCLPLLSSYQIRLHYGRHHRSYVEKLNQLIEGTPLYGLNLDEIIVRSHG DESLAGVYNSAAQHYNHCFYWKCIQPYGSNIPPDLKAAVEQQYSSVEAFQKTFTDAGL GLFGSGWVYWVYDKRGCCFDVIAYSNAGCPLTNADHVPLLCVDVWEHAYYVDYENDRA QFLSKYFDVVDWHWAERHWKRATGQDYYEMKFW XP_829640.1 MEAKVEDVVVALVRCFCKGENEPHPSKAFGVAKELAMAMCGRER RLQFLRILALLESEKEMCTDAHIYCSGALILCDASGGGGCGTEETTKWEVEMVSILTA HPPYPKSVLAAVFYSIVQVTLSGCSIAILVAAGDSLRGVDTNLTVPKECAIELLAQFA TALVTLNERCKRGPRGMNDDEVDEAKIRSEEERGITIRSMVIDYALNAIPYMREESAQ GSYLCRRVLIPLLSLGDKALLEDGHSRICSLLTCNAGSQIDTVVLIAALFECLFSCVD GDRQRDYRCSTNLWDTIHAAIYGACTGSGSVENRVNMQSRAEYLLKRIVAMTRDQELR EKWDASRIYHPLFVWVPTVSNNLWEMLFLILEVTNDYGMHIIQPILPKLDSLVEKVTA DASVWADFGETGDEILLLQLKGGIHPLWVTLLFLKMLVHPNVAFRKIGLMRLWSLSAP LLKLFPRKFLFTSVLEVSLDTRLCAEVDRASHLTSFFGPKGFEGREVSPLVGTAGPLV DQLEQFYALLFCDVVQGAVERKEAMRRMLHCVVEKPSVHGATMILRILHGVVTTLALE IDDVSRCATVEMITDDVVLTEFMLVLRDAAQEKVPFWIDVRLSAIAFHVLLQFARMDP KTVRKSSNFWKLLCMCGPLGTSAGSSFMTVDTIAHVGVAGTCISPYYLNEHLLSVAQE AGVGGSNYRFVRDLLQVDNVLDKIRNFIRTKGSDEVQGKQLMFLLGALDSMGGEDRQV LADVARELAEMVLSFGRRPYSEAEDIFVALVAFVEFHHSVGSQPCTRYFPLSVILDVE DAVSGLAISSLRQAFHSIKEVTTLGDLSAEAWALQSTSRWDAVVAAVVTISQIAVSYD HSSASVVLRSGKRISDFVELLSVVAEGFPAIVPEDESRRVAQHFAHIVLARNASRALK GMLCGLVGTGDNISINDKRRDEDKTFLRCLSNNDRARCIRTLMRCPALRLSACDIPCS LTDLSWSNVLAQYYGCVYDVIFLLCSCMDQSAVPELVHELEEYGLDQVERCWESNLTS IYGILSWVASAPASPKGDSSVNIDRRSIINAMFGHLGVAGGRDHCRMTVLAFDALRCG VECEEELVKTHIYRTLLDEAQSERAGYIAAVTLSTEVLRDPESNWLRLKELLLHVAVL HNPSREEEGAEVLVAALEPLMLKAWPESLRVQYPPTVRLSYVGRAMAISAILCCCFKK EEWAADVTLQLLEWNNSNPAVNHEPCMPNSKAHRSRMRLWQLLCALVPMVRSPTVQRD LLHVVVMKCLTLTNMGSVRRLMELFALQLLQRQPQLYWIIDNAMANYQLRPQVIGSYV FISAHVILRELRGEVEPVDGLMDTLLHRLFQQSTSHQHMLRLVCHIGLNFIREASVAR GIVFSANEESIFDYVANAPEHVKFRVQHAEQLFFDVREACTPRQLFCIQRREGNNILL VSIPAVAFERMRFIDREVACLTGVLTPVDQIRVQVLMQHFEASRVLEPLKEFPYIPHT KSTALFCVDYTPEAVAVLTADTHISEGMSSVTGYENVQRKATPWWSSQLYDELHPRAL KTERQPVIVIASLLQNPVNVAGLFRCGEVFAVEKVVVSDAAVLEHPHFVAAARSSDLW LPWSAVQVKALSGYLSSLRQDGYTLVGIEQTAGSVPMSSYQFPKRAVIVLGAEGHGIP AQLLTVLDVCVEIPQYGLIRSLNVHVTGSIVMYEYTRQHRMGGAPESS XP_829641.1 MSPRATEPIINEDEHPTLIPSLSQGNVLELPPDSDKSTAAADGE HRGCLNTVFDPIKGIVPYGGMASNVFNLESATLGAGIVMLPSGFLNSGIIIATLMLVY ICFTTVYSIRILVITRDKTGFRSYEEMACGLLGRGADYFTAFLMFVFCFGTCVGYVIS VGDLLSPLLNQPSTTGFLRTSMGKNVIVGVVWLVAMLPLSLPKEINSLRYASAVGVFF IVFFVICMIVHAAMNGLKDGIGSDIRLVGDGWGILNGFTLFVFAFICQVNCFEVYEEM KGPTPRRMTRDSSVAMSMVGLLYFLSGIFGYLDFGNDLEGSVLKLYKPQDDVMMAIGY VGIAIKICVGFAICIQPSRDAIYYVLGWGKTSDVDSWKNLVVSGVLATLALVLGLVLP SIEVVFNFLGSFCGGFLAFILPALYYMYAGNFSLKEVGWFNYAVTYQLLIVGVFAVVF GTALTIYDEVKK XP_829642.1 MRHTIPFFRRSAFVPAPGSSLLNPRSQRAKVRRMVAAQKAQGEN FERQALYAELGGSPSARAPRSKGERSKEATRRVGCEVAERAKHMTDAEWEGVPVDEKH AFAKYMHKVLQEHPTETTEQQRRRYFETTMADVFELDPRKTVRDEYERVKLGLPVHLK NPQYSLGVSQAVYDAADASLFDPENVHRLENAMTHVKQVFADYVHKKREGVSTEAERR MLANLTAELNLETQKHLANMFKYAEMRLRQVKLEERHHQLAEIERLRRMAQQRGGVKG RKGGSRKMSRMERLKRVINRAVGLDIAVAETVLTEMQAQEEFLQFCEVFARLTLGSGF KHTGKDENLSAYIESLRKLYSMDAATLSTLDVVQYYSSKEGAHPVDWAKRWYERALLL PLQSTPEYQKLLQIQQRDESTVKHIKETAGTGHAFACEAEAEVARIKTQKVVNLVEKM FMDPKDKRLESLHEKRLRYLAHMQMERQIRCVRENAKLFDGVENMPEAAQCRELYEKI MEKKTAQCNMTSPPEGEGSAIQSAKTEGDHCEVGPGMFNVYDDAEASSLFEKIREITL RVIRDRHVQSAAATKARMLNRIIRSLKGGEQSIAEELRALHQQRKEKMTMRILGIIEN DVKTEMEWLQNMEEAERPPLLPIPENMSYVSAADVQAWRELREDDERKAANPFERRRR TFQPELLGQAWSVPNKPLLFWGTGVSAVQQALRHVAEDAERKRQGLLLAPPYPCAENP WGWRLAKDILDDNN XP_829643.1 MSPRATEPIINEDEHPTLIPSLSQGNVLELPPDSDKSTAAADGE HRGCLNTVFDPIKGVVPSGGMASNVFNLESATLGAGIVMLPSGFLNSGIIIATLMLVY ICFTTVYSIRILVITRDKTGFRSYEEMACGLLGRGADYFTAFLMFVFCFGTCVGYVIS VGDLLSPLLNQPSTTGFLRTSMGKNVIVGVVWLVAMLPLSLPKEINSLRYASAVGVFF IVFFVICMIVHAAMNGLKDGIGSDIRLVGDGWGILNGFTLFVFAFICQVNCFEVYEEM KGPTPRRMTRDSSVAMSMVGLLYFLSGIFGYLDFGNDLEGSVLKLYKPQDDVMMAIGY VGIAIKICGGFAICIQPSRDAIYYVLGWGKTSDVDSWKNLVVSGVLATLALVLGLVLP SIEVVFNFLGSFCGGFLAFILPALYYMYAGNFSLKEVGWFNYAVTYQLLIVGVFAVVF GTALTIYDEVKK XP_829644.1 MSGEIRYASGASAQALFDKQQYTMGISRNMFSPHDKVKEKKCMI PPALMKGVTVAKLWDAIFSDKAEFLQRYHGSRKETNLELSKWEYAPDMASGFRTLTFQ CTVDLPRGPVDTTLNQAHRFAYTSSTSGGITLVYHVSSQTPNVPMGTTFRTEALLEIT APSEDADITLAVYGGCKKMSMGFAAIQYMANPRAIKEMTRAYQQMLEMISKDLTGDVL CVQTSDVSEGTYSAKETSVSEPSASEEVGQSSTLFQGLLLVLALVVAVSLLWHLAGLQ NIGRMTSLLSARVNDQTMNSAPHPSSLADALNMGSSADTKTARPSLTQDKALHHAARD VHIQSLRQRWLEQRDRIVALEASLDKLWSFFFMQLLIIMFIVVKLFMSPS XP_829645.1 MKFLKPGKVVIMTSGRYAGKKAVIVQNTDTRNKERPYGHSLLAG IKKYPRKVVRGMSKRSITRRSQVGVFLRVVNHKHFLPTRYNMDLSRELRGRINVSDAS KKAKSKQLVKRLFQARYNAGNNRWFFQRLRF XP_829646.1 MPPVSRCWGVFFCNLRSALWFVSIPTCLNSSFVFFFFLCSPFLP RLYQVEEGRGGIGVWCGFWVPFTLFFCLYPPVLSVTDPMTFSSAKRLRLLALRAGHPG VISPVNSGMTTHALRVLGVLNLRDTSLMCSLNNVFSMTDVRSRANIFHALACSVREQE CVHEGMDETLIAQLHNMQDVILADGDDLSPSECILVMEGLLKIMPYSCVRRKLVLMLR SRVVTLINVVSGPVELIGIVRVIVEAMQEPQPSLAPSATEGAAGDNQTQLGRSQELCE VCRVIECRLDFFGKQDLVTLVDAMTVRTSSSGRPDALTNLAQQCSTTNRKHSDGGPLR HVPDECRALVLVIFDRLRLIVMSLSPSQVSAWVVRIVGLRIYHHVLFATLLKRLNDEQ VRRAMSSSQLSTSIESLIVALRWSRSDNDISCTEQRFVAQVIVKLLDSLLADVVKRGS SCSDCVTYVLPALTLLAEEATVGCLEFPPSLVERLFCMPYNHLEKFTPWQRAQLFAAV FLWGIAKPRSKQTPGQPRRLRSDVDPHFNTSADTTIFSLAVWCRAIVRYADSYNLLDA MQIVNEACGVVYAEARGHEPYDPSVVRPTLSLLQQRIQAKQDGFHLVPTSHLVRYLTS MSKLGIRAKSDYYAVVNIVQKRCLTEFERLRVLGVVARHQLRALTFLSDTVSSIPHRS VSLQPRQKCLLLRYLGRAGVSRFVRAPLHLSLNVGSFLTHEEVTTLPFIDAVFAFVGL ADLRQFANETLFALLHGPLSRLDNLADIQSAALLGEFSVALCRTDQHRFCHRIVCETL NKASSLLASSRGLFVDVAEVAYWIRLLDAWPQLSTNSGGSGRNGAECGKVDDSAYVDA LGTYKVMAAAFVTTRLLDIARSRTLQPNTFLFSQMAVGHRLGAAVPQGELYRLSQNLD TKHLAQLLNKPRQVVNAVVTGLYISSVDAVRAIDILRFATKNFGSLSVQDELILGAEI TGFLRRRDSKVNSAVEAAVQDLCELMKANMSRIDRKQKLSEREVALAAHYGFVSAPEQ SLRKIR XP_829647.1 MKFLKPGKVVIMTSGRYAGKKAVIVQNTDTRNKERPYGHSLLAG IKKYPRKVVRGMSKRSITRRSQVGVFLRVVNHKHFLPTRYNMDLSRELRGRINVSDAS KKAKSKQLVKRLFQARYNAGNNRWFFQRLRF XP_829648.1 MTFSIPPLPWGYDGLAAKGISKEQVTFHYDKHHMGYVTKLNAVA ACNAAVAAKSVEEIIRTEKGPIFNLAAQIFNHDFYWESISPNGGGEPSGKLADAIADS FGSFARFKEEFTNAAVGHFGSGWAWLVQDTTTKKLKVFQTHDAGCPLTEADLKPILAC DVWEHAYYIDYKNDRPAYVQTFWNVVNWAHAEEQLLKS XP_829649.1 MNGGAIFSHSVLMDGNAVMLSKYAGCVTVLVNTASLCSFTSSNI QHLIHVQQKWASRSFTVLAFPCSQFGNQEPKKRDEICCWVARNGINFPVFDKVNLKGP NTHPLFQMIQSSLGPIRWNYTKVVCNRAGLPCVKLQPGSSLEELERYVSQLCDE XP_829650.1 MTVHLLWIINQSGQLIAKSSFTAQNSIGELGAKPDLQLTMSSIL FSTFGMSQELTPNANPVDSAGMTLLEFAEHNVHIYETPSLVKFVLVSDHHTYECNALF RQLHSLYVEYVVKNPFNIIDEGGIGQPIRIPAFTEAVKEAVDKYHSHTQQPAVKR XP_829651.1 MSRPDKRRHRDCVVGTTKDESVRLYAFANTRPGYQVVFSPEQPL IVLKTRKSADKPRQHPCMQWSPLASLLFVQLPNTTHAMYVADTTGLPDSMEREEQVST TVGPIVKRLANVVAKLNPHNGTMVAAGVSGVLAFKYIFMGEKTLGHTDRLVQRLLLVC PPSIHPFLALFQVMGKRVPERGYPKPEIVVVLSDVSAVNGWNEFLTDFAATSALESWS VSSNVEPSLFAAILREAGISADGTKVALETRHSAPRVYRIDFVLSAVTKRTEQQVTLS PLSSSGCGEEDMGSSPLESDDGEECEDEEEYECGDHERGLGKSEFPPVCRGVGTSEEV FGLMSLPNCKRSTCVVVEGRIMDAAATTLGTTQGRVYVTGMHEVLKCSSSGTSSVHKS IKGVAVRLRSFVKRDEQGRTSLQVTSAVFLTKNQERRSMTVCSMAQVPAYNVSEVAHA YGALLVRGRKCVLVRSLDEEYEGVRLPYLLHTSSEESSMECAERALCEHCDISPDNFY LPSYIPPTCVYEKDADTGITTSRMMYVALAVTPPAEGAGDAVEDEEDFNEPYDWFGYT RALRMLQSCADRKIVQQLQCAVRSAYDVGLYIPLKGLGVFGDDVLGVAGRRTSEVEPA SGMRRPLEGLELLVVCSPGDTSGQSMQVAREHLTECVLRVGNETPRCEILQGARETMQ AGKTTLVLCLQCDVDVNVFSEEQLTYWSEKGARPRLVTLLFSGVSEMILQGADDGADS ASAFIYSIMLSDVLVTAEEDQQKFTSEMWGLLQLAHELNGELMLCAGIVSRRPIETPP IGKEGDGGDQTSYDESSLHEITVTCTGRPVEPSRLARLLEVAGLDECYRHAVVLWVQG EVWIASRPKSRGLLALDISSRCLTLEEGEPWEDDEGDDRAGKGEDKRQNVITVYVWAT AAGRDAVRGTINTIWEPVLCTASPLPRGISEGAKVGEVDDGLPPWE XP_829652.1 MSDKGKCATDVENTTSPVTQQTFPAEPSGIVDASEPIHTDDKNK RVDARGDAPPSVWQSFSAKMKHIAGTVVPYGGLVSSVFNLCSVCIGAGILGLPAAANR SGLVMAMLYLVVIGGLGVFSLHILSLVMEKTGLRTFEHTARGVMGRRFEYFVVVIRWI NSFGATVSYVISVGHVLNPIIEKSCGAPEFLRTPGGIRLLTALTWMVFMLPLVLPKRV NSLRYVSGFAIIFVLYFVFAIVIHGAQSGLPKLTSDEEDGVKLFNTGNSAIASVGVFM FAYVCQINCYEVYWEMKKRSCARFTVYAAISMAFCGILYALTILFAYGEFGGAIDNSI LLMYNPITEVMMMIGFIGMVVKLCVAYALQTMALRNTIYHVLGWELETLPYWKHFSFV IPLSLVVLLAGLFIPNINTVFGIVGAICGGFLSFIFPSLFYMYSGKWTRRNVGNFHFF GTYFLLCAGVVGLVFGTVSVVSENILALIANKVEVVRVRAPETQC XP_829653.1 MSDKGKCATDVENTTSPVTQQTFPAEPSGVSKVSEPVYADDKNK RVDARGDAPPSVWQSFSAKMKHIAGTVVPYGGLASSVFNLCSVCIGAGFLGLPAAANR SGLVMAMLYLIIMALMTVFSLHILSLVMEKTGLRTFEHTARGVMGGRFVYFVIAIRLL NSFGTSTSYIITIGHVLRPIIENSCGAPEFLRTPGGIRLLTALTWMVFMLPLILPKRV NSLRYVSGFAIIFVLYFALTIVIHGAQSGLPKLTSDEEDGVKLFNSGNSAIASVGVFM FAYVCQINIYEVYWEMKKRSCARFTLYAAISMAFCGTFYGFVAFFGYGEFGGTVTNSI LLMYNPITEVMMLIGSIGVVVKICISYALQTMAIRNSIYHVLGWELETLPYWKHFSFV IPLSLTVLLAGLFIPNINTVFGIVGAICGGFLSAIFPSLFYMYSGKWTRRNVGNFHFF GTYALFILGVVGLVFGTVTVVTNTIMSFIHGGGGAAVIKPPPVC XP_829654.1 MEALLRSLDSLLALVSRGDGGSFVIDPEFKQALKQAQSSVGRWR GGAWKSERIRFVTDSVMSSVLGALYIKKTRVTEAALPLLQRMIHNNCIQYTTSIVLYR ANGSSSVLSCGAAMFEALGDVLARITDAGLQLTSVEILHDLVSDDSFPSFTGKCVTRC IQTCCRVALLGASEGARGISRDLLPLCVLRVTRTFLESAPTDSRSCTFTSLTPLDDYV HDVEPDSKHLPISVENNTDPFVEASLGETTGDERAAQSLSHNSECELFAETTFSSFDY FRSTLNGQIIGSALSSMKMGRFPDAMKDLLLLIKHTCSLGARTVSGSGSTGEGGPEAR ARQLALDMLEAVFQALPMANCCAEHHCATWLSLVITATKYDLTRCLARNLTAVAPASF FASAVRIISLLLQKCHYHLARELHTVLAVMLFPLALSRYSSFSQKHAVVDMVRELLSV PHLCVSLFINYDCNPTFDAGGKYGGMLELMVNFVAEMTFTHLIEPDWLSDDQQQLLRS GCASAIHNLVHSLQRWIAEDPDDYSHQQTREVVGQVLSRLPGDTVSDNRWYDVYRNYS ERDVKDGHRVSERVTGNNMPVSPIVTDESLFEQQGVERRWSVGYHWKHIHYLLHSKRT AQLAVGLINKGQWRQAMSFLKERDYIPAEGEEGWSAFALFLKTYEGVERGALCGIFER VLKDKDCDRILREYLQHFSYRNVPIDIALRDTTCEFMSWDRPTFEAQVWVVIQQRFGE VYAAQNPRSISPDDANAMAGVLLFLHTSLHNANVRSSRMTMKDFVRNGNECVAVPFPE DVMCEMYTRVARSKWELDRFQRTPRQAEMEFSSPGLARMLDIYNQQRQQHVLSSEQLA PADAVGQTVDAAGLSHSGSGTRDASLENFVGSSGHSDSSLLDASMLPYTEELETFKSR EPYHQRYAELALQCLQRLEREHRVLCGDRGGVQPYAIPHYAQHVRPMLLSLYPQIAAT IYKGLRVLEVQPILRLLHDTYSMLDDLVAAFAVNLTGMHVAVEKRIQYYMLRGGTRHL PPPTRATFALPLMNLV XP_829655.1 MSQRHAAVAYYPGDDVQPDGNVFTTVPFLRDFRLSDPQVIYRPP DDLTPLIDDIVSGNRTSLLHGTLPTITLAIEVAPCADHFHRCVSSSNPFCAFLCAPER EGLDAIAEELQRGRHVTADEEYWSEEYWRALQAEDDGVSESSSSHTAVQKTSIWLDLS YPSDESSPKKFTADDSFSPALRRGSRRATLRHKGRISGEKHHRDERMGAPNTRQLSQN HRREGIDSVVSVTRNSKVRLRYASTAGSQREQEVRAGQVRSLVGLGDHSHNQQRQQSH RERFQHKDASVEGDRRRVSQARGKVTRAPVSPISKKCTAVYTSQVGCAPCNGSSTTVK HEAHNGVSDKKRMLTPVAQSPMGAEGRCKGSGESKLIDRAPVTDFVQKPDPKPTTLQA RGSRSAVEVSGSGVHQTLCSSGCLIDNASEGGVLVIPVVVNSTIAEPTAVCCFSEPFQ VTEEGPQTRASDAKKARPSCNFASPGGGFNPRTREPHTNKCAASKCCSVM XP_829656.1 MAEVRRITTAAVDWASFDAGKVLTVSTGRRGYKSVGNSSGSCVS EARVFSDTGVLLAQAKLPDVPDGGIDAATNSSDVLVCCATHEPDKGQRTTVTFVSGPQ VKVHELPRMKRKPKVRRHSVVCIRNGFCDVALYILGTGELFSCTRGQGGCHVRILYDF GNTCPVRILSGRTTAELIVLLDDGCVAVAELYESSDRSILCRITHLLRVSSSATPTHA AFAGGSALWVMCDDYSLCAYWFPPNVRGGAMMAVDEEVADCSVTPVRLLDEQLSVPAG SEDVGRIFLAGESRAYGIHLAVQTPVSVNFSSLPLHSSGGPGTLPTVGGWSHSVFVWS GHIHDLAFRQRAYELLVQNELGSSSLNIISLRQNASVLIFGDLNNKQLCHQVVCAAPT QLAGLREECESLVRELETEAAVVRDQHSVESAIRKLLDLQHCMVATLHRERELNRDLM SYQSISMHLIRRLHIVYIRLSVYQFLHTVGAQECLDVPLREVLGLDSIRQQVCTLQTE LRKQFQQEFDLLEPASSMEALKLWGSEGSAPSMCIDAILSHVNCTDGAPLRSIVQQVA SLKPEIALLVLYCTFTGPHCYHDYEKRSARVQFLSTFCLPASVDSWAYLAYAADHCIC PTLPAREIGSPPFLDIIPGILSGLTHSGAYESVFHLIGSTLAITTVGTLPSSVAVKLL YLAYKRGSTAVLETLFRRSDGTPWHCVATRVLAWAALQTADIKIFSGLIKPQSPEEEI VETVLRRFPDPAAADVLRLDFYILMQRYADALQVCERITANCSVDAQKLQVVASHLRS LMPNGNVSYSHRPSMEGRCDEMFSAVGGQAGSVVLSTKAAFAPPHTATLSICPLQNEE QQLEEDVARAASRISALRHEGRPLDIIVFGNSQSRSSANAVPASSVSVAETQETNVVS HGQERAPECLSPVSGAHLGNDSISTLDGSLLTQAPATSGSAAVAETVTFSVGSATQRE QLYCEAILKRSKKPCGRVRPCEYHDRVRK XP_829657.1 MSANKHGHSEKSSTEAMDSDLPDSLPSSWSEALSGWDGPCDGEQ VQKKQRRENTTAHPSDAVQTSAMGVSLLLGAPHAPPSSAPPTIVERSVLSSKRLQPLS AVCIDVETTGIAPTKDEIISIAVVELLFGAAGHNQWELSGRSFHRLVKPTKKVSSSAY RVHKLTSKVLERQPPFAAILPDLAAFLSDVRSGSTSTAAGDIHYKGPGGTNNVERVPL SSGGAAATVSVSRPSFQVEGCAAKVGGFLTALQSSCRNNRTLVFPPVIAHNAAFDRNF LYQSALRNGWEVVWDKQSPLTCTMSMFRALYPECPTNLTKACSLAGIDAAGREDRHDA LQDAQLCGHLFIYLTEAWKSCSKRDPDNTETV XP_829658.1 MNPTDLREGAQPLLPAFPPPPGHSSSGGGGIPDSGLQFHGGTRP LWATATPAVATAPVSAAGVPPSQVGFNTGLPSEPQGAAYAGREGYANAAIRASADFWK SEQARRVAMEQATGSGCSSLPGEFRGPERAEFPSEELEFHTGSPKYVLPVSLLLAVGL FGAIPLMSSFAFAVLLTGCIVTYVVDYAGYRLGGVFAIIATTLCFGFALFLSNLRASI TFLGPLCMILTVQGLLTTTAMAAFLHFHWLQVGYPELVCLMERSVLGVTPMLVLPSLL SSTTAFVGSRHAPAWFLLSMCAIHCYFYRPLESSFVLRRRVTRGEQADLGQSPKRRGK ADDDGNRSSGIAGVGEEAGESWMQINGKPEAVVFTVLLLVLPATLYVAFQRDLYEAWL SNIVNVVGMVCVQILYLFWKPERSLWFLLSNKQNSREFFAHDSFGLCVTIYRYRSCMY ALGALILPNWCVYRLLNSRYRFLFDGVAPPINGILLSVALYAALLAAYMTKKLLDADK EGRSVLTDRHMKERMVAIVAAAVSAVFVGFVVGLPGPLMFNLVICLCSFNLFLIDRGN AGLMFIFAFFSSLLLAWWMSRTFSFVILRLHVFGESRTIPSPALAGNILSSYFVGCMS FPHAFTGFKFLYALTLFLQSLNVVVVEHILYSQKEDGVYPAVLVLFTSVVGVLLVSRL HRNGVLGAAGASLVASSYIAKLFKFLVEVTGSYYVEDAEMESSGPLFAVEITTMWWAA LLCGFVAMLFELEKVSQMGNKTIVATVVLFAFSAMLMVASTVRNFQRATYEFLTKSQI TEGEAAYVFVGMSCIVFGALTYPFSARHLGVGPIMRHLNSVARGALGLGIIVLASQAI HLESAKDEEEFGTEELGYSKQCVLAGMLLVAAGRYLPMASLPMAISVIYWFVATFFLV AGLGTYLLPTPSVLLLISLGVFVYFTFVTLDVAHYREKRMQYLWMLSTVAVCFAVFSV ALMGRGGMEESDTSDVLLIWETHVVGLRRLLSVVSVTGLFTAILLRFRLGGEALLPGA VPITSELMEHIGVVVNYNVILSVTCLTVLNMWNHDYEPGLHVVTSLLLLLLVKDDTLF LELDRENYRYFPPLAYALGLLWGCLIHDAYRAGGSRTSSVAAVLREVLCALPLAPSHI SLLTLVYASKKAARVSVRPAVAFVLVGIMTLLFSSQKAVQWMAVVGICGHSARLLEAQ LMGFFSDVR XP_829659.1 MAAALLKRKATPSMMRRKKAKQRIRKKLQRKIERKKSNWKVAIA KTYDSGNAKGKKDERFRGKKKRSGDDDETHSTPEDVEEEVQSDIDEEKQERLNKQDPL ETQLFLKRLPLDTSEEELLNFFQTRFGGVRRVLLVRNSRTKTLAGTGFIHCGTVEMAD KIFDHAQQNARELSANDRADWREQTKDLSHCRAKRLLFKNRADAFNSREPFMTLRETR FTVHRVLSRKDSHDATAAQQKKKKRTKVAADDPRHLYLLQEGLILPDTPAARGLHPRY IEMIRADYESRKNQLRDSNMFVSTTRLSVRNLPRTMGEKELRLLFSTHVRSFLKKNKN FADKSNWGKYGPIKNVKIVKDSAGTSKGYAFVELVNHPVALHALRALNNNPTIFGDHR RLLVSFAIEDINALQKLKRIRELRRQRDAALLNKSSNNEAN XP_829660.1 MPPQQPVGIMDNEEEFPVEEPPPMDPLIKLLHLRHTYTSQYVDE AVREEVKAAMLKIAHEHNMAPYLRFASEMFCWTVDEEQLRAMDAINATKLEELDARIK DAQENLGDVEVRDGLLARCHHFARIGDMEECLKFNNECSGKTLAAGSKLDLCFQRILL GLAFSDNEVAANGICSAHRLMKEGDWERRNRLKVYEGLFYVYIRDFKKGSELLLDSIS TFAASELMDFNEFILVTVVASLPVLSRSQLKKCILDSPEVHSANIKNVFHLVTAIYEC RYKEVFPTLDAVCQQLRGIVYLSQHVNYFFREVRVLVFKQFLDSYSSVTLKSMSNAFG IPSPVLDSMLGTLISNERIACKMDRVSDSITTYRGDTTNLDYHRIVKNGDLLLNRIQK LSRLAEV XP_829661.1 MDSDEGEVLTGAELFYYLTKKNQEQLERCRRKMGEYKQLQDVLQ CITDRCRVPVLAPVASGAAYFEAIIDYTNNILVLLGDNWFTERSAKQAREIAERRLDF LRGEEAALIAEEGALAQRQQLFLTEIPSAEKAMAEVEATKQAAMENYSKKRVPVASES CQGAAANAPMKSFPLAQVDERPNFSGLDPVEGGTRAAPMEVGLKEEDMAIFDELDELT EEELLEIERELGDRIDDDELAERLMTERLIAKKERRVREEMERKRVTTITPSAATESV KGEGFEVCGQQLIPHDPSLAVPPAFKYTSPGDIGFAATLIVDEKKSGGSMPSVEANMK TPKRRVRFSDAVDIVPPDEHAPVLKRDVPSHVFGDVVERNARDPLSPGPVTGIISTTK KSIFRAEMENGAMGGVGSL XP_829662.1 MLLFFFLPNSPPLLCGGLYKVMPVKPVYEWEQTNTEVLLRITIK GFKKEAIDIFVSDLLVKVNASPTYLLVLDLLHPIDEAKSTHFMDPEDRTCLRVRLRKR VPELWESLCINEGEIGAEAIRERRAGAIQRAEESYNRRLKERVGKREEEKRRMTEEQW EVDRAQRRLIEGRMKEEKDSAEAELYSWEEQQRAEQQAHEEKKRAPQCPGDIGAMQGS MEERKEEEMTPCVRHTETVVVPVQFTSKMAAIPTRSRGEDEYYRRSRYKPTRVEDSPM FWKERADKHYQRREWKAAADAYTESIKRDGAFLICVSNRAACFIQLFEYKRAIEDCTL ALTMLSNTPASDLTQERYRYLMMKLHSRRGAAYCWGKCFERGIEDLRMAAAYCDAEED ADVIADYKLVESYMKKRGMLETRDPLAAKLHEASSMYYQGDYAAAADVYRQVLEEDPY EVRARNNLSAALLHQGCFKEALMESSHVLEFCREVAEALSCPGALSTNLVDSDDEDGG EDGVGGDGGGCGEDEVMRKRNAAARKVGEKSGHVYAILKACVRGAAAHCGLKDYRKAL ELLEQAMRITPYDNDLHDDYNRVVEKMRMETLLAASSGKLEKAPSKTAEAPPPAIAT XP_829663.1 MAMLRGADRGVTVEMIREAATALMRKVEGGHVDLLPAACMPDAQ GDVLSTNVSSGTGLESLSGVYERYLCGGSGHADERCIPREGVDSADGNEWLDLLADIS DAEIDAAVANLASERRAQYEEQYRSGNDAGFVLINKGSGCSPSGNVDPTQSGEEVESI RRAGGDCSVHQKADENILYFGGIVELTHSCEWRPDMGGATDGGVYTFDHQKDSAPLEP DFGSNPSTDSTHIAAPVPEITREGLSSSPHIGGDFDSYVRDKVRLQLLVDRVAERARE IATREVLGSVREAVEGRDDRVRRYVKRNEEIRGDLVHLLVLMRQLHRQAIADHAGDPF RVQPWERDESVTSCNACSRSFTHLVRRHHCRRCGLIYCHDCSSFLGKLPDRAGCLESS RNWVRVCEGCYTVCCEHRRYVNSIPPPPPPSCHEREGNSPKDPCLSLPLYCKNGKGTL TDGMPPFYVVLPEESYSPSTTVLSLWANALYKGPHRLFGLAEDGAAALYRISAPKFQE LLTSTIGTVKSISGYGAKERWK XP_829664.1 MPSYIFILHSSGVSCDSALLSQAAHCASALFSSVRGCSSDFVPT VAVIRANPVEGVRYAYTSTVSDNRYDSFVEDAVMQYSGNVNEADGSDRASPRFSGRSV VNLQRAILQCGDIRVSDKQDAEPVGVWRCLAGAILAACCFLRSYSTCPSESFDECGAE VCDAFVPAGVAMIIFSGVCDTRPLSFSEECPFSAAVTAATRLRTAVHVFGPAVVSSAL GLRLQALANTTGGIWAPQFALSHVGYLMELAVRRNGQREMDSATNSRRQRELLVERYV VPPACLPQDPAQTGVASGENVSYLAWLCSACLATLVRHPHEEVGAIRMCPYCAASK XP_829665.1 MSKPPEQLVAEAEKLLHKGWLSFLGSGSNYEKAHEKLVSAGTQY KAAGDFANAARVFERAAELCKKDKNEVDFIVDLEEAARCHAKAGDVKAATRLYEQIVD TYDRKRQNVKAAKACLSLSELLGSNERAVEWLDRATKYYEAQGSHTMAADVLKSMAEQ MIKGGNYEGALMMYDRLARKALDDRAARLGARNLFFMALLSQLSTLTSENVSVGVESV RERFTEYQELDPQFNEYTREHMLITAIIEAMECESPEKLKEAIDDYSTVCTVNDIKEQ IFARAVKLLEGRSESIM XP_829666.1 MPPSQLLKDMDERRCLMASNIVAAQDEIMRQQEAVQKLTSENER LKKEIAVASGEQYDYVKADKYAALKTEVDSLEQRYQFEKMHLNELTKQYQLARIDLMQ GSKLKGGVNAEQENVRAVQRQLEILENRLDQALARFNDAVSYNKELRDHIDIIRGERR VFQRVHKKMEDDLRSKKKIMSERIEQSNHDLDERDGYLQQVEQLRTALSEQKEEYDTA VRNLDVCMIDINRMRDELHRRQIEFEARSYMPNPTVDHSGVGKNLPVANTHAPTPFTE DGEDASDGMSSAEVGSEIMDIPAQLSMFAPDGDLQKLAQTYQVVGESNFSLYKRINEL TTSREEMERDIHTLKKVIAEEHEHDVQQRRLIKEYEDRLAETELMLDRLNRSAEVHKE VLARIRETTEGVFKRIGCSAEEARRLVGSDHCTESTQLKFLGLIEERATRILCTYQLY KRTEAMLQEQKAAEEGADGSKRTLPIGAGDDQTYLPGKEKETDGDRGGNAAAAGGAEN TRGIVSAVKEEGGEGSSGSKVDVRLLQALVEGTADFSPVLNLPVRKDGTAAKFVRCSV LPSAQLYGDESVEGKDDHDGDPVVSHEELRQQMQQRLLSKRLREEKGQRRKRDLKDQF ADAPPILRRK XP_829667.1 MRSGGFASEAPSGDTTTADEGSDVAPHASGCVQYDMDGMPAFKG SVCPKSPIQDPLVNPLDGYVEALVKRLGEALDPLGLAGALLRSSHVVTTFKEGVAVFD LPWVALLRDASEKAFSSVDPALEELVSRDTFPAADNGASLNNARGNLVCGMTELAGVL RRCYSALGAAPLCSFDDVHERLLSISRRFVGDIGSSRGPFSTAQVPLSMEELKALLLQ DVNRWGLSTFPYLVFAVSSRHPLQLVWNDATVDKAELAFHVYYMVARINRWEEETGSG RGILFPVEWMDELLRWLTDRDEVPLLPLRCPSQSLTPVSQMDYSPVPCAPGPFDISRV LRVTAEDPSKHMVQYDDGGVDVIPEDLFDYLWSLFGGGAKYIVMGKFRNKSKIMELLK PKRVSIAVTFEGSDREGQLRVDSLLLDDVLPRASLSEVSRRAIGELLDKHQYGWENGM ELFGLTRPDNVIEMCVTHVHGKELQASRLVEVVDGKTVEDLLGDFRSSTEVVTDHCGP VLRVSLLVRHPAGLTSPAGPGFCGLTNVGNTCYMNSALQCLLSLGAVCRLLFSLRLSE YANLSITREFVNLLRDMLAGRRVAKTLPLKTAIGSVERRFNTYEQQDAVEFIEVLLDR IHEEMNHVYGKRYRERLDTDKDIPKSKLANCFWEDAKANNQSFVPQLFSHQSVHFFVC CNCKGTSTVFDNGSTLVATIAPPTRRVFDVDVLLPGELVRRVQVKVACGSDDRVRASD IEKEIWERLHSTNTDFFSSMATSVGCPEAQAPLKGKGQDNKQSLGDYRAVLCADSHTC FSDGGHVLHAAVLWRGAGLGAGEKRVLPTGPSLANRNSTEEFAEAQPMCNEFQQQREM KDQCVYIWYFLQPQGSSSPRDALLCHVECSSVDALGDRKSSLAYIIERSVNLGDHFLS WKLGCVDQCTSLEQDGGENKHLQRGPKKNLRVWFCSTMGDNGYYLNLEDTGDGRDWID SGDLPLTLSMDSRVVIQYDDQMMQLSDAIRVTNFHSVGSSPFPGEPTFYSCNGTNKEA VDVHECLKSTFSSDILTGENSLNCSKCGGFCDGRVERRLFRLPPCLIISLKRFKLDIR GVTKDTAFVRFSEELDMKQFMDEESPEKHTKYKLVGVVFHRGGLSYGHYTAARFSKAT KQWFCCDDSSVMVRDKGPWAWPAAGDAYILCYEQLVEG XP_829668.1 MRLRDVFIVGVAIIFCIFGWHQEDGLAVWKGFEIPITDLESQRY MIPKPVVLDPMGDGRPVLIATTSYGSLEMFKTHSTSGAAETYATPVSMYQRSFFSRIT AIGAGRLAVGEDYAIFVVTSDFLLYRLSPHDFSEVWSVPIHNVLSESFHTSVSVLSER IYEGDEGTVVIATQVPGPNHTKLMLFAAFNGADGKLRWRYTSDAESSVREVLDPEDAV GVGGSSPSGASVVVSSQATESFRLYEKPWTFYREAVSTLLPHRYSHPWDECLRPHVFF HTKNRKKTKAQAGNTVVVKYKDRFVRMNSEDYGELAERLGLVSKPQKKGSSHGEKERK SANVLAFHGEHGIEVVHLYTGNLITRVAPLKSAGVYYHDINDDFEIDAVSTLIGRRME KHSHFDVDVTLDCLGVISTGAPAADHSLFQTSICNTEGIFGRLELIHRFIDGDTRGEG TPEVLSALELVGSHNTLSHTTKSVTPLVVQLHTLRGRGLFQVERLAVFMTDSGLVTCV DPSRRRVVWRSQTESSFWRLRSEREADVGEAASSETEHKQRTFPFPHLASYNFYQVNE DTVGHVGGPGRYLRVDPYIVAVGERKLTILSSRTGRVMRVVELEEPAVAPVIVQDFNG DGINDIIVVTEGGIYGFVIGTRTSSDTVTALMILMVGLLVVLFVVRQLGGGVWSEDDI LPTTTQDLYRMAKRKSGRATD XP_829669.1 MRGVFYLTGVLLKRKRLSTVHTEVSVLSSVTNAPFSPSCQAALR SVAKERHYVKRHWLTLAQSRRSCNAVVLPGEAPTIIHLNVKSVIPFSSVPKSVQKRIM DEHPPFFGSGVGILSTRMKWKSVTGDRLRRFLNEEESDRVLYIDTDIASELGVQVNRK DVIDIRKQSSVSVYSAEQLDDPYKGEPQRGITLNAATGKRLGQPAHDILLAVGILRGY TSPMWVAEKQLKHLNLEVNKGCENEGVLAPNMTGFVVSLSSIPKEAQKELLKELQEDH PDAFGYDLYFIYGVNGWEVMRSRVLVKSMAAVNDPRYPYHFVNVRDFGLQKPKYSAFA EACDHKVQLLRGKSVRKPPEPHDEDAKNHKLDASSIVRSFSITVGASRSPVPIRGFLA EDATLRRYYNAVCLTEPHLAVPAIRSIAVLNGKLVGRRDEAKLRAFALKHKLSSPIWV TPLGAKRMGVGIEKKHINNYVLIGSASIEEYDDDSGGGTNEEFYNIDDLVNPDEVLSI FPKSSKSAHFMLDSKWRPVLGKQRQAFLTSLKRRSPLWVTVSECLMSGFQALPEVKPI SFPVAKKGGGVEGGHKLYNSQFTTDPVRVIGLATIYTRPQGTTL XP_829670.1 MPSERTFIAVKPDGVQRNLVGEIIKRFENKGYKLVGLKLLQPTE EQAKQHYIDLASKPFYSGLVSYFSSGPIVGMVWEGLGVVKGGRVLLGATNPADSLPGT IRGDFAVDVGRNVCHGSDSVESAKREIAFWFKAEELVSWTSHSVKQIYERA XP_829671.1 MADGPTQEQTKKAAFAVAATSKYPNYLADRDLCRRFFEEFRDAS GGMKYMDMAARVARRETNVFTVDLDDIQLYGQLYLAQRIQMNVMGYREEVYRVVDEII PEAYFEEDMIDHLILEAQTAGQHLPPLLTRRYELVVMPLTSFSEPVPLRQLKGGLIGT LVVLRGICIAATAVRPKLSMLVSVCEVCAETTFQQVIGDRLTPLMVCQSQRCRLNNTV GRLLPQYKASKFTKHQELRLQELPQYVPRGAIPRTIRVICEGEQTRIATPGQVVKVVG TYCPDPSTGQGHEAFRASTMVKTLFRALRIELERRSYQEAADDLKIQVDNVKQHPDKE VVIEKLTRSVAPEIWGMEDVKKALLCLLVGGSSIANGIRIRSDTNICLMGDPGVAKSQ LLKWIASVAPRSIFTTGKGSSGVGLTAAVTRDTYTGEVMLEGGALVLSDRGICCIDEF DKMDDSDRTALHEVMEQQMVSIAKAGIITSLNARTSILAAANPKYGRWRRNLTPSENV NLPPALLSRFDVLWLLLDESNRERDAELSMHVTYVHLHGVAPGTVSDNGFYGLSSDYF GKDFLQAYVGEAKKIHPIVDSSAAKVISDIYCEMRAQSVRHTNVVTARTLLSIIRLSQ ACARLRFSDRVVEADVREAGRLLDCSKISLQERVDTGGRRMVTTSDAAIFSTIKELAR SRGSIDLAEVRPALMIKGIDESHLQRCLNTYADVGVWEVSGNTVHFSLE XP_829672.1 MSTSEGNAANRFAGRVKQAEDPFVKALRIKVNGLKRNMKDLEFA KKEVVCETARLESIRDTNPDRVKQQENVIAEAQMMIPHSENRVRAAIKDLQDFLDTHE NDAEEGELMQDARTTLESAQLTLSKQ XP_829673.1 MTNPAEQALLRIAETIERAVDDEMERVDNMDDEELLDIRRKRLK ALKEMEARREAWIRKGHGRLHEVSDPKEFFQFVQESERVVVHFMRRSTSRCSILERHL QIIAPQHFETRFCYVDVERIPSLAERFNVLMLPTLMLVENKNTFHSIIGFDEFGGTDD FASETVIKVLASYGMLNEQGMFAADQGND XP_829674.1 MRRLYRMCIVVILFGLGWEKLLTPEPLSLVLPENYSQEGLSGLY GSGRNLNHTETRMLYSSIVYNLKNDTDGAFAILAAADRAMLCSAIRWQIRLYARSRDG SYFVPWVTDVVLQLRDAYVHSFKYIIQSIVSDITDSVSGGVSFRRTLLVVKQMRVCFF SPVNSTGCPSYSFLRNVREKTDADIIASCATTDPSYNTHL XP_829675.1 MTQQQPPTYQQVVGIVESFGVEANATIEQYLRKSQSSGWQTLIL NTCTTLTNIRRIHEITTLQQLQLINCQGITDISVLRDFRNLIALDISYCNNIRVFNVL KGCMKLKLVNVSCLGVQDASFFGGITSLMAVKLRYCGHLGDTSALRGMSQLRAFDVTD CYNTSVDTDFLKTLPSLDTIHMSENCVDDAILCEVRGRGVNVKEVELPLKGMGPKQLG EKIA XP_829676.1 MSAAGVYLLLVGPSWRQPFTYFPLFGLVSVFFGARIGRCEEKID VFYYKVGVRSLGGYTRTYMGQKKGQREIPPSRGEHQLGKVSMLSHFRSYRGREGPSDE AVKVFGGISAVHFIAECFVFFLGGGVTPPYIYIYIYLPLFANTPGGAHLPQKYLATLK TLSANTTLGHGATPHPFTFCSGVNGMRQSHDVSVISEEVSRISPSSGAVRNPHKRCCG K XP_829677.1 MDRKLVEMQAVISRREAEFRKQNMDLFTELLGEMRTEDGLCEKN SKELQYKQKELAKQRAEIEKAEKDVKAKLDKVKLTRSRLAEQMSEIKEKLETLRKWGP ADHASPLGLLPAGATAAAPENGSNGPAQTS XP_829678.1 MGSYEYPDYAMGDHDWLLTRTDVPSCDDILQGTEPVQPFTVPPL PPQRQGSRRYAQIVENINMNSVFTDWEKRAIVSRLRRCEPLNEENFLRRLSNVKARNE VEYLENVRMRGAELKARAQNELRAAYEHERPRREYIFRRHLNLKNVLIEEVRQHRAAR WYTIITSHVFLTVLIKERRDYEAMEKIKFRLLPIIKRRLAVQRKRMLAAELTRDNLDK IPFPTPSIIHRMQGTFFDGWPNKLLEQLGHKARPLYLKEGSYLMHEGDVDRCMYMITA GTISVIMNDREKGKRRIKENSKACFTLSPPCYVGEFALVCKEPRSASIICETDVGVWS VPPEGFEEVAQQLSQEIKSKQREATDVRRRANLQRFFPLRVEFLRSFPYFVQFSNAAL ENIISKAEPIVLHNNDHLFSKSELDPSAYFIQDGVAVLLEASGNQRLVPRGSCVGIFE CACSVNERKKCTIISQNYCDIWRISREALMDVGMSEPAAFLYCRGAAKSHRAMEVEKP CAVPRSLSKDPFIQFCLTRQLMMRMWEMSVPTIFLNDEKLVIQGQKFQRFVIIHSGVC ETTISTEEGEYATVRITVSGDASPGGDVVSPYESISRRRSLADKLFISRILGAYEYAS LKTHYTYTVTSYGLTEAFLVDVAAFEKSVPPELKAIMHNNKKSQELISLCQTEHNASY LTNSVNQSFVHLYRKSKEFMAKR XP_829679.1 MRRNPSLFRSKVEFISPKPEGPIASKEFKCISPALLYRQLLKLY IRKFDTDHKTIVAAWKQTKFEFWCHRNDSPEEAELQNIRGQQIYEGIRAGLIPVYSKG KSNEMFFKYDTDTLRASHNHIDPVSLEEFIRRYHDRIRPEDVEEVKATLKKLGRWNGP NELSDSDLHRVKHKVKRKTKCTDNDGA XP_829680.1 MEDEQSSLRDMMDRLRFATGKVAAAPVQEVTSTRIDEKCLLVIR QVLCRHPALVAIHKTRDELKRQLMDQLQHTLQVENTVADDVGRMLVWMNETSETLEME RLSAVYSDVEQAFGEARQRMLDDLGIEALPEFSQYSVGDLSPWNVLFPLVTGPLLTLD ESKYKRAEVQLPNPTPSMITDLIEVNKNVYSVSSWISLLNSLDEYPINAVRHVWHAAT FFFPTSGPLVTYYLRKEINEVAKGRYLWDERHEDDAKETYRSYCRVLNCFFRHLPLCF SVELYRLFVDFLEVYIKPDDSGMENVFTTALQRDVGHCPASTDIWKKFLRWKGDKILD MYQRREWVRKLYIRMLRTPLQELQEVKEDYDYFVKTEYRGRPPPEGRVEERFVRARAA VAELNKLMGSVGGFSSQSSSTRNLLPKALYLPRPVRLHVDGAFDVRDEKEEHMHRSEV EAWLVWSTIIERESSTSAYAGIELFGYERVRFFLLMRTSIFPHEPLCWCNYADFCLEK QPLLSERERRVMVRDALERVSYLLPGNFYMRVAYCDYMLNNLGDPECAHRKMKELLMQ QRNMVVDYVKGESLAGTDAVVSALEKVTLLSVNWMRWGSINREVTNTQFIRLVARFTM HRVDFLSLIMGVVRRAVRESKDFTPRRCQRAFNTFCHHWIRLELIRNGALVQALVILE RWKDHLKMFVSSAKDKGWDLADCGVDEYFTSSCTDICHSDASVVSQVLGILEDLRLAL AAVYSSPASGDFVSVSSQAMDTLLTQIESIRNTFFVVDEEANSLASYFKLPLDLVSTR IHGATVPLQTYDNCLFTSEWAGLEESSAFHGPTTPGGGTEKKFVNFPLQGVVEAMPDD TSWMPCRMNDRTSRLSGEKADGKQGAHTNLDMRSKKVSRPPNRRGLVTRSVLLKDLTL ALRGVGGSSTVTGVPNVKKIEDMVKENLPTTYETDPLFKDKAVDTEWLLRLFENIPAL C XP_829681.1 MSSREERSCRMCHSSAGKCVSPCCCDGSIKYVHSKCLARWVRHR KSLICEVCGTPCRVAKLSSYSISATNYRWVTLIWIFSRVWIRENARILSISLLVPIFS WLTFVLEGSLKGAGSIYSPVKVTLTHTHTLSEWGPTEALSYTVWVLTKLTAASMLLKL VREHWPGIEPFVREAPNADEQGRPVLEGRAVNQLQNWRTDAVEEGKEGEYDASGEGGD VEGEPMVSATSGLIVEVAVHPRDDGVVLQAIEAAHSDAGKSKTLRGKLKEELLHLVLP FFFLNVFCTVLCVLAPEVVRIKMWELWVAVDGNSRAWEVADTLLATLSPSENVARMRG AMVKPLIEALGSSDLLHAPLYFARLTFVIWIVVFVMKGVRCVPLRTFVLEALSLLRCI MAALVLPTFFIRTTTLLVLFLSMDFFDDDRNVGIAEDKKRAWQVITTPVSVRHEDERP TTLLVLKSLKTVLGSERREVCFDFGAARVLRSGINATVDQGVTLDGEEAEDPVLFFLF ALSVIVSVVDMPSMWPASDVILAVVISQFFFIVGFATRLPGRWFRWTMLHKVASVTGL RQLCALLDLWALLRVCAEINFIVAVICGGFLPYAFGAYQFFSRNDKPLVLLVTRNLLS SFRWAKGVFMLVDVSLNIAWYVDSRLGDKMGELFDVRGLALGDGISITGFLSKALRCT AFVACSSICSCIWIGICLALFDCLVVRSSCFVDTFFYGCRCIITVYMINDNEAWSRML SIVELPFKGVRQFTEWKCRWLFSSSFGIWAGPQYVDGVNVAQRELTLITGMPTWYTHL CCSRIMVALDELQRNATADDAEVIQRVAIEITPLIRREEQACTLTEEQMMILFRCLKF PGMGRLLTLLLVAALLLLALPFMVGACVVSSVLALFLSPSCVVASGFVCGFLWVVGVV ATGTLIILTDKLKSDLGPVRLYFSCGCHHMPLFEALAFAVMPIFMTTTTFIVFPFALA VMAWPHVRQVDSFGAFFMRFDIATLCLIFRLLLRCWTRLSPAVFAFFGRVQQVRVRND GENSRVVSAPQEVAAPAAAKPGASAVLMVREATANMWKGCVDVGFECCAKLCYVRNHI LRRLVETAESESIVGVAFIDRPT XP_829682.1 MRLPSFTAATSPHPQRIKSPSHRGKSEEVEIVQGGTGCGLLFMQ RVPPPTRALPLLVASSGCGTWVDVLSVYKSVTELLSGVYQPTTEELNQGLLKMENSWS ASLFYYGIIKGICGQVRPHTRLVGTALERFKRYSNFYAIKRIMEEDVDATTYQGTRSA LVYASFTGMWEHAIHLYKKHPGMRQSITDTRSLINILSSNGRWGEAIKVLHQQPLMSM APSNIKPIVRALGVAGKYDKMLRLVASSLAEGHQVNVSLFGSLVKPLQKSGQWIAALD AAADLGLFSSSREPDQRITATYTSLVDCLYSSDPYHNFTLHDVINDITYRMHPRDDSF GPPPRSDKTFRLLSTSEVHRKYRPLLNSLSNICAKVMSLNDLHGRPVSELADEAFKRG DVLLVFDTNFLIHCASKNLALSHFYVHIRKQYPHLEGKSLRRVIIPFTTVREAHQLVW NSAAPLKRAMRTLLWSRVTAILRDPSVEVLALSSEFPCISLSVISCMAYSRLNEMASE NDPDLRILNTCVALQYAFRQRSAALLQGIEQISDGTMLFAFIKYHVRRHHRDVRGIAC DQLLLCTMDKRLSLAADELGIQTFPRFQGLEEAAVKSEEN XP_829683.1 MTAIPPSSLSGNYVNINAAMLPMMEACNSGAAKLVSPQKTSCHT HGRGEFLPNRNELHVDCLTLPQLYRIAYLPYMQKQQQKLACGSSLPPTPPDFDDEDPV SPEEARRYLEDLANMYRSPLEDPTPYQLYESLVTSFFESHEPVMKPSKDGSNKDNSLN YQLSLSSLYDEAASSVAPLPLFHAITSGTMVMVHTISAWLLDIRNLTTPDCAMATVKK NRLAVRLVNHSVVSAALDTLKEIVKKDDYSQGKEEALYGFFGFVVLLRGEMKEILEYV EVLEECCAGPASSVVPVTVPHYEELLRVFSPSLSVKSALMSPVDGRHGQEIELSIAVP ERSGIRTFCVSPCGQFIAVATLFDIMLLKVDGGRLVARSQNAELVETLWFMQFSSTFS SLILTTRAGRRHVVLNASLEYVDESETDRPAIPTYVADDKLEFLFAPKLPSGRSSSLF LLEKSKIVLDVLENGASSEVDFLVICSHVHSDFSGVLVEIRAHEMIFTVSLADGVIRM AQGTCTCFGAIPSDVPWHFVSCKWERGLWALCVDDTSVELHGVRAASLAVVKIATVTV LDGVGHVSSLAVWGGDECCQHSINRFSTTRAVESTAGMLFCFPLDEGEGIWVKELVTA RGFTLLEENFIWDSVVCCPCSPTEPYEHDIFLPQEQFLSARVIVSDYQSLLVFPSSCR GGDEVVAQVLKGCNTITRVYRCSASHAETRYFLSRDESSLYTFKRRLSTFSMTPISTA ARREHQLNVSCIALTGAHRGSVAWVCNEILKRVYINGVGIIRIPEEINAARSGDLQYI VTRLRNATPTTKSVKLLALATMGTVYLERFAVEGAKSPLSSVVISLQCCCKKVMGWLP KNLIQDVASSLFNADGGPLLTVSDRVGVLLNADDIQSADVLYTCMQKDSLLFVLSSVV NDAPSQIISMTASLLRRCELERHDVPCGRKVTHLMWWFSIISVQLLHSHGQGKIVSQL LELYVRHAGKLLQSRGWRDSLQETEVHTGLFPLLISVSVLCPAAVTPDIEKTLLALVE SAPVVGGADVNTVRFEARQEYDVVPRSDDAPFVLALDYKRAKSVVISWWASTSDVHVV ATDTSVADPISFVLSNEYPFFEISGLPLVFFGTGEASFTIASNYDLPVGCSWGAIFRE CVFNLFTAVAKHLVVFPRPRAFPLAPLFHHGLNSEALSKHQLYVPGRKGGLGIASDIL GARGAGKTLSDKVWQSVQGKVNLSMRPVVSALLALLIHCGMEPHEAEAELESRGFSLE WGNLLQGVEKDATRRSIVDFADWAVRCIACQSDVLPLQARFVPYPPQEREGEAAVPPC DEVAHSDSMTPDQKEPEEMKGARKLLSQLRDFVEQGGTHDELEDILVEQTVAALNVAR GFHILSTLLSQPAVEYEPRTVRKILDVVFSVYEGAKGKHILENFTGSGVDLESRVRVA FYDVLRKCRCILINSLPVYMSSEVATTTLALQGSLTIQLLSIMCHPWDPTDCELFSRA SAPEVLNILIRLAESIHMPVCRLPATWRAQTRWKRNSTASLRSCHPVLGGVLAPADQV YDRRLASNGFSVVIPNLSVSLCDRGVLVEKAAGSPPLVLRADEAWSIPPLTPHLTDVP PVLYYEVELSTSCCSFMVCISNCHSCVDRPDESVYFYKDSGLTHIIKLPPFGYGDTVG CGIVRFTRRVFFTLNGTFMSFAGGIKGGEDSLYPTIRMGDKKRVGFTVNFGLRPFRYD LNRLWASPGFADVPTHYSIAFASEVALHYITTHSSTLQCNFNPCMTPFWVQCCDAVCR HLGNVMSFVTQDGAKIRDSSGSYFAADGLPPFAEWSVLRHIATLRFVVRIVRVRPLPE ALEEMLLSTVRVLMSPSLHSVQLATVGLLAELVPHISHVHDTGVVHQLVNMLFVHANA RDRKRKHIPLSLRWLKCDTRCVTIAHAQLAQMRSGAQRSVVLGNVLPRVGDTSFTVRI CRRGYPLGSSLKGGYYVGVAAAHLPFPISTSTAQGWKSLNPPLVWALHDMSPQLQHAT NPHVAPNTFQRSFGSVESIRVRVRREKRELEFYRENAFVQTLFVNVPSDIDLVPFVQL YNDDASAFIGSGEMMSPISPVTLLRAAATDVLRSMITLKPFEQCVVDAVCGELNDCVT PFVTLALFGGVADPRLFEICGHDGEVAVVSCRRLMSHFASVSCEGVTFCEHLGNLRPH NSRFMHTALRWEQPGHSLGGLRQCVNALVGALRRLVTPLVTTQALSLTEVRQRERILE EEKELWNRSLFSVRAMHFYRIQRLVRDTTLLEPSRIPCGHTFSSALSNPCFRFPPYFC GSLATVLNDTKGSSAPFIAIAEPSVPLKGQFSLRFQLLRGKVGQILGGGYYVGVCNAS FGWRRRDLTLGSPEAWALHDMDVAPWRLPHLCTNAKFRTIAEPNCIIVSGDIVRLDVN RDEGTIHAYRKGVNQEETPLGLIYDEVPAGEELFPFVHLYNTDAVAVILPSRNNEEVA RTTTQLPHFSLSLAKFKKRCDSCPVMKLTTDMNQLKWYKCNECVDYQLCRSCFMLCAH SHHPFTEMGSNLLVSNNTPPSKLDVGMSVAIPAVCAFYLKSEGCCVDERRMHCVAVSS GINALTVWGIAYKHRAVFTVTINTTDGEPLDPVVPTFIGIGEASEVLGVSPVSLRGKL VSGTPGIVALCNDSTLNQAVRFSARSVGGFQNSSTITVEADFQCGEVVIARDWSTLGS CDIASLDVSSSKPNLVGFVLFGQKDITASVLPECGGTIQGVVDDVTDGVVSVSCSGGV KLLRPEHCRVPLVPCTDSPMVGVLVYTFDNGELLQGRVVAVDRDEAVLYVPAGGAVRH VPFSQLLVDPYGVVSEERELNCNLEKCGPTVAEGFAISRFLLILKGLCDNKDLSSVVL PHRTALVDILRHLAAVEISNDVQAKFFSDLQDAVMATESCTYLAELGDKRLDGFVPSS LCNSWFCAVKYNMLVCAIGGVHRGEIFRVVKQNTADVFEGVKIHAPHSRVSLSTLDCI PVKQCAGNVWWTSSSGLPCSLFEHGLRVTCLNNPMKRMTIEGVWEGEISAAGGVRGTI SLQLKANCMGEAYVNLPTGTMKYTALGKFRRSSRSLFLLLFTAPLQTVYCVTRLLMRG CHSSFEEVAEEVGSVLASGKRSVGIVIAEGTVDASGLRVSGQWKPREGSASAFVLRSF RCDTVRIPPLSDLAHVEPLPGLRDPTEPPTNTLAGVEKSYKRGVGSALHRLVVLLSRH IYLSFLGAGGDDVQAVVLYHSSPMAEKLFATCADGALLQRFLCGALRTISEPSTKPWD CVTLSHLVTKYVLLRPEIVVPFPSLLWDSFHAIVSATHRCCRRYRQHLLGCIISLVRC YGEGHDGVLLQLLSMLVRWVDQYALDGSDYSEIPEDVAAGVELVMFLPESLLASTVRH IPLAPLRCLLDLAQAFREGCQLPKAVDISDEHDGMLHAAVVEAVCLFGEFEGGSLVVG KVVSRCAVASRGKYYYEVTLPEKLSVPFVVGWGAKQHSHIPDQPIGSDAYSFGFTGNE LLCHDRKEEYKPEKEVSPKCTIGCLLDNDKGVVAWSVDGIRGPFVPIPVGRGDGPLYA FVSAGTNDGMRISLNVNEFHYLPGDYSDLAGRFACVRVRGWGDAASQGKSVLPYMPIS FYEQLASYLSDLEEAKPSRVTEISASGVSPFHCEPGVHERGPLLRYPMIMALTDTERM LHTKVIRVAESCMATARRFINLDDDVVVGCLPEAFLMLKCIVRRPFTRRYLVAIPQVE INDMPQGITVHVTELYCDLPRTAEAVLHCSILSQIYKQIGSFKPRMFRQHPLFKVQLH ITHSGHSPQDLGGPYRQLWTFLSEEIMTHPDMCYPNTSFNRSPLFHYPEHSQRVGLVP NSSANSAHSLALFNLLGKIMAHCIVAKSPVSLDFSPFLWKYMVGDVLMVRDYYLYVDN AAEKIVGDGDFLLSTAAEEIIPNFSKGLSAAFSNATMTGESQVAHYRRVAESCLLHSL DAQLSAIRTGLWAVLPRRVVRCVSWRELERMVCGEPDITPEVMRQWLEVQLPPRSKRI FWRVMDDFSPCQRSSFLCFGCGQKRPPLAAKIRVLQGTESIDHLPRAQSCTSLVTIPP YDTYKLFKEKLMIAINHAMEMELA XP_829684.1 MQCLFLEERIKKEGCVVLFAEAFRPSFANIQGFLTTIAEPVSRL SLMHEYRMTYFSLGAAASASISVSEVIQFLDDHVYFFRDKCFASYRESVCAFAEMYMS RCNLARVVIDESRTLLECKDIVTAKTLLKDRVVRSLCCQPKETVGEDACPHFLLKSRA AARVVAERCVLLGYPLQQQYEYEKDTSIRNVNIALKSQTRPRPYQIAAVDAAASDGAL RSGCIVLPCGSGKTLVGIMLLCKVKKPTLILCAGGVSVEQWRNQILEFASVCAPANNE EGDPSNSTTGEKVRTAAVGAARISCLTGKQKDEITDETDIVLTTYSMLVTAHKAQARC QVEGFEMNADGRGRNPRRANPKERLFQPYGLLIMDEVHMMPADAYKDSLGFINAKGVV GLTATYVREDSKIRDLFHLVGPKLFDISWERLASSGYLAHVTCIEVLTPLARRFSLEY LERSSELTSPQHGTPLLVMLAAANPNKMLCVMEIVKRHVAESSKILVFCDHIMLLKEY SKLLGAPVVCGDTPHRERLMIFSDFQSTSKVNVVCLSRVGDVSVNLPSANVVVQVSSH GGSRRQEAQRLGRILRPKEKASNGKPTDAWFYTVISTDTVEMSYAAHRTAFLVDQGYT CSVTEFNPDGAPEAAVEGVDDTAPGDVVSIRQAKLRGTFKKQELKCSVASPTAQGSVN PRSLDYQEKLLCRVVASWELDYQNATSQQNEPGVANDTATGLIDKKMKRARDETAEDI KREWNSGAQTTQPRGDFCRLPLQRLVGANDDVVYHES XP_829685.1 MGKTVLTCRKGNGSVYQVHGHKRLGPAKLRILDYAERHGFMRGV VKAIEHEPGRGAPLARVEFRHPYKYRRVKELMVAPEGMFTGQSMLCGVKAPLAIGNVL PLGQITEGCIVCNVEAKVGDRGTLARASGDYCIIISHNTETGRTRLKLPSGQKKTVPS SCRAMIGIVAGGGRIEKPVLKAGNSFYRFRGKRNCWPKVRGVARNPVEHPHGGGNHQH IGHPSTVSRHAPPGQKVGLIAARRTGRIRGSRAVRGAWHPEE XP_829686.1 MIGFVYNIVCMIRCRSRDVVEEEDAYVVGSGTYCGGGTAEMVKL AACKLLYFSYRCQFEPLRNGSTTDIGWGCTIRAGQMMLAHALMRYKNGGGASFEDSIV PSLKQATQHLFHDDPSAPFGIHAITNKGVQHGAPCGSWFGPTHVAVVMGALMEDYLRN GGQGPDVLVLRDRQVMEDEVRKILLLSKHVLLLIPVMLGPHHISEGYAKLLKRCLRME STVGAVGGKEGSAFFFMGYQGGNLIVLDPHYAQSAFTCSDTQGKISGEWYTLPLTSCS TSVLLGFYIHSPDSFSQFTGDIKDANSSLIFPLIEVTTSDCVGHIFNEDDPDVCSLVS FGDEESSGASQPVT XP_829687.1 MEVVGRGRHAVMSIAASSTCPTTFGSLDTVGAVTLWDTRLKQKD YARVEAGPTVAAEGDATELLLAADVHMALVLAGEWITSYDLRRHAKLCSYRHTCELAT FLGGTHPQRETTTLVVDEDGAITPFSLLECSPTAHLPACFSGGGQTIDAPSFGSLSNW CCGLGFVKRQQQDVAPLLCAIGMDGGGAIFSSPNRQEGEFNLLGDDWLVPSSGEVINP PLLTTCSFMESYVAIGRANGMYSIATATEDGDSIMEIFASPGHAHNNLSVIGWTGTPR PRLVTCSVCGEVSAWDVLPLLELEMEESVGDVEEGDPPPLDTSGSVREATGQMASVNC GVVIGGSRLVVGDTMGFVTTCNVA XP_829688.1 MTTEQKVERVVVGQQVYFQQQQKGWIRGVVKAVPEGSGKVQVED AASGNVETIPLTRVHGYIPQAFDAENPDLFHLNDLHVAPALHCIKQRFEELHLQYSLL GEVVLSVNPFQPMPFNTDKNREKYLTSPNQRLLPPHVWQVAHRAYVQIIVRGLRNQSV VISGESGSGKTETTKMLVGYLGQMSHQRSGNPMQRRVADKVSDSLEWSNPVLESFGNA RTLRNHNSSRFGKYIKLYFDSVSGVMVGGKVSTYLLEKSRIVRQASGERNYHIFYEML AGLSPEEKAELGGLKTSADYKCLRVGNTFDRRGVDGETLNDAEEFSKVRKALTNLGIA NNTSRSMFKILAAILHLLELEFTSDQNDKACIVDEGPFLAACGLLQVDPKLLRECFLV KSSTSIVTIHANKREAEGFCGAFCKAIYVGLFDLLVKLVNASIAPQESTNECKYIGLL DIFGFENFSTNGFEQLCINYANDVLQNHYNKYTFLNDEAECKSEGVSIPEVAFPDNTE CIGMFEQKRFGIFALLDNECNYKAGTSERFTQNVWDHWRTLNTFFVLPKSTVPNQFGV RHYASFVNYTTGDWLEKNTDGIKHEVYQTVSNSADAFIRSLFSDGPVLNDRKHTVGLR FQNQLTALREELELTETQFVRCIKPNMSASPHLFENVLVSNQLESTSLLQTIKLKRQG YPVRRSIHDFCRYFFLIMPRSPAELYKRHCIEEAAKTMLAVYQKLYDWATPNFAVGRT KVFLRAEVWASLERLLLRRKGCLLRRCVPLLRRWVYVYRESKRRVEERRQAELKLERK EQARRVAQMSVNATNRELMDWIEELSALFPSIGVVTIRDVVLFLPKREESLKALLEMQ RQRFDGSLPLSFTRVMDEAGMRQQIIEKFLRNGINTLYRLAGCDEMVLRGYGVTETEL ASVRRHLLKEESARVRLDRLRDSIGVTQLSAILLEAKHSAHAAADYTTKIRDLMDLGF PRSHVVPVLTHYSGDVEKAAARLLREDVGERLREKPPKGEKGRWTSFDAEVQQLVKLG VSKEKAKNALRSTNGSVDEAARSLFNNVT XP_829689.1 MNSQRSEYRSLAGGGEGGRRPPIPRRSAPPEETDDVKQSLLRYV DLGGMRVEEQIQREKLVEAVAIKEGVEDLRAVAEDFALLVEQQQEPLDAVRVNVTAAL DSVAAGREQIAEASERNASSRKLFCFFPIFLTIVAIGSLVALFFKGKIYKNT XP_829690.1 MEKRTNTFSSTLEKVITAFFYNGHAGRSRALSMGLQVPLWLWTH QVTVESERSTRELQKTVNLVCWFNDNGANLTQVAIIVPGDVKTKLGAQLKHALQGRAH DAQSGISDDVSLPTLLAPHELTAMNKRDKMVHQYEVVIYLLTLPPTKKHLQAAMQQDQ FIADAVAGAGGAFILVTDVGWTTPRFTTQWPQWKALVMKLKGELVSISFNEGDKLPAD AQLPSLMGPRIPLCCSRHADQRQLEYGIVPIVRGCRRLCLKPFVCQREEHVCMEQCHP RVSHSNCPYACQTAMPCGHECLHSCSELCNCFEVIEKPLTCSHAVIIGLDQATLQPIY ATVRHVFKGSCADAFLPCAVEYPTECCRCLGPLSTTCSEATRCGHSLENKTLLCAACI RLEREVRAKVLGDLLIQTEEAKQRMKREVQRSAHQQRKASSQGLFLVGSRVTICDVTK VIKPLCAETDFPGVEFVDYEATDFYSSMDGAYGTFISRHVDIDDLSEVRNLIRLRDGK HVLVADGGVQLLRALTSAITQQAATLLLTYNGHGKERGDAGSTASGEAFETAFKMVGS QFYLAVPVPFGDTLISDKIVQVTAVNPASPETVIVECRIPSISSQTDETQVNDTINDN PSPPKRLRLEHSSSHPGHLRASIEVVSLTVPVTALESMEGYSKGKEVLVLDPSRQVVH PYDVQAFGAILQHVLDTDLRSAVISSVPVAKDTPFTLLGVVNPPVSFADRYGPCAVLR QRKQLVTVRARPHLRSGKRSPGSAPTPSGINTAYAHSARNGDDGDVVVIIPFVFTAAD ELLEAENSLDAAALQQLEQRVRDTIAVKSDELAIRNDEEAFHRQRQMPEVMEEELSRD RVAYSIPIPLPTAELLASVRVRHARLLCASATSLRLSKQKDAMAVKQQQLGLTKWLEA VRQRHEQDTEADAAYFRALQQKETAQ XP_829691.1 MTTAASEGIVSREQLQMMLHVILHSTDNNERRNVEKSVVQLLTT PSTLTLLMVMLRDVQGSSPGVRQLAAVLLRKKVLSLWRTIPSESRGDFKGALLEQLGC EPVKAVRLALAHLVTRVAKADAMDEDGGWPELNYAIRAAVIDPRAEMRELAMVLAYSV AEVMSEADTHCLPVVEAVLQGMLDSEDAVQRSAVKAVGTLFVFIRGQTKVWEQLLQQL VPQCLALLTKCGTEETKTNLCVDVLDLLEQLVEDLSVKKHGALLRSVALEVLSVMTNG AIQPRVRQSCAEVLASLVNQKPKFVSTTVLEPLVSACVQVMSEDNAISLPEVAHTEGM ENCDDSQSDENDAADMLHVDPPCLFAGRLLSTIATKVAAKSFTGALLPLISPVAQSPQ AVGPKERKASILALACLAEGNPGYLRRRVRYVLEFTQQLLSDSEPVPREAAAFALIYF CLHLQPEILTHHEQLFPMLVPLLRDEVDAVRRRAACALDTLCENLAGDVEPHVSVLLP AVLEAIGCSSLQTQSELCGVIASLATTQCASFKQHAGHCLELLKPPLTMTSPETILLR ARATETAGVVAAAMGRETFMPHLSFFMEQVAENLRTRQPQLREESFGFLSNICELLRG DFIPYLDDTINCALQTIAEDRAHYENKHLLAGGAMPHFKMDDECNTMKAVIGGDEVGD DDNDDDKCCGSDGSDAEEIHGRVRTADLEEKSSAVYFVGVCAEVLMSSLGTQRIDACW AALADLDVHFHSNIRCSTLLALAKLTQAVHGSECVIKDTALDTLAPAARERLNTLVNE TLLPCIRGEGEEDKEVVAAACDAFELLFKFFGPQLFLADTSEFLQIVTRLLQQRMPYQ REDEDDDASDSSDGEATGAAGSDGDQLLLGEDHDGVLMDAVCEMVEAFAKAYGPGFRM LAEVILPLLLPYASLDRPSEDVVMATGSIASILESLGPEAAPFVDHAVTLALELISST DESTARANCAYMIRVLVESCSGRFNTEAAATPLLQALWLVVGGCEEIPAAVDNAISAI CSMVRCLSPGVVPLATVVPSILGGLPMRVDRSENANAIRTLVHILDEQREFVVTQCWV EMAQCIAKVLASPTVEEKQKHLLVNEGIKKMLQSHGQKWRETCPQFLSAELLSSLERY GCC XP_829692.1 MGILQHQADVWHALRYPSKHSWGERKRAYLFVFAYCLAAIALFA SVMHFIGAWIACGLLQVIMLIFAMLFALNIADCRDKCLNVLECERAINPVMEVYIGLR FIQFLHATFLLTNIPMGVSILVALLYSLWRMWCGTYFVDATSLWREVGRLERDSYIHI CIEIALIVIYLIAIVFAMVDKYS XP_829693.1 MKNYTPVEIAASFFSAYGDPRSTSIDFSLDGKYFLSTHTDDAMR LVDVTEIKHKETIALNTVGLWRARFTQSSGVVCLASHRPCDGHLYLLNLNTAQLFASM SFVSDTVPVVPAIPNRPAYTAIAQSPCNDTIAAVFSAQGRLLLFHPLISGAVAASAEN TFIGGGGAISFSPEGTQLLVSDDSCVRVYDYRKLFSGPLLTLQHNKMLPHCDSCGERR CIGMDIGSGGEELLLTYECGGVLLYDLRQNAVRGANFGIKGTIDGINSSCSVGARYVH PYLGHSPVVQLDSTSGKDTRLLVYHGSRAKSRWGSLRYEILCVADGDPIAMSVNPQYS MVATAAGGVTWWSFPPR XP_829694.1 MLTPVFVCTQDDSFVIVAITLSAMCKVMGAAFDITRLQFTFHCA PYYLRLKFDQPIAEGRGERATFDIASSLLTVYIPKENRGEVFTNLDNPAYLIATDMER RRLVQLVGGVCSAVRAENEAANEEERECGDDEGEDTEFRQRLQAPRGHTIDLKEGFGD YGFAASFHGTFAALDADVVADILDLPCNPDETTGEQRRQLRTQREQEDFDEDAVLLSF EDAEGDVECLLRYVPQHQRDYMNALDAEGIRYVRPATAMETVCCPVTSDNSGDNGSVC VNRSDTLEEEDVVLPDGSGLVNIWTGNIADFKRPLIEEVQPTEPSTEDHEAAHAAASS VPDTSGENSTMSSKCLAVPQRRPCANFTTEEQAVLLRITAPRLLFPPVGSAVNALTLD ILLAEAYDDIVTEGAGCSESLWNVCKLSPALSWLDSPNTVYDACLAFARRVLVYPLHR NFALVQRAFSLVGIRLLLGKSYVIKALLRVRDILAHAEHRHVLVTLFMSPLIGYWMNV QDVDKRLAQLALEMHGHVTRTAPEWETVPPTPHTALLLQQRRQMFPLHVLNLGLPIS XP_829695.1 MLPGKRSRYIAVASLLPLSGLCYWLLHWWNRRQKGVGNGAATEE TDSSSSAGTTTSSASSDCELEENERPKYHEMLMQMKVRGNEFFQEGQYEAALEAYQNC IDACSALGSHDAVAVEVDHVVRVNVILVFLRLKRPEEARMLATFLLQDEACPVRGELK VKALYRRGLASQDIGDLESALCDFRAAVECSPGQSNPAAERAIAELMKRSS XP_829696.1 MSGRDYFSITDPVQREQARMMISKLQSKILYSDKYYDDEYEYRH VILPKDFSRLVPRGRLMEESEWRQLGVQQSVGWRHYMLHAPEPHVLLFKRPKTQ XP_829697.1 MLFRTVKLLRVSPFSVFMKDLKKTGELRNMSLANGARVASRMYR NLTPSQMRSLQERASKITYPALKAFNDFQRRECRNLTHMTNAERQRVVGEMWRKSAKR RELQLKKIQAKSRERREERKLRMQDEMKKRLFPTVRKYRIHKVDPADIKRPGFPDITA SKKVKGGTMSKKSDRNRGTNKGKGVGTGKVVSMGKSAVRDKIAGTSRSANVSRVINTG RGAIKGKIASVRKAPSMSESMSKSKGKLTSPSKGAPVNLMKVRGRVPAAGKPGLKEVT NERRATRGSQTVRDVIIGRDAKRRLAVSRGVKNLKKNKESRKPPVRRR XP_829698.1 MESVSWKLYDNQHDLSGILTLAARSCVDSRALRPIEYLAAYFST KCTGDEIRTLSAHVMFAPGGCRVLRIILRLLNGMEVQSSALLSASQELGDSLVEHTVD PAVIHEVLQESYFPRLVPCRACDQRQFDEILSRVAATPDLPNVGTAVVHALSVVASAA ASRCTSTPAFQYLRNTFGLLTDVETFSMPQLCIDFFGPENVATARVALKSVLILPCMP NGTVSHEVMQKIFAAFNHFRKVHNAATRSDGSLVFSTFDNITDAINLADEVLRAVELR PQTDVCLGLRLAAPATRTQKEAKDGGEVFYSMFPGEQDITGSQLAEYVREQVQASANL VVYVEDTHCDVDTIGLRRLHATIGGTAVFSGYDLYRRSKYEKVEQGLDELWTSNVVLE ASAIGSLSALCDNVRMVRRHEGCTIALAPQSLMHNVAFAVHLAVGVGARFLCVGGLMD VVQCEVVSQYLYVQDELEQSRLLLEEAPKPPCAVLPDAPTDTVPEIKRRLDKKKRKK XP_829699.1 MVMRAHLPLLSRASTLAFNQPAPNSTFFGQRRQKILEDLQENKN DKSLAGRVDPQVAPLVQFINEHFSCYVTSSSCSGRVSLFHKGQLSAGCPINPLAEAKV LKADANADMHNRKRGAFGQGALFQSHDPIPNVEEMVTQRLVPVLGSFEEWRSRQLQLE GGLKLMQEKKYFDSLLHETELLELKFEPMIVHVQCETLDDAARLLQCANESGQMESGI LSCSRGANEQRKITCCITSSLRVALPLFAQGCWLLGHPKFDSAEWRSLLTNALVHMNV LFGVNEQRRGRFLEKLQKRLL XP_829700.1 MSSSWLSFERFIHSKFATMCRRGLVVFGLFGVGSDYYLMHYYEA FEPSEGKLYYIDWSPIGRPRCQIHLLPNNNYPNYSPWTPRNGDVVLARSVPHEPNWIQ LISGRFVERETCGVQLAVPIEEVQERQRREERGKEELKKLLGVKDATDEQL XP_829701.1 MDAFLESLKEAHEEVMNATGWGAFFSGEGQKWSMSPIGAFQQFR KEVAAFISAVNWWEPYFRYLALFHLTVAVTVVLTTWRASIDRIFVVTVLLLLVVWGSS YLNEWGSSHASQIFVEKGANYFDPSGLFIMVTLDMPLFLLVLWLQARAFLQLLRLMVV VKRNQIKREMEKFPCGRKGERPAASSKKKT XP_829702.1 MVSKTRRQRQKRKSAMDANGGVLPPVIPSERKSVHLKKTRPKMK GKMKIRLGHESPVDIYEGFEERKGVLWRCPTCTKECRVMGFCVDCASGRKSRVHTGVT MSRHSTAKKEVSLPVSSGVVKKKLKLKKKVK XP_829703.1 MRWTTLLLAAPKKKLRGTVISWHPFERRGSIVCAEDQKTYAIPN ARAFETILPTQIHSLVGAHVEFMAISSARETDSVITRNRVDEITAKSYMQPTPVDFLA VFRSDTRQEEKDTPSSLRGGMKATLPERQAVPTPLQPELFAGNIVVDLDSLSLLPDSD RNCNRNRNSDAVDMWEAEDGILMGGIPAPLGLKDLRNTVVLDSDTKELLRLRRRFGDE KKAREELERRRRELKVAEAQKRTVLATEQTGIVLAWSQLYRSGVVLCDVTALPDSPSS APLAMEGPEGNVCIIRNVDAFDTALPTALDLVGRIVTFKKVTYTAHPSKVFAENITVS GEINFEGARASAVEAKVKESAEAHQAKRTKQFGTLFDDAADESDEPLPSGPLYGVVTR WSGGQGIIETGNRRLYYIRSAADFVQLIDQKSSSIRGAVVCFNVDPKDRRNAKEISVL SLAVRDTTSTASTLGIRDVAFVTDRSVSCGSSGVECAAVVAAPPAAAAWIEGMIVTWS PLEAQAVIEGDDGNRYLIRDGEENIVDYKSRSLLLRKGRRVRFTSEGVTGRLASNVTL LDEEADEATIAEAELHERQSRLSDGHGEEAIASPLSTAYWISRMDKVGYDTAEVKRMQ NKAITFEDDDDEDDKLLDSEDLFKKDHWFNDPRKNMRLPNSDVTAGNLALIGPASMMN IAMKAHDPKKLEKMKNKYYNRLTEPMKEYAWKQAKELAPKYEKRIKDAQEKGEEPRFS FY XP_829704.1 MTETDGGLKTEAVPLSRMATSVRQYDEGSCSVLIPQGDFNDSVT NPIIHLEQPPSRHSPYDMESRKVSPFRPTPSTLHRMDTTIDSTIDADANDDSISLVSR LSYSRRRRRRDFCGPVDAAEEERQRQELEKALKNLEEMQRENKERMMRIGSTMASTHS AHTTGRRASYDDQGPEQYLRTQSVGVHLTREVLRLQMFERAATSLTVPDPLMEAINSS RRQRCKGSANKLTSPKTKRNGLWMASSNKKNGESPARQSQCLAPNSLATCASAESLSV KKDVNAGKNPPAATQVLTTSKELTAEQHYGNGKATVANGGGRANNGNNRGMNSSVSPA SSKDDPQDQLLSSAGDVKSVKRAGNQGKRTVGGGRPSSGRPSGRSSSPPIIILTVDAR RHMGEEDARRLLSAKPLEGYESHSHLRHSMDTSVLSNTTVKRAAPHASKATEPFAPMP STVIAERESESRGKVTPPEVRRNRQTSETRTSPVSTIALTPASHADGFLTRDEVNRKS GSVQLHLQTTQQPLTKNQSATTTATSPQAEKKKKVSQAGVATRTQQPAKQRSGKVTNA SLKSPGGSAGDGKVKPLRTQIQPTAGTARTERRNGVVHRSSFPRTGVEPLTQQRTIIN FGSSHNQTSPLSHGESPYPIYDKDGRRVKNSHYKLGQTTVTNTTTTTATASNAPVVTA TANAAVVAVTTVRQRSTVGNLKIRRKSFTSLSPTASTERESMRPLATDRPQATTLNTV RCVAPAQQQPTKQLVPFCTECGKRHLDDKVKFCAFCGHKRELA XP_829705.1 MRCTLPLCSKGLFWRDFPNTRLVTLNREKALNALNLEMVREMSL AYFHEHHPKGDNALFVVKGMGEKSFCAGGDIVMITTDNSVVNEFFYREYQLNHRILTM PNPQVSLWNGYVMGGGVGISVHGRYRVASERAIFAMPENGIGLFPDVGASWFLPRLKL PGFGLYLGLTGGRVKGADLVHLGLATHYVPAASFEQLEDRLCHIEDSTKVDACLNEFA VKDLPPFTLEEHRPLIEKVFTLKDTSTVEDVMGSLAADGGEFARTAISTMEKLSPTSL KLALKMQQMGAKATDPAEVFSTDYVGAIRTHACGDFPEGVRALLIDKTKDPKWNPGKL SDVTPEHLAKFFEPLGPDMRKWHPTEPY XP_829706.1 MPAKRKGKIATPPVSILETDGFESAIFRRLVAAPDYSLGSGGGE ILATWSLQSVPVIAARNVNENSLPRLEYNTPAAWVKLPYPAIDIAWCPFKEGDESASF LTATNSLPLQLWDVEDGALRASYCCSNTMGMPVHPHSILWSTHRELIAAGYGEPHDDV HIRLYDVLREGNCVESSYRSPCSKGIVSALADGPTPYSESLILAGFIRNGNVDIIDTR HCGAAAVLRGLRSGVVQILPHPTVGHLVYAAGRLGENRIVCWDIRKSNDMLLTFERTV RTQQPAMFGFVRRKDGGSQRVDLVSATHSGGVLVFDACTNGKPRGVQSQLGPTAGLTV LSHESPTVAVTVGEARYIVRDRYEENAASPSHINGYGLKRSRSQLRKGDLDTENDSGD EGAGVSTSTLGAAIFNV XP_829707.1 MCLPIIRLRNVDLNASTVADDPINASCTLYSFLRPVPTPTECEA AMEVFKCAVRSKGSSGVLSCNNARVVNLINASVQDGVLRNPPKVVPSVARSSQVWRTF LRGKRRNAGAHGQVAETEAEGLGTGSSNILSTGGDNTQIQAERSHTPSIRFEGSRGHS RHEHAESQIDSSHVEVVRETVSMSAARFRTPYEPVGVETEEYTVACYLSPDTTQDVGS DIRNDRKSEMGVENLKDVERSSGTGNDEECISESERIYKPHPVLSHLLEQIRTTLPVE EDELDRREREFSTLMESKAASLHTKLESALSSVPPEETETVAFLQHLKTRVTVAEKLF QVERHEEETIQIAHCTLMIEVEAMRRTLKGIMALVQKAEERLQREGPRAPYHAEELHF MAMLQRALEGTVNP XP_829708.1 MAPPKASLPARVIAGDFLGINWNKVTWMAPYSNFYPITVCGGFG GRMWSSFIQYGHFHNRATRIVLRNAVLSIPSALAAFVMISNVDWFQALQCAYYFPGWQ VPRWASDKYAEEMVLLSRNKPGAMAKHHYAGPVSIPGSEKLITE XP_829709.1 MNYSTGYSAAHSLGSTESSPAPGPVLHALLNNFEFFLLVLFAVL CQFIVFAVLGHTGGEVPGTLFFPFPLMRPIVDSIVTPMGRVLGRQQQVWVGVDYEDDA VDYDYLHGTGHWTGESST XP_829710.1 MIRTTHLMLLQRGGSRRIPRSITDMPSASLRHGWGDIGRMTPQD RRELLRGEWTNARPVRLIPNPVDRDAGGFVEGVSFANDDEAAAFLAQEDADDAVERTV STMQLARHFFEELALTSDTEVDVENVMEADIESDEERAEEARDAHRRFFAEYYERQGL VTGAEGQRLVDIMTGPPGLLLIVNSAFPFVRFVTLWQLLRHAQSAQSSGRGAVFTQHP AHPLLFSAELFPRQSTCDPMALLNTSNSPSALPPNEPAGVSVNECVVAQRQDDMVGAE TTSREAASLASFLEDEISCVPEVSGVHQVMKSSPLTIGHMYWLQRQVAADTLIDSDLL GVLIPLLLRVTSAVADTQIILDMSSRSYRMSERFYSKDIASYMAYPSEGGESSVGYVV ISLVSPNRKVGCVVGSGTSNVASRKCHVSARADEEDVPAANSSAEGCLRSGAVELQFS TGYHAGVEGHVLLRAPPRQVHEGLRGNCNYVLCCPDTTADGCKPRYALGVSGAMEGEE EGEGNAVVNRFVSVCPRVNKLFHYLRSELMQALQYANPRCGRVVYATHSLNPLENEAV VASVLTDLSRESSDRVYHLIPLPEGDKGPFQPLEGVQDKEAFDTDALTSLSSIISGGR SGLRSWVPLEGGQPEQDPSYCDDRIVADVARCSWRSDPLSAVEDVCYVCVIEVRTSPN RVLPATFADTPGSVPTGEINSEVHCTDTFFPWCDLGHLREHWGLTLCCISGENNESVN SGCVACTVAVKRVVDMLDSRNNHEAGCGVVKYGIRVDECPAIHRRPNEGGDSVMVRAS LSGTLLSLALCDSMREEHRISRVLWIPAVAVIELLHTGNLTSMRLRELQDARRGATNT LADVGNLLAKGSCTVFLRVEVPKPFSSVESHEMELHEDLQAELRKLVLVATANMSYDA STRSPFIVFKIEGMTPHEHSVLRERVVAISDALQYLLRRLGYPADIWDPTYRDNIPEA TMQDDEYEVEPSSSSHYQYNGEGDEDDGLTTGKGHSLLGRQAELQHERRMQRMEKALR SLGAAVDGSAVNDWEKDVQRWRRGYRPLSVGRHGKHKP XP_829711.1 MTSERVESVLELITYTKGVAGAVVCNRNGEPIRDSFQDLDRNRA VAYSNMAADLARDAALLFSADESLDAVRVRSLNNEIIIKCHEEFLLVVIQELTK XP_829712.1 MSEAKDSLGSPQEQQAGLDILSPVLPNTDRPESCFTKVLPGSGS NSRCSSSKPQKEPLEAPTELVAPDKGTDGDNIDANESGQHDRRFHVLSADKNKALCIP SEFIETTSGSKNYLSRNRGTKEPSYALYLCKSFSTNASCAHGDRCDFIHSRHTFAEPR DGDLPVTVNLVHWSTPVALLEEAPYERHEPGSVFYINAGHSLRGGAANPVQVPSEQVY RTKGSEEAQHSDSTKYLRFCKHYERERCGRGSLCNFIHRVHLNTRSPQMPSQGHSMRS QRQQYNSAYRGGYGGGGGHGHLHPQQLQQMHRSHPHPHGGLSGHSQHMGGHPYQSRGG YRQQHQQAGSPHGGSSGYMTNNLPLHQQHAAGPVSFGSCGPMQQGRGPLVVPPGPSYK HHHNSFQQQQQQQQTHHHHHHHHHHPPHLQQQQQSRVLNASQGYSFEPVYTSRPTSYT NNSPAEMPAMPLSPSSPYFTQRQQHPEASQLPGPEVSRQPVNQRSSASDYVISPLLLT YV XP_829713.1 MFPLRSVSSSQFNSQANSYSLFHYVLLVLLTVQNATIVILMSYT QQRQSSKDPSNRFNTSHVVMMTEMVKFLMSLAWCAWDVFSTIAPRGSRVLDDSGTDAS ETPLGHRGSDVMRDVKTLKQDQKLLCYEDSHEDNSNGAKLATNMESAPADLSSDAQSI EVEINREGFCGLFLLQLLHRSAVPTAVPAIIYAFQNYVMFVALANMEPTLFQVTYQTK ILGTALLLWIFLGRTFSSQQWMALFLLMAGVVLAQLGSKHSSRKPEEKTNSVEISGSY VVGVVATTMAVLCSSAGAVMSEWLFKSKDASLSSHTSTKNVHLSAYSVVCYIVAQLLA GSGSNTQGQAQVNATPDDVNAGTSFFQEYFRGFDSLVWLMIFVQAVGGLLVALVIKHT DNIMKAFAAGCSIVLSGILSLLIYSFVPGILFVIGSMLCIVALIIYSRG XP_829714.1 MRCCVRALWRSEKRLPRRFREVPEEFTDFRSSDCRPLVFTPRRP EPLRDVSLSDVKIDESALQSTPLQELWQRPVATLDPERMGLPPYLVEYLHRRFEQLRE GESPSEVHKCGFEGLTVVQARALQHFYARQDVALCAPTGTGKTFALCLALIARLMRDG PMKLFSVLFLAQNDNLCMQIARWMREMWWYKDDDRLVFTATSDVPPGVVYRRLTRELI RDGAGNVVKAVDRRPYVCVATPEVFWNFFRRHKEALEKRDASRGRKRRSFNRTPALPS LDLIVVDEVDDVLPSTSPNAAGNLLMKELYRFTKYQAPVQLVFTSATLAGSTVNHVRR FLKKNILESRTSRIFESEIQSFSQKVATTGNTSKVSVPANIQHLFYTADTLAEQRECV AVAMKQSNSTCCHSYDEGKILVILPESASRELFVREVLKPAFAGDAVEPEITRDDVPS CSPLRCSGQVNDTESSTRGKTLAPRGRKIIISGSSSVRGLDISGLTHVLMLATPRSSA EYAHWCGRVGRFGEHGVSVVILSRFAVREVSAFCEVLNIPFKVQRRYAAVDVDAERRS FGS XP_829715.1 MLNPGDHPEQLSAVAAFAVCDNASATQPALRVRRDPTFSPPVSA QQWVDVAMSCLQRNNVPLERLMSMMEDTSRALVDDEEEGSDSSPPMPTAHHSQHSTTD QTQQDDDDSYCVAGINAECEGGLAPSTTFPTVVGSRRWLAARFLLLGSRLGVQGGTEV SLREAVRLAERAVSAHCCPLTILQLCTACYCLGREVKESGGRSTLEGRVRKLLEYSSG LVMARNMSNPMDAILLAKQAWLSALVGEERRAMEIVKFLLKRCQAEAEVLVLLALLHS ASGEHEEASKVAGHAGQMYPLNVLCGVVFTALQNMVDDADHRKQKCVENLLAVLVGRV EAASKEAPQEVSSTSGHAVFPVDDSAMKLFSLDDVWSSGGKHKRLIAGHWALLAHVAL LVGCGSIAQLAADAGLEYISGVQGEQKQAYCDLLCSAARVKIDLIEGSFDYFLRQRRF AQNWGAAAQLRGVKDDRYMREQRKLLSHDEVLSLQTMLGKALQVGSHCAEAYLLLGRL DLLVALGPGNVSSTRETHLVSAAHYFQLAIDCSPRLTAAHEGMGRVREEQGAIELSLD SFASAAQFAFGEPIIPYERFLYVVL XP_829716.1 MSTSAGTRDLQTYHLARLLHLVPHLHRVYAENCFLVPLFFSSVS PATKQHAKLILLCLSRRFGSPVAGSICSNIFSFLSFPELVVAPPVSSVGILRDLPVND NINDSGRGSGAPDAASSEETKPISGRKREREENELETRVRTSEDASESGVVKAVDSVG MVDSAGKDMHTQADSRIEPSLTSAATTSGGQAERSEELDRLFLVRPPVVTTPAALTQL YVRMTSEYQLNEETMNTYFGRYGQVSCVLVREQQVGDDRRSGGVSHVQDFIVTVDSMN NALQAVCYAYYRELTFIALHEPEYNVYTRADVDRVLEEVGVEVVEDPSKGADAAEGGD GGFSHHQSFIPDVVVDGLPYWLTVDQLRACFSEYGTVVDVRISIDDRSGSFTGAALLG MSSVEEAIAASEGLNGATLKGHTLVSGVLDARLNIVSLRHGMVVRRADEMLPEDYDLS ENGRRWV XP_829717.1 MSLPPATGAVELASFQQHVHQFLVQNKRLDGRPLDALREPHILR DSKGGDGLLASTLYTDNTGMCLNCTVSGVFGPPPSDQPDEGRLTVDVAAPFFQSHSTD QVAAALREVARFVRSTIISCMDLTELNIINGEACWVLQVELVILNADGGLRAAALHAV VAAIHNMLLPRSRLPNGDEVESRRLRFHTIPVAVTIGVYKLGASGVRFLMDTNAAEES VVDGLLTVVLSDENGIITFVQSGSYSISSLLLTGAVNEFALRSAALRAAILKPSVAAA KINGSKNSVTDPHPLKEG XP_829718.1 MSSQHRDPCDDNSTKARVRLHTSSVRRTQAPQTATEANSHAGRD SAGARATPIVTRGLYSLLEDEIHSNKTPRKVAAARKAGPGSRPQFLFCYLCGQQFSRV SLPIHQPQCYVKKLIEWERMDPLKRGPRPMSPKSHEENLKAMPAVEQSIGKGADKGTK LAGRELDRFNELQIEHFNKNMLLKCETCGRTFLPDRLEVHQRSCKPGSASASRPVGRA VAKSATPNKTRRLAAEPATARRKEKLIPKAFPQDKEEEIDAVADVGDEVKEGEPGLLD PTTLSQTPRPSGDPDNAPNGEEQQPSTEEKSGLEAVSVARHRVEMKASHSSPQVGSLV DYLDQDIERLKICDDVGAVTPRARSPPRDETSRARYSLKSSLGSTVSKNDRTSVSLRR SEVPASSEVAGNTSVSVDSRGGDDEVSPAAPAKKIQLNNVSHFKNVQSRLNLGAKKEE LPRCRFCNRTFNADRLQKHESVCLERHKAPPKSARPSATPNAAGKVVKKEVKPLTARG VAAKPVLHEQAVMAPSNPPRKSEPALDAAGRSSLETPLAPSATSARLPTDKRLSVSSL THPTTAEGTHDVGSTEGAPRIRYCFECGLKLPFESQRFCSGCGTKLVVDA XP_829719.1 MLTRKLRNMLEKPPTKGRSGGTVKSQVFIVGKRMVPTGCESLVC LSSRKPEMRQQRRVVFHGLAPGDVIRAPARFFNMIVEERRLPKPQHCWLIRANCMKRG PLWTRPSPPPCAHHMSVSSCTRCPWMSVVPAVAPDIKAGNLRHALESLPHVSPIGGEG FAGSEEFGGVRVDSTGCRPLPRLSLNFLEPFSPPIKLHLMREVEFHFHHTHDPKDVNR GTASKSVPVGGRFRFLDSQPDATGCLLCTLEQDRLLKLLNLWADCLPLHVQKCLCSVF VLQHPHEAAEHSWDCDLHVALLLQKDTVMCGEQSPLCGPSQPDTTLSREEQQFVDAVL SAAPLTTNIGVSTVCTDGSVAHLYPHARRVDVFASTELAALSLPFVAAAAAVNSCFPC KALHGPTERAVTASLPFMHSVLAFALEGRDLGSLQSTRCPSAWRHAASLEAVGSVVLS LISERRISGDGNVMLLGGGSVARGGRRGSGSQLLLAGLVQWLGALFPQCAVHVLGENR GEGGLPVVCIFVIDNEADVDLLMGSLRDGKGFGLRESVTQLSQLVLLQLSFDGEFRFL VDGLSAIQRCLAGKKALHVDAGIIDVDPWAATVVGYAAVEI XP_829720.1 MACSLRQCLLSYGVAESAMLREQVEEDVTTPVSEHEEEEEAAPT THLLSPRGTVRLIDGYESTGHRDTHCLSPDSRERGSWIYHRPPIYGLQALSEPKRFWQ LVVGALCCFVVSSSFTFNLYSGQLQAKFNFTQNDITSIFTGSDVAGILMLPLGAVYDK YGARPVFILALLTQPVGAILQALTYDDFIKGNLYLFIFYSALQAVGTWLLDTAAVMTL LSIFPSDKGPVVALSKVITGIGYGVIGAIHSAFFYGGEAKDTRNFFIFLASIGVVATV LGYMYLEDPPYVVKGSEVDTITRKERVTRRRLRRIYLRQRPSGLRFAIGFGIVAVLMV YLPVQAIVSMYFNLGHRYRVSFACTTVAILALYPVMALPLQCLERSQSLILPMTSTRC SERSSCVSRAASESVGSVACVDDLDYMAPQFHIKLADNIKTLRFWALMWTMFSLSGAE VLVLANMRFLLAAFDGGLLADTYVGYLLVLTSVGSGFGRIILSLFEMVSQNRSAEERI PITAALFVPAVVQVVALSLFFVLPAPLLAIPCFLVSFAGGCSAAASVIVVRTIFASDV GKYYNCITVATVVSSLLINRGLYGEVYTHEAMKEGKTICLGRQCVILPIIVVLVACVS SLLAVGYIHRDYSQHCEHMFELHKQRHASNEAPVKADHIGFNFKRSGKQSPAGGSAAN I XP_829721.1 MQAEVDGEMVSLLKLHDLRHQQELLEKELSAVHPTPETLQMPEP VDANTFQSQTADFHNTRAISPVERLDEIRRRHRREAHRTMQRYFSALNNFRDYQERRM EKLRNVQPFSFEARELRRPRGIHARRMEEDRLKREQEEQRELNRRFKSNPVPPSTYMN KYDLMVEEWRQRRVMVEALAAEKAERIREEKEFMQLCVSGLRNVREVMGARRQRGSES DYSRGHNARDCRQVSEIRDVPVEVALKLWPAIEEHEQIRVERIKQRAVHQMEEVKAEE SQRVAAAEQTGRTQPWFGMTPVEFLQLHQRQQQQQVQQQGDRAANIVPVSGPVVTVSS QHTTTAPPPSSAVPSSSPPTGVLVMAPLSKPKVSGGVSESKEDKTPKRRSRSTSAKRN PNLTFKPKIRGGVPNFEALWAEERLALAERKLKRQPTQVEPFKLTVSGKEEVIRGRSL HRKKQQRPGSATRPARRKRSVPKPPEGKEGSSGGTGEAEDKRGLSVPKGTRAHAMRTQ AVFERYITAAEAKQYQDKRSEETAALKEAYQRQKRVNARLKEYLKSTQVNTDDVIRKK VTELRRLGREAEREAAEQLNEMLSRVVQIPPIFVEPVHLHSAAKVRAETEKEILRLLK ESGVDGGTLSAIIQGCADASDDDNAAGADNAATAAAAAGEASKKSEPDGEARDNDEKD EPSKSGSDGEVDSGEKGSRRQSTEGSSSESESHEGTKEGKDSESKETSEGKSDSDSKG KSGTEKEDSDREHDDKDSEYGTDFESTSSKSAVSV XP_829722.1 MSARESESRDGVSCVSSVIEEETTSPWRHNEIERYLPYTIGRET AYVALASPDTVSPETSISGHCSTFARATSEADGRHGVISDVYCGDGGGGSGRTGGCDV DRISYVNDCDGAEYSRVKTSSVTPVADSVRSMEYGVGYGSPLLHDTQELRVSWCYQRD DRAAGGDFTPAFTVSPVITPWQHPGNGRKERDVVKSAPLPPNCCWLWPDIREEKDGSS SYDVGWRGLKVTEGVPRVNYTDGVPDYLEPLLFRDHLPKNSLKGTEGPAEGPTPRTPG KKVKRVRFSETVVGSVSFVETHNEIQVIVESHYPWLALAGLFIAVTLFVLHWGVIAVI AGPREGIERITAVSLVDFVSSGLASLIMLLFLALLWRPGREEVDVISSCSGISRVMCV AFCGALATLSLVCMFMFTNSAVGFVCFCCCPFVGTHLYEVLKLHNVPLLSTMASILIV WAFAALVIGCFVEGDDVTFRCLWPVAIAISGGAAMCVYLFQFRSVGREVSGLFLSFVT LVTVTLMLALASYASGGFSLPTQSTQSSLFVLVMTDFSSVLFSVFFLVLYHLIYHCSS PFFSRLCVPGSFVFGGAISLLISRLLLDSVVFWPLEATGSILMMAGSALIFVAEYHQW QSAGAIAGVE XP_829723.1 MSQQQQQPDGTTSSQQVSRRATKYNGEVLKILQTMDRTPMSNWS GLSTSLTQLLKCLEANVELAVGSALDTTLLCRVIYKCLDPKGVMGVHRKALEVLQRLS ERLGALPLVQHMPLVLAAVLNLLPRCSLQVKCELLNFVDVNMLRELPAEVVALQLPGI IPALLGGLEEGGESEVYRLSLKLMGNIHDIIKTYAAAEASAKRDRDEEFGESSADSGK PPESVLRCGDAAVYRVLWFTLKNSPSLRGSALQYLKQQLDEAVNRIPGGDGDDEVTFG VHAVEQGIGSGFNHSAAPPAFFGNDLRLVFSSIFATLQEQNERTRRLMLDLLIIAFPL NDTALLTFAEKSLLVSAVVQQLGAPDSSNSTQRRVVEWLMGPFPDIASQFIREVSSFH VAQAFHQFVSWWKLHFGESPVQQQSTNVTGASVLERSCYYVLHVTACKSAAVLSTDSS EKKCGESMSPYILPITWLRALVVLFKAVVGSDMHDGDDIMSSGSSLISRDANSYDEYD GMGPCFASTPFKEHLVPLVMPYACDLLVSMEALMNRGSLQVDCVETVLDAFFASVSWE YFVNHKRGIVFLLENSADQLADGSTPLASTSLSTALDVSGCAAASSTGCPPSSDLQQL PQADNSQGLFRQFRMDQHYLEKLQSRFLQLKGMASLLQKHAIVPLRRSAKQIAAFRCE IFAICQSLCGILRSASTSALTHATAPEVPGACGMVSPLEVSLCLVEGSFQVFNLLFTD IILALPSDVDPMGNTMPDKESEIKLLTIMTESASVLTKLVAYHAAERRELLVRVNDGV LTLLSRVISSGWGRCELCDDVLDGWLHSVTSAAASSPSLAVQRDSLQLFVDFSKSIDS PTQFGESMRENRELKAQLVPRVWHLLGICSEGMQPAVVDLLLQLYADDEARIILDGIT VEDTVECGERLAILFFWMAEREAPQNLFLPGLYMLLRALEHNDPSLRWIAQSTVRQFI PYLHRLLNPLLISLTRQLVCFNPAAESCGGVEKKPDDLPTYSNQELRDYEVNQLKPAE FVRVVGCILSNPASSPQLLLNMCKLPAPAYMGSRLPLLSMPVHNARSDTSGDVEGETN GESVVVGSAFAALVQVLLGIAYQNLSPRFSETSTDGIWRGGELGVAAVEALTMMLQKS QSLIQLPRMVINTWGSVSKRILELLHEVVRCRLFPPEQIAMLQHLLDSVRLISNVSPA ESHSSPENKSVVVCRCASALRMDLFCETVEIGVERAAARALLPHGRSDRDLLNVWCDT LLGLMPFLHERREEVCSRVLQTFIHVIEQQARTTSSLRDCTTLRVVEVCLKGIFGVLE YYFAAGSATTAAQDSIDAKSGDTGSGWFSSRMLFASASPRIVHKVVERAVECTEVMRE SIQQIVATLCKVHLCVKKYREAAPQLRSKQSQQDDRDDPALGKRVLPSEASITAEAAA AFLVETAIHRVLLFCRETIPIDFFRIFVSVWAEEHVHQLNELVKESMTSDLGYNNSGS GSDATTVGKGNDGEDVALLTIISAAPKLDISPALLSEAESMGLLLGAGASTSALALIN AVTEILAEPQSVAPLVASQCEVFGSGLAFDAAALYFVHTFMRVWRTPADDMPQVLTVM MSCFHARLTAGAVSVPTLAFMLLCLSHFLPPEGTTEESEVVVVSSSAWGKEKRCLSVL CKILDSLSAVSSIASETASVDPEALYFVLNVVARVLPRAVRGLLLEHDRVTTSVVHMC KRSVFPVIAFGIDAQIDEDAHARTPLVRAALRVALAAVSISDSVPRRLRQELLDGPCN WDFFRLPKRSLHYYGHLFARLSRDRAFHAEMAQLFTPSPSQPNRFAGLVNQSSNEEQQ QLQRAVQMRRLTFYVASMPSTILMSDREFCLLLREQFTEVVRTASTAVPGRRSRSNAI IYQAIFLFRVLLTKLDPALLQPFWPLVLPEIARALSETFTHSDVGAEVKNGGSRRVDE SQENLLQLQLECLKVLHFAVVIVPEVIAPFRWVFMDDLNVTAGLASTTEISPTFVPLV QRLVGSEVSPTSVICDLKGQFTSNGVVPMCWSGFQRPFLHGPSILNGGPRGTQTCAAA LLLLAQTRDLTTLTGCATEQQQLLTYMRLTDGWDEPYVRHLLEMDFSCVHDAVDVHLH LAQ XP_829724.1 MSTGGVHSGLFTLHFTFFANSATDDERLLHTGRKAGTKSSGEFG DWHVLLRFAVEDEVISVRHQGKIFAMSDECCEGSSGEEPVVIPGLGRIPRPLKDRYAT VVMRSHYHWQLNEPCRFDVHFDQLEGRIVGSTEPMLSDVTVSCEGYTAYGWYMGEATV TVGGSPYAMQQQLRFVLTPYIGPQCTMCLDPVYAQGYTCAECGGVEYCSRACQLAHMS GGHGLLCQTIGCTYTQRSGKITTELEDGTDLVAWWRCLEDAHYSILVDYRNPIGQAVE FFLCTLKPAQEEGIHYRFIVEEGTTPQKVDQEKFVDFACTVFRAVSENAISEGCASLA AACLNYLFVYSCNTGVIVESHVLYYYSCLSEDFEGAIYSLEEYVAYARPMHELGVALI EFALKSPTAHLFWQRMKLVKNVFINLYNINTSCQGSGSSELIKAIPGQQRETLHLLAK VFLIMASRAPKKEVLFMLKQAEKCLRDCLPERGDCDEAVENALEARLNDGDKCEESEG SMLMDDNMEEMDSSNLATLYYRLSVLLLLYGDPKKAQEAEALKAQGDLLIARKRQLSG QTVG XP_829725.1 MLQACGVEKFHVPVERVAVARLKDCPEDFIVAEVDPAGEATDAQ TYKLPIPRTPTNSCGEVGSVQTVLSTATELNRGQCGGSAGDSEKHINVALPMDDSLNE SLLETLLEVFGSKREAVAQYSSCAAARNLLFPTKEHDIGVFNERAEREQLHRAMKVSV PHLRSETRRHREATAGPVNIALDGDSAHICRDGDGGAIDYHIIVSYDCEFLLLSHLLG ESVADALVAWNASVPQGPPLHLTAKFPVTASKEVRRSFHEVMSKRYPRITCRVSNGQV VIRGSSSGFGKRQRVDGNRETVGFTHLLIRKRNLDIMELRMLLAEHFCVPIDAVCTAG MKDKCAVTYQRCSVPCTRQLRHPREEESGIDGDVPLRLTWTDDLSSYVEILQTSGPHS APVGIGELKGNMFHIRLRDVRGITPSELMQRSCRLEKEGFLNYFGQQRFSEHAECFMD HIGVHILGGRWPEAVRCLLRGAPELYDLFPTHMEPRYVPARLRDARCIVQALNRLHRT RYTTLTRADVTECTQLWRDVCRDALQAVPYAFRVLWLCGAQSLIFNRLLSMLHGPQLP VTLPLLGYNVSVEGGVRSAVDKVLMELNLGTVDEFAQRKKVLGVGMPGALRATVVRPV GCSVSFTTVEGGSSDVFDATLSFFLPSSSYATIFLREVLGCDTWW XP_829726.1 MSNKLLPSQPPQEADAGGWNRRNVLESLSTNAKDRATSAWFQEL ARTEEEGDAAFARARPPLFRRAQRTHVRSDEERRRMDNYESIDYSEPQSTVYKKRMAQ WKKEPRWLKWVMFIAVGICVGLWSVLLFQTLEYLERRKRGMLRTYLHETHGRGGTEAQ TVGGGAGFPMRSTPSGVSWAVAGKCYIIYILWCAGFALLSSLCCLVMPTAAGSGVPEV MAYLNGVMFPRVFNIRNLIVKTLSCIFVVSAGVPVGAEGPIIHIGSLIGAGLPTGRSR TLNCGATSLLSTFRNPRDMRSFISAGAACGVTSAFSAPIGGLLFVMEEVATFFSVRLA CMVFVSCLACMCVIQIVNSYMSGWHLLAQSPMTHGEFLPSAIAMFIVNNVPGNHVPLN VYTFIPTVVGSLALGLLAVLYTVSSVRFLRWRRERLFPNTFLRVLEPCLFSLAYNTVC YVLPLAFGCIEIPPYVKDHKAEMKVELFTEFCADRENTFNPLATLALMGPYNSIRVLF SRHTTGLIPWYACLLQLMLYTFSSSYAGGMFVSCGTVIPSLFIGAMGGRLVGTLFNNE VWADPGVLSLIGAASYFSGISRLSFSLIVIMMEMTADLTHITCLMVGVVFARALADRF CHSLYHSLLDLKSVPFLEAQTGVHKFDMFCAKDIMTSPAVTLNTVESIAQVVEVLQST QHNTFPVVAMAKMTYKGVISRSQLELLLWFMYFRETDGSDVIDSGRLSMLASNVPCGG KNGESVGRVQTKATGIEVCESEGGSACGPFTDCNESIITQAGRSMDTIVPPETNVTDG PPRGPRSHATYADLNKVRECIFWRRLPPMPPVELLSKSTMRCHVDLSPYVDLSTYYVR DVMCISRTYYIFRHLGLRLLPVVDRRHRVIGVITRTNLFGDRLQERLRDAEEAGRLAA VPRA XP_829727.1 MLTRVIHDLYHLKKIHSVVLDEKLGHALKEKLTALELSVGPSPG PSALRRLLECLAYFQLEQSPVAAKAVALVRAELPRMTGGQLSRTIAACCMLGQNDISF SALPLLSEALPSMDSTGTVQLIDSLAAAGVRHEDTWSLLAEHCIRRMDGFTGQQLYRI IECFYERRVHYPDFYVVAERHICAQPSSYISMEHLSAVIECYRGLEMPVVSLLAASSA RSAEGFESALAAMSPARARRDHRAEGGPSSLTAEAKGALNPSVVAAFVQSMLKAVQAA DEVQLTDMLHKCEVKQVMHAEIMEAIALRLKGLHNAAPNVSRTTALMRLMMRFEKREW FAAAAAPLCELMDACVEDALTVYGHRLLNLADGALKLFPADKQPTHFYTVLVRDMKFG DVTASVDVRRLPLVVGVMLALRAYGGPTLLEQHMPMISVAAANAPFRVQVELAAMLAP LPMAKKTFLPDLFTSLSSQKNWSRKLVAREAILLMEALTRSRLPFNDLLLVVVEFTRR NPSRFDAPELVNILLQCATLGFNDVEFYSATATHILEKAANATVHDLCMLMYAFTFVL KGVIRVVQQMMPRLRVSASHATARDIVLLLYSSVKLGITRHAEVTVPFCDRALNILPS FKGEELASCMGSLRALKLDHEELLSATAEVLGNELQRRESCNDTGRKETAVINASGTS SAAGAMLTDAQFISITSAIVQLRPALLRPEWHPPLQAICLHSLSGAGAAQTHLIAGTL AALTEAPCKQEHRELLLERANDVCERFASGIIAAEVVLALHTLMLQEGLSDEEVKEIL SPTPLIAFAKSHHSSIISNTDTRDKLRGKGLLLLLSGVVPSSIQGSVITGGPFQELSL RESLLLQRKETRQTNASKDGPSSRRKTRGALKPTTLISSTKPKRVKDCMTDDGDDIRR RRRSSAVRDEDSNALSGDNDDVASRRGTADGSNKCYAGEPHKDVEEFHL XP_829728.1 MGVRMFCDFLKLRRWERHVLAQRERQESALAAAFRCIPWSGVAM FFFVMIFFGIDLGAGIKHTARQIQEKKEEAAQSVEVQKMQARQWSVEQVKNFREGEIP KPSWAEGGPRGSNNPL XP_829729.1 MNPTALREVSNLSTGSGDVKQRLAQRRSANLESCRYPYSSSAVR HGSGSLLSLRSSLYSPVGTAGKESSVSPHADRLRENNPVYCSDVIKDITTMYMESEKE AIYGQVLPSPRYLTYQPEINEKMRMILVDWLIDVHLKFKLHPETLYLTVSIVDRYLSS VNTRRTTGRYIPRSKLQLVGITAILLAAKYEEIWPPEVKECVYICANTYTRDEVIRME REMCTELSFRFTVPTPFPFIVRLLDVVEGLERQQQTQQLSHQLQQIQSQSQSQSQQTQ MQASQSQPQSLSRSRSQSRSQPRSDEECTAYVLQLRHTAFFFLDHGMLDYKCLQFSPS QQAKAALFLALVTLHMKEQGSSYVLNNDIIWTRQLQYYSKAQVRDFKACARTMLDFVS YVSTTRYQSVRRKYSSSKYGEVAKLTMPSEVPDF XP_829730.1 MFRRCFPIFNPYDVVVVGGGPGGYVAAIKAAQLGLKTACVEKRG ALGGTCLNVGCIPSKALLHATHMYHDAHANFERYGLMGGAGVTMDVAKMQQQKEKSVN GLTSGVEYLLKKNKVTYYKGEAGFVTPNTLNVKGIDGKDEAIEAKNTIIATGSEPTAL PFLPFDEKVVLSSTGALALQQVPKKMVVIGGGVIGLELGSVWARLGSDVTVVEFAPRC APTLDSDVTDALVGALKRNEKMKFMTGTKVVNGTNNGDSVTLEVEQAGGKRETLHCDA LLVSVGRRPYTAGLGLEKINVSLNERGFVKIGNHFETNVKGVYAIGDVVDKGPMLAHK AEDEGVACAEILAGRPGHVNYDVIPGVIYTMPEVASVGKTEEELKKAGVAYKVGKFPF NANSRAKAVATEDGFVKVLTDKATDRILGVHIVCSAAGELIAEACLAMEYGASSEDVG RTCHAHPTMSEAVKEACMACFAKTINF XP_829731.1 MRGLAAAHTSNTKLVSFLFVSFACLGFAPVVHSHDDSGDGADEI DYYAVLGLTPEATDREVRQRFRELSRKYHPDVSSGGDAREMFSRITRANEVLSDKKKR RMYDMRGEEGLRQLKRLEAESGGEQFGSISQLFRHHAARRLRGKNTEATLHLPLDVVY TGGRRTVTINKQKVCTKCRGTGAKRPSGLKTCEHCGGHGILRQRLQLAPGMFQEIRQT CPYCGGRGSIMKERCGVCGGNGVHRADVELTVDIDAGMPEGHVLSFEMEADESPDTIP GDLLLSVQTKKHPRFSRRANDVDLDMTLVVTLKEALLGFQRRVEHLDGSEFFVNETGI TQYGSVLKVPGKGMPRHNVPSEFGDLYVKVLFEMPDMLTKEQREELAEHL XP_829732.1 MSSGDSSGGGGGVRELGTVTAGMAMMNFDEKTKPYTRTDLIKFL QNYEENLTPPEKQLVWQGMLGTLVGMPLAFFVGYKVSSRFAWHRVRRALTPIGGKGRE EKPSWLIRNISTVGQVMFGLAASTIPYIVVQQWFISRVLAADEHEGNLSFHVRRLMIT QRSSMMFTRTATREVTREEQERLMGEAAQHSAENRSGRQGGAPLGVTDVNLRLGQQAM TPVAQTGYKPMPGQSS XP_829733.1 MLVDDVGDVCVTNDGATILKSLDVEHPAARLLVDLAQLQDKEVG DGTTSVVILAAELLKRAQDLIVQGIHATSIIAGYKLALREALRYLKDSLSVSVNALGK EVLLNIARTSMSSKILSADAELFAKIVVDAIQSVKTVNDLGDVVYPRKAVSILLQHGK SSRESMLLQGFALGLSRAAQGMPTSVQNAKIALIDFDLRAVKMKLSMNITITDPTKAE AIRQRELDITKERIKKMIAAGANVILTSWGIEDSMMKYMVDEGILGVRRVKKEDMRRI AKATGAQIVHTMSDLEGEEVFESKWLGQADRVYEERFGEDDVIIISGTSNSVCATIVC RGANYFVLEEMERALNDALWAVARTLEASLVVAGGGAVEAALSVYLENFAFTLGSREQ LAVAAFAEALLVIPKTLALNAAMDATELVSRLRVIHNEAQREGAKGGNAGSASRFCGL DLVEGTARNNVEAGVLEPQPSKVKSLQFATEAAITIIRIDDCVRLNPEEEEEGRR XP_829734.1 MRIVISPDDEAVADYVSEYIIKKIIDFGPSSERPFVIGLPTGSS PLKTYQRLVKAYRDGRISFRNVVTFNMDEYVGLPRDHPQSYYYFMKHNFFDHVDIPEQ NRNLLNGTAADLVAECRMYEEKIAAVGGVELFLAGVGTDGHLAFNEPGSSLESLTRVK SLNQETITSNARFFDNDIRKVPTMALTVGIRTVMNARNVVVVATGAGKAVPVAHCVEG SVTHAHPITALQLHPAAVLCVDEDATLELKVKTVRYFKGLLEREEEFERLQSSVESEA PPPK XP_829735.1 MCRCTYGTKSCCCEHINEYAYIYAESERERCKCIYKMTWHVMLC YVFFFLFPFCVYARMCAYVYLHVYMSACAVIITITITYCYYIAIIIIIFLFGLLFRLK HDASDLQKYVCVCVCVCVCISVYVCVCVYIFSHARKVSLHFYFLHNFFFLLLTVGRLR TFLCCFFPLFLFFLFLIIVIINKFRCLSRNNLYLLFCVVIFLPPLPSLPFPPFFFFFF HIYLHRSYSPLVLLCGRRFNCTFVCPTFFSFATICTGGSFVPLLLLLVLICSVCVYLC VSFFFFFFC XP_829736.1 MDAYETLGMLGEGTYGVVVKARHRATSRIVAIKKYKQAEDDDHV RKTSLREVRVLKQLRHPNVIALLDVFRRDGKLYLVFEYVENTILQLIEEKKYGLSPDE VRRYTFQLLNGVSYCHAHNIIHRDVKPENILVSRDGVLKLCDFGFARQLSCRGNYTEY VATRWYRAPELLVGDVSYGKAVDVWAIGCVFSELSDGQPLFPGDSDLDQLSLIMRACG PVPQQMVSTFEHNALYRRVTFPNVDVEETLQQRFPTAASPWLEFLTSCLRMDPVERPS CTALMSMAYFTENNFRATYELELRELFQHCQPPLVDVAPTSPDHNFSREQQHQQQTLG NDRVDAELTLPRLAAPSLDAETTDKVDSAESKNTTMGVSSEVVGGVGGSVVVDSNSNS FHQLGALWSHYIGSTVGATMALSGELPNIGPRQAHPYPSGLPPGVQVTKPVPRSGTNS VGDKNYNGVQAMKVTGCLRLSAKNISRSPDVQRVKASAAQSGPKVKQAKSEVKPEQKR EWKSCSALGKSVKPNYLFPLLPNGTNNSGITSYGPSGVAVGAGPAPQDACSPLVSKGL RPPSSNGIPGAGLHSNGSTTSFSAHKDEKMDLGCGEQNNNGSDHKQRKKHVKRTHDNG ELEGPPRKVSDKPSSQHSVAGHRYSFRDGATKR XP_829737.1 MKGKYMHSTVSYTRDHFTTEYGYPIREFHQNNPHEEQRRVQAVG FAVHHSRPSMVFFARALQECSMELHIGPYGQLTLSVGAEGEVIILRPRAGDVLCKLSE FPTYYELCRAFFAGSGAGPSALHPKKDFKRKQQLIDGHGRLLVLCASLLRQLLSSEAR YPVVDTMSATRNRLRIIRILRALTSPGELRDISVSPISVDGEVSAMDFIERIVTASLR DLQVPSHRCKTSVPRSVLAGCIHFTLSTEVEGDALLVLEKLLLDFLCTPFHHGRNAVP AQTISARARLLLEDPATCLVPCLGSALTYSRSFPCGSFFLPTVAPTFNAHQGADTPHF VLDGRGTIGSGSRNCDGSCTDSATSGGRCYLVMLLVIDHEGGLLDFLHARPPPPPPTR SNESEVGEVEMGRPKGGARQIEGEWTSADQQKAQLEASRMLYDWAWANDVSVIITPSH APPVMKTFGARYYAERNSHNGVVGAAPVFMVDEVDTTAFDAMIRRLQVCRRDTFSTSE DMCACPDVAVLRRGRLVLPDRLANVIIPARFVAHGDLETLLAPHFPFTGPKRVDSSAK RGLLLKIDGNTSSPTPACPHDAAVGEVGTMLLVSPSSHLQRSHIALLLKCAGCVLLAV DTSVAGRGWAAECGLGFVRAGGALQMSLARQMRAIAESLRSSAGGCQCQSASIPLTGD VPVLAAVLDVLSEALCELPRRVVGYVTDHHYPLRRAWLQFESDELWRRSENPRPSMRA VHNASALHVYIREDLAFYDDHINVTSYDRETQRRYFCKSDTESSGSDNSESEGPTSRV ASGFCGSVDWNEGPATLSFVEPVAVTAGMLRAALTFVRLVLSSENTLEANV XP_829738.1 MSMQGGAAGGAARTEVPPPPAPNGMPPDAGAADGKPPPAADVAP ADSAAPPAPAAPQIVVDPTKDLWQNIALNTKENNLPQSEANLFVVGCSGAGKTSVIQR VCSAIGAGGNTSGKGKTKVKPTTALDYQYTTRSDRHVSQTVHFWELAQGMELSQLCEV VITPENVHLMHIAIVVDFSDPSSMWDTLAYWLKRVDRRANEILQKMRAKGSNTPDKLH ARAQRALGEGHPDLKRVRLSGLPTVIVCNKVDAFPGPMEQMKTLTRCLRFIAHLYGAY LVFSSDADSAKLRLLLNHLLFLTPFDARQVELDVERGTILIMPENDTFVDIGDPPLCD GPSGGPVTQSTGDSELDRWKVPLDAMFPPKAGGGRAQSDAMLKRLYDMGEGGFGEPTI DTIRRQKDEELEQYRKSAARRDKGDK XP_829739.1 MTFFGLMRLFGRGSAVSSVEPLGSEESQQSSPSESQQHAPEYLE IDYEQEMEECSRYTFSTGPLQSSDPRVDIRMLEGLRFQHQHQHQKQSQQFEAGNALPP RCGLRERFASDGYDCISLRLLLGEARRLHLARCGGMVTEVRRQWREFATNLAEELGQL SFEQVRRNIACARLFDLTSLSSSTGAGAGAGGASHDVTSSGNFTLYCGSGGGAERVRA LQYRKERLLQKLRERKTLFWTGDDELPCETQTHHMEQGEEQPFTSDSGAVPPAVAVEG LESRRKLQSNVSLSSSVSGLIGVGAFIKCRDESGEGLSVGLTSYASSCVEDVDDDDSV CMGDDIVTVSSDGFGYCTPLGLSETGLLTAGPGG XP_829740.1 MSDDLVKILVLGPSKSGKSTVTNFLAGTRDTPTKEYHETNPLRV LEVEIALDDTRRSGRQAAGLKKAVVQLWDVGGSSKHQAGWPAIASNADGIIYVFNPEV KGSEKELLLWYKNFALNQDELDDDNNFKMRVTDGHSLIFSHHSSLPEFAVGDNAIPPM PKQLQGIRALETSLDYQSDNFKEAFDALVEQIIASRLAAEENDLLQKEREAKDYPRLK R XP_829741.1 MARSARYVSVMASLLQQLQHVLQPWDGLGEFDYTTSALLSTDRA GDSRSDVQKNSFASAEGGATAGGGGSRSARFPAIANGSLWGADMESACEGGELSVPPR RPTGSMSQTGHAHRRDIVTKLELARAALALFTSNAGMYRPVLGKTLGFVFELVDELLQ ENAQLRECQVWGQQSQQRQRELGEADAAEVAAVHEKMRVMEAHMAKLVEESSTQRDHL KAEIAACHEREKKLEMLLEHQMMLTHASGLHLDGSTIIGKGLRDAEYVRRLVARAMNE KALDDLQQELEALVAEQEQLRKRTHDLLELNGMYARQSIALSTRLSILGDYNVAIAVE TQKIKNDFIIEKRKCEKYRLDLLALRNVVMASFSNRNEVQRHWADIRNRQLEKEAETL LKLRAVRPPNNTGKERDDMPETTTSRVHSPIRSVAVSLTPSRVHSPIRSVAVSLTPSR THSPIRSVAASRPPDERCQAPASTFLQSTPLHVPGMGCGAHVPGHLRTANPVRALRID PIFVERQVCILLWEWRKQGMEEPLDTFTRDYFINFLQSGDEGGSSVSGGECGVGSLEE AQKGQLQLSYAFDEVSRSKFCGPLTRAYGLVTRKEVNKNLIRMLELDTSMLLAIFRFL DLKRNGWTKPSGIVPVMEFANVLCAMYPSYPLDTIKELVDAAVGLGGSASEFPGHLCY DVLLPERLFMDSEAMLVDGFGASPQSGFVHLFNYLILGDVEDSWRRIEDSFFFLQSPT GLVSEQSLFYRGFSELPEVPAIIWTPALRAVLSSWEVLKVNRGPLDLIEVLCVSLPAA LEFLRCSTIPRRGTHPTRTSLHESYINDLYKDLIEVNPRLGRGPPPSYYASLVRRLDS QRHAVWGPQDVHAGDSLRYMSDKESLTPGSLLERLMEAHRNSENSPENLRSGRALPPR SEEVVA XP_829742.1 MFTDSILMSPDFDEEGYLRYAIHASSCKTEQSRLTSCSAAVREE MHNILTENVEDMLRQVTAASCAQRDAAAVRQAAATLMHSASRLRHTIYEPYHLIKGSI TKLRNTNVTLNTLRSVIRFINLTTRLKGLLPDDIARAARTMREVEELLQAANIAAIDV VRSRMDVVERSATVIRSRAQDMLRRADSRDASNVTTALQCFVALGSASRVISGFMTEQ KREVMKTLMRELDIQVIANEINDECTATTSDFDYVGSRTCEVLASHLQAALRATATHT GSVIALWRVLVKKADPVTQIPYLSAVDNPTSVLGDYWHFVTDKLRERLQAIQKRPNFF YAMAGDILRYRSLLVTFLDDVVELLELLDQLMGLDASSNGRPNTSGGGGAGACLPSGV EQLRCMWLSHVTREVNERFGAQIMDRHRERLHGVISRLNSIIPSGGNKPLPNLSVDLQ RPQVPAIAHVLDVRSYATLAMRDVMEYQRDPQTLSTVLACTLQCLAGFMQRVTETSMR WPLPPLPSVSSDVNTLQMLHICISNACTQLSMDFAVMLASLPEGEEDEDRGEFVGGRD DMWQSSGGGCDGAGGACCDESAVSTRKLVAERQRKLLEVTINLKKISEKVVRPFFRSV SVLLLDSVSMCVDGACGQEAAGISQLQSQTVHFMSHYYYLFDPQTPTLVDSVRRITDS LIVRLMVAVTLVYPFTKEVREHLTVCLHQVPRVAISFGPSTQGAMGRSTMVLKGQLHQ LAMWYNASEEALETPEGVSRAALATLPLVVSRLLLLQRVFRPSAAVGGPSAVMGVTPE CFIETIEAAVLDQLCVGNVPEGDLAKRVADNSHAAERLGQVMDAVERCFLMAIEALSG DAAQEATVRWVKQLWVLLDHRQVGK XP_829743.1 MLLSTRARQGNALLLGVSYGGGVRTLSDTRGVGWSLKVSARLHV GMNVCSVSSVFLQSRSFYIFCTLCCFCSRHQPQSILPSFLLTTLVTALAPVLHRRVKL RVHIFCGVMSNPFEKVARGIAFKMRSKVHKQGYSNTVMAQQARRLSPTGLLAMERLTE LTALQQRHQCTFDPALRSKATQILRTLPLLSIDEDPYFTHTQRALRLAAYFGAVDLPV TYALINQHTKNAFMLDAFSMASFFYTLAKLKHPQTKEIVGILLPRLREVAPELIAREA VHILRLLCSIQMADAQLVKVVTETVVATAADVPLRDARQCAFILSETFPEEAQRILGA VEHRLCDDIDMNADANEVKTTILDVCRVVSATCKGPRRLLNSVARRSMELLPQLTPLD VAFVLKAFHLSSYRHLRLLRVLSSSLAASFPTSNVTKEHGLAASIVVQSLAHFYLSGC EEVVVTLVNASVNVLEGLNLALTLLACVRLRCVSPGVDPAVDALCSGAPMRRYVHNAH SMQVTSRILYGLAHAGRCRSDEEVAIVLPLLKSVVRTPGALRDDCRGFLLDAVTALGA DGECSNDALQEQVRKVYERLSQDGGK XP_829744.1 MSWRARFTPCVASLTVWLNPKDPNCFGVRNWWRNNLPELQLLNP FCSFTIQELSFGEPHMYINYTPTDQRMIRLAGATEDECEDIMEACITYGMNHAIIERP RTDDGGDLINQPAITSFGYTESFMSKLEVSPPADIGQRTPEGVDDPGQKPRVYPRNVG FKIMP XP_829745.1 MLFEPSGDLRVDYSAFCHTLRTTEREEVYRSLITNTQQKAERET KHSLSPEDEAILLQWEEPNRMQTLQQEPLPEVEKAKENPTSKRHGTGAKREGATPSRK KSEHNDTSPSNPQPPPPPTVFYILMRHLKFCLNERDMKPLALAIPYCTSLVSVEFVGC GLSRESYFLLVEASYKSRRLVSMTVDFNCAPKPGFYEDPTIAIAPVEVPKVRELFPTE KNVPSVRFDDRKRAPSRDLTSLHTESVVTSIRADGQSSGGAASEALPTFSPSAYRGLN NVPSLLDLQIREERERKGKTDSKKQAQLQQQRETLAQFDRENRIPVPPGWPAALLTGV KHLSLRGNGISDRDAILTASLLSAHPRSELISLNLWGNNISDDGAVALARLLKKNRTL QVLDLGHNDIGDRGLAALVDCFRIQEMPAEELPAYRKRHLSRYNATAKEKSMISSLPP PYPSYQELYNTWHLCRYPTPVEDRRESKKGLQAKARKAEPAPARPTAPFDRDCFRLDD PPRVRAPGNTVLRCLNLGNNKRLTYEGAVEALRVLSLHEPIDDADMAMLRHCAVKPPE PYCVSISLSSFVVHHAGDNRLLDVQNDLSKLLQYRLERLRQLPVDDAAPVEDVKKRSP RGKK XP_829746.1 MSIAASSARKDGPHHPSSGLTGKETLQDARKMEYRASTLEAGGN DMECLQLMETALQIRCKEYGTGSSSPELLKEACDAAERVVMKCNVLGVKLFKEGKYDV ATPLFGYAMEMTGEGSFPLRKDDERRRLLRGVTLNNHGCMERHRGHFTTALDYMQQSM QCTGQQSPVAFLNISAVQVQLRLCEEAAHSAVCAIQSLGPNPEDPSLLAVAHHNLAMA LELIDPPRAHEEYRLALGLAQNTISRRSEVTRTIESNMQRFVMVLHECRPGNSALTGT NSPRSSCGHTLFVSPRSCSRERSPSGRDAASRTHRVDIFPHPFAVSAKETVAKRSISR PARADAGHPPSAAAAAATAPGVNSKTSLSRPAAWQSQTNGGSASHGSGLKGGNSTKSG GASPNASRLPPISQGSRATLRESGGPSEHHRGANVGEQSKSLTKNNERVSNKGGVALS VATRSPVAATRMPYKREATARPGDVGSQGKGAGFADNESVHTKSNAKSGASRSECRPP MKGSMRSNISLSQPNAQSSPVSVGATGSNQRTPGQRNSPKGRTQSAAVEPSAPSRPTQ QAVGGRSAVGRKLSPNAERTRPVAQSPAARDSSGRKMQSVAADPDAPFLYNQQAGGTG NKTGRRVSSSARESSPSSRPTHQAVGGRSAVGRKLSPNAERTRPVAQSPAARDSSGRK MQSVAADPDAPFLHNQQAGGTGNKTGRRVSSSARESSPSSRPTHQAAATHDATKKKLH TVTMEVEGAARRGQHAADAHSAVGRLSPNAPSNDTGSPVRKFPQPKASPFSAAKDKQG RSPISKSSVMGPGVPPLSVDAPSRRIQGLPPGANLVTYVVDRLDMLLFDEEDLWRKSG CAVTIQRAYRAFKARQLFEAVKSKSARDRVLDGVRRSIAARKIQRTFRRHLSIRSGST ARYKNRDVLANEINRAAVRIQCCARQWRARRRLARRQFLKNNASSAAFRLQTWWRKVM ATRRVEKLREMHQLVKNEVALRERQEVAATVIQSQWRRRRAEQQTREARLRAARLRRR HLEARYLSAARKIQKAWRLYISRKELNKMRELRAAREERLREHQRRCDAAKKLQAFGR RIIVARNAGPLLDVARENAARRIRGHCLQSRAASKIQRAYRLYRSKSLLKRMKKERRR QLLDSRLSAFAFTMQRIGRAYFVRLSLGRSLYQMAVEVQEFVRRERDLEEANRSSTVV SGHHRVHEPSSVRGGLEGVPFMRRSLPDGCTNDRHHSALSPTPLDVMPKVKGSLTSHR SVCQLLPSPAEDSRLPKPLANGAGRLLPLAGDEQIERLPGVGGLGSASGAVQEEPAIS CQQVPEKPTPRAEGVKLELTMPCSPGDVGVVPELQHLATPIIPEERVGLSLIKEHAMY SEPGERPALYLEGVEPSATELNLDINEPVGEFARPDNGGHSAEEIVKPLGHEGIDRSF DVVGECEVVGHQEKSALGAALAEEREKWRQVRKDEIKFYNDCCNSRLEANEYRLRKIA EAETAEEVLTLLPRPPLMRQRPVAPRRTYSKSAESSSNLQSQHRSQDEEANNLRDQAA LAITRLARGYLARLHYRVLRELFADYVNCRIGVDRSESPDLEYKELQKCSRLVMDGTD QFRRLRRVNVVLSFLRAKVSMMHVSCRMPPRTPRQFAERPQPECVSNVGDALEVLKGF MRIIQSKKEVASRRAAATINRRNEEPLEALEVLNGLALIFRAKQERRARKDAIEARMA QQEGMCSVLEKPSSTIESFLLCAVARRELQRRRSLVEERRRLSAACEKILPFMQMHLA RREVERRKALVEERRRHSAACEKILPLMQMHLARCEVERRRSLVEERRRHSAACEKIL PSMQMHLARCEVERRRSLVEERRRHSAACEKILPFMRMYLARREVERRKALVEERRRL SAACEKILPFMRMYLARREVERRKALVEEKRVTDSDAECLLDEAAMKVQRAFRSYKAR RSVKERLVAKERYWGSIQAHDEEIAAAVAAIVSDSPEGADLWASLGHYYYQVTTQKVG SVGDSASIADQYVVVMQQHMRGLASWKLVQALRSEQRPPRGAL XP_829747.1 MYSYFCFSSMQVQDKKIHLNIMVKVLCLHGFGQTGEIFEHQLNR LCVKLSSVAEFDFVDAPYPLPHDHTGSNSIATYSWCRQPCGEEPSEADDDYSIGDAVV KRHMAAEGMRYDVILGFSQGGLVAARYVMLRELHGGDGYGPPLKGLILAATPDPRRTF PGLASAFKDSARYDAENDGFLGPLPSLHIVGRKDGVVDPAESASFADACRPHADVLWH EHAHSFPTLQNIVMSLKSFFERIAQRNPGNDGGDSTLTAACEEELEMISCVYGEECVH RGKMTTVSLPLLLNCADGDLEGSPLAALRLCVALSKGYPAEIPKFDIVGGPSNHHVFY ERWRAKLVSDTTAFMREGPEGKSGMLLPAIMFTGEQAVGALDFLKSVFAEGGEMHDSA GVATGEQWKERKVNDWLWEEDDSEGARSACIAESHRRAQEVLAGGSPSFRPYGRGDCE GGGCYDAGGRGRSLEFTIGLIGKPSAGKSTFYNAVTNPDNESKAARVAAFPFTTIDPN VGCGFGPIFCPCSLSQPSPSSTGQCGAKYGHVTTFGAPHRRHPIVVKDVAGLVQGAYR GKGKGNRFLNDLCDADVLVHIVDGAGATYADGSACAPGEGSTFDDIMWVRGELHSWIY DNLRAQWAAVVRKPLKIRTVFTGYHSPPSSIDALLRCLGISTEVELVRRLPAWGAAEL HLLVATFVHLRFPIVVALNKADMRCAADKLICTLKERYPNETLVQMSAKMECNLLFLR QVGAVRYVPGDHSFEEVDGAPANVTDAVRKRAMKALVELREFFSPMHPDTTTGVQAVL AAALSLCPGHLVYPVDAIERPLNSFPHCFPFKGGTCAGEVFDALLRSNLLDGKLVRFE AVDTKYLVEGGEVSVLKRDTVLPGRHVVVRVLSTKRHLVAQKREGKECMLGVGPKV XP_829748.1 MHHIPISSNTFSPSRLVCSSAGVQRALAVNIVELMGNQESIKAQ WERAAKRNNCRRDARDSIVVVFGKEPMETLATTGSKTDACGVVMQFLASSTTFMSSLR GTGLGARPCLRNGVRVEPKIEGQGDTREKDTVRADGIVAAWLLLDVVVLGAEFKHAMP VLYVQLAWDDLPKVDCVSAYRSALQVTRVALLFMPEPFQTRIHVDFTGVLSPEHNVPG LESVRMVWSGLVGSLLLTAAVAPKEAVVPPFHPAGDDDRRVQSTGENVVDQLKPGHNH CGVGETFCKEECVRQQGWACSDGDRNAVVSDWLSMLLKGNEETTAAFGRAVQRKNGTR SVPTVSLHPASLGAAATSDTHDNLGRGVGVNGFGGDCDDKPVVKREACFLPSNTAKEE IHEVQPLQLKTESDEEVIAEWVPNGTINRSSVGDPFPQVPVITDGAACLFLETPSNSH LFPISDDIALRQLCVSWTALSTERRDRQRLIVVVADGDHRFSEVLRSWVRTAWNENAF ILPIFAVGDTSFCRRVDKELASISACVNSLTDTSRDIHHFVRILAFAVQYFRIDVKSS GCLSRTAAFSEAANHVGVHAAGDYNCVKEWVDKFNETVDSLTPSDRANFDVERERDRL FDELCGTSMLARDLRSQACRPEMLMKHVGTMRVGIARPTICDDVSLSSTYPPRFNPDA RPKLFGSLSPAQRLRIYEFMSAFFTKNVFNASVDAVRSAAEGYFSDCHFQILGYGRFA LACSVRRHLYVMVHMNLQPLLNEDVKIGAGDPHWSSVFQHLSCTCKPRAHERILKTET GQKRCRHLAQSVYWLLQDIADDPHYALRMPVGAVRGADCHSRGVAHCHFTFNSSLCSK NMREDIPKPLGPPRKRHHFERNGDISITQLSALTEESDQFDVTVLELATNSRLASWLG VGS XP_829749.1 MRRAAFCHLVHCVRVRSSSVSVLNGHHFCGIAFFCRIHGLTLPI ATAVPALRTAVRAFHHPNRPMFGPSPSVGTDRKGKRNAKPTQRKDQEQWEPSKKPDIV GGSVVTDEDSNKIPIYRVMGHVLHHLWPAGKPGYRALVVASVTCVVLAKVLKVAVPFW FKTIIDVLTNSTEVGACVTTVTEALHLGVFGLVVAYGISRLLSSFTEEMKSALFAPVG CHASTTIAMELFAKLHSLDLQYHLGRETGVLSKDLDRGSRAFWSLAHALLFMVIPTAF EVVLVCTVMKSCAGTPFILTAFSAVVLYIGWTYVVSNWRAEYRARFNKGDSRVGGLTV DSLLNYETVKYFGRESYEESRLRRETADMNHQLMRLDQSMSLLNFGQHAIFVLAALVS LYLSTCGVLAGSMTVGDLVLVDALLMQLYTPLSFLGMIYRDIQASTQNMQAMIALLDV QNSVKQKANAKALELVSGTIELRDVCFAFKTGGDDRFVLRNLSLAIPGGSTVAFVGPS GSGKSTIFRLIYRFYDPLSGSVLIDGQPLTDLQIPSFRESIGVIPQDTVLFNESLRYN ICYGRPDATEEEMIRAAKVACIHDSIMNMSDKYDTVVGERGLKLSGGEKQRIAIARVV LSNPPILLADEATAALDSVTEMHVMQQLRDAGGKRRTLILIAHRLTSIMSADKIFVLD GKGSLSESGTHEELLQRGGLYSELWSKQLHDAHRAEE XP_829750.1 MPTRSSVRHGEGVPLPPVLLFGSQANARSSRARAVAHGRVAVSS SDGMDDLLDVKRRLLRDMELAKRWDARSVELLQIHRKRAWDIAFGKPGGDDIEVSTME FSLYCPYSRTAMYCPVRGETCLHVQCCDLESWITLFRKQRSLRDRRAPCPVCKGGVLV SSLEVDLWQLHVLSEMPQGTQKLVLNADGSYCSGDISRKQRKEEEVTEVLDMTQTSTQ KVFTEEGTGVPTSVSSSAMPDAAFSKSEFIRVKRERHSLERLTDSPSLESDASLEATP DDDVVVVQYEAPAVEVRVLPSQLRLWTAHCPKCKQEMSCNSEDASPSQCGACGVEKSG WTLVRRFPNSHVTLELTGDGTILLRGVDAMAPYLWRAGFNREVFVDPPAGAHRWRGVG VWYSTFRFTRYELDFVEACCERVSRNEAVGNIPETPWLFRVPGQRRSERFSQLSLSGS GR XP_829751.1 MVWYIPFVYQAFFFSTFNAVGSLHAWYMTQRHMMLVSGSLNSSL GAVAVYTHSFDPTLSNACTSIASISAFGHFGLHAFRTKALLRPSAMSFLHFCWCISLL AFGIHRGRWAYTLRHD XP_829752.1 MWTGSISGALDNCIEGEVIVQTALQRLHARLIASQPEFTEEDVG FMEHPPPGGSPPQSPTQSPTRSAHASLPQWDDPQNDCGDAEVTCISFNRDVQCGQQSG LAVCGQVLVPPTASVVDLDSPITCQQFSDTVQDFDGKALLRSRKAAAETLKLLCHGYA LTWRYTGGLPKITSGNRNNSSRSLSSSTRATGSRGKGTVTVPAQRAASDIPSSCIPQS KPNEKLVRLLDKCVGSLLVYTNESLPLRNMVTSNVALLKLFCFVCMEARKSEVAPGGT ASRDFLTELSRYSSYWELFSLTKPFVGALQECYLLEFLLDAALPPAVCSTKYEGMVTA LYQKKGRLVTDIFSGRQGLDALLHELPLRALSALVSMVSFVEPRMRARVLDRMVEAGL ISSIREGIHRVTTGGAHLPVQTRGEYGTASWSWEDIKRGDSATVGFSVDTEPSSFLLD HCLSILQWVTAPDLTEGPGAATSSKAMVRCTAWVSHLEDIRVICLSLLQFSARVTDKR DVCRLRSEWGQVVACVQQIASFDSGAYSVVVGVLSGVLGKCCCGGFDEGNMLCAAPLL PVIAELFKLKEYAESNMACMSQWFTWLENSLPPQIFDVPATAQSRDEVIGTKTSSPIL ERGEKVVKQEWNEVEISRKLRRDEVASLSAYFDFATRLVAEAPWCGEEEHFLGRIVLL ARSVMSIYSRRPNLVFGQASACYSAVASRVNTPMALSPSPSLGIPHSLCSTPRNGCSS SPPRLSSPPLGKKRRRTEAQLSASSASFVTSVSDTEEIFIPSLRQSQLLAVATPRTED EGVCRERYDDSPPDVTTVSSWKQKTRHCALVVTTESFSLE XP_829753.1 MDTIDQFLETVGKGVCPRESEVMKLCNKARSILDGESNTHVIRA PCTIVGDIHAQFFDLIEMFRVGGDVPDVNYVFMGDYVDRGHHGVETFLLLLALKVKYT SRVALLRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDVFDLLPLVCVVEEKILCV HAGLSPMISTVSQMEAIERRCEVPHEGAMCDLLWSDPEEIEGWGLSPRGAGYLYGEDV VRTFLESNKLDLLCRSHQLVMEGYKVMFNDALVTVWSAPNYCYRCMNVASILELDEHL NKNFKLFEAAPDDARESSKPTVPDYFL XP_829754.1 MGSDVFELIGNTALYEVLGVPRTATDAEIRRAYYKLAVVYHPDK NPEGVEVFKEVSFAHSILSDPTQREMYDNQRLRTHIEGQARKYDPMMDPNVELSAEEL RLFVERKRKEDEEKMRNRSEFEKQREEEMRRRAEYDAQNPDFKAEYERMRARAKEEGS QRASAASAMRHLTTAELMQRLEMKQQEATNSGIGRVRSGDPGKSGANPSSGLSSIKRS MLNDFRTRHDSAPPTAESMQLRAQPPAQSSRLDFVGKQNEKSYTCEMEKLIGKYSNFN YRDFVEKGIVDGDGVMEAAILADALGNYDRSR XP_829755.1 MCICTRLLCCSGIGQARRQLTPASSRQKPWGNDPPHLMSPQDEL VAKLSDEVFSRGNMHLKNVDVVSALPEGLHSFPEVCFIGKPNVGKSSIISCLLRNPRL GRAGRVRGTTRLLQFFNVGDALLLVDTPGYGGWKGRHLPQSVAERASAFAILFRYLAL RSKGPLKRVYWVMEATKPVQPRDEEIFVFLRNEQIPFSIIISKLDYFGGDGAALRRQV ESIYNFLGTEDVPVLGVRADSSRPERCINMTALQHDITHYCTTDLVRVEDLSYNGLKE LSYAPPTFDEVRAVEERYPVESFIVPQDDNLSLQHFVSLHQEAKSRHLTTSPMAMRLS TKEKLGANLIGDDGGSKGIDIDEGTIVAHSPLLARLTIAAEGTIPDSSLLEGVPLGVV AVSPTKMPQCFHEHPSIREGLLRTSRVGHTDLQQEEAVNRVQPVSPCPSPTIKHKSSE HLSCKPTGSLGGDAPGAPPFSPSRFGAHMETIRTINGVCIPKSMVPPSVVQLAAGQAG SFAAFAQHSGANAYEEFLTGDATGSGTFLEATGSEDTLENKGRSLRRPREKSMRRCAL DKVLKRYVACGRKQRSLHMQAEGYMCPWLAGAGQQARSAVFGVTRSRAHAGGMEVLKG LKRTGFGGQSYSARTMKNRGRSTKKTGFWAA XP_829756.1 MSASAIRRAGPFSNVKPSGLVMNRKDIRSARELTQANYATEYLY LRENELTDFDIDITMEHLRVLDLSINEIGSVDFLRKTPYLRHLYLSGNKIEHLHGISN FSSLETLCLSDNAINSFEGLEKLPNLRVLSLNFNKISSFKHYGKFPSLHTLNLVGNPL TEVPSYRSMAIAINNSNLVSIDGHPVTAEERAALEHYQGKIGYCIADGFVVDGDNVEE SADAFLLKLQRAREKSKCLQLCSIRLVPDDESRNVLTEGVPVRLSCCMQDVRSYEQRT EDIFHSRYLYPVTFKVSGEATEVFVVGSMNNWADPIELERCEEEGEIYFHTTLYLPAG DYEYRYIVDGVEIVPESNGVLSKHKQGYCYLYKVTELTQSDDEKDTILHIRWMRSTQN NFYEVIEDNNTLTYTPTVSDVGCCLRAEVLAYIDGVFSFLYFDISAPIVAGPPTCPHL EIKGKATEGHVLLAEADYSGGIEGNSSLNWFRITPDNEEISIDVNDPWAGYKLTSKDV DCRIKVEFTPMRNDWVAGEPKSAITGPVAAGPPECESIKIIGNLIQESDLEVEVVYSG GTEGESYYQWLRKDDNSEEYFPIEGENSTRYVPTLEDVGKCLAVEYTPVNKLGEEGKT CLCVLEKPIEPSPPEIRDLRITGELVEQHVLTLEYQYTGGHAGAHMIQWFRRDRQDRR AKVGRPNTATLALTRREVDCTIEVTVTPVRFDGVQGRAVRATSECAVSAGIPQTNFLN VVGDPVVGSTLELEVEYFGGEAGEPIIEWAREVPLTEEFEVIDTGVRTYRVQEEDQGK MIRVTYTPVRKDGMQGEAKSRIVQVPHGDDGGETTRLRGADDLHVDPASLNAADAVTT AEIAAAELGAAGGEEDAEKPTDAAADEDAEKSTEAAADEDAEKSTEAAADEGAEKSTE AAADEGAEKSTEAAADEGAEKSTEAAADEDAEKPTDANPSAEAAVRLDASSTDETSTS APAPMPGAAQALAAALNSVTSSAASTTRTDGA XP_829757.1 MSLGKTIYVDKELGENVGCVSRIRIMSALVAGVGAGLLGLTNLA GALFFVVSALFTSFAILCFGCEGGPERYFPSGKKELFSFGSLFTGGMTYILAWTVAYD AIYIF XP_829758.1 MNLNEVASGYFGDIPYCLPLECSAFFDALTSSRLHRIPQSMMSR IDSEAYYTDKNTRFHNLLSGERGTINRRGNPSTGDPIGIMDLIPYLHCASPADRIACN DCTGGCYIAIELNESSQEVGRDRTEEASRISHCIFVTLDLKGIDHMWQSLLIFLLGGT DNDDVVYVLTDVDIYFHMGAPYGSFMFSIWALAISSLLHHFASFPNDRIQERLSHVKV ILKFSKDCVRSTTVDDIYLFIESKLKVCVVNKLDTSTGSTNNMENCFTDSFATANQEA WLEKMTEIVTNCHEELCDTGVECVNSNPSCILLIIPEEDSLVSMLEQCLHDSYTSSPM SIAASLDHFPELLAARANGVPLTVFCSKKKIEREFLRPHHECCFGYMDIKFLVFGESN RGEDLDIIRALFHRLPHTIYVPYPGHCSSENNFVDTERVSNDCLQDAMNVVLWLFRRF RFESRKEDTGPVLRIPESFFATMDASLFFDEVMSAMCSFGLLSQLRNSDGLPSYQLSV IGRALSYRFRLPYDSSFPELSPFDVKCIFWCHALRQPKAVAEKLICNSHKFTSWVRDA INRFCSRYQIVFTENLSMGDETLVRALSSIPKYYDSVSRVELFLCCTTGKRTTLSRQS TRMISTSCRGWFDQASPGTDMCGPQKSPVNIYCYPSHHELCEIHFGGKVLQCPLDVSY PLIASHVILHTALWNNAVFLECAQEIGKTPLLSIPLALLESPLFKRMTRGSGDARTGM WLDNCIGDNPSPSDAMELGSLMGRTVSFLGLCNCGRSLRKREESGCSLKRPRREALES LKVPSDAEVETIKEFVNLAKRLGRENAEKRFKTVKGFAFLNDSHENHPYYLHLMKTSS S XP_829759.1 MNKVKNEYGKTSAHNMAKREPAVAPLEHICGRRPQYHVITDVQN EVSGRVAAARRARPAQTRPKSPGGRKHPPAKTKESEGHAPSLFLPPSPFGSTAALRWQ PRASGPRTASPVENCGISHPTPTLKQIPSLLCAQITHTPSTFSSAENAGRDF XP_829760.1 MNMLHLSDRNASLAPSGGEHSLPTGGAVCRVAMDVLPVILRAPV ALLLLLIVLPQLSVGAEANATVKVLSAAWNSYMPQEYVTAINAGFSASLESRQWTVAG SVKVEVVYPERYETLPEDFIKEQLELETDQNKIVIVYGPLGDKSVIYSIPYLVNHSVV ALGLMTGSGEVRRWNPYLYFLRADPAAETLALIRYALCQLRVLRLGFMYLQGVHYGDE EYALTVNVMSQMGYELHGVFTVMSPDGEPAPDDEFKEAFERFAAALPQAIIVFGAPEK DTAKFLMMMVAEERVARSYILGPSSVQVSLAEMWRLALEAAGASFAPGQLLFTGTNPL AKDSQYIAIKRFQGVMSEYLKAHVGETNITETDYFLTHDPEGELMVYGWIAGEVLTQA LSSLEWLKDRATFVRSLYSQRRYVINDIVIGDYGGTCEGEAAKHGATCECNQGSKAVY VKEVLEDGRTTTMASGFTVVKASQCYAESSELHGPLNGLAVFMEDDDTASKAAALWHK GASHLVGKGDLGHSDRFFLHAFNTTIAEAANDLRHEQGERIVTAVFGPVTEAMLDTPN ITFIDPLELKPRLNKFRRNVIHLSPTLEQQLYVLSSYLAGDGVGTVDAVICSNEADGI ADFLRRSLTEFGVSLRSAVIREDGEGVGKYLPMSGIVFVIGLSVPDVREIARKLEERN DLRVIVLFAEFSLLYDLFTSTLNNTAGAARLVFATSLPHWGDTETSSKTTQLFHDVEK DSRLWTPLSLLAFATGRLMRAILLRVEEMSPETLVNFFYADSSIISDDMRYGVFDDTK CDGAENISEGDCASNYGATQISVWSMARALNASILPLTNPMTPSMSFRDPSEGKLSGA PLVGVIIGATFALFLVVALGVVPYFVLRNTRDNNSAPKEPTEPVTIVFTDIESSTAQW AAHPDVMADAVAAHHKLIRALISQYECYEVKTVGDSFMIASRSAFMAVQLVRDLQRAF LRHNWGASVFDEYYCRLEQDRALESEGYVPPTARLDPDVYRKLWNGLRVRVGVHTGLC DIRHDEVTKGYDYYGHTSNMAARTESAANGGQILLTRATYLSLSTAEREQLDVTALGA VPLRGVPDPVEMYQVDAVVGRSFAALRLDREVDLVADSGVTNLSTSDCASLCELGQSA QTIVAVMRALFGTFTASQREKLLVPFCERWRVTLPPKTKSVWDDNYCQEVVRRIAAKV GHVVDFTAYNIAEPPLTTSSSSSVIFISDAAVGLCAVGERNVSTPKEEN XP_829761.1 MRSLLQIFLTVAASLVAGAAIQKAEAAAGQGIHEEDFNPLCAVS DELKKSEGYAKHLISELDAAASVGINQALRLKIYAETLSTATQRTKLRTLANAYIDYC IAEFTDTVNNCQTALGYTAATNYVAAGVDAVMDLLIGAEAGAAGANGACTRTGDATSS TAKTKSQLNAACTERYKADRPHNPAKKPTAANVRLAPRSGTALTSTNHQTCALTSTQS DCLFHSGHVNTRTIDFLDGLVGLKKDGLKTKAFDPSTAQEATHLALFTEKTALQTLNA IEGKAGAAAGNKSTEQLATLSTLASRAAASQEKKDSEGAELKTQEFGDAGSKINQALW HVVEKLEVAKEALPEASDRKLGSINSFQELTRVTGYYEALKDQQLEKLQKQLTELQET TKKKSKTREQICNEKKDADTCKADKNCKYDETKKEEPQCVLSDKGKQAAKEAESHAEK VGKTTIITGNNCFVIHKAPLLFAFLLL XP_829762.1 MRLISLAVAAFIVLRSTKPANIADAANKEEHAALCNFISMAGRV IAVPTVPLLDTASYDYIQQLNFALGDQQWQEKFYKKSDKKEVKNTAAEAGITGEGAAD MWADLKKAAEAMKSDSQNPLLKEIEEMKLTAAARRLAKAELESLENESRALKKMYPAP PKNVDYENNNPKSKLLAALLGAGNADVGTATGQKAVGAEATDTRQATCTAGEKTTARP ASALAMLACVCQSSGTAVTNFICTEKAKDSNAWTANAYPHDTGLQHIGKSCPKPRTAP ITKAELEAALNNLQALIHADGTNGYLGAYVQTGCDGTSPNGICVQFPGIAATNPDVAT KTTWLSDVTAIITNLKILEHNQAKAEYVNNQIKAKKHQALAALRRSKAIAVAVQVPTP QTAQQPQIDVNTRCEAHNKSKTACLGAKCAWKGQKDDDGPCTRSEQQAAEEEKQTAGE AVTGTETEKCKGKGEKDCKSPDCKWEINACKYSSFLVNKKFNLIMAASFESFL XP_829763.1 MELTKIVEALAVLLIIESSRASVHRTDSTAANACQAADDFAAIT RAINRQVAELQNKIDEGHRTLLKLTVAAVAQSSRKEPAAALLLAESGSRLQAATMQLS NIKDRAATIANNIAKLGGLQEAVAELLNAKIPAKAPAAVAAGAAFGVDGFAIQLAGVG GKQSICNEHEKQAPRNKEAKVKVKNAYPITFYHATKADKTSGTNGNGPRACVRADTLT TACATSSSDQTNFLITGGPVTKAVQTQYTATQEAATKYTAQAKQTTEQFPPQNTVEAL LNGLIELEADLNKLNFQTSSLLPTETINGEETKQALLQILKPGMAREKVSDHEKQIEE LKKKLLKSDKTDAGTKLWQEIGKTTSPAVVAQSTKAEEISGIEDLGKLAATLGHYLVQ ETTKPSSKEQCNFNKEETGCDGKEQDKCNGKCEWKEINGKGECKSKTGEEGVKAENEG KTTTNTTGRNSFAIKKTPLLLAFLLS XP_829764.1 MQHKTRNAGTALAILAALTLASSAQLHELTKTIASACDAADHLQ WMINHIDRTVAEQERQIADGQKTLARATAAALLTANAGSALWAPLLATIAEKLEAAHT ALQTAKKAARTVIPNLGELKGAEQTVYELTDLELSAHADQASATFLGSNGVHLIASPI NRQEPKCTSELNKSPRQKAGQPRTHDAKTTIDIYQAETIAKSATKTTGPRLCSKSGAT ASDCADHNGAQTNNMRITGGKILRSKKVTYESANDARPEFKAESAHTTTKTVLPTTQY VTAKLAGLAPIEDKLSKLNFKASTLKDVGMTKEPTFKKIAAAIYLPDVSPSELQSKDQ DLTQLVEKHYGNEQGTFDNKVWGTLATLGIPKAALLATDDQKVGSTDNLAQLQAAVAY SIIRLTKSKTDKPSGTTNNKEEENGNKADASADKTGDKSDGN XP_829765.1 MHQWIALILLLCAAATRDQVKGTNDKALTKNGLEHVCDIAAEVR AKEHVHADGLTAAADKLAANQAVLAKVRILIAAASTAQEALQLQAISAALHRTAAEEE AKFTKATAMTAIEAIRHSSYLLGGLYEYLHIAGQAKDGTNNGCFADDENAGSFYTTEQ LKNQVQNCKAVDLDEKAGWADKQVHKPDGYKRKTDKNNLWSTNAKGCKIHGGGNNNGP VESATYGANNHVAMGLISLSSTDAQLTDLSSLAGAEGDSKKRHAAKAFNTLSKPALKV NTIELPDKNALKNLANFDATLAAAVGASKPFSGQEKEQAMEKLYGSKDPDPTTMFWQK LKGLKLSTDSHGVTKGTKLDEIRNLPLLTELETNYTISKAIQRNSLAAADACNCPTAH PKPEEKLCNDATGDEGKCETLKEKGCIFDRNTKKCELNKDVKAQLEKANQETGGNDGK DTNTTGSNSIVIYKVSLLLAFILL XP_829766.1 MLALLFLALIVSTCPAEPAANDVALEFNALCELIGIARARDKIP KLTLGSKITKQKEFIIALNMSVSSDTFFNQKFDRPDAPTGESPEWQKNKKTWQRLQKI INDKSSGAFGRVIKQPPDTEERELAATLNNQTVAAAEALTEGEVNGPTDKEIQDLLQG AVSGKGGAAPADDTKTFGTVARSCGGNGADNTGQGKSLANDILCLCSHDSSNAGFQGC AGEGATQIITYTRESGVTAALTTVTGKCKINTTQQVTAHRLAAAITNFKALLGRHEEA ADLSSMRLGKGHAGNTRDGGGAGGCILYKGLGPNGIHDIPWVKKLEGAQHLLLLRYQA IREEKTVLYGMTTLRMTAEQLHKRALLTKKRPAGTPSKEPETKNQQITDCKQHKNNKT ECENAGKCKWEGENETKGECKAKQGSDTPEAGTGDEATGTTTDKCKGKSQTDCKDGCK WDGKECKDSSILATKKFALMVSSSVILVILQHYKDICSIL XP_829767.1 MQATMSAVAPGVILAIAALKQARGVTDGDNAAIFKPLCAALQLA DVKPTFEPPIQANMPEPLDLYRLNMSIAPKDWRAKFLNQGNKAASTPAEVPTDENDEE LKARWKTWADTAVFLATDNNEKDLKANYGLATATAGQIEAIRPTIHDITEAARAIYTA DSDPGPAPDADELLQKEIAQAVYGQEQWGPEELTANKVLSGPDTGYTTACGGGGTQKP QNTVAGTIICVCGTGNTQSKKPCHKKQTTQTAWQATNIPNRASWGNLRNVCPKATKTK ITAHGLRELVAAAKTIANTQGNNVFIGSEGENTCNGNAAGACVKITGGAPSGNLKDDA IPWINKLEAVADKLAARDNYNSEQRRKKAAIADLISRAKAVTKRAHYLFQFQKTAKTA AAGEATEGKVSKGDCKQYTTNSTCPKNDCKWDITTETTGEYCKPKPETETTETGEKTN EG XP_829768.1 MASSIVVMLAIIARLAYRASGTANEAVPAYHTLCAVVNIARGEP TTPEDTEGAAISQEITLLTELNITVADDDFYNQDFKEDPNNKITEANWVKHRAAWHAA KKNLQNNKVEKHGLKITRPLNSHARQAAAVVINRTLEMALERRKDYKPLKKTGVEEAL LTALYGPTEALSQKAGETFGASGVNACSAPGSGTAVPGMSLASDLVCMFGSNSGSNKA EECLGTQGGQDCQYNDQSGAHTCFPQLLAGFPAAGKAKVSAAAIQAAIGAFITGLKDK GGSTQANNLILGTANSVNCNGVANAGCVKYKATSTGTVPIPWMRHLETAATKLAEHAE QRSKNMASLAEIRHLRSIAIQEYLKALKGDQPQLQKIAGDQTAHPKKKEPECNKHQSK TECTEPCKWNENATDASKKCSLDPQKAKEQAAGTEDGAAGEQKTDSKCSEKKKQEDCK DGCKWEDNKCKDSSILVNKQLALSVVSAAFVALLF XP_829769.1 MSTTPVLFTFAEFTRSQEFPYILHLFPVLSPESWSFLCLRHDSV TVFTIRLVTESSAGVSRACELSPMVSTPSRFATILISLHLQVAVWLSFPLDVITTMTA FGFGIWLVCSISCFAYLFRVSRE XP_829770.1 MLYGGTCRSDQLFVSAGSLMFGSPQLPYNFILSFLIPVATNHSL PTAIRINSVQHITFFFSCAKSCFNHIFLLHMCYGVIAYLIHYIIPTCKVVNGLSVSAQ LVLTAFPFILFHVVGSFLLHSQKRHHSCQRTTCDEIYVPLVLRYTCNQILCRLWQKIL IHCSIFAQSTLMFSPTFLRD XP_829771.1 MPKIHLKKTRIGVWLSLSFLLISFSLARDCTLVDDYYGKNVPES VCYLSCLSNALNKLYNDGEEKLFVNEEVYADASRILDDMEGETAECVKYLSVISGVME GKHDKLEKLISYGNEMGDLVAKVGGLFADVNESVRAMREVLPSTLITANKYYTAISEI VRTVWDDVKAVKSDDEEVLQCRNGKIISTGEFSVKCSAHTCPLGVNVTESTLKKYKDG CLEINVMTESGQVSKCFNLPRNNLYISGARINSSGVLEWSQNDSAFFRLIVKVQDIFA PLIAPFSAGKPPSVLLTKMTNITSLYSHFNKVHNNFTSLLRDTNITVNADNTNTNSTI XP_829772.1 MRQQKDEKTFSGALVYLGTKPTADNCGLAANEGCVDITELAANK AAAAVKTENWIDKLSESAETLRIAEADTNKRKETVVRLQNLVKGDNWRITPMSRSPDP TSQRGN XP_829773.1 MGDCRSPPELTNTQGNFATANTQTGADCAFKTVHSIKFLQGQPP HGAIRFGAGLCRTSTSELAGDRNWGSKIGELEGVKQHKSTLTDLDTVTAETAAPAFTT TADIKSIINTEAPAAGLADAIKEPVGNKDVTETTKLTRIIKGLFGDVAGA XP_829774.1 MLCAISSSISSPNCSSHLIPSHQRVRFTSLYFVVHSGFNHFSYY NIRICTITTLSYNNYYYYYYYYYCSYYNIRICTITTLSYNYYYHYYYYYYCSYYNIRI YTPTTLFYYHYYYYYVHCYCSYYNIRIYTLTTLSYHYYYHHHYYYYCSYYNIRIHTLT TLSHYHHYYHHYYYCSYYNIHIHTLTTLFPIIIIIITITALIIIFVYTHSQHSLLLSL LSSFILSLLLLLLL XP_829775.1 MLAEAAKILAALSLLLSEAKANTAANTLANSASNWCQERHYLTA VVQAMLSEQNDRRGRLAANAARIQAWSLQAAAANTKQQTARFILLREYGKYVQTTGEQ TLQTLKTAAEPALKLLRGRIANYNTAIAIADHSVLKIGTTTGSSGTVNGAPCEAATTL HKAQTQLCTAPAANEITTEAVKAVLKSLKAVKLVARIEVHELLDGPTLHVKGQSAGGE TWTAGVSSKCTATSGGSQVGSSVTHQAPEPAKLKGTAGTITTTSETSKPVVNDGDDVY TSGVNTPEKLAQALLSVKTELLKQTVDLSAITLDTLETDPVAQALANILLLSDTKRLT PDQPNRSEQLKTLIIKEFGPENTAYSTAFINSYDTAAVTLLVGGKPKSEKLNTITNPE NIAKAISYLAATNQIKQTIEGNKDQCSTVEKEKKQSPSKEECKEHTEQEACQNAGCKF DNSKNDGEKCFPNPETKEAAQKDGNDSKNTNTTGSNSFVIKKVPLWLAVFILG XP_829776.1 MDAAKLFVAAVLLAQTARAANEAALKPEAWKAVCDIYSVLSSKE TTAQRATQTLQASLVEAYKKEVKARILLSKTADAAAKAKIAPLLAALSQHTAALMAEA AQGKLTAAATTIGATSIVMGRLKEYSAVAAYAKDGTTAGCWAADEAGNSFKTLAEAAT QHTNCNEIAGEQAKHANLETAINSKGFTIAGLTVKACAVTNKGCRLHTRKTSEGIIST GSPEQTKEIAAGLIQLTAADPTFLDTKDMASVKSENKAKDIAAAWDAIQVKLQTYATE DWPQKAECTENSICHKVAEQAGLLSQPTPQKSLAEQLSETYGTEDEAVTAKLWKLMLA IPAPKAGDASTKNRKLHDVEDLDYLVEMLANSTAAQAKPQPQSTTQQTCTVTEAKDTQ ENQIHCNSISDKDKCNADPKCSYETESDSSKKCKLDAKKALATGVSVAQTQTGETTTE KCKGKSEKECKTSDCKWEGAT XP_829777.1 MAQRSKAAGQIKAQTLTAIAGLVVAAKTLGLASPTADRAAAAAT DPCKAIWYLDKLSDHIRGLLSRGEENRLNLENQAEQLQLAYISTANGHKKIGYGILLA LATDRLAQQAASIQQAKRPMTAATQKLANLSATLTTLLRMQNRHQKMTATPTKGTAVA TITGSAAVTCTYTGQTQQAATPLCQFPPEGEDKLGADKITLTDLTKLPYPTQKYTDEL QADIYAFWKGTVTNTASTAAGTPYCSNDSPTYNNGNIGGTNAIGAIIIPKPHAPEMAP NSLFTSENSQECVQPTTDKGPYLDAKTAVLHAVCEAQKATLTITSSALDAKLADFKSD GQHASYTLAAMKGQGLLAATATEIDKTKAEEFLKVVFGTKDSAIEDDFIKPLSANKLS FAGKGKEQKEEANKIAKSNNAGIAIAFFAGQASKAEATKSSGEQAAVDPSKKSDSEDK TEEKRNGGNTGKPVCSTIQNQTECEAVQGTPTPGKKKVCGWIEDKCQDSSILVNKHLD LTMVAAFISFVGF XP_829778.1 MDLLRWTRQTWLQTFIIIAGVNASAPAFNEGGIQRLCGVATDLS GVAGIGVGKVADQKRHLEAALNAQKALTVAALAERNTAKAMIFAPAAGKAGHCAVQAA QQAAVLADKALMATKAAAGVGGHITGIVELLLKATKQTDGTAGKCIVKDDTATATEHS TTGGLGCPTTTDEPTARKTLADLKNLNEQGFAKLTAEKKVVDGTATSACAFLIGSNNQ ATHIWDHGGSDNNAVTIAHGILKLKFHNTQSSESAVTTQLNELGTWGAGGDTDNHKLF KAIGGLVKEDVHACGETTDKAIKNFLEPQSLKTSLSEAYKKTGEKTAEKQAEEEINKA TDKAKATADDLKAILEKVEIPKLKDGEITTKTLKQLNYNVDDTANLLSSLLQLKAKSE ASTSCPKFDPKEDGKSSTETDETCETKGTGADCKDGCKVEGTDENKKCVNDPDYRPKQ VEATKMLEERNARTKNRKIELEIKNEMVVPSKIPVSLSIRSTL XP_829779.1 MTTVLLAVEAVVLVTTIFGGAAETSIEAANSPCSEVKYLEKLIM HFEGQISQARQRASELSDDYSLLTLAAACDPSETKAAQYTAIAAQTKEAEYEQRAELT KHEQAIKGLLDTLKSRAEQTRALLHAEHAKLTPGTATASQTSQPGVSTNSDEQCTVPT TQPSAKPDTCDTEAGSGDHVDAAVAELPTLKNIKLVPDSSFLPPAYDIVVAGVGNLGS GGKLSEYKGAACAAPADNTKVYGSLTRALGIKSITKKAAAAEATVSQQLCASPDGSGD CVDTSADTKSHLQTQKKVANAICQHKAATIKIFERPIRKSKATLASEGSMRALSQLLK KGKVNTKEEPTSQTEAVKALLPAGESTLTADLVDPLENEEITYDKDNNPVKTMIKDAN KAGKLATTLAFCFGRQQRRKSAITAQKEVSDTKTEKKCKDTEKNKCTADKDCEYSEGK CKLKEGVKVEGNDKKTANTTGSNSFVIKKTPLLLEF XP_829780.1 MSYQQASLGAVTITLMLLAPTETNADSQSTKAAEAANTPCKAVL FMEKLAASLQTKLAAEMEKAKARTDEAAELAVAAAAAEQDNKRLGYNILRAIALQAQA KQMAQLKQLDAVYAPAVTQLVNLSTRLRQAAMANKRIISSRGTPTHGAAPANGATNAD ASCKYAGWTQTLGDINCKEETELTGDLATANIQAEGLTKIPYPNTAFLNSLAADITAF SKGTPTNANTGSQTWVCSSGADAAVGTIGQSHAIGTLVQPTAVEHGLTAIEITGANKE KNCKGPEQGTSTEERAKAVFLSAICNLAAVATESIGSALATTTTGLKSGAQAATIVKA AMQGAGLLSSDANNVDKAALEKFISSTFGPSDKAVEDDFIAPLDKVKLNYIANNKETT ETPTAIAQGKNVAVALAFFSGKALKTGAIKNTKPDIGSKPTEKCKPDTKENEYKKDED CEHKDGKCKLKEGVKVENDGKTNTTARNSFVINKAPLLLAVLLL XP_829781.1 MHTTTAAALILTLTAAMQRHASATAGDVVKGASWTPLCSITADS EKLAANLLQNLIQNARDGETALQRLLRALVYNEATGGQPLSNATMAVWSLYAEVAGRA AARIQQTEAKAVVTAARNIGRLQGAISEFLESQADASADNKGCLQAAAGTTAVIVGKQ QLYREQPTCKMSWDEVKNEKTTTSVITATGIKHTNSGGQENGQTIHSGQGTDCDISQP QSSFKLTYGSRGNDVAGHVPKLAAGYFTVDATGFKIPKHDTLQDATTASPYLGKAIEA VTAVPAKEAATSIKTIAELKAHQDFKGPARRYLLGKKLTETDGDTQLEEKITNAYVND DNAKKALFEAVDAYQIHDNLRPSPADDTLGKITSIHILMHLYFHYRSAREQAIQNRMQ KLQEKAKHASDTISTEEKEKECNTKGKDDEEACKKLAKDGCVFNPKGNEGKKCELKKE VKAEPEKEKENEEKDKKTDSKCTGKEQKDCEKAPECKLENNACKNSIFLVNKKPVLMA DAFADL XP_829782.1 MLPFSGEQQRLSAHTVTLLTLCFTTILRLAKPSTNGFNEAKITQ LCGTAMAIQDTAAVAIGRLQKLHRQEQAAAEARNKLLLAALVVPTVNDTILFTAAARA AENCRVDATTKAAGNVAAGVTAAANGAHVAGRLTELVAILQATTKSDTARKCITQANT RTTKLTSPAEFGCPTTITDEYAPKLNLDSSEITNKGLAKYSGPTQLQDATGNNVCAFM LGSNADNTALWQYSGSATPATVGADLITVSPHTGSGATATIAVANALGTDYQAPDSAT AAKKLFTAIGKVMKLPESTCPETTEKAISEALTTTTIKAEVKDLLIKLGKVTNTMADQ AARSLIGQAVKDDNAASTARVNQVNKLKVKKIDGGKPKEVKIETLTDPPEITTALLIA IPELKAKADRTVASEPAKDCSTNGGIQEENKECSKKTGDDCKDGCKLTGEGENKKCVK DTYFVKKEVEGGEKDSKTGTTNTTGSNSFVIHKVPLLLAFLLDFINL XP_829783.1 MIQPNNIEQVLVLTLSMLTMQKAMAENEAENAIASLCHEAKYLH KVAEDFKSLLSTTDSDVSQAEKLTQIWQLKAAEATQLKEQNAYAALVLHGRLKIDKTK QARVNYKQTLSGVREGILQRISYLQGRTQAYTSFKVSKSTRDATTTKCKAKTTWAKHG DAQCDPTTLSNSPLERKTISAMAATKVKAATEAEIDIEMGNYDLELELSTALNSDSNN KCQTTTTQGTLEAITMVAKATAPHYGGKLLPTRKTDRPKQNCDAEEEKPPSKLPTADK LAALLCKAREAVPPPKIALESTTTETLFGDSDFLYIAAQLLTTEIPAGTQPEGTEADQ IKQLIKSTYGDATTFGSRYTTGLYDKQTKYKKETQDSKGTLDSIATGTDLALVSSYFK GIALRLTAVQAGQEKQKNKTGQTDETCEKKGTGAECKDGCKLTGVVDNKKCVVDPDFV KKEVEGVKAENDGKTNTNTTGSNSVIIKKAPLVLAFFLR XP_829784.1 MKKQNFQSSPRLSVAEVKIAVAITLLAGSCKGAANDNAQAFNMM CGIRQILTAEADLPEQKDKEEVTRAIQQLIELNLSTAEDSMYDQKFEDDSSDGDKPQQ YKENRPKWQKAKHLISAGNLEIDSIKILRLPNSPMRTTANKLINCSLEKAKALEKGLV EPTTQAEAQADFNDILFGDKAGEDKAGSKTYGTAATACGGNDPSNSEAGRSFMSDFLC LCSASGGSSPACTGTALSGITYSTASASATAAAALAKKCPLIPHTKTTAADIKAAAAT FTAALKHKAGATTAGKIMLGKGDETQCNGAANGDCVLYKALDATGKLQIPWLSKFTAA ISRLEAAHKNSLKNARIAAEVTNLKTAALRTYLQATVEVTQPPQPTKALSQQADKATQ EPAKKVCNEAGGDQKACESLKDKDCTFNEKDKKCELKKEVKSKRENDCQEGKDGKTTN TNTTGSNSFVVNKAPLWLAFLLLA XP_829785.1 MLANFKALTFVSIALCLPVVTTSAVKTPTKKAKSACDVATQLRQ MADSARTKITSTQEAVKTMLTTASKPDVIANGVNTNAGWAAAYLRSIYIQKAAEANDK LAANTPALLKGIEALSRLAGSQEAISRLSQLHLQDLNMATATTSTATATKGQLKPKLD AALKAHCVGQDDSREKDSSTDDHNADHKNAITIVTVTPTPPGSALGTYLTICGSNSVS SGAPNTASCTSTQATNIGFKGGQVFTATVAVAHTTGDSAPLAYNEIGDDATTPNKATI KAELALVLEMVHAATQLGTITPPATIESAFDAASAGHAIAKALGGHEATAEQPNLKEK VKHTTEELFGKERNSIKTQITQLLNTFQPSKAAGSDGAKKLDSINAPEELSKAATYYT IKNFIDEEEQKKKNQENPSCPTKTGKLEEPKKSADECKKHTTEQACKGEKGCDFDEKK DPKCFPNKKVSTTSTGRRSIVINALPLLAVLLLA XP_829786.1 MNRLSITAILVIKAVFVGADDAKEADLTTVCHAALYLAALEEQL ATEVEAAKTDVIDLDKQRRRLTLAAAIGAGTDDGCLLAAAAAAAAQHTKAAAETINTA IKNKAEGLRHLAQFTKTAEAAVKLANTEFEIGNSAHKKASGTTTEHKLTPKIKSTNLC EVKKTQNKWQTESKEIKPKLVEKFITVDTTKLLDNINPSIITVTYNSGCTGSTSWTSW TGAKTSCAIDDITNVANGPTAKPAEAPDATAGTPKKIKIYKDNKPEQGCEPLATNGGK DDDQKTSLYKICTALTTQIKIPTPLDLTGAALSEIPIVKQVAKGCLRQYKDKTEMKPA DEENMTTFLKDAYTDSTTKFEAKFKKLLADTKMLVYRGGKVQPVTIDTIIDDTEEHDA LSRLRTIRAASAQASDKSVNTTSQKELGKDAGDKKDGDKKDEECKGKLETNCDTTKCT WNKEENECKVKKGAAVISAVIKAPLLPAFLLF XP_829787.1 MLRKVATIIEILAPTADKSAAAANDNAIVFDALSAVISIASSPP DVDTEEKGESVPAILEIIKQLNLSVAPESFYKLSFEQKPDEAQESKHWKGNRDTWEKN KKLIDKGDTVIDEVKLMHPGPSHERNVAATIINRTLELALEKQKELKPKITATAIKDL QNKVLFGESGALTSDADKPFPSTGDSACGGSNPTSQNSGASLAADFVCLCAANGGNGE ECTGANVGASVQYTNPNAAATAYGKLLEKCPKAKGVKFNAAAAAAARATFLAALKKNG KNTQAEGNILGAEDSKQCNGGAQGLCVYYKPTPADGHTPIPWLEALETAEQKVEEAKT NALANGEIYRAVVNLKQTALSAYLRAISGDAPLPVDSAQTAKPSGSETEKCDKLKKKK DCEENGCQWEGKECKESSFAPNKKFFIFAIPFVS XP_829788.1 MPQISRCSRHQLTIAVLSLVLSNLAEAATSNGVNAPAYATLCDI YNLKHAKPQTLWTRQFDNADDLITAIYNLNISTASDTWLEHKDGEFAGVSDGSERATK LANWVKRVKEATEQGAAPGKLGPYAKIANNAYKALANRQIHDLYNIAQTKKAAFEAAS KEVSTAAARAERKILDTIYGEGKDKFEAATFNSTKENMCGNGHSGHNNVGYSILNDMI CLCTADATDTDKACGEKGMGTVTADTTGADTAAAALETACTKKEAKQELSEALIQAKL ATFFSHIGSLPSSHSNAAVRFVLGEAQSTGCQGSSNAQCVNYKLQLQNGGNGIPWVNH LEVAAAILRRAAIAYAEAGKINAELATLKEQATSLRNAAVIGIALPDGTAAAERLNEH KELSESAEQACNKLNSEQKCNADPKCSYEIESDGTKKCKYNATKAEKSGVSLPQTQTG GAETATDKCKGKGEKDCKAPDCK XP_829789.1 MYAIMLPMTIVLWLVTKTEGTDIVGGANRQEHAALCKFVAMAPR EVEIPTIPAMPEDDLDYIHMVNFSAATGSWQEMFYSDKAAKKTHDNPKSAGQDGRGFE ENWPRWTKIAAKKLEATTGGQKNQAGIMELTEDQETLARDHLKHIRTRAHELAKELQS IQPPSDAPKDNTAKETIATAVYGENAKPASNPDPSKVFTGTTTGTRDSVCKAAGGNGN PATALEALTCVCFRGTNNVDPAVCVQAADGGTWEAASTFATGVTAANLGKLAKSCPFD AGQITGTEILAAIANLNDLIHKDTSNAYLGQFASSKCDGNKAQGVCLEFSGFASKAQP IVEQLTWIPPLKNLALGLQTLESNKQRGLQIIEQIKKLKKDAVVIVDSAKATAAALEK IKGGTQKQHTIGQTTTNCSTHTTNQTCIKANCKWEEKDGKGECKSKPGSATPETAGTE GTTKEGGTTAAWCTGHKDKTACENDKTGDKQNCAWRKGKEGETDEPEKEKCRNGSFLV NKKFALGVASAAFAALLF XP_829790.1 MKEVTARQLVVGLAVLLTNVLQRTESAAGDNVAEYLVVCELKRL VDAAAAIPDGPTTEDITTPLEDINLLNLSTATSSWYNDKDGKLKKSDGKIDEALKTEW DNLKKKITEATDTTGKKIYKRLPVQEWQLTANKKLDRISRAAEAVKIKHSAAVEAAKT AFKETKGHLTNALYGKGHKAFDKAPLKTSLTNNCGHANVGGDYGGLGQALAYDAICIC STSDASGKTCGTDASTASLSDLQSGDSAKQAWEKVATACPQLKPLQQLTPQQIRGAVS KFAAILGRGEPSGTTASHKNIFGKAANAGTCDMANAGNSCVKYTGILDGRTKQIPRIQ EFEDAATKLELGYAKQNEIKSYEHHIQILQEQAWQQYIGAEEELELRAKKIINQLPKT DPKQKQEEDEAKCNNIDKEPECTATPKCKWNAEAKDPKKKCTLSEDAKKEAEKANQET EGNDGKTSIDCKDKQKKD XP_829791.1 MRSYTRYFLTFLYVIKLLMPGAEAATSAGKSAAEYRTLCKLIRL AQSDPEPLKSDDSVASDAFEIDKLNMTAASAEWRTKFGGGGASHDWQASKGNFTNQEF ESYWGQKWPNWLKTEKATYPNAANKKWLEQNPPPKQGPAQAKTAKQLAVYAEEAAALA RTYTEGITAANGRELKAIKTALRAALYGDKQKDGEGLPALKPPNEAECGQDDCKGTNV GDNLAYDVLCLCAGKTAAESKGCTHTQLTTGWTSGATNVEQTAKKLLDNCAEVSQPEA TAANIASAIANLEQMIGRYHGDTAKNQILGKSTANHCSGDDDHICVDYESYYNMDLTP KTTRIKWMQQLEIAARLLNERKEKKEKLRTAAAALKTLRTAAEATYAARQIHQLANQP SHSQAKDKAKSEVECNTNTTNQTCTKANCKWEEKGGKGECKSKPGTETPEAATGEKKD GDNKASAADCKASSETNCDTTKCTWNKEKNECKVKEGTVFISAVIKVPLLLAFLLF XP_829792.1 MIKTSYLIRVSAIVATLAYLASSAPDDGEHGHLFRQLCELVNLA ERPAPAKITDAQAQVSYLAIAKLNASVAPQSWRDTIASKTEGAAHKQQIASAPAQPAA PDPREEYWKQAAAAVKDSDTRRQILQTAGLADVTEEQLANYRRQLNPIAEHAFQALQH VTQLATGPEKDKANDALKALKIAAYGRDDFTPAGAKDTDCKGQGGTGTTRQLLCGAPN TDAKANTIAQFMLCVCGVDGTDNNKLCESSQSSTAFNPSSTPEQVLKDLLSKCPQPSP KTPATAAEVQAKATALINAFQQKGKDTYYGFYTGTGCSGKSNQGICVMYKAGTKQELE TLATHPWLSQLQTAAKLLHEHEQALSKLAAVEQTIAAAAKTAYALKSAAITDERHSPA SEQKQQADKTEEKQPTNSNCSDTAKKTATECKSLGCEHDEKENECKPKAGTENTAAAA GGAEDPNCGQYTDPDTCAKAPGKKKEGKNAVCGWIEGKCQDSSILLNKKFALSIVSAA FVALLF XP_829793.1 MKPHQAMVATALVLGIATRRGAATGGNGISAAAWKPLCDISATL AGLTQKVRADVSSKLDQLHNQAVADLKLRIYVARQPAQQALELFPILLAQDELQGTSI ADLKDGVDKAIKSTAHSNFLHGGITEFLTIAAQAHSSSGTHGCLIEAGTGDATQGAAN LGQCKLAVTEGATEPPQDYGHTPEALFKAARITGAAGGHTDASKNCALTKGQTGGGGI TNGGQTAAAVPYAGGFFELPPNGADLSTKDLQTFDKQATSNTPVLYFNARKALAEHLQ SRMLQLSTQEKTEISNLKRSKRTKAAVHDSLLNNTDDYSDVSHKTAAENTITNTYGAT KKHDLAKLWATIDSNSIPNSLFTDQKDGNTELGKIKELRQLNLILTHYERQRVQELAA KGKELQKATENSKCKVPSVQDKEKVCNAAGDDQEACEKLKDKECVFNKDGEKDKKCTL IKERKQALEKANQEDKDGKTNNTGSNFLLINNAPFLLAFFIIV XP_829794.1 MTAVSNIIATFIGLVVATLLLSRGTQADPAAELTKQDTPCKSAH YLIKLAAAAEGKLKSLLEKTTEAVDEHRALEVYAATTDTGAANAAIAGLSEYASRRTA TLAKAAVTCAQAVSQLTRTLNIEAGKNLALSSATEIKSATGTAQAMSNTGQGQSVSGH LKLDKLTDDSCAKTYTATAANTDNDPDTRQLESIRIHKLEGKTTADTAAGVCQVACVG AACSTAETNIKVSSAAATLFKAGELAQLAVKTTDAKPKRSDTPQGLKKELEKHQTSIE HRLYDFLKNKPCDADMASVRNMAVVQNDEGVRKAVLIELQGPAAKYDASSDSQKKAIT DGIKAMFGGTETEFNAKIWEKVKANSVKQKIAGTDIDSKIEQLSTDTNRGLVLAYHAS QRKNKPSCKTETSTQKPQQCGSQTTPEHCQKEGCYLDENKTPKCFPKKSKDDKKNGDY AKATNTTGSNSFVIHKAPLLIAVLLF XP_829795.1 MFIQKQRAKEMTKLMYLAATAAMIFHGSNVGQAADDQEAENAQE FGALCNLIQLASKGFDSTEIKINNKLTELETDIQRAEILAYDNKTEIEKRAKEGTEGL KKGDKALPQTADGIAAAQKINETAKAAAALISALKDKIKKVEADTATANKHLYKAVWG KEEKPPALKPGAALFAGANASSIFGDTSSNTRTTNCGGSQFSSQSDTNVGKTLINDLV CICIDGQTGMKNCAATPHGVTTAQNNFRTPHSAINSAWDALIDECPQAQAKVNPGALQ AALTSLLSLIGGNTHSKTTPTQNNKYILGWADATATGCNGATKQICVNYAPWRKTAAN TEIRWQEEVREAIEAAPTATTESDITATINTLTTMNLSVWHLYEAGFASASTVKGEPT KEKIPPIAQEECNKHKSKKTCEEKNCKWEAKGGKSETEGTCKPKEGEGETSAAGAGDA GASDTAAKKCSDKKKEEECKSPNCKWDGKECKDSSILANKHFALMVSAAFVSLLEL XP_829796.1 MHQKFKTAAVVLVVAVATCNRAVEAGDVASGDNRHTFEALCAIF RAAEATVEVPATSVDPQKLYQYIQKLNMSVATKTWQDMFVSKPDPLELHTDPTKASVK GRGFEGSWQDWMAAITAVKDKQPDEELRNSGFLKLNDDDKSEVRAVMADVTRQAEALI SHFRAQTKPESEPTATSIEATLKEAAYGDSSTTATSVTLAQMFGTTPAGSAAREATCK AGNGGANPKSISAVITCICYDNNAGLADPCIVTKTAGTPWNAGASQQPEPTQAKDIIQ LCGKQDTFIATAASVEKLIHGVTNGITTVSTAGYIGTFKNTNCNGAATNGVCVEIPNY AAGQAAALKQMNWYIKLTQTLEQLKTTEARQQQAQHVAIQLEALKHQAKYLATRPKMP LAASKPHASGAASKETKEDATDCHKHDNKNATCPKDRCTYDEKEKKCNPIKTVEGSET PATGDGTAGGAAATEKCKGKLEPECTKAPECKWENNACKDSSILVNKKFALSVVSAAF VALLF XP_829797.1 MTPQATALLALALTAEQARGNIPAGANEQEFGALCAIVDLSRSD ITINQFPDQTAALEGAVLALNMSLADESWQKRFSKDGAKKEWIKELPAGTADPGHWKK SWPKWAAAAARLADQGTAAEIKSSGFETLNPIERAGAKASVAQIAAEAQFLTQQIAEK ENVGKKHTAATVKAALNEAIYGVKQEAPPDFEPTRNYDGLNTQAREAICVGLGSEAKT TTIAGAIYCICGQDNAQAEKVCARNQADTHKWTSITNAMTKDGFEEIVKHCAGPAKTH LTSSLLMGLITKIKNLIRRVGANAYMGALKTGSSCNGDKTQGICIKYAGYDGTPTKDF AAIPWAQKLLALHDDLRQQEKAIAEATALEQQLAVAKNKAYAAALLQKTAPPTAGQTS SDNVNRKGDQGTQPTNKCNQIVTEETCNKENECSYEKESDGTKRCKYNATKATANGVP VTQPQKGPAKTTENKCKWKPEKDFKSPDCKWDGKECKNSSIIANKQFAMIVSAFVAMV F XP_829798.1 MLQRASDNRSVPKALLIATAVAQLIIRHGSAESTQEAKQGDACS AAVYIMQLANSIDEQRSELINEISKANADLLQLETALALPQWNTKPTALTPLIGLVLA EQQKLISRLATCNKAAADAARSANIQAGRNWAVAELAEITTASSAGTAASTLSAGGKV QDTATFETIHGDTCTNKEAGSIAKAATGVAISALKTLTLFKLKEHSTPGNRQICLGHC DGQGKACTAQAARHKTKTQSKKFLEEDTRDTIDMQASAHSQPEKASEEENGKTYLKAH KRHLNWRLYNFNLNKPCKATYTSLDKAATTQTNEPLRRSVLAVVKGPNEKYDTDSKEQ QKVINTFIESNYGKEESDFKEKVWNKIREPDFSCSIGSKDFKGKITAITTSDHITIAI GASGYTAPTSKECKETSLQAKPTPEKCQEETEKSKCTADKDCEHSDGKCKLKEGVKAE NDAKPTNTTGNNSFVINEAPLLLADLFILLGF